From bc56e5dc4e39c9bedf42c9d10360962a178c739a Mon Sep 17 00:00:00 2001 From: mbinary Date: Mon, 18 Mar 2019 01:13:50 +0800 Subject: [PATCH 01/55] Add codecog for latex formulas --- utils/codecogs.py | 45 + .../notes/mbinary/algorithm-general.md" | 138 +- .../notes/mbinary/b-tree.md" | 1218 ++++++++--------- .../notes/mbinary/fib-heap.md" | 338 +++-- .../notes/mbinary/graph.md" | 961 +++++++------ .../notes/mbinary/hashTable.md" | 73 +- .../notes/mbinary/number-theory.md" | 77 +- .../notes/mbinary/red-black-tree.md" | 20 +- .../notes/mbinary/sort.md" | 92 +- .../notes/mbinary/string-matching.md" | 136 +- .../notes/mbinary/tree.md" | 79 +- ...\263\345\213\244-csapp-bomb-lab-report.md" | 428 ------ 12 files changed, 1490 insertions(+), 2115 deletions(-) create mode 100644 utils/codecogs.py diff --git a/utils/codecogs.py b/utils/codecogs.py new file mode 100644 index 0000000..05e7bd1 --- /dev/null +++ b/utils/codecogs.py @@ -0,0 +1,45 @@ +import os +import re +import sys +from translate import Translator as TR + +FORMULA = re.compile(r'\${1,2}(?P.+?)\${1,2}',re.DOTALL) +Chinese = re.compile(u"(?P[\u4e00-\u9fa5]+)") +API = 'https://latex.codecogs.com/gif.latex?' +def codecog(f): + if os.path.exists(f) and f.endswith('.md'): + with open(f) as fp: + txt = fp.read() + with open(f,'w') as fp: + fp.write(re.sub(FORMULA,covert,txt)) + else: + s = re.sub(FORMULA,covert,f) + print(s) +def covert(matched): + s = matched.group('formula').strip('$ ') + s = re.sub(Chinese,zh2en,s) + s = re.sub(r'\r+|\n+|\\n',' ',s) + s = re.sub(' +','&space;',s) + return '![]({})'.format(API+s) +def zh2en(txt): + s = txt.group('chinese').strip() + tran = TR(to_lang='en',from_lang='zh') + en = tran.translate(s) + return re.sub(' +','-',en) + +def handle(path): + if os.path.isdir(path): + for p,ds,fs in os.walk(path): + for f in fs: + if f.endswith('.md'): + codecog(os.path.join(p,f)) + else: + codecog(path) + +if __name__ == '__main__': + args = sys.argv[1:] + if not args: + s = input('Input a file: ') + args.append(s) + for f in args: + handle(f) diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/algorithm-general.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/algorithm-general.md" index bbc33c5..298feb4 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/algorithm-general.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/algorithm-general.md" @@ -69,11 +69,11 @@ top: ![](https://upload-images.jianshu.io/upload_images/7130568-d452e7efb6fb3433.jpg?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) -* $\omicron,O,\Omega,\Theta$ +* ![](https://latex.codecogs.com/gif.latex?\omicron,O,\Omega,\Theta) * 最坏情况, 平均情况 -* 增长的量级$ O(1), O(log^*n), O(logn), O(n), O(n^k), O(a^n) $ +* 增长的量级![](https://latex.codecogs.com/gif.latex?O(1),&space;O(log^*n),&space;O(logn),&space;O(n),&space;O(n^k),&space;O(a^n)) -$\log^{\*}*(\log x) = log^{\*}x-1$ +![](https://latex.codecogs.com/gif.latex?\log^{\*}*(\log&space;x)&space;=&space;log^{\*}x-1) @@ -82,11 +82,11 @@ $\log^{\*}*(\log x) = log^{\*}x-1$ ## 4.1. 分治(divide and conquer) 结构上是递归的, 步骤: 分解,解决, 合并 -eg. 快排,归并排序, 矩阵乘法(Strassen $O(log_2 7)$ +eg. 快排,归并排序, 矩阵乘法(Strassen ![](https://latex.codecogs.com/gif.latex?O(log_2&space;7)) # 5. 递归式 - $T(n) = aT(\frac{n} {b})+f(n)$ + ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;aT(\frac{n}&space;{b})+f(n)) ## 5.1. 代换法 @@ -98,74 +98,58 @@ eg. 快排,归并排序, 矩阵乘法(Strassen $O(log_2 7)$ ### 5.1.2. 例子 -$T(n) = 2T(\frac{n} {2})+n$ -猜测$T(n) = O(nlogn)$ -证明 $ T(n)\leqslant cnlogn$ +![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;2T(\frac{n}&space;{2})+n) +猜测![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;O(nlogn)) +证明 ![](https://latex.codecogs.com/gif.latex?T(n)\leqslant&space;cnlogn) 归纳奠基 n=2,3 -归纳假设 $T(\frac{n} {2}) \leqslant \frac{cn}{2}$ +归纳假设 ![](https://latex.codecogs.com/gif.latex?T(\frac{n}&space;{2})&space;\leqslant&space;\frac{cn}{2}) 递归 -$ -\begin{aligned} -T(n) &\leqslant 2c\frac{n}{2}log(\frac{n}{2}) + n \leqslant cnlog(\frac{n}{2}) \\ -\end{aligned} -$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;T(n)&space;&\leqslant&space;2c\frac{n}{2}log(\frac{n}{2})&space;+&space;n&space;\leqslant&space;cnlog(\frac{n}{2})&space;\\&space;\end{aligned}&space;) ### 5.1.3. 放缩 -对于 $T(n) = 2T(\frac{cn}{2}) + 1$ -如果 直接猜测 $T(n) = O (n)$ 不能证明, -而且不要猜测更高的界 $O (n^2)$ +对于 ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;2T(\frac{cn}{2})&space;+&space;1) +如果 直接猜测 ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;O&space;(n)) 不能证明, +而且不要猜测更高的界 ![](https://latex.codecogs.com/gif.latex?O&space;(n^2)) 可以放缩为 n-b ### 5.1.4. 改变变量 -对于 $ T(n) = 2T(\sqrt{n})+logn $ +对于 ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;2T(\sqrt{n})+logn) 可以 令 `m = logn`, 得到 -$T(2^m) = 2T(m^{\frac{m}{2}}) + m $ -令 $S(m) = T(2^m)$ -得到 $ S(m) = 2S(\frac{m}{2}) + m $ +![](https://latex.codecogs.com/gif.latex?T(2^m)&space;=&space;2T(m^{\frac{m}{2}})&space;+&space;m) +令 ![](https://latex.codecogs.com/gif.latex?S(m)&space;=&space;T(2^m)) +得到 ![](https://latex.codecogs.com/gif.latex?S(m)&space;=&space;2S(\frac{m}{2})&space;+&space;m) -$$T(n)=T(2^m)=S(m)=\Theta(m\log m)=\Theta(\log n \log^2 n)$$ +![](https://latex.codecogs.com/gif.latex?T(n)=T(2^m)=S(m)=\Theta(m\log&space;m)=\Theta(\log&space;n&space;\log^2&space;n)) ## 5.2. 递归树 -例如 $T(n) = 3T(\frac{n}{4}) + c n^2$ +例如 ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;3T(\frac{n}{4})&space;+&space;c&space;n^2) 不妨假设 n 为4的幂, 则有如下递归树 ![recursive-tree.jpg](https://upload-images.jianshu.io/upload_images/7130568-4a1b9b6ee852b725.jpg?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) -$$ -T(n) = \sum_{i=0}^{ {\log_4 n}-1}cn^2*(\frac{3}{16})^i + \Theta(n^{\log4 3}) -$$ +![](https://latex.codecogs.com/gif.latex?&space;T(n)&space;=&space;\sum_{i=0}^{&space;{\log_4&space;n}-1}cn^2*(\frac{3}{16})^i&space;+&space;\Theta(n^{\log4&space;3})&space;) 每个结点是代价, 将每层加起来即可 ## 5.3. 主方法(master method) -对于 $T(n) = aT(\frac{n} {b})+f(n)$ -$$ -\begin{aligned} -T(n)=\begin{cases} -\Theta(n^{log_b a}),\quad f(n)=O(n^{ {log_b a}-\epsilon}) \\ -\Theta(n^{log_b a}logn),\quad f(n)=\Theta(n^{log_b a}) \\ -\Theta(f(n)),\quad f(n)=\Omega(n^{ {log_b a}+ \epsilon}),af(\frac{n}{b})\leqslant cf(n) \\ -\qquad \qquad \quad \text{其中常数c<1,变量n任意大} \\ -unknown, \quad others -\end{cases} -\end{aligned} -$$ +对于 ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;aT(\frac{n}&space;{b})+f(n)) +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;T(n)=\begin{cases}&space;\Theta(n^{log_b&space;a}),\quad&space;f(n)=O(n^{&space;{log_b&space;a}-\epsilon})&space;\\&space;\Theta(n^{log_b&space;a}logn),\quad&space;f(n)=\Theta(n^{log_b&space;a})&space;\\&space;\Theta(f(n)),\quad&space;f(n)=\Omega(n^{&space;{log_b&space;a}+&space;\epsilon}),af(\frac{n}{b})\leqslant&space;cf(n)&space;\\&space;\qquad&space;\qquad&space;\quad&space;\text{Constantc<1,VariablenArbitrarily-large}&space;\\&space;unknown,&space;\quad&space;others&space;\end{cases}&space;\end{aligned}&space;) ### 5.3.1. 记忆 -直观上, 比较 $n^{log_b a}$ 和 $f(n)$, 谁大就是谁, -相等的话就是 $\Theta(f(n))\log n$ +直观上, 比较 ![](https://latex.codecogs.com/gif.latex?n^{log_b&space;a}) 和 ![](https://latex.codecogs.com/gif.latex?f(n)), 谁大就是谁, +相等的话就是 ![](https://latex.codecogs.com/gif.latex?\Theta(f(n))\log&space;n) 这里的大是多项式上的比较, 即比较次数, 而不是渐近上的 -比如 $n$ 与 $nlogn$ 渐近上后者大, 但多项式上是不能比较的 +比如 ![](https://latex.codecogs.com/gif.latex?n) 与 ![](https://latex.codecogs.com/gif.latex?nlogn) 渐近上后者大, 但多项式上是不能比较的 ### 5.3.2. 证明 #### 5.3.2.1. 证明当 n 为 b 的正合幂时成立 -* 用递归树可以得到 总代价为 $\sum_{j=0}^{log_b n-1} a^j f(\frac{n}{b^j})$ +* 用递归树可以得到 总代价为 ![](https://latex.codecogs.com/gif.latex?\sum_{j=0}^{log_b&space;n-1}&space;a^j&space;f(\frac{n}{b^j})) * 决定上式的渐近界 * 结合前两点 @@ -184,10 +168,10 @@ $$ 则得出 B={2,3,1},因为第二个(2)优先级最小, 为4, 接着第三个,最后第1个. 优先级数组的产生, 一般在 RANDOM(1,n^3), 这样优先级各不相同的概率至少为 1-1/n -由于要排序优先级数组, 所以时间复杂度 $O(nlogn)$ +由于要排序优先级数组, 所以时间复杂度 ![](https://latex.codecogs.com/gif.latex?O(nlogn)) 如果优先级唯一, 则此算法可以 shuffle 数组 -应证明 同样排列的概率是 $\frac{1}{n!}$ +应证明 同样排列的概率是 ![](https://latex.codecogs.com/gif.latex?\frac{1}{n!}) ### 6.1.2. RANDOMIZE-IN-PLACE @@ -200,18 +184,18 @@ def myshuffle(arr): arr[i],arr[p] = arr[p],arr[i] return arr ``` -时间复杂度 $O(n)$ +时间复杂度 ![](https://latex.codecogs.com/gif.latex?O(n)) 证明 -定义循环不变式: 对每个可能的 $A_n^{i-1}$ 排列, 其在 arr[1..i-1] 中的概率为 $\frac{1}{A_n^{i-1}}$ +定义循环不变式: 对每个可能的 ![](https://latex.codecogs.com/gif.latex?A_n^{i-1}) 排列, 其在 arr[1..i-1] 中的概率为 ![](https://latex.codecogs.com/gif.latex?\frac{1}{A_n^{i-1}}) 初始化: i=1 成立 保持 : 假设 在第 i-1 次迭代之前,成立, 证明在第 i 次迭代之后, 仍然成立, -终止: 在 结束后, i=n+1, 得到 概率为 $\frac{1}{n!}$ +终止: 在 结束后, i=n+1, 得到 概率为 ![](https://latex.codecogs.com/gif.latex?\frac{1}{n!}) # 7. 组合方程的近似算法 -* Stiring's approximation: $ n! \approx \sqrt{2\pi n}\left(\frac{n}{e}\right)^n$ -* 对于 $C_n^x=a$, 有 $x=\frac{ln^2 a}{n}$ -* 对于 $C_x^n=a$, 有 $x=(a*n!)^{\frac{1}{n}}+\frac{n}{2}$ +* Stiring's approximation: ![](https://latex.codecogs.com/gif.latex?n!&space;\approx&space;\sqrt{2\pi&space;n}\left(\frac{n}{e}\right)^n) +* 对于 ![](https://latex.codecogs.com/gif.latex?C_n^x=a), 有 ![](https://latex.codecogs.com/gif.latex?x=\frac{ln^2&space;a}{n}) +* 对于 ![](https://latex.codecogs.com/gif.latex?C_x^n=a), 有 ![](https://latex.codecogs.com/gif.latex?x=(a*n!)^{\frac{1}{n}}+\frac{n}{2}) @@ -220,20 +204,18 @@ def myshuffle(arr): ## 8.1. 球与盒子 把相同的秋随机投到 b 个盒子里,问在每个盒子里至少有一个球之前,平均至少要投多少个球? 称投入一个空盒为击中, 即求取得 b 次击中的概率 -设投 n 次, 称第 i 个阶段包括第 i-1 次击中到 第 i 次击中的球, 则第 i 次击中的概率为 $p_i=\frac{b-i+1}{b}$ -用 $n_i$表示第 i 阶段的投球数,则 $n=\sum_{i=1}^b n_i$ -且 $n_i$服从几何分布, $E(n_i)=\frac{b}{b-i+1}$, +设投 n 次, 称第 i 个阶段包括第 i-1 次击中到 第 i 次击中的球, 则第 i 次击中的概率为 ![](https://latex.codecogs.com/gif.latex?p_i=\frac{b-i+1}{b}) +用 ![](https://latex.codecogs.com/gif.latex?n_i)表示第 i 阶段的投球数,则 ![](https://latex.codecogs.com/gif.latex?n=\sum_{i=1}^b&space;n_i) +且 ![](https://latex.codecogs.com/gif.latex?n_i)服从几何分布, ![](https://latex.codecogs.com/gif.latex?E(n_i)=\frac{b}{b-i+1}), 则由期望的线性性, -$$ -E(n)=E(\sum_{i=1}^b n_i)=\sum_{i=1}^b E(n_i)=\sum_{i=1}^b \frac{b}{b-i+1}=b\sum_{i=1}^b \frac{1}{i}=b(lnb+O(1)) -$$ +![](https://latex.codecogs.com/gif.latex?&space;E(n)=E(\sum_{i=1}^b&space;n_i)=\sum_{i=1}^b&space;E(n_i)=\sum_{i=1}^b&space;\frac{b}{b-i+1}=b\sum_{i=1}^b&space;\frac{1}{i}=b(lnb+O(1))&space;) 这个问题又被称为 赠券收集者问题(coupon collector's problem),即集齐 b 种不同的赠券,在随机情况下平均需要买 blnb 张 ## 8.2. 序列 抛 n 次硬币, 期望看到的连续正面的次数 -答案是 $\Theta(logn)$ +答案是 ![](https://latex.codecogs.com/gif.latex?\Theta(logn)) 记 长度至少为 k 的正面序列开始与第 i 次抛, 由于独立, 所有 k 次抛掷都是正面的 概率为 -$P(A_{ik})=\frac{1}{2^k}$,对于 $k=2\lceil lgn\rceil$ +![](https://latex.codecogs.com/gif.latex?P(A_{ik})=\frac{1}{2^k}),对于 ![](https://latex.codecogs.com/gif.latex?k=2\lceil&space;lgn\rceil) ![coin1.jpg](https://upload-images.jianshu.io/upload_images/7130568-780b9795b6d9a2bd.jpg?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) ![coin2.jpg](https://upload-images.jianshu.io/upload_images/7130568-7d112b304e2d78b6.jpg?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) @@ -246,60 +228,60 @@ $P(A_{ik})=\frac{1}{2^k}$,对于 $k=2\lceil lgn\rceil$ # 9. 摊还分析 ## 9.1. 聚合分析(aggregate analysis) - 一个 n 个操作的序列最坏情况下花费的总时间为$T(n)$, 则在最坏情况下, 每个操作的摊还代价为 $\frac{T(n)}{n}$ + 一个 n 个操作的序列最坏情况下花费的总时间为![](https://latex.codecogs.com/gif.latex?T(n)), 则在最坏情况下, 每个操作的摊还代价为 ![](https://latex.codecogs.com/gif.latex?\frac{T(n)}{n}) -如栈中的 push, pop 操作都是 $O(1)$, 增加一个新操作 `multipop`, +如栈中的 push, pop 操作都是 ![](https://latex.codecogs.com/gif.latex?O(1)), 增加一个新操作 `multipop`, ```python def multipop(stk,k): while not stk.empty() and k>0: stk.pop() k-=1 ``` -multipop 的时间复杂度为 min(stk.size,k), 最坏情况为 $O(n)$, 则 n 个包含 push pop multipop 的操作列的最坏情况是 $O(n^2)$, 并不是这样, 注意到, 必须栈中有元素, 再 pop, 所以 push 操作与pop 操作(包含 multipop中的pop), 个数相当, 所以 实际上应为 $O(n)$, 每个操作的摊还代价 为$O(1)$ +multipop 的时间复杂度为 min(stk.size,k), 最坏情况为 ![](https://latex.codecogs.com/gif.latex?O(n)), 则 n 个包含 push pop multipop 的操作列的最坏情况是 ![](https://latex.codecogs.com/gif.latex?O(n^2)), 并不是这样, 注意到, 必须栈中有元素, 再 pop, 所以 push 操作与pop 操作(包含 multipop中的pop), 个数相当, 所以 实际上应为 ![](https://latex.codecogs.com/gif.latex?O(n)), 每个操作的摊还代价 为![](https://latex.codecogs.com/gif.latex?O(1)) ## 9.2. 核算法 (accounting method) -对不同操作赋予不同费用 cost (称为摊还代价 $c_i'$), 可能多于或者少于其实际代价 $c_i$ +对不同操作赋予不同费用 cost (称为摊还代价 ![](https://latex.codecogs.com/gif.latex?c_i')), 可能多于或者少于其实际代价 ![](https://latex.codecogs.com/gif.latex?c_i) -当 $c_i'>c_i$, 将 $c_i'-c_i$( `credit`) 存入数据结构中的特定对象.. 对于后续 $c_i'c_i), 将 ![](https://latex.codecogs.com/gif.latex?c_i'-c_i)( `credit`) 存入数据结构中的特定对象.. 对于后续 ![](https://latex.codecogs.com/gif.latex?c_i' ## 9.3. 势能法(potential method) 势能释放用来支付未来操作的代价, 势能是整个数据结构的, 不是特定对象的(核算法是). -数据结构 $D_0$为初始状态, 依次 执行 n 个操作 $op_i$进行势能转换 $D_i =op_i(D_{i-1}), i=1,2,\ldots,n$ , 各操作代价为 $c_i$ +数据结构 ![](https://latex.codecogs.com/gif.latex?D_0)为初始状态, 依次 执行 n 个操作 ![](https://latex.codecogs.com/gif.latex?op_i)进行势能转换 ![](https://latex.codecogs.com/gif.latex?D_i&space;=op_i(D_{i-1}),&space;i=1,2,\ldots,n) , 各操作代价为 ![](https://latex.codecogs.com/gif.latex?c_i) -势函数 $\Phi:D_i\rightarrow R$, $\Phi(D_i)$即为 $D_i$的势 +势函数 ![](https://latex.codecogs.com/gif.latex?\Phi:D_i\rightarrow&space;R), ![](https://latex.codecogs.com/gif.latex?\Phi(D_i))即为 ![](https://latex.codecogs.com/gif.latex?D_i)的势 则第 i 个操作的摊还代价 -$$c_i'=c_i+\Phi(D_i)-\Phi(D_{i-1})$$ +![](https://latex.codecogs.com/gif.latex?c_i'=c_i+\Phi(D_i)-\Phi(D_{i-1})) 则 -$$\sum_{i=1}^{n}c_i'=\sum_{i=1}^{n}c_i+\Phi(D_n)-\Phi(D_0)$$ +![](https://latex.codecogs.com/gif.latex?\sum_{i=1}^{n}c_i'=\sum_{i=1}^{n}c_i+\Phi(D_n)-\Phi(D_0)) -如果定义一个势函数$\Phi, st \ \Phi(D_i)\geqslant\Phi(D_0)$, 则总摊还代价给出了实际代价的一个上界 -可以简单地以 $D_0 \text{为参考状态}, then \ \Phi(D_0)=0$ +如果定义一个势函数![](https://latex.codecogs.com/gif.latex?\Phi,&space;st&space;\&space;\Phi(D_i)\geqslant\Phi(D_0)), 则总摊还代价给出了实际代价的一个上界 +可以简单地以 ![](https://latex.codecogs.com/gif.latex?D_0&space;\text{Reference-state},&space;then&space;\&space;\Phi(D_0)=0) 例如栈操作, -设空栈为 $D_0$, 势函数定义为栈的元素数 -对于push, $ \Phi(D_i)-\Phi(D_{i-1})=1$ -则 $c' = c +\Phi(D_i)-\Phi(D_{i-1}) = c+1 = 2$ +设空栈为 ![](https://latex.codecogs.com/gif.latex?D_0), 势函数定义为栈的元素数 +对于push, ![](https://latex.codecogs.com/gif.latex?\Phi(D_i)-\Phi(D_{i-1})=1) +则 ![](https://latex.codecogs.com/gif.latex?c'&space;=&space;c&space;+\Phi(D_i)-\Phi(D_{i-1})&space;=&space;c+1&space;=&space;2) -对于 multipop, $ \Phi(D_i)-\Phi(D_{i-1})=- min(k,s)$ -则 $c' = c - min(k,s) = 0$ +对于 multipop, ![](https://latex.codecogs.com/gif.latex?\Phi(D_i)-\Phi(D_{i-1})=-&space;min(k,s)) +则 ![](https://latex.codecogs.com/gif.latex?c'&space;=&space;c&space;-&space;min(k,s)&space;=&space;0) -同理 pop 的摊还代价也是0, 则总摊还代价的上界(最坏情况) 为 $O(n)$ +同理 pop 的摊还代价也是0, 则总摊还代价的上界(最坏情况) 为 ![](https://latex.codecogs.com/gif.latex?O(n)) diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/b-tree.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/b-tree.md" index 6b62b25..a9eaafb 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/b-tree.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/b-tree.md" @@ -1,609 +1,609 @@ ---- -title: 『数据结构』B树(B-Tree)及其变体 B+树,B*树 -date: 2018-08-29 15:42 -categories: 数据结构与算法 -tags: [数据结构,B树,数据库] -keywords: 数据结构,B树,数据库 -mathjax: true -description: "B 树的原理与实现,b+ 树介绍" ---- - - - -- [1. 背景](#1-背景) -- [2. 定义](#2-定义) -- [3. 查找操作](#3-查找操作) -- [4. 插入操作](#4-插入操作) -- [5. 删除操作](#5-删除操作) - - [5.1. 第一种方法](#51-第一种方法) - - [5.2. 第二种方法](#52-第二种方法) -- [6. B+树](#6-b树) -- [7. B*树](#7-b树) -- [8. 代码实现与测试](#8-代码实现与测试) - - [8.1. 测试](#81-测试) - - [8.2. python 实现](#82-python-实现) -- [9. 参考资料](#9-参考资料) - - - - ->>从此心里有了B数(●'◡'●) - - -# 1. 背景 -当有大量数据储存在磁盘时,如数据库的查找,插入, 删除等操作的实现, 如果要读取或者写入, 磁盘的寻道, 旋转时间很长, 远大于在 内存中的读取,写入时间. - -平时用的二叉排序树搜索元素的时间复杂度虽然是 $O(log_2n)$的, 但是底数还是太小, 树高太高. - -所以就出现了 B 树(英文为B-Tree, 不是B减树), 可以理解为多叉排序树. 一个结点可以有多个孩子, 于是增大了底数, 减小了高度, 虽然比较的次数多(关键字数多), 但是由于是在内存中比较, 相较于磁盘的读取还是很快的. - -# 2. 定义 -度为 **d**(degree)的 B 树(阶(order) 为 2d) 定义如下, -0. 每个结点中包含有 n 个关键字信息: $(n,P_0,K_1,P_1,K_2,\ldots,K_n,P_n)$。其中: - a) $K_i$为关键字,且关键字按顺序升序排序 $K_{i-1}< K_i$ - b) $P_i$ 为指向子树根的接点, $K_{i-1}=2); -2. 除根结点和叶子结点外,其它每个结点至少有 d个孩子; -3. 若根结点不是叶子结点,则至少有 2 个孩子(特殊情况:没有孩子的根结点,即根结点为叶子结点,整棵树只有一个根节点); -4. **所有叶子结点都出现在同一层**,叶子节点没有孩子和指向孩子的指针 - - -性质: -$h\leq \left\lfloor \log _{d}\left({\frac {n+1}{2}}\right)\right\rfloor .$ - -如下是 度为2的 B 树, 每个结点可能有2,3或4 个孩子, 所以也叫 2,3,4树, 等价于[红黑树](/red-black-tree.html#more) -![](https://upload-images.jianshu.io/upload_images/7130568-30342360fb9674b4.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -# 3. 查找操作 -可以看成二叉排序树的扩展,二叉排序树是二路查找,B - 树是多路查找。 -节点内进行查找的时候除了顺序查找之外,还可以用二分查找来提高效率。 - -下面是顺序查找的 python 代码 -```python - def search(self,key,withpath=False): - nd = self.root - fathers = [] - while True: - i = nd.findKey(key) - if i==len(nd): fathers.append((nd,i-1,i)) - else: fathers.append((nd,i,i)) - if i -# 4. 插入操作 -自顶向下地进行插入操作, 最终插入在叶子结点, -考虑到叶子结点如果有 2t-1 $(k_1,k_2,\ldots,k_{2t-1})$个 关键字, 则需要进行分裂, - -一个有 2t-1$(k_1,k_2,\ldots,k_{2t-1})$个关键字 结点分裂是这样进行的: 此结点分裂为 两个关键字为 t-1个的结点, 分别为 $(k_1,k_2,\ldots,k_{t-1})$, $(k_{t+1},k_{t+2},\ldots,k_{2t-1})$, 然后再插入一个关键字$k_t$到父亲结点. - -注意同时要将孩子指针移动正确. - -所以自顶向下地查找到叶子结点, 中间遇到 2t-1个关键字的结点就进行分裂, 这样如果其子结点进行分裂, 上升来的一个关键字可以插入到父结点而不会超过2t-1 - -代码如下 -```python - def insert(self,key): - if len(self.root)== self.degree*2-1: - self.root = self.root.split(node(isLeaf=False),self.degree) - self.nodeNum +=2 - nd = self.root - while True: - idx = nd.findKey(key) - if idx -# 5. 删除操作 -删除操作是有点麻烦的, 有两种方法[^1] ->1. Locate and delete the item, then restructure the tree to retain its invariants, OR ->2. Do a single pass down the tree, but before entering (visiting) a node, restructure the tree so that once the key to be deleted is encountered, it can be deleted without triggering the need for any further restructuring - - -## 5.1. 第一种方法 -有如下情况 -* 删除结点在叶子结点上 - 1. 结点内的关键字个数大于d-1,可以直接删除(大于关键字个数下限,删除不影响 B - 树特性) - 2. 结点内的关键字个数等于d-1(等于关键字个数下限,删除后将破坏 特性),此时需观察该节点左右兄弟结点的关键字个数: - a. **旋转**: 如果其左右兄弟结点中存在关键字个数大于d-1 的结点,则从关键字个数大于 d-1 的兄弟结点中借关键字:**(这里看了网上的很多说法, 都是在介绍关键字的操作,而没有提到孩子结点. 我实现的时候想了很久才想出来: 借关键字时, 比如从右兄弟借一个关键字(第一个$k_1$), 此时即为左旋, 将父亲结点对应关键字移到当前结点, 再将右兄弟的移动父亲结点(因为要满足排序性质, 类似二叉树的选择) 然后进行孩子操作, 将右兄弟的$p_0$ 插入到 当前结点的孩子指针末尾) 左兄弟类似, 而且要注意到边界条件, 比如当前结点是第0个/最后一个孩子, 则没有 左兄弟/右兄弟**) - - b. **合并**: 如果其左右兄弟结点中不存在关键字个数大于 t-1 的结点,进行结点合并:将其父结点中的关键字拿到下一层,与该节点的左右兄弟结点的所有关键字合并 - **同样要注意到边界条件, 比如当前结点是第0个/最后一个孩子, 则没有 左兄弟/右兄弟** - - 3. 自底向上地检查来到这个叶子结点的路径上的结点是否满足关键字数目的要求, 只要关键字少于d-1,则进行旋转(2a)或者合并(2b)操作 -* 删除结点在非叶子结点上 -1. 查到到该结点, 然后转化成 上述 叶子结点中情况 -2. 转化过程: - a. 找到相邻关键字:即需删除关键字的左子树中的最大关键字或右子树中的最小关键字 - b. 用相邻关键字来覆盖需删除的非叶子节点关键字,再删除原相邻关键字(在;叶子上,这即为上述情况)。 - -python 代码如下, `delete`函数中, 查找到结点, 用 `fathers::[(父节点, 关键字指针, 孩子指针)]` 记录路径, 如果不是叶子结点, 就再进行查找, 并记录结点, 转换关键字. - -rebalance 就是从叶子结点自底向上到根结点, 只要遇到关键字数少于 2d-1 的,就进行平衡操作(旋转, 合并) - -实现时要很仔细, 考虑边界条件, 还有当是左孩子的时候操作的是父结点的 chdIdx 的前一个, 是右孩子的时候是 chdIdx 的关键字. 具体实现完整代码见文末. -```python - def delete(self,key):#to do - '''search the key, delete it , and form down to up to rebalance it ''' - nd,idx ,fathers= self.search(key,withpath=True) - if nd is None : return - del nd[idx] - self.keyNum-=1 - if not nd.isLeafNode(): - chd = nd.getChd(idx) # find the predecessor key - while not chd.isLeafNode(): - fathers.append((chd,len(chd)-1,len(chd))) - chd = chd.getChd(-1) - fathers.append((chd,len(chd)-1,len(chd))) - nd.insert(idx,chd[-1]) - del chd[-1] - if len(fathers)>1:self.rebalance(fathers) - def rebalance(self,fathers): - nd,keyIdx,chdIdx = fathers.pop() - while len(nd)=self.degree: # rotate when only one sibling is deficient - keyIdx = chdIdx-1 - nd.insert(0,prt[keyIdx]) # rotate keys - prt[keyIdx] = lbro[-1] - del lbro[-1] - if not nd.isLeafNode(): # if not leaf, move children - nd.insert(0,nd=lbro.getChd(-1)) - lbro.delChd(-1) - else: - keyIdx = chdIdx - nd.insert(len(nd),prt[keyIdx]) # rotate keys - prt[keyIdx] = rbro[0] - del rbro[0] - if not nd.isLeafNode(): # if not leaf, move children - #note that insert(-1,ele) will make the ele be the last second one - nd.insert(len(nd),nd=rbro.getChd(0)) - rbro.delChd(0) - if len(fathers)==1: - if len(self.root)==0: - self.root = nd - self.nodeNum -=1 - break - nd,i,j = fathers.pop() -``` - - -## 5.2. 第二种方法 -这是算法导论[^2]上的 -![](https://upload-images.jianshu.io/upload_images/7130568-119c3bc27eee8ee6.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) -![](https://upload-images.jianshu.io/upload_images/7130568-567cc0ffd8a4da80.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - -例如 -![](https://upload-images.jianshu.io/upload_images/7130568-1f3e6003a5ccf800.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -```python -B-TREE-DELETE(T,k) - -1 r ← root[T] - 2 if n[r] = 1 - 3 then DISK_READ(c1[r]) - 4 DISK_READ(c2[r]) - 5 y ←c1[r] - 6 z ←c2[r] - 7 if n[y] = n[z] = t-1 ▹ Cases 2c or 3b - 8 then B-TREE-MERGE-CHILD(r, 1, y, z) - 9 root[T] ← y - 10 FREE-NODE(r) - 11 B-TREE-DELETE-NONONE(y, k) -12 else B-TREE-DELETE-NONONE (r, k) -13 else B-TREE-DELETE-NONONE (r, k) - - -考虑到根结点的特殊性,对根结点为1,并且两个子结点都是t-1的情况进行了特殊的处理: -先对两个子结点进行合并,然后把原来的根删除,把树根指向合并后的子结点y。 -这样B树的高度就减少了1。这也是B树高度唯一会减少的情况。 -除了这种情况以外,就直接调用子过程 B-TREE-DELETE-NONONE (x, k)。 - - -B-TREE-DELETE-NONONE (x, k) - -1 i ← 1 - 2 if leaf[x] ▹ Cases 1 - 3 then while i <= n[x] and k > keyi[x] - 4 do i ← i + 1 - 5 if k = keyi[x] - 6 then for j ← i+1 to n[x] - 7 do keyj-1[x] ←keyj[x] - 8 n[x] ← n[x] - 1 - 9 DISK-WRITE(x) - 10 else error:”the key does not exist” - 11 else while i <= n[x] and k > keyi[x] -12 do i ← i + 1 - 13 DISK-READ(ci[x]) - 14 y ←ci[x] - 15 if i <= n[x] - 16 then DISK-READ(ci+1[x]) - 17 z ←ci+1[x] - 18 if k = keyi[x] ▹ Cases 2 -19 then if n[y] > t-1 ▹ Cases 2a - 20 then k′←B-TREE-SEARCH-PREDECESSOR(y) - 21 B-TREE-DELETE-NONONE (y, k′) - 22 keyi[x] ←k′ - 23 else if n[z] > t-1 ▹ Cases 2b - 24 then k′←B-TREE-SEARCH-SUCCESSOR (z) - 25 B-TREE-DELETE-NONONE (z, k′) - 26 keyi[x] ←k′ - 27 else B-TREE-MERGE-CHILD(x, i, y, z)▹ Cases 2c - 28 B-TREE-DELETE-NONONE (y, k) - 29 else ▹ Cases 3 - 30 if i >1 - 31 then DISK-READ(ci-1[x]) - 32 p ←ci-1[x] - 33 if n[y] = t-1 - 34 then if i>1 and n[p] >t-1 ▹ Cases 3a - 35 then B-TREE-SHIFT-TO-RIGHT-CHILD(x,i,p,y) - 36 else if i <= n[x] and n[z] > t-1 ▹ Cases 3a - 37 then B-TREE-SHIFT-TO-LEFT-CHILD(x,i,y,z) - 38 else if i>1 ▹ Cases 3b - 39 then B-TREE-MERGE-CHILD(x, i, p, y) - 40 y ← p - 41 else B-TREE-MERGE-CHILD(x, i, y, z)▹ Cases 3b - 42 B-TREE-DELETE-NONONE (y, k) - - - - 转移到右边的子结点 -B-TREE-SHIFT-TO-RIGHT-CHILD(x,i,y,z) -1 n[z] ← n[z] +1 -2 j ← n[z] -3 while j > 1 -4 do keyj[z] ←keyj-1[z] -5 j ← j -1 -6 key1[z] ←keyi[x] -7 keyi[x] ←keyn[y][y] -8 if not leaf[z] -9 then j ← n[z] -10 while j > 0 -11 do cj+1[z] ←cj[z] -12 j ← j -1 -13 c1[z] ←cn[y]+1[y] -14 n[y] ← n[y] -1 -15 DISK-WRITE(y) - -16 DISK-WRITE(z) - -17 DISK-WRITE(x) - -转移到左边的子结点 -B-TREE-SHIFT-TO-LEFT-CHILD(x,i,y,z) -1 n[y] ← n[y] +1 -2 keyn[y][y] ← keyi[x] -3 keyi[x] ←key1[z] -4 n[z] ← n[z] -1 -5 j ← 1 -6 while j <= n[z] -7 do keyj[z] ←keyj+1[z] -8 j ← j +1 -9 if not leaf[z] -10 then cn[y]+1[y] ←c1[z] -11 j ← 1 -12 while j <= n[z]+1 -13 do cj[z] ←cj+1[z] -14 j ← j + 1 -15 DISK-WRITE(y) - -16 DISK-WRITE(z) - -17 DISK-WRITE(x) -``` - - -# 6. B+树 - B+ 树[^3]是 B- 树的变体,与B树不同的地方在于: -1. 非叶子结点的子树指针与关键字个数相同; -2. 非叶子结点的子树指针 $p_i$指向关键字值属于 $[k_i,k_{i+1})$ 的子树(B- 树是开区间); -3. 为所有叶子结点增加一个链指针; -4. **所有关键字都在叶子结点出现** - - B+ 的搜索与 B- 树也基本相同,区别是 B+ 树只有达到叶子结点才命中(B- 树可以在非叶子结点命中),其性能也等价于在关键字全集做一次二分查找; -下面摘自 wiki[^4] -> ->### 查找 -> ->查找以典型的方式进行,类似于[二叉查找树](https://zh.wikipedia.org/wiki/%E4%BA%8C%E5%8F%89%E6%9F%A5%E6%89%BE%E6%A0%91 "二叉查找树")。起始于根节点,自顶向下遍历树,选择其分离值在要查找值的任意一边的子指针。在节点内部典型的使用是[二分查找](https://zh.wikipedia.org/wiki/%E4%BA%8C%E5%88%86%E6%9F%A5%E6%89%BE "二分查找")来确定这个位置。 ->### 插入 -> ->节点要处于违规状态,它必须包含在可接受范围之外数目的元素。 -> ->1. 首先,查找要插入其中的节点的位置。接着把值插入这个节点中。 ->2. 如果没有节点处于违规状态则处理结束。 ->3. 如果某个节点有过多元素,则把它分裂为两个节点,每个都有最小数目的元素。在树上递归向上继续这个处理直到到达根节点,如果根节点被分裂,则创建一个新根节点。为了使它工作,元素的最小和最大数目典型的必须选择为使最小数不小于最大数的一半。 -> ->### 删除  -> ->1. 首先,查找要删除的值。接着从包含它的节点中删除这个值。 ->2. 如果没有节点处于违规状态则处理结束。 ->3. 如果节点处于违规状态则有两种可能情况: -> 1. 它的兄弟节点,就是同一个父节点的子节点,可以把一个或多个它的子节点转移到当前节点,而把它返回为合法状态。如果是这样,在更改父节点和两个兄弟节点的分离值之后处理结束。 - > 2. 它的兄弟节点由于处在低边界上而没有额外的子节点。在这种情况下把两个兄弟节点合并到一个单一的节点中,而且我们递归到父节点上,因为它被删除了一个子节点。持续这个处理直到当前节点是合法状态或者到达根节点,在其上根节点的子节点被合并而且合并后的节点成为新的根节点。 - - -由于叶子结点间有指向下一个叶子的指针, 便于遍历, 以及区间查找, 所以数据库的以及操作系统文件系统的实现常用 B+树, -![](https://upload-images.jianshu.io/upload_images/7130568-6a129fb2d32bda7d.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - - -# 7. B*树 -B*-tree [^5] 是 B+-tree 的变体,在 B+ 树的基础上 (所有的叶子结点中包含了全部关键字的信息,及指向含有这些关键字记录的指针),B * 树中非根和非叶子结点再增加指向兄弟的指针;B* 树定义了非叶子结点关键字个数至少为 (2/3)*M,即块的最低使用率为 2/3(代替 B+ 树的 1/2) - -![](https://upload-images.jianshu.io/upload_images/7130568-517a256d15adb70d.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -# 8. 代码实现与测试 -[github地址](https://github.com/mbinary/algorithm-in-python) - - -## 8.1. 测试 -```python - - -if __name__ =='__main__': - bt = bTree() - from random import shuffle,sample - n = 20 - lst = [i for i in range(n)] - shuffle(lst) - test= sample(lst,len(lst)//4) - print(f'building b-tree with {lst}') - for i in lst: - bt.insert(i) - #print(f'inserting {i}) - #print(bt) - print(bt) - print(f'serching {test}') - for i in test: - nd,idx = bt.search(i) - print(f'node: {repr(nd)}[{idx}]== {i}') - for i in test: - print(f'deleting {i}') - bt.delete(i) - print(bt) -``` -![bTree](https://upload-images.jianshu.io/upload_images/7130568-5dd763f4b28d853c.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -## 8.2. python 实现 -```python -class node: - def __init__(self,keys=None,isLeaf = True,children=None): - if keys is None:keys=[] - if children is None: children =[] - self.keys = keys - self.isLeaf = isLeaf - self.children = [] - def __getitem__(self,i): - return self.keys[i] - def __delitem__(self,i): - del self.keys[i] - def __setitem__(self,i,k): - self.keys[i] = k - def __len__(self): - return len(self.keys) - def __repr__(self): - return str(self.keys) - def __str__(self): - children = ','.join([str(nd.keys) for nd in self.children]) - return f'keys: {self.keys}\nchildren: {children}\nisLeaf: {self.isLeaf}' - def getChd(self,i): - return self.children[i] - def delChd(self,i): - del self.children[i] - def setChd(self,i,chd): - self.children[i] = chd - def getChildren(self,begin=0,end=None): - if end is None:return self.children[begin:] - return self.children[begin:end] - def findKey(self,key): - for i,k in enumerate(self.keys): - if k>=key: - return i - return len(self) - def update(self,keys=None,isLeaf=None,children=None): - if keys is not None:self.keys = keys - if children is not None:self.children = children - if isLeaf is not None: self.isLeaf = isLeaf - def insert(self,i,key=None,nd=None): - if key is not None:self.keys.insert(i,key) - if not self.isLeaf and nd is not None: self.children.insert(i,nd) - def isLeafNode(self):return self.isLeaf - def split(self,prt,t): - # form new two nodes - k = self[t-1] - nd1 = node() - nd2 = node() - nd1.keys,nd2.keys = self[:t-1], self[t:] # note that t is 1 bigger than key index - nd1.isLeaf = nd2.isLeaf = self.isLeaf - if not self.isLeaf: - # note that children index is one bigger than key index, and all children included - nd1.children, nd2.children = self.children[0:t], self.children[t:] - # connect them to parent - idx = prt.findKey(k) - if prt.children !=[]: prt.children.remove(self) # remove the original node - prt.insert(idx,k,nd2) - prt.insert(idx,nd = nd1) - return prt - - -class bTree: - def __init__(self,degree=2): - self.root = node() - self.degree=degree - self.nodeNum = 1 - self.keyNum = 0 - def search(self,key,withpath=False): - nd = self.root - fathers = [] - while True: - i = nd.findKey(key) - if i==len(nd): fathers.append((nd,i-1,i)) - else: fathers.append((nd,i,i)) - if i1:self.rebalance(fathers) - def rebalance(self,fathers): - nd,keyIdx,chdIdx = fathers.pop() - while len(nd)=self.degree: # rotate when only one sibling is deficient - keyIdx = chdIdx-1 - nd.insert(0,prt[keyIdx]) # rotate keys - prt[keyIdx] = lbro[-1] - del lbro[-1] - if not nd.isLeafNode(): # if not leaf, move children - nd.insert(0,nd=lbro.getChd(-1)) - lbro.delChd(-1) - else: - keyIdx = chdIdx - nd.insert(len(nd),prt[keyIdx]) # rotate keys - prt[keyIdx] = rbro[0] - del rbro[0] - if not nd.isLeafNode(): # if not leaf, move children - #note that insert(-1,ele) will make the ele be the last second one - nd.insert(len(nd),nd=rbro.getChd(0)) - rbro.delChd(0) - if len(fathers)==1: - if len(self.root)==0: - self.root = nd - self.nodeNum -=1 - break - nd,i,j = fathers.pop() - def __str__(self): - head= '\n'+'-'*30+'B Tree'+'-'*30 - tail= '-'*30+'the end'+'-'*30+'\n' - lst = [[head],[f'node num: {self.nodeNum}, key num: {self.keyNum}']] - cur = [] - ndNum =0 - ndTotal= 1 - que = [self.root] - while que!=[]: - nd = que.pop(0) - cur.append(repr(nd)) - ndNum+=1 - que+=nd.getChildren() - if ndNum==ndTotal: - lst.append(cur) - cur = [] - ndNum = 0 - ndTotal =len(que) - lst.append([tail]) - lst = [','.join(li) for li in lst] - return '\n'.join(lst) - def __iter__(self,nd = None): - if nd is None: nd = self.root - que = [nd] - while que !=[]: - nd = que.pop(0) - yield nd - if nd.isLeafNode():continue - for i in range(len(nd)+1): - que.append(nd.getChd(i)) - -``` - -# 9. 参考资料 -[^1]: [B树](https://en.wikipedia.org/wiki/B-tree) -[^2]: 算法导论 -[^3]:[B - 树特征及插入删除操作总结](https://blog.csdn.net/u010842515/article/details/68487817) -[^4]: [B+树](https://zh.wikipedia.org/wiki/B%2B%E6%A0%91) -[^5]: [从 B 树、B + 树、B * 树谈到 R 树](https://blog.csdn.net/v_JULY_v/article/details/6530142) +--- +title: 『数据结构』B树(B-Tree)及其变体 B+树,B*树 +date: 2018-08-29 15:42 +categories: 数据结构与算法 +tags: [数据结构,B树,数据库] +keywords: 数据结构,B树,数据库 +mathjax: true +description: "B 树的原理与实现,b+ 树介绍" +--- + + + +- [1. 背景](#1-背景) +- [2. 定义](#2-定义) +- [3. 查找操作](#3-查找操作) +- [4. 插入操作](#4-插入操作) +- [5. 删除操作](#5-删除操作) + - [5.1. 第一种方法](#51-第一种方法) + - [5.2. 第二种方法](#52-第二种方法) +- [6. B+树](#6-b树) +- [7. B*树](#7-b树) +- [8. 代码实现与测试](#8-代码实现与测试) + - [8.1. 测试](#81-测试) + - [8.2. python 实现](#82-python-实现) +- [9. 参考资料](#9-参考资料) + + + + +>>从此心里有了B数(●'◡'●) + + +# 1. 背景 +当有大量数据储存在磁盘时,如数据库的查找,插入, 删除等操作的实现, 如果要读取或者写入, 磁盘的寻道, 旋转时间很长, 远大于在 内存中的读取,写入时间. + +平时用的二叉排序树搜索元素的时间复杂度虽然是 ![](https://latex.codecogs.com/gif.latex?O(log_2n))的, 但是底数还是太小, 树高太高. + +所以就出现了 B 树(英文为B-Tree, 不是B减树), 可以理解为多叉排序树. 一个结点可以有多个孩子, 于是增大了底数, 减小了高度, 虽然比较的次数多(关键字数多), 但是由于是在内存中比较, 相较于磁盘的读取还是很快的. + +# 2. 定义 +度为 **d**(degree)的 B 树(阶(order) 为 2d) 定义如下, +0. 每个结点中包含有 n 个关键字信息: ![](https://latex.codecogs.com/gif.latex?(n,P_0,K_1,P_1,K_2,\ldots,K_n,P_n))。其中: + a) ![](https://latex.codecogs.com/gif.latex?K_i)为关键字,且关键字按顺序升序排序 ![](https://latex.codecogs.com/gif.latex?K_{i-1}<&space;K_i) + b) ![](https://latex.codecogs.com/gif.latex?P_i) 为指向子树根的接点, ![](https://latex.codecogs.com/gif.latex?K_{i-1}=2); +2. 除根结点和叶子结点外,其它每个结点至少有 d个孩子; +3. 若根结点不是叶子结点,则至少有 2 个孩子(特殊情况:没有孩子的根结点,即根结点为叶子结点,整棵树只有一个根节点); +4. **所有叶子结点都出现在同一层**,叶子节点没有孩子和指向孩子的指针 + + +性质: +![](https://latex.codecogs.com/gif.latex?h\leq&space;\left\lfloor&space;\log&space;_{d}\left({\frac&space;{n+1}{2}}\right)\right\rfloor&space;.) + +如下是 度为2的 B 树, 每个结点可能有2,3或4 个孩子, 所以也叫 2,3,4树, 等价于[红黑树](/red-black-tree.html#more) +![](https://upload-images.jianshu.io/upload_images/7130568-30342360fb9674b4.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +# 3. 查找操作 +可以看成二叉排序树的扩展,二叉排序树是二路查找,B - 树是多路查找。 +节点内进行查找的时候除了顺序查找之外,还可以用二分查找来提高效率。 + +下面是顺序查找的 python 代码 +```python + def search(self,key,withpath=False): + nd = self.root + fathers = [] + while True: + i = nd.findKey(key) + if i==len(nd): fathers.append((nd,i-1,i)) + else: fathers.append((nd,i,i)) + if i +# 4. 插入操作 +自顶向下地进行插入操作, 最终插入在叶子结点, +考虑到叶子结点如果有 2t-1 ![](https://latex.codecogs.com/gif.latex?(k_1,k_2,\ldots,k_{2t-1}))个 关键字, 则需要进行分裂, + +一个有 2t-1![](https://latex.codecogs.com/gif.latex?(k_1,k_2,\ldots,k_{2t-1}))个关键字 结点分裂是这样进行的: 此结点分裂为 两个关键字为 t-1个的结点, 分别为 ![](https://latex.codecogs.com/gif.latex?(k_1,k_2,\ldots,k_{t-1})), ![](https://latex.codecogs.com/gif.latex?(k_{t+1},k_{t+2},\ldots,k_{2t-1})), 然后再插入一个关键字![](https://latex.codecogs.com/gif.latex?k_t)到父亲结点. + +注意同时要将孩子指针移动正确. + +所以自顶向下地查找到叶子结点, 中间遇到 2t-1个关键字的结点就进行分裂, 这样如果其子结点进行分裂, 上升来的一个关键字可以插入到父结点而不会超过2t-1 + +代码如下 +```python + def insert(self,key): + if len(self.root)== self.degree*2-1: + self.root = self.root.split(node(isLeaf=False),self.degree) + self.nodeNum +=2 + nd = self.root + while True: + idx = nd.findKey(key) + if idx +# 5. 删除操作 +删除操作是有点麻烦的, 有两种方法[^1] +>1. Locate and delete the item, then restructure the tree to retain its invariants, OR +>2. Do a single pass down the tree, but before entering (visiting) a node, restructure the tree so that once the key to be deleted is encountered, it can be deleted without triggering the need for any further restructuring + + +## 5.1. 第一种方法 +有如下情况 +* 删除结点在叶子结点上 + 1. 结点内的关键字个数大于d-1,可以直接删除(大于关键字个数下限,删除不影响 B - 树特性) + 2. 结点内的关键字个数等于d-1(等于关键字个数下限,删除后将破坏 特性),此时需观察该节点左右兄弟结点的关键字个数: + a. **旋转**: 如果其左右兄弟结点中存在关键字个数大于d-1 的结点,则从关键字个数大于 d-1 的兄弟结点中借关键字:**(这里看了网上的很多说法, 都是在介绍关键字的操作,而没有提到孩子结点. 我实现的时候想了很久才想出来: 借关键字时, 比如从右兄弟借一个关键字(第一个![](https://latex.codecogs.com/gif.latex?k_1)), 此时即为左旋, 将父亲结点对应关键字移到当前结点, 再将右兄弟的移动父亲结点(因为要满足排序性质, 类似二叉树的选择) 然后进行孩子操作, 将右兄弟的![](https://latex.codecogs.com/gif.latex?p_0) 插入到 当前结点的孩子指针末尾) 左兄弟类似, 而且要注意到边界条件, 比如当前结点是第0个/最后一个孩子, 则没有 左兄弟/右兄弟**) + + b. **合并**: 如果其左右兄弟结点中不存在关键字个数大于 t-1 的结点,进行结点合并:将其父结点中的关键字拿到下一层,与该节点的左右兄弟结点的所有关键字合并 + **同样要注意到边界条件, 比如当前结点是第0个/最后一个孩子, 则没有 左兄弟/右兄弟** + + 3. 自底向上地检查来到这个叶子结点的路径上的结点是否满足关键字数目的要求, 只要关键字少于d-1,则进行旋转(2a)或者合并(2b)操作 +* 删除结点在非叶子结点上 +1. 查到到该结点, 然后转化成 上述 叶子结点中情况 +2. 转化过程: + a. 找到相邻关键字:即需删除关键字的左子树中的最大关键字或右子树中的最小关键字 + b. 用相邻关键字来覆盖需删除的非叶子节点关键字,再删除原相邻关键字(在;叶子上,这即为上述情况)。 + +python 代码如下, `delete`函数中, 查找到结点, 用 `fathers::[(父节点, 关键字指针, 孩子指针)]` 记录路径, 如果不是叶子结点, 就再进行查找, 并记录结点, 转换关键字. + +rebalance 就是从叶子结点自底向上到根结点, 只要遇到关键字数少于 2d-1 的,就进行平衡操作(旋转, 合并) + +实现时要很仔细, 考虑边界条件, 还有当是左孩子的时候操作的是父结点的 chdIdx 的前一个, 是右孩子的时候是 chdIdx 的关键字. 具体实现完整代码见文末. +```python + def delete(self,key):#to do + '''search the key, delete it , and form down to up to rebalance it ''' + nd,idx ,fathers= self.search(key,withpath=True) + if nd is None : return + del nd[idx] + self.keyNum-=1 + if not nd.isLeafNode(): + chd = nd.getChd(idx) # find the predecessor key + while not chd.isLeafNode(): + fathers.append((chd,len(chd)-1,len(chd))) + chd = chd.getChd(-1) + fathers.append((chd,len(chd)-1,len(chd))) + nd.insert(idx,chd[-1]) + del chd[-1] + if len(fathers)>1:self.rebalance(fathers) + def rebalance(self,fathers): + nd,keyIdx,chdIdx = fathers.pop() + while len(nd)=self.degree: # rotate when only one sibling is deficient + keyIdx = chdIdx-1 + nd.insert(0,prt[keyIdx]) # rotate keys + prt[keyIdx] = lbro[-1] + del lbro[-1] + if not nd.isLeafNode(): # if not leaf, move children + nd.insert(0,nd=lbro.getChd(-1)) + lbro.delChd(-1) + else: + keyIdx = chdIdx + nd.insert(len(nd),prt[keyIdx]) # rotate keys + prt[keyIdx] = rbro[0] + del rbro[0] + if not nd.isLeafNode(): # if not leaf, move children + #note that insert(-1,ele) will make the ele be the last second one + nd.insert(len(nd),nd=rbro.getChd(0)) + rbro.delChd(0) + if len(fathers)==1: + if len(self.root)==0: + self.root = nd + self.nodeNum -=1 + break + nd,i,j = fathers.pop() +``` + + +## 5.2. 第二种方法 +这是算法导论[^2]上的 +![](https://upload-images.jianshu.io/upload_images/7130568-119c3bc27eee8ee6.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) +![](https://upload-images.jianshu.io/upload_images/7130568-567cc0ffd8a4da80.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + +例如 +![](https://upload-images.jianshu.io/upload_images/7130568-1f3e6003a5ccf800.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +```python +B-TREE-DELETE(T,k) + +1 r ← root[T] + 2 if n[r] = 1 + 3 then DISK_READ(c1[r]) + 4 DISK_READ(c2[r]) + 5 y ←c1[r] + 6 z ←c2[r] + 7 if n[y] = n[z] = t-1 ▹ Cases 2c or 3b + 8 then B-TREE-MERGE-CHILD(r, 1, y, z) + 9 root[T] ← y + 10 FREE-NODE(r) + 11 B-TREE-DELETE-NONONE(y, k) +12 else B-TREE-DELETE-NONONE (r, k) +13 else B-TREE-DELETE-NONONE (r, k) + + +考虑到根结点的特殊性,对根结点为1,并且两个子结点都是t-1的情况进行了特殊的处理: +先对两个子结点进行合并,然后把原来的根删除,把树根指向合并后的子结点y。 +这样B树的高度就减少了1。这也是B树高度唯一会减少的情况。 +除了这种情况以外,就直接调用子过程 B-TREE-DELETE-NONONE (x, k)。 + + +B-TREE-DELETE-NONONE (x, k) + +1 i ← 1 + 2 if leaf[x] ▹ Cases 1 + 3 then while i <= n[x] and k > keyi[x] + 4 do i ← i + 1 + 5 if k = keyi[x] + 6 then for j ← i+1 to n[x] + 7 do keyj-1[x] ←keyj[x] + 8 n[x] ← n[x] - 1 + 9 DISK-WRITE(x) + 10 else error:”the key does not exist” + 11 else while i <= n[x] and k > keyi[x] +12 do i ← i + 1 + 13 DISK-READ(ci[x]) + 14 y ←ci[x] + 15 if i <= n[x] + 16 then DISK-READ(ci+1[x]) + 17 z ←ci+1[x] + 18 if k = keyi[x] ▹ Cases 2 +19 then if n[y] > t-1 ▹ Cases 2a + 20 then k′←B-TREE-SEARCH-PREDECESSOR(y) + 21 B-TREE-DELETE-NONONE (y, k′) + 22 keyi[x] ←k′ + 23 else if n[z] > t-1 ▹ Cases 2b + 24 then k′←B-TREE-SEARCH-SUCCESSOR (z) + 25 B-TREE-DELETE-NONONE (z, k′) + 26 keyi[x] ←k′ + 27 else B-TREE-MERGE-CHILD(x, i, y, z)▹ Cases 2c + 28 B-TREE-DELETE-NONONE (y, k) + 29 else ▹ Cases 3 + 30 if i >1 + 31 then DISK-READ(ci-1[x]) + 32 p ←ci-1[x] + 33 if n[y] = t-1 + 34 then if i>1 and n[p] >t-1 ▹ Cases 3a + 35 then B-TREE-SHIFT-TO-RIGHT-CHILD(x,i,p,y) + 36 else if i <= n[x] and n[z] > t-1 ▹ Cases 3a + 37 then B-TREE-SHIFT-TO-LEFT-CHILD(x,i,y,z) + 38 else if i>1 ▹ Cases 3b + 39 then B-TREE-MERGE-CHILD(x, i, p, y) + 40 y ← p + 41 else B-TREE-MERGE-CHILD(x, i, y, z)▹ Cases 3b + 42 B-TREE-DELETE-NONONE (y, k) + + + + 转移到右边的子结点 +B-TREE-SHIFT-TO-RIGHT-CHILD(x,i,y,z) +1 n[z] ← n[z] +1 +2 j ← n[z] +3 while j > 1 +4 do keyj[z] ←keyj-1[z] +5 j ← j -1 +6 key1[z] ←keyi[x] +7 keyi[x] ←keyn[y][y] +8 if not leaf[z] +9 then j ← n[z] +10 while j > 0 +11 do cj+1[z] ←cj[z] +12 j ← j -1 +13 c1[z] ←cn[y]+1[y] +14 n[y] ← n[y] -1 +15 DISK-WRITE(y) + +16 DISK-WRITE(z) + +17 DISK-WRITE(x) + +转移到左边的子结点 +B-TREE-SHIFT-TO-LEFT-CHILD(x,i,y,z) +1 n[y] ← n[y] +1 +2 keyn[y][y] ← keyi[x] +3 keyi[x] ←key1[z] +4 n[z] ← n[z] -1 +5 j ← 1 +6 while j <= n[z] +7 do keyj[z] ←keyj+1[z] +8 j ← j +1 +9 if not leaf[z] +10 then cn[y]+1[y] ←c1[z] +11 j ← 1 +12 while j <= n[z]+1 +13 do cj[z] ←cj+1[z] +14 j ← j + 1 +15 DISK-WRITE(y) + +16 DISK-WRITE(z) + +17 DISK-WRITE(x) +``` + + +# 6. B+树 + B+ 树[^3]是 B- 树的变体,与B树不同的地方在于: +1. 非叶子结点的子树指针与关键字个数相同; +2. 非叶子结点的子树指针 ![](https://latex.codecogs.com/gif.latex?p_i)指向关键字值属于 ![](https://latex.codecogs.com/gif.latex?[k_i,k_{i+1})) 的子树(B- 树是开区间); +3. 为所有叶子结点增加一个链指针; +4. **所有关键字都在叶子结点出现** + + B+ 的搜索与 B- 树也基本相同,区别是 B+ 树只有达到叶子结点才命中(B- 树可以在非叶子结点命中),其性能也等价于在关键字全集做一次二分查找; +下面摘自 wiki[^4] +> +>### 查找 +> +>查找以典型的方式进行,类似于[二叉查找树](https://zh.wikipedia.org/wiki/%E4%BA%8C%E5%8F%89%E6%9F%A5%E6%89%BE%E6%A0%91 "二叉查找树")。起始于根节点,自顶向下遍历树,选择其分离值在要查找值的任意一边的子指针。在节点内部典型的使用是[二分查找](https://zh.wikipedia.org/wiki/%E4%BA%8C%E5%88%86%E6%9F%A5%E6%89%BE "二分查找")来确定这个位置。 +>### 插入 +> +>节点要处于违规状态,它必须包含在可接受范围之外数目的元素。 +> +>1. 首先,查找要插入其中的节点的位置。接着把值插入这个节点中。 +>2. 如果没有节点处于违规状态则处理结束。 +>3. 如果某个节点有过多元素,则把它分裂为两个节点,每个都有最小数目的元素。在树上递归向上继续这个处理直到到达根节点,如果根节点被分裂,则创建一个新根节点。为了使它工作,元素的最小和最大数目典型的必须选择为使最小数不小于最大数的一半。 +> +>### 删除  +> +>1. 首先,查找要删除的值。接着从包含它的节点中删除这个值。 +>2. 如果没有节点处于违规状态则处理结束。 +>3. 如果节点处于违规状态则有两种可能情况: +> 1. 它的兄弟节点,就是同一个父节点的子节点,可以把一个或多个它的子节点转移到当前节点,而把它返回为合法状态。如果是这样,在更改父节点和两个兄弟节点的分离值之后处理结束。 + > 2. 它的兄弟节点由于处在低边界上而没有额外的子节点。在这种情况下把两个兄弟节点合并到一个单一的节点中,而且我们递归到父节点上,因为它被删除了一个子节点。持续这个处理直到当前节点是合法状态或者到达根节点,在其上根节点的子节点被合并而且合并后的节点成为新的根节点。 + + +由于叶子结点间有指向下一个叶子的指针, 便于遍历, 以及区间查找, 所以数据库的以及操作系统文件系统的实现常用 B+树, +![](https://upload-images.jianshu.io/upload_images/7130568-6a129fb2d32bda7d.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + + +# 7. B*树 +B*-tree [^5] 是 B+-tree 的变体,在 B+ 树的基础上 (所有的叶子结点中包含了全部关键字的信息,及指向含有这些关键字记录的指针),B * 树中非根和非叶子结点再增加指向兄弟的指针;B* 树定义了非叶子结点关键字个数至少为 (2/3)*M,即块的最低使用率为 2/3(代替 B+ 树的 1/2) + +![](https://upload-images.jianshu.io/upload_images/7130568-517a256d15adb70d.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +# 8. 代码实现与测试 +[github地址](https://github.com/mbinary/algorithm-in-python) + + +## 8.1. 测试 +```python + + +if __name__ =='__main__': + bt = bTree() + from random import shuffle,sample + n = 20 + lst = [i for i in range(n)] + shuffle(lst) + test= sample(lst,len(lst)//4) + print(f'building b-tree with {lst}') + for i in lst: + bt.insert(i) + #print(f'inserting {i}) + #print(bt) + print(bt) + print(f'serching {test}') + for i in test: + nd,idx = bt.search(i) + print(f'node: {repr(nd)}[{idx}]== {i}') + for i in test: + print(f'deleting {i}') + bt.delete(i) + print(bt) +``` +![bTree](https://upload-images.jianshu.io/upload_images/7130568-5dd763f4b28d853c.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +## 8.2. python 实现 +```python +class node: + def __init__(self,keys=None,isLeaf = True,children=None): + if keys is None:keys=[] + if children is None: children =[] + self.keys = keys + self.isLeaf = isLeaf + self.children = [] + def __getitem__(self,i): + return self.keys[i] + def __delitem__(self,i): + del self.keys[i] + def __setitem__(self,i,k): + self.keys[i] = k + def __len__(self): + return len(self.keys) + def __repr__(self): + return str(self.keys) + def __str__(self): + children = ','.join([str(nd.keys) for nd in self.children]) + return f'keys: {self.keys}\nchildren: {children}\nisLeaf: {self.isLeaf}' + def getChd(self,i): + return self.children[i] + def delChd(self,i): + del self.children[i] + def setChd(self,i,chd): + self.children[i] = chd + def getChildren(self,begin=0,end=None): + if end is None:return self.children[begin:] + return self.children[begin:end] + def findKey(self,key): + for i,k in enumerate(self.keys): + if k>=key: + return i + return len(self) + def update(self,keys=None,isLeaf=None,children=None): + if keys is not None:self.keys = keys + if children is not None:self.children = children + if isLeaf is not None: self.isLeaf = isLeaf + def insert(self,i,key=None,nd=None): + if key is not None:self.keys.insert(i,key) + if not self.isLeaf and nd is not None: self.children.insert(i,nd) + def isLeafNode(self):return self.isLeaf + def split(self,prt,t): + # form new two nodes + k = self[t-1] + nd1 = node() + nd2 = node() + nd1.keys,nd2.keys = self[:t-1], self[t:] # note that t is 1 bigger than key index + nd1.isLeaf = nd2.isLeaf = self.isLeaf + if not self.isLeaf: + # note that children index is one bigger than key index, and all children included + nd1.children, nd2.children = self.children[0:t], self.children[t:] + # connect them to parent + idx = prt.findKey(k) + if prt.children !=[]: prt.children.remove(self) # remove the original node + prt.insert(idx,k,nd2) + prt.insert(idx,nd = nd1) + return prt + + +class bTree: + def __init__(self,degree=2): + self.root = node() + self.degree=degree + self.nodeNum = 1 + self.keyNum = 0 + def search(self,key,withpath=False): + nd = self.root + fathers = [] + while True: + i = nd.findKey(key) + if i==len(nd): fathers.append((nd,i-1,i)) + else: fathers.append((nd,i,i)) + if i1:self.rebalance(fathers) + def rebalance(self,fathers): + nd,keyIdx,chdIdx = fathers.pop() + while len(nd)=self.degree: # rotate when only one sibling is deficient + keyIdx = chdIdx-1 + nd.insert(0,prt[keyIdx]) # rotate keys + prt[keyIdx] = lbro[-1] + del lbro[-1] + if not nd.isLeafNode(): # if not leaf, move children + nd.insert(0,nd=lbro.getChd(-1)) + lbro.delChd(-1) + else: + keyIdx = chdIdx + nd.insert(len(nd),prt[keyIdx]) # rotate keys + prt[keyIdx] = rbro[0] + del rbro[0] + if not nd.isLeafNode(): # if not leaf, move children + #note that insert(-1,ele) will make the ele be the last second one + nd.insert(len(nd),nd=rbro.getChd(0)) + rbro.delChd(0) + if len(fathers)==1: + if len(self.root)==0: + self.root = nd + self.nodeNum -=1 + break + nd,i,j = fathers.pop() + def __str__(self): + head= '\n'+'-'*30+'B Tree'+'-'*30 + tail= '-'*30+'the end'+'-'*30+'\n' + lst = [[head],[f'node num: {self.nodeNum}, key num: {self.keyNum}']] + cur = [] + ndNum =0 + ndTotal= 1 + que = [self.root] + while que!=[]: + nd = que.pop(0) + cur.append(repr(nd)) + ndNum+=1 + que+=nd.getChildren() + if ndNum==ndTotal: + lst.append(cur) + cur = [] + ndNum = 0 + ndTotal =len(que) + lst.append([tail]) + lst = [','.join(li) for li in lst] + return '\n'.join(lst) + def __iter__(self,nd = None): + if nd is None: nd = self.root + que = [nd] + while que !=[]: + nd = que.pop(0) + yield nd + if nd.isLeafNode():continue + for i in range(len(nd)+1): + que.append(nd.getChd(i)) + +``` + +# 9. 参考资料 +[^1]: [B树](https://en.wikipedia.org/wiki/B-tree) +[^2]: 算法导论 +[^3]:[B - 树特征及插入删除操作总结](https://blog.csdn.net/u010842515/article/details/68487817) +[^4]: [B+树](https://zh.wikipedia.org/wiki/B%2B%E6%A0%91) +[^5]: [从 B 树、B + 树、B * 树谈到 R 树](https://blog.csdn.net/v_JULY_v/article/details/6530142) diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/fib-heap.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/fib-heap.md" index 271440f..6d9df17 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/fib-heap.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/fib-heap.md" @@ -1,170 +1,168 @@ ---- -title: 『数据结构』Fibonacci-heap -date: 2018-09-06 19:09 -categories: 数据结构与算法 -tags: [数据结构,斐波那契堆] -keywords: 数据结构,斐波那契堆 -mathjax: true -description: "介绍 fibnacci heap 的原理" ---- - - - -- [1. 结构](#1-结构) -- [2. 势函数](#2-势函数) -- [3. 最大度数](#3-最大度数) -- [4. 操作](#4-操作) - - [4.1. 创建一个斐波那契堆](#41-创建一个斐波那契堆) - - [4.2. 插入一个结点](#42-插入一个结点) - - [4.3. 寻找最小结点](#43-寻找最小结点) - - [4.4. 合并两个斐波那契堆](#44-合并两个斐波那契堆) - - [4.5. 抽取最小值](#45-抽取最小值) - - [4.6. 关键字减值](#46-关键字减值) - - [4.7. 删除结点](#47-删除结点) -- [5. 最大度数的证明](#5-最大度数的证明) - - - -![](https://upload-images.jianshu.io/upload_images/7130568-22531846a72b0d83.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -# 1. 结构 -斐波那契堆是一系列具有最小堆序的有根树的集合, 同一代(层)结点由双向循环链表链接, **为了便于删除最小结点, 还需要维持链表为升序, 即nd<=nd.right(nd==nd.right时只有一个结点或为 None)**, 父子之间都有指向对方的指针. - -结点有degree 属性, 记录孩子的个数, mark 属性用来标记(为了满足势函数, 达到摊还需求的) - -还有一个最小值指针 H.min 指向最小根结点 -![](https://upload-images.jianshu.io/upload_images/7130568-d4e8a85754fdbc14.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -# 2. 势函数 -下面用势函数来分析摊还代价, 如果你不明白, 可以看[摊还分析](https://www.jianshu.com/p/052fbe9d92a4) - -$\Phi(H) = t(H) + 2m(h)$ -t 是根链表中树的数目,m(H) 表示被标记的结点数 - -最初没有结点 - -# 3. 最大度数 -结点的最大度数(即孩子数)$D(n)\leqslant \lfloor lgn \rfloor$, 证明放在最后 - -# 4. 操作 - -## 4.1. 创建一个斐波那契堆 -$O(1)$ - -## 4.2. 插入一个结点 -```python -nd = new node -nd.prt = nd.chd = None -if H.min is None: - creat H with nd - H.min = nd -else: - insert nd into H's root list - if H.min -## 4.3. 寻找最小结点 -直接用 H.min, $O(1)$ - -## 4.4. 合并两个斐波那契堆 -```python -def union(H1,H2): - if H1.min ==None or (H1.min and H2.min and H1.min>H2.min): - H1.min = H2.min - link H2.rootList to H1.rootList - return H1 -``` -易知 $\Delta \Phi = 0$ - -## 4.5. 抽取最小值 -抽取最小值, 一定是在根结点, 然后将此根结点的所有子树的根放在 根结点双向循环链表中, 之后还要进行**树的合并. 以使每个根结点的度不同,** -```python -def extract-min(H): - z = H.min - if z!=None: - for chd of z: - link chd to H.rootList - chd.prt = None - remove z from the rootList of H - if z==z.right: - H.min = None - else: - H.min = z.right - consolidate(H) - H.n -=1 - return z -``` -consolidate 函数使用一个 辅助数组degree来记录所有根结点(不超过lgn)对应的度数, degree[i] = nd 表示.有且只有一个结点 nd 的度数为 i. -```python -def consolidate(H): - initialize degree with None - for nd in H.rootList: - d = nd.degree - while degree[d] !=None: - nd2 = degree[d] - if nd2.degree < nd.degree: - nd2,nd = nd,nd2 - - make nd2 child of nd - nd.degree = d+1 - nd.mark = False # to balace the potential - - remove nd2 from H.rootList - degree[d] = None - d+=1 - else: degree[d] = nd - for i in degree: - if i!=None: - link i to H.rootList - if H.min ==None: H.min = i - else if H.min>i: H.min = i -``` -时间复杂度为$O(lgn)$ 即数组移动的长度, 而最多有 lgn个元素 - - -## 4.6. 关键字减值 -```python -def decrease-key(H,x,k): - if k>x.key: error - x.key = k - y=x.p - if y!=None and x.key < y.key: - cut(H,x,y) - cascading-cut(H,y) - if x.key < H.min.key: - H.min = x -def cut(H,x,y): - remove x from the child list of y, decrementing y.degree - add x to H.rootList - x.prt = None - x.mark = False - -def cascading-cut(H,y): - z- y,prt - if z !=None: - if y.mark ==False:y.mark = True - else: - cut(H,y,z) - cascading-cut(H,z) -``` -![](https://upload-images.jianshu.io/upload_images/7130568-0a29221f8a1fbfbb.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -## 4.7. 删除结点 -```python -decrease(H,nd, MIN) -extract-min(H) -``` - - -# 5. 最大度数的证明 -这也是`斐波那契`这个名字的由来, -$D(n)\leqslant \lfloor lgn \rfloor$ -![](https://upload-images.jianshu.io/upload_images/7130568-c9e0cd3be4e98c4b.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) +--- +title: 『数据结构』Fibonacci-heap +date: 2018-09-06 19:09 +categories: 数据结构与算法 +tags: [数据结构,斐波那契堆] +keywords: 数据结构,斐波那契堆 +mathjax: true +description: "介绍 fibnacci heap 的原理" +--- + + + +- [1. 结构](#1-结构) +- [2. 势函数](#2-势函数) +- [3. 最大度数](#3-最大度数) +- [4. 操作](#4-操作) + - [4.1. 创建一个斐波那契堆](#41-创建一个斐波那契堆) + - [4.2. 插入一个结点](#42-插入一个结点) + - [4.3. 寻找最小结点](#43-寻找最小结点) + - [4.4. 合并两个斐波那契堆](#44-合并两个斐波那契堆) + - [4.5. 抽取最小值](#45-抽取最小值) + - [4.6. 关键字减值](#46-关键字减值) + - [4.7. 删除结点](#47-删除结点) +- [5. 最大度数的证明](#5-最大度数的证明) + + + +![](https://upload-images.jianshu.io/upload_images/7130568-22531846a72b0d83.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +# 1. 结构 +斐波那契堆是一系列具有最小堆序的有根树的集合, 同一代(层)结点由双向循环链表链接, **为了便于删除最小结点, 还需要维持链表为升序, 即nd<=nd.right(nd==nd.right时只有一个结点或为 None)**, 父子之间都有指向对方的指针. + +结点有degree 属性, 记录孩子的个数, mark 属性用来标记(为了满足势函数, 达到摊还需求的) + +还有一个最小值指针 H.min 指向最小根结点 +![](https://upload-images.jianshu.io/upload_images/7130568-d4e8a85754fdbc14.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +# 2. 势函数 +下面用势函数来分析摊还代价, 如果你不明白, 可以看[摊还分析](https://www.jianshu.com/p/052fbe9d92a4) + +![](https://latex.codecogs.com/gif.latex?\Phi(H)&space;=&space;t(H)&space;+&space;2m(h)) +t 是根链表中树的数目,m(H) 表示被标记的结点数 + +最初没有结点 + +# 3. 最大度数 +结点的最大度数(即孩子数)![](https://latex.codecogs.com/gif.latex?D(n)\leqslant&space;\lfloor&space;lgn&space;\rfloor), 证明放在最后 + +# 4. 操作 + +## 4.1. 创建一个斐波那契堆 +![](https://latex.codecogs.com/gif.latex?O(1)) + +## 4.2. 插入一个结点 +```python +nd = new node +nd.prt = nd.chd = None +if H.min is None: + creat H with nd + H.min = nd +else: + insert nd into H's root list + if H.min +## 4.3. 寻找最小结点 +直接用 H.min, ![](https://latex.codecogs.com/gif.latex?O(1)) + +## 4.4. 合并两个斐波那契堆 +```python +def union(H1,H2): + if H1.min ==None or (H1.min and H2.min and H1.min>H2.min): + H1.min = H2.min + link H2.rootList to H1.rootList + return H1 +``` +易知 ![](https://latex.codecogs.com/gif.latex?\Delta&space;\Phi&space;=&space;0) + +## 4.5. 抽取最小值 +抽取最小值, 一定是在根结点, 然后将此根结点的所有子树的根放在 根结点双向循环链表中, 之后还要进行**树的合并. 以使每个根结点的度不同,** +```python +def extract-min(H): + z = H.min + if z!=None: + for chd of z: + link chd to H.rootList + chd.prt = None + remove z from the rootList of H + if z==z.right: + H.min = None + else: + H.min = z.right + consolidate(H) + H.n -=1 + return z +``` +consolidate 函数使用一个 辅助数组degree来记录所有根结点(不超过lgn)对应的度数, degree[i] = nd 表示.有且只有一个结点 nd 的度数为 i. +```python +def consolidate(H): + initialize degree with None + for nd in H.rootList: + d = nd.degree + while degree[d] !=None: + nd2 = degree[d] + if nd2.degree < nd.degree: + nd2,nd = nd,nd2 + + make nd2 child of nd + nd.degree = d+1 + nd.mark = False # to balace the potential + + remove nd2 from H.rootList + degree[d] = None + d+=1 + else: degree[d] = nd + for i in degree: + if i!=None: + link i to H.rootList + if H.min ==None: H.min = i + else if H.min>i: H.min = i +``` +时间复杂度为![](https://latex.codecogs.com/gif.latex?O(lgn)) 即数组移动的长度, 而最多有 lgn个元素 + + +## 4.6. 关键字减值 +```python +def decrease-key(H,x,k): + if k>x.key: error + x.key = k + y=x.p + if y!=None and x.key < y.key: + cut(H,x,y) + cascading-cut(H,y) + if x.key < H.min.key: + H.min = x +def cut(H,x,y): + remove x from the child list of y, decrementing y.degree + add x to H.rootList + x.prt = None + x.mark = False + +def cascading-cut(H,y): + z- y,prt + if z !=None: + if y.mark ==False:y.mark = True + else: + cut(H,y,z) + cascading-cut(H,z) +``` +![](https://upload-images.jianshu.io/upload_images/7130568-0a29221f8a1fbfbb.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +## 4.7. 删除结点 +```python +decrease(H,nd, MIN) +extract-min(H) +``` + + +# 5. 最大度数的证明 +这也是`斐波那契`这个名字的由来, +![](https://latex.codecogs.com/gif.latex?D(n)\leqslant&space;\lfloor&space;lgn&space;\rfloor) +![](https://upload-images.jianshu.io/upload_images/7130568-c9e0cd3be4e98c4b.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/graph.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/graph.md" index 0e7dcd5..597f34e 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/graph.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/graph.md" @@ -1,495 +1,466 @@ ---- -title: 图算法 -date: 2018-09-06 19:10 -categories: 数据结构与算法 -tags: [图,算法] -keywords: 图,算法 -mathjax: true -description: "算法导论上常用的图算法, 代码, 原理等" - ---- - - - -- [1. 图](#1-图) - - [1.1. 概念](#11-概念) - - [1.1.1. 性质](#111-性质) - - [1.2. 图的表示](#12-图的表示) - - [1.3. 树](#13-树) -- [2. 图的搜索](#2-图的搜索) - - [2.1. BFS](#21-bfs) - - [2.2. DFS](#22-dfs) - - [2.2.1. DFS 的性质](#221-dfs-的性质) - - [2.3. 拓扑排序](#23-拓扑排序) - - [2.4. 强连通分量](#24-强连通分量) -- [3. 最小生成树](#3-最小生成树) - - [3.1. Kruskal 算法](#31-kruskal-算法) - - [3.2. Prim 算法](#32-prim-算法) -- [4. 单源最短路](#4-单源最短路) - - [4.1. 最短路的子路径也是最短路径](#41-最短路的子路径也是最短路径) - - [4.2. 负权重的边](#42-负权重的边) - - [4.3. 初始化](#43-初始化) - - [4.4. 松弛操作](#44-松弛操作) - - [4.5. 有向无环图的单源最短路问题](#45-有向无环图的单源最短路问题) - - [4.6. Bellman-Ford 算法](#46-bellman-ford-算法) - - [4.7. Dijkstra 算法](#47-dijkstra-算法) -- [5. 所有结点对的最短路问题](#5-所有结点对的最短路问题) - - [5.1. 矩阵乘法](#51-矩阵乘法) - - [5.2. Floyd-Warshall 算法](#52-floyd-warshall-算法) - - [5.3. Johnson 算法](#53-johnson-算法) -- [6. 最大流](#6-最大流) - - [6.1. 最大流最小截定理](#61-最大流最小截定理) - - [6.2. 多个源,汇](#62-多个源汇) - - [6.3. Ford-Fulkerson 方法](#63-ford-fulkerson-方法) - - [6.3.1. 残存网络](#631-残存网络) - - [6.3.2. 增广路径](#632-增广路径) - - [6.3.3. 割](#633-割) - - [6.4. 基本的 Ford-Fulkerson算法](#64-基本的-ford-fulkerson算法) - - [6.5. TBD](#65-tbd) -- [7. 参考资料](#7-参考资料) - - - - -# 1. 图 - -## 1.1. 概念 -* 顶 -* 顶点的度 d -* 边 -* 相邻 -* 重边 -* 环 -* 完全图: 所有顶都相邻 -* 二分图: $V(G) = X \cup Y, X\cap Y = \varnothing$, X中, Y 中任两顶不相邻 -* 轨道 -* 圈 - - -### 1.1.1. 性质 -* $\sum_{v\in V} d(v) = 2|E|$ -* G是二分图 $\Leftrightarrow$ G无奇圈 -* 树是无圈连通图 -* 树中, $|E| = |V| -1$ - - -## 1.2. 图的表示 -* 邻接矩阵 -* 邻接链表 -![](https://upload-images.jianshu.io/upload_images/7130568-57ce6db904992656.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -## 1.3. 树 -无圈连通图, $E = V-1$, 详细见[树](/tree.html), - - -# 2. 图的搜索 -Introduction to algorithm[^1] - -## 2.1. BFS -```python -for v in V: - v.d = MAX - v.pre = None - v.isFind = False -root. isFind = True -root.d = 0 -que = [root] -while que !=[]: - nd = que.pop(0) - for v in Adj(nd): - if not v.isFind : - v.d = nd.d+1 - v.pre = nd - v.isFind = True - que.append(v) -``` -时间复杂度 $O(V+E)$ - -## 2.2. DFS -$\Theta(V+E)$ -```python -def dfs(G): - time = 0 - for v in V: - v.pre = None - v.isFind = False - for v in V : # note this, - if not v.isFind: - dfsVisit(v) - def dfsVisit(G,u): - time =time+1 - u.begin = time - u.isFind = True - for v in Adj(u): - if not v.isFind: - v.pre = u - dfsVisit(G,v) - time +=1 - u.end = time -``` -begin, end 分别是结点的发现时间与完成时间 - -### 2.2.1. DFS 的性质 -* 其生成的前驱子图$G_{pre}$ 形成一个由多棵树构成的森林, 这是因为其与 dfsVisit 的递归调用树相对应 -* 括号化结构 -![](https://upload-images.jianshu.io/upload_images/7130568-ba62e68e5b883b6c.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) -* 括号化定理: - 考察两个结点的发现时间与结束时间的区间 [u,begin,u.end] 与 [v.begin,v.end] - * 如果两者没有交集, 则两个结点在两个不同的子树上(递归树) - * 如果 u 的区间包含在 v 的区间, 则 u 是v 的后代 - - -## 2.3. 拓扑排序 -利用 DFS, 结点的完成时间的逆序就是拓扑排序 - -同一个图可能有不同的拓扑排序 - -## 2.4. 强连通分量 -在有向图中, 强连通分量中的结点互达 -定义 $Grev$ 为 $G$ 中所有边反向后的图 - -将图分解成强连通分量的算法 -在 Grev 上根据 G 中结点的拓扑排序来 dfsVisit, 即 -```python -compute Grev -initalization -for v in topo-sort(G.V): - if not v.isFind: dfsVisit(Grev,v) -``` -然后得到的DFS 森林(也是递归树森林)中每个树就是一个强连通分量 - - -# 3. 最小生成树 -利用了贪心算法, -```python -Generate-Minimum-spanning-tree(G) - A = [] - while len(A)!=len(G.V)-1: - add a safe edge for A to A - return A -``` - -## 3.1. Kruskal 算法 -总体上, 从最开始 每个结点就是一颗树的森林中(不相交集合, 并查集), 逐渐添加不形成圈的(两个元素不再同一个集合),最小边权的边. -```python -edges=[] -for edge as u,v in sorted(G.E): - if find-set(u) != find-set(v): - edges.append(edge) - union(u,v) -return edges -``` -如果并查集的实现采用了 按秩合并与路径压缩技巧, 则 find 与 union 的时间接近常数 -所以时间复杂度在于排序边, 即 $O(ElgE)$, 而 $E\lt V^2$, 所以 $lgE = O(lgV)$, 时间复杂度为 $O(ElgV)$ - -## 3.2. Prim 算法 -用了 BFS, 类似 Dijkstra 算法 -从根结点开始 BFS, 一直保持成一颗树 -```python -for v in V: - v.minAdjEdge = MAX - v.pre = None -root.minAdjEdge = 0 -que = priority-queue (G.V) # sort by minAdjEdge -while not que.isempty(): - u = que.extractMin() - for v in Adj(u): - if v in que and v.minAdjEdge>w(u,v): - v.pre = u - v.minAdjEdge = w(u,v) -``` -* 建堆 $O(V)$ `//note it's v, not vlgv` -* 主循环中 - * extractMin: $O(VlgV)$ - * in 操作 可以另设标志位, 在常数时间完成, 总共 $O(E)$ - * 设置结点的 minAdjEdge, 需要$O(lgv)$, 循环 E 次,则 总共$O(ElgV)$ - -综上, 时间复杂度为$O(ElgV)$ -如果使用的是 [斐波那契堆](/fib-heap.html), 在 设置 minAdjEdge时 调用 `decrease-key`, 这个操作摊还代价为 $O(1)$, 所以时间复杂度可改进到 $O(E+VlgV)$ - - -# 4. 单源最短路 -求一个结点到其他结点的最短路径, 可以用 Bellman-ford算法, 或者 Dijkstra算法. -定义两个结点u,v间的最短路 -$$ -\delta(u,v) = \begin{cases} -\min(w(path)),\quad u\xrightarrow{path} v\\ -\infty, \quad u\nrightarrow v -\end{cases} -$$ -问题的变体 -* 单目的地最短路问题: 可以将所有边反向转换成求单源最短路问题 -* 单结点对的最短路径 -* 所有结点对最短路路径 - - -## 4.1. 最短路的子路径也是最短路径 -$p=(v_0,v_1,\ldots,v_k)$为从结点$v_0$到$v_k$的一条最短路径, 对于任意$0\le i\le j \le k$, 记$p_{ij}=(v_i,v_{i+1},\ldots,v_j)$为 p 中 $v_i$到$v_j$的子路径, 则 $p_{ij}$为 $v_i$到$v_j$的一条最短路径 - - -## 4.2. 负权重的边 -Dijkstra 算法不能处理负值边, 只能用 Bellman-Ford 算法, -而且如果有负值圈, 则没有最短路, bellman-ford算法也可以检测出来 - -## 4.3. 初始化 -```python -def initialaize(G,s): - for v in G.V: - v.pre = None - v.distance = MAX - s.distance = 0 -``` - -## 4.4. 松弛操作 -```python -def relax(u,v,w): - if v.distance > u.distance + w: - v.distance = u.distance + w: - v.pre = u -``` -性质 -* 三角不等式: $\delta(s,v) \leqslant \delta(s,u) + w(u,v)$ -* 上界: $v.distance \geqslant \delta(s,v)$ -* 收敛: 对于某些结点u,v 如果s->...->u->v是图G中的一条最短路径,并且在对边,进行松弛前任意时间有 $u.distance=\delta(s,u)$则在之后的所有时间有 $v.distance=\delta(s,v)$ -* 路径松弛性质: 如果$p=v_0 v_1 \ldots v_k$是从源结点下v0到结点vk的一条最短路径,并且对p中的边所进行松弛的次序为$(v_0,v_1),(v_1,v_2), \ldots ,(v_{k-1},v_k)$, 则 $v_k.distance = \delta(s,v_k)$ -该性质的成立与任何其他的松弛操作无关,即使这些松弛操作是与对p上的边所进行的松弛操作穿插进行的。 - -证明 -![](https://upload-images.jianshu.io/upload_images/7130568-424a6929bd389825.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -## 4.5. 有向无环图的单源最短路问题 -$\Theta(V+E)$ -```python -def dag-shortest-path(G,s): - initialize(G,s) - for u in topo-sort(G.V): - for v in Adj(v): - relax(u,v,w(u,v)) -``` - -## 4.6. Bellman-Ford 算法 -$O(VE)$ -```python -def bellman-ford(G,s): - initialize(G,s) - for ct in range(|V|-1): # v-1 times - for u,v as edge in E: - relax(u,v,w(u,v)) - for u,v as edge in E: - if v.distance > u.distance + w(u,v): - return False - return True -``` -第一个 for 循环就是进行松弛操作, 最后结果已经存储在 结点的distance 和 pre 属性中了, 第二个 for 循环利用三角不等式检查有不有负值圈. - -下面是证明该算法的正确性![](https://upload-images.jianshu.io/upload_images/7130568-f84e00ac35aadc81.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -## 4.7. Dijkstra 算法 -$O(ElogV)$, 要求不能有负值边 - -Dijkstra算法既类似于广度优先搜索(,也有点类似于计算最小生成树的Prim算法。它与广度优先搜索的类似点在于集合S对应的是广度优先搜索中的黑色结点集合:正如集合S中的结点的最短路径权重已经计算出来一样,在广度优先搜索中,黑色结点的正确的广度优先距离也已经计算出来。Dijkstra算法像Prim算法的地方是,两个算法都使用最小优先队列来寻找给定集合(Dijkstra算法中的S集合与Prim算法中逐步增长的树)之外的“最轻”结点,将该结点加入到集合里,并对位于集合外面的结点的权重进行相应调整。 - -```python -def dijkstra(G,s): - initialize(G,s) - paths=[] - q = priority-queue(G.V) # sort by distance - while not q.empty(): - u = q.extract-min() - paths.append(u) - for v in Adj(u): - relax(u,v,w(u,v)) -``` - - -# 5. 所有结点对的最短路问题 - -## 5.1. 矩阵乘法 -使用动态规划算法, 可以得到最短路径的结构 -设 $l_{ij}^{(m)}$为从结点i 到结点 j 的至多包含 m 条边的任意路径的最小权重,当m = 0, 此时i=j, 则 为0, -可以得到递归定义 - $$ -l_{ij}^{(m)} =\min( l_{ij}^{(m-1)}, \min_{1\leqslant k\leqslant n}( l_{ik}^{(m-1)}+w_{kj})) = \min_{1\leqslant k\leqslant n}( l_{ik}^{(m-1)}+w_{kj})) -$$ -由于对于所有 j, 有 $w_{jj}=0$,所以上式后面的等式成立. - -由于是简单路径, 则包含的边最多为 |V|-1 条, 所以 -$$ -\delta(i,j) = l_{ij}^{(|V|-1)} = l_{ij}^{(|V|)} =l_{ij}^{(|V| + 1)}= ... -$$ -所以可以从自底向上计算, 如下 -输入权值矩阵 $W(w_{ij})), L^{(m-1)}$,输出$ L^{(m)}$, 其中 $L^{(1)} = W$, -```python -def f(L, W): - n = L.rows - L_new = new matrix(row=n ,col = n) - for i in range(n): - for j in range(n): - L_new[i][j] = MAX - for k in range(n): - L_new[i][j] = min(L_new[i][j], L[i][k]+w[k][j]) - return L_new -``` -可以看出该算法与矩阵乘法的关系 -$L^{(m)} = W^m$, -所以可以直接计算乘法, 每次计算一个乘积是 $O(V^3)$, 计算 V 次, 所以总体 $O(V^4)$, 使用矩阵快速幂可以将时间复杂度降低为$O(V^3lgV)$ -```python -def f(W): - L = W - i = 1 - while i -## 5.2. Floyd-Warshall 算法 -同样要求可以存在负权边, 但不能有负值圈. 用动态规划算法: -设 $ d_{ij}^{(k)}$ 为 从 i 到 j 所有中间结点来自集合 ${\{1,2,\ldots,k\}}$ 的一条最短路径的权重. 则有 -$$ -d_{ij}^{(k)} = \begin{cases} -w_{ij},\quad k=0\\ -min(d_{ij}^{(k-1)},d_{ik}^{(k-1)}+d_{kj}^{(k-1)}),\quad k\geqslant 1 -\end{cases} -$$ -而且为了找出路径, 需要记录前驱结点, 定义如下前驱矩阵 $\Pi$, 设 $ \pi_{ij}^{(k)}$ 为 从 i 到 j 所有中间结点来自集合 ${\{1,2,\ldots,k\}}$ 的最短路径上 j 的前驱结点 -则 -$$ -\pi_{ij}^{(0)} = \begin{cases} -nil,\quad i=j \ or \ w_{ij}=\infty \\ -i, \quad i\neq j\ and \ w_{ij}<\infty -\end{cases} -$$ -对 $k\geqslant 1$ -$$ -\pi_{ij}^{(k)} = \begin{cases} -\pi_{ij}^{(k-1)} ,\quad d_{ij}^{(k-1)}\leqslant d_{ik}^{(k-1)}+d_{kj}^{(k-1)}\\ -\pi_{kj}^{(k-1)} ,\quad otherwise -\end{cases} -$$ - -由此得出此算法 -```python -def floyd-warshall(W): - n = len(W) - D= W - initialize pre - for k in range(n): - pre2 = pre.copy() - for i in range(n): - for j in range(n) - if d[i][j] > d[i][k]+d[k][j]: - d[i][j] =d[i][k]+d[k][j] - pre2[i][j] = pre[k][j] - pre = pre2 -return d,pre -``` - -## 5.3. Johnson 算法 -思路是通过重新赋予权重, 将图中负权边转换为正权,然后就可以用 dijkstra 算法(要求是正值边)来计算一个结点到其他所有结点的, 然后对所有结点用dijkstra - -1. 首先构造一个新图 G' - 先将G拷贝到G', 再添加一个新结点 s, 添加 G.V条边, s 到G中顶点的, 权赋值为 0 -2. 用 Bellman-Ford 算法检查是否有负值圈, 如果没有, 同时求出 $\delta(s,v) 记为 h(v)$ -3. 求新的非负值权, w'(u,v) = w(u,v)+h(u)-h(v) -4. 对所有结点在 新的权矩阵w'上 用 Dijkstra 算法 -![image.png](https://upload-images.jianshu.io/upload_images/7130568-6c2146ad64d692f3.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - -```python -JOHNSON (G, u) - -s = newNode -G' = G.copy() -G'.addNode(s) -for v in G.V: G'.addArc(s,v,w=0) - -if BELLMAN-FORD(G' , w, s) ==FALSE - error "the input graph contains a negative-weight cycle" - -for v in G'.V: - # computed by the bellman-ford algorithm, delta(s,v) is the shortest distance from s to v - h(v) = delta(s,v) -for edge(u,v) in G'.E: - w' = w(u,v)+h(u)-h(v) -d = matrix(n,n) -for u in G: - dijkstra(G,w',u) # compute delta' for all v in G.V - for v in G.V: - d[u][v] = delta'(u,v) + h(v)-h(u) -return d -``` - -# 6. 最大流 -G 是弱连通严格有向加权图, s为源, t 为汇, 每条边e容量 c(e), 由此定义了网络N(G,s,t,c(e)), -* 流函数 $f(e):E \rightarrow R$ -$$ -\begin{aligned} -(1)\quad & 0\leqslant f(e) \leqslant c(e),\quad e \in E\\ -(2)\quad & \sum_{e\in \alpha(v)} f(e)= \sum_{e\in \beta(v)}f(e),\quad v \in V-\{s,t\} -\end{aligned} -$$ -其中 $\alpha(v)$ 是以 v 为头的边集, $\beta(v)$是以 v 为尾的边集 -* 流量: $F = \sum_{e\in \alpha(t)} f(e)- \sum_{e\in -\beta(t)}f(e),$ -* 截$(S,\overline S)$: $S\subset V,s\in S, t\in \overline S =V-S$ -* 截量$C(S) = \sum_{e\in(S,\overline S)}c(e)$ - -## 6.1. 最大流最小截定理 -<<图论>> 王树禾[^2] -* 对于任一截$(S,\overline S)$, 有 $F = \sum_{e\in (S,\overline S)} f(e)- \sum_{e\in(\overline S,S)}f(e),$ -![prove](https://upload-images.jianshu.io/upload_images/7130568-19bf6cc3c7d6ce06.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) -* $F\leqslant C(S)$ -证明: 由上面定理 - $$F = \sum_{e\in (S,\overline S)} f(e)- \sum_{e\in(\overline S,S)}f(e),$$ -而 $0\leqslant f(e) \leqslant c(e)$, 则 -$$F\leqslant \sum_{e\in (S,\overline S)} f(e) \leqslant \sum_{e\in (S,\overline S)} c(e) = C(S) $$ -* 最大流,最小截: 若$F= C(S) $, 则F'是最大流量, C(S) 是最小截量 - -## 6.2. 多个源,汇 -可以新增一个总的源,一个总的汇, -![](https://upload-images.jianshu.io/upload_images/7130568-3e9e87fdf9655883.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -## 6.3. Ford-Fulkerson 方法 -由于其实现可以有不同的运行时间, 所以称其为方法, 而不是算法. -思路是 循环增加流的值, 在一个关联的"残存网络" 中寻找一条"增广路径", 然后对这些边进行修改流量. 重复直至残存网络上不再存在增高路径为止. -```python -def ford-fulkerson(G,s,t): - initialize flow f to 0 - while exists an augmenting path p in residual network Gf: - augment flow f along p - return f -``` - -### 6.3.1. 残存网络 -![](https://upload-images.jianshu.io/upload_images/7130568-c74a571b9121dbbf.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - - -### 6.3.2. 增广路径 -![](https://upload-images.jianshu.io/upload_images/7130568-b9e841cfa4d04b57.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - -### 6.3.3. 割 -![](https://upload-images.jianshu.io/upload_images/7130568-74b065e86eb285b7.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) - -## 6.4. 基本的 Ford-Fulkerson算法 -```python -def ford-fulkerson(G,s,t): - for edge in G.E: edge.f = 0 - while exists path p:s->t in Gf: - cf(p) = min{cf(u,v):(u,v) is in p} - for edge in p: - if edge in E: - edge.f +=cf(p) - else: reverse_edge.f -=cf(p) -``` - - -## 6.5. TBD - - -# 7. 参考资料 -[^1]: 算法导论 -[^2]: 图论, 王树禾 +--- +title: 图算法 +date: 2018-09-06 19:10 +categories: 数据结构与算法 +tags: [图,算法] +keywords: 图,算法 +mathjax: true +description: "算法导论上常用的图算法, 代码, 原理等" + +--- + + + +- [1. 图](#1-图) + - [1.1. 概念](#11-概念) + - [1.1.1. 性质](#111-性质) + - [1.2. 图的表示](#12-图的表示) + - [1.3. 树](#13-树) +- [2. 图的搜索](#2-图的搜索) + - [2.1. BFS](#21-bfs) + - [2.2. DFS](#22-dfs) + - [2.2.1. DFS 的性质](#221-dfs-的性质) + - [2.3. 拓扑排序](#23-拓扑排序) + - [2.4. 强连通分量](#24-强连通分量) +- [3. 最小生成树](#3-最小生成树) + - [3.1. Kruskal 算法](#31-kruskal-算法) + - [3.2. Prim 算法](#32-prim-算法) +- [4. 单源最短路](#4-单源最短路) + - [4.1. 最短路的子路径也是最短路径](#41-最短路的子路径也是最短路径) + - [4.2. 负权重的边](#42-负权重的边) + - [4.3. 初始化](#43-初始化) + - [4.4. 松弛操作](#44-松弛操作) + - [4.5. 有向无环图的单源最短路问题](#45-有向无环图的单源最短路问题) + - [4.6. Bellman-Ford 算法](#46-bellman-ford-算法) + - [4.7. Dijkstra 算法](#47-dijkstra-算法) +- [5. 所有结点对的最短路问题](#5-所有结点对的最短路问题) + - [5.1. 矩阵乘法](#51-矩阵乘法) + - [5.2. Floyd-Warshall 算法](#52-floyd-warshall-算法) + - [5.3. Johnson 算法](#53-johnson-算法) +- [6. 最大流](#6-最大流) + - [6.1. 最大流最小截定理](#61-最大流最小截定理) + - [6.2. 多个源,汇](#62-多个源汇) + - [6.3. Ford-Fulkerson 方法](#63-ford-fulkerson-方法) + - [6.3.1. 残存网络](#631-残存网络) + - [6.3.2. 增广路径](#632-增广路径) + - [6.3.3. 割](#633-割) + - [6.4. 基本的 Ford-Fulkerson算法](#64-基本的-ford-fulkerson算法) + - [6.5. TBD](#65-tbd) +- [7. 参考资料](#7-参考资料) + + + + +# 1. 图 + +## 1.1. 概念 +* 顶 +* 顶点的度 d +* 边 +* 相邻 +* 重边 +* 环 +* 完全图: 所有顶都相邻 +* 二分图: ![](https://latex.codecogs.com/gif.latex?V(G)&space;=&space;X&space;\cup&space;Y,&space;X\cap&space;Y&space;=&space;\varnothing), X中, Y 中任两顶不相邻 +* 轨道 +* 圈 + + +### 1.1.1. 性质 +* ![](https://latex.codecogs.com/gif.latex?\sum_{v\in&space;V}&space;d(v)&space;=&space;2|E|) +* G是二分图 ![](https://latex.codecogs.com/gif.latex?\Leftrightarrow) G无奇圈 +* 树是无圈连通图 +* 树中, ![](https://latex.codecogs.com/gif.latex?|E|&space;=&space;|V|&space;-1) + + +## 1.2. 图的表示 +* 邻接矩阵 +* 邻接链表 +![](https://upload-images.jianshu.io/upload_images/7130568-57ce6db904992656.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +## 1.3. 树 +无圈连通图, ![](https://latex.codecogs.com/gif.latex?E&space;=&space;V-1), 详细见[树](/tree.html), + + +# 2. 图的搜索 +Introduction to algorithm[^1] + +## 2.1. BFS +```python +for v in V: + v.d = MAX + v.pre = None + v.isFind = False +root. isFind = True +root.d = 0 +que = [root] +while que !=[]: + nd = que.pop(0) + for v in Adj(nd): + if not v.isFind : + v.d = nd.d+1 + v.pre = nd + v.isFind = True + que.append(v) +``` +时间复杂度 ![](https://latex.codecogs.com/gif.latex?O(V+E)) + +## 2.2. DFS +![](https://latex.codecogs.com/gif.latex?\Theta(V+E)) +```python +def dfs(G): + time = 0 + for v in V: + v.pre = None + v.isFind = False + for v in V : # note this, + if not v.isFind: + dfsVisit(v) + def dfsVisit(G,u): + time =time+1 + u.begin = time + u.isFind = True + for v in Adj(u): + if not v.isFind: + v.pre = u + dfsVisit(G,v) + time +=1 + u.end = time +``` +begin, end 分别是结点的发现时间与完成时间 + +### 2.2.1. DFS 的性质 +* 其生成的前驱子图![](https://latex.codecogs.com/gif.latex?G_{pre}) 形成一个由多棵树构成的森林, 这是因为其与 dfsVisit 的递归调用树相对应 +* 括号化结构 +![](https://upload-images.jianshu.io/upload_images/7130568-ba62e68e5b883b6c.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) +* 括号化定理: + 考察两个结点的发现时间与结束时间的区间 [u,begin,u.end] 与 [v.begin,v.end] + * 如果两者没有交集, 则两个结点在两个不同的子树上(递归树) + * 如果 u 的区间包含在 v 的区间, 则 u 是v 的后代 + + +## 2.3. 拓扑排序 +利用 DFS, 结点的完成时间的逆序就是拓扑排序 + +同一个图可能有不同的拓扑排序 + +## 2.4. 强连通分量 +在有向图中, 强连通分量中的结点互达 +定义 ![](https://latex.codecogs.com/gif.latex?Grev) 为 ![](https://latex.codecogs.com/gif.latex?G) 中所有边反向后的图 + +将图分解成强连通分量的算法 +在 Grev 上根据 G 中结点的拓扑排序来 dfsVisit, 即 +```python +compute Grev +initalization +for v in topo-sort(G.V): + if not v.isFind: dfsVisit(Grev,v) +``` +然后得到的DFS 森林(也是递归树森林)中每个树就是一个强连通分量 + + +# 3. 最小生成树 +利用了贪心算法, +```python +Generate-Minimum-spanning-tree(G) + A = [] + while len(A)!=len(G.V)-1: + add a safe edge for A to A + return A +``` + +## 3.1. Kruskal 算法 +总体上, 从最开始 每个结点就是一颗树的森林中(不相交集合, 并查集), 逐渐添加不形成圈的(两个元素不再同一个集合),最小边权的边. +```python +edges=[] +for edge as u,v in sorted(G.E): + if find-set(u) != find-set(v): + edges.append(edge) + union(u,v) +return edges +``` +如果并查集的实现采用了 按秩合并与路径压缩技巧, 则 find 与 union 的时间接近常数 +所以时间复杂度在于排序边, 即 ![](https://latex.codecogs.com/gif.latex?O(ElgE)), 而 ![](https://latex.codecogs.com/gif.latex?E\lt&space;V^2), 所以 ![](https://latex.codecogs.com/gif.latex?lgE&space;=&space;O(lgV)), 时间复杂度为 ![](https://latex.codecogs.com/gif.latex?O(ElgV)) + +## 3.2. Prim 算法 +用了 BFS, 类似 Dijkstra 算法 +从根结点开始 BFS, 一直保持成一颗树 +```python +for v in V: + v.minAdjEdge = MAX + v.pre = None +root.minAdjEdge = 0 +que = priority-queue (G.V) # sort by minAdjEdge +while not que.isempty(): + u = que.extractMin() + for v in Adj(u): + if v in que and v.minAdjEdge>w(u,v): + v.pre = u + v.minAdjEdge = w(u,v) +``` +* 建堆 ![](https://latex.codecogs.com/gif.latex?O(V)) `//note it's v, not vlgv` +* 主循环中 + * extractMin: ![](https://latex.codecogs.com/gif.latex?O(VlgV)) + * in 操作 可以另设标志位, 在常数时间完成, 总共 ![](https://latex.codecogs.com/gif.latex?O(E)) + * 设置结点的 minAdjEdge, 需要![](https://latex.codecogs.com/gif.latex?O(lgv)), 循环 E 次,则 总共![](https://latex.codecogs.com/gif.latex?O(ElgV)) + +综上, 时间复杂度为![](https://latex.codecogs.com/gif.latex?O(ElgV)) +如果使用的是 [斐波那契堆](/fib-heap.html), 在 设置 minAdjEdge时 调用 `decrease-key`, 这个操作摊还代价为 ![](https://latex.codecogs.com/gif.latex?O(1)), 所以时间复杂度可改进到 ![](https://latex.codecogs.com/gif.latex?O(E+VlgV)) + + +# 4. 单源最短路 +求一个结点到其他结点的最短路径, 可以用 Bellman-ford算法, 或者 Dijkstra算法. +定义两个结点u,v间的最短路 +![](https://latex.codecogs.com/gif.latex?&space;\delta(u,v)&space;=&space;\begin{cases}&space;\min(w(path)),\quad&space;u\xrightarrow{path}&space;v\\&space;\infty,&space;\quad&space;u&space;rightarrow&space;v&space;\end{cases}&space;) +问题的变体 +* 单目的地最短路问题: 可以将所有边反向转换成求单源最短路问题 +* 单结点对的最短路径 +* 所有结点对最短路路径 + + +## 4.1. 最短路的子路径也是最短路径 +![](https://latex.codecogs.com/gif.latex?p=(v_0,v_1,\ldots,v_k))为从结点![](https://latex.codecogs.com/gif.latex?v_0)到![](https://latex.codecogs.com/gif.latex?v_k)的一条最短路径, 对于任意![](https://latex.codecogs.com/gif.latex?0\le&space;i\le&space;j&space;\le&space;k), 记![](https://latex.codecogs.com/gif.latex?p_{ij}=(v_i,v_{i+1},\ldots,v_j))为 p 中 ![](https://latex.codecogs.com/gif.latex?v_i)到![](https://latex.codecogs.com/gif.latex?v_j)的子路径, 则 ![](https://latex.codecogs.com/gif.latex?p_{ij})为 ![](https://latex.codecogs.com/gif.latex?v_i)到![](https://latex.codecogs.com/gif.latex?v_j)的一条最短路径 + + +## 4.2. 负权重的边 +Dijkstra 算法不能处理负值边, 只能用 Bellman-Ford 算法, +而且如果有负值圈, 则没有最短路, bellman-ford算法也可以检测出来 + +## 4.3. 初始化 +```python +def initialaize(G,s): + for v in G.V: + v.pre = None + v.distance = MAX + s.distance = 0 +``` + +## 4.4. 松弛操作 +```python +def relax(u,v,w): + if v.distance > u.distance + w: + v.distance = u.distance + w: + v.pre = u +``` +性质 +* 三角不等式: ![](https://latex.codecogs.com/gif.latex?\delta(s,v)&space;\leqslant&space;\delta(s,u)&space;+&space;w(u,v)) +* 上界: ![](https://latex.codecogs.com/gif.latex?v.distance&space;\geqslant&space;\delta(s,v)) +* 收敛: 对于某些结点u,v 如果s->...->u->v是图G中的一条最短路径,并且在对边,进行松弛前任意时间有 ![](https://latex.codecogs.com/gif.latex?u.distance=\delta(s,u))则在之后的所有时间有 ![](https://latex.codecogs.com/gif.latex?v.distance=\delta(s,v)) +* 路径松弛性质: 如果![](https://latex.codecogs.com/gif.latex?p=v_0&space;v_1&space;\ldots&space;v_k)是从源结点下v0到结点vk的一条最短路径,并且对p中的边所进行松弛的次序为![](https://latex.codecogs.com/gif.latex?(v_0,v_1),(v_1,v_2),&space;\ldots&space;,(v_{k-1},v_k)), 则 ![](https://latex.codecogs.com/gif.latex?v_k.distance&space;=&space;\delta(s,v_k)) +该性质的成立与任何其他的松弛操作无关,即使这些松弛操作是与对p上的边所进行的松弛操作穿插进行的。 + +证明 +![](https://upload-images.jianshu.io/upload_images/7130568-424a6929bd389825.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +## 4.5. 有向无环图的单源最短路问题 +![](https://latex.codecogs.com/gif.latex?\Theta(V+E)) +```python +def dag-shortest-path(G,s): + initialize(G,s) + for u in topo-sort(G.V): + for v in Adj(v): + relax(u,v,w(u,v)) +``` + +## 4.6. Bellman-Ford 算法 +![](https://latex.codecogs.com/gif.latex?O(VE)) +```python +def bellman-ford(G,s): + initialize(G,s) + for ct in range(|V|-1): # v-1 times + for u,v as edge in E: + relax(u,v,w(u,v)) + for u,v as edge in E: + if v.distance > u.distance + w(u,v): + return False + return True +``` +第一个 for 循环就是进行松弛操作, 最后结果已经存储在 结点的distance 和 pre 属性中了, 第二个 for 循环利用三角不等式检查有不有负值圈. + +下面是证明该算法的正确性![](https://upload-images.jianshu.io/upload_images/7130568-f84e00ac35aadc81.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +## 4.7. Dijkstra 算法 +![](https://latex.codecogs.com/gif.latex?O(ElogV)), 要求不能有负值边 + +Dijkstra算法既类似于广度优先搜索(,也有点类似于计算最小生成树的Prim算法。它与广度优先搜索的类似点在于集合S对应的是广度优先搜索中的黑色结点集合:正如集合S中的结点的最短路径权重已经计算出来一样,在广度优先搜索中,黑色结点的正确的广度优先距离也已经计算出来。Dijkstra算法像Prim算法的地方是,两个算法都使用最小优先队列来寻找给定集合(Dijkstra算法中的S集合与Prim算法中逐步增长的树)之外的“最轻”结点,将该结点加入到集合里,并对位于集合外面的结点的权重进行相应调整。 + +```python +def dijkstra(G,s): + initialize(G,s) + paths=[] + q = priority-queue(G.V) # sort by distance + while not q.empty(): + u = q.extract-min() + paths.append(u) + for v in Adj(u): + relax(u,v,w(u,v)) +``` + + +# 5. 所有结点对的最短路问题 + +## 5.1. 矩阵乘法 +使用动态规划算法, 可以得到最短路径的结构 +设 ![](https://latex.codecogs.com/gif.latex?l_{ij}^{(m)})为从结点i 到结点 j 的至多包含 m 条边的任意路径的最小权重,当m = 0, 此时i=j, 则 为0, +可以得到递归定义 + ![](https://latex.codecogs.com/gif.latex?&space;l_{ij}^{(m)}&space;=\min(&space;l_{ij}^{(m-1)},&space;\min_{1\leqslant&space;k\leqslant&space;n}(&space;l_{ik}^{(m-1)}+w_{kj}))&space;=&space;\min_{1\leqslant&space;k\leqslant&space;n}(&space;l_{ik}^{(m-1)}+w_{kj}))&space;) +由于对于所有 j, 有 ![](https://latex.codecogs.com/gif.latex?w_{jj}=0),所以上式后面的等式成立. + +由于是简单路径, 则包含的边最多为 |V|-1 条, 所以 +![](https://latex.codecogs.com/gif.latex?&space;\delta(i,j)&space;=&space;l_{ij}^{(|V|-1)}&space;=&space;l_{ij}^{(|V|)}&space;=l_{ij}^{(|V|&space;+&space;1)}=&space;...&space;) +所以可以从自底向上计算, 如下 +输入权值矩阵 ![](https://latex.codecogs.com/gif.latex?W(w_{ij})),&space;L^{(m-1)}),输出![](https://latex.codecogs.com/gif.latex?L^{(m)}), 其中 ![](https://latex.codecogs.com/gif.latex?L^{(1)}&space;=&space;W), +```python +def f(L, W): + n = L.rows + L_new = new matrix(row=n ,col = n) + for i in range(n): + for j in range(n): + L_new[i][j] = MAX + for k in range(n): + L_new[i][j] = min(L_new[i][j], L[i][k]+w[k][j]) + return L_new +``` +可以看出该算法与矩阵乘法的关系 +![](https://latex.codecogs.com/gif.latex?L^{(m)}&space;=&space;W^m), +所以可以直接计算乘法, 每次计算一个乘积是 ![](https://latex.codecogs.com/gif.latex?O(V^3)), 计算 V 次, 所以总体 ![](https://latex.codecogs.com/gif.latex?O(V^4)), 使用矩阵快速幂可以将时间复杂度降低为![](https://latex.codecogs.com/gif.latex?O(V^3lgV)) +```python +def f(W): + L = W + i = 1 + while i +## 5.2. Floyd-Warshall 算法 +同样要求可以存在负权边, 但不能有负值圈. 用动态规划算法: +设 ![](https://latex.codecogs.com/gif.latex?d_{ij}^{(k)}) 为 从 i 到 j 所有中间结点来自集合 ![](https://latex.codecogs.com/gif.latex?{\{1,2,\ldots,k\}}) 的一条最短路径的权重. 则有 +![](https://latex.codecogs.com/gif.latex?&space;d_{ij}^{(k)}&space;=&space;\begin{cases}&space;w_{ij},\quad&space;k=0\\&space;min(d_{ij}^{(k-1)},d_{ik}^{(k-1)}+d_{kj}^{(k-1)}),\quad&space;k\geqslant&space;1&space;\end{cases}&space;) +而且为了找出路径, 需要记录前驱结点, 定义如下前驱矩阵 ![](https://latex.codecogs.com/gif.latex?\Pi), 设 ![](https://latex.codecogs.com/gif.latex?\pi_{ij}^{(k)}) 为 从 i 到 j 所有中间结点来自集合 ![](https://latex.codecogs.com/gif.latex?{\{1,2,\ldots,k\}}) 的最短路径上 j 的前驱结点 +则 +![](https://latex.codecogs.com/gif.latex?&space;\pi_{ij}^{(0)}&space;=&space;\begin{cases}&space;nil,\quad&space;i=j&space;\&space;or&space;\&space;w_{ij}=\infty&space;\\&space;i,&space;\quad&space;i&space;eq&space;j\&space;and&space;\&space;w_{ij}<\infty&space;\end{cases}&space;) +对 ![](https://latex.codecogs.com/gif.latex?k\geqslant&space;1) +![](https://latex.codecogs.com/gif.latex?&space;\pi_{ij}^{(k)}&space;=&space;\begin{cases}&space;\pi_{ij}^{(k-1)}&space;,\quad&space;d_{ij}^{(k-1)}\leqslant&space;d_{ik}^{(k-1)}+d_{kj}^{(k-1)}\\&space;\pi_{kj}^{(k-1)}&space;,\quad&space;otherwise&space;\end{cases}&space;) + +由此得出此算法 +```python +def floyd-warshall(W): + n = len(W) + D= W + initialize pre + for k in range(n): + pre2 = pre.copy() + for i in range(n): + for j in range(n) + if d[i][j] > d[i][k]+d[k][j]: + d[i][j] =d[i][k]+d[k][j] + pre2[i][j] = pre[k][j] + pre = pre2 +return d,pre +``` + +## 5.3. Johnson 算法 +思路是通过重新赋予权重, 将图中负权边转换为正权,然后就可以用 dijkstra 算法(要求是正值边)来计算一个结点到其他所有结点的, 然后对所有结点用dijkstra + +1. 首先构造一个新图 G' + 先将G拷贝到G', 再添加一个新结点 s, 添加 G.V条边, s 到G中顶点的, 权赋值为 0 +2. 用 Bellman-Ford 算法检查是否有负值圈, 如果没有, 同时求出 ![](https://latex.codecogs.com/gif.latex?\delta(s,v)&space;Recorded-as&space;h(v)) +3. 求新的非负值权, w'(u,v) = w(u,v)+h(u)-h(v) +4. 对所有结点在 新的权矩阵w'上 用 Dijkstra 算法 +![image.png](https://upload-images.jianshu.io/upload_images/7130568-6c2146ad64d692f3.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + +```python +JOHNSON (G, u) + +s = newNode +G' = G.copy() +G'.addNode(s) +for v in G.V: G'.addArc(s,v,w=0) + +if BELLMAN-FORD(G' , w, s) ==FALSE + error "the input graph contains a negative-weight cycle" + +for v in G'.V: + # computed by the bellman-ford algorithm, delta(s,v) is the shortest distance from s to v + h(v) = delta(s,v) +for edge(u,v) in G'.E: + w' = w(u,v)+h(u)-h(v) +d = matrix(n,n) +for u in G: + dijkstra(G,w',u) # compute delta' for all v in G.V + for v in G.V: + d[u][v] = delta'(u,v) + h(v)-h(u) +return d +``` + +# 6. 最大流 +G 是弱连通严格有向加权图, s为源, t 为汇, 每条边e容量 c(e), 由此定义了网络N(G,s,t,c(e)), +* 流函数 ![](https://latex.codecogs.com/gif.latex?f(e):E&space;\rightarrow&space;R) +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;(1)\quad&space;&&space;0\leqslant&space;f(e)&space;\leqslant&space;c(e),\quad&space;e&space;\in&space;E\\&space;(2)\quad&space;&&space;\sum_{e\in&space;\alpha(v)}&space;f(e)=&space;\sum_{e\in&space;\beta(v)}f(e),\quad&space;v&space;\in&space;V-\{s,t\}&space;\end{aligned}&space;) +其中 ![](https://latex.codecogs.com/gif.latex?\alpha(v)) 是以 v 为头的边集, ![](https://latex.codecogs.com/gif.latex?\beta(v))是以 v 为尾的边集 +* 流量: ![](https://latex.codecogs.com/gif.latex?F&space;=&space;\sum_{e\in&space;\alpha(t)}&space;f(e)-&space;\sum_{e\in&space;-\beta(t)}f(e),) +* 截![](https://latex.codecogs.com/gif.latex?(S,\overline&space;S)): ![](https://latex.codecogs.com/gif.latex?S\subset&space;V,s\in&space;S,&space;t\in&space;\overline&space;S&space;=V-S) +* 截量![](https://latex.codecogs.com/gif.latex?C(S)&space;=&space;\sum_{e\in(S,\overline&space;S)}c(e)) + +## 6.1. 最大流最小截定理 +<<图论>> 王树禾[^2] +* 对于任一截![](https://latex.codecogs.com/gif.latex?(S,\overline&space;S)), 有 ![](https://latex.codecogs.com/gif.latex?F&space;=&space;\sum_{e\in&space;(S,\overline&space;S)}&space;f(e)-&space;\sum_{e\in(\overline&space;S,S)}f(e),) +![prove](https://upload-images.jianshu.io/upload_images/7130568-19bf6cc3c7d6ce06.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) +* ![](https://latex.codecogs.com/gif.latex?F\leqslant&space;C(S)) +证明: 由上面定理 + ![](https://latex.codecogs.com/gif.latex?F&space;=&space;\sum_{e\in&space;(S,\overline&space;S)}&space;f(e)-&space;\sum_{e\in(\overline&space;S,S)}f(e),) +而 ![](https://latex.codecogs.com/gif.latex?0\leqslant&space;f(e)&space;\leqslant&space;c(e)), 则 +![](https://latex.codecogs.com/gif.latex?F\leqslant&space;\sum_{e\in&space;(S,\overline&space;S)}&space;f(e)&space;\leqslant&space;\sum_{e\in&space;(S,\overline&space;S)}&space;c(e)&space;=&space;C(S)) +* 最大流,最小截: 若![](https://latex.codecogs.com/gif.latex?F=&space;C(S)), 则F'是最大流量, C(S) 是最小截量 + +## 6.2. 多个源,汇 +可以新增一个总的源,一个总的汇, +![](https://upload-images.jianshu.io/upload_images/7130568-3e9e87fdf9655883.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +## 6.3. Ford-Fulkerson 方法 +由于其实现可以有不同的运行时间, 所以称其为方法, 而不是算法. +思路是 循环增加流的值, 在一个关联的"残存网络" 中寻找一条"增广路径", 然后对这些边进行修改流量. 重复直至残存网络上不再存在增高路径为止. +```python +def ford-fulkerson(G,s,t): + initialize flow f to 0 + while exists an augmenting path p in residual network Gf: + augment flow f along p + return f +``` + +### 6.3.1. 残存网络 +![](https://upload-images.jianshu.io/upload_images/7130568-c74a571b9121dbbf.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + + +### 6.3.2. 增广路径 +![](https://upload-images.jianshu.io/upload_images/7130568-b9e841cfa4d04b57.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + +### 6.3.3. 割 +![](https://upload-images.jianshu.io/upload_images/7130568-74b065e86eb285b7.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) + +## 6.4. 基本的 Ford-Fulkerson算法 +```python +def ford-fulkerson(G,s,t): + for edge in G.E: edge.f = 0 + while exists path p:s->t in Gf: + cf(p) = min{cf(u,v):(u,v) is in p} + for edge in p: + if edge in E: + edge.f +=cf(p) + else: reverse_edge.f -=cf(p) +``` + + +## 6.5. TBD + + +# 7. 参考资料 +[^1]: 算法导论 +[^2]: 图论, 王树禾 diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/hashTable.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/hashTable.md" index 0e80135..7192d64 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/hashTable.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/hashTable.md" @@ -29,7 +29,7 @@ description: "散列表的原理与实现, 包括直接寻址, 链接法, 开放 -哈希表 (hash table) , 可以实现 $O(1)$ 的 read, write, update +哈希表 (hash table) , 可以实现 ![](https://latex.codecogs.com/gif.latex?O(1)) 的 read, write, update 相对应 python 中的 dict, c语言中的 map 其实数组也能实现, 只是数组用来索引的关键字是下标, 是整数. @@ -43,7 +43,7 @@ description: "散列表的原理与实现, 包括直接寻址, 链接法, 开放 # 2. 映射 ## 2.1. 散列函数(hash) -将关键字 k 进行映射, 映射函数 $h$, 映射后的数组地址 $h(k)$. +将关键字 k 进行映射, 映射函数 ![](https://latex.codecogs.com/gif.latex?h), 映射后的数组地址 ![](https://latex.codecogs.com/gif.latex?h(k)). ### 2.1.1. 简单一致散列 @@ -53,14 +53,7 @@ description: "散列表的原理与实现, 包括直接寻址, 链接法, 开放 好的散列函数应 满足简单一致假设 例如 -$$ -\begin{aligned} -&(1) \text{除法散列} \quad h(k) = k \ mod\ m \\ -&(2) \text{乘法散列} \quad h(k) = \lfloor {m(kA \ mod\ 1)\rfloor} \text{,(0< A< 1)}\\ -&\quad\text{任何 A 都适用,最佳的选择与散列的数据特征有关.}\\ -&\quad\text{ Knuth 认为,最理想的是黄金分割数}\frac{\sqrt{5} -1}{2} \approx 0.618 -\end{aligned} -$$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;&(1)&space;\text{Division-hash}&space;\quad&space;h(k)&space;=&space;k&space;\&space;mod\&space;m&space;\\&space;&(2)&space;\text{Multiplication-hash}&space;\quad&space;h(k)&space;=&space;\lfloor&space;{m(kA&space;\&space;mod\&space;1)\rfloor}&space;\text{,(0<&space;A<&space;1)}\\&space;&\quad\text{Any-All-Access-Pass&space;A&space;Both-apply,The-best-choice-is-related-to-the-hashed-data-characteristics.}\\&space;&\quad\text{&space;Knuth&space;Holding:,The-most-ideal-is-the-number-of-golden-sections.}\frac{\sqrt{5}&space;-1}{2}&space;\approx&space;0.618&space;\end{aligned}&space;) ### 2.1.2. 碰撞(collision) @@ -78,7 +71,7 @@ $$ ## 2.2. 直接寻址法 -将关键字直接对应到数组地址, 即 $h(k)=k$ +将关键字直接对应到数组地址, 即 ![](https://latex.codecogs.com/gif.latex?h(k)=k) 缺点: 如果关键字值域范围大, 但是数量小, 就会浪费空间, 有可能还不能储存这么大的值域范围. @@ -92,10 +85,10 @@ $$ -记有 m 个链表, n 个元素 $\alpha = \frac{n}{m}$ 为每个链表的期望元素个数(长度) +记有 m 个链表, n 个元素 ![](https://latex.codecogs.com/gif.latex?\alpha&space;=&space;\frac{n}{m}) 为每个链表的期望元素个数(长度) -则查找成功,或者不成功的时间复杂度为 $\Theta(1+\alpha)$ -如果 $n=O(m), namely \quad \alpha=\frac{O(m)}{m}=O(1)$, 则上面的链接法满足 $O(1)$的速度 +则查找成功,或者不成功的时间复杂度为 ![](https://latex.codecogs.com/gif.latex?\Theta(1+\alpha)) +如果 ![](https://latex.codecogs.com/gif.latex?n=O(m),&space;namely&space;\quad&space;\alpha=\frac{O(m)}{m}=O(1)), 则上面的链接法满足 ![](https://latex.codecogs.com/gif.latex?O(1))的速度 @@ -104,41 +97,39 @@ $$ 随机地选择散列函数, 使之独立于要存储的关键字 #### 2.3.1.1. 定义 -设一组散列函数 $H=\{h_1,h_2,\ldots,h_i\}$, 将 关键字域 U 映射到 $\{0,1,\ldots,m-1\}$ , 全域的函数组, 满足 -$$ -for \ k \neq l \ \in U, h(k) = h(l), \text{这样的 h 的个数不超过}\frac{|H|}{m} -$$ -即从 H 中任选一个散列函数, 当关键字不相等时, 发生碰撞的概率不超过 $\frac{1}{m}$ +设一组散列函数 ![](https://latex.codecogs.com/gif.latex?H=\{h_1,h_2,\ldots,h_i\}), 将 关键字域 U 映射到 ![](https://latex.codecogs.com/gif.latex?\{0,1,\ldots,m-1\}) , 全域的函数组, 满足 +![](https://latex.codecogs.com/gif.latex?&space;for&space;\&space;k&space;eq&space;l&space;\&space;\in&space;U,&space;h(k)&space;=&space;h(l),&space;\text{Such&space;h&space;The-number-does-not-exceed}\frac{|H|}{m}&space;) +即从 H 中任选一个散列函数, 当关键字不相等时, 发生碰撞的概率不超过 ![](https://latex.codecogs.com/gif.latex?\frac{1}{m}) #### 2.3.1.2. 性质 -对于 m 个槽位的表, 只需 $\Theta(n)$的期望时间来处理 n 个元素的 insert, search, delete,其中 有$O(m)$个insert 操作 +对于 m 个槽位的表, 只需 ![](https://latex.codecogs.com/gif.latex?\Theta(n))的期望时间来处理 n 个元素的 insert, search, delete,其中 有![](https://latex.codecogs.com/gif.latex?O(m))个insert 操作 #### 2.3.1.3. 实现 -选择足够大的 prime p, 记 $Z_p=\{0,1,\ldots,p-1\}$, $Z_p^{*}=\{1,\ldots,p-1\}$ -令$h_{a,b}(k) = ((ak+b)mod\ p) mod\ m$ -则 $H_{p,m}=\{h_{a,b}|a\in Z_p^{*},b\in Z_p\}$ +选择足够大的 prime p, 记 ![](https://latex.codecogs.com/gif.latex?Z_p=\{0,1,\ldots,p-1\}), ![](https://latex.codecogs.com/gif.latex?Z_p^{*}=\{1,\ldots,p-1\}) +令![](https://latex.codecogs.com/gif.latex?h_{a,b}(k)&space;=&space;((ak+b)mod\&space;p)&space;mod\&space;m) +则 ![](https://latex.codecogs.com/gif.latex?H_{p,m}=\{h_{a,b}|a\in&space;Z_p^{*},b\in&space;Z_p\}) -每一个散列函数 $h\_{a,b}$ 都将 $Z_p$ 映射到 $Z_m$, m 可以是任意的, 不用是一个素数 +每一个散列函数 ![](https://latex.codecogs.com/gif.latex?h\_{a,b}) 都将 ![](https://latex.codecogs.com/gif.latex?Z_p) 映射到 ![](https://latex.codecogs.com/gif.latex?Z_m), m 可以是任意的, 不用是一个素数 ## 2.4. 开放寻址法 所有表项都在散列表中, 没有链表. -且散列表装载因子$\alpha=\frac{n}{m}\leqslant1$ +且散列表装载因子![](https://latex.codecogs.com/gif.latex?\alpha=\frac{n}{m}\leqslant1) 这里散列函数再接受一个参数, 作为探测序号 -逐一试探 $h(k,0),h(k,1),\ldots,h(k,m-1)$,这要有满足的,就插入, 不再计算后面的 hash值 +逐一试探 ![](https://latex.codecogs.com/gif.latex?h(k,0),h(k,1),\ldots,h(k,m-1)),这要有满足的,就插入, 不再计算后面的 hash值 探测序列一般分有三种 -* 线性$\ 0,1,\ldots,m-1$ +* 线性![](https://latex.codecogs.com/gif.latex?\&space;0,1,\ldots,m-1) 存在一次聚集问题 -* 二次$\ 0,1,\ldots,(m-1)^2$ +* 二次![](https://latex.codecogs.com/gif.latex?\&space;0,1,\ldots,(m-1)^2) 存在二次聚集问题 * 双重探查 -$h(k,i) = (h_1(k)+i*h_2(k))mod\ m$ +![](https://latex.codecogs.com/gif.latex?h(k,i)&space;=&space;(h_1(k)+i*h_2(k))mod\&space;m) 为了能查找整个表, 即要为模 m 的完系, 则 h_2(k)要与 m 互质. -如可以取 $h_1(k) = k\ mod \ m,h_2(k) = 1+(k\ mod\ {m-1})$ +如可以取 ![](https://latex.codecogs.com/gif.latex?h_1(k)&space;=&space;k\&space;mod&space;\&space;m,h_2(k)&space;=&space;1+(k\&space;mod\&space;{m-1})) @@ -146,32 +137,24 @@ $h(k,i) = (h_1(k)+i*h_2(k))mod\ m$ ### 2.4.1. 不成功查找的探查数的期望 -对于开放寻址散列表,且 $\alpha<1$,一次不成功的查找,是这样的: 已经装填了 n 个, 总共有m 个,则空槽有 m-n 个. +对于开放寻址散列表,且 ![](https://latex.codecogs.com/gif.latex?\alpha<1),一次不成功的查找,是这样的: 已经装填了 n 个, 总共有m 个,则空槽有 m-n 个. 不成功的探查是这样的: 一直探查到已经装填的元素(但是不是要找的元素), 直到遇到没有装填的空槽. 所以这服从几何分布, 即 -$$ -p(\text{不成功探查})=p(\text{第一次找到空槽})=\frac{m-n}{m} -$$ +![](https://latex.codecogs.com/gif.latex?&space;p(\text{Unsuccessful-exploration})=p(\text{Find-the-empty-slot-for-the-first-time})=\frac{m-n}{m}&space;) 有 - $$ E(\text{探查数})=\frac{1}{p}\leqslant \frac{1}{1-\alpha}$$ + ![](https://latex.codecogs.com/gif.latex?E(\text{Probe-number})=\frac{1}{p}\leqslant&space;\frac{1}{1-\alpha}) ![](https://upload-images.jianshu.io/upload_images/7130568-8d659aa8fe7de1a9.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) #### 2.4.1.1. 插入探查数的期望 -所以, 插入一个关键字, 也最多需要 $\frac{1}{1-\alpha}$次, 因为插入过程就是前面都是被占用了的槽, 最后遇到一个空槽.与探查不成功是一样的过程 +所以, 插入一个关键字, 也最多需要 ![](https://latex.codecogs.com/gif.latex?\frac{1}{1-\alpha})次, 因为插入过程就是前面都是被占用了的槽, 最后遇到一个空槽.与探查不成功是一样的过程 #### 2.4.1.2. 成功查找的探查数的期望 -成功查找的探查过程与插入是一样的. 所以查找关键字 k 相当于 插入它, 设为第 i+1 个插入的(前面插入了i个,装载因子$\alpha=\frac{i}{m}$. 那么期望探查数就是 -$$\frac{1}{1-\alpha}=\frac{1}{1-\frac{i}{m}}=\frac{m}{m-i}$$ +成功查找的探查过程与插入是一样的. 所以查找关键字 k 相当于 插入它, 设为第 i+1 个插入的(前面插入了i个,装载因子![](https://latex.codecogs.com/gif.latex?\alpha=\frac{i}{m}). 那么期望探查数就是 +![](https://latex.codecogs.com/gif.latex?\frac{1}{1-\alpha}=\frac{1}{1-\frac{i}{m}}=\frac{m}{m-i}) 则成功查找的期望探查数为 -$$ -\begin{aligned} -\frac{1}{n}\sum_{i=0}^{n-1}\frac{m}{m-i}=\frac{m}{n}\sum_{i=0}^{n-1}\frac{1}{m-i} &= \frac{m}{n}\sum_{i=m-n+1}^{m}\frac{1}{i}\\ -&\leqslant \frac{1}{\alpha} \int_{m-n}^m\frac{1}{x}dx\\ -&=\frac{1}{\alpha}ln\frac{1}{1-\alpha} -\end{aligned} -$$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;\frac{1}{n}\sum_{i=0}^{n-1}\frac{m}{m-i}=\frac{m}{n}\sum_{i=0}^{n-1}\frac{1}{m-i}&space;&=&space;\frac{m}{n}\sum_{i=m-n+1}^{m}\frac{1}{i}\\&space;&\leqslant&space;\frac{1}{\alpha}&space;\int_{m-n}^m\frac{1}{x}dx\\&space;&=\frac{1}{\alpha}ln\frac{1}{1-\alpha}&space;\end{aligned}&space;) 代码 diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/number-theory.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/number-theory.md" index fd5d834..f490625 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/number-theory.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/number-theory.md" @@ -25,7 +25,7 @@ description: "bezouts-identity, primality_test, miller-rabin, prime-sieve, polla ## 0.1. gcd, co-primes `gcd` is short for `greatest common divisor` -If `a`,`b` are co-primes, we denote as $(a,b)=1, \text{which means } gcd(a,b)=1 $ +If `a`,`b` are co-primes, we denote as ![](https://latex.codecogs.com/gif.latex?(a,b)=1,&space;\text{which&space;means&space;}&space;gcd(a,b)=1) We can use `Euclid algorithm` to calculate `gcd` of two numbers. ```python def gcd(a,b): @@ -87,8 +87,8 @@ def twoDivideFind(x,li): Just like the Fermat and Solovay–Strassen tests, the Miller–Rabin test relies on an equality or set of equalities that hold true for prime values, then checks whether or not they hold for a number that we want to test for primality. First, a [lemma](https://en.wikipedia.org/wiki/Lemma_(mathematics) "Lemma (mathematics)") about square [roots of unity](https://en.wikipedia.org/wiki/Root_of_unity "Root of unity") in the [finite field](https://en.wikipedia.org/wiki/Finite_field "Finite field") **Z**/*p***Z**, where *p* is prime and *p* > 2\. Certainly 1 and −1 always yield 1 when squared modulo *p*; call these [trivial](https://en.wikipedia.org/wiki/Trivial_(mathematics) "Trivial (mathematics)") [square roots](https://en.wikipedia.org/wiki/Square_root "Square root") of 1\. There are no *nontrivial* square roots of 1 modulo *p* (a special case of the result that, in a field, a [polynomial](https://en.wikipedia.org/wiki/Polynomial "Polynomial") has no more zeroes than its degree). To show this, suppose that *x* is a square root of 1 modulo *p*. Then: -$$ x^2\equiv1\ (mod\ p)$$ -$$(x-1)(x+1) \equiv 0\ (mod\ p)$$ +![](https://latex.codecogs.com/gif.latex?x^2\equiv1\&space;(mod\&space;p)) +![](https://latex.codecogs.com/gif.latex?(x-1)(x+1)&space;\equiv&space;0\&space;(mod\&space;p)) @@ -96,22 +96,22 @@ In other words, prime *p* divides the product (*x* − 1)(*x* + 1). By [E Now, let *n* be prime, and odd, with *n* > 2\. It follows that *n* − 1 is even and we can write it as 2*s*·*d*, where *s* and *d* are positive integers and *d* is odd. For each *a* in (**Z**/*n***Z**)*, either -$$a^d\equiv 1\ (mod\ n)$$ +![](https://latex.codecogs.com/gif.latex?a^d\equiv&space;1\&space;(mod\&space;n)) or -$$a^{2^r*d}\equiv -1\ (mod\ n), \text{where } 0\le r*n*−1, we will get either 1 or −1\. If we get −1 then the second equality holds and it is done. If we never get −1, then when we have taken out every power of 2, we are left with the first equality. The Miller–Rabin primality test is based on the [contrapositive](https://en.wikipedia.org/wiki/Contrapositive "Contrapositive") of the above claim. That is, if we can find an *a* such that -$$a^d\not\equiv 1\ (mod\ n)$$ +![](https://latex.codecogs.com/gif.latex?a^d&space;ot\equiv&space;1\&space;(mod\&space;n)) and -$$a^{2^r*d}\not\equiv -1\ (mod\ n), \text{where } 0\le r ## 0.4. Euler function -Euler function, denoted as $\phi(n)$, mapping n as the number of number which is smaller than n and is the co-prime of n. +Euler function, denoted as ![](https://latex.codecogs.com/gif.latex?\phi(n)), mapping n as the number of number which is smaller than n and is the co-prime of n. -e.g.: $\phi(3)=2$ since 1,2 are coprimes of 3 and smaller than 3, $\phi(4)=2$ ,(1,3) +e.g.: ![](https://latex.codecogs.com/gif.latex?\phi(3)=2) since 1,2 are coprimes of 3 and smaller than 3, ![](https://latex.codecogs.com/gif.latex?\phi(4)=2) ,(1,3) Euler function is a kind of productive function and has two properties as follows: -1. $\phi(p^k) = p^k-p^{k-1}$, where p is a prime -2. $\phi(mn) = \phi(m)*\phi(n)$ where $(m,n)=1$ +1. ![](https://latex.codecogs.com/gif.latex?\phi(p^k)&space;=&space;p^k-p^{k-1}), where p is a prime +2. ![](https://latex.codecogs.com/gif.latex?\phi(mn)&space;=&space;\phi(m)*\phi(n)) where ![](https://latex.codecogs.com/gif.latex?(m,n)=1) -Thus, for every narural number *n*, we can evaluate $\phi(n)$ using the following method. +Thus, for every narural number *n*, we can evaluate ![](https://latex.codecogs.com/gif.latex?\phi(n)) using the following method. 1. factorize n: -$$n = \prod _{i=1}^{l} p_i^{k_i}$$, where $p_i$ is a prime and $k_i,l > 0$ . -2. calculate $\phi(n) $ using the two properties. - -$$ -\begin{aligned} -\phi(n) &=\phi( \prod _{i=1}^{l} p_i^{k_i}) \\ - &=\prod _{i=1}^{l} \phi( p_i^{k_i}) \\ - &=\prod _{i=1}^{l} ( p_i^{k_i}-p_i^{ {k_i}-1})\\ - &=\prod _{i=1}^{l}p_i^{k_i} \prod _{i=1}^{l} ( 1-\frac{1}{p_i})\\ - &=n \prod _{i=1}^{l} ( 1-\frac{1}{p_i})\\ -\end{aligned} -$$ - -And , $\sigma(n)$ represents the sum of all factors of n. -e.g. : $\sigma(9) = 1+3+9 = 14$ -$$ -\begin{aligned} -\sigma(n) &= \prod _{i=1}^{l} \sum_{j=0}^{k_i} p_i^j \\ - &=\prod _{i=1}^{l} \frac{p_i^{k_i+1}-1}{p_i-1}\\ -\end{aligned} -$$ - -A `perfect number` _n_ is defined as $\sigma(n) = 2n$ +![](https://latex.codecogs.com/gif.latex?n&space;=&space;\prod&space;_{i=1}^{l}&space;p_i^{k_i}), where ![](https://latex.codecogs.com/gif.latex?p_i) is a prime and ![](https://latex.codecogs.com/gif.latex?k_i,l&space;>&space;0) . +2. calculate ![](https://latex.codecogs.com/gif.latex?\phi(n)) using the two properties. + +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;\phi(n)&space;&=\phi(&space;\prod&space;_{i=1}^{l}&space;p_i^{k_i})&space;\\&space;&=\prod&space;_{i=1}^{l}&space;\phi(&space;p_i^{k_i})&space;\\&space;&=\prod&space;_{i=1}^{l}&space;(&space;p_i^{k_i}-p_i^{&space;{k_i}-1})\\&space;&=\prod&space;_{i=1}^{l}p_i^{k_i}&space;\prod&space;_{i=1}^{l}&space;(&space;1-\frac{1}{p_i})\\&space;&=n&space;\prod&space;_{i=1}^{l}&space;(&space;1-\frac{1}{p_i})\\&space;\end{aligned}&space;) + +And , ![](https://latex.codecogs.com/gif.latex?\sigma(n)) represents the sum of all factors of n. +e.g. : ![](https://latex.codecogs.com/gif.latex?\sigma(9)&space;=&space;1+3+9&space;=&space;14) +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;\sigma(n)&space;&=&space;\prod&space;_{i=1}^{l}&space;\sum_{j=0}^{k_i}&space;p_i^j&space;\\&space;&=\prod&space;_{i=1}^{l}&space;\frac{p_i^{k_i+1}-1}{p_i-1}\\&space;\end{aligned}&space;) + +A `perfect number` _n_ is defined as ![](https://latex.codecogs.com/gif.latex?\sigma(n)&space;=&space;2n) The following is the implementation of this two functions. ```python @@ -280,7 +267,7 @@ def sigma(n): ## 0.5. Modulo equation The following codes can solve a linear, group modulo equation. More details and explanations will be supplied if I am not too busy. -Note that I use `--` to represent $\equiv$ in the python codes. +Note that I use `--` to represent ![](https://latex.codecogs.com/gif.latex?\equiv) in the python codes. ![](https://upload-images.jianshu.io/upload_images/7130568-be31bdaf6b67f883.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/red-black-tree.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/red-black-tree.md" index af7df43..f718870 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/red-black-tree.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/red-black-tree.md" @@ -63,24 +63,24 @@ description: "红黑树的原理与实现, 包括插入, 删除, 以及数据结 ## 1.3. 黑高度 -从某个结点 x 到叶结点的黑色结点数,称为此结点的黑高度, 记为 $h_b(x)$ +从某个结点 x 到叶结点的黑色结点数,称为此结点的黑高度, 记为 ![](https://latex.codecogs.com/gif.latex?h_b(x)) 树的黑高度是根的黑高度 ->1. 以 x 为 根的子树至少包含 $2^{h_b(x)}-1$个结点 ->2. 一颗有 n 个内结点的红黑树高度至多为$2lg(n+1)$ +>1. 以 x 为 根的子树至少包含 ![](https://latex.codecogs.com/gif.latex?2^{h_b(x)}-1)个结点 +>2. 一颗有 n 个内结点的红黑树高度至多为![](https://latex.codecogs.com/gif.latex?2lg(n+1)) 可用归纳法证明1 证明 2: 设树高 h -由红黑性质4, 根结点到叶子路径上的黑结点数至少 $\frac{h}{2}$,即 $h_b(root)\geqslant \frac{h}{2}$ +由红黑性质4, 根结点到叶子路径上的黑结点数至少 ![](https://latex.codecogs.com/gif.latex?\frac{h}{2}),即 ![](https://latex.codecogs.com/gif.latex?h_b(root)\geqslant&space;\frac{h}{2}) 再由1, -$$n \geqslant 2^{h_b(x)} -1 \geqslant 2^{\frac{h}{2}} -1$$ +![](https://latex.codecogs.com/gif.latex?n&space;\geqslant&space;2^{h_b(x)}&space;-1&space;\geqslant&space;2^{\frac{h}{2}}&space;-1) -即 $ h\leqslant 2lg(n+1)$ +即 ![](https://latex.codecogs.com/gif.latex?h\leqslant&space;2lg(n+1)) # 2. 旋转 -由于上面证明的红黑树高为 $O(logn)$,红黑树的 insert, delete, search 等操作都是, $O(logn)$. +由于上面证明的红黑树高为 ![](https://latex.codecogs.com/gif.latex?O(logn)),红黑树的 insert, delete, search 等操作都是, ![](https://latex.codecogs.com/gif.latex?O(logn)). 进行了 insert, delete 后可能破坏红黑性质, 可以通过旋转来保持. @@ -345,15 +345,15 @@ w 表示 x 的相抵. w 不能为 nil(因为 x 是双重黑色) # 5. 数据结构的扩张 ## 5.1. 平衡树的扩张 -通过在平衡树(如红黑树上的每个结点 加上 一个数据域 size (表示以此结点为根的子树的结点数.) 可以使`获得第 i 大的数` 的时间复杂度为 $O(logn)$ +通过在平衡树(如红黑树上的每个结点 加上 一个数据域 size (表示以此结点为根的子树的结点数.) 可以使`获得第 i 大的数` 的时间复杂度为 ![](https://latex.codecogs.com/gif.latex?O(logn)) -在 $O(n)$ 时间内建立, python代码如下 +在 ![](https://latex.codecogs.com/gif.latex?O(n)) 时间内建立, python代码如下 ```python def setSize(root): if root is None:return 0 root.size = setSize(root.left) + setSize(root.right)+1 ``` -在$O(logn)$时间查找, +在![](https://latex.codecogs.com/gif.latex?O(logn))时间查找, ```python def find(root,i): r = root.left.size +1 diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/sort.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/sort.md" index 3ae32b7..8748fd6 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/sort.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/sort.md" @@ -43,7 +43,7 @@ description: "七大排序算法" * 比较排序 如希尔排序,堆排序, 快速排序, 合并排序等 -可以证明 比较排序的下界 是 $\Omega(nlogn)$ +可以证明 比较排序的下界 是 ![](https://latex.codecogs.com/gif.latex?\Omega(nlogn)) * 非比较排序 @@ -52,7 +52,7 @@ description: "七大排序算法" # 1. 希尔排序(shellSort) -希尔排序是选择排序的改进, 通过在较远的距离进行交换, 可以更快的减少逆序数. 这个距离即增量, 由自己选择一组, 从大到小进行, 而且最后一个增量必须是 1. 要选得到好的性能, 一般选择$2^k-1$ +希尔排序是选择排序的改进, 通过在较远的距离进行交换, 可以更快的减少逆序数. 这个距离即增量, 由自己选择一组, 从大到小进行, 而且最后一个增量必须是 1. 要选得到好的性能, 一般选择![](https://latex.codecogs.com/gif.latex?2^k-1) ```pythonn def shellSort(s,inc = None): if inc is None: inc = [1,3,5,7,11,13,17,19] @@ -67,33 +67,26 @@ def shellSort(s,inc = None): s[cur] = s[j] return s ``` -可以证明 希尔排序时间复杂度可以达到$O(n^{\frac{4}{3}})$ +可以证明 希尔排序时间复杂度可以达到![](https://latex.codecogs.com/gif.latex?O(n^{\frac{4}{3}})) # 2. 堆排序(heapSort) ## 2.1. 建堆 是将一个数组(列表) heapify 的过程. 方法就是对每一个结点, 都自底向上的比较,然后操作,这个过程称为 上浮. 粗略的计算, 每个结点上浮的比较次数的上界是 层数, 即 logn, 则 n 个结点, 总的比较次数为 nlogn -但是可以发现, 不同高度 h 的结点比较的次数不同, 上界实际上应该是 $O(h)$,每层结点数上界 $\lfloor 2^h \rfloor$ +但是可以发现, 不同高度 h 的结点比较的次数不同, 上界实际上应该是 ![](https://latex.codecogs.com/gif.latex?O(h)),每层结点数上界 ![](https://latex.codecogs.com/gif.latex?\lfloor&space;2^h&space;\rfloor) 则 总比较次数为 -$$ -\begin{aligned} -\sum_{h=1}^{\lfloor{log_2 n}\rfloor} O(h)\lceil 2^{h} \rceil & = \sum_{h=0}^{ {log_2 n}-1} O(h\frac{n}{2^h})\\ - & = n*O(\sum_{h=0}^{log_2 n}\frac{h}{2^h}) \\ - & = n*O(1) \\ - & = O(n) -\end{aligned} -$$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;\sum_{h=1}^{\lfloor{log_2&space;n}\rfloor}&space;O(h)\lceil&space;2^{h}&space;\rceil&space;&&space;=&space;\sum_{h=0}^{&space;{log_2&space;n}-1}&space;O(h\frac{n}{2^h})\\&space;&&space;=&space;n*O(\sum_{h=0}^{log_2&space;n}\frac{h}{2^h})&space;\\&space;&&space;=&space;n*O(1)&space;\\&space;&&space;=&space;O(n)&space;\end{aligned}&space;) ## 2.2. 访问最元 -最大堆对应最大元,最小堆对于最小元, 可以 $O(1)$ 内实现 +最大堆对应最大元,最小堆对于最小元, 可以 ![](https://latex.codecogs.com/gif.latex?O(1)) 内实现 ## 2.3. 取出最元 最大堆取最大元,最小堆取最小元,由于元素取出了, 要进行调整. 从堆顶开始, 依次和其两个孩子比较, 如果是最大堆, 就将此结点(父亲)的值赋为较大的孩子的值,最小堆反之. 然后对那个孩子进行同样的操作,一直到达堆底,即最下面的一层. 这个过程称为 下滤. 最后将最后一个元素与最下面一层那个元素(与上一层交换的)交换, 再删除最后一个元素. -时间复杂度为 $O(logn)$ +时间复杂度为 ![](https://latex.codecogs.com/gif.latex?O(logn)) ## 2.4. 堆排序 建立堆之后, 一直进行 `取出最元`操作, 即得有序序列 @@ -269,16 +262,16 @@ def quickSort(lst): 快速排序性能取决于划分的对称性(即枢纽元的选择), 以及partition 的实现. 如果每次划分很对称(大概在当前序列的中位数为枢纽元), 则与合并算法一样快, 但是如果不对称,在渐近上就和插入算法一样慢 ### 3.3.1. 最坏情况 -试想,如果每次划分两个区域分别包含 n-1, 1则易知时间复杂度为 $\Theta(n^2)$, 此外, 如果输入序序列已经排好序,且枢纽元没选好, 比如选的端点, 则同样是这样复杂, 而此时插入排序只需 $O(n)$. +试想,如果每次划分两个区域分别包含 n-1, 1则易知时间复杂度为 ![](https://latex.codecogs.com/gif.latex?\Theta(n^2)), 此外, 如果输入序序列已经排好序,且枢纽元没选好, 比如选的端点, 则同样是这样复杂, 而此时插入排序只需 ![](https://latex.codecogs.com/gif.latex?O(n)). ### 3.3.2. 最佳情况 -有 $T(n) = 2T(\frac{n}{2})+\Theta(n)$ -则由主方法为$O(nlogn)$ +有 ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;2T(\frac{n}{2})+\Theta(n)) +则由主方法为![](https://latex.codecogs.com/gif.latex?O(nlogn)) ### 3.3.3. 平衡的划分 -如果每次 9:1, $T(n) = T(\frac{9n}{10})+T(\frac{n}{10})+\Theta(n)$ -用递归树求得在渐近上仍然是 $O(nlogn)$ +如果每次 9:1, ![](https://latex.codecogs.com/gif.latex?T(n)&space;=&space;T(\frac{9n}{10})+T(\frac{n}{10})+\Theta(n)) +用递归树求得在渐近上仍然是 ![](https://latex.codecogs.com/gif.latex?O(nlogn)) 所以任何比值 k:1, 都有如上的渐近时间复杂度 然而每次划分是不可能完全相同的 @@ -287,40 +280,27 @@ def quickSort(lst): ## 3.4. 期望运行时间 对于 randomized-quicksort, 即随机选择枢纽元 -设 n 个元素, 从小到大记为 $z_1,z_2,\ldots,z_n$,指示器变量 $X_{ij}$表示 $z_i,z_j$是否进行比较 +设 n 个元素, 从小到大记为 ![](https://latex.codecogs.com/gif.latex?z_1,z_2,\ldots,z_n),指示器变量 ![](https://latex.codecogs.com/gif.latex?X_{ij})表示 ![](https://latex.codecogs.com/gif.latex?z_i,z_j)是否进行比较 即 -$$ -X_{ij} = -\begin{cases} -1,\quad z_i,z_j\text{进行比较}\\ -0,\quad z_i,z_j\text{不进行比较} -\end{cases} -$$ +![](https://latex.codecogs.com/gif.latex?&space;X_{ij}&space;=&space;\begin{cases}&space;1,\quad&space;z_i,z_j\text{Making-Comparisons}\\&space;0,\quad&space;z_i,z_j\text{No-comparison}&space;\end{cases}&space;) 考察比较次数, 可以发现两个元素进行比较, 一定是一个是枢纽元的情况, 两个元素间不可能进行两次比较. -所有总的比较次数不超过,$\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}X_{ij}$ +所有总的比较次数不超过,![](https://latex.codecogs.com/gif.latex?\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}X_{ij}) 求均值 -$$E(\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}X_{ij})=\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}E(X_{ij})=\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}P(z_i,z_j\text{进行比较})$$ +![](https://latex.codecogs.com/gif.latex?E(\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}X_{ij})=\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}E(X_{ij})=\sum_{i=1}^{n-1}\sum_{j=i+1}^{n}P(z_i,z_j\text{Making-Comparisons})) -再分析,$z_i,z_j$ 在$Z_{ij} = \{z_i,z_{i+1},\ldots,z_j\} $中, 如果集合中的非此两元素,$z_k, i< k< j$作为了枢纽元, 则$z_k$将集合划分{z_i,z_{i+1},\ldots,z_{k-1}},{z_{k+1},\ldots,z_j}, 这两个集合中的元素都不会再和对方中的元素进行比较, -所以要使 $z_i,z_j$进行比较, 则两者之一(只能是一个,即互斥)是 $Z_{ij}$上的枢纽元 +再分析,![](https://latex.codecogs.com/gif.latex?z_i,z_j) 在![](https://latex.codecogs.com/gif.latex?Z_{ij}&space;=&space;\{z_i,z_{i+1},\ldots,z_j\})中, 如果集合中的非此两元素,![](https://latex.codecogs.com/gif.latex?z_k,&space;i<&space;k<&space;j)作为了枢纽元, 则![](https://latex.codecogs.com/gif.latex?z_k)将集合划分{z_i,z_{i+1},\ldots,z_{k-1}},{z_{k+1},\ldots,z_j}, 这两个集合中的元素都不会再和对方中的元素进行比较, +所以要使 ![](https://latex.codecogs.com/gif.latex?z_i,z_j)进行比较, 则两者之一(只能是一个,即互斥)是 ![](https://latex.codecogs.com/gif.latex?Z_{ij})上的枢纽元 则 -$$ -\begin{aligned} -P(z_i,z_j\text{进行比较}) & = P(z_i,z_j\text{做为}Z_{ij}\text{上的枢纽元}) \\ - & = P(z_j\text{做为}Z_{ij}\text{上的枢纽元})+P(z_i\text{做为}Z_{ij}\text{上的枢纽元})\\ - & = \frac{1}{j-i+1}+\frac{1}{j-i+1} -\\ & = \frac{2}{j-i+1}\\ -\end{aligned} -$$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;P(z_i,z_j\text{Making-Comparisons})&space;&&space;=&space;P(z_i,z_j\text{As}Z_{ij}\text{Hub-element})&space;\\&space;&&space;=&space;P(z_j\text{As}Z_{ij}\text{Hub-element})+P(z_i\text{As}Z_{ij}\text{Hub-element})\\&space;&&space;=&space;\frac{1}{j-i+1}+\frac{1}{j-i+1}&space;\\&space;&&space;=&space;\frac{2}{j-i+1}\\&space;\end{aligned}&space;) 注意第二步是因为两事件互斥才可以直接概率相加 然后就可以将此概率代入求期望比较次数了, -为 $O(nlogn)$ (由于是 O, 放缩一下就行) +为 ![](https://latex.codecogs.com/gif.latex?O(nlogn)) (由于是 O, 放缩一下就行) ## 3.5. 堆栈深度 -考察快速排序的堆栈深度,可以从递归树思考,实际上的堆栈变化过程就是前序访问二叉树, 所以深度为 $O(logn)$ +考察快速排序的堆栈深度,可以从递归树思考,实际上的堆栈变化过程就是前序访问二叉树, 所以深度为 ![](https://latex.codecogs.com/gif.latex?O(logn)) 为了减少深度, 可以进行 尾递归优化, 将函数返回前的递归通过迭代完成 ```python QUICKSORT(A,a,b) @@ -363,7 +343,7 @@ def countSort(lst,mn,mx): 由我们平时的直觉, 我们比较两个数时, 是从最高位比较起, 一位一位比较, 直到不相等时就能判断大小,或者相等(位数比完了). 基数排序有点不一样, 它是从低位比到高位, 这样才能把相同位有相同值的不同数排序. -对于 n 个数, 最高 d 位, 用下面的实现, 可时间复杂度为 $\Theta((n+d)*d)$ +对于 n 个数, 最高 d 位, 用下面的实现, 可时间复杂度为 ![](https://latex.codecogs.com/gif.latex?\Theta((n+d)*d)) ## 5.2. 实现 @@ -417,26 +397,18 @@ if __name__ == '__main__': 设有 n 个元素, 则设立 n 个桶 将各元素通过数值线性映射到桶地址, 类似 hash 链表. -然后在每个桶内, 进行插入排序($O(n_i^2)$) +然后在每个桶内, 进行插入排序(![](https://latex.codecogs.com/gif.latex?O(n_i^2))) 最后合并所有桶. -这里的特点是 n 个桶实现了 $\Theta(n)$的时间复杂度, 但是耗费的空间 为 $\Theta(n)$ +这里的特点是 n 个桶实现了 ![](https://latex.codecogs.com/gif.latex?\Theta(n))的时间复杂度, 但是耗费的空间 为 ![](https://latex.codecogs.com/gif.latex?\Theta(n)) 证明 -* 线性映射部分: $\Theta(n)$ -* 桶合并部分: $\Theta(n)$ -* 桶内插入排序部分: 设每个桶内的元素数为随机变量 $n_i$, 易知 $n_i \sim B(n,\frac{1}{n})$ 记 $p=\frac{1}{n}$ +* 线性映射部分: ![](https://latex.codecogs.com/gif.latex?\Theta(n)) +* 桶合并部分: ![](https://latex.codecogs.com/gif.latex?\Theta(n)) +* 桶内插入排序部分: 设每个桶内的元素数为随机变量 ![](https://latex.codecogs.com/gif.latex?n_i), 易知 ![](https://latex.codecogs.com/gif.latex?n_i&space;\sim&space;B(n,\frac{1}{n})) 记 ![](https://latex.codecogs.com/gif.latex?p=\frac{1}{n}) -$$ -\begin{aligned} -E(\sum_{i=1}^{n}n_i^2) &=\sum_{i=1}^{n}E(n_i^2) \\ -&=\sum_{i=1}^{n}( Var(n_i)+E^2(n_i) ) \\ -&= \sum_{i=1}^{n}( np(1-p)+ (np)^2 )\\ -&= \sum_{i=1}^{n}( 2-\frac{1}{n} )\\ -&= 2n-1 -\end{aligned} -$$ -将以上各部分加起来即得时间复杂度 $\Theta(n)$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;E(\sum_{i=1}^{n}n_i^2)&space;&=\sum_{i=1}^{n}E(n_i^2)&space;\\&space;&=\sum_{i=1}^{n}(&space;Var(n_i)+E^2(n_i)&space;)&space;\\&space;&=&space;\sum_{i=1}^{n}(&space;np(1-p)+&space;(np)^2&space;)\\&space;&=&space;\sum_{i=1}^{n}(&space;2-\frac{1}{n}&space;)\\&space;&=&space;2n-1&space;\end{aligned}&space;) +将以上各部分加起来即得时间复杂度 ![](https://latex.codecogs.com/gif.latex?\Theta(n)) @@ -445,11 +417,11 @@ $$ 输入个序列 lst, 以及一个数 i, 输出 lst 中 第 i 小的数,即从小到大排列第 i 解决方法 -* 全部排序, 取第 i 个, $O(nlogn)$ +* 全部排序, 取第 i 个, ![](https://latex.codecogs.com/gif.latex?O(nlogn)) * 长度为 i 的队列(这是得到 lst 中 前 -i 个元素的方法) 仍然 $O(nlogn)$ -* randomized-select(仿造快排) 平均情况$O(n)$,最坏情况同上(快排), $\Theta(n^2)$ +i 个元素的方法) 仍然 ![](https://latex.codecogs.com/gif.latex?O(nlogn)) +* randomized-select(仿造快排) 平均情况![](https://latex.codecogs.com/gif.latex?O(n)),最坏情况同上(快排), ![](https://latex.codecogs.com/gif.latex?\Theta(n^2)) ```python from random import randint diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/string-matching.md" "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/string-matching.md" index b67ab8b..f97e7d0 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/string-matching.md" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/notes/mbinary/string-matching.md" @@ -19,143 +19,25 @@ In this article, I will show you some kinds of popular string matching algorithm ## Rabin-Karp We can view a string of k characters (digits) as a length-k decimal number. E.g., the string “31425” corresponds to the decimal number 31,425. - Given a pattern P [1..m], let p denote the corresponding decimal value. -- Given a text T [1..n], let $t_s$ denote the decimal value of the length-m substring T [(s+1)..(s+m)] for s=0,1,…,(n-m). -- let `d` be the radix of num, thus $d = len(set(s))$ -- $t_s$ = p iff T [(s+1)..(s+m)] = P [1..m]. +- Given a text T [1..n], let ![](https://latex.codecogs.com/gif.latex?t_s) denote the decimal value of the length-m substring T [(s+1)..(s+m)] for s=0,1,…,(n-m). +- let `d` be the radix of num, thus ![](https://latex.codecogs.com/gif.latex?d&space;=&space;len(set(s))) +- ![](https://latex.codecogs.com/gif.latex?t_s) = p iff T [(s+1)..(s+m)] = P [1..m]. - p can be computed in O(m) time. p = P[m] + d\*(P[m-1] + d\*(P[m-2]+…)). -- $t_0$ can similarly be computed in O(m) time. -- Other $t_1,\ldots,t_{n-m}$ can be computed in O(n-m) time since $t_{s+1} can be computed from ts in constant time. - -Namely, -$$ +- ![](https://latex.codecogs.com/gif.latex?t_0) can similarly be computed in O(m) time. +- Other ![](https://latex.codecogs.com/gif.latex?t_1,\ldots,t_{n-m}) can be computed in O(n-m) time since ![](https://latex.codecogs.com/gif.latex?t_{s+1}&space;can&space;be&space;computed&space;from&space;ts&space;in&space;constant&space;time.&space;Namely,&space;) t_{s+1} = d*(t_s-d^{m-1} * T[s+1])+T[s+m+1] -$$ -However, it's no need to calculate $t_{s+1}$ directly. We can use modulus operation to reduce the work of caculation. - -We choose a small prime number. Eg 13 for radix( denoted as d) 10. -Generally, $d*q$ should fit within one computer word. - -We firstly caculate $t_0$ mod q. -Then, for every $t_i (i>1)$ -assume -$$ +![](https://latex.codecogs.com/gif.latex?&space;However,&space;it's&space;no&space;need&space;to&space;calculate)t_{s+1}![](https://latex.codecogs.com/gif.latex?directly.&space;We&space;can&space;use&space;modulus&space;operation&space;to&space;reduce&space;the&space;work&space;of&space;caculation.&space;We&space;choose&space;a&space;small&space;prime&space;number.&space;Eg&space;13&space;for&space;radix(&space;denoted&space;as&space;d)&space;10.&space;Generally,)d*q![](https://latex.codecogs.com/gif.latex?should&space;fit&space;within&space;one&space;computer&space;word.&space;We&space;firstly&space;caculate)t_0![](https://latex.codecogs.com/gif.latex?mod&space;q.&space;Then,&space;for&space;every)t_i (i>1)![](https://latex.codecogs.com/gif.latex?&space;assume&space;) t_{i-1} = T[i+m-1] + d*T[i+m-2]+\ldots+d^{m-1}*T[i-1] -$$ -denote $ d' = d^{m-1}\ mod\ q$ -thus, -$$ +![](https://latex.codecogs.com/gif.latex?&space;denote) d' = d^{m-1}\ mod\ q![](https://latex.codecogs.com/gif.latex?&space;thus,&space;) \begin{aligned} t_i &= (t_{i-1} - d^{m-1}*T[i-1]) * d + T[i+m]\\ &\equiv (t_{i-1} - d^{m-1}*T[i-1]) * d + T[i+m] (mod\ q)\\ &\equiv (t_{i-1}- ( d^{m-1} mod \ q) *T[i-1]) * d + T[i+m] (mod\ q)\\ &\equiv (t_{i-1}- d'*T[i-1]) * d + T[i+m] (mod\ q) \end{aligned} -$$ - -So we can compare the modular value of each $t_i$ with p's. -Only if they are the same, then we compare the origin chracters, namely -$$T[i],T[i+1],\ldots,T[i+m-1]$$ -and the pattern characters. -Gernerally, this algorithm's time approximation is O(n+m), and the worst case is O((n-m+1)*m) - -**Problem: this is assuming p and $t_s$ are small numbers. They may be too large to work with easily.** - - -python implementation -```python -#coding: utf-8 -''' mbinary -######################################################################### -# File : rabin_karp.py -# Author: mbinary -# Mail: zhuheqin1@gmail.com -# Blog: https://mbinary.github.io -# Github: https://github.com/mbinary -# Created Time: 2018-12-11 00:01 -# Description: rabin-karp algorithm -######################################################################### -''' - -def isPrime(x): - for i in range(2,int(x**0.5)+1): - if x%i==0:return False - return True -def getPrime(x): - '''return a prime which is bigger than x''' - for i in range(x,2*x): - if isPrime(i):return i -def findAll(s,p): - '''s: string p: pattern''' - dic={} - n,m = len(s),len(p) - d=0 #radix - for c in s: - if c not in dic: - dic[c]=d - d+=1 - sm = 0 - for c in p: - if c not in dic:return [] - sm = sm*d+dic[c] - - ret = [] - cur = 0 - for i in range(m): cur=cur*d + dic[s[i]] - if cur==sm:ret.append(0) - tmp = n-m - q = getPrime(m) - cur = cur%q - sm = sm%q - exp = d**(m-1) % q - for i in range(m,n): - cur = ((cur-dic[s[i-m]]*exp)*d+dic[s[i]]) % q - if cur == sm and p==s[i-m+1:i+1]: - ret.append(i-m+1) - return ret - -def randStr(n=3): - return [randint(ord('a'),ord('z')) for i in range(n)] - -if __name__ =='__main__': - from random import randint - s = randStr(50) - p = randStr(1) - print(s) - print(p) - print(findAll(s,p)) -``` -## FSM -A FSM can be represented as $(Q,q_0,A,S,C)$, where -- Q is the set of all states -- $q_0$ is the start state -- $A\in Q$ is a set of accepting states. -- S is a finite input alphabet. -- C is the set of transition functions: namely $q_j = c(s,q_i)$. - -Given a pattern string S, we can build a FSM for string matching. -Assume S has m chars, and there should be m+1 states. One is for the begin state, and the others are for matching state of each position of S. - -Once we have built the FSM, we can run it on any input string. -## KMP ->Knuth-Morris-Pratt method - -The idea is inspired by FSM. We can avoid computing the transition functions. Instead, we compute a prefix function P in O(m) time, which has only m entries. -> Prefix funtion stores info about how the pattern matches against shifts of itself. - -- String w is a prefix of string x, if x=wy for some string y -- String w is a suffix of string x, if x=yw for some string y -- The k-character prefix of the pattern P [1..m] denoted by Pk. -- Given that pattern prefix P [1..q] matches text characters T [(s+1)..(s+q)], what is the least shift s'> s such that P [1..k] = T [(s'+1)..(s'+k)] where s'+k=s+q? -- At the new shift s', no need to compare the first k characters of P with corresponding characters of T. -Method: For prefix $p_i$, find the longest proper prefix of $p_i$ that is also a suffix of $p_i$. -$$ +![](https://latex.codecogs.com/gif.latex?&space;So&space;we&space;can&space;compare&space;the&space;modular&space;value&space;of&space;each)t_i![](https://latex.codecogs.com/gif.latex?with&space;p's.&space;Only&space;if&space;they&space;are&space;the&space;same,&space;then&space;we&space;compare&space;the&space;origin&space;chracters,&space;namely&space;)T[i],T[i+1],\ldots,T[i+m-1]![](https://latex.codecogs.com/gif.latex?&space;and&space;the&space;pattern&space;characters.&space;Gernerally,&space;this&space;algorithm's&space;time&space;approximation&space;is&space;O(n+m),&space;and&space;the&space;worst&space;case&space;is&space;O((n-m+1)*m)&space;**Problem:&space;this&space;is&space;assuming&space;p&space;and)t_s![](https://latex.codecogs.com/gif.latex?are&space;small&space;numbers.&space;They&space;may&space;be&space;too&space;large&space;to&space;work&space;with&space;easily.**&space;python&space;implementation&space;```python&space;#coding:&space;utf-8&space;'''&space;mbinary&space;#########################################################################&space;#&space;File&space;:&space;rabin_karp.py&space;#&space;Author:&space;mbinary&space;#&space;Mail:&space;zhuheqin1@gmail.com&space;#&space;Blog:&space;https://mbinary.github.io&space;#&space;Github:&space;https://github.com/mbinary&space;#&space;Created&space;Time:&space;2018-12-11&space;00:01&space;#&space;Description:&space;rabin-karp&space;algorithm&space;#########################################################################&space;'''&space;def&space;isPrime(x):&space;for&space;i&space;in&space;range(2,int(x**0.5)+1):&space;if&space;x%i==0:return&space;False&space;return&space;True&space;def&space;getPrime(x):&space;'''return&space;a&space;prime&space;which&space;is&space;bigger&space;than&space;x'''&space;for&space;i&space;in&space;range(x,2*x):&space;if&space;isPrime(i):return&space;i&space;def&space;findAll(s,p):&space;'''s:&space;string&space;p:&space;pattern'''&space;dic={}&space;n,m&space;=&space;len(s),len(p)&space;d=0&space;#radix&space;for&space;c&space;in&space;s:&space;if&space;c&space;not&space;in&space;dic:&space;dic[c]=d&space;d+=1&space;sm&space;=&space;0&space;for&space;c&space;in&space;p:&space;if&space;c&space;not&space;in&space;dic:return&space;[]&space;sm&space;=&space;sm*d+dic[c]&space;ret&space;=&space;[]&space;cur&space;=&space;0&space;for&space;i&space;in&space;range(m):&space;cur=cur*d&space;+&space;dic[s[i]]&space;if&space;cur==sm:ret.append(0)&space;tmp&space;=&space;n-m&space;q&space;=&space;getPrime(m)&space;cur&space;=&space;cur%q&space;sm&space;=&space;sm%q&space;exp&space;=&space;d**(m-1)&space;%&space;q&space;for&space;i&space;in&space;range(m,n):&space;cur&space;=&space;((cur-dic[s[i-m]]*exp)*d+dic[s[i]])&space;%&space;q&space;if&space;cur&space;==&space;sm&space;and&space;p==s[i-m+1:i+1]:&space;ret.append(i-m+1)&space;return&space;ret&space;def&space;randStr(n=3):&space;return&space;[randint(ord('a'),ord('z'))&space;for&space;i&space;in&space;range(n)]&space;if&space;__name__&space;=='__main__':&space;from&space;random&space;import&space;randint&space;s&space;=&space;randStr(50)&space;p&space;=&space;randStr(1)&space;print(s)&space;print(p)&space;print(findAll(s,p))&space;```&space;##&space;FSM&space;A&space;FSM&space;can&space;be&space;represented&space;as)(Q,q_0,A,S,C)![](https://latex.codecogs.com/gif.latex?,&space;where&space;-&space;Q&space;is&space;the&space;set&space;of&space;all&space;states&space;-)q_0![](https://latex.codecogs.com/gif.latex?is&space;the&space;start&space;state&space;-)A\in Q![](https://latex.codecogs.com/gif.latex?is&space;a&space;set&space;of&space;accepting&space;states.&space;-&space;S&space;is&space;a&space;finite&space;input&space;alphabet.&space;-&space;C&space;is&space;the&space;set&space;of&space;transition&space;functions:&space;namely)q_j = c(s,q_i)![](https://latex.codecogs.com/gif.latex?.&space;Given&space;a&space;pattern&space;string&space;S,&space;we&space;can&space;build&space;a&space;FSM&space;for&space;string&space;matching.&space;Assume&space;S&space;has&space;m&space;chars,&space;and&space;there&space;should&space;be&space;m+1&space;states.&space;One&space;is&space;for&space;the&space;begin&space;state,&space;and&space;the&space;others&space;are&space;for&space;matching&space;state&space;of&space;each&space;position&space;of&space;S.&space;Once&space;we&space;have&space;built&space;the&space;FSM,&space;we&space;can&space;run&space;it&space;on&space;any&space;input&space;string.&space;##&space;KMP&space;>Knuth-Morris-Pratt&space;method&space;The&space;idea&space;is&space;inspired&space;by&space;FSM.&space;We&space;can&space;avoid&space;computing&space;the&space;transition&space;functions.&space;Instead,&space;we&space;compute&space;a&space;prefix&space;function&space;P&space;in&space;O(m)&space;time,&space;which&space;has&space;only&space;m&space;entries.&space;>&space;Prefix&space;funtion&space;stores&space;info&space;about&space;how&space;the&space;pattern&space;matches&space;against&space;shifts&space;of&space;itself.&space;-&space;String&space;w&space;is&space;a&space;prefix&space;of&space;string&space;x,&space;if&space;x=wy&space;for&space;some&space;string&space;y&space;-&space;String&space;w&space;is&space;a&space;suffix&space;of&space;string&space;x,&space;if&space;x=yw&space;for&space;some&space;string&space;y&space;-&space;The&space;k-character&space;prefix&space;of&space;the&space;pattern&space;P&space;[1..m]&space;denoted&space;by&space;Pk.&space;-&space;Given&space;that&space;pattern&space;prefix&space;P&space;[1..q]&space;matches&space;text&space;characters&space;T&space;[(s+1)..(s+q)],&space;what&space;is&space;the&space;least&space;shift&space;s'>&space;s&space;such&space;that&space;P&space;[1..k]&space;=&space;T&space;[(s'+1)..(s'+k)]&space;where&space;s'+k=s+q?&space;-&space;At&space;the&space;new&space;shift&space;s',&space;no&space;need&space;to&space;compare&space;the&space;first&space;k&space;characters&space;of&space;P&space;with&space;corresponding&space;characters&space;of&space;T.&space;Method:&space;For&space;prefix)p_i![](https://latex.codecogs.com/gif.latex?,&space;find&space;the&space;longest&space;proper&space;prefix&space;of)p_i![](https://latex.codecogs.com/gif.latex?that&space;is&space;also&space;a&space;suffix&space;of)p_i![](https://latex.codecogs.com/gif.latex?.&space;) pre[q] = max\{k|k ## 2.1. 随机构造的二叉查找树 -下面可以证明,随机构造,即输入序列有 $n!$中, 每种概率相同的情况下, 期望的树高 $h=O(logn)$ +下面可以证明,随机构造,即输入序列有 ![](https://latex.codecogs.com/gif.latex?n!)中, 每种概率相同的情况下, 期望的树高 ![](https://latex.codecogs.com/gif.latex?h=O(logn)) (直接搬运算法导论上面的啦>_<) ![](https://upload-images.jianshu.io/upload_images/7130568-69c57614410f6abd.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) @@ -67,7 +67,7 @@ h是树高, 但是由于插入,删除而导致树不平衡, 即可能 $h\geqslan ## 2.2. 平均结点深度 一个较 上面定理 弱的结论: ->一棵随机构造的二叉查找树,n 个结点的平均深度为 $O(logn)$ +>一棵随机构造的二叉查找树,n 个结点的平均深度为 ![](https://latex.codecogs.com/gif.latex?O(logn)) 类似 RANDOMIZED-QUICKSORT 的证明过程, 因为快排 递归的过程就是一个递归 二叉树. 随机选择枢纽元就相当于这里的某个子树的根结点 在所有结点的大小随机排名, 如 i. 然后根结点将剩下的结点划分为左子树(i-1)个结点, 右子树(n-i)个结点. @@ -75,44 +75,29 @@ h是树高, 但是由于插入,删除而导致树不平衡, 即可能 $h\geqslan ![](https://upload-images.jianshu.io/upload_images/7130568-6bf2b5a6d286adca.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240) ## 2.3. 不同的二叉树数目(Catalan num) -给定$\{1,2,\ldots,n\}$,组成二叉查找树的数目. +给定![](https://latex.codecogs.com/gif.latex?\{1,2,\ldots,n\}),组成二叉查找树的数目. 由上面的证明过程, 可以容易地分析得出, 任选第 i 个数作为根, 由于二叉查找树的性质, 其左子树 应该有 i-1个结点, 右子树有 n-i个结点. -如果记 n 个结点 的二叉查找树的数目为$b_n$ +如果记 n 个结点 的二叉查找树的数目为![](https://latex.codecogs.com/gif.latex?b_n) 则有递推公式 -$$ -b_n=\begin{cases} -1 &n=0 \\ -\sum_{i=1}^{n}b_{i-1}b_{n-i} & n\geqslant 1 -\end{cases} -$$ +![](https://latex.codecogs.com/gif.latex?&space;b_n=\begin{cases}&space;1&space;&n=0&space;\\&space;\sum_{i=1}^{n}b_{i-1}b_{n-i}&space;&&space;n\geqslant&space;1&space;\end{cases}&space;) 然后我们来看`<<算法导论>>`(p162,思考题12-4)上怎么求的吧( •̀ ω •́ )y 设生成函数 -$$B(x)=\sum_{n=0}^{\infty}b_n x^n$$ -下面证明$B(x)=xB(x)^2+1$ -易得$$xB(x)^2=\sum_{i=1}^{\infty}\sum_{n=i}^{\infty}b_{i-1}b_{n-i}x^n$$ -对比$B(x), xB(x)^2+1$的 x 的各次系数,分别是 $b_k,a_{k}$ -当 k=0, $a_k=1=b_k$ +![](https://latex.codecogs.com/gif.latex?B(x)=\sum_{n=0}^{\infty}b_n&space;x^n) +下面证明![](https://latex.codecogs.com/gif.latex?B(x)=xB(x)^2+1) +易得![](https://latex.codecogs.com/gif.latex?xB(x)^2=\sum_{i=1}^{\infty}\sum_{n=i}^{\infty}b_{i-1}b_{n-i}x^n) +对比![](https://latex.codecogs.com/gif.latex?B(x),&space;xB(x)^2+1)的 x 的各次系数,分别是 ![](https://latex.codecogs.com/gif.latex?b_k,a_{k}) +当 k=0, ![](https://latex.codecogs.com/gif.latex?a_k=1=b_k) 当 k>0 -$$a_{k} = \sum_{i=1}^{k}b_{i-1}b_{k-i} = b_k$$ -所以$B(x)=xB(x)^2+1$ +![](https://latex.codecogs.com/gif.latex?a_{k}&space;=&space;\sum_{i=1}^{k}b_{i-1}b_{k-i}&space;=&space;b_k) +所以![](https://latex.codecogs.com/gif.latex?B(x)=xB(x)^2+1) 由此解得 -$$B(x)=\frac{1-\sqrt{1-4x} }{2x}$$ +![](https://latex.codecogs.com/gif.latex?B(x)=\frac{1-\sqrt{1-4x}&space;}{2x}) 在点 x=0 处, 用泰勒公式得 -$$ -\begin{aligned} -\lim_{x\to 0}\sqrt{1-4x}&=1+\sum_{n=1}^{\infty}C_n^{\frac{1}{2}}{(-4)}^nx^n \\ -&=1+\sum_{n=1}^{\infty}\frac{(2n-3)!!{(-4x)}^n}{n!} -\end{aligned} -$$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;\lim_{x\to&space;0}\sqrt{1-4x}&=1+\sum_{n=1}^{\infty}C_n^{\frac{1}{2}}{(-4)}^nx^n&space;\\&space;&=1+\sum_{n=1}^{\infty}\frac{(2n-3)!!{(-4x)}^n}{n!}&space;\end{aligned}&space;) 所以对应系数 -$$ -\begin{aligned} -b_n&=\frac{1}{2}\frac{4^{n+1}(2n-1)!!}{2^{n+1}n!} \\ - &=\frac{C_{2n}^{n}}{n+1} -\end{aligned} -$$ +![](https://latex.codecogs.com/gif.latex?&space;\begin{aligned}&space;b_n&=\frac{1}{2}\frac{4^{n+1}(2n-1)!!}{2^{n+1}n!}&space;\\&space;&=\frac{C_{2n}^{n}}{n+1}&space;\end{aligned}&space;) 这个数叫做 `Catalan 数` ## 2.4. 好括号列 @@ -124,28 +109,26 @@ $$ * 若A是好括号列, 则 (A)是好括号列 ->充要条件: 好括号列 $\Longleftrightarrow$ 左右括号数相等, 且从左向右看, 看到的右括号数不超过左括号数 +>充要条件: 好括号列 ![](https://latex.codecogs.com/gif.latex?\Longleftrightarrow) 左右括号数相等, 且从左向右看, 看到的右括号数不超过左括号数 ->定理: 由 n个左括号,n个右括号组成的好括号列个数为$c(n)=\frac{C_{2n}^{n}}{n+1}$ +>定理: 由 n个左括号,n个右括号组成的好括号列个数为![](https://latex.codecogs.com/gif.latex?c(n)=\frac{C_{2n}^{n}}{n+1}) 证明: -由 n左n右组成的括号列有 $\frac{2n}{n!n!}=C_{2n}^{n}$个. - 设括号列$a_1a_2\ldots a_{2n}$为坏括号列, -由充要条件, 存在最小的 j, 使得$a_1a_2\ldots a_{j}$中右括号比左括号多一个, -由于是最小的 j, 所以 $a_j$为右括号, $a_{j+1}$为右括号 -把$a_{j+1}a_{j+2}\ldots a_{2n}$中的左括号变为右括号, 右变左,记为$\bar a_{j+1}\bar a_{j+2}\ldots \bar a_{2n}$ +由 n左n右组成的括号列有 ![](https://latex.codecogs.com/gif.latex?\frac{2n}{n!n!}=C_{2n}^{n})个. + 设括号列![](https://latex.codecogs.com/gif.latex?a_1a_2\ldots&space;a_{2n})为坏括号列, +由充要条件, 存在最小的 j, 使得![](https://latex.codecogs.com/gif.latex?a_1a_2\ldots&space;a_{j})中右括号比左括号多一个, +由于是最小的 j, 所以 ![](https://latex.codecogs.com/gif.latex?a_j)为右括号, ![](https://latex.codecogs.com/gif.latex?a_{j+1})为右括号 +把![](https://latex.codecogs.com/gif.latex?a_{j+1}a_{j+2}\ldots&space;a_{2n})中的左括号变为右括号, 右变左,记为![](https://latex.codecogs.com/gif.latex?\bar&space;a_{j+1}\bar&space;a_{j+2}\ldots&space;\bar&space;a_{2n}) -则括号列$a_1a_2\ldots a_{j}\bar a_{j+1}$为好括号列 -$a_1a_2\ldots a_{j}\bar a_{j+1}\bar a_{j+2}\ldots \bar a_{2n}$可好可坏,且有n-1个右,n+1个左, 共有$\frac{2n}{(n+1)!(n-1)!}=C_{2n}^{n+1}$个. +则括号列![](https://latex.codecogs.com/gif.latex?a_1a_2\ldots&space;a_{j}\bar&space;a_{j+1})为好括号列 +![](https://latex.codecogs.com/gif.latex?a_1a_2\ldots&space;a_{j}\bar&space;a_{j+1}\bar&space;a_{j+2}\ldots&space;\bar&space;a_{2n})可好可坏,且有n-1个右,n+1个左, 共有![](https://latex.codecogs.com/gif.latex?\frac{2n}{(n+1)!(n-1)!}=C_{2n}^{n+1})个. -所以坏括号列$a_1a_2\ldots a_{2n}$ 与括号列 $a_1a_2\ldots a_{j}\bar a_{j+1}\bar a_{j+2}\ldots \bar a_{2n}$, 有$\frac{2n}{(n+1)!(n-1)!}=C_{2n}^{n+1}$个 +所以坏括号列![](https://latex.codecogs.com/gif.latex?a_1a_2\ldots&space;a_{2n}) 与括号列 ![](https://latex.codecogs.com/gif.latex?a_1a_2\ldots&space;a_{j}\bar&space;a_{j+1}\bar&space;a_{j+2}\ldots&space;\bar&space;a_{2n}), 有![](https://latex.codecogs.com/gif.latex?\frac{2n}{(n+1)!(n-1)!}=C_{2n}^{n+1})个 那么好括号列有 -$$ -c(n)=C_{2n}^{n} - C_{2n}^{n+1} =\frac{C_{2n}^{n}}{n+1} -$$ +![](https://latex.codecogs.com/gif.latex?&space;c(n)=C_{2n}^{n}&space;-&space;C_{2n}^{n+1}&space;=\frac{C_{2n}^{n}}{n+1}&space;) >推论: n个字符,进栈出栈(出栈可以在栈不为空的时候随时进行), 则出栈序列有 c(n)种 @@ -184,7 +167,7 @@ Aho-Corasick automation,是在字典树上添加匹配失败边(失配指针), # 5. 平衡二叉树 -上面的二叉查找树不平衡,即经过多次插入,删除后, 其高度变化大, 不能保持$\Theta(n)$的性能 +上面的二叉查找树不平衡,即经过多次插入,删除后, 其高度变化大, 不能保持![](https://latex.codecogs.com/gif.latex?\Theta(n))的性能 而平衡二叉树就能. 平衡二叉树都是经过一些旋转操作, 使左右子树的结点高度相差不大,达到平衡 有如下几种 @@ -199,7 +182,7 @@ Aho-Corasick automation,是在字典树上添加匹配失败边(失配指针), ## 5.2. splayTree 伸展树, 它的特点是每次将访问的结点通过旋转旋转到根结点. -其实它并不平衡. 但是插入,查找,删除操作 的平摊时间是$O(logn)$ +其实它并不平衡. 但是插入,查找,删除操作 的平摊时间是![](https://latex.codecogs.com/gif.latex?O(logn)) 有三种旋转,下面都是将访问过的 x 旋转到 根部 ### 5.2.1. Zig-step @@ -216,7 +199,7 @@ Aho-Corasick automation,是在字典树上添加匹配失败边(失配指针), ## 5.4. treap -[前面提到](#21-随机构造的二叉查找树), 随机构造的二叉查找树高度为 $h=O(logn)$,以及在[算法 general](/alg-genral.html) 中说明了怎样 随机化(shuffle)一个给定的序列. +[前面提到](#21-随机构造的二叉查找树), 随机构造的二叉查找树高度为 ![](https://latex.codecogs.com/gif.latex?h=O(logn)),以及在[算法 general](/alg-genral.html) 中说明了怎样 随机化(shuffle)一个给定的序列. 所以,为了得到一个平衡的二叉排序树,我们可以将给定的序列随机化, 然后再进行构造二叉排序树. diff --git "a/\350\256\241\347\256\227\346\234\272\347\263\273\347\273\237\350\257\246\350\247\243/codes/mbinary/PB16030899 -\346\234\261\346\262\263\345\213\244-csapp-bomb-lab-report.md" "b/\350\256\241\347\256\227\346\234\272\347\263\273\347\273\237\350\257\246\350\247\243/codes/mbinary/PB16030899 -\346\234\261\346\262\263\345\213\244-csapp-bomb-lab-report.md" index cc8b6b1..e69de29 100644 --- "a/\350\256\241\347\256\227\346\234\272\347\263\273\347\273\237\350\257\246\350\247\243/codes/mbinary/PB16030899 -\346\234\261\346\262\263\345\213\244-csapp-bomb-lab-report.md" +++ "b/\350\256\241\347\256\227\346\234\272\347\263\273\347\273\237\350\257\246\350\247\243/codes/mbinary/PB16030899 -\346\234\261\346\262\263\345\213\244-csapp-bomb-lab-report.md" @@ -1,428 +0,0 @@ - -**PB16030899-朱河勤
2018-3-16** - - -#
CSAPP-BOMB-LAB - - -下载得到bomb.tar文件,解压后只有bomb二进制文件,以及一个bomb.c文件,bomb.c没有对应的头文件. 所有思路只有是反汇编bomb,分析汇编代码. - -这里用到两个非常强大的工具objdump,gdb -* objdump用来反汇编的,-d参数得到x86汇编, -M参数还可以选择不同的汇编形式, 比如 -M 8086 得到8086汇编, 详细内容可以man objdump. -* gdb是强大的GNU DEBUGGER 用法如下 -``` - (gdb) b(breakpoint):用法:b 函数名 :对此函数进行中断 ;b 文件名:行号; - (gdb) run:启动程序,运行至程序的断点或者结束; - (gdb) l(list):用法:l funcname,制定函数的源码 - (gdb) s(step):进入函数,逐语句运行; - (gdb) n(next):不进入函数,逐过程运行; - (gdb) c(continue):继续运行,跳至下一个断点; - (gdb) p(print):打印显示变量值; - (gdb) set variable=value,为变量赋值; - (gdb) kill:终止调试的程序; - (gdb) h(help):列出gdb详细命令帮助列表; - (gdb) clear filename.c:30:清除30行处的断点; - (gdb) info break:显示断点信息; - (gdb) delete 断点编号:断点编号是info break 后显示出来的; - (gdb) bt(backtrace):回溯到段出错的位置; - (gdb) frame 帧号:帧号是bt命令产生的堆栈针; - (gdb) q:退出; - (gdb) x(examine):查看内存中的值等//详细内容在gdb中输入 help x查看 -``` -下面开始拆 :bomb: 之旅 - -## general -观察汇编代码,可以看到有main, phase1--6, 等, 重点看这几个函数, 从main开始, 结合bomb.c,可以明白程序的控制流, 每个阶段用phase函数判断输入是否正确,不正确就boon,结束程序 - -![](src/main.png) - -## phase1 -来到phase1, - -![](src/p1.png) - -第一行准备栈帧,第二行就是将地址存入$esi, 这是一个字符串的地址, 可以猜测下面string_not_equal就是比较这个字符串与输入字符串是否相等的函数.(最开始我还去分析了这个函数的汇编代码,确实是那样,先比较长度,然后逐一比较. 所以找到这个地址`0x402400`存储的字符串就行了,在asm文件中搜索,没有,所以要在程序运行时才可以到达这个虚拟地址, 未来address space 的堆中. 这时就要用到强大的gdb了, - -切换到bomb文件夹,依次输入 -```shell -gdb -(gdb) file bomb -(gdb) x /s 0x402400 # x(examine) s参数是string的意思 -``` -即得**Border relations with Canada have never been better.** - -## phase2 -![](src/p2.png) - -所以答案是 `1 2 4 8 16 32` - -## phase3 - -``` - 0000000000400f43 : - 400f43: sub $0x18,%rsp - 400f47: lea 0xc(%rsp),%rcx - 400f4c: lea 0x8(%rsp),%rdx - 400f51: mov $0x4025cf,%esi # 又是一个字符串,可以用gdb查看, 得到`"%d %d",格式化字符串,说明输入两个数字 - 400f56: mov $0x0,%eax - 400f5b: callq 400bf0 <__isoc99_sscanf@plt> # 输入 - 400f60: cmp $0x1,%eax # 判断输入成功 - 400f63: jg 400f6a - 400f65: callq 40143a - 400f6a: cmpl $0x7,0x8(%rsp) # 第一个参数是否小于等于7,大于则boom - 400f6f: ja 400fad - 400f71: mov 0x8(%rsp),%eax - 400f75: jmpq *0x402470(,%rax,8) # 以下是switch, 根据rax,即第一个输入的参数跳转 - 400f7c: mov $0xcf,%eax # 由此容易得到答案, 比如这里是rax=0时, 则 另一个参数为0xcf = 207 - 400f81: jmp 400fbe - 400f83: mov $0x2c3,%eax - 400f88: jmp 400fbe - 400f8a: mov $0x100,%eax - 400f8f: jmp 400fbe - 400f91: mov $0x185,%eax - 400f96: jmp 400fbe - 400f98: mov $0xce,%eax - 400f9d: jmp 400fbe - 400f9f: mov $0x2aa,%eax - 400fa4: jmp 400fbe - 400fa6: mov $0x147,%eax - 400fab: jmp 400fbe - 400fad: callq 40143a - 400fb2: mov $0x0,%eax - 400fb7: jmp 400fbe - 400fb9: mov $0x137,%eax - 400fbe: cmp 0xc(%rsp),%eax - 400fc2: je 400fc9 - 400fc4: callq 40143a - 400fc9: add $0x18,%rsp - 400fcd: retq -``` -swith跳转表 -%rax 跳转地址 0xc(%rsp) -0 0x0000000000400f7c 0xcf 207 -1 0x0000000000400fb9 0x137 311 -2 0x0000000000400f83 0x2c3 707 -3 0x0000000000400f8a 0x100 256 -4 0x0000000000400f91 0x185 389 -5 0x0000000000400f98 0xce 206 -6 0x0000000000400f9f 0x2aa 682 -7 0x0000000000400fa6 0x147 327 -所以结果为`0 207` ... - -## phase4 - -``` - 000000000040100c : - 40100c: sub $0x18,%rsp - 401010: lea 0xc(%rsp),%rcx - 401015: lea 0x8(%rsp),%rdx - 40101a: mov $0x4025cf,%esi #同样,gdb 中x /s 知道输入两个数字 - 40101f: mov $0x0,%eax - 401024: callq 400bf0 <__isoc99_sscanf@plt> - 401029: cmp $0x2,%eax # 判断是否输入两个数 - 40102c: jne 401035 - 40102e: cmpl $0xe,0x8(%rsp) # 判断每个数是否≤14 ,大于则boom - 401033: jbe 40103a # 跳转 - 401035: callq 40143a - 40103a: mov $0xe,%edx # 构造func4的参数 (phase4调用的) - 40103f: mov $0x0,%esi # 构造func4的参数 - 401044: mov 0x8(%rsp),%edi # 构造func4的参数 - 401048: callq 400fce - 40104d: test %eax,%eax # 测试, func4返回0, 若不,则boom - 40104f: jne 401058 - 401051: cmpl $0x0,0xc(%rsp) - 401056: je 40105d - 401058: callq 40143a - 40105d: add $0x18,%rsp - 401061: retq - ``` - 将func4转换为c语言,并用0--14测试, 这点很难, 需要翻译汇编语言,花很多时间,得熟悉汇编代码才行 - ```c - int func4(int a, int b, int c) -{ - int result; - result = c; - result = result - b; - int tmp = result; - tmp = (unsigned)tmp >> 31; - result = result + tmp; - result = result / 2; - tmp = result + b; - if(tmp > a) - { - c = tmp - 1; - result = func4(a, b, c); - return (2 * result); - } - result = 0; - if(tmp < a) - { - b = tmp + 1; - result = func4(a, b, c); - return (1 + 2 * result); - } - return result; -} -//测试从0~14范围内满足条件的值 - -int main() -{ - for(int input = 0; input < 15; ++input) - { - int result = func4(input, 0, 14); - if(result == 0) - { - printf("input = %d, func4 = %d\n", input, result); - } - } - return 0; -} - -``` -得到可行解 -因此phase4可能结果为: -0 0 -1 0 -3 0 -7 0 - - -## phase5 -嗯, 加油, 还有两关了. (●ˇ∀ˇ●) -```asm - 0000000000401062 : - 401062: push %rbx - 401063: sub $0x20,%rsp - 401067: mov %rdi,%rbx - 40106a: mov %fs:0x28,%rax - 401071: - 401073: mov %rax,0x18(%rsp) - 401078: xor %eax,%eax - 40107a: callq 40131b - 40107f: cmp $0x6,%eax # 说明输入是六个字符 - 401082: je 4010d2 - 401084: callq 40143a - 401089: jmp 4010d2 - 40108b: movzbl (%rbx,%rax,1),%ecx # 从栈帧中取出各个字符,记为x - 40108f: mov %cl,(%rsp) - 401092: mov (%rsp),%rdx - 401096: and $0xf,%edx # y=0xf & x, 即将一个byte的高4位置0 - 401099: movzbl 0x4024b0(%rdx),%edx # 用gdb查看x /s 0x4024b0 得到字符串"maduiersnfotvbyl",所以这一行是以y作为偏移量,取字符数组的第几个字符 - 4010a0: mov %dl,0x10(%rsp,%rax,1) # 将取得的存于栈帧中 //后面用string_not_equl 比较 - 4010a4: add $0x1,%rax - 4010a8: cmp $0x6,%rax # 循环6次 - 4010ac: jne 40108b - 4010ae: movb $0x0,0x16(%rsp) - 4010b3: mov $0x40245e,%esi # 这是要比较的字符串, 同样用gdb查看得到 "flyers" - 4010b8: lea 0x10(%rsp),%rdi - 4010bd: callq 401338 - 4010c2: test %eax,%eax - 4010c4: je 4010d9 - 4010c6: callq 40143a - 4010cb: nopl 0x0(%rax,%rax,1) - 4010d0: jmp 4010d9 - 4010d2: mov $0x0,%eax - 4010d7: jmp 40108b - 4010d9: mov 0x18(%rsp),%rax - 4010de: xor %fs:0x28,%rax - 4010e5: - 4010e7: je 4010ee - 4010e9: callq 400b30 <__stack_chk_fail@plt> - 4010ee: add $0x20,%rsp - 4010f2: pop %rbx - 4010f3: retq - ``` - 解释在上面, 反向得到需要的输入的思路是: 对flyers的每个字符, 得到在字符数组中的index, 也就是输入的字符的后4位bit, 而键盘输入一般是字母, 所以很可能有两种可能,字符byte的高四位为`0100`或`0110`,而且可以发现刚好这是大写字母/小写字母开始的前一个ascii,所以 - - ![](src/flyers.png) - - ## phase6 - phase6很难了,这真的要熟练汇编语言, 翻译一下,知道输入的是六个不相同的数字, 而且≤6 ,~~所以可以试全排列了~~ - ``` - (gdb) disas phase_6 -Dump of assembler code for function phase_6: - 0x00000000004010f4 <+0>: push %r14 将被调用者保存寄存器压入栈 - 0x00000000004010f6 <+2>: push %r13 - 0x00000000004010f8 <+4>: push %r12 - 0x00000000004010fa <+6>: push %rbp - 0x00000000004010fb <+7>: push %rbx %rsp = 0x7fffffffe2c0 - 0x00000000004010fc <+8>: sub $0x50,%rsp 分配栈空间 %rsp = 0x7fffffffe270 - 0x0000000000401100 <+12>: mov %rsp,%r13 - - 0x0000000000401103 <+15>: mov %rsp,%rsi - 0x0000000000401106 <+18>: callq 0x40145c 读入6个值,保存至从 %rsi 开始的地址 - - 0x000000000040110b <+23>: mov %rsp,%r14 - 0x000000000040110e <+26>: mov $0x0,%r12d %r12 置0,并且%r13 %r14 %rbp 均和 %rsp 指向相同地址 0x7fffffffe270 - - 0x0000000000401114 <+32>: mov %r13,%rbp - 0x0000000000401117 <+35>: mov 0x0(%r13),%eax 将第 %r13 指向的输入数复制到 %eax - 0x000000000040111b <+39>: sub $0x1,%eax 将输入数减1 - 0x000000000040111e <+42>: cmp $0x5,%eax 判断输入数是否小于等于6,因为上一步中减1操作 - 0x0000000000401121 <+45>: jbe 0x401128 若大于6,则调用 explode_bomb - 0x0000000000401123 <+47>: callq 0x40143a -========================================================================================================================================================= - 0x0000000000401128 <+52>: add $0x1,%r12d 将 %r12 加1 - 0x000000000040112c <+56>: cmp $0x6,%r12d 判断 %r12 是否等于6 - 0x0000000000401130 <+60>: je 0x401153 若等于6,跳转,否则继续执行 - 0x0000000000401132 <+62>: mov %r12d,%ebx 将 %r12 复制到 %ebx - - 0x0000000000401135 <+65>: movslq %ebx,%rax 将 %ebx 符号位扩展复制到 %rax - 0x0000000000401138 <+68>: mov (%rsp,%rax,4),%eax 将第 %ebx 输入数复制到 %eax - 0x000000000040113b <+71>: cmp %eax,0x0(%rbp) 比较 %r13 指向的输入数和 第 %ebx 输入数 是否相等 - 0x000000000040113e <+74>: jne 0x401145 如果相等,则调用 explode_bomb - 0x0000000000401140 <+76>: callq 0x40143a - 0x0000000000401145 <+81>: add $0x1,%ebx 将 %ebx 加1 - 0x0000000000401148 <+84>: cmp $0x5,%ebx 判断 %ebx 是否小于等于5 - 0x000000000040114b <+87>: jle 0x401135 若小于等于,跳转,否则继续执行;该循环判断 %r13 指向的数据和其后输入数不相等 - - 0x000000000040114d <+89>: add $0x4,%r13 将 %r13 指向下一个输入数,该循环判断所有的输入数全部不相等 - 0x0000000000401151 <+93>: jmp 0x401114 -========================================================================================================================================================= - 0x0000000000401153 <+95>: lea 0x18(%rsp),%rsi 将 %rsi 指向栈中跳过读入数据位置作为结束标记,并且 %r14 仍和 %rsp 指向同一个位置 - 0x0000000000401158 <+100>: mov %r14,%rax 将 %r14 复制到 %rax - 0x000000000040115b <+103>: mov $0x7,%ecx - 0x0000000000401160 <+108>: mov %ecx,%edx 将立即数0x7复制到 %edx - 0x0000000000401162 <+110>: sub (%rax),%edx 立即数7减去 %r14 指向的数据 - 0x0000000000401164 <+112>: mov %edx,(%rax) 将7减的结果存回 %r14 执行的内存单元 - 0x0000000000401166 <+114>: add $0x4,%rax %rax 指向下一个输入数 - 0x000000000040116a <+118>: cmp %rsi,%rax 比较是否达到输入数组的末尾, - 0x000000000040116d <+121>: jne 0x401160 该循环使用立即数7减去每个输入数据 -========================================================================================================================================================== - 0x000000000040116f <+123>: mov $0x0,%esi 将 %rsi 置0 - 0x0000000000401174 <+128>: jmp 0x401197 - - 0x0000000000401176 <+130>: mov 0x8(%rdx),%rdx 将 0x8(%rdx) 指向内存单元的内容复制到 %rdx, 指向链表下一个元素 - 0x000000000040117a <+134>: add $0x1,%eax 将 %eax 加1 - 0x000000000040117d <+137>: cmp %ecx,%eax 比较 %ecx 和 %eax 是否相等 - 0x000000000040117f <+139>: jne 0x401176 不相等,继续遍历链表,最终 %rdx 指向链表的第 %ecx 个节点 - 0x0000000000401181 <+141>: jmp 0x401188 - 0x0000000000401183 <+143>: mov $0x6032d0,%edx 重置链表首地址 - 0x0000000000401188 <+148>: mov %rdx,0x20(%rsp,%rsi,2) - 0x000000000040118d <+153>: add $0x4,%rsi - 0x0000000000401191 <+157>: cmp $0x18,%rsi - 0x0000000000401195 <+161>: je 0x4011ab - - 0x0000000000401197 <+163>: mov (%rsp,%rsi,1),%ecx 将 (%rsp + %rsi) 指向的数据复制到 %ecx - 0x000000000040119a <+166>: cmp $0x1,%ecx 比较 %ecx 是否小于等于1 - 0x000000000040119d <+169>: jle 0x401183 若小于等于,跳转,否则继续执行, 等于1, %edx 直接指向链表首地址 - 0x000000000040119f <+171>: mov $0x1,%eax 将 %eax 置1 - 0x00000000004011a4 <+176>: mov $0x6032d0,%edx 将 %rdx 指向内存单元 0x6032d0 - 0x00000000004011a9 <+181>: jmp 0x401176 跳转; 该循环根据输入数将链表中对应的第输入数个节点的地址复制到 0x20(%rsp) 开始的栈中 - ========================================================================================================================================================== - 0x00000000004011ab <+183>: mov 0x20(%rsp),%rbx 将0x20(%rsp)的链表节点地址复制到 %rbx - 0x00000000004011b0 <+188>: lea 0x28(%rsp),%rax 将 %rax 指向栈中下一个链表节点的地址 - 0x00000000004011b5 <+193>: lea 0x50(%rsp),%rsi 将 %rsi 指向保存的链表节点地址的末尾 - 0x00000000004011ba <+198>: mov %rbx,%rcx - - 0x00000000004011bd <+201>: mov (%rax),%rdx - 0x00000000004011c0 <+204>: mov %rdx,0x8(%rcx) 将栈中指向的后一个节点的地址复制到前一个节点的地址位置 - 0x00000000004011c4 <+208>: add $0x8,%rax 移动到下一个节点 - 0x00000000004011c8 <+212>: cmp %rsi,%rax 判断6个节点是否遍历完毕 - 0x00000000004011cb <+215>: je 0x4011d2 - 0x00000000004011cd <+217>: mov %rdx,%rcx - 0x00000000004011d0 <+220>: jmp 0x4011bd - 0x00000000004011d2 <+222>: movq $0x0,0x8(%rdx) 该循环按照7减去输入数据的索引重新调整链表 -========================================================================================================================================================== - 0x00000000004011da <+230>: mov $0x5,%ebp - 0x00000000004011df <+235>: mov 0x8(%rbx),%rax 将 %rax 指向 %rbx 下一个链表节点 - 0x00000000004011e3 <+239>: mov (%rax),%eax - 0x00000000004011e5 <+241>: cmp %eax,(%rbx) 比较链表节点中第一个字段值的大小,如果前一个节点值大于后一个节点值,跳转 - 0x00000000004011e7 <+243>: jge 0x4011ee - 0x00000000004011e9 <+245>: callq 0x40143a - 0x00000000004011ee <+250>: mov 0x8(%rbx),%rbx 将 %rbx 向后移动,指向栈中下一个链表节点的地址 - 0x00000000004011f2 <+254>: sub $0x1,%ebp 判断循环是否结束,该循环判断栈中重新调整后的链表节点是否按照降序排列 - 0x00000000004011f5 <+257>: jne 0x4011df - 0x00000000004011f7 <+259>: add $0x50,%rsp - 0x00000000004011fb <+263>: pop %rbx - 0x00000000004011fc <+264>: pop %rbp - 0x00000000004011fd <+265>: pop %r12 - 0x00000000004011ff <+267>: pop %r13 - 0x0000000000401201 <+269>: pop %r14 - 0x0000000000401203 <+271>: retq -End of assembler dump. - -(gdb) disas read_six_numbers -%rsi存储调用者phase_2栈帧的局部变量开始地址 -%rdx = %rsi + 0 -%rcx = %rsi + 4 -%r8 = %rsi + 8 -%r9 = %rsi + 12 -(%rsp) = %rsi + 16 -8(%rsp) = %rsi + 20 -Dump of assembler code for function read_six_numbers: - 0x000000000040145c <+0>: sub $0x18,%rsp - 0x0000000000401460 <+4>: mov %rsi,%rdx - 0x0000000000401463 <+7>: lea 0x4(%rsi),%rcx - 0x0000000000401467 <+11>: lea 0x14(%rsi),%rax - 0x000000000040146b <+15>: mov %rax,0x8(%rsp) - 0x0000000000401470 <+20>: lea 0x10(%rsi),%rax - 0x0000000000401474 <+24>: mov %rax,(%rsp) - 0x0000000000401478 <+28>: lea 0xc(%rsi),%r9 - 0x000000000040147c <+32>: lea 0x8(%rsi),%r8 - 0x0000000000401480 <+36>: mov $0x4025c3,%esi - 0x0000000000401485 <+41>: mov $0x0,%eax - 0x000000000040148a <+46>: callq 0x400bf0 <__isoc99_sscanf@plt> - 0x000000000040148f <+51>: cmp $0x5,%eax - 0x0000000000401492 <+54>: jg 0x401499 - 0x0000000000401494 <+56>: callq 0x40143a - 0x0000000000401499 <+61>: add $0x18,%rsp - 0x000000000040149d <+65>: retq -``` -%rbp %rbx %r12~%15 被调用者保存寄存器 -%r10 %r11 调用者保存寄存器 -%rdi %rsi %rdx %rcx %r8 %r9 依次保存输入数1~6 - -假设输入数据为4 3 2 1 6 5 - -猜测0x6032d8为链表首地址,链表中每个节点占用12个Byte,前8字节保存两个4字Byte的整型数,剩余的4Byte存放下个节点地址 - -GDB查看使用7减去对应的输入后的数据 -(gdb) p /x $rsp -$1 = 0x7fffffffe270 -(gdb) x/6dw 0x7fffffffe270 -0x7fffffffe270: 3 4 5 6 -0x7fffffffe280: 1 2 - -重新调整链表前的链表的结构 -(gdb) x/24xw 0x006032d0 -0x6032d0 : 0x0000014c 0x00000001 0x006032e0 0x00000000 -0x6032e0 : 0x000000a8 0x00000002 0x006032f0 0x00000000 -0x6032f0 : 0x0000039c 0x00000003 0x00603300 0x00000000 -0x603300 : 0x000002b3 0x00000004 0x00603310 0x00000000 -0x603310 : 0x000001dd 0x00000005 0x00603320 0x00000000 -0x603320 : 0x000001bb 0x00000006 0x00000000 0x00000000 - -保存在栈中链表节点信息 -(gdb) x/6xg 0x7fffffffe290 -0x7fffffffe290: 0x00000000006032f0 0x0000000000603300 -0x7fffffffe2a0: 0x0000000000603310 0x0000000000603320 -0x7fffffffe2b0: 0x00000000006032d0 0x00000000006032e0 - -按照7减去对应的输入后重新调整链表后的链表结构,索引顺序为 3 4 5 6 1 2 -(gdb) x/24xw 0x006032d0 -0x6032d0 : 0x0000014c 0x00000001 0x006032e0 0x00000000 -0x6032e0 : 0x000000a8 0x00000002 0x00000000 0x00000000 -0x6032f0 : 0x0000039c 0x00000003 0x00603300 0x00000000 -0x603300 : 0x000002b3 0x00000004 0x00603310 0x00000000 -0x603310 : 0x000001dd 0x00000005 0x00603320 0x00000000 -0x603320 : 0x000001bb 0x00000006 0x006032d0 0x00000000 - -破解思路: -将链表中每个节点按照前4字节降序排序 -3 4 5 6 1 2 -因为在前面使用7减去对应的值,所以破解密码 -4 3 2 1 6 5 - - -## final - -![](src/defued.png) - -啊,终于拆除💣了, -╰(*°▽°*)╯ -等等, 还漏了什么`? 在asm中,可以看到还有secret_phase这个函数,可是这个函数的调用是有技巧的,追踪发现是在phase_defused中调用的,同样, 查看字符串, 发现比较了"DrEvil", 以及一个格式串"%d %d %s",可能是phase3,phase4的数字加上DrEvil输入.可是最后我试了很多篇都没有是出来. -最后在gdb中设置断点, 然后`jump secret_phase` 即可进入 -## 总结 -通过这个lab,学到了gdb,objdump等工具的使用, 对汇编语言更熟悉, 对函数调用中栈帧的变化, 动态变量的理解更加深刻 -不得不佩服作者,以及这个lab的有趣与实用 \ No newline at end of file From 04d01dd161482aa8c35deb6a26b7931880adaa49 Mon Sep 17 00:00:00 2001 From: mbinary Date: Mon, 18 Mar 2019 08:33:04 +0800 Subject: [PATCH 02/55] Update pages for markdown --- utils/config.py | 2 +- utils/genIndex.py | 21 ++++++++++++++------- 2 files changed, 15 insertions(+), 8 deletions(-) diff --git a/utils/config.py b/utils/config.py index b1876c4..227c234 100644 --- a/utils/config.py +++ b/utils/config.py @@ -38,7 +38,7 @@ ## 说明 -下面列表根据拼音排序,可点击链接下载二进制文件,或者打开文本文件。点击这里**下载当前整个文件夹**(此功能由 [DownGit](http://downgit.zhoudaxiaa.com) 提供)。此页面[mbinary](https://mbinary.xyz) 制作 +下面列表根据拼音排序,可点击下面的的链接下载二进制文件,或者打开文本文件。点击这里**下载当前整个文件夹**(此功能由 [DownGit](http://downgit.zhoudaxiaa.com) 提供)。此页面由 [mbinary](https://mbinary.xyz) 制作 ## Directories
    {dirLst}
diff --git a/utils/genIndex.py b/utils/genIndex.py index 85b6737..7944edc 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -85,11 +85,12 @@ def genIndex(path,dirs,files,htmlTemp = HTML): #
\nRead Me\n
\n md = '\n

Read Me

\n'+f.read() cur = getPath(path) - dirLst = genDirectoryList(path,dirs) - fileLst = genFileList(path,files) - cont = htmlTemp.format(DOWNLOAD=DOWNLOAD+path,cur=cur,dirLst = dirLst,fileLst = fileLst,readme=md2html(md)) tar = os.path.join(TARDIR ,path) if not os.path.exists(tar):os.mkdir(tar) + + dirLst = genDirectoryList(path,dirs) + fileLst = genFileList(path,files,tar) + cont = htmlTemp.format(DOWNLOAD=DOWNLOAD+path,cur=cur,dirLst = dirLst,fileLst = fileLst,readme=md2html(md)) filename = os.path.join(tar, NAME) with open(filename,'w') as f: f.write(cont) @@ -106,10 +107,16 @@ def getPath(path): return '/'.join(res[::-1]) LIITEM = '
  •  {name}
  • ' -def genFileList(path,files): - keys=[i for i in files if i[0]!='.'] - link= {i:os.path.join(path,i) for i in keys} - lst = [LIITEM.format(icon=getIcon(key),name = key+'---({})'.format(getSize(link[key])),path = os.path.join(PATH,link[key])) for key in keys] +def genFileList(path,files,tar = TARDIR): + files = [i for i in files if not i.startswith('.')] + link = {} + for k in files: + if k.endswith('.md'): + shutil.copy(os.path.join(path,k),tar) + link[k] = k[:-3] + '.html' + else: + link[k] = os.path.join(PATH,path,k) + lst = [LIITEM.format(icon=getIcon(key),name = key+'---({})'.format(getSize(os.path.join(path,key))),path = link[key]) for key in files] if lst==[]: lst.append('
  •  None
  • ') return '\n'.join(lst) From 2372343fbe1b85921dfff404eaacaee4b3e8c2c1 Mon Sep 17 00:00:00 2001 From: mbinary Date: Tue, 19 Mar 2019 12:11:42 +0800 Subject: [PATCH 03/55] Update pages --- utils/config.py | 4 +++- utils/genIndex.py | 1 + 2 files changed, 4 insertions(+), 1 deletion(-) diff --git a/utils/config.py b/utils/config.py index 227c234..f50a8b9 100644 --- a/utils/config.py +++ b/utils/config.py @@ -38,7 +38,9 @@ ## 说明 -下面列表根据拼音排序,可点击下面的的链接下载二进制文件,或者打开文本文件。点击这里**下载当前整个文件夹**(此功能由 [DownGit](http://downgit.zhoudaxiaa.com) 提供)。此页面由 [mbinary](https://mbinary.xyz) 制作 +- 列表根据拼音排序 +- 点击链接下载二进制文件,或者打开文本文件(markdown 文件经过渲染) +- 下载当前文件夹 ## Directories
      {dirLst}
    diff --git a/utils/genIndex.py b/utils/genIndex.py index 7944edc..994fdd8 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -84,6 +84,7 @@ def genIndex(path,dirs,files,htmlTemp = HTML): with open(os.path.join(path,'README.md'),'r',errors='ignore') as f : #
    \nRead Me\n
    \n md = '\n

    Read Me

    \n'+f.read() + files.remove('README.md') cur = getPath(path) tar = os.path.join(TARDIR ,path) if not os.path.exists(tar):os.mkdir(tar) From 3651c127cdc655c27518cf8a97a58a2f04188b27 Mon Sep 17 00:00:00 2001 From: mbinary Date: Mon, 1 Apr 2019 20:36:40 +0800 Subject: [PATCH 04/55] Test Font-awesome icon --- utils/config.py | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/utils/config.py b/utils/config.py index f50a8b9..fd93688 100644 --- a/utils/config.py +++ b/utils/config.py @@ -22,17 +22,16 @@ DOWNLOAD = 'http://downgit.zhoudaxiaa.com/#/home?url=https://github.com/'+OWNER+'/'+REPO+'/tree/'+BRANCH+'/' HTML = ''' - # 中国科学技术大学课程资源 @@ -51,7 +50,7 @@ --- --- From a86b3da5c094dfe77e1e9ef2cc24d1bd192fae89 Mon Sep 17 00:00:00 2001 From: mbinary Date: Mon, 1 Apr 2019 21:06:22 +0800 Subject: [PATCH 05/55] Auto Format codes and Update font-awesome icons --- README.md | 1 - utils/config.py | 26 ++- utils/genIndex.py | 152 ++++++++++++------ .../README.md" | 8 - 4 files changed, 113 insertions(+), 74 deletions(-) delete mode 100644 "\345\244\247\345\255\246\347\211\251\347\220\206\345\256\236\351\252\214/README.md" diff --git a/README.md b/README.md index 9d64cd5..1113dd0 100644 --- a/README.md +++ b/README.md @@ -88,7 +88,6 @@ course * [操作系统原理与设计](./操作系统原理与设计) * [c程序设计](./c程序设计) * [代数结构](./代数结构) - * [大学物理实验](./大学物理实验) * [光学与原子物理](./光学与原子物理) * [计算机网络](./计算机网络) * [计算机系统详解](./计算机系统详解) diff --git a/utils/config.py b/utils/config.py index fd93688..d313a52 100644 --- a/utils/config.py +++ b/utils/config.py @@ -2,14 +2,12 @@ import os.path HOST = 'https://raw.githubusercontent.com/' -OWNER = 'USTC-Resource' #'USTC-Courses' #'mbinary'# +OWNER = 'USTC-Resource' #'USTC-Courses' #'mbinary'# REPO = 'USTC-Course' BRANCH = 'master' -NAME = 'README.md' # index.html - - -PATH = os.path.join(HOST,OWNER,REPO,BRANCH) +NAME = 'README.md' # index.html +PATH = os.path.join(HOST, OWNER, REPO, BRANCH) WALKDIR = os.path.abspath('.') @@ -17,9 +15,9 @@ if not os.path.exists(TARDIR): TARDIR = 'docs' -IGNORE = ['utils','docs','__pycache__','_config.yml'] +IGNORE = ['utils', 'docs', '__pycache__', '_config.yml'] -DOWNLOAD = 'http://downgit.zhoudaxiaa.com/#/home?url=https://github.com/'+OWNER+'/'+REPO+'/tree/'+BRANCH+'/' +DOWNLOAD = 'http://downgit.zhoudaxiaa.com/#/home?url=https://github.com/' + OWNER + '/' + REPO + '/tree/' + BRANCH + '/' HTML = ''' @@ -31,17 +29,18 @@ ## 说明 - 列表根据拼音排序 -- 点击链接下载二进制文件,或者打开文本文件(markdown 文件经过渲染) -- 下载当前文件夹 +- 点击 Files 的链接下载二进制文件 +- 或者打开文本文件(markdown 文件经过渲染) + +

    Directories  

    -## Directories
      {dirLst}
    ## Files @@ -49,7 +48,7 @@ --- --- @@ -59,7 +58,7 @@ #* 非zip, 非以'.'开头的文件多于 3 个的目录下都有个 zip 文件:`-DIRECTORY 目录下的\d+个文件.zip`,包含当前目录下的一些文件, 这样方便大家一键下载. (在 git commit前, 运行 `./before__commit.sh`可以自动生成) -README=r''' +README = r''' # 中国科学技术大学课程资源 [![Stars](https://img.shields.io/github/stars/USTC-Resource/USTC-Course.svg?label=Stars&style=social)](https://github.com/USTC-Resource/USTC-Course/stargazers) [![Forks](https://img.shields.io/github/forks/USTC-Resource/USTC-Course.svg?label=Forks&style=social)](https://github.com/USTC-Resource/USTC-Course/network/members) @@ -194,4 +193,3 @@ --> ''' - diff --git a/utils/genIndex.py b/utils/genIndex.py index 994fdd8..2712eda 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -4,7 +4,7 @@ import markdown import shutil from getSize import getSize -from config import PATH,HTML,WALKDIR,TARDIR,IGNORE,NAME,DOWNLOAD +from config import PATH, HTML, WALKDIR, TARDIR, IGNORE, NAME, DOWNLOAD hasPinyin = False try: from pypinyin import pinyin @@ -12,121 +12,171 @@ except: print('No module pypinyin, using defalut method to sort') + def pinyinSort(items): if hasPinyin: - dic = {''.join(sum(pinyin(i,style=0),[])).lower():i for i in items} + dic = {''.join(sum(pinyin(i, style=0), [])).lower(): i for i in items} return [dic[i] for i in sorted(dic.keys())] else: print('No module pypinyin') return items + def md2html(s): - exts = ['markdown.extensions.extra', 'markdown.extensions.codehilite','markdown.extensions.tables','markdown.extensions.toc'] - s = re.sub(r'\<\!--.*?--\>','',s,flags=re.DOTALL) - return markdown.markdown(s,extensions=exts) + exts = [ + 'markdown.extensions.extra', 'markdown.extensions.codehilite', + 'markdown.extensions.tables', 'markdown.extensions.toc' + ] + s = re.sub(r'\<\!--.*?--\>', '', s, flags=re.DOTALL) + return markdown.markdown(s, extensions=exts) + def getFmt(): - dic={} - sound_suf = ['file-sound-o',['mp3','wave','snd','aif','wav']] - movie_suf =['file-movie-o', ['mp4','avi','mov','swf']] - zip_suf = ['file-zip-o',['zip','rar','7z','tar','gz','bz','jar','z']] - word_suf=['file-word-o',['doc','docx']] - excel_suf=['file-excelo',['xls','xlt']] - ppt_suf = ['file-powerpoint-o',['ppt','pptx','pps','pptx','ppa','ppam']] - pdf_suf = ['file-pdf-o',['pdf']] - pic_suf =['file-picture-o',['bmp','gif','png','jpg','jpeg','pic']] - code_suf=['file-code-o',['c','o','h','sh','cc','m','cpp','py','lisp','scala','rust','java']] - lst_suf=[sound_suf,movie_suf,zip_suf,word_suf,excel_suf,ppt_suf,pdf_suf,pic_suf,code_suf] + dic = {} + sound_suf = ['file-audio', ['mp3', 'wave', 'snd', 'aif', 'wav']] + movie_suf = ['file-video', ['mp4', 'avi', 'mov', 'swf']] + zip_suf = [ + 'file-archive', ['zip', 'rar', '7z', 'tar', 'gz', 'bz', 'jar', 'z'] + ] + word_suf = ['file-word', ['doc', 'docx']] + excel_suf = ['file-excel', ['xls', 'xlt']] + ppt_suf = [ + 'file-powerpoint', ['ppt', 'pptx', 'pps', 'pptx', 'ppa', 'ppam'] + ] + pdf_suf = ['file-pdf', ['pdf']] + pic_suf = ['file-image', ['bmp', 'gif', 'png', 'jpg', 'jpeg', 'pic']] + code_suf = [ + 'file', + [ + 'c', 'o', 'h', 'sh', 'cc', 'm', 'cpp', 'py', 'lisp', 'scala', + 'rust', 'java' + ] + ] + lst_suf = [ + sound_suf, movie_suf, zip_suf, word_suf, excel_suf, ppt_suf, pdf_suf, + pic_suf, code_suf + ] for lst in lst_suf: suf, li = lst for i in li: - dic[i]=suf + dic[i] = suf dic['dir'] = 'folder' - dic['other']='pencil-square-o' + dic['other'] = 'pencil-square-o' return dic + FMT_DIC = getFmt() + def getIcon(name): - suf=name[name.rfind('.')+1:] + suf = name[name.rfind('.') + 1:] return FMT_DIC[suf] if suf in FMT_DIC else FMT_DIC['other'] + def prepare(): if os.path.exists(TARDIR): - os.system('rm -rf '+TARDIR) + os.system('rm -rf ' + TARDIR) try: os.mkdir(TARDIR) - with open(os.path.join(TARDIR,'_config.yml'),'w',encoding='utf-8') as f: + with open( + os.path.join(TARDIR, '_config.yml'), 'w', + encoding='utf-8') as f: f.write('theme: jekyll-theme-cayman\n') - except:return + except: + return + + def handleDir(target): prepare() n = len(target) gen = os.walk(target) - for path,dirs,files in gen: + for path, dirs, files in gen: dirs = [d for d in dirs if d not in IGNORE] dirs = pinyinSort(dirs) files = pinyinSort(files) path = path[n:].strip(os.path.sep) segs = path.split(os.path.sep) - if path.startswith('.') or any(seg in IGNORE for seg in segs) :continue - tar = os.path.join(TARDIR ,path) + if path.startswith('.') or any(seg in IGNORE for seg in segs): continue + tar = os.path.join(TARDIR, path) if 'index.html' in files: - try:shutil.copytree(path,tar) + try: + shutil.copytree(path, tar) except Exception as e: - print(e,path) - else: genIndex(path,dirs,files) + print(e, path) + else: + genIndex(path, dirs, files) + -def genIndex(path,dirs,files,htmlTemp = HTML): +def genIndex(path, dirs, files, htmlTemp=HTML): md = '' if 'README.md' in files: - with open(os.path.join(path,'README.md'),'r',errors='ignore') as f : + with open(os.path.join(path, 'README.md'), 'r', errors='ignore') as f: #
    \nRead Me\n
    \n - md = '\n

    Read Me

    \n'+f.read() + md = '\n

    Read Me

    \n' + f.read( + ) files.remove('README.md') cur = getPath(path) - tar = os.path.join(TARDIR ,path) - if not os.path.exists(tar):os.mkdir(tar) + tar = os.path.join(TARDIR, path) + if not os.path.exists(tar): os.mkdir(tar) - dirLst = genDirectoryList(path,dirs) - fileLst = genFileList(path,files,tar) - cont = htmlTemp.format(DOWNLOAD=DOWNLOAD+path,cur=cur,dirLst = dirLst,fileLst = fileLst,readme=md2html(md)) + dirLst = genDirectoryList(path, dirs) + fileLst = genFileList(path, files, tar) + cont = htmlTemp.format( + DOWNLOAD=DOWNLOAD + path, + cur=cur, + dirLst=dirLst, + fileLst=fileLst, + readme=md2html(md)) filename = os.path.join(tar, NAME) - with open(filename,'w') as f: + with open(filename, 'w') as f: f.write(cont) + def getPath(path): lst = path.split(os.path.sep) lst = lst[::-1] - lst.append('home ') + lst.append('') url = 'index.html' res = [] for i in lst: - res.append('{txt}'.format(url = url,txt = i)) - url='../'+url + res.append('{txt}'.format(url=url, txt=i)) + url = '../' + url return '/'.join(res[::-1]) + LIITEM = '
  •  {name}
  • ' -def genFileList(path,files,tar = TARDIR): + + +def genFileList(path, files, tar=TARDIR): files = [i for i in files if not i.startswith('.')] link = {} for k in files: if k.endswith('.md'): - shutil.copy(os.path.join(path,k),tar) + shutil.copy(os.path.join(path, k), tar) link[k] = k[:-3] + '.html' else: - link[k] = os.path.join(PATH,path,k) - lst = [LIITEM.format(icon=getIcon(key),name = key+'---({})'.format(getSize(os.path.join(path,key))),path = link[key]) for key in files] - if lst==[]: lst.append('
  •  None
  • ') + link[k] = os.path.join(PATH, path, k) + lst = [ + LIITEM.format( + icon=getIcon(key), + name=key + '---({})'.format(getSize(os.path.join(path, key))), + path=link[key]) for key in files + ] + if lst == []: lst.append('
  •  None
  • ') return '\n'.join(lst) -def genDirectoryList(path,dirs): - keys=[i for i in dirs if i[0]!='.'] - link = {i:os.path.join(i,'index.html') for i in keys if i[0]!='.'} - lst = [LIITEM.format(icon=FMT_DIC['dir'],name = key,path =link[key]) for key in keys] - if lst==[]: lst.append('
  •  None
  • ') + +def genDirectoryList(path, dirs): + keys = [i for i in dirs if i[0] != '.'] + link = {i: os.path.join(i, 'index.html') for i in keys if i[0] != '.'} + lst = [ + LIITEM.format(icon=FMT_DIC['dir'], name=key, path=link[key]) + for key in keys + ] + if lst == []: lst.append('
  •  None
  • ') return '\n'.join(lst) -if __name__ =='__main__': + +if __name__ == '__main__': handleDir(WALKDIR) diff --git "a/\345\244\247\345\255\246\347\211\251\347\220\206\345\256\236\351\252\214/README.md" "b/\345\244\247\345\255\246\347\211\251\347\220\206\345\256\236\351\252\214/README.md" deleted file mode 100644 index af419c8..0000000 --- "a/\345\244\247\345\255\246\347\211\251\347\220\206\345\256\236\351\252\214/README.md" +++ /dev/null @@ -1,8 +0,0 @@ -## 大物实验模板 - -> 百度云资源,来自 qq 群 973935817 - -* [1 级](https://pan.baidu.com/s/1oRoANGJAR1VdEPBmArmX0w) 提取码:kpmk -* [2 级](https://pan.baidu.com/s/1QZ3wyN-fC1Hr0cdGZx672A) 提取码:yfnz -* [3 级](https://pan.baidu.com/s/1J39pLvg_r4FTsg0tgmCO4A) 提取码:z9v8 -* [5 级](https://pan.baidu.com/s/1ECwc9jSy_kODFslJaTcC-Q) 提取码:6u3q From e1dfe005cf57962deaa489bc991c0a82400d9fe1 Mon Sep 17 00:00:00 2001 From: mbinary Date: Mon, 1 Apr 2019 21:18:42 +0800 Subject: [PATCH 06/55] Update fontawesome icon link --- utils/config.py | 8 ++++---- utils/genIndex.py | 8 ++++---- 2 files changed, 8 insertions(+), 8 deletions(-) diff --git a/utils/config.py b/utils/config.py index d313a52..c72cee3 100644 --- a/utils/config.py +++ b/utils/config.py @@ -29,7 +29,7 @@

    -   Backward   +     :/{cur}

    @@ -39,7 +39,7 @@ - 点击 Files 的链接下载二进制文件 - 或者打开文本文件(markdown 文件经过渲染) -

    Directories  

    +

    Directories  

      {dirLst}
    @@ -48,8 +48,8 @@ --- --- diff --git a/utils/genIndex.py b/utils/genIndex.py index 2712eda..05e8569 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -136,7 +136,7 @@ def genIndex(path, dirs, files, htmlTemp=HTML): def getPath(path): lst = path.split(os.path.sep) lst = lst[::-1] - lst.append('') + lst.append('') url = 'index.html' res = [] for i in lst: @@ -145,7 +145,7 @@ def getPath(path): return '/'.join(res[::-1]) -LIITEM = '
  •  {name}
  • ' +LIITEM = '
  •  {name}
  • ' def genFileList(path, files, tar=TARDIR): @@ -163,7 +163,7 @@ def genFileList(path, files, tar=TARDIR): name=key + '---({})'.format(getSize(os.path.join(path, key))), path=link[key]) for key in files ] - if lst == []: lst.append('
  •  None
  • ') + if lst == []: lst.append('
  •  None
  • ') return '\n'.join(lst) @@ -174,7 +174,7 @@ def genDirectoryList(path, dirs): LIITEM.format(icon=FMT_DIC['dir'], name=key, path=link[key]) for key in keys ] - if lst == []: lst.append('
  •  None
  • ') + if lst == []: lst.append('
  •  None
  • ') return '\n'.join(lst) From f9bf4995a457a11dc5139f00da58a948c40dd6b8 Mon Sep 17 00:00:00 2001 From: mbinary Date: Mon, 1 Apr 2019 21:40:33 +0800 Subject: [PATCH 07/55] Update fontawesome icon link --- utils/genIndex.py | 52 +++++++++++++++++++---------------------------- 1 file changed, 21 insertions(+), 31 deletions(-) diff --git a/utils/genIndex.py b/utils/genIndex.py index 05e8569..6ed576b 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -32,38 +32,28 @@ def md2html(s): def getFmt(): - dic = {} - sound_suf = ['file-audio', ['mp3', 'wave', 'snd', 'aif', 'wav']] - movie_suf = ['file-video', ['mp4', 'avi', 'mov', 'swf']] - zip_suf = [ - 'file-archive', ['zip', 'rar', '7z', 'tar', 'gz', 'bz', 'jar', 'z'] - ] - word_suf = ['file-word', ['doc', 'docx']] - excel_suf = ['file-excel', ['xls', 'xlt']] - ppt_suf = [ - 'file-powerpoint', ['ppt', 'pptx', 'pps', 'pptx', 'ppa', 'ppam'] - ] - pdf_suf = ['file-pdf', ['pdf']] - pic_suf = ['file-image', ['bmp', 'gif', 'png', 'jpg', 'jpeg', 'pic']] - code_suf = [ - 'file', - [ + dic = { + 'file-audio': ['mp3', 'wave', 'snd', 'aif', 'wav'], + 'file-video': ['mp4', 'avi', 'mov', 'swf'], + 'file-archive': ['zip', 'rar', '7z', 'tar', 'gz', 'bz', 'jar', 'z'], + 'file-word': ['doc', 'docx'], + 'file-excel': ['xls', 'xlt'], + 'file-powerpoint': ['ppt', 'pptx', 'pps', 'pptx', 'ppa', 'ppam'], + 'file-pdf': ['pdf'], + 'file-image': ['bmp', 'gif', 'png', 'jpg', 'jpeg', 'pic'], + 'file-code': [ 'c', 'o', 'h', 'sh', 'cc', 'm', 'cpp', 'py', 'lisp', 'scala', 'rust', 'java' - ] - ] - lst_suf = [ - sound_suf, movie_suf, zip_suf, word_suf, excel_suf, ppt_suf, pdf_suf, - pic_suf, code_suf - ] - - for lst in lst_suf: - suf, li = lst - for i in li: - dic[i] = suf - dic['dir'] = 'folder' - dic['other'] = 'pencil-square-o' - return dic + ], + 'file-import': ['md'], + } + FMT_DIC = {} + for i, li in dic.items(): + for suf in li: + FMT_DIC[suf] = i + FMT_DIC['dir'] = 'folder' + FMT_DIC['other'] = 'file' + return FMT_DIC FMT_DIC = getFmt() @@ -115,7 +105,7 @@ def genIndex(path, dirs, files, htmlTemp=HTML): #
    \nRead Me\n
    \n md = '\n

    Read Me

    \n' + f.read( ) - files.remove('README.md') + files.remove('README.md') cur = getPath(path) tar = os.path.join(TARDIR, path) if not os.path.exists(tar): os.mkdir(tar) From c55e034c379f7b233f22774cd0d51f37021bf254 Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 09:30:01 +0200 Subject: [PATCH 08/55] Travis CI: Simplify using language: 'python' __language: python3__ does not exist in Travis which is why __apt install__ was required. Using __language: python__ instead will automate the installation of both Python 3.6 and pip. --- .travis.yml | 47 +++++++++++++++++++---------------------------- 1 file changed, 19 insertions(+), 28 deletions(-) diff --git a/.travis.yml b/.travis.yml index add7b1e..00772ea 100644 --- a/.travis.yml +++ b/.travis.yml @@ -1,24 +1,21 @@ -language: python3 -sudo: required -python: - - "3.6" +language: python +python: "3.6" -notifications: - email: - recipients: - - zhuheqin1@gmail.com - on_success: change # default: change - on_failure: always # default: always +branches: + only: + - master + +env: + # Github Pages + - GH_REF: github.com/USTC-Resource/USTC-Course install: - - sudo apt-get install python3 -y - - sudo apt-get install python3-pip -y - - sudo pip3 install markdown - - sudo pip3 install pypinyin + - pip install --upgrade pip + - pip install markdown pypinyin script: - - python3 utils/genReadme.py - - python3 utils/genIndex.py + - python utils/genReadme.py + - python utils/genIndex.py after_script: # Build Master Repository(Coding Pages) @@ -31,15 +28,9 @@ after_script: - git commit -m "Travis-CI Update pages with build $TRAVIS_BUILD_NUMBER" - git push -f "https://${GH_TOKEN}@${GH_REF}" master:gh-pages -addons: - apt: - update: true - -branches: - only: - - master -env: - global: - # Github Pages - - GH_REF: github.com/USTC-Resource/USTC-Course - +notifications: + email: + recipients: + - zhuheqin1@gmail.com + on_success: change # default: change + on_failure: always # default: always From 70ca25d33f2a567eae3bc40a600f3f21781bf9bb Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 09:34:58 +0200 Subject: [PATCH 09/55] Fix typo: pont() --> point() MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit [flake8](http://flake8.pycqa.org) testing of https://github.com/USTC-Resource/USTC-Course on Python 3.7.1 $ __flake8 . --count --select=E9,F63,F72,F82 --show-source --statistics__ ``` ./算法基础/labs/2018-徐云/lab1/PB16030899-朱河勤-实验一.py:11:16: F821 undefined name 'pont' return pont(-self.x, -self.y) ^ ./数理逻辑/codes/mbinary/system_L.py:220:23: E999 TabError: inconsistent use of tabs and spaces in indentation s=s.replace('<->','-') ^ ./数据结构/codes/mbinary/graph/directed.py:49:9: F821 undefined name 'self' self.vertexs[i]= x ^ ./数据结构/codes/mbinary/graph/directed.py:49:22: F821 undefined name 'i' self.vertexs[i]= x ^ ./数据结构/codes/mbinary/graph/adjacentList.py:55:9: F821 undefined name 'self' self.vertexs[i]= x ^ ./数据结构/codes/mbinary/graph/adjacentList.py:104:33: F821 undefined name 'e' while arc.nextEdge!=e: ^ ./数据结构/codes/mbinary/graph/adjacentList.py:112:41: F821 undefined name 'e' while arc.nextEdge!=e: ^ ./数据结构/codes/mbinary/graph/undirected.py:60:9: F821 undefined name 'self' self.vertexs[i]= x ^ ./数据结构/labs/2017/navigation/graph.py:52:9: F821 undefined name 'self' self.vertexs[i]= x ^ ./数据结构/labs/2017/navigation/directed.py:39:9: F821 undefined name 'self' self.vertexs[i]= x ^ ./数据结构/labs/2017/navigation/directed.py:39:22: F821 undefined name 'i' self.vertexs[i]= x ^ 1 E999 TabError: inconsistent use of tabs and spaces in indentation 10 F821 undefined name 'self' 11 ``` __E901,E999,F821,F822,F823__ are the "_showstopper_" [flake8](http://flake8.pycqa.org) issues that can halt the runtime with a SyntaxError, NameError, etc. These 5 are different from most other flake8 issues which are merely "style violations" -- useful for readability but they do not effect runtime safety. * F821: undefined name `name` * F822: undefined name `name` in `__all__` * F823: local variable name referenced before assignment * E901: SyntaxError or IndentationError * E999: SyntaxError -- failed to compile a file into an Abstract Syntax Tree --- ...262\263\345\213\244-\345\256\236\351\252\214\344\270\200.py" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/\347\256\227\346\263\225\345\237\272\347\241\200/labs/2018-\345\276\220\344\272\221/lab1/PB16030899-\346\234\261\346\262\263\345\213\244-\345\256\236\351\252\214\344\270\200.py" "b/\347\256\227\346\263\225\345\237\272\347\241\200/labs/2018-\345\276\220\344\272\221/lab1/PB16030899-\346\234\261\346\262\263\345\213\244-\345\256\236\351\252\214\344\270\200.py" index fe2e828..f0e0008 100644 --- "a/\347\256\227\346\263\225\345\237\272\347\241\200/labs/2018-\345\276\220\344\272\221/lab1/PB16030899-\346\234\261\346\262\263\345\213\244-\345\256\236\351\252\214\344\270\200.py" +++ "b/\347\256\227\346\263\225\345\237\272\347\241\200/labs/2018-\345\276\220\344\272\221/lab1/PB16030899-\346\234\261\346\262\263\345\213\244-\345\256\236\351\252\214\344\270\200.py" @@ -8,7 +8,7 @@ def __init__(self,x,y): self.x=x self.y=y def __neg__(self): - return pont(-self.x, -self.y) + return point(-self.x, -self.y) def __len__(self): return self.norm(2) def __lt__(self,p): From 8f2f157200307852c7399ef7464c78338ea90d3d Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 19:20:35 +0200 Subject: [PATCH 10/55] Travis CI: Use flake8 to find syntax errors and undefined names http://flake8.pycqa.org --- .travis.yml | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/.travis.yml b/.travis.yml index 00772ea..ebcd54a 100644 --- a/.travis.yml +++ b/.travis.yml @@ -11,9 +11,10 @@ env: install: - pip install --upgrade pip - - pip install markdown pypinyin + - pip install flake8 markdown pypinyin script: + - flake8 . --count --select=E9,F63,F72,F82 --show-source --statistics - python utils/genReadme.py - python utils/genIndex.py From 40abc7ca6d18f3186a947ba19090906354ba60e3 Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:29:41 +0200 Subject: [PATCH 11/55] Typo: selfi --> self, i --- .../codes/mbinary/graph/directed.py" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" index 92780ea..c60f613 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" @@ -45,7 +45,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs.values()) From 2f9f2aa2833a06901b462dd6f0a0473a126a7e0c Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:32:03 +0200 Subject: [PATCH 12/55] Typo: selfi --> self, i --- .../codes/mbinary/graph/adjacentList.py" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" index d073264..661c0e2 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" @@ -51,7 +51,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self, i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs) From 3b0c51681f128677c46404f1aacd06acd7163e1b Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:35:31 +0200 Subject: [PATCH 13/55] Typo: selfi --> self, i --- .../codes/mbinary/graph/undirected.py" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" index 9ea58c6..903ee90 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" @@ -56,7 +56,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs) From c7d89581ee6ba265e7087ea610ecb2f367c5e918 Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:36:48 +0200 Subject: [PATCH 14/55] Typo: selfi --> self, i --- .../labs/2017/navigation/graph.py" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" index 799aaf6..9731e56 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" @@ -48,7 +48,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs) From 0e6628fbfc4fdd475507ea04d68c7c50f3df86ce Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:37:57 +0200 Subject: [PATCH 15/55] Typo: sefli --> self, i --- .../labs/2017/navigation/directed.py" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" index 8dc72bb..8baf8ff 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" @@ -35,7 +35,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs.values()) From 27fcdf1d43fba2b8eb334583409fcbb8a5d26f11 Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:52:19 +0200 Subject: [PATCH 16/55] Python 3 treats mixed tab and spaces indentation as a syntax error --- .../codes/mbinary/system_L.py" | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git "a/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" "b/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" index fb9ed7c..dd3ed21 100644 --- "a/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" +++ "b/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" @@ -216,8 +216,8 @@ def l3(self,p,q): right = contain(p,q) return contain(left,right) def genFormula(self,s:str)->formula: - s=s.replace('~~','') # simplify the deduction, to do - s=s.replace('<->','-') + s=s.replace('~~','') # simplify the deduction, to do + s=s.replace('<->','-') s=s.replace('->','>') li = re.findall(r'[\(\)\>\~]|\w+',s) li = [sympy.Symbol(i) for i in li] From 40a0cdbd3627104c6f1a07cd7df36ad1b50db8c8 Mon Sep 17 00:00:00 2001 From: cclauss Date: Wed, 3 Apr 2019 21:54:41 +0200 Subject: [PATCH 17/55] Update system_L.py --- .../codes/mbinary/system_L.py" | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git "a/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" "b/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" index dd3ed21..e0e6d43 100644 --- "a/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" +++ "b/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" @@ -216,8 +216,8 @@ def l3(self,p,q): right = contain(p,q) return contain(left,right) def genFormula(self,s:str)->formula: - s=s.replace('~~','') # simplify the deduction, to do - s=s.replace('<->','-') + s=s.replace('~~','') # simplify the deduction, to do + s=s.replace('<->','-') s=s.replace('->','>') li = re.findall(r'[\(\)\>\~]|\w+',s) li = [sympy.Symbol(i) for i in li] From 1f02990039a05a0b981c1d010c675729fa91afbc Mon Sep 17 00:00:00 2001 From: cclauss Date: Thu, 4 Apr 2019 12:23:26 +0200 Subject: [PATCH 18/55] Typo: sysmpy --> sympy --- .../codes/mbinary/system_L.py" | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git "a/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" "b/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" index e0e6d43..97d9e9c 100644 --- "a/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" +++ "b/\346\225\260\347\220\206\351\200\273\350\276\221/codes/mbinary/system_L.py" @@ -29,9 +29,9 @@ NON = sympy.Symbol('~') CONTAIN = sympy.Symbol('>') -AND = sympy .Symbol('&') -OR = sysmpy.Symbol('|') -EQUAL = sysmpy.Symbol('-') +AND = sympy.Symbol('&') +OR = sympy.Symbol('|') +EQUAL = sympy.Symbol('-') LEFT = sympy.Symbol('(') RIGHT = sympy.Symbol(')') From b3f8af663d3803545bf916f3b9452f4563113c45 Mon Sep 17 00:00:00 2001 From: mbinary Date: Thu, 4 Apr 2019 21:59:32 +0800 Subject: [PATCH 19/55] Correct python typo for data structure --- .../codes/mbinary/graph/adjacentList.py" | 208 ++++++++++-------- .../codes/mbinary/graph/directed.py" | 2 +- .../codes/mbinary/graph/undirected.py" | 2 +- .../labs/2017/navigation/directed.py" | 2 +- .../labs/2017/navigation/graph.py" | 2 +- 5 files changed, 125 insertions(+), 91 deletions(-) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" index d073264..34cf1c1 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/adjacentList.py" @@ -10,144 +10,175 @@ ######################################################################### ''' -from collections import Iterable,deque +from collections import Iterable, deque + + class vertex: - def __init__(self,mark,firstEdge=None,val=None): + def __init__(self, mark, firstEdge=None, val=None): self.mark = mark self.val = val self.firstEdge = firstEdge self.isVisited = False + def __str__(self): - return 'V'+str(self.mark) + return 'V' + str(self.mark) + def __repr__(self): return str(self) + + class edge: - def __init__(self,adjVertexs, weight = 1,nextEdge=None): + def __init__(self, adjVertexs, weight=1, nextEdge=None): '''adjVertexs:tuple(v.mark,u.mark)''' self.weight = weight self.adjVertexs = adjVertexs self.nextEdge = nextEdge self.isVisted = False - def __add__(self,x): - return self.weight +x - def __radd__(self,x): - return self+x - def __getitem__(self,k): - if k!=0 or k!=1:raise IndexError + + def __add__(self, x): + return self.weight + x + + def __radd__(self, x): + return self + x + + def __getitem__(self, k): + if k != 0 or k != 1: raise IndexError return self.adjVertexs[k] + def __str__(self): - return '--'+str(self.weight)+'--' + return '--' + str(self.weight) + '--' + def __repr__(self): return str(self) + @property def v(self): return self.adjVertexs[0] + @property def u(self): return self.adjVertexs[1] + + class graph: - def __init__(self): + def __init__(self): self.vertexs = {} self.edges = {} - def __getitem__(self,i): + + def __getitem__(self, i): return self.vertexs[i] - def __setitem__(selfi,x): - self.vertexs[i]= x + + def __setitem__(self,i, x): + self.vertexs[i] = x + def __iter__(self): return iter(self.vertexs) + def __bool__(self): - return len(self.vertexs)!=0 - def addVertex(self,vertexs): + return len(self.vertexs) != 0 + + def addVertex(self, vertexs): '''vertexs is a iterable or just a mark that marks the vertex,whichc can be every imutable type''' - if not isinstance(vertexs,Iterable):vertexs=[vertexs] + if not isinstance(vertexs, Iterable): vertexs = [vertexs] for i in vertexs: - if not isinstance(i,vertex) and i not in self.vertexs:self.vertexs[i]= vertex(i) - if isinstance(i,vertex) and i not in self.vertexs:self.vertexs[i.mark]= i + if not isinstance(i, vertex) and i not in self.vertexs: + self.vertexs[i] = vertex(i) + if isinstance(i, vertex) and i not in self.vertexs: + self.vertexs[i.mark] = i - def __getVertex(self,v): - if not isinstance(v,vertex): + def __getVertex(self, v): + if not isinstance(v, vertex): if v not in self.vertexs: - self.vertexs[v]=vertex(v) + self.vertexs[v] = vertex(v) return self.vertexs[v] return v - def addEdge(self,v,u,weight = 1): + + def addEdge(self, v, u, weight=1): v = self.__getVertex(v) u = self.__getVertex(u) - arc = self.findEdge(v,u) - if arc!=None:return #examine that if v,u have been already connected - vertexs = (v,u) - newEdge = edge (vertexs,weight) + arc = self.findEdge(v, u) + if arc != None: + return #examine that if v,u have been already connected + vertexs = (v, u) + newEdge = edge(vertexs, weight) self.edges[vertexs] = newEdge - if v.firstEdge==None: - v.firstEdge=newEdge + if v.firstEdge == None: + v.firstEdge = newEdge else: - arc=v.firstEdge.nextEdge - v.firstEdge=newEdge - def findEdge(self,v,u): + arc = v.firstEdge.nextEdge + v.firstEdge = newEdge + + def findEdge(self, v, u): v = self.__getVertex(v) u = self.__getVertex(u) arc = v.firstEdge - while arc!=None and u not in arc: + while arc != None and u not in arc: arc = arc.nextEdge - if arc!=None:return arc + if arc != None: return arc arc = u.firstEdge - while arc!=None and v not in arc: + while arc != None and v not in arc: arc = arc.nextEdge return arc - def delEdge(self,v,u): - if not isinstance(v,vertex):v= self.vertexs[v] - if not isinstance(u,vertex):u= self.vertexs[u] - if u in v.firstEdge: - v.firstEdge =v.firstEdge.nextEdge + + def delEdge(self, v, u): + if not isinstance(v, vertex): v = self.vertexs[v] + if not isinstance(u, vertex): u = self.vertexs[u] + if u in v.firstEdge: + v.firstEdge = v.firstEdge.nextEdge else: arc = v.firstEdge - while arc.nextEdge!=e: + while arc.nextEdge != None: arc = arc.nextEdge - if arc!=None: arc.nextEdge = arc.nextEdge.nextEdge + if arc != None: arc.nextEdge = arc.nextEdge.nextEdge else: - if v in u.firstEdge: - u.firstEdge =u.firstEdge.nextEdge + if v in u.firstEdge: + u.firstEdge = u.firstEdge.nextEdge else: arc = u.firstEdge - while arc.nextEdge!=e: + while arc.nextEdge != None: arc = arc.nextEdge arc.nextEdge = arc.nextEdge.nextEdge - del self.edges[(v,u)] + del self.edges[(v, u)] + def revisit(self): for i in self.vertexs.values(): i.isVisited = False for i in self.edges.values(): i.isVisited = False + def __str__(self): - arcs= list(self.edges.keys()) - arcs=[str(i[0])+str(self.edges[i])+str(i[1]) for i in arcs] - s= '\n'.join(arcs) + arcs = list(self.edges.keys()) + arcs = [str(i[0]) + str(self.edges[i]) + str(i[1]) for i in arcs] + s = '\n'.join(arcs) return s + def __repr__(self): return str(self) - def minPath(self,v,u): - if v not in self or u not in self:return -1 - v=self.__getVertex(v) - u=self.__getVertex(u) - q=deque([v]) - last={i:None for i in self.vertexs.values()} + + def minPath(self, v, u): + if v not in self or u not in self: return -1 + v = self.__getVertex(v) + u = self.__getVertex(u) + q = deque([v]) + last = {i: None for i in self.vertexs.values()} last[v] = 0 - ds={i:1000000 for i in self.vertexs.values()} - ds[v]=0 - while len(q)!=0: + ds = {i: 1000000 for i in self.vertexs.values()} + ds[v] = 0 + while len(q) != 0: nd = q.popleft() - nd.isVisited=True + nd.isVisited = True arc = nd.firstEdge - while arc!=None: - tgt=None - if arc.v==nd: + while arc != None: + tgt = None + if arc.v == nd: tgt = arc.u - else:tgt = arc.v - tmp=ds[nd]+arc - if ds[tgt] >tmp: - ds[tgt]=tmp + else: + tgt = arc.v + tmp = ds[nd] + arc + if ds[tgt] > tmp: + ds[tgt] = tmp last[tgt] = nd - if not tgt.isVisited:q.append(tgt) + if not tgt.isVisited: q.append(tgt) ''' cur = u while cur !=v: @@ -156,36 +187,39 @@ def minPath(self,v,u): print(str(v)) ''' return ds[u] + def hasCircle(self): pass + def display(self): print('vertexs') for i in self.vertexs: print(i) print('edges') for i in self.edges: - arc=self.edges[i] - print(str(arc.v)+str(arc)+str(arc.u)) - -if __name__=='__main__': - n=int(input()) - while n>0: - cities=int(input()) - n-=1 - g=graph() - li={} + arc = self.edges[i] + print(str(arc.v) + str(arc) + str(arc.u)) + + +if __name__ == '__main__': + n = int(input()) + while n > 0: + cities = int(input()) + n -= 1 + g = graph() + li = {} for i in range(cities): - li[input()]=i+1 - arc=int(input()) + li[input()] = i + 1 + arc = int(input()) for j in range(arc): - s=input().split(' ') - g.addEdge(i+1,int(s[0]),int(s[1])) - ct =int(input()) - for i in range(ct): + s = input().split(' ') + g.addEdge(i + 1, int(s[0]), int(s[1])) + ct = int(input()) + for i in range(ct): line = input() - line= line .split(' ') - v,u = li[line[0]],li[line[1]] - print(g.minPath(v,u)) + line = line.split(' ') + v, u = li[line[0]], li[line[1]] + print(g.minPath(v, u)) g.revisit() #http://www.spoj.com/submit/SHPATH/id=20525991 ''' diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" index 92780ea..c60f613 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/directed.py" @@ -45,7 +45,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs.values()) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" index 9ea58c6..903ee90 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/undirected.py" @@ -56,7 +56,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" index 8dc72bb..8baf8ff 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/directed.py" @@ -35,7 +35,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs.values()) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" index 799aaf6..9731e56 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2017/navigation/graph.py" @@ -48,7 +48,7 @@ def __init__(self): self.edges = {} def __getitem__(self,i): return self.vertexs[i] - def __setitem__(selfi,x): + def __setitem__(self,i,x): self.vertexs[i]= x def __iter__(self): return iter(self.vertexs) From 67e610deb284c1e824ab7b660fc404940d7d0110 Mon Sep 17 00:00:00 2001 From: mbinary Date: Thu, 11 Apr 2019 14:07:40 +0800 Subject: [PATCH 20/55] Add sourcerer avatar, update readme --- README.md | 65 ++---------------- utils/config.py | 6 +- ...\345\256\214\346\225\264\347\211\210).pdf" | Bin 1729063 -> 0 bytes 3 files changed, 8 insertions(+), 63 deletions(-) delete mode 100644 "\346\223\215\344\275\234\347\263\273\347\273\237\345\216\237\347\220\206\344\270\216\350\256\276\350\256\241/homeworks/\346\223\215\344\275\234\347\263\273\347\273\237\346\246\202\345\277\265\346\225\231\346\235\220\347\254\2547\347\211\210\350\257\276\345\220\216\347\273\203\344\271\240\347\255\224\346\241\210(\350\213\261\346\226\207\345\256\214\346\225\264\347\211\210).pdf" diff --git a/README.md b/README.md index 1113dd0..e4ad47d 100644 --- a/README.md +++ b/README.md @@ -31,37 +31,6 @@ # 资料下载 [戳我(●'◡'●)](https://ustc-resource.github.io/USTC-Course) - - # 课程结构 每门课程大致结构如下,有些栏目可能没有,也可以自己添加认为合理的栏目 ``` @@ -104,9 +73,10 @@ course * [微机原理与系统](./微机原理与系统) # 贡献投稿 -[这里是](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) GitHub commit 贡献名单 -内容创作者包括: +## [GitHub Commit](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) +[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/0)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/0)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/1)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/1)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/2)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/2)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/3)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/3)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/4)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/4)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/5)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/5)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/6)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/6)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/7)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/7) +## 内容创作者 - mbinary - Lyncien - kingzevin @@ -114,6 +84,7 @@ course - cclauss - 吴颖文 - 童世炜 +- 熊家靖 如果遗漏了你的名字,可自行 PR 或者联系贡献者。 @@ -122,31 +93,3 @@ course * github 上不能直接上传大于 100mb 的文件。对于超过 100 mb 的文件,可以存在网盘,然后在 README 文件中贴上链接 * 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改. - - diff --git a/utils/config.py b/utils/config.py index c72cee3..11b6e1d 100644 --- a/utils/config.py +++ b/utils/config.py @@ -146,9 +146,10 @@ {index} # 贡献投稿 -[这里是](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) GitHub commit 贡献名单 -内容创作者包括: +## [GitHub Commit](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) +[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/0)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/0)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/1)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/1)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/2)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/2)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/3)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/3)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/4)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/4)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/5)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/5)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/6)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/6)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/7)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/7) +## 内容创作者 - mbinary - Lyncien - kingzevin @@ -156,6 +157,7 @@ - cclauss - 吴颖文 - 童世炜 +- 熊家靖 如果遗漏了你的名字,可自行 PR 或者联系贡献者。 diff --git "a/\346\223\215\344\275\234\347\263\273\347\273\237\345\216\237\347\220\206\344\270\216\350\256\276\350\256\241/homeworks/\346\223\215\344\275\234\347\263\273\347\273\237\346\246\202\345\277\265\346\225\231\346\235\220\347\254\2547\347\211\210\350\257\276\345\220\216\347\273\203\344\271\240\347\255\224\346\241\210(\350\213\261\346\226\207\345\256\214\346\225\264\347\211\210).pdf" "b/\346\223\215\344\275\234\347\263\273\347\273\237\345\216\237\347\220\206\344\270\216\350\256\276\350\256\241/homeworks/\346\223\215\344\275\234\347\263\273\347\273\237\346\246\202\345\277\265\346\225\231\346\235\220\347\254\2547\347\211\210\350\257\276\345\220\216\347\273\203\344\271\240\347\255\224\346\241\210(\350\213\261\346\226\207\345\256\214\346\225\264\347\211\210).pdf" deleted file mode 100644 index 3b059a677280d93569be1130a338e870fd2c8cf4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1729063 zcmdSBby$__+C2(LH%O?|q(O2P4N7-+cc+weH;4#`bT>%1bfC!NC*| z;862)GUpIAb~UzhwB%4SwlsGEL2fRqaL5@uSTcE8v56`$gE&-Oo!v}b)tt@ERU92% zL2z#9Z;ZULv#qp)h2u>>zkW401Kr@vg>HUuFwGszevk31x4#Vbdk_v$M>hvo5QGcH zA!}{sq6_-nAqdR%t6vb9_xB6r*F;r7klSkz`0YFH+m~Ai^cDiWg+Om1&|3)f76QA4 zz-}S1TL|nH0=tF4Zz1qo2>ccTzlFeWAzZf*u3HG#Erjb9!gUMbzJ+k#UgN&K#(jH@ z=k^-UZF8Pm2=8yELA4SO(up5CjJ31_OM90m8um<6wYtFu*yO2e@Az z;C^`l{&)fYcme)^aDah$K!A8afOtTFctC)7K!A8afOtTFctC)7K!A8a{?PUx;{HS3 zf5;n%2Ly-*1c(O&hzA6S2L#GfdL#CzyT=+3I=cx00#kZ5C8`O`hx&CD1d_k zI4FRF0yrQZP#_*q7=Q!T1r%5pP+(m^fpq}|)&&$;7f?X&P(bHUK<7|E=TJcBP(bHU zK<7|E=TJcBP(bHUK<7|E=TJcBP(bHUK<7|E=P*F$FhJ)pK<6+(=P*F$FhJ)pK<6+( z=P*F$FhJ)pK<6+(=P*F$FhJ)pK<6+(=P*F$FhJ)pAn(Bb$mV~f^FQ+W9|`@Bj1KS% z1Nemj{K5d8!vLMb0G-1Cox=c~!vLMb0G-1Cox=c~!vLMb0G-1Cox=c~!vLMb0G-1C zox=c~!vLMb0G-1Cox=c~!vLMb0bRoZJ;MP#!vQ_R0X@S3J;MP#!vQ_R0X@S3J;MP# z!vQ_R0X@S3J;MP#!vQ_R0X@S3J;MP#!-4z)2l5LX$S-gpzrca~0tfO79N--e@D2xf zhXcIB0p8&N?{I*3_$}}Hpg((wUn%-;UgE~JIGg|S62I~whl;t2qnop-xyy|wk#KZy z{q+I{{m$te5)jbu_t8QRMhsF&z!5aTWULou-eS+ ziTByS)AIdqL%i8&4%_8UvG?~vWbP;HOm-I?IXM3C_3knF={`RHjqU5X<7Y=dvy*Rg ztvX->wc6EHaoR_-at{d7EZ^q62%hG7Q4;&nf>gVmH()W~NCU^VE9WVJ2Zv~--HD|> z_G;gbR8Y^{3%M&KwBf>l?>m0iC<&*83Bj6t6A_fPeIi6NM14Y5<`3W5qVF>YJ*>8> z>!t5@M?RXn5|R-XB{}Z`4V&*GLrah;^6cL#qSx3}P75Ou^YyG|Q@I{_a;GyO=o%gL6tW=}Uc&j1J%>vBzc)ExMP-Yd*GJW4>KFvZCW+Br ztf-05eGL_tYBy6c*1%#O-|`-}qz>AHb;&Ua(#)6BGJE1e9^hl$H+-RIbc(sLMf>fQ zTRx`=c4b3A*HhuVX4_|lF>!);C>h8t?E5}<24r!KhGQycA%LGekM2V*|F*F{7X_tMfsu>%<1_C? znq)O$Vl&OsP74{c3`}(E!GvVR`Uqj1nnvsEt{M|6+7Di)fi5;{LJ_j0lP(cn1t_2| zyez^CTXfURFEOEoQkV1?cyLrw=2LVIIt?nDutyG2)XonG%uETX>W4yPJA|vG13tY@ z8bo~Ja(-{@rxxv^=ZG_5!DB=I4@ru7u2XC8dQ8ST_t>R=_{NP}CqH}Espr#gsdZ}m zWR}M7GaZ$%>+$4uYwivsVY=}z#K+&!!%RqXs_KRsi($zsNgToG%#743&2q_h zqYn_c#3YpQYh=}gde85c&Sv?aN+6uH*nv}Hu|IntX3FR8K`C|sf4h9CMOK&=nHwOM;&EHWkx6Q@t z2;MSfWGhy;=8|va<;LoD2RjuqtdHiaFkP@kwUCUM=%iXRd0h z`T6e;e@uDtjjBtO;feHv;^#|W;y70mJl>sA=)Q3YbHKJ)H zRk&cPF+y4#vk|*R{op#6{d2(mMAVPus&5Og@ZHQ24|^dwc5`n;`9qhy2-UsW?mawg zB~iFUG6>o#qSnyOi3eYC4kUbGfNz~x(xH8$5ZQl>aF|eYq#jgNT9jsBm9^gL7`LUf zHKk(gni*A)!qtDRc@Rxl{I#lbm}=;LYCQpJ|t1>4bXmAi6fuu zhIJ=={GdhEz#GJB68BL6O&|SgG$0Z)CEL&4i6-3-c}De1cj`d5E}~($(-n)#gJ>P9 zQl6Q;A#>mRh`~;L*gG>B;~1P9M<`+AwKN{vd`dZW;6yv)3^|RIHihgH=}(@z%^zRa ztP*8m#K(};k1`DZ4Nuur!<6PFgWgYE)Jx;cm#yp-hNjSY#d8JjY#pTM zUPA|{KnT}NUHr{8IkIuJ1f2Ds()6vhrJgKZKF_P`7ePo1N z>m*A1*mhNaUpcapQ1%eVyDfNDzjjgBOiO=mWk<$5S~b;)ca zA(F_;=RM)e^H86Ax$4ma59OUHKchU!*-$pcxl>cdUUerIb0^=*5Tz$-@`IjeC|vHR z4wro+0#4Q2H@Z7jadU+ma?!|?nk2y#mlS+L(mecTsN%*Mn#tB0eUQObS1twUXg}?b zcKj=UCu929-?f)NKJ@=6!~AkUe^`d!HJ@MRh(p!Q#PwGJNbRO<^ZTy~#`fknhKWPO z*v0%eLRdspOkA8r^v2M*IeXGVI3*ojt*lKs#2s#|hP8twho-fIu!D>BKi>apC1&no z>TK=g>gWvmy*uKNuy%HF6}2*U20z5?v}r22fFd_H}x%#+c#h+1oC@i&08dllaoUWXa%`xC1-B@ix&_S ze&gWG?KN&%|AveF_KR%4>KMPqy78SiPWfh-8^idEvKt#`4*5mHtG^MA`n}!w zbC`bZDE@ZW|1M0>zlG^-?%=^>U(5Udb%bt(^6x?ff&V!~zgOX(C;Pkc-)8$CV)T#c z{pax9lqq@s86OB7`lmI;1BU!FJ~#X1f5rz4gWN8Xf3v3kO>)4xi6f4k{_hUg{}a{e#kB5ih)J*-_l*`$CwH8Z|hzc*{xxWv{%;oI-#*!XJrwzG6*9y?cA=Q`Qzq*XV6j_5)I-t-`gNPKp+;`YW6?al* z|0ea-R8pG{uis3=2UqQ}`JI!o?H`pVV~y7~-`e%c_iOa+_lH8-{9MKiR`m>G{Nu`v zxX(tghLFDnC#{LxPg;jsazEwV9>9ZGMsJ^rRH6L%=HYq5dvzcLmwp+mRwFOT#jKi9 zS0byiCsmr?{{sc4efHXVY6-7Z);zPynr}Ek9_RV!)78xYhVRkK-<&;9ND@z@O78Y0 z5($jN5?`l(OYtdg*Km7dHe(lB_&p_aaL>Xvr%5nnOobWph3)+3hnIetToYO&_D^iq zUIbVcHV0wNWfg`DHv3rw5yvlnbIs_P_XmkI;j3tK1-%m4k6Gw;rqy;JB8|5%8;Mq2 zt>)`h%?uVmZ(2GPu$0)=GskYwne4oJ`iiu}(4eaEsNz0Z$H1=N)9+_w4E-HR7eVyu zf?vN-ABfjkymK#U9m1o5i|tO&C;i((J7s?f zVb;7Lx3C8n9Xe?cOE@Z3vK7v}=%H$s5ZXyqwH%DGG)A*dQEy9iP(rj>K2mYCt(V7A zQw#PRq_%D*UZ3rGZev`}n*DLwtyo+``63n>!z;aVjXb75Iy0 z;q0pN(g_Qb@$Vd)Tk|9fF}&;|Mb`((NjAb_8V)v@|i^L$ryOgU`1<71f{) zjDk#5ZEM#K^Hcvz*x{1g{LMEm4$BujRfKW3ve z@U?#+mCx*)Y)<>Vg)Vk5q_d@|kB*G%c|j3?Sy{NT&BF+A8c zcP2?7%58i$(AS6uYvf!bGH<8(*t&krqLQa5TRM6(VJO zHqyMyDzs3XAP_xp0t%B!d$M52;m{2-b7!y`I6D)|#7dRWI;=q-i;rMevQVK%zby99 z(6yp%?X2LC#SA4l?7$37Y?|Uyr*?3E{J8rbQ~Sb;qTu8z9NTnMtFizsWs9x>ibRjJ zH7`$RtWPp59(8P1BH14w**Refe@i!t+kUOIh+<~Gq#E2wE>Hk}?!907>Ym1>Akt9g%L~D)EM{jmI;pkOvw+jU!in!|< zaVk!ESwde+lny`o1w#UUtDvc*@+=qnM~0Cm72g+4W$&=>nz^y}+JcBnO*O-7NM8z~ zcPRa+23?q4KScDpe$Dvht5C}*h;C$bgZFi#+!D)c3&=_sFJY4x-B3aiZZd4tA{GdSzG@g}f%I zIC1j25Qko4J3j5LV#XD})2!FCo-vHth&^xiJaveqqK7Y@ddPtzq5$9Zy&SBXa(>oc zg^(UKQfJBLAE(OE=e3V{esl>uiKcN0PIhkXl%hnG zwn6ew89qG>*zJCu*Q@vA^)>OKq!Bc`g&aXa_bP<()T1QIDz7$1Sq2?=j z9#Ig^a*tfs2`lzx#G)Jf7zga>F%L3BJT4AztvKK28Hx+GY<&H5Lex`pNj?9MI|n1Y zt*aX6RIKe!&^09HR_woNum1bbiEba+{g+G>29IxhAT`f;!Q>>MyBc-_qHtbOmH2_v&kdam_mrU0F9Hk%F& zNV$TEai-%Dv{p7st(^YM!)TuFGlYN6(PCD!^6B+{wa2{V+?;0R=j#n)b@p6E&8Le+ z9hHZ;iXUdKO|)nF+TYKfdAgo$bG0Iai%oS+yZf(U^MtXGo@>p!2si)}KA=fKX z>AK!Wj|>fbOgbL*rM^6otI-#LmPZgT4ltK(q{Nl?pV0eAjO8p0%(5-@cGv7uXKFXR zUVqWN&Zsxh>o57V!@!^wMT4CGG=zegFw@-EU8s8eljv1J`RB4?JvF9@oi8B@Z*=M7 z(-M}IS>v&PZ13Orrys4X*<4|1-_$xO1EGuW(i!)h(&Pw7W>Rn%2$trjTw-m1BI2z} z)8t259LHn56ldVpiypKYx=U~{JQDr!%yf zN1}HR92=EgFDQM#HZB^jhz-s7M|s_^uF3pgl7G_>XzLdj+Me(a5;IC{I7>r#v15r&gB=YkYnGLxN)?-9Q0O z4axKrhmAk?XtB&xD36eymJG@A#`ht^+H2?Y4aDbBm7wE9Coz(X1v5NbQ^CH6B~$v= zJHW5&PChl&rxzUxgbCf18Ca1={el-{57L-@#!k~K=0r5S`auE6!f~oCN(8Pvk@e@hzBa_Co*5}Z0E8*qEl9pGg(YO+s znGF#jeu2Hg{L%I4J8k)*SLP0p@PJMvx7{sqLMJY4x_II50i%Z)Pq_S?0$#!xw6KKf zibGoG*mQ#ZRNg6m82UQHv!%?I9p#hzP>!8iul1o!4IwpSlp04Gm7ou1g2;kO0I|@( zxwRC9cpCyk~FhtwjAP9vW17zP3*YKv1L@mD+9@uJ&s zse!|`0PRQ5DuU6TGhmogBiD9y)q?tJwKt@|`~|yq4b1wn60+EBRqH(IZ z{^x6-T^T&F@xD|ASgdpN0@5S)I=t0)iglasUflh}e)*xdpOlmOKu(@Qf?n^b`bg<~ z^IErQQr8^`)kr1YxxM26&hfm3?z8eUa;*1Lm&|fL55MhCpXPt~44*G@Xpet>IDz>| z(sd%St>;@6?N%CPR9+RMGt*KyIkKp7?50|7v$AM>vN(u{_H+b{(7uzEYP&v1*GRpB^apHEZ9puW2B*~HIw67j3T*4@h0mL06DJMjR}D+bchjD_up%)t_AwY&I=vh&9`s$A zBC*-u0Q9ceo=q(jN65^D*lam8BLlG}_|OPs$x1RESog*(l*w z?R41}({f&YckjS7xF1spDo1^J5A$w&=)KC9E?r|7(yLU-rr!9UEl1X#2ee3>dq9{)~rzyfxyKtV&Cg4q3iGFAjyR{1T^$=^lowA@i z6Rvq&K(8L2;J{J7gvU?fongY0<>%btW2laGBTZv0{QKQjI-l<`c^UTkk{^mA_tpi){bdVYJ_xjj1`u*jRQGcNp0>5@v2L0*$wtI^nfsxhJ zwzZ1l)1Nvyv56xFg7g$;7jl`24m`)IN>$24niDoyP$JgyvXX*!1he?<>#yi=;?|sj zP|K$06G^_0LxZFD+oK!0sK?C6)QDclzMt;9N2yu&$^a)L`SFV3RB8U%msrC0<<`eG zXvraWmPw8$8H(=;rry!XkQ%cuOE{&n3eTB8?Mf!Eybx!f=g6)uTRov23FI#(wM~72 zTNFaffyU}}O*8n(!~F$nwQHxH){hf@5^?jM0JCcjT*5Y?i8PZ&tKiQ}9 z)f%0IQ9tX;{30fI%!$ChI(Yqdg{&uzE!_vV0%QFRL)Xu{179P0PCF3lA8)WeRk$l! z##O;7M6luC-BZc`Fa6)|!()H=m*3UF|Cdhg|40$+_Ndi=$-hDW;@|#JDgJei=|7zG z;r*XQFfCcf&6^?^+MxfM@R@L&WySa;8;&_XcX1dj(>SnLa9ryiLQ>dAB zFD0Cxi{r~~xLAA{^MR(ftsIsPm{gk@`hdOL7Kx+Xn$3@*+1|wt7z^+)c?lq{blcR zTNl4Cl6JiJ1Q8nkfGzYtku48Z+tw67Gk$A1xDqr>2P~TqJOWwwaL62>mQ`#@bpGY;_>{bt=TO?+1tMa0f z()t%{{2nuF+o5heP(l}ZEZO3?Ne+Mfos)1noM((yh@mV!UVSf-3>@dC=IK^&S`zB^ zJ;?Dg+qbPuhxA=Y;hFXtX-fN|5m_%ECuU~;G{$+*ERNs3`vipeVi?9U`=7RwCl%11 zPOC&)`DIH#v@ufuV7K=s>AIo9Xp06RoUaUZPJfb>pgzr#2vwQK1jY9?G02(8G1OtT z`;tC6*Bj-LEs@`<`tkc1d-K8u!sZQ|rWs6kgn(cfF0^#+H9=WR>TeDsY&tpmcQR{= zi}}x`ZC#vYI%fifUUJ`6xV$^!KM2Ee67y+clMqv^tdF18^O)3)BDYGLA)!7F!Is(? zHwh5;|Ab775d3ZuP07NLZDrsoAJVIP1Waj!QZ{4MEt#0G(wqzf&P`7)tqBS=sZ6Rs z^v9ZOr)w*M!@||2+I9pz=94eK^h!t0wW5-#p@fMGjI_KLW|acBJeO6EdGFX8VWO0z1KL^=xViHiuR~d_R#J-(N-0HG~1|je}5AxZ~R2xw3 zw1nL92z2G%MXND4nt-~R6cXt0T~#pV=GZ*haCQX-(tFIC=G*l{8&{d;hzA1&+%!~D zp7}*k2nz5wXlNr5MRHhg+vy(h3oKFGBSlIMh%uJlR3T-U7%jn4KyHfN)){16`$Sb8 z>WB1dnR7Vnwe2`?rw1i)_pGIPR8O%a3 zm2J639gP?J;^fEu@GV`2RLT?kunnJi&9`@6b?Lusr1#`MU=O~wewA?!MkIHy4g)D8 zs*>^H4(jH4YUiCWNtdM(*Yovp8R*XE-s3)~|CT#x9K-WA6 zPiTiA$t%BEqESpA75}_)MQPEXWM|U4tl^TM=Nm&$YvQm6-82bYSyC07lizN4j(4q> zYXXbD6#1|tf)%yjO-%U$?G67U1P-dzpE_YlTKVNE_$*(Dmwx=+g5Yo6}d8X zVHEX2gvC#q(Yi5J9TKHR(uZeEW2190lbc0k1EyIX-B(dpOoPed8-d#b@{@J!T%V`5 z_EGyA}@U$vRbUD#S{&VQ1^Xxslr>HgJB0lUjLSyDucR9KgA)q^+{bIjG5&BO=g!674h z&v3%CDVz~zxs~n@_op+GuV1rpt|j)*Z6hhIb)9WktaW$yT4Y9<$Cfa(KaOFQsH|aV z*4j!Mab@cNaxm|Dl9V5@+7)kU-Y=_DDBLzx{E?&G+3f-ME1{x#%dL9~-hmjq6}?}C zD&E$=%!^B1%#KAHi>ly$1J|!_nZ|m&=ZFz|k@AM4Y04uKjef<-zMMf?{vkTvl~U#t z;!653y%QqJfsadJ_Qgy(K?B+?C4w4aIlGdIUa`;J3PxyW+leqE1la^f#d(Dn^awNr zG>Cp|RhP!y*C6Jxh}BjYYN=@~Hc4`VZZBPe;l6r z-SZzd^gq}zC@{EhZpxVTe3up#pR_0T410Z7qdu|Hk_nz!>Oe8m+jtst=4m$yttT=pL-d-V{_lP)l19q zM~m0IeQBBDa8V2M8?WWjoylvw#>wmOk?1mSO5(|$%?~Kg?|tjKE1ROE9IJU(uvO2X zY8T_DufOLB_{R~0@{^aNVy*HPeC&7cKb0n#8;Xf;>N;Wche&g8c_>lCY?REA$zL~z9AX-$$LUZw9h<~clX#Qz0@|Z{I*gD^xgVi-^ zW>dXgD^zZjSDyJ;84oG%)2sC7*}Hk3>^xQ8{5EuN4b8#A)kZGANm`t;O9P9>=iA}w z#@tOE?Qmz2spTt*@7JA0Dj~5?`$aXLQ5&Tx-%q?ZuBv02WKz7QUcHpYTF*b6xXNU4 z+GI+RE-^ix7GQsa`F%FFeBC^O=)38oI|4oer^LmxvCjs(#*E968L((7(;gzOz=Q*{ zTu2_#UVOCKOZKT)8sl2`DCBw0UFL;YJB&+JT9Bs41zICi7@1#_EL<;=bFsqCENZS5 z$D%P;psUD`@(|^VyZ`<{EKlU>i)0+^_^)Nli7*bwIFwCmn|5!_Nk@lrkfc8iSS5ujp0!{H;Hr>8nh0VRV z6Wn5IpWG>;;3=ZflRGkeD+zwaZ!fSSzMOV)loq)0i|AJUM555>Y4-q+dV#h2* z2Zg))Oplsi3vQhh)|jc5q4gxIh(Bx>&obid){~6A$WfymUo%E*61u>BvJ?n< zSa^J+8Xa`~R;5VQL5&pQB-2}>bz+u$E<~UZ1*!}?Td(%vN4+=h;v|+ZkleJwz`me; zz)H`j^z2Lcle7DG=(d|TK$1wCN*2+qnmie81)73Jdi#`>J8C|NT|MzS*>(iP_=xJ2=C{MTvtUGLn<~w-zCp_ z4m;|T&n)!0`uz6$Mvp(qv(-+w?(sjYASFKVIh0ckDspw86+=~@Ny4^qp+XwPc{bmR zwapPeiZb}<$POng#BVZ`mhrMA3y%no^80-zhVFGTr5Kl0^eIcoy*h$^gnN>#9f$*B zoX0e=S4-oa;QKM{5vK1NMIF}=VuljBKJOc3u)imsvffT=v5*_Nl3Ph%>Af%>il~nNnp>09 z9qG7^=6-gwqz)lYSiPjfwjNHrEts|F0K0;m_wC)!E`*)WBFU{C4`d!@B^-z9&SP{^ zj0nG3(m|a)rPrjr^RDa#QO~FA$OKiKtdmaVvB2dd1k(x_q4$mmPY-UyOvB!Ab3~)B=7p%0=-QxEH=3-m9%*Ju+ts~ynD+9ASQBBT zUKoTYM!@KJDhg2UJ_t9tQ{?M!DoNKLPP$0&?)&+>*C>tQkl6$V-m+K(vvWC-!lKLj zjoQO;)~#`Jsw}A0O{>UK16my>3*~Pt#mJ4=uU6V(Vpd-~eH|WS5RpSHs+ehQTm%0U zi%hKLcepCx#a2*J)X6n#ARM0NiuqnMWLEqrdDdGR-N-#;CP4#ZPSW#5>S!aT6gAs< zVL^Sk1U!eLPuN7XmY1w=;$mET(y`M6(I<36l1quSz)fOVx)nFHA8B zA+Y7BI4H|dM672w6d}+xT&B5D_HthQ^tJ1Zm-P&^IS?Z9c{0ZEvCeZHX+JkCP=3bb zX$uE8(_2tv7HUtY^68@j;i^wBM~#N|h6GZWN}Z^%SnrgS4-kqFypn`lzHLoC%MedU zANXKX&)s?aBm`F3r4$Tq{c0k!?-KYb&FArbOd1j?WmHzuoVCtzA-C`hk)ChwM(<%o zS7`?kN(lzlS;G3#QW^|37r4t2rrNQ15m}?@`gJs8Q*^M0!ci?d>(i<^Uv;hnx zQg+d7ZZzxw^EZJD6-UCG=hdQvo1<76v4jDMh3_?lvEt}98M!S(WK;|J8VPqq3M9yh z3{_)-BSv^+>*o&8WO|EeIUSs;l#(}CVBV9^$Dasw1k*aIC+3d(9M6NPK+oXV=JHQvsJy=X{UHrBNi!43%#|9@F7{Cyht zzvNTle<>IK`CQ?D@Tvdeaqsf;MJ_^rL!+N)f->Tx^x`V{xZ;7ZJ;sWsZSK)RbiNbs zbDB~+T+P%Gb)JEjnWQ3NM zk1qX}mmeK{d}CNvZ(A0;SikC%;B);$|McMd!PxR=8s>!SfkmBUd z{Jgz;65xK{oV?Gdzke1|wa#EWts5cXdksmUtf6sR5ZSVhK8Jsk`?~WZPP@Y?4I_m;d4{=_z#&w|Ms>kT(OglEz*sxAgJlvzNOWEA&IlmG`DPTCewfyN`Zo*MFpYz1T<9VVTF16sZ(brfI6Jt+6e<&wdxb z%b)6_ToHag{JCAlo{v3@yR3SqL3@SqW2v-Ilg4cj z!ph%C&}8xjBZliD5?_oLx``Fq_lk&8U`WIm_2elM~3`LiFGcrb-_%YEnR0q-umL&g>EP$^r{Z6)-ReWmEYmAgfZF$Dqmnz ztv^Mnw3=Fvnf1onJnO+7YfDj)ddo}~9hBmnKan3g%_>T(O)ZJd60hl{{~)}2j#9zK zUyfoVJ0Qp#6}}uudFqlTCsj~+u4{}{Xeb{lec4CuokPTOsyS~d;!(fceu&5}WP!mH z8*2~F*jgJ;k2s&WuF_%}Wv`Qc6%c83A4MB!!@=4&f(Ri2_f>{DZDy)R@~QMQW~`mE z#Alrwp}7`Z>zHH1)f9|umX&VUYR;ZGJ*PTh%_cMmJn?Vbe7-)**2_Lg5vQ`sXkW~c z^g^Q)`FK)?#lh)X09g|?934EpHgyfl!=zP@IWSdZ3q;Mj5_qMPDO3CiK}K~xl-1>h zkXIv87pZ(XS=|yTn;EY);fc6jQ&l9pi$?#kK*MXS3*~|t%&AXGf@UJuartIGiUqC< zIj@&QDdK`)&qZi(RIZ^CAThcyju!}6?~emyxp80bvw&XsDw7>&`&bKOn;4Q8xn_k% z6nj&Lc})i|xIp+HF{>&Q=ER1gXcxXs7stqvwdl9hu);|QpYF2?MktxJ(iWH^DzZ_e zN_=f4V|piEK^kVbokLt_LN{5bzw=RmyBtOvOR#|wTFX489{M%sOR^-FS(kLluAkoi zS4E{$5F=Pg;Gj*EHjX#e_fh!rh7SHjJk*W37O&?#$3fUny2}_b)N7Z<$IXG7IonKB z0%_=CW}i9um>R;otG^&Afp795ZlU@4woRmJPnf(7I2x{$lssw5R)_=Doe4Hp(>9^< z;=PcAb~JRCbwemW_+m+~TxMZp;O~ij#q0I9?tj^IHcMJV9vU6Dlt$81V(o-x!I@Y) zp*QI@{4pRpPz&Cr>n6GP^s#>vqbrYRY{+2skXKl!?xPOzBZ2|kCmy_Wu@Sb)GDAq$ znvRL2_!~N-p%-R7e7e^tA+pLbim8Wzma?TxpmTODjf3IO90(rQtVLd!%kopxIO}sF zS?V4yp2N*B-1z-1=D$!26`QP}3EkA^1$E6_&t15y^gB)jClWK~xUQpuQSvg?x=hF) z?D2okY*Ei6P_MR#rg_VsO)!QWmPOiw7SmK(#El}bUf9?7N;;ic=l$;Fkh~4V z@W*SDf_t_i_laZgsSB&wlKP7E#ukgKaY3O;87R+7J=ZTY zjYbf;Ki5A=W-=1j@_^x-s>Gidk#?Wa&ZkXyC4?9jv`>iOFG)-DBZwrd<&-nB%hpQ1 zCV;C#c>1fO-Co^?;Do~I^sMH5Gm+;r=?zP)3@imHB&JE;E%L>S#hFhXplP4xO!OUi zYkQ1)2EAqv_*~!f842a@`;qd8K;pYQ^EZ#eGZb4mH9>m^dl~e z>ydrjvBCp=DNsEl zg8FBg^5lUB=RJ8`Cqrl~BD}{I*eDpY1U(+BeUj~b$|sXOGKvL*NlH;7+G&u1`rQny zk!d5`@ans%*B`2f%3tkxo)&9+5D%M(!uV`-zG{acJDHw}cr}Kmwm9w|@FvJ4 zD!O9Blgyet5rp{iCFaeNM?O51HBLVzNbMQytBSgte!yxT9G_ycf(ewk60yIi~8E! zPwzIHT=FH&nMgBhBO1EQdDDMI*!Vo4wA}ryRm`Y|oO%EhNVYniW!T}CRdu(hal10vY&R<%vbFA>jCA_u>5ew3 z1L&2voVGVdxuL^j`cvv3O%|#h+nbv|wwN@tX@2S%`~KtjdhGjDPU}_uyqepj`t{dE z$Azbkt5rvbm6Z-Z=(XM+-W>f4qCZAc#8Aw)xNpGr#y^1fQS03#`}ZHqLhO%s!atVb zO>%^5Nt(CDDc|LgQ|o=WzX2=V2#r&Iwn^q;cA&c0H!JTG5&CQ%VlZ!I*hBBqgO)zg z-%)$*Z)oU?C&Syfm13eoComEgpIXg9My-Y@6!kZcg;|uNBkF>-oF+USxe_x%@uvc<;>> zaohC{_oH_6p)p1AHe+RN4nJ?w=K?z59M4?Ca~pe4c4=Gg6}#?b|DiHGdJh-M`s;Zy zH!$bN6r>F}N_UcoE&J4Z5>+lmI!bmuzGt1-+^Q(HV5dg7spKRbvc%m{Ye%q$QPi`Q zq~lWke(hk(lXB4r z$0>?Bad&+_DCI}Hgce_`=j>r*w!!S0RdX<$xS1tVf?!vB%?PE3?um2HTl!eoLw91H9z)l279OGRrzc|`re4e- z6_<5H?QjIU$J_9lE-}EQXh5Fnsip2|I-4| zz{{{nIBPBnT9gT#BX%;^3EIag9KrQ<4ck{JbSXyrV8A`Y=z65MR|^k}_&a)3q^greJ(PTtDH6 z29BP9Ppz@+?k~1;gkH(aYGHfldFWdgR(Eoew|w~%jNKIEQ`l{HvWPz%IZ^GZ4H9H| zL2x+f-@ig{Q?6DgI5G^*ep>CPK@$*uAehiY{^~AjA{?i)U?xz3O%Vw}i6A+1>-{{6 zs46n$>i{BR2RlXZ46d|zXLGYah)V;p^V}@X981ucKqHb(FpT{r2nqebQa_$;VaZ7%a2z1K74N;^v5{K-sLu{3FJzsD>?5E3 zj{m#dd{XMgk-|jp0}WzM>TAe?vuv#yWv&(T2^VEj)B?i zdpPd$IP8L-x{Tj(`l{7VetrJ}dG-YknR;e^6vg3tBH_u^o z)N7P!VdMkF$ha@@5Jok2MHrT=*J%T-k}EtX$4=^?oFl-s&bkWIqv^u7I{C9+#7K$3 zxA0ZpgEJ%y&Zau4IKCb0P6YiGPB|Lh4S|BZlIdVaI zS$F!*PkWw~bHrAkEuFQkbJ0}};fve)#1$8t+ZK&{1o^!#8-%ELGp54K73tHSTpdg$ z9u@t391P-bix8Qz1ECT$qE<$&Pj?@%tQHndKWzy!7F{(iy38CSeW1_qlh9@R4cxR^ zmn@?9DD0ic$A%D8V?k!$Buh6`k==K59xKqRDb98=@%8?RkX;@8v zX!tOpHOt)O%YB4QjcMyq{{p2~?wBGr4&zQn=N(~BMs_0^A)vy2ZZaN-u-$>QE^EhMS+e(p?hfCiTlLC=G#V9YKUo)v0JJVGVXe^gh!I5 zn@tm+sE1SPojQxQukXD0H3dSWf3ki`ui<$6jr5S%Ei;AOh-&9B;s zpJ;`ZSe=c{_+jbKz5(GY$aBl`51=Ex4}KPz_4mtdLKUl2j2>|jE;M$9OD~+sMgNQ( z>=0Y_^a}l45b&(zW@6z5c*ZD-cfQ^mf7sFjUFKoe0xy3mYm}C_hA;z5eN&DyZ z>(WCK>1Xo$5AKl+`Kiram+kMlxfEwcT?Ojv%l|*ry>oCZ?84<6+qQOW+qP}nwr%a$ zwsvgWwz0EgJDHsK%-s8(Z%)lORrj4+HUD)wT~Af2x~o^#v(|6b!iO1lQ=*@r-5Yeg z8NFV&QzEwqIfA>HR>mFigtxwhnCL!QW@aK2R-5gkCyumG}0l zc~*3Cfa`mg4kS(Gerf`QW1F~s)T=-e=2k#N&y(>4wu#_wujJR+#k@m6wN2}fMVcys zg`f|J)x{(^1sa`<%a_D!7^!Bh_?_;O7#@#Oe#qU5J*h^c7G&|EBhImm5YK3`oZvxR z9i>nt58z38rLb9Obs;$k2Tj&2MOT(8kQAYS(udSnv28J;{A*;8N%~7iJbnA@iEyQe z=y1D|cxlQrR*d4(wmo@v1rrNw5zuBde&2pFms zDXAG%eLUBbxY}LPcuhUivfjR9;}9mRunKHUSVkxN(bE(vAbF>Re2xqHJ<3)hSt&hnp&^_Oih~AKz{xl^Lj$Lz3rNQ>&W&w+>(w_bH#FyPC8Cl z5A{*o#hHP6Q=v?9Gt|6AoZ-w@Sa|M6CppBGQDD~_NQFH~2U0cia+#4H|CxE$usg_+ zq*r6sqlh)#4f8ChybF9In*PGRd~3-r9Pn7`uB3aqf3jn;rk4vP!wO8lwfUF#YsqWc zpDqKRENJ%ceuWU*fj@u(e<@k{NLehjpeewkB^!*7-zCO?PbtMjuS0{u1H9l#3glsz|2* z>Gfc(yDi*f`Wq()fj?QL0ebD=YkogK3AH1Bi!COMty5FME^!I?kh&=Z*Z}5xtpiQ$i$*o+8_`skE z)w)V{fpFQv9q|jukl$buU$vK_Jz25KQKR_MwXgH*JSrwFlz5fri{!H&2S<^m*Y4kjPokvPkbAV?vQ5l(d)+Xj zScodr3BeQIRpnde*2lRUA#?byC5kQT;sEIt^ka6-C;TOwyu-g0bAN$(|A&P6n@qXC zzeD>^jP2hf;n@GN5<6yOLInfdGm+uS*lTd=Q^dA?6L86mkr>}0NE-x?3%2LB6(H$SRr)Ha| zrDkoh+{=`(1AMm$x{=#Uq5ASa1=Vm5Pdj2)zO8ZFGUaDY4;2>AS-o+i zwfYK#*b@~0DGcpCT0C7qEZ3Tlz!C|KnLWOd`Q?qg#G6wUaz`*}-CrFO-KcGX*!mCN zs@-3_RpgK7Gar;KpWd|3htCiAgx0s>GB!l2v!N^e0|A^faV*+NHKR5494fj8E{HW? z5Qiv%){C?2eXHB1+K{_qw9SgWm&;A0ZiFG|Z1ZN?Tm~UklJ3$#)Q1ey`MG)JhBtM| zM?f*ZI2R15>YAQ!vLdx}AeZN562AqcYhw^o*=orKwZQ zb8@B}50*?zziop*=q?e*+-zL&2U1z4(R3|k;F1}|x_CHwWZKY%mzesdd)%`S@sF0Bes7qKUJ*ew=dk9{nx;DXjWMaih43HGd=pDUL~oDSdSuF1kJ2* ztzmPM+l=E*LUSC!J^^Lkr2>ZLczE-*DwC9ez#k7!+POJ8cIEXFu4N;ck4*5(pD?%K zQ|lXsb(n!ck)jJRuOe=iMbLR4g<^S`4G5j8L-NQ^yF)6bSRVuX%~TSfyn$>%g*`ZX z?L-+037E(yRWcf!bOtfKHrt+z^$|hqRati3r>3{@*qJ2(qM0-5Z4VG(vg!*&o-5$& zwYQ$*&@PG|$C}ou28vfc)zSQ14c2ickh)uJd(nK0i1-Xb3j+xZmxj}GH_>9Ef|Wg* zV*Ji-L4}Uh4bf7P3QC;{tP1NtA4NvOi7c9*=cLeFES8p4I;nnTi)7K@2`DGl129x!k2wD@n!{J4`=LoTW#ZPuORNWv&;AMXhQ(VPn%&gE zs(k{?LVA&?XO*h#>f(Vlt2;Dv69HgNdUp;#BA0o6kA1@rxfJUF`KY4L#QeiPHjZ69 z)Jb&EfJ$r+%9LAaFh_fHS2Qn5J#@D8sQX4B82Jo1c7ekm4%hlE06iW7Z9rDf;)5~G zCv>0^mvk2_{HExqsl$%e*dd3h%(nqZZMBH~4BtskeJ871epmB}A^=~;C*NtA5xQCX zAxfPBdfNOMP1$ym$R%|kah30n+}n#@frcM#JR%f?sGI+xJv2A~h5@8Srw^@Xp&3l$ z8vEhy^%Bgqo19)#3`^Ri?c51?7v1dwR;|6IG`Wp z!}q1J{5=_r^%a3(u;pEXAh?)xt_32AC0g1|t@9B2$BmJCJGoXuTrzkzoD!o=5DT3j zm)fqfeQ++^5~ZjQ*+V?^vdS{NEY$5BBbFo*`S)e|dhmmFkQ2=%B0SV#_b@e0xdu}Z zBNX>ey{d9cAvXOwB0)CXne~~iH22x60{jRb#!o+K2O8RE1)<&X#h;CHi|yXw5miWP z#XDQE^3zr)1QD?vP=IDq zC>WqWhDA3m7yCfqmJNxL)~8yM3TA*1?}s23f#*uA9c-A&s&-j%G~)Y0poGJLJPD6P z1mX^JO3klTRgfzPF&&*ttfSa3ehUbm^Fy!Az^_B(JUM_Toq$bA?ge^4k-7FYa~SBg ziAlpAVYTqlUxSC=m{l?7$D{c(hD|@q4pJcNY`{_`-7%kTb?Yk-LK8-{`2jP2;!w%| z#-xLaVk3uUePA&c2mr#Jp}R6by?EKp*E8!s4QeE~2-?T?a{+?(G#NDjgiG-|s2=(h z*S;ns%@x>aJ=YubCrmE5*hf8)(KyyjR+)E1rbY$=EadN~m6zc0K-ZJpUPm;;@$U2A zc?Lk7pOc^&6i+Xzm9pcAC9>gM1luPn2NC zb=1h-z*oX83+|X-^LTzkFLaN1D_cAYZ>YC+eKj9_j><|#b=0vM z>rYQwr(Gtf2`~%^etL2ZNG`>_>n}FX6~hc=Fq0=ef11^EbnGfNk}bNIobW$Cc%pKm z1lt~w(d8___2Ry0)aoyuR4bwL!1;Q~+wyO0HZXYdU9zq1@2MfM2;+0%oU>hLzaJ*t za^vH10OYc;!d~8*O!+(qM5L%~4(meG%^DS7GwuI)8~bGj-I&ag>gvwg-pjNeB7MsM zUQD{FI3k%2rrj3f683;F=-)=7Q(;p+^AHptsZ=nnw`I|X%!A^xUj1uHL5K|4W@L91 zSMh-v;^OtJ<@W&sgd2`i_fUVDtRwjaCmgHYR_)l@xQzu)bS zP36ny3lA5zUvCc=6W#5fP2N?R4V7+FYI@(7uw4c_o4UH%esmgrw)nJk#K_n1+aT!U zbvR#|u5ZpBgsVS3-|anaC|*x^Srt&pC^-iuuzuFZwzEh5VNam6wEsZV)p(nCt#=8Q zt8YZq8j6T<@|m4)$`RD4tN$DB2SL5_KS4%Wv;|eg?Jj=GK6I|5keA)h42vHI864s>09L zLq|hBv~;U(J)88dA5_ElbZmUS6N3*kCU>I+6%wC!THKLFQ$>6ISurL?9{HV%xL`Xa zf%K**p$>5Q)H01hKWSwPEkPY5gdgB^vB7RS3wRs=@xO64DSfmfWiWCu;bEOh&#vJ= zqnCTHNW&bcOh+{@&6nrz)Qb~TD;`Ucd<3?rsGwPm9STE#P-=Up1dH1 zdJ+yynsPsgfTqI7?Vau@>l$bM4ws^D0|REPqNIGy_Z05`gL5r)bN^t-MOkF^P*#*aU5J(54+wIwJnXt*%58U9$btS+vt z7xYr($2;N!Iw@7xA8{9_9}Iqiwi+agBVSX83jlqRShqg-(kL@u%&HJ7WtZf2oA8r1 z?EA`0`sil@Xlt1Z(ZL;>6GDb!NH^CVk2x=)AuCzII7(PM8;XPCiFXd6@`>S~y$;X! zd*>H5^i&gGeU;izXs|}$Ar6wo0M*8cEUc+x#UbSRNIG}heB89{kbbZiewDi@H^i(1 zc=}O4!30AC?xK)=5&0qu$WVQH{oaJmB~%g0!%}EvcX#Yk1Wb}5KH}MCnA3NNxnh`V zp&MFE%N9`*5#gpU+HOZE;Oa`+`_=RK7`A9dUe>Shd@vOrAJ`!wNJ}O{5rd|**~b(3GFW%f-&yg{6;-3!T!hl`%~a*m*Mf0_>8kb? zYLfX|>F==2*{0o%Lg@wk#QTRI01iuyeu{R0omR&8@F1|7qHIU40p!Ktu)-Dsu*9$* z16Kv^eKfE(3mw;E={)MSW;REW7Oz< zSg?}13j9YI1tU_~#|YwnZ76sA5lDzXGR#*@0K|@dTG6+LnB#Oz9tpebw?Jj^^@Zgb ztmL>}&HbR)fT2VWzCbqlNd6{)fc9m48J@o`7@~tU|cV>0Sw! ze6J2B@NDD5I4R*yhg5}IYQ#{*s>=HvOiE5~O&S8=hbTgTF-{4mc!(-^6H>T1<$?7V}d;S$)Ovs89& zJ@RZd62-Ep(U2G@QaH*~`$=5L7gWrH8LkrksLA{khd)XjKo?;Za<3H(O{wWO!n#16 zZ3Q9Um|-5A)}mJz3ReJulIDvEWJvH56Ja5??qM}y{%~}09ca|eVf8hPbQk7_vt~0c z?{Rb2UUJ(9n(4u;C)v9QdAZC)Yn~@JrLcP0FauQuG$9!Mo;^s?Ry31w=zQXb&jm~XIPG@Q9s0@pp3w}(YLm+)gF`f+RPaF##_FsL z0GFgW)ejQW0`j6>nHL$?pFGc}MEI+VJ&L9SMV*|gRpzU@-IuekFShz`F+sH2u`oU$Zt#dfcRx^}IsskycW zETJL@3OC8^{Bo6aK=rJgXqWd08703*FdMZ5U|V;{XQ_}xVKDVP;(YG|lNyj(EhXk0 z+FHExq*0brDc&MB_TpUi&}j~U@2hDXj4NJ^W}IkTui?g$mmB!`MiX}1HH08em_)IuK_DWg;dO%I zf@{V_hiE^FVzfpxU9iU}rkww6mlW<|PTX?wmel(DV%Ij1XE~JDIFg@HqBsbT@hgH! zt9`!_a1a;X9_!)I05CDUORnxKgqxN^4;oZ=#AenvMZm4v_fLkMMO>l4SQjy+ZK$mh!uD&=@w>NM(~9-Q_hmk#$D- zHcjIh6B6#kMUnk4o)P2Or-pj_G2)3eQUk~KBK&#~^q~H)Vy=dVbN#YZxKKq*+oiX7 z>0MHQ2YRdQ^5xGcD~|CrH&)nC z31-M%Y^Fq8CEh~zg%)~Gi#ST=ncX<}_L-54P^?acb=8=WIV?-dsF^bOpZQ~jCt|{c z^>neZIdJ7KmEs9K25TuZK3lUM4vu5IDW_v>E>HXXq!kiw{sUhO5(BC2UH#PF>~pd9 zxLNw8b*t$PUw#Fhb|(K`%Kcx_g!t#y)ZZlK82*JT{nyd|wQKi1@AvO6UjH9*ilL}? zgmOK3-PVOs6z%IOir4CeKs~CMKTStUmd)!w;&L_<62$o}ME*bi5tqxf!#jL9(^1>` zc^BK|>GIKjHvZz%q@P=3$GX8+Ylqje^iX@$U^`y3&Aj71(CzaU>f`0);qcL=58CmK zz(b%8;&Y#HCuRBdyi=d~a3nIE@_aXNG*o(+YEzL!nLTElIxVeOLU}sRUA~YWFA;Pg zkeN$M*A1-F$$2Y{OTlP+QO=p0I5QUZ zC*QK&OO?iw`L~lNDOrtb`r)xPiVSna;QGU$sm?KW@FTMeBS;X8C>{Z)v6Id}*^vr6^CG$H7~~jYL9DF?~>{ zHXVe(XkIA-!d#BGTPy%sr$JUGwp#_nJSS!vE0ln#!{X2+O!y9`qCoiS0lr~lB}g>& z%cl)+W^_~rY+w-y82j!rhzsA?Pzs}5p{m;vIOEBiH?;x@7?^@hGm=L+1Yxb0bP ziqunS64XL}e@s}z9&?Qri4XxAlHa5H`>zo2?MW(r1NSuIK_r|OXW&_SH-x~q48X}O z+7UT`LE)?A;r!hyN+9j!K*h&I$r?D@GzSY;%J!gv>H5KWX9@uf{JO{efwrUGY% zgoh+ltG*mlFv!>}H)|s_Bo53QB?mJ{%tsFxH{%id$bcQjGQoRlo23djMuj5-*7$hK zw|z^$YJBcGRpUnZaDw?UKhjq$?xdSszBsRsYT2L?HL?3f&sz#!yo}q!cr>r1V1SYO z!6rTq);cg$8dHX=4-=~33*qsNCQH*fx3>TJ&w(6GzCdWbd&Qh9b-abA49zfJNMj@Q z)diS;8T(R8kl?VJo;RY@EMSKKR*QUMo@8nz4|VAAa3vSW*rKnB#gNJQlkMmwE1K!9 zncl^~HMcW^jC)UY{{Wf?jrRFTpZ^wC%nj|31eD~NXN-0k8cS@74rrokoIs$SFx{Rx z1{=k|ZbdNn3w*c?+5Cp%YnNOLYO*)3$?G)X_d+;^G?g{M_?e?<~NQ zd=scGEg_AZMA`;&M|H^?_d1~zkHg+K8d;)Ww;LeEc1PwiOUl(``qzwJAjEVe_11aL z*w)pgkR#?|W%N0iAv zH)GW_KVv}{J!8ZCC=DB#igvz2=N4;#$2s5q=J~g3>d0ulGjSBzG3s$Pof@m4!5_G< zt~_>L)Ni*}mu!Z*{ks@q^V8~IDu%J+N{sI8@9l&NUB$dBP6dOFgFF2DCP-N{J?l@W zmGx!}T1&UtAj{h_NgXYZC{bqic9N;c4h#Z2?@}7By~V;D?x~N!Glxu(N_I`;S$gO) zu_Eetsd?I9W0ZXC5UwPCQ-(c9Jv6X~d(oEV89_b$QJu{uq}d)^Wa1mkAU=hu^8+-p zfn@ym%INRz82ld|k^JWl=ijA~{)LnL*U|qQ8i|edFKP83CKl8;Y&S#^e9-g!R!2}t~62UbKOo-5`BO7%-e9T-WG>fWrzGvR%c_S+H3K${bs_i3bOjzh62NK2U$6xZCl zgWXJgm1k4RElL1+uu^m4GUe>t;VMJtPvn`yM85~bg7R!3)qXp^hq&n?#8==Ru04N+}<*d zIgBp*paY;xr|#Kd-xj+N#)%+SgMXBCS#a7UJ&kw;$wA@uszJ%%w*UeWFQgMA&$WhID<{> z#Fh5rDeh!13VZhj57}g6Y7w%_nS$6}f)HHj5lc@ym0k+MliXke7Xzb%Qj>k_(9jF` zn2=CK8oqAbK_9P8KFe`$)q==C0{gVH25}Y&o$8LfUKY@!jSjIBSVaHFCY-wWZ{7tj zz)zb;`eeHsiz#ma6NtS1PzeTr-&^$=tq1He=^jPyx(l8a7UD`vhCD&)Di1uv-TrA7 z0)8NR79ukpy_QbGxv1^!q0kTk^|m2(wVbh=y0wK>J||kls5DT!yKs1ADKsgbuAgip zvN7H%>4kY6J>XJmv^5{Utim;sar5_R&rMX4eb8py< zwaKTQxtVQSfBq0+%x@XSBoT?VJ)q1k+e+)E)fki-r0nJz}4~IGBL8SBOxFiogo7v+Ul;$7M-RDB zZYlwvKTAL2MELNu$#zm$t=cs7p{yMK;CB(?=weq_+Y&V7pWVxrsq2=dVFUYFF60vc zaf`(y*CztHsd?Z6J()L37&Rd;~4zn;uu3A3Cq7(3pYN^}lJ3#VJwcU#rp2fuHgaE4!2R+;^`FR3Rl*jl|;V z6qR%fwxL9J(0m@$_9jbgr$D>g2RewMSc|IWu75Ucqjk=51*+Q!{n*$Ys)^rWTDY$M z#=9bIv&hmo;GhQ}|8!*^!s80>nyJN3xx(kM0`<5FG-FlR^nP7Bo+o)9gm5^SB5s{f z&!#DqAQR_Ffj-H*Q)Q%+`$yEXD8c z8UQSvm+czOV@$qF|7G(d5R_&5Vjv_7$l=bxVz(kB`;i+djiu};`Q<)J_sHu5l^w%OM%UAKV`g`_?DysDJbgOte zYpGn#wn!infqxhgF75sZ&qFWG{9(Y}myF80e;^hC35pX7NaJ+|j9GnRob;;#*e?w1 zQ)}afyA`hMn7eJe?O{>S1+@|=K2Trq>+(}7X_%f;Vl-v*qK2tHRV$3a6i0%(fcC1O za@wX3UKanum{z!wcIN#A3BGatKq;f1X*g+K#2b8w!|`d~jmmuXK8w?Kg0?qGg40q6 zSyflOh<$^vSl7$*r;u*gFr3a|JGe+&D8AS7si63b;@j3gIAQaw2fE{b@^T=O>Wv%Y z@!u9}2$ZNpa|MR(vEcm9`WH00nK#JwW zV=jUtVw(=r&fOq7b%8MKS))UFA@Uvj0nT6o1O9v2@OMYr|Bu{_{d27Q?-C3DG9~cW z(f=D_ftBq)PYJ01bC1bN^m~tqUP%CiKVCm`EZV5lhEZmpboy}jkY562NVNndQ8L4C zN5?;2ngeXjXoLw3zqh+?r==rHZ_%c0I)%pL)!BEhdCf7YcJ$)iwB?Jt=cRk*WJNQQfdVktqTlumr02#wahi&1=A0vVf38u!vgbGic3Hynss> zZaEGSBMt@QEUi3t_u4_HwbCv0k2`%*vP0f4kXiys3CY@9f2sD+a0^XUj>A}=Z9^C~ zj`+f^Fq14+8Muzh=1KWpv>o&Iu*FJXyg6Lz?Gye&qUH^$Rbq3a)C-`#7`z|xeDeCb z`QaC?;F8^aDjKMyadD3TXSqdtXh(6{jI56QoDE!&(@`+KRmcQ+favuAgRC%a&z*q0 z1$=LfXMKzNk;M(ATW7MI%eaUP+mMqOeZM^6_yK$o2z4@Az1sE^6gh$5G3X<`Jm0OE z#M!csC{d{|h-uUMZlt~oV)?a%n0ff*J%+=_x;{Tf$we94YiF+d2rxO|4Sj{1AX$E} z#q*GuMvY}=F=Ka*0g$KdyX&~Cz$>DFZ)x5uzhz@eDM*G=OUk+&>kyz${i3mmxgg8! zTnBrJ8o~1DESx~0ShYdn???`G`zFhax)i5!wW3{9B002H+K29Vqzi$5K>4%tRsZ7dzJ zdsTlQC*~xqFg|pf{At-V*5aEaM!*VIaT@WY#f?_Sm?+&!ddyt>5&|!{0C_UoTIm&I zxa2)B4C<8<{ub4S0YU}7&~{06^VAZQcYcnB^{lf+_4|(iPgqz+_pK$i?Mps9Ak=RQ zAzN+cvN>ks(dT9drkL~s84opEreLOM0{NfyeA<1U;gs~qBaUGR8X(&FU9;RY9o_KT z)#3RDpqjfiqZ_bU!6mM4pmqixK4tF$INzTaVS?7xHWQz+Im-KMd24Y3<61IM?38Ab zqkdHmYiIaolKCP5ljb$5C!48OB&Eu0_4fixRN(%5#7# zYk8vC%1!mKd_6dpPDko;$TYbh=rKKYd%F6$;TN3kUq|t3=G74Zc#_hXSo+Js@*YGs z@&gA-JFz5-gA@Y>iRJ40p1w$)obE zG2OgyG%MSq4qPEV*s5xIg}|>w&>J{xqF;VQ5`aU4o+OgA(>X>ZQL!Zv%Prsyd*%xJ zGD0J*pSz{qZl_4V#o0kA20hVGOy%2KNrY3I%IE(!*hqO>XW$21(>Z7n^>$eyVc@cr z#-Fw-_d2LMWb&~#8Z+=;n7;2H1W8mc@sUS9XS(x)QB4hN9@M7WH4vw{JBR|xqa|U4 zYylN&mxIs{GZTfv3#>+T^^4e%o@%c)(NQ;g>C^8L{Y^)6&mj5?R9)fAur7JtH;G3q z8YEz+T~H6o_7lcbi!H2w&{dt?k%_Y-bP1_|y#T+VMw`fyMlK5t=W&?Xc_CyVp<-sU zFOtS1STD%ym^Zw)rw0XV{NH}9GyhMMp#L9aiP+6JZrOADNu=@{bnVu?UmUjf+7vG3%RZsjN!2ZLKf2%aT#|X<&<;8lS69_u5a9 zYm+8koGZ;-n;q#vIsC3PVeVopH^@#GIoR|PE9Ib3vupbIT`nDx@; zsOXE<`4MFITTSChKuqX>Dui>og0V?bXz4tLO4}UDhpH z@+c^oH!PjW1F?y3Tg}iJ;YNMHjPy&-cYb67tb*7;!qsBeJWwsP7&B_&lpb*;bW9D5 zn87TfP224Eo1Q*Ilo4&>E}XBi_8)>Vq!M@iWPJvB*`tf89zO`I5i%ovUVG>YMWM&z+!nUJ8T`v2{RhOgkG;>9CxOmauWjp~I_pbbzev*P6hJju35<37WaqKOH zPy8k;+6t9ktGyGzAd@)|e&$l@MHsJmM12EdEuvY1Y|&-R);aH39YTYsp&7G{^BA{g zyVondZNWiSCAe6|7mx$37EY^c5P`r|D~*jw*K+iRYND;EfUT>de?+UFsrPWto2>U< zwB4$0fRUN#5joe*m<_?@+bOVcKGeeC$1gz7XB_BGe|7cJb3yh+@Z_BLh9&Aw@&7;* zOv*?mfy;1m;+~qKsGS-EHKWX)gnY3CCU@KR55r;?eTK{q{faEA2f%}e_5FD-$caEu zP<^_XMH!{5!LGOugNqmo{dFFnzXP0Z{AX17LQm-T!oD&H`)w12rg(YXCUu!5MOl1d z5pZ5L*6PKurhu{iJK`SZV|@JAX*~~LZ};eSg_X@LfVoW30FbZbzOk;zdI7NaLW((f zL3yUhD>6ozT7km$Lmrk zK$Yv|6j-~Jv?^-EvO9LIrsbyl{qyV{#UQ9FK3`YN=wTZG)&5%cl~&+7z^5PqO@vV< z*0T^+vUL6QU+Fk-+vU9n2!5NdPF{}X4tA)ZiTxST%CoUg!o&nm^p{-kDxkwr!HrEE zt;stlhn7h{yjfhuHEHkwYI@qe3(yMaJI_r758f=fAUy zsHjWhoUk}Q%BEPt#xK<2T9!9BvmaEpkBo?D{r*IEWj8JkQd@4%t@RVv)|x7#aL z>|#zRe{Lb+p;*Vp#tnSo(A#Y6h(vUF6>X~oxy~s&Jo{rv;VI_f!mD>#MiP2wYmf=- z3d*f89zJ?U-4CEVgf?)W+x_YgrR{FKucQ{rHeqOmspY}SD5jM-D-N9m68&bz@UE^9qX*6-8Zt)#}DVoc-?aki56z-4Uhno z+|TV=6fDG+xhvMS=@>4g6mv@7~u%fask@h1Pz1vPtcv8qHNv09$2P|^gG97t?Ybn7&!L8F}s3t}7wH_E3!Yr9-f=|Ua=l|ShG>7h$q%_E3- zaGqT1w7}j~rLz7uYbsf@XTN4R-E^cPeosMZyP zG*Pz%7^EoaMoj6B zJdQff<^>$@a|Z(5d|h>q>VD1a^gzS?;aG^FXf&P2IFIhg>X~@7H!_zDNKcb<)2nO; zvZ>7H06(^J=<IkO((ow950pu5Wq(_!`NX`Pu6$+nw7 zr(3ODSvg;tIvRSf)~EPqgeTC?9{on#GG({cge`L-Dtzl8SC5vC7u4@k5Z8E58tl#9 zG*F~0kTW`nI|~vsxz!iGrOLDH&n#!347qi0%HAZ{29hsQOQ%o(<$J%aZ%?N?a0$E9 zVFHJuFt^0(u{RViIDW&GhDNPM^Y~o;%w9syu??z(ZS1ybemK%|X2sV8$p^>9KgKKX zSNn1T$#kQX@P-Cfj8Qada@_lb1F^k2<;dP(G5QO|#Rp976QF+5Ct>|He>?M2fJDg<}V|K?Oy~`W?$YA z;N0K%1iaHE?d6oxd95ztTbqEP%-LSQR*vKsq}JB5gt-^AlnHv!D^nsyfrKzY5EC#u zWwm_El*$1|*sJFb5meF(mfIbjnmaG~#>>9@QNo*KA)26{N2;(oX)zYbNmxzC<(QUL zt{PyzwVbK8@G9Uy{Y_Wzln6ii9Te_ycEaL;8G|Pb=nac97#lb^60Qd~#LeUnYWQ{o zrC+h8osq8Vv+oyWf$YV>uwyiBryIGO8Q669GME4!+W}s2v~@ws2w-d2iG~3tQ>Q@l zHE{^sf#an$CBRnVl@T|w1{+|rlV^Q62Zn5PO$my`Q-8Pxv_mv|s~KgC^)1KhL$@S7^)`F9HI+4Fsq)fR*z^2Vil54#EGTYrL|u+r-L&l}1NCmz|i085)% z*srk14qA)w;0VsoU&;sdD(KTe#W%tsL2cH?a8!0;-EpViYGaEh8t!sjG|bo;Tmhqv zTeCpc@u2IMw_R^gr~KZ>gA9mQMn#H!)HMtCoT!SVY<7US5~>^?Aj@VH6`b=g+F>(Y z43GQPrOwc-btIpDaut#=AN zX_NHi_lw^Mnp-FD2#iV4EhV;ssXF2?Iu_lUD!-vuD($7WT}OaYGr|(mA4zx?&)nAbCoFm=&KrR4^q4f96$m}PaoUh>WCjRW-z%_?UzH>ccD8;N{n z+Q#6Fd~0`)UCn2Sg(N|SHUKADEyR4>DkCj9&y;rcV+`t#%T{MNxmflL8zB-eX}drG zcCDKF7N-&Q0?OL-oF+pn^lgOPI^a4%crFt)Nrop9*{7L81ep~{b^#`TbEpTWvw~Qd zxFoTpv)0=&&Xb>&!fu%06S9!?G&uB{Abfzy64dR+;9(m2;Uve*IWQB<9>ynMf{_JQT*5 z-Q(=E<1`<^?nc67Y;nlBU(zED}k8$n;Ca~@|gBH0i!MbYAp}t&MWe1$E&uW^_SjjZ9N_uHJ zfg_D~1C2%VQsGosZ?29(f%4nuhYs5!c5>y8ItV+0Tf(Hk2Ggrd zjA&32nNnzKaVWDu(?ebsB=R}dc;+!SWUfi>x`OsN=6q$|aC`TiA9fv|b*b3;!%DW& z_Cg>?2V6NnN=DgRiK@$f$FtxgdE$c&^Ri}Z9S6(Aj^hj|U78NMt2<|UB;kCeYSvMS ziD}zDLCo>yEV~;glA_ZFl7Fw}au*=hY8o9b!Q}!rF;s?*d08H&6MJ{#X}&qAFZ(%; zmCT)ofwR7H(vdiz>Nv~=ewZ=inwPlZkkPY;;E`X#27%ST*INHqSPbm!e?^D>qqp}@g#|tP4~3;%>617?1hLDF^$mGzbl4C|45FSx0*p|W7_0gG z&H$yNP^=L*rJlVQdFcNC0iB1;R01t1n)m=nN6P(FWZPImVcko;S9K*m=fdQ#NpY z_|$rKh+DV6iimy*F>QwPL;uC{g6=7TH}_eMb4t$V-x+}Bd^8^iSwb(B7*mJo4gcuv zTF)&7Rnkz*)`D6kx|yn{$frKXLyp3v%;m_#EM3>ycHDl4cY`pFf|mXvgWF*uXPZ^9 zGyIktkw}*z_w6NUetveV^uoFr5m`_d44|fZ=pnCh@cuPfbmpWho85R$S4==+Ll7mA; zi5GPi+r^ki_G(nW9K--p1~*@m)P|K$6_l&9&+IrQE(3@B9U?PNwmPZLtdcJ%|920% zbkh0rY1DJ{Q3|XM7>^RlhV9ob7F-hyr5CuHBBh&Ot5v!R;mPAUkuKptdp{4+8bhcW zbjpiIUv3qE$jKi}f<`(azfqzG5LLgaHiCf8MDK9_iW3mFs|5!@crj|-P>J5(`|*@> z;d$XJ^`K__`?!Aen^hp-fB-Z1^fjZd<=t*2evit_23ofutAgosg;1sJo5q+vLH7Rp z9*kTh&>Nl4I0|z69sIb->KgL0ASt>+zx~VKY3v*tNnc5C% zNx{>~c=*-Ivrv2r{xH;mEhytFj_ZYuM3C8smis7qpgm2aAWw9nRR5~Z^?#&1$>(HO zQKne}JMy%GwpO;g6YG0^tQfb%${ zoSdzFyH`iKq=?5=X8#D+A^Jlh3T7w-X#UJ|A=#I~? zLL;o~dq!FcNhSe8y0O@*t81h7x+!U+#(t&#%F|DwL2yB#PH?viu>K|5pnK;7C)r$h zzq;jC*D}CUjlDUHA;>DB=Ho1fcaLN5A^ywIF;(z>`M3IV!pWqeIjW!FadUVJdFcFR zUi%H{@rm9OG|PG+psQQNTXe2A=?v~F$C;g?a()b@C(h<7B|)>PUegxZ?zK#E<#P1! z>i}q$4(F*AN=VIOZEk(I6oYi32A>LxrZ5enhzvQ}T9toQ-P!ay+`f<~+O{wbxaI>q z_~q)DlVeMmfvox71sR|Fa!?pbh-+cX)JUf^5>@JjFpj$0AFUE$i)p2{-H|Z^m5^IM zoe>ibNOuvIm)-_;I2cJ=0PKe)UKD}{q~Ml?MH%7-^|1;$M836lCI_uf9%{CZSscz@ z-Y;LUMnlJOoELPdalb51Nx2Sa}^{@ z{o21Rbc;HPHJjE<(^?YoxU4mO1L2|r(&w1Z(4$ZsH1-Jm<|G%d*t#lvTxbu~eM`~N z`pTRnM=(J4nyA%^?)IXrIDr~M$ZdjokfvnaHdpPu($UtbB%x?^b4icgRC<1Ul-_-| zka67*cFh4}r=4DP+z7KX0p6Uc@0Ytb`;6Q_4FrLWfj7zQLDU)}g+X*9ru(Dw`50BK zc_pGN*Ebo&RB6k_L7UOX=5jmz%C-VzV3iPm@^*UYWqL6T8$0NuGBS)7GzDNZUf4~W zuzpFmnh26hokxs=**mr@rLah=YOp+T$H_;Dtw#lqoipizt-r^bqU$vo~Bpga((ut!4fv>XEByYJp@zW8rnWbROF^e=JW;h z|7ws|HGn+`;-PJ!4I$o(tS%X$&amTQ(ab1Os+3U~!F2zkV8|*uT0M@-Y)dZ6ypv|w z{?eNB8nEkWwo7jCR?qfb;f=P?Qav&Zfax6R# zfhUv;E0Xw|*XRi!mwmTcjBhmoyR^U(oqy@g3v@L15m@blgj$z{ta(XKE0sig!ax>< z)UD`@2Mv4_C4|iptw&+Q*Pm}i>EElA|6`tI=6|%6|Hn2$|5Akh&(Z(AUSVWr{I44! z^{taK1ph5U+@))2)wG+I%ivG5qy;JWRUT1MAFi)Yg-eS)WeBo zg)2?Op@Nh~Cay-N-q+dTC6|>=<$LXir>o&58`VG87a|X@`!3zD2m4R%in?A^Dbku( z8P1;WnA*La;Wf51Gqk)nWl=WQ(=%>K1AH$j4N7HYeX_V)yg9kWb4cGGPCic@|D-Wy z|C7e3u0_hYP3pc$!bH1|wvu|oa-P$vT>!ghIN*%)Cow%{g~kiT#61v1`%cX1{rbM~ z*$%}K$KDR7Ur`0+;J_=Ucc^zo*15AY_FNT zk`lN>>KSO2)%%Ah0!Ql~92K$C;zp71SyzxDkre^2olRL94_nU%W=&c1%#Qyb?`Edf zKxoIV?3kLl8s;%`;h2PHsxGY>_mAe1P(~ZPJ(x`AP_VO%+DeaIcQ!3cJl0U|Ih^)s zbr(Axz!r-L8t{7)ycP8@UDk0~@f7G-Ld-+RYQ$NG5ydYx-G^Cd(1i0rLHmlp0oI>C;PbL8= zX~LStj46^cNM+A>RB|TvQbJDikb((h_N!}JC1Hx>C(yj%US#@iUV)f_vVytNn0S+^ z9D&eumN-GvQ9|B}so-%%)*=9z>&^z0Xp+WvtT+A5S3QHV9(xragk9%gY%mIh>na2< z={jX?8Ujk{^{1jk<8Ibt1f@VNl#*3u(E>KSJ_A*zjj6X6dQb=CdM;pnhZ2ygR=)6! z$~Ed5Q39Gh(hEvD({0py5?*n~^vw4VMagVmT$}SiCG=XeMXp4rIHE-UJme zsVE=uigT?}y zbZ4hL5D_hhqeF>WMePlhzcHBX7*yUq#pxNa?b{m2d-BmKl#*p2iD0&_b*0mu ze2k}?90?qzc%1(xxzMi$;h_IbFE+=HLg8~kOWLnnESZ1D>oIwv5#cbYgJ{JxqEChv zCI&f!Y8-J*#e&~G5IG-uu$T}r5R=Xv^ot9YvDQ$6V!yT$RCgB1i?3|kSiA=t%Dfb* zRNo^tR2$3B^%}?@>uTAkK!Xvi7PE<3LOwyO2FRjJZI*^3RatAx5;dU4G%2=}7F?Gh zb16ni++1;a7k9AQv5=L`6Cw9xvOXNAwuq*NW+8#GIH^O+3(!5AXZuCVFCCOBof8tO zwD|Cf%ZlXZUgX>kHx4xlZBxCD*tq$0w)2!G&!s_~pKTkR)7>q92~?^kYv*Z~F3?Tx z*Z~wAeDer*ti!N1dYUv2tQcZz%9tHUn6}6($&{CN?mt|fF8_0wbYVv^bM-FJsV1Ys zwHNeBs$xe#V{YZnAOKGxU1*<4ptJ%4W%}da;AqpujxNJN3u7@4Qm*aPKSf7w$^)c< zMEhwNREnI~M?Mj7J7D?>89}^}6zV2B5QV~#cvq`6L=q(aD{aavh0b#N<0x+*k&A{6 zdQx;)ccVrd+;H2gx*QA^_kNL5$Dv=rRSF5vnW`^BGNUn2y|9G?JoeQ}Bh!MnmP<`o z7`gCa9#(r9AWg`1ajVvU8m3!VpWp^^Z9Z(CZ; zK+BV6#7uv_V9l%IAzn|L5|%a(2CF1JNT8zuSd;42r}88^&u{!DQKA0!i$aObGmCgR z(k@TNuzWtsw5LJ;9XEJZFPc_q{O+=O@7i**)zNU7>dKrp%>|uzbnyj94>2D;#P}Ef_-#2<>dD?`Ng@g9y^r zU0F03;=ZjIfoQ2M77H!vkgup)OGvHXK!D^%$d9kj7d6mO6?Ga|N=tSCcl7!;^q&h# z?ktO_hZeE15-##pr#G7-D7Mw4?Z4&rEihZdnkH0~Bs))2^|jD#islfZw+B&eGKR36 z&v&6fVATt*26H(f;SoJCj-RS^Ei-cgr)rTF;)RRR|67>f#uiT(dpHhw}3wp z0B1_n@>&vwb&-e3J)qyKTV- zpQi(jXjaC?sNFvAEt9zww^`C7|A9X>7Tuhe$6{m4kqUFsWi0fR&T3+DK^IU04A z-pKj*oP;D6d9ECr1X`eQ@*CyjccDOf>hUhd`r`-SU`PbD`ilrG!)ZsiJrnp^9XlQd z5PXIM`uL$SIq1L^Bd2ChAOW(8)I-xU{v&zt^`Xc@{7a_1e0pTB`(qDmk6wNT=&V)_E z?@#gVZ0hpnsw-=XI1LnMF&{A>lIthw1?AY+4rrb34(|o$R}W3J{?DteubY*tt;zhZ z&p6u(&g%;A&o}3rt!LZ7>%TR%Hom(8Xq!Aby0?D)d~OB|$dux@zQ~(Ay1MmxfxbRn zJst4AJiNpm(e<$?6wB zDC-ARyXX7IC9Z!M?8%NHruUg~GaT`KV0`KLQk`32Ckg~Zc|{YQKUNaL)nt3%h^7@@ zGRMCsW#8_d!IPKkr%T}A(Ae+Q6NafVeaSGG>bdXzO}Lj$I%XuEk%h&lFwi;G`eUOe znP<~uP~oGH7!Cv!b#y;prR6%^7{QhU>6tiL$>cVNh+uciK!5T2IC?*BJSyM({oEjE z`}AY@{JN6e0l$1o?b-&>SFing;lUcNhiQvQf3u*bLN*;x_ckMfSmFt~EeR(G$YqGp zwLiCWBTMX{`K#Wv zEMKmqR+!gM5@K3D_~VKbXRP9AG1A?;5d^)6xQl(YX$4nNBW}?SFKY{yFWv5DZx)** zgwWtvwE~a1=FyXV8O4XCjbD++Ld#=}3{eXcHAqn7Vvb0-Ty`&A0=XHg^S zCSBg(1b`=juhfP_N>ag6Yd4fCK>v`2`9U}3GfgpKamb|nPKX*9o+R)Nyo>C=YD!Du+ zvO20H4WgzCw^ghl*iY`8IK&ANA5iy_h%K~csAUOy@Ziv?6as4owG2!dEl&Q zkd54QEz`A#p8rC_tXR6!UU0X6o?~_K0X(0 zXs1Xj@_CQQ%=J$>vw=Zcu@mj?T*nWCKg+Y74oprsm>EH-v{4o41LweMTlT}OQm?(07D{qADvrN_9|UkMD|U&qIyclq^uflkMF;-uHa2N zNrs)WioUC*_f021(|N<|SHtE~SebTMc%m@W7z2f3*d37v%dVROL+B@a?Sc9CWyV8@cIr;6O;fj<&&11&5F>b~#xp=vNhe)m|^6zpbq%rutoUwjKI>PG-JKzl*qK)5XLs!T?0f=yoN5cqIm{p9a z3p0mHE`DN_#t()KUxlcx+dw1_k5i=QSM6b8ARu!u3m6^^A3ORPVlA1|^Io<|-~g42eLELy;U z|Lt#Hzwv+q;=PjG$wEfA74gf{Z^L<|@7cY6WZaWH@8iuUCzPi135Qsjg zI4oyXwTj%DGP!1Wh6;!dr77lHd`5;;MUfSLGwpJq^ss_f>H;6qB{4cA zn%Y9~=|p`o)?V*vuMJa%dovBvOosb?>3lluB7_wTdpkC|beVt--3GEbZ`D;qGcDo{ zuv6DbWhv%+x;A=aAG>0fiX=l@9iAQpCjF?{iYL=?h9?jH2-R_2<9-YYf6(H89TVE$ z4ZX$%<@C}gPoG7NH1!KbU_l}x0!ygF?HfaWCc{8tZZL940Amhzr|tL6+@Ay0_Ah*O zVxy#gs|H#A(>aX)m3Sq~e>7w9FD>)`9R1&Gz@Izpf9)Rq(SVz*|DOhQnWI>S-e(6{q3MbY4qqkWWtwXV@oh)GwiCeiHCFA-&glYH%;LBk!}*1jbK>n-Q%E;=a_6aj zy;7y6aypy(A}+gHjWoVcxb?#dg6mcJ)TKQ;F$Z_fxLd^IT2;K6YMHtt!M6Ef1+{sY z2xUOzWE?zmSAAk53?vGVC1v*qqf(mw&_5 zzFwZ1s&i#JoLCt28O-gy&Euf^o^mUx!mRl+q~S!TGQ>MZG$3lUxM5dagA-_uIxzf5 z9KHL%eiz$)*3=?S7eaJN(Gw;8g$0BD zjffJ9cWS|<=yvVqt#(>;5($I-$8$V77bF_!JC#@}RUh8rJ#1ff&T^sf#nCQx_5E$T zg`gU8Ep|)$@pRn**z;9Mv1wv~a^s11bqC;OrXl1^n6miOw(u?~uBSCue(z&?>_0&TCH zQb63b*=wg85-t-F0$GMh&_|9Z5TPnLz2kqRX#y!E+hy~evyLXukjh!pu%b`vRAD&3 z2SO3}iAA24&ZSD-#mz=QGkJ}-Z6y{VUQWZv222Dn0ep_CK}x|^(5wpM7lRt39f?d< zWN^%DEAyMipnCU2Ww1#I%tKizgB?eEa;FA0O|(H$+OoI5{8AZt%>$=x10v^YfgsE$ zuSwF^^5brZgLwft6&4#tLZQKq`dw>cpnUp_Z|>B%M%zR9$=x+gCq6hyYG%2wGr4+F zJeMcc5Fdhvsb+|fbem$A5GI(Fm}DwIx?~u|5@imjE4v%cSsLmy{<0riy!{Bpwn`*U zQHialLmfA2zHnEZ*cn1qSchrR0;gnDF7u4O#4hVWJ6i1T#|7xjKfIrLL0?9i=+I_G zEtcmhK?9F)<06)Q%jh@E$?P1);ZS-a9no{SQiJU- zbqMT5oNub=MN9swr3qVo)VFZE`{&3Jw1{Fso${oi4GC#9y&O)&(9p^&G9aHQkN4r1 zVTqKZH(cXlU(!X`TN-}NS`#L$CF1 zS1-*;gW>{s3RevIf*DZ^OFfcg{wPER@K$m17tF$STEfc_YX|T-|4J)y4d-&W>p%tP z)nRjRLpN`V@Cn<(d7WS$_^m!Q60!@`Fc6qQGGU-{H`ou361RpZ$Y1U$u&A*coa!S% z30mp-6BRawsiS}N=axK1(Rh{PK?cpL{NBfT-ju|EFx$wkSfP*FMAaX|e0cx}B2N?H zXzjHb_rXBPZlb>R4%CrIGT(0jratuyQD=5@q4{&0RCCVvf)9<(_$1xY@Vy)cMTj9D zqA_a%j&VAM68-|*9+d#W?u@gkf~R~490l7>-gqQM?|(4he1T3XmSg>UrSgBwozL54^87JgR7##m_*h#{_Hx{C$Jx-NQq+=V7@JidUcJ-aQ z=Dm)1-SE;@aaXjd91hUxZYNW9Ju$u4lwovuzWs(I^Af-4k(#^{Kd_pbf&WQr_0bnXDXArg*68YV?GywPUvh=z}cVc>YTxuzJY>T+S zr|tV4Qui9`-b^Mh|7W{5(vv+Uh-zZ7QcWeqWWcg}V-#S?n%eCF(ax;kE$hqq-6Q)lGSro z%bwGJH4zjD@d%%Q@Mvn5@9&-8Klsz`h?Z+SANXAqDWlU`mNg+!S_8%B?VZ#eWhI>oeFzq?%Uw-n zqnaEn%TRsO!VzbQXF>4qGsf{$JV48l7Nj1ES{ceTN6RI_HzF8Kf+^bIl@i+bu?}hg z-$k62RF;l5Xmo-?w(=JZ%5CQRIfoOk3D<6E(iw`Z*zO>+b%7-`@A0DXmQhE?R5N8t zq5hsvdS2$p>4{Bg^*?eVGjl;2Rip}#X_GaL>Cz1?)xGMGB>*ElMgZ*fca*Y&3zE9P z&F=(5BND~}x_PA^>7e{}gg!HY2dN5xRpR5fPz+dVw@chWx+>;RK&>J9i!kEZ`Yaqb z0AvV=32?MK!W14MHaYM+C(4*tT{s|~q>z%t8$8R|=9)@({_?HW29k+kjCmc*P6x2z zo@Kq!4dw`N`T43WBGFi)2ohCYclcI0SHn>$WpCSR6*7t`mm1dJ-GBo6O~(MRf=_)= za9)x7%|vO;sC^f~Z}TBI>cX43cE)z;7Pce-l0~Nv6q#Fd40isPxtu!K|sI)#70p|CRN#v0-V4rkH zuphrv2_cy?{Pts+I=NyoN)*<%s^y}hK@lp(J<6qc!uPD=OW6|9#k35~ui??j;4>cq zd(|6dwVd%_L-LSIyAW^Gl<{V4AT1|7hYjUvN-G>D$$<1HlTC3dMr`0@b`4e?@MI}J z63>zBo9($Iu5%v9UFBL2*-7FrM|>wRzH653U0a64X~s=Y7qae8=d@p4S0LA#JYEu; z+?Ed;pr+!9xX+-YTAoepjg@$beJ#%MA_8Le*N2OHeRq;L3P5(;5XP#oIBzAx8HUCp z>3=EaG@z~yL|fYMh+L*J#VQxfG-(OBBI}}clWX#5wLycuasGmi1j#JZ<a62>0p$M`{_4-KW^%re$~sCjKN<9UOfg@kTq0wS z6;fvZrdo@mRazVHH7Oxw^i2)UMJ2n1Hry9M!_@2JWnRyzP# z<|4w>+%5lu!*-TWz4%(VG+L`TK)dnkcMe`q?p>h*-*&F_?|B zN@(MG8B0>dK*HAZEHaqfSsMBjSI9HQKmNS2EkPwCq(Xh!bVa|lRTaBt*x(5BGz+;Y z#6p{M+sipwfM+dv7vJTeDIdD&fx$U9re=Cq9o%ImE%rk4%Av`{j0q{(G&8k zeh*;zci|u(Bvb>8m$Q$jsJ7>f3JiXe=0KmD>-J%_J`K! z`_BuWLcPflT{tI-wpDBQj}+SI{G*TG;w@)2j5ZywJt>p%!vqvkC`b~XzaaFpmOu2e zKW5`&WW$#^dF#>2Ewt_JezoIGz0htB@7dnOi}Bsuv^Yr`wESn zdv0A(W&1vqN8H-h)xD(%=5zb4PN|f1&>C)oUsF487KzWNt?M2A?JT9FMM{O3n~)qc zDLisQ5_w@XEV8-#f`(W4)XqabBI70A7GCJwz<8VQU%Ls1Z!ehnfw=YM;QdIPX7C4* z*bzilJty?oE7~`fPc>HAaa&}mL^RY#R@C9cA|0wktge8!o< zr5?dEp%fmIBDOeSeO4*B6ziqdUB!bAQOE&USdeK7^9CKQvf3 zC~7j6eEG-&xl<5Tsg-Mw8yKq)rq7wkc4`8cV+hQdaCZj%!Y{m&?YL4V)9%oblO4x& z)%pmszK%@QG}$g9mL=c601TUk{&0{K0F1Bmc~;Aj%3OUT5gwc`=8<}mTPP;Kv(7#Q zWlVSKT$XqYS!k+CAa;g-;&p|g`BG^dyxe3R1>R&K)r^-;x`0>kclud1T%WVX`xxPH z;Z$b+${fm)7KE6}$d|?<3MM29_TyCV8o^)Ua#QTAgLZ>$>5pj-MaELT>W9|iA6bco zOm(?TH|fVqy>dJ)c--Jg!FJo84Gfpmh#zS(mSr{{kZ-4dNgq#hiE-J0&Tv4s?K3^I z=G{}n+R5Jn5AGSmbN6P|H-)r!i*)PGiU zT{?638T@71ng*shu&p!VP`M03vKY3y%ET~{E;?E+3S8n68s7Eb#Sie5iK#%F(9KEK zRjv>G+XG#|kCsLhL;=s`LmV>Vx|KW%w9BWCNB)D*14{QOpw4vk7*bDBTMAkl##5kjE{FgFnEZOEVHm*1J z7R>9npQk#cd`<@#c~{EQPleUnq0JJHY4h)_$b_zBVCk5uGcZE5A)gL<19##PJgOGf z{bEPV1X(Fh7GbH&eei7&qavUy$9drkul8ygq=X%=2t_3I8>23m|FZGRmc8Db2P-w6 zNK$e8~L=wY}iNvF^GyRmygL;@9*Q4gE6qB)~1b%g@j8dv!fp9%9fmilrG0OPrv%A;sRLOqjbF=D5fkF< zqGUu6m0w znUt~Dr<_~L$a*qYt+VwBfE$`dTULM zjakE7lc18R;ab0CgCx@D{uUsQ%4&XJ@Hrj*qGzTq_q=(cy)QXr}6J%fPR0|~cJhM`dq?<-I@eFl-DZtpn z9>dgX&wqKY*_QqwjsH7fDSMK}t(T^fF{+;C#oeT~{J4b|f>RUIuUF+u`CHPK03@{I zyznq)jLO)^jaR_&8Wlt!+*paMFkJwg6!ic-a+ROud zDJ>Iik_NH<+pkZ6{3#%{gkQv{s~AzYu`RqYT8H{dee;m#{MGX?bUbrFz3ha)u?aIG zhZ|%xWe7U z3V&T9%an#l>?eJ~1D?d}5T9mam9b$h691BM(!HuhUg!m!M(ck0_bTu|ol^MUx#9gM zvk8p<(x=YykJ0}F6-dwYUn^48H61fWQGA}Gz6N;(OEl`))Hu_PvWl*X7MjcpWAL-M z^&lmgkrY59#J+n@vOqx^U=QmP!~f7uwtJoQ!YIjfUJI(HbgHon?? z8?*9VEv$_iB_BzoU)`zJu4cO0u4TR+T0AxCF=Xz@)__3o;#UFTiT@$`uwL`xYKK~d z^8H|J?|A%LeP5USEPsIeT67Dq?V5-0p2~$fJ8J6(vt9b2nUQLlLd&Fd+UP4#`lSJykQrH< zP8R^-GjjfYC(f6nB8NRF?NzQ9*~gR{%m6>|*OU>0T6M-t@23s4`_Pg1oz4vc9_R-* zGay8*G$du!hBjp}4%`oSc6fdaI!Wc*fOhJisXkb3tf59r3|5ljbn`WQcs?_Z`hGU3 zIbwo8SIXDr{T&0^xRc;D+{K*x^*Mh1Qg0x5&(C)@xqhdnqHx{ke~;or|DY~$0n8hT zpyjM#{Q|U^Xr~*NvFr&2?j~Q|SnznKhGP%9lVv96 z{yL3b4ZrIi%Z(I95JrnSK;?+0II``1LD8ex`tWiNJp904VJ)}c;*JOSD+l-vi4*a* zOt5CmVKx>;%&f_&AP^y-h;eBWx2Bc$A)ECTN!Jn{!cULF-Q&j4*0(S5mobzU7Z$A9 zjnpju^_M_#m`iXN>qC>{`Cx=jjX#)K^1Ld}FY#+E;c4vkQKH}(xU_<|*?8hPNb51_ z=xGmdqgZSu7O1Yy#ZQ^P{Jge`hA6|yyMG+geTYpr{AkN&@LEy(kKy7(@Uu$d0i?6H zP5thT9a$$O@$abs+*XMVPsZA80H9HbMvn=3^oZnQw@_jM-MH+O9=->{uUZ%?vt*kOGFaCI zmd707LW-nr+sQ-=9)S$RfD8=A51`^2*TcY}3F zG7D57QYRm9&U}>H8@Qd%5aGFZ_YQ;JS?t=p!F97w*V>3Ltm=6k&5a)x8^k~TW>@%~vq)3+3A&S` zpKpv5_IECg{nqndTD2L`{_1X5hgtrG%TG?+LBjTnRLx3|b(%bhceLb51zc)p!^aUG zo!Qg|cXaiDbK3cJc(LkAgqT!nmBcbC(0U0hqppU07`{n`HZtYpSsH!cf!HR$c>esw zM^(?w$TgF-_PxZiP;?bUc5Gq1u5E6j&ifQbWe+QR_AXEKq&x?Lk>JVh%4Lju%~p_W zk$hGUHI;0{y_i_8_Zn73@~62^PCEg6YB{Ln@*+|@pmpM)n+Rr^95qBsH*D6nz5YzQ zGHyej zN-c=SY2h{3`uBK~*bi4RVW99*9}!Y!XBW#!B-n;%9bE%1e5Wpx3g4VrP!iU-1@?+wR;Lvp8 zmrn(sAPSVd#52vBu)zcuc;h+)A+DguL(tI?)$gy;BA~;T;T=p8}g)29Y>V z2`%J6WOmxTEABK8Yg<@|~ z98S2XKPTdNA`%A=FMGp`*N022mQ6c*AF%OrRwSK>pt02TlsL7}m#Qe}#Y&u&VXiR_eS+1p{i_<-z6g>av~9J= z0CKDMRYeGP4R`o_v}j4lu06ylq`HjlkFfMpUW*`%!i z8i;P}oCPLtZnZL7;d}wa<8l%KwzBAsA|{SD7Y0%_vOP8w5qeYElTLDVPPifcoQ*Bg zVPeK6iRO~He@F|dkviS7#bk0?4cIqFeyazhA}3jV`gR$k{eYRPmoEqJZtXq{Utb)mQfw!s zx01B~v0RJs{vHH=|GNFMd4(T`dU|p4C0B>?rT;Bb0W*2KP(R93^?H`=WVNupQ*0IZ z?bFfw-sj6l7NR;Xc&pe@*CoY#oe;G$E=ak(`vDeGQ+Z(f(j;8U z@kRIaJGJ)Vx)zmr8A@}LauxX|*;<|OXTv64T#o!kg>6L{?A&^ywep2dBwSRkltQyB zN$5Onr5_wWg-NO~F0=Hx^=_+%yg$wn2M%~rWfy~a7Bqp`rZG2AYWw&4%h`9-=i|!1 z{zxD65~H^$g(_3I<@j z^Fray3k4lTChZ#n6Un&eD)>myuNuP9PxREfySf;@V3A4E3GdlP)ZjZbd?1R!d+ldy zv{;H2_R08?`m|q^}?|gXQ!0t9neQw!RAnCw5w?_yYG?ocHNK-rc!#}B;L z=2D}$b%lze%1lcanJAIfdF5;ub=HdbVdH-mYCeZdJN1abE7a&JYQ6Y?dHH7+Y4hjx_ z$tM)NV|q8$tRtMdivo!{yErrD4yKO^S>JH}+{CR~tc$mTZ@(!pKP?qtdV?Z2U zrHL5FDj_ux{YERa7_TK7h}LP5D@Y@?Z*UL`m$UJ9zFR1j{&=!2_zhJ}O2SSyY->hl z{Nm~K2@Th$aRH2$pW-wBktz)Mz)h@8DdhI+u0zSYc0tEm5lT+u74kx~`(9+m!S^?B zS$Lh5^KgLOP~wT>?g4Pb#%Mq|qq&4nJGNIg3=2oN(p&&1DjC{KWlkpYGF^ZkyY_hi zo3U`g8)hZpI)8>*Z70oNjn<}B&_TT%7al~wr~35;6L|a54xvo>Z0oM@o6-*VD@SDT z9Ks9=<{O* zlkvn2kLF?d--MNl8dxqm2BPW%BNz*mXw#?L% z62nNELkyS@_cRIzLoFbn+WF|yaHDxK9C6j467FGkPdri#^33+2JZ#9FjUfU>&avfnW@gR4hml1&YmEzNtIQQ=PfOxDWq@$kjz%-06C_CnM~b>jWIbEt zAsvP|wt4kg5K)$q)1xx&(m98@$*P4N9~uD}5iw-;pPnRWMARYOk0uuO<*ze21Rnt6 z#({!IRd9wYgYCp0$}QPnNCzaxi>h&h8_Dm2IbJgXE&pu3iaVaGQj+ z=j9g(@nXXCV|7i!;wHV;`RC~cjJ-B6#C^d+SO@UPJN7L?uV&22a^>yD*b=P7RR=LQ zr;egX6ZgrU^~!tf=gQ6|0+HVU3*I-(a6kyqAE^>ePtk#uVr_|E-5>fR|xw`JQFF59+k+ji9~8?$WN z<}ABr*|u%lwr$tEwbs7(kF)RD5&wSJ_u)K^kufrR=J>`Jku7^~z4g~2C>-voxksZz zr3jARom6}BiNaH))u9U0pS;awlEy(w^>_?MZapKUfaW0N7)xQtY5m8yE75v8vdD@4tl)AT{pz z`FmldD|oqtw^7GxqbD>K6RC1PiSQlEr@@kM$B*rcyY2J!?d&_*F|D8c$Szr-@;gjQ zfIpf&c$|0T@}rh=HaF(hD3d{pN+7JgN*X4)vX2b91o- zC!SyA;kkRkkW>cBX{UV0wSNRcW=IUQMXB9JQfK1EP?Bhv;^%`zW$nEmzamSXUX5TX zzQd#_tYq;M3RT1$7B=8pzTeN@A5|VU+nawl-D4j;v^Vp6W0SIXA3U-ViFUr7e=C;^ z6a9=QyIa*x4l&s{W@=(zzj+9qJOe5Noky!vFEoOu+%kx`ibyrc!xk*LOgJF7S{1lT zvKPBDP!N(^A%$HK>K1CJJHwf4r+oI&)#!I$u_+xTwyL3C=3Xz(b;}vIIVn!Do=|t= zTuZaOsDerjTW4vaC+22(M3lV?Y*+Vxefsoblg}H`jo4$ckx{yYZy%Gdv7dEAuV_61 z*W6jxWI=((AIb%W?fT4L|PbAC6QMmYhQ zx~Ar!8-;CcyltSze2vN-wClszb@)hCYf5PMqQZNsQdConM@GrYUd6j6I7p82bHhv{$FTsQby(u zJG<>RJp{l|@7>hUiGn#dVfPNCAl>5TnRq8STyIwhL#fR9kk!9{UTW^~KzT0c4BQTk zVr99457*Mrol|x*YztLWUc-e!!T?5+;LQhL4{Bl?ok}6*juhL4xh=%nd+rV4s&bhN zfzXF5wf1@02HUrQ<%IgM=%*0rSo3RC!ml~h~mgFYG0Qf7yU89ZZXhkE`I9oQa#8En0Bu&WmPSL@62(&XDp(h64U z&CCuCOyZ==h(I_CNne8=Vqz!_e*Ey|@C#?Y2W9|aDZ3sWm~q^UkbcRHYdC1g1^wa<=#B^^ma_o_2|&FJ z&ktxE*J{+PT7=;Fel3rg`A!hjH#f0_s&AnG+95|X=W15fvfXEWiuXM2(DUXH0pVRQFPfG=aPm_CyIjRL>dhDRahf?W$c!j~8 z>hi}=IY&FLx1o?z7Oq_>GKFHemEag7J6tO$u7&#Q8MX)-N@5jPXhi)QmuO%e~ypX3-Q+5xVzj*V{bXdEw!{N=*MG$5l2@IYW!_^ndaI`J= zj@ebf=V2;sWCO+OD4wF;Fjt2>g1(JPcjR+~Cyr_G9 zJ0aCa95Ox5msKZtv+a2Tx4(Wx#4Lm>?3FHEW4eBAHI;OU5Zzv6F+Q#`vGx*>#E{>K z1nU+nP3MaTbDCZk#)oGFrR!g}Opp`VJ&}!~A3{hAW)$v!9_h3sPP9&#d922#D3X|l zNU`1vh7t!Uf{~kK(-g)&zZB-s<1f2>EiiS0gtQ#_oo9Jk7uxU*^VoxL2cJ0y7m}-t zkcpn4(=78E`bM2Erbo(CFe zGed8U(v$kgvD{r2C)W0#k^A#Fv=&P`q%#deG5i5Ioqy4N!yPar?WwO)oqNaG=zVRIk^$t}^+|4RRp6xeIoygW{+OmS zQ4J$y;f8tpNZs?rKBxaW$8dBc)Rhp_Tz!w%Byzf+N;#6X#8leu%5swkTr&B`ntKNClVrAZB#~UPWrU>${ysNGYu= zKGz6=5Lr!lyw6Tgw}rcY#!0`nGnp~i-ZU90+X}@;m;Bzp9eMbwK<6{LtdiNh*yZ!i z=JsbYbm#N#%jPx{qE7$S%a=R=;wuOBR$@pnqMJ

    ShjnOL~ zdM6S4s}>&qz!uK#^xUX0XPkGCa%B&>Lpf*%fud&2aCue$8$5V8HPOl%^RxvqmK3@L zAhHGu*AbvS$^&yVds9P--d~M2Z(wJt>r_9hWHMKPO5`b zZ&oQ%UTWdoCgX00AhdpG|8A!YAp(%*Ty)U#0!?g<9pGx?S0gs|WclV3ty*gDMQRB< z?vLj{+M=;^M?W`^ol=pA26Dy|haW}D{>Fzql3v%>W_x~*9$sJtW{at;XE9+XJwc!< zf&GJe2%fCA-ckEMKry#s6s;~qrI*R8DJ!qaE#C^wp<1RDe=N4bL8FoqPXLKyP{v=G zwNh6|Eygp)O!CZJk!pOX&u{g7=Md7tm;8bUafcJ#GfVe%&Hq^zU#Mq<6WOkB0Gn9b zg{JSq+_th83WB;ZC+q#-!nLvWp*Dxg=z-3~eN~#X{0F8t6#~zSe$KD=?_lv-vRY)s zsuDYlI64hY8V+1pK!xL}^*W2;(yrnAKEVEH?AdQL5LWr2qK~iiLnEG5g|(^z>(iU= zIY8=I0A$FnP}jgk9J<=!he26{Gc?6M;Sp^HET79M>{RlhRY&EwE0axMe<*%4evDU? zb6wo%W`R$R!23iT>w@T0QJU+e)4< zHZ$1kvzIGUCAK{Bjk+PA;{Y2+Zs0*Zw+d6PJRBn{n)pfEvmolDZ=-+aq?^Oy+f(c% zE6(Rn`4dWfn7)-2SARZFrJz=d@585FxTI%d1 z=vxCWu}h^XYdL{QC~lNG2_`rzobcPUa zSMEWhZUS5)R&A)w_51)^fROBR@fKz+RASNHQQw9xnt}2sDG`!bjf%c+OPxBk`k1w_ zwlcgq8dM|aLyYF0JYxX5C<9D%-D4s`vjCg@2pSf*ug6TeF}ewnVT1IcAJ|c)#amPY zN}6KR7JIDRu%*cmpNq{0&(_FSnXg@=(~5`K++@04~M9{e8to=4y_68Ddr`+V!sZDP>tt|lF5j9 ztNJeefPsLR90g=rmkwQ@nhu8Sl2Z?kib)gvtiVhRNLK-u~$yTj}UdC^sKcC@53s+WAgRZS|<$>w16AF^11 z!Tr8|=5c&{hFLO^E0~gevL6L-kSfvq&@Gx$YRmIhJ8CDekgxmzVLvBACyQzAPszwEyA{U*r zoQYa;rn9>~OO@xFjCs7Fzz@x&_zp)C7MR5xaL-81B#gn+2@2A>uL(5UPA0z=;2ETu z9W>-}T{ zO_cF4o_sMqzAy96Zqo6b``qUAo0%kp4mIlF;5H$Fl~IdRQ}mLF&X7ecp^wX~wCJA= zHxd`iM(R51TS1Z~S+$jKIZKzEvKk*wA1(vUu4;`Ht&5zC+TNhmk1S!p1tJ=cJmN8o zkWAK%5|L^eU@-c?GxEdaT)lzB-Ux*D?M**smz`8}OspLDZ9SEp&HTa7$F6)r%Wa3k z#8l`cxS1g|p_u(aP@R=<#+9kkZ5sB&lxX;C7RBzs7FB7wR8Lzv&%J)jyZQDbZ|nft zG=-ZhA%d<6j-hW2Q=dzJ@H|W;XSm^Ee(Of(Hz>mbWB5=~g}aw3rDGo3_)g8BtksGs zgG1Ak)xcC?axZ~#Ix}%_iqefl^lGza49!4*L3e8*g9SFQ#$VUpJU`sydR z53PuaE#?^p0or$c97ER>k*wdS4nFPCyMcIq_bHuRm7^J*>g;lVURKPMLNKmoNJ5lH z&%#VVe3y9jFesI*S`em8*l21>;w&(*VIurZk>NX4VOOLyi(Ib8486P^O|RsZF1totxy^x*5Sz9&VGRi1^%uM(O%5L-A21~uPSq^2c%Al zK@8YCi>0wHEID!r)jbsoxfDB+!|okq$HgLg`544VS9_~P#9gHA=AX~j^mP!%&|F&* z2i0Vbnk~H--z;Jn<$L>$mhocJv&N2apM?b}kSUEDLv(`qA!N2lnEQk} zvwrl&9CVOk(z(VCKcB_i*u+Gf?Befoailf`Jpzpc%01+rJ)Q-Z7saFmO#|Iv4K$)v zk(X6dSspm@{a3=JzBijy;WWW6H61#f*e(hlW_O z4pqpV99B?zsfwMdfypp&n5yz1TmX5p_!~sBve9Iw`>#{eF3CQ;WDe`gS8&RewKh4- z*WGhp!&f>ht|nuZ_!DD-C^rTw_P2sqWvi|>3su-vM9Ea5r$Xf?>u+mH2g)T$Me2u>UWzB)?*_UyeQcF3#! zzzH(nHV#i_7?V4R)l&4vag^Hwg~~*CeKrn`T8Z!YNI-`DB?IPivs{{`58)R}Dd-#= z9aAkOf?Pw1wOn)B5s=}Nt*6KNR1Jct#Q`0vQD9{&Lp9Dlk{=S{JP78bQ}K*paA5~s zG_%oRFjp_*#%CSx=cP zZujPrB6W4_`;@r8Z18Vvl_M}4`p~O^oHM^$=+D2sC@{?`v0WQDRf8Gl(Z8U?7+_+? z2rgmgLYx@qF|0uwY!=7o_a~IvOAcSd;h@0 zXa)QN1q^Vz{=4htkDdEJh2Z`-Egb&~Rr*+ei{AZj*)e|EBmTg~pQC&Ky(5c}nf@O? zil`xOwe$(O_1zDkBMX)&_<2JQ3CtRAWkk2?kU;IIV^oJIBD-UdSeTgetZkLbG3JPD zUU8&>1~$Twt>>VusHnA+D8%mh;C|b>uE}Gd^?v7JdT8k6M(35=&CTQT>b&kSnGXMU z*2?iVaPwsQ%>LebeKhm@hhW(y%4TxgDsKf3s&`EvMj`Eqv=dAy2m&3_u4n6_@N zI506hItnApe6~>V8ejK3^Z-^vpR>P%a)l}=Rn$~j*fpuISd$Z+qD>{6QBbOf_v&(EB=FHs;gW2&k|hVdfQm#A+TB+kJsxYf~v!F#PT`!&~0Cl*Q*+sXE8(OkXYG6rR9(m#}tpx z&`Kt#;ab%t1Tqa=F01_nzmEn*{D`*H$EV z#^c+S^_}194-PaM>hd!>Z%>2E&T&#-fhoO5%O-XCmQF`J%=gebaGzB(G8}GwGmWNC z01L%4yZqi~_~|$P1B4HqJ)c$Nx<9bjr5X?RCZ3G;euTXQtLH}j_%(NkhkFw>?JTFS zji+Ol+|+&`j27D(3M*7fO1J_>U9oUR9%@u+zgM5N&gzmCEl1t1kC@JQ0*!O zq71Hy)!lbwo`L zsM3{I<;u^hPbZU`k4M#h2p*9q`0GF7VYQaT@DQ9A1Vnuf*{rdhr@mgz2UBQ{VPHAZ zf6I=_D``Ahputw9!Ul+G!sMM>`RDKg!;x6hQ%~z0!mDXq1gFda;ok~qMModj#Xx`V-T`gtX?@e=v6OD^dj2JwJ)6z~XNS|e_jC`xY11o8se_L4hwM$G zXNhtpU5UiZ>a;aVI3?3g@E#LiFP;^ikNClkFv$pahG}+ux$bRs z1JTUse2Y+`Zb=fPEb<`$x6W^FUzRH&pm>q`9@`=}%k9a%cN?`av7M*7?UhHG3X=BY-q0hx}wRGdn>(ea!LZ;ba; zKEN*(SNF9^&erJpq|t(}uVe+Cfl2a4YN00&$ zaViIS7v4|MvaXGxtWkWIVhscNk(KWQ_;kl|3jk!`a1*ooM=Tk&!l zs**Y-Qk*&5O)WJkr@!#kKjABL2XzG=*ZUvy=PpbTI}>+}kGls<$r7^%onOoq41@0ec4=Ng4E zV2GgUQN3T@XL)NK+t8sPa5jgeGB{P?VO>BD?38IZ7V5jH8-c<#$i=39lW7B17JeUpQvKXR-YY<*2GFWX$PHBg%nkT{E<86SdLn-fI%D}QcF5w}$ zuljvhY+cdYVK6quN_c25Oa<3qw46jH7sn?@Vq|JI76_{bfdM6B=H8ik!-fyP-q zlJlUxn7OnxwgGL%OiGFFo`+LBW-5EQ*G^Ms3K(WvdFJW8cjPNYhAqc>rT z;&ejsr!iE804LygeWhsFCSwwz*`~6QA&sK3ROEY1Q0Vr>B6JnHOW@>@ZiC%RqmvA! zrlFdBt4C9C-zOMPH(`ZskFqDBo>b>1Q;?f-CCoI<<@d3)@;& z%<^*1XrcIgI_^;zfG_U*EL$Be5O3U2J=x9D%m`3Hm#kHJ^Br1MVf{Tt7ipSQumsmf z$%^jDpvWL{P~#;VS4xia6b0F!JjUj9W{#o9nB*@z$e@;TBi_cS*pt%I#-rIV(4o@2 zaPs80t`htcyT%H)W;IgZlP)ieNt=;Eh{v(wP?2kt^iX~zQ6|2hm;$p8iY5NS(fA6) z-yINt0R)w~y1>qYg!dC$VD`}8?20&Q*DXG+&N|j(U1IP9s|8;Hk(r^Z1zJM!94fig|8wN{Ir6{0q(1Sbq!g zY|C0u(IohE=ealWR`g{K^ALw$##Esw76Iu`G4{RzR?JptJCm(9U*K;7!no%h4L&k< zkF$)*xz0y+S!S5V@RZXBHjONq;cL`k7bJ!O{7d@P`HKw%ilwQOWO4`;D+zNC;L9W} zcn1O!OeH7{QG`QkYnRJ}j>rO<29W+L zHCxV|I2|g2+2~|rX5~EgqKa8Dj$G1N9|_9=IGNmN#*H=byQU&FIKd3Z~s4S5)vH6@hcC6c=F@cP1)|C#OIIjg$Ri z5$FAd+x;tVf{6Fm;Qhg4#e0&$ph`yBN+CoeSTwsqn{JxjhzZ#%WR zq*&Cx>dh2U7jgTqVa|$;hjN+(GnArbV#Px+o#?cncGZ8U3Kmb@-hcE;li7N~FX<&r z`htA&3au$AorXvmn4gfltR+iAqq!-sQd}B#MmbweO|@gG&w$yN%Lt$}H$CnptIyJ1 zaC|>CrS2`Bf3#Eel5vj(g&ScBm}%#nQ8Cw|o=MkEr!<|kdP`eTiQD+n|HzJr>zA8E zEl6*++>>AkJp~i>#J(Rip7)JlJ_cg*m>Jh8JXqwQ8iE|>2Up@X1e4r=w_)r$&JTj( zyj?Mo2*biNEm;n;;8LzS3+3Y1x9yG;;fw+ll(8ljz4AK`2r$E_IgC5F6w(P2vz7;U z;dGO5%wNKp72awx2-Slpjm9m~^jsa0fm&-M8W*0Ciy6{1VfJ1c!Cd)Lxe>Nan;`%>CIwv zf$IlvYRP5`S@;vHH#3xt9sNK%Yy+`@z#NLU13)wFAN5XdJw$vQMiok$QgXJ1{wCSA z+4fM5M(`%c#8QLRna8-xzF2s>aJywA}nUW0OQE5=wz>iwBqLH6-HUrfcuM|u+ zEGi#MUjikW$dqAYw4Z}HfvYH6yniIcoWSfb??TfaCBe)GgVik-Wzq;~@~yWeO4p?s zg%HKXbjJ_sV_t_t32N%G^mieg;oR~3q1jM{)0gg|&*8 z>)aAL>+Y=d7*FbFFSnHxFO^j*r^Bur6DJ$lW*0Zb<_7MM>|SHRwe_u>A9aM_Ub_@E zr!~;AKTFy?-(O|Zo}7>2OG{f0wtXzqZ%|D=zc6sf9lREc4OEo3R5l8Y=WO;ui0^q> zd?{y;I=c)`th}q{VBDUB*Q#!M4t@JLE!}gSE-k$Rf(jWJv1YBLtQKf(IT*1WkzAI% zyq-C?WLY7AuT1=LIZtSWn)$)LLihin?yZ6&Te>VwF*8dkW@cukm{}=iW@ct)W@ct) zW@ct7#f+)Zy*)G4Rn@;uJ=_}WeshZlk2vPXEVl2x*0-91d#YZ1+$_GNT;wQ~%bYj+ z_yzV2q&1eLKNz%Eijl(LOVgFdBR4P&ef%&LmRJtT*#p>UklW>|%898W50$6FQ>M3j_4!j7u$*HdNj?Y-A2eXRJo!L} z7N~0I6+H88N9Xg*N$EXfRGJdxX(~|!j;y3gMdbw zq=*Fwzq&|e=&>Z@E&%3Y&JSL`ZU+)|HAS>^KkqE}LsvAcqJ6*XTU;o#oyZN!IXeK% z;~?Pj|(ad<8j2jYoDov-C(=MTro?Dw|A z?2a}RNC;X>p)udn$upr&4g<(48jEKM$BpzM!YrQ6S|>SwyNE!?BFGLT!sPr)vEgdq zWFq`5p`G!@qpkbMqlT@^pn0z|lMd7|SlONUrq9+QnNkZ1o?y&4BD^I<-gM_KS`7nO z);SXkgecAEVZ&Dt%nh>6^^3hN?*JdD(G{sn>w|l`n3js>!44}N5+l(UDX5*;+BREe z{C0{JmsDzN+`J;2*vGYHH%PWNik1$VeRquYohy8oGg>%^TfGMVhxr|W&Sry_4D_1G z?IRjfrL9MB*9iw4v$AM0IEtB4q8cE>Re#m2u!0QBb7P#%$z1(}GD(Pu7+2u1gmc}s zzU0-PPLNiY)>T?cHhYf;M9m*oMdx?Qb@NYtOoq4KB%fT^L>8)C@)wQ1l1F5+c1`*) z9)kp5f1HMdUTZ%eS(+*?S`)Kx@3w2&;H|hmIy7G=Kq@d3uj`f+ zbo1>8{%LhjTH;O{5=z%ltW&+6V}~tMClX#N#aEUD@ky3a1LB!#%pNTXEQRR>u4kOu zM41V|zRN=yRSHKsH!FS=!cUrtCW=jw!{g=U6%aQin|rJEYiUu+&Ky@n145EhiUf=c z%W7GVT`j6yK1`s1XA~<|AO|(t#{TkJro> z2+K8Kk8{jNJWZ7%`nY$*iUXcon+Y>y3=|M&d^r)~^k}t%VoEQ#f+M`WuV%wU#fjA} zoH5>~Ce9@pz6mOB8N=Y5XA0TY)Y`I*jzIE4LPlLtvKtLc_hCi*B^^^Yxu;>m5^v*X zkApwU6k?+V=@psCj4Hn{1GWowLQ;IrJ&_hptd<>~lb5Xqb5ed}P^vUG8TRJI3-pU< zhE)aR=c_Ytzb>h4t_-nYZa}mdkkbqkNID=Q$hUS9(X@tmDtfvfuqn*I9otX@2xm1j z9!`>O0kKewv5?VehmY1giw7$aU@kt2zgdT1ZRKGFzG(7j>~hC|IT z`XO}<#w{{Yu~-7EIS2q-kd`6I1;>UmoSXPF-{QJSB+P;WxqC<2|ZSW=!8KR+hF05c+@p2$yN*|1cm5w8I{ zoy;`{@o#EJn$oe7D|G-wni8cZ4je^7*B*ZqdzMJEyCEHD+88n zCK0nk=t2|2jr6*+(4}V~MbvV_38MPE?pSIIhJVQnDA;eYktT*&lXYDoL>yBIFAB!u zS)x|rpyK^4o8Db<$LcXc3@fR831DeC?DN2S6wXs|hSG#lBvV`|6LPA=k{NCJWS*=c z#htPjZ`hwM1@Mxv|-n+Ccrn7ZM{la&~0d=gNjH&cDW|n`)!*lgDY$I zh_2I_7L^b}O#4!0@{q#mGL$pv0mZ~toN?SX$KM>YVF|>^wTf|t5+)DUTWq0XJA!_Z6e2pDk20!zLc#|pV+vg zg4;MYGYQ2)*dYZIJUIlNzkK5NkC88CduKLsCagCX3LT@=q%|5Fka#SPG|Hp^7E3_E zZFH>6ci^U)&)|%|EuMbtL?3%9%V|?F$B0rLjT)X^x0^i`ZeMN(%uq>8;(Y2DGc?ox z6=DfO`N!t+K=1L({P)x$E(UKG18IYup!BipZ8Ab`?yR+9hNC@=RJF>WMh-`{9jNp! znn^Gh4nbnixb=zr*rn!yA9`oJw#TJ?psVc6wu=PB(q)Su^i|2Ad&~TmVk)LoV#Y6P z{+sD1xaY$dJ}RMCP$Q$~Q-B=TUbCYbpW!J!i2z4PB6(fcb@!4RKSUb6YAy{Rflb(!+bp)J8rb-%!#lK>kf+~gRPa}> z$KE{j$%CqI`-$PU4-8wX5GUC#Y;ii|6=bG;Fjw4OJv4+l0{x2nN2~2eel&Ay#-v0OK5ir7o=yZ_H^R$9y+too zvpemU=pk3c@OdLV>^3tx%%1pVQXTX(SgJ|6GeKir`no3hl6bx(9-BOMJt2b>@em|A zvN~#oCf~ZP<;R0R29y&6{y0crwVC-QU7B{FkoFUZsoGaRqJ4nZS-GVS=gXgdp??<_vG zNsE|8{65JdZ}3R)=tgp(3#52%G>da*{DLG>N4YWyx&VpzmMkzFfuJ*GfhDQraWJt1 zsYR%8+#9vNpgl(d*7G;M934bfkknV|tUL;ak<1;7u}?qB{}dB*{|_ik=Qhs8oPllb z2IU6G7&o@8^C`(NshaEp8$v_0=8 zR8$(z69lmm4Wg*dql0Eo&`?<+)BZ|7KVLDe4fvz&TIfBSd6hM4OVd5iLXK4g;sE6&NdvFmoeHpz!WU_YH|BDElE zHP+5mEc3}^l3LX`cEjNdarB=Avy>lhnyYIU4Rz&35#pxmBe2Ne5GU0l3J9^Wfoj$d{IlG=6E#{sS)*{vP%+57okRE1yMqLtl$wxWjm1pJWT^&{u zb4gE~ySG=jSMhFq$4g+fleZ@c3sUlYNmIk(f=u2L>AdLSxc9~s^949#;$alyK#ND4 zMNJeD2(VCFp1ZX2U)b`}r_1rL%a-JSoyJYDN|tCqmHvzgf$7};9nR!}&_dxeJz}Y{ zP*UI*w>rmfB>Ozig3uykgrCGZrr261(+;%{7Sk2wcq~G&fvbhaqB<`!rFE=4j~X{@ z!-Ad`lYl?}N?Mbkt;-5K9Yn%@=47-xe4E%h{<{!8X`|WvkudY22A3alNQ86e z*eRYiSU@L&guMh_AX-uf;>~XwXg`h0a<`|`+5L6Z3J#)ahCF@s!EeyDtfMar8hX?G z?NqmOd25%l7wLYU3c4K^)7PF#CPZjU#e9u9cL0~RGmONV#mk*qI~75Bi?(09L%9OI zed%GY%C$)lZ>orU`b<#8t}=zFrTvv=&*+U>xzn@P?qCV&=Aj?Z)oO#*uKEm_(++KZ zzXprCPuxe(H?K)+Uvr^EZ(kTWy5lK%mpDt(w1daS&p{gRXV@=&tj+dD5V9Z%hyuUL_nf3tO0gWJG6~jtxk(jC{>EK7_fCc?WX2b+AcM4N zk4&k8+DW_#RsJtP^cEVqe{tLYPd@Aa&1c~3e>0K(DKjVA-@fescLx6V=KtSXenuvi zzZ35MA4S2pnN!`$rceawkM-D>UkrlV`oju_JIY)x2aYc{4l`_a$abh@Wv6>i#FMCPWMy6B2kictgaVnB0Fw*4@jk}8-m2~ zSZ`_^zpq*YtnV~xe_Y+~a4d(cM5UUET&KtFJF_Al7(a_%7cHG##2ps$`BT;cWxg6G zK^GEA5+YAXK2+|$j%NRK{skvGGpF1Q?e!Z84?hxqNgZ_0S~O@ zKKV%o&0g9{jjUx>?5dxr%OTr-{BU^iYi#&n3?mnuo+%wOf!sQk9GSkUmTlYH$^EWo zA>ptiXPa#76@2hP_S)x#b!_HK-bO`y-4gBVl?2+B8o64v8tBG$&$$YwB5;JU+NI*p z=?>Zw9zv{JF>stV{YgkF{6(U%LeyGbJX$}NeqRNpj85!Bgnt=f;k7f5rA`UAhL{Kb zra)j`AqEt^q|S^#}JTLHu+rk-j%4As~R^lxEuEF|CU9M>@k+1Yluk|*^kr!2%HBF7o{;h?hL zk*D`%Cy}*i2g1RCeQiT_9cjgURafbJiB*J@%Ix(dM zARJ?cfR z2C4!0U7Fk!#s|ST>zo$M6B&}{>9pPr)=~)%RWkPY4fQ4CAcu9*wJTqRE{oZL0&_V> zkV>e`Vo;Ch3cpCZE-a{lyX-59J{-X(Qc|1b9410msj080C zSE9dgxj>++)p=R1tuC9e882h=F1nnQ*cmq^2vTSBY_(Eo@a?_FTOB*Qn0W{LmpMBK z7bZ|{Y?vm~IDs8D>@TQ$CVR6@w7FIwo}+l=^XLXyWmK%XlnwhPYj5k?UF6>!J&Jqd zgv9u|k1(y${NH$UU6+WdRxN)O42S6Y(>fXy$a%o)*3X(+t80qTQOrL&6m#rRK3oKy zR8q#o*;;ce%b}tiMf7=QvSaRX+o_d%1wu;z&l1QMaEL4jVvu065hT2Pqk@JUr-kfb z#@YzP`;CKek7#%bX}Qsz$UN+Qk3_8 zU@xVVxi{Murs%)A9lmc)Y0cfIZGR8IOOF~ zzi$(C5r%MqYOC*`nAPZXZG^dADOgxd^s&}EF~WTeOIcF`;@E11I-njm8ipz7&jr=o z|50KhE4PJpJ3c&9i?)Rq#-DnNg9Qgdy77tZinpn3W39Qz+LV{Yb;)7_j?-6mGMryO zmz0Vob#Ji9(jtOXt{;Spdc%CTn2S7yR-!~D8w~F+XX+EHOFTCq?kG=PBwwr(FX)cB2)0yQ%8hvAq|Qg zeoC=nA}}UE5pyJ7lbQQ=F^I}C;8W|>GYVT0RwJ+V!Vovi>x#fOWhH#iNs z(Bj{Zz`CdRw&2vQz#64jMBXQNWSXXbdf}^^xga_)85-zLSd%o-Z+sNUD^i^9rX|o1 z#Ck}`NfwZ!Z)=cFyOAW8?SCS9F-s(6J0BtK&|=rkwN;TA;}8ua9@k_!3kT)$!PAF} zV?rUWKz}CJ?yq7-imlg-O6(c@h>6d+&5{i>+DZM0Ts1yz@zK;vhGzC7&0sl*u*<$R zgE!F($^2?`%S-zqOlgbp;&RbBJNd#nIt>l{c6%LVJeiNujRqyA%~D;e?o`UzcxX&s zZD$A(WE)ZKa=p!rjfMU8YIkH|-l_N7yvNx@(x4I{lO&Z(&Hw01oo%2FbU0QbdpV%y znVI!{ox!;83y_6Jqx*kdi?aWp1{V37j{T2C6aJ4_mw&58|7Yg!zn(lXGW{p8i28SG zGCSH|smZybX0^pb8A7x`@bi++CbdJ3Eou*WS>Qt|NO{qhbr*1*J>D~WLugrnO3zmE zgJ=|Yo31Ze5nNr{SMBe{-4oT-#VZ|JOg@(AYbj@!?)UExPqk(xco`wqOBd~r=ByLj zogNuoZC#zI+p|(8Ezc?F%v5`LkrE1waZ4{%^&2G<5sV(aG2cE^&oQ436hfN_$cdIA zp=0U3doB4RErvX5oxKlWn1(;I*HA|2yr@b?Yn`d3o?ZSm>oPXB0y-brTYuc$ZgGX` z_G_8!FlfZJ;D=u0eWv)Db~cu-7%aZEQx8q-1qUwo@rZ8CTvVoN10}~_bTP*VMxa57 zLgx;k;2_#-DrO2Qd#ozS;WxinN8^#ore8Cc{{Z6t9FJ#Alin3j)HYF&Bs47sAtIS- zzC0Q_$*E7eCoA&BZB2_8DwC2ER?X^@XKJ|l^ZhhF+S-QKe4SJEXQB^h@HRefDM<@H z%oQQjHop>ld?qZPWZ{HE@w!=AS+r ziyvxsIdd0--^GE#XT(c$R5Q7NejMe5hS}Xm(vOQfAug3gSp-)jng8he zzDP9Fp@91G3E^)}E#ND9<&Pm_gZHt}BgI}ZM;|z>dUm*$oKDWF3o3^-l<-U7LJV;> z77V0dIM*z29|h4|?Dn4MO{`&n91d5W0b-wrR}KNo}t?gn(*24V#{&P8^&d40Rr z+FBbp4%f4ChtCS?Y`b#L%xGHz;wzSvdUo1MDU6sLihw~Rl1&oHC@`>e0607gRfD~? zP9&5;TtDnth;&zqCP8?EI{Ei&3;7;%F@&@uzY7vcYUh%Y3jTRo;VH=}{N*Md&C#)? z8m#<-9*$93=d+YO5XC_3Q8QEa6NGhe^?d_4OVU?YZQil$?=*S?AOM-m6lhf&vdb}o zPDZwchfmx`e)s8^t`G&7Tw@EVm^xb`mH?t#w_t;YKuIu;`~4I02cUQ2`zd_2luGI?S>b0qu~GCm~NqJ>nfZm{E&yc z87Wk~wBvF2Y0*U6tQL$XUotz37?lWz%T&l z0(@;9G(!lG`=v}Urne1M77-L>twEpCd_|C_k}DHwhc%x2Q-RYE8`Lma2vucyMr{9< z4UI61mDJF#j7G`^*4keIW74fCo5E(R%tf_5BoCYT+FHIrv$}6!wxmh}=qQNDR8c|^ zWw22aDE+xgB5vNIWo=*Cqb)nNcdM~HPi$ur^QRpW6;nLIC^^Z`5XM2O6yiGuvG61q zarKO^I-9=e&uSOYq{PL|C?Ngn8T0WF|f7@smD=VihEd zPqW)_@)|V!DVN@+bBz6HBT@9KQa7yN-&g@!y)@Omq3LkZZ;^%u2uO!sfQb3Fy$Pek zfoB?^7k@2hhw)hnu)n{TonC-cgeywB2_N+nF`#6wLZy%s*`<#47yfU0OB!|T)qNC! z%HiMe=aVj5ol$6|+>o^Xf{;Z%{CEtkT1Z92OYHFm4a9K6=)9oscoRx-)5(@uoVrgW zC-U)U7Uob(zWSSlXBtqDe(cD1_svv^Q39CGaX&!Vt@rGD8bP77cMcW`AkF0r(<&ix zV9FPDpsAI6&{bPjEn;Y>(QS4Q^ddy8rDP7cG)p!uLy(v7e{WE0#PnQ_pXhX*HAPY5 z3{_w-b|KcVF}2Z?Brr@Vt3k08AocvNPXzjN8Yz$6<7dLMaHD#+76@&p{IfAbnPgIo zr{L%&YHND;9miAhU4;^3XVToWGg}&i)&qXVQzgiw#%?RDeAc;62(d8@U4~H8oDIvI zEjkY3h?+xg*E=G+EDwZDgx}3*iN%9G`jo391WGco`_|xP;pu(Q&#!Xvl)XT7&wQZR zUa%t->~1LfUil;XshGp4@PVgh*5kb)p0yIucKZbN^qBM)R;PoRy3{`6ky}vrw^!}? z%u%USBHvVOzPxS_CI3ugC0{9^zhq?pEJz6zZEIsEUkZKwL~3Bd_(aQ4Ygi;t}u4LTL;$!|KsBm`dj7}>z_U@7F_vXGv>!S^;oBZX0 zhuLZv#R<~{PRu>_g1|F5Ki3_u?_~u&B!n0iLYE7tA5_*g!`dEF42&-p=_dyj>I1Rf zDxMUmm4AQ2R7u?Yc$R4u&ge+sH?fT|Py8t8I>Un-EmA16VCc7EkdS7Q^3gQ|@xy6d zlg``4Gqh%i#>oiCVlvlhZ1V0ps-f~W-#QfP%sR0Yp$>ERR`_kK#YVRgBLHHfJn}bW zC|^rHc z$~6_+5Y*uc?AJ_sr&_A=XWz@phoP>6O~+R+#k$N}EyRH>W7k^C>Dz1fwN^7Uvhf;a z`LB8`gm^>=!LI#ciGH3xsg&%MM_H}Qo}{9l<7|sjtPnB(YpK` zl$I>4mo8Jcc;*Qz2G9WGAjQWu&EkD#?0?#S93)MD6}>|8?LJ0?@n-_jtMvu}vR~)s zL0lh?kPgw`Kk^X7UL?9@JbK*3!g7}ZhW0&-KV2Dc64!;U#G7KBBYaUXg$Z}EZZ-KD zekdix4xkR?hyuss!Jy#Himm2?+WHoPMX#ndYT!=i_MC8+aOA^F-15bPFz2Y9^jzpbi~oyq^`8c${HMg0 z|7I)-`#*|BVf(u!`fugye+`Smz`^n#0E$a$TQ(c42>&C^P)VL?KZV>%%g?*&wAOkn z-WpyU&rqW-h+V;W%q&3f>-|b>tT{7KskVf`ms)=D_Uff$XN!TXX4%RuZASfWe`u_t zdCFu$UuIf%`aFY<%*2IlXspCjUd2sgd1)f$@Nt9f@Nw;SZY(C#@bKd9B{Tu!&n|^= zo!sEAjl^`d-t@a-cD%5ICrSdS_BI|~)`E@=p>TvL;VEajX}V~9v;5Bq(|*t@TTj54 z!ZwR_awp^(qHG1m2vUkFsuu?97cesBIduD{h1)qUxp4|oI22@V5sSWJ7A1;G8ganKaKs zU>t|yg#jmLhcBSOTK40g36PtHnppWvQz&F*NYuxMTG)7>uaQbP+4CrefV&D)^NHly zjCv53O|{5$IXHNq&YnWsUY>%ZV`M_lBW!rv@h^ubo$3hcN(nP1a{zPkwnO@l_~pvu zjJLka&xiLThjCi^(`9#%i2{E36q4XT zUDI*`e*OW4UT!snLfMCn6crE{dUceZRHwU8B6WtR0b#PbNGPd5!;Ad8lrb<>P`fNh zWvMcLt0*)l@*?P@CDzEvby2_ezw(e#ot}v`bfEk653t4?Vi}Y?kC(uH6el`3_o#`7~g0glPR;vKO2Xc%baGM-DD3jrlXWwE3W*uPq zYP&l9D9l`kEUkvhi>cm-ry%8N4y4>eCqd3-SpJlHhXAKHbI|ak>DghLs>HmE;@a#M z_-S#YgT`7x9I;s_`lS*zw0SAwWZY+#d&R{9?A9bxkOX^tL@kZ$$qe)gq-^WCe0?i$ z59-{n2`9t1GB%4$Ll@odG&Meg*p_O{(MxCgMh#EuDbk+|+iAK6HJYwR!5m2@y9r)( z6J}i^)!}DA{ni$-C6{+QRu8DI8ex+MHhJ&XD&X8i>TN)>Mw9s>@}G1J1t#ReYhofnE#l z24O!1RFy|T*nd6dFpKg0ko0;!AzE`1+FM{xWiA{~JqC`#8D*h&j|jgY$H+Oveb{OY zz^0!AqTDJxu*k9Q`&jT`+S~YGQpMIZYh!2$%ZG2;?n86sfg|OQLyy&v`mnW5Q*A~% z>(;qOq!$yJz#mnnYcON;O+Q<#V6)%astFp|=87`)w zF^CNDASTT#N)-XJW$CDoNfTaz4UobAP38%fPzM)>vF;34%Xz)&tFl9FQQOCU>=W&v z4^s`+O^%-AHJv$@HR#z?I@jv7){j16Vd=D)L6beQXK2~2pcd>@*RUgR2UrO^T1u^^ zhw2~8g7CV0`HJ0Q6{HtD>1eb!*-nAiTMLC%3_+u|CCpho!-Y)_{Gt6kX&pEuw^ie3 z5SG8tzdhO&ZVF~Afz38Uv$7g92Z^w173<}{R`)CzU*GyHxNvMrJWPH}AE+NOOE-5; zTM8No##+4L4ixKqZfRS7Uh+16Z<`L9?l1JR;46iqCB0%3Kkwrfcg7%VyG#wVEF9I*z z&JA=n)e177lHi>Y&`CUOEQo56{4lQBet=Nj&Rm7F%PJjqdt9X|)C%l>hrpTJ=kNWa zpGPiU*MI|Ijf~*ee!IHy1|avfw7sk3W@y zvI0qCV)^x!3%G{&o`IVL;peN~ZV8g#NQCQSvcT}(IK3Nw zJ;j@OgJe8ypk>ha4fhu<$?0bxwPpJnn7~3Tp5{7AB2jSnb2(-)Y8*pRj=o#0${WtX ztoNCf?+t+7S3#sTeGKu3n7J>vCi1E>#r)Rzz%w zaTu1{ALx-E)9l&lpQApM$xERF@`HD}X=HkBe$fOvpn8@4p1DY*^@0Ijgd=x=A?ws= z2D+8~UX=m2{DnFbbu@V3yiy}!*gtyG0+A#>TMpb&BQLHxy>03o%DYN-_5y7LIATv9 zL*Y(5;`aHx!3g=5dtvX9$N{L%@>kYp&c*LrkxPy zv*P+^I&7Yl#+_GL^~W#sC_9~KN8IO@!QG#S$4A~*kG74roxz!Ikm`fhw%MLDZ|Rag z)zE4%MxP$ueqxkSXutY3;$1(Wwo8Z%Avn>E`bDo$-8s1;pbE zT$7f`xZo{KZ(PQG^H;|6MwSWN6MQJbI0kxpY34}&(B}Y=QKa}^WD4BiiyGqs+8_h!?A%pTAF#NUI?ht-P#P5nvc5z zt$+k{s1;#1h<$1DSJ!7N19+ea#>w*$7t>kyVOQHEI4&MJE=;icf60Gf1MxTBibKec zApGdLi!^T1a6v*1WaY_=Vo_RY?gogXTJhmzr5AF&lQs>f9uJ8}DO}1SG;N3iHdbl| zyry4I&RsI96K8U1`l$!jqP>R|zt1_9FqHX$lg<${MeQi!u;xSYu?u!}2j5PLLx@Og zZtRO49DOZOjD5{zdTbkk)QI#{0=D7TbO&gI<9CINO&3ow8(P_x2R*8}G;1`TWhth3 zd98tu%E3#-&n_tail$>55P`&FcY$J|6d5vQb$_nrP#3bT9_Y};L@K1FWQk=1p5T*p2Q*I%>9|XVP z(>tw{T(i2qp@PghJvxRuueT+y?yrz)Na#4GZ+Q$iQhvwT6foGMOa-Gb?w-j5C@Gxrh^t zcK^iBV?ui?H5VP~2rpm1t*g*|B@6w*x2%f>ug|@S0qZrxLX4hTM>X1&enH1=v&9~^ zv#h_n=r-=QrPZH&b!EIbWL1MvIiuuhV`1BAt%0fSy5s*-Rpc`6PYgO@(wEo8_*Vz+ z8l8oPl5@vpEWs@=C?$PuFB7pd-12jyP-$lRf$M@-jHKs9Fk9%Tth9!*k*O28fFPiA zQ~6KT8$+l%4<13VHm(5u?2D>qi@LoFT^^<>YWlpT zN+ovu4Z@v{AoU@&)b6!duVY}&m_UpQJ ze_6uD;v5~sU+Y?ZX_)5s_H2(QVVr8VaoXRyHC+xT=xVl|wA#E&Sr4DikIlX&mZm1U z+uzDIm!;R1WT#ei5^TD=NOv|hwKcuS#00Fb=;%Q9Zs5J`hKoqidT`p@Iy-j_Bk*=~ zv^VE_u9>7OEJ@A?G%(eT!=2S+ep`|dTiJR-^ND{$|I?t^c#Zqz>!($sd0B3Z25xX| zOdE7Yr*!D(wtwG%@>}mAZ{83@m_*r56Sd-HbhDSyCP5hVES&l}XZy=r5sq*_w{UeGTCM(U%4_%#R zi)?yjmrV9v<1zJ)fPpjxGW zN*PuS>Tn!2Wh`;@nBIk${D9M@9-T6HhWujG}B$LR0#$0kvgv73Y*$@wRB z_oCq_oMaL{_KBH1qqYYFmH@bka7He!8&#K8N5a=#;a9k!Z$v)-mg`X*^^|I+`Jq|M- z4x{yQA+6X!DwT+oqOn#v3@JKUSr}!2DkZGJAh~Fp5?18C6dfT&f&fn}w&1WDZIn4| zHeHH9af6L@t?qnVK;X8ZHeaI0wS2Hj2)j39=j&FtL5Wnb; ziWfwG6()}d&qHN-ZuboLEdb32K%1HcL!IJ0^h&>TaYA0aOF+M+oDz$RBl6=QEf~hL zUpxBcLW|)b58}nLK3x&TATQ5e!)?#C%x1;3;JSapas8>i%qvEK+swU`gw#{ULy<2x zbMjx(U^-HF^OTv8^Gqo?`|(v0>;BG=lUrdGHRuU?I5P0K_t<<%>-HG zJ13P47Y&X|uA>z79JiZJ)MKgmCqj_TN3w=$$qW?K>8Y_&46k*$G>Y39LocS`!cvHW zR7T8Z9zq|^m3ICO^fUkz_YBtpjw2%q2}p3gq9hClCs<}AyV+;n5GJY2%01e-8$-~? zBjn*JZFmL@%MeF`iM+H(TE9UorZP;ulW7PHkALZ<&bjdqo)K}8toqpdNz6rQ#`DC- zq=QK!7%y@!0-OPv;jH8Eqm#ib@$W_Q80KN@*eqK*xhLaQ@6T^)(`HS`;1VKvtw@r8H5Af3#x@p^REdM-?OhSo#W2Y{FMFi{&Zot|z$U|y z5wOAE5@(epWRK?YV$0U{F1m5viuVm-JS8{lnwQ2bt4fL_amcQW4NHrcycACyYEHc_ z({Q}Ky>KKXC$lvB#cy9yayt;0M9<)12^heA(<@MeFV7|~gJ8NAW@#nXyI&rzJ=#P| z8$aOM{V z_>DOtn<&p2BiK{3m?-ZVcLq}}@Mauapv^yR*o<)@+0UNt7D7d{VUCO%!d=EQj>bzy zqq8*+r_Ijw&_OX;VPQ(e#>)<;=ebYO4DbvEUfzMj>w7(N zQ>EH$-|W+3Pf17V*o&59FBp3U=%m4d3h=5Jz7Q5T;+JuKUi?r~^8566Y9Xb5F+DQZ zCYS=u>+$R>G-gYamU}*ap|N$U0T1;u+wMEo5NTp6FQF4M3}~4< zP#B{r*cn6!a7lurWzPuLscp~Cn)$$T8B=B6MFRF=jzzcjPmO*GPwPzK;Bi$ zbCWO9Gu12ZgjL3rawEVdJkKB_-t1KDF0sZOlko;>DDF-{iaq?y7g$f{KXUo6Rf8#N z8>LF?!Zh&AKFFN6sKrH$C#z{v__trGzLzqXa4YgH-gy8dxgKO!Z2 zw1jadoj09W@UKG*ISc;ytcXMw{fo-zpVra;_f$q4|Ezlc-{<-Mz4?DZJk@tLKv}GZux`%YOGbIsnKOc!5?& zqD`ogK+rutpZYUg-UZ*~=-|d$cD6gYu+N9u)kt$}tXn#EY^-%$rlx8h%P-FbJ1)E5 zY2ChV1@Hbm-R&RGaF@kzgiJtZAKrkwa7+YANPMu2T`n96XII|r#H66-Ec9G6Cb6zH zi9N41$^4co4yhE|xJZv^9C$?CB>lAT8I9a}NwiBKjks7Z+<^0z=;$1P^?LHKe_xr1 z!1G0&h-TLly~#{EO3j)%(|XgmpLYy#ny1!4Ii=;wJJ?BX(|tRwI~Tp6Bpk1UvQ1z?_N{M`4pngiKYR9S*UfrTY#Uj{CTo2qA8JMfG}1f#>s* zy36QU+M*QCxO&s+F+%1`hWthSOXtzZNR=d$?%>V)sOFEjb{A+#_m@ipyCGI#`*A%` z4Jh8wBT4JoeX$=I4-aMEnp?K>>C;Ol+I{1&&d@^lB_l-8QgI%*aQnu=XEK`KBCcR? zXb1W=0l6PaV1mM#g-RlY!^sC|=>eopbP08ffe4(K@fQAB9nH3BRL;VBS@yS1-egmH zgJ-$oLj7l>ee?-BVUX>>4<%&A<`KCPaA;Mv6}2>Hszi-@3Y%8YLy+4Ps}S{C$qgx` zvw$Uz&1NlG+x&&kd;e-*p^l*UYWOfR{e6l)H3G7I&_|L35NMS|WPhg?>_$IYMZEJ$ z`{$5Is^5Tp21`;*sKvVLIFi|m+*Sfwy8^q`HMWHSpDU7$TS%GkG#Kp4BQi%xhMxAO zI|b;>JgvRYu248?MLEkD7>|C|1Z0lz8k+ocZa_rK;FB23*^NVmS^;Z@Yg}{!gv%|_ z&(FI`Q3b6N?6GI>V1-)o=%9y`$L7cgN}PSTmih;*SDuOE^DtMHAN~CYz~RjnY`Pc5 zF*8yOgCoCoSV)G@+DD-XO&JIjROgU#V*Og^<<3FRY!~u_LuvI#^n^@kNfRBG zh)`6&IvXfpEW^)x^+=sleYs)F3_Mk1-zjBkbjN%G;JPl;r1Br;b` zEpg;to&_3tG75vsLG;H)U+3@I0II3_%Gp>nQk_wFcrN|nb)Vt5bAR{f<}# zvV}i5;{;;if~siu6D&0JZ;CP`q+p3fL0Z!b-apKV!W&cXepa->f@rSv9S#({P*4sv ze&88~6Q-2HC@zH(E@>J87VG%t*aR#V_|diX)>{ejkhSX-7ZA|8^&KuE1vV;aU$C+a zllYZaux$UXZHZ4&f zF3MpdMR~yG8V)9MB08I49bGWU>iU)B_7050`<8s8VF0@pOpr(bv(Z9M+y&VZ?;h0@ zVp-)C3-{2dSR}9{-PL;qd_An>+R4Evv>2*J=DnOtIq75AQpu}=1WTrbNtBgW*;f~| z5#}sFh^h8Ji~GWnZa4Wk{FU#;S&vbVJP*v>6-X8f57ND2%nl$Is<(V9R~P`_+_g~7 zWFf*`)i?nF;k6Z{@$c+tLl&Gv(|acZz%vlNCUhbqbqEyoc!Uv`ewWevA|O}5P>zaD z!8vp8GC%3MsuX>?OV+ij&HDrHqU`EQ2S2KYHjy(&}J_SvxU z5TOB}^YUKj@fJ+jT?I5%;D_8w5lBp0BEt>*9BBwVjLeC(JO|~602nRHh!>+-6%io4 zCfI#f@`3t3CcfLE%n+i}=}$Nd~}Sa3_ppXZ|M;%h4=c=nBy=HnOxNie#? z7e9U#4*{qP`H7Uy^6uVXcgRgy))D~X>ABNyE_xHvYsgKbB-jGO);YI$ghD{j4Q4xX zAtdD@xP#0|l+ND@w2_mk=Qr!bkK$U$4Iyj}gBbL2CMxHot^Y%|ocyP!up;bga+3Y{ z%H24N%Lx%u>O7I_Zm;zT6TOv-LKNpVLWV<}Hf2~<;{DP}3I3ex>!f7;SnBDg^TFx~ zn9wVHc|~pe+j&+XACf;HrhewG2WaI1P&S{=DIO4s$HpQicKzTx6S4d+(h@A zu4Gr9MuAn)-RsuyG(+wa148Uv;XW#~lO1nbM?yKo=l;V<*Ep~25w#hn+)@40uMk5W8AhIQemOyTp7Cp}EC*5L zlOHW0dTO!Hrgw4mgX_-y9bLD zrU6oqYp?>!LnpK2^iw0{;bwfiVaws3hEfXb(++GIP8R{y2e3iuT!A8vSd9MM@~UGo zP&9XAmYwX@K@m9&=Gz;I0CZbL&Ad%62ejw34xI-9O`nvEp6VC`2-c%k0cIve77^k? z)^|;Nt5N&l5qa<+CgqjyAQhOXQ&{7+D$+}iIE5I}5KyLBa*k4X)%pvzEH2vw5u9U6A z*Aw?c3-a8k{yhX|Q`m*rL3ji#%XP@(0wr_uFNiNzE$Q) z^4WxFy{Qg9vG>|7m`Fj<4x(8t;DmZ)@jgd=aWa3ZJTOO=f!lX8%M*UsiOr-Y{K6VfP!2Krx@x*Y$sO#i>B)cr?OKiU3$>L}c^-{ZVq z(Xv!C?*y!NAZfl}TB_wL%(vL5J|~nB4SkR1dfG4VXN5$Aao94TwE+bFWTV@gyPNF+ zBFcr64P!Ts47S6wh3{f4ZK~!R+Mbt3!-iLS=8E<4Vau{kb1MDF{qX{m!|l~SGc!tb zVI@=P@WP&ZKd=wa(;yL2nA)4u-kAxPp}uz@=3vh4cA{8GSW8Bzj)v^pl3H&np-4Re zi9Q5XL$^z{wso04-^8|^xQS}0Eu&fYe^K{NL87(YmS)T{zZq^zx=NRMB>kqZ1x4#L|eGSk0WWnnWP*`^m zp~vaRY<^4(2Tn@5inQ=0h9l$KTL+xt>DsJHbR@xLzDj5^)niO$Ck8-*)?p~OVaYn$J$7|vEXVSE( zG)2^9qU1~+sH%iPI3xhMyoRr+4BxvzHXaJ-8s3Zn307nA zJ$qSLVk)p;BA845u_0AW>42ECPK*o5nwo*mh+)@O%L1(87BRZ2+ zE~aH`b+D*AhQLdq&QkKN*i#E>eH)}S>+J2J?@;VUl7TD+0m)k)E#q5(|qGwVpEBHl; zc$$xXcdr3rZKada0`IivaS?za68fjrgV3&l@ zadYWU>wED)q5<5z=3pQM$zruH!>y7ZCx`ZLKuOT}Wa@qbeHR>&jEbr(kO~Im;4M$K zx!)};Zrf%}WYhzEfWzmwH}NGz_RM|AV|tro>+v3ifHe}21GrX^CM`^J=$MFwpHnK{d}|fgqQVrzIrUe;HeSle)>XP4r|vUh$KT>vpXk)3 zNq9;SJh{jt!1gvJA6>nV@YjbRO-F3o>0jHLfl+j?i#UJiYF0zk}Sy^TAL|2hdhFCYH>DN`s!~tTyK9WXHoyJOmzkS23(;}_@1rwEt?u^jO$;AAkYlo zT{d^x-~$TO2M7t$`D522QjQ?)`cSsqc>J8Lrm8mTZT;kiuIgW&X{?v7UbronBDd{i zrmGp972f?8Z7tRoOp8@n6m;c_j%8<@xK!k);H!D;K5X>lfJzkSBJPASE3J`Nf7!pS zHmSi>U*538e|Ls7Zspu<=~6)JF0u=*3o6#st{nNWqnMaQW_j+TYkz!#F*9!FV2P?( zlC+kwfERN7xT?ZTW472>$v%7*U>1xo^c}7$yN2g*J~$LB+AiFd;rx^Z;g^_Z@qzKT z?v*ne8lidg1X68HG@@UmRsXUT`!P<&cl@s1MQ`0uJ4TN?aR9ApHPxMYPT#p=Q@(Rr z20&)s{~jVN8G51HczO@!kg+L){WzC*>|RZJN(=S{4A*Bh|4)AX|Fq?qUW|=^<6lcf zC3-Oy0>*zS3#I=iIq=V#o&O^PlJmc2c4lJW_&?k|+OY>Ms6O}xU%>dqc_pF|MP)-q zep6)HO%`!Q=|u3EJQg<5w0*?fDO;BhE6+Fy9ka%X>s_e8Q6y44zkE*ik3tX1J!;g* z@4i0o2G3NgTOKpK^!Tped+G7@;UDixtrnV=%GX-q;SV>i`4a5$udh>bF(}6l?muyf zF+cj1#hB?N{MBXg^sla&8v1>GyL;cWKHMcll}e#=a2A4PDp=&@%B3<~`rYUE-@x!B zpVzaMZ27$;)8$5~bWcro28!z@z(4Y&eaT~edwbvi71g_ecI23_P?Q;Z&H70BQ2Oq) zlh;myZvgsAef=>yzy8t{BqU8fDh@yfVs9x=FdN?)Zj@R0 z7zRscqoiFov?VhuismwhjS7HnGs%D zPs2jn9%-t>Z}-;en^AUU6?Q%)-7)IVw3)*o!2M%Gj2q)SqVX6;ZB!r$HHPZ%8S%{| zzA7g;)SpZV7u_D6wj1LM>Hq;9FK_CJ-cdMIjF3_w^^S;Mk|t4&WJiiQ@D=zH3>~at zyWphKi;0j$&M^k1&Ds^~cr%5M za~6S3SW!WR4CY|Jqm2K^NEvGZ@|Pos)owhe0`nB8dy91j{%U@{@S#5S=Z+HJYJuCy zh6g{iT%Mb=lEQHHvITEsrT)V!J(-Hq(mni_&}?1UQna{Hym^BV-1=E%EzfoqmDW;g z5D{(iyv41;@rIm=3Td+{f!<*S;J_}}v1`cKAozOsN>{=NGm+zjROnh|KM!(I2N_`h zs2h?(uw8vw`lre8Y_g8?;GWZ+AQD5oggL`H_JBx4VFa>(vE-qu`BtO*LF%FZ631c_ z$3RO=FwY?AT}K@OWC;?vEgGF6bMH~avnG@|3sFEAXcl4x5Ia4x%(Acloejc^UC~oU z9CP4X<&QyC9YxAh{{X0I;5-2x>9ItvpfNPi&18`xctxRXoF$6gT=%3omYOjg%y`1W zoh&U{2`{=*krD789{?W9PWoX6j1ZbAKpH3@nZqQ@SaFT>Op3aXB0F;TbaNFBuv&!`p(wC+CUF=4K36fHgQ6a_63)&)WB)3x34gV!kIA(EQ;RTdRLNj@FHyn%j}2VcDAZP(*r zPhX2teolK$<4PE!*tFC@3s5c9Tx@{pm0hF_vAW?CW*2be2m|&x>yrxzFaiqb+o;c3 z&b8JC{?QXgu$n7K&x?hxYdsJCy&)N@AyS_zc#@%C2O*71KTlFB^-5ALYjbj{kW5Nj zp;X(+@(Y^$SKj49qQ9war@8Z&tZP?D%lv9iGG0^XsPPfD3;qtvmisk>UdIUK0QMUp z_9QL!y-*Y$fT0c-_RN zFc+2is(8oP**dH2mSzh-40NRXm+tGaSb7 zRDl*LN5F&DXi-*<%pM>k6FTN9@XGD16|F7)VLsQJN%7BRv&NDb7$RL5%b-V_CwOo* z?Zkj|QaIQ%gqTCeN`j0}sw5|HK%5IIqkSs+h2&*_2C#q6#(GAXaPPC3F9n(8dgrJ0 zU?lHHymp+2mJg0s%dxMlR|AyF2LIhS?zL;XA`H)8s%~+B01V{vlyk7vTc9c^lr|?u zCcYaFGIZV!HHRkBGp7y@-!w+dq7B15fD&uJlMKP4$__5s^>yq4t;L!4#*e`$JK8+& zC09(6T&l6fH5rvfmbH-LL!U`kak#us3!i}H%F0hcr;UUJn40l$?NTach5)mk@x9iION-fB_Z{ZF*mKkc{vZ&zUdtiSp{ z(yKWCYyN5`CXWB<%l=>e)zbC{qli7&H@>ID?RD#a(br_afXvKR`so@p$PWlY*LY`% zXc6NnMT>?VeY`6wBqJ6TWOW1kHB9)=b@f%*uleyZ?nt#+{|<(}$I2#Fvx!dkZ1Z0} z`EK%eUq`-=*yyThDqmF`C3wHxGQU5#cshR*XpHCR+1Z0c?&5ce@+4%CzF4mM61YyJjjDV7Xm|#sohInx^_j@YQ14aVKb<7FbvcM zjf$9Sd})*GoM&rMJs<4w74^eo_d*zhf}*z>Zrf2zsKCCJd)7G^nrZZgj76|LkRR1HSrFF!UaQH)s^AT1fnMa+ zPjiQ{e-Bs-I@moJz1HnbD)tZCamiB6i#)ZVV_8FWY)AVrrkTtZwH?nj%-{kdZv!~c z8P;U20l8K$Cngr&Z)xnxfGRk~`YqHJ6@gK;#h7RX|Hl?lOU}bn9q0rB7o9Cnj-P_Zm?q zH&n^f$RJ57!~q_+(G9-X9eLZ03MISb3V-JN*vKEBOgwNnIE%?p4Yfc$Ac=)sOZs>v z74|-`J!V3+(fw~X(UqL%A}*1^6ShUsO{tEWQ3N%UHJT@?`soNx+Hy-=ZkSzxpr7fC z!pgCXE3&74fzF>nsE@jIWf0AtNfHb1O$OOu6mpO3n5S9;ZWSTTtn~+yeQJOf@ zmqxbveCLr%A@BQP-hLv8z9FZ~7AxG~lphHV-54mPx%=sN|8dNwLr++y3$|F1j0BeK zq#TfC{AiW-r;gS?BIBI!vBK|i;EJ~ug!h8c~Pe*7vtbykBdSDYL*W5nH^K|I7*{cRidCgr@0el0)d zsI5d6%es^e834ef@wg$dShhy?u zj35t`W^<$}lkk&MaMlL{mIM18WJEONQC!##p@d<`CmU$1cFa;@aa7NFnfq63w&A)- zpe26IaieSH2O50Jn~2G*qn+zwgP53w`NpPemI!SrcBIUBh-&+k zN0f+hYe%NJ~R5gO3woe_h2w_(xZSH`kekClkyGMZPMBj?tD3BMEt(73w82`MG833>Bl zm=XiQC|Q37Z{uzuJoF~c^)6I$fw0BdtS=bPX%(HKgPzG?gQwuTfaPj=V1APQVN&Z>h8oVeB-N*)rQZ2k9XzH#AVd!--EzA;mU{8*{ ztDqNRi{PkCL z4Xc}a%L1rjM0lSYYdCKm4vbB+xl<;52gMY7F>3-05essA!4`YLB&0>0;GxM*>V|DH z>~H1)HiH7nk~gE+7Fcoh67Gp-=&`Z62a$Kr7nH5?ErkH94#^dgQA2)5>2g_}h?F3n zEp(thvDA@q-ecAOZ85i+q`JADyUq-P!2CH31a<;^KQvAe9+8jq#5xmIr?Ni5r*le;8i0{*o>6kg6u+#h&!<|=Q-)lpf$qae z$FnD%>&TIOGYjE98XhNcB|BR~iK!;P@X)V%(RcsOuPuz&lTea1qRw z9aT<&)<_xkx3lxkP)ZnSe{dj5xo&sZct9St(G;S^e) z4Tm9V`8^QFvXn$;Tum%p(I$zvb}VCX{D2gGfKR@j9)A9Rt8 ze`^@1gc|-<=BOr^C9s_fj%#q|)L{#&rPKC~(DQsjBn#R3B3>G+f9Y?dJxwbuBYAe} z+82iLGvYZuRo`E~#+_|&@WdUnp205PXZ&cZz2)%H_$BXKdVPmBHE;k&;5%rakYpd0 zvSslp_sc5irS_0Hf6K7QhRww<_!;?JSZAj_?lfEgFuNnIRN|*&v|^oA1aqdOQk9+d z@lWxP%HjvS=bS{~e7HHB+Ls$Uzg=ag{`g4;A%U$Z$LG7$rvR={q-tFxVfWMk(LqVeb$|)zpX!!f|wBoZPo?B#o%3@m!gGxC+=nuaqepns!|LQm}qT2QA3tnjmC|-MEjV}oq zgQh=CVzw>0$U6RlLmQY+g2tWNE!bs>b;(cPk5>#R=2=&5W$*PtXPs_{0{m_8Ta4M5 zXb2YB14NtFVt?UREBkj_ceu~Xt1-&fXMo$+{YbA@#C&IO@{}`*`qW?j+{Ad>i7@Jv zipHmE7-|ql+mT;0TTA?770(uNl}uxosvyggraITRKuv9%D&aBOSYXsUe$X%{d!Ott zEWSm6a_p7H1tK4%CS;wKz9DmV71&w?s5snJsdl3cO4-M|2e!??+fp|)zjfGWBe z%34y-&`F6v(dDj^kCw-6S5U?o> zJ2cfU)Bwl^gHp{&W26uVQ7J~QKW|8aQ_psSx;Z;N%F;Y%hIjF|WTmwvFrUQ8h3Blt zf{$>rN|`6#cYkXT9BqHH2?9-sw7bW200^u32kV5vsZeH{P60D5q19g`!v0-e>7)E& zkQt2%aqH&OU82V2C3-0}utAS5*|w5*^9TL~*ud}ni~MwT`qI6OvNIbAzz>PR4TG6O zuA_{<9ztDr=d(JU*FyeO)do|0M~JH82!>l?edn-Ma9>2qo|1u?A}F`W4zEElEvlg| zlUy8=X@T^Ko`a8Wpx3w?zx{Wc$duG#PJB2s)LTD~lw;jtU#Tf!hVf%@^@B)N+l7US zN>sOYFVv3kmQd6y#Lxp!aa;V~NW)}=3f}NnP+`wr;z=y2q4}99aqC#GN=8_J`5HRk z&aoj@?C4+s-gD(81qH{Vb~O~ zCpf=ioU0zw;cjI&FQAKgy6uw8l6}lrJLepq+U_t#%?`ec=t^GfDyj1WCbU9*zaiJ>gO@NMrFqsESj&{HFo^O zf*GcPBqW;{A;A?QzTd#*!uQx^=)9%HjIxRdL>ba9f)Jx8?NfSOgp-@~$5y7BwF6hm zgB12S)_+@nDTn|$-qFvcL)l9{owvQ(ZD4IM^N zNnN&kBx(KoH|OdxINR7{mMdrQochI2-1%l5;-d{(YMt!Cr&$METg6Ocy&RPJ`0mt8 zbb{^)FcjiB4XZD8+;e&9A__~t(TMt&xStZQs)W$l*kFu7?2<;{0kK^qW;J+oR8A7p zZ-uedG;rcrfIW=r4Js9_Fl*xI1We|WS!mi|qGD-$uSn2B5OtNk7_|F)d>CXMX#@iq zq-NFHm2Oow-7(|Fj0mp*FnFxnv5g~%QWFq`t#Yfm#=oA)FqTL~ovEYrkYO}AmtSEog#3JJuqVep-b{!s#@aX_)kH&941F!Hd; zB}nCsH`C6R^s~vdw*Kz2lxks3<>RYf)`GpSlkp zz}Ha|d-2uq90Pa8w&}ZXU2TSX%SQ^h4cGM*E-HN(!Ol>bg->Ra=>lc{IjE9Ruta|% zxV%SWJ@c*aVa*<{a{2&w?qSvK%4C$|It%bMwMCd-a1Jc z)V16`6%8t?SlCF#qTSq84HknWtqDa*=1gf;C^QO$T1N>nf1+It?lH(x;=oB7`Dmyj zz}+rVq8DxpP9L22wjpX%*ZQ?gm6@f7rIL~fqGSqri+j%n&XAKc9e`v4)9n-!eI8D* zK8U9B=~~Y8-c_V zFEeFD~L%D=FS{J#-rO^?86T3Q!@w6o$sa>5O315TVa2cF8$)@yjs zBQWOx_n?_PxvVcS6gji+ow!b1pL_5k$2)88uR}X#<{6y*qT0FJNT~`7DR2Zxbm^+^ zw4nzX?BFNrwN=?JJHD4>OVx6TYNqI+%WiikTyLMaf0((ySfnd)PyO1tJ@d#+oAMX@ zO@r49&^{>`kpdH&YlB7w)Ic&kJs8j+5*g`6aC(&nz5!0TddmJ2A^!i11PKenKbj!n z_z(Pre_#GzNRTl8pDh_bQ(O+D9`I4$T}7-Y%v(w;28_JY6MxOW2A-{rr^3%TDB716 zBZ`|TTfDE&+C!nF>yT&L8$<#$b0+l~k4x&R4^x#}J>RQz&xh~V_Ij7kmv=qd@z3n7 zu^aq$cDXHEQ<-jC@RvQlI6g1_A1@2mcL$e`OH=ewEQe1AkEq0`AN|^*)O3>3xgnc; zI{K<6(ceDZJ-_uojJ3xLsL{Jtlc80ciYcCo>E4|B-5GaZu=K`WSgxcG$oP^bQA{-H zWNtb7#4kfyISgsO30i$Sd)_cr9M5l%T{$W(bZ3@4^t~vy-Eun(edRk;W5M4Paz-I5 zMTg3{G-ajKmTr0xe`dK)JNMv8Qi@Aicn)N{!KNMg$uX(76-+8!bponURL&hUEYHXU zL29T65j4B`h6Q95iYiP-B&DdtomX$?TkIw`?o42ZfRj-L!w6$AN31`qbne>&_7(N- zt{x)(@2`xwO!ly|9sTboOZ8&Qsm%;rV`w57V00v3wSDKXKeAs7=;oB`Pp3jMb zH)g*MZ~KK5b*(M~ae2jDkHSh{7PGz9tE zv)MrPt@~4j{P+(5kk}D=0|i#QI%>>7@}pka(~3rexLsznv$rH9jgz@z3guMo1ryNo zhz2sGu#qnvq2*Jz=Pkzhtfi-I8VkC;$)$j?=*a1o&{HgebwsxCRTt|c%x zw2XFtT$3g@jKteFB1YWXA`+xiuU1&2vMpo0OZ>e*R}#>Q>M>-S$KV`Qq^Kh@e#EE{ zAjj^!I|Y{=kHVqGgFV5umk-MAGlI$C1V{X#j5Jxf-_qecBkt?lG!vTryE;n8vb!!C z&vyEz?RjFqb;HEaHtfLV8|Ftl@{X|(c8$y-e*2h{w;^B$9AL4EBlH+$0R}u>4c0h1 z2Q-?JMsl;*a=UHUa6D)@sd>SGS*irZB{9+!YcDyhyBn`AHu%)l;E;*?1+N>5#?c*j zz8^BfUP7p;#O_Vpm-UOkFX_One$e-|vVPepH{sb2%n@sspP)WE$Y37Li{!K1b5g|7 zSwIX?-_asRJGXe(?8m|C<28$ZFhC-&0}fc2?kFibB=I40;36U?)8zc+5OyJx&z#a! zVi*^7S#SDmkd%(dfI^krOwoBOTJnZAyA5@Es`Hb$Oq1p9H!dh>h|xeXTF+>j>kqIp z6Iejmz;%j{23GK?wh{U>%MaNY%vidVjO?JM-QLXBvxjVX(Ic+1uKL_o_LLxqa%@zV z*vfXA?Y$|HYxZVyr-GW)&BG?SKEC#FR!x%$R%w#U8@Ha#`-J;vgi2SzY=JNcl9gwh zSXa@^Sx-S5TT7RWDOZ@qc2YgOD=EBqc5yMBte-%vtp8~_h18xVj~BM~SDF?cgYC^e z+?!2Wh^z4RMsV;xTpH6N^UcwX2{1zNT1Y#bD4`dBM|(9a68B#+t&jZ<+ulDFmW>`x z-C$6Hh@FECD2nxU<4nxGN$G^PO1OWw3u0ufQ%Pr`umOr&{MpIoM(-bal+wbVrSS>% z=YY-HVnlnyywx#Y6mkU*H-H_@N6W2RJ%FJ;%c-2NbRA~M<1%!jhnfzueSGarmqo3` zty`Bpd>S3?M#e0-lplO?ySwh#U}-5=wo_@#n#Mful!noovrf;tCWg3hR$u(6aL~g+ zj&YVx3zM}yLnUR-I1~Y$)T(Jyl*Y}|HP~jSMe!PbQHcpHb$P{xNwFTJHZ%ZKI>S9xSgj&}17)CE-9LDI$MxZ2otWL?^_K?*Ngqx*iS zMdsTr9zqBxpQtOmWT(+0dFp#I)XQpbrero%4r51VM`gX24)qublFbJ0tPIXSi}vKR zlcNXSEFN-h4G#M-Pe&os^6bln#7t*SEv)#D44H{AL9L<{to54N(wQ|+ZvZ>*NkRPwM zw*vYiIgG?|cA>XNe0U#Tj+u|=^~Qrb5$lQ%^G#KqAyfU&=Bvii^O-ctx&x7H-C~os zi88^`73##hhM-Y#>-$*{Jb$r(?l}izxJ?zZCfbK@fkOQz@;IQn`}MmyPZs$ildZp4 z-Dz?W8M0L(*JyluFg|V>+>4)NgEhT34cG^PY}+{=C0$m2QqNfo=|sI}1lw=}hI@OD z@OV!C2aN{Krs|Sl3Jh zvs8<}{HN<{JmX4whTt(blmis^8mo7lv}K}Wc=o;erx_8wX_lA*EdKXKEt$u(BY&ny zX3^vHJP_9EsRoH~m=8t0NR9Q1i?=C;d3hkP95$kTCX8{iDOk;8`lt@h!Rv8+)*^xa z$#Mi6&9Z@8?e(*BeE^p?5GkBO1_SRWnCglmsB^)LFJROiHpu_0dShYur$Z6{VT}6E zQ?pqZ{?T{@2h;!BcJ}Yf{|h>fmGOTLR{xi6{J+=*ZAkwYyWpq%H5&+ue%$7=CQH`U-$!%U3=`B+h=Cl_x&eQSMmj-`Qr19O;3y}8I z-@Z`%pJ$%l$sZ3Jwh5bFBAH*8PpR;dKKIuRnxvLXlV2ai*lUdPWd!XU))ND90UkB2 z27R4Y`U3}HZW`>BRREf1Dq|>r-3S&NkItkgTA`H&A@FN|O_E^n6z_sJ=z?v;!G9!C z(XL$3a?As1O&AA%9jw#(#wO#J@nj!sTr-a^jI%W~z2#80N+tRuuS@!Tuo;WK&9b?m z2yVOGsT05*n(1`(AU`Bu*w}E+JoFj;wm_9W$Ay;e(JXuCet!h^vFnp78^d)zfp!0 zj=Go_hNOaW=_7&Qw_pkMYv_7aLA7oRg}~jBYnh==6On#>%lPNF5(<`JL?D@2{XDixq46giogLBry1V?&2HsSTp!GzN6@}&;W&33L+2QO3jv;1mq8wJz(^&r zQ@``lX*OY#LO(%H@?eZPSY|9y7^pdwGQ=S~P+WH{2hx7i0t^9YQ5a9q1I1bs&bax) zXySI0@hd!RQ-_&yaor?Ap#E3uDWdb(D1WR&30QpEMgMU|oX{|F??g#ijBcF~nsX1C zG0LC5cHk?k!2VWQ1cs@;zunsd*2p?c=dn8Llb23}1vR5Ti6QR1wu)Y&6IBLIV?}$E zxIgnN6g=nAMD$@PwL`FIuKfL!h_!Q8i=QC$5{%2|(&-Ks*=58nyE7oW7Rbo*h-+G=e5IIErKjwKKL&mgAP6Y7c{z<8MTFb8 z6j0)!M)%!@eb~F2tct&4@Qu>xIc{|L_vhv8Y2Ft&cTJ|H$9tH*;iy5 z2S2{Hm^68TxNKxRQxz@RKuZsl=O9G)ELqCVJsVmu^-$rVD+$cNN|`ru@=&>7)t6!#mt+5c7|;v7-Z9PdBM%~smAI; z0gfv$ZBkzBHDg1V8X%+@kwa0*B4(^G0H=#E!8)#Qx8-`ip<-d*<`w!3qWH)t4GlaT zOV0|fUl!Z6P$x3$_{PI|on;TNd?-hx5I1s!0`D;mjSb31cU?irDX1F zAx2Jv%m!qr^IGoI-m?L>h9`r*L5G3-5hr%`xN{#mw~A{WFu%r_gJl`{!5wVxDlquRjA)T`UferV`t^Ll=)&zj7j&dkj!bJ(pJT z&1hEWSJEBav5~-C3B`*SXc9Qo(Hkz^+9X#fJlkh5+O&?=HVN_a_0$p3BZe!~2yv8l z<5dYC-(5QiG#~;|Gr>SvcrOTYl(T7t4JT7=Pe3V=V$Elu81!S-pwW$ihJ+nU+(!|7 zUoHGwJ?KzB(m73E=uUv}_6Ik2Q~lL>PhQ4P?rf(ivMX`;BY9?Cah8JhTkV!-oUOR0 zaEKUtx&jejy!h$s^arUkLqUEsT4mRU+zlAKy+cKd8YlG$Zv~NLj5xJFLw1fJ*;5u0 z;n$-fYVl*uX<>u1sdRqnqdnRT$uoz_X~8BE)y?zj=`?|A4$gy>s!Rkv>)T+JM7(&j zfjR+)*2K~p`!x>u+^Xs>EJrL(EYN;)I6n`!y2nM9U(A<{HlG^HlXna)Q36mNgs`~5fEHoVG*+TDWF&|sC zE#q9VA0Zw+@03EJC(G@~Vi8P2%mBqvzzyROL!;tp;U=QPQbx z`&?`_@B?w*q1Pd;-B`ilLV$_jVUrst-7;xFGyuq)oI~E0(kZo{j!Sm`PPuW6ny zkBJEQT+~ORd%47#ECv%kG1L$dJtqe9JEtlpCh;*mk@L8o8KTGtxNwYf6!_jv#J z{maDAmJDxq^Y1lEe*U30p z=+yaMACUmMZ_>`M_t!OXgrP`cxIc(la}78V&cZzj^pDP4PtTg&HVXN*uYkF2T1O}#=v3d3!) zYcO^+siq~>B@yOgkmK$x)iX0bUxe1eJea^A1d~xE!zK~fRO2u@v^aZP@F(WqT>KM! zUk`i}bbQ7#-w$8*_)KoMu@$WM=UGA{(a9ut`MGthCwNt{&ArNhZN z4LlsA0`F0={9NI& zcOwXE0S5cDS;`Mdd?zGlU}Y9TRXwWNQ*!s=buW6#s8Me(mWpaGwdI1G*OAb| zsS}ZLlN9SnB0-!-i0C<9%O!p@G@Bosd=NvE21-i%;|ht{zPM9(S*}{rfQDV7tRXjG zVWNo6;)7N^9M+vBea-zfw@?E$Shh7NP}X}hpIF?L8#7P)4D zrm28TyVc~)S9YY^u(@e3DE&Dmr~EI8kht#wOPVyWn(KSnK2 z>tR~{r1+i9TFcXXjBA^a;)#{XQYsdu>EnpIX|+?+jXI)n!2ps)I^DDDpD9SVZje>G zX^kBVAtp+&c^F{40d5~xyFuUu1&TR>nepU!*^|^cKvn#My{`t5hQfW=LU(vXdsh0b z5iL!z`BZ&TxB$w}TL{-oynA@&xDc|@F>cF&coprkILhD>G<$5UO<2rjXIa~%(qBZa zuTjI}&JoUKKb^!#md}M1C@d8->>yNQxH0g2B!9sW9~af>w1U_K*9s?&BEe)Kh7T4SVuFNFRkw_uhLADjAY!8PfiGq&9d zhlyjoqmVtR{1n#!gUxaG>^oeMy_$SxY1mCip9>K0h!MZw+OG3O&-oT1QoPq9#(v}; z47@%iuia4`RaE}fuZ5yIHeR#%#B`B$pvcAe3d;-GV~`<|<8Q=?9}_z&k2_Y1+`~L} zm6MrL%I5ObVv!pkt1E=5m6-Wbz-Q1PB#P$8fKZI@hRCbEAbL$h;*uBGuuq*}cESFanL@_upqFWkc zPIsKCJgY94DR{JmnG9AIz!RPl-4`7j#!K#WCcq9Vx_|Zvl5*<)lxqfNI^_yoSDH)A z%tKzyvwFg|BYaw`bHRjdFS4a1`ww3PPsv`hhzg(?OzG!lYz47;l^M|ve5iF_JKcEX zdGA6cPYQD-ktfDc!G0C7Fo~{rkWc=Cs({njzO*W+R%U4KFDK*=s~52(B?r_7pdUpfPC3v5O~w$(#RJ=k z{Zb$tYhB&ShxMwW%|)wEc|p>;LkTEK_2Q9$;YSzbpwAWB;l#ni zrXTsn35c^W{G;)tf5(je z@6zahU;h8C^B6gp{&yOd#=mJ;|H41SDk&~}B$ef#&X#P|7P4I}tqy8XNr`zxz=)%DTUV=3Nz=9s>trb|l|+KG{W$A*7u=DKC+ zL$|#uv!RlG>i*>LsFL~a&WkJk`;VmHbZsfJ9L7gKcA*SK!ogPDedbwEJgJ^fjvQUW zPD{B|g$r4NF4ux&`goCaW09P>4hgJ}{s6V*^*SA^-Ja~YtWeE-x+ELyrqi=WB=q_N zGUvA|mj`SGhwGnkt^nqYlaX^B68yyHrIU&?wIZ!Wi`kKfAbEM(^t`vAjH0X~2in8$ zqd7f1HU&#rS4XQ|#6a=UhJXee2{H_36+WRn%+mF&an7nwXr>^h;b1amcZ#;ja5@&% zEDTbL0~2*wa{QdQ;gdwhKKS`b3o97KO@(-5h~_%z+FTj(UU~8#>KC)n@ijx~PR{3D zMBQsmkJovwxV!)j>F}1?Ln|ela`G@TT;t=pyjb%SHCoWt26Rd5gh&ai(_mg&fe!Mt5z1IGE$m zSa~B@07ulk!WX@&Yent%Mq8J;1a`+95=5FdUIrrb1s)(@wx@H@uxmyNc7!Ti<#BG2 z@<&M7%Is;VbRaR$)vj9sAu!`cV3LW-W8h$*yh*W-aSBO08zBKzQxeM^2u(K~J$+p^ zy{nrc*|N8Xp>bhE84OZNc3?yUefJa%xExqkfBX(S(Pw^Q&Jn1?EGd8}XO_4E`Rfl( z#RjQV5Z?Nm0>3Poi-MB^TLAebGi};hMs+R+eHykkt{zdDs8{F&u~|7aIMu`X*Kn29JOf>*RkaM{-*i_7&idL^LZZTA^`~=Kc0s4KWX_Y9Z*I3V^JwG zif_ygM~kW2Elj8AHx`P}b90VqOjvNGMrU`^(+@iJMpq_@5*<97be2zMcu?R+9k&80 zjSo-Pbr%YN6JQSo?{JfaPPgy#4G4wK>&KVM;qB3eZ=0_}gaSB(wU#76?y zFve@2qNQA6+quri?JT!VZ~hEHRosYy9y|#<>I&uw1%}%UnQ1^nlrPC( zI1S1B4C6H9UlfnUwxYUidE!cLU(}Q38h8Zs6 zm>)Xut%Mq9Ovs#NsStH=2R$Aoh^iNcR~d7}13Hgpjj%ABG7@SKp!cB%nr}dqTMg6> z>A0!#Zm=k}BPrf1(nqN`qAV>;+jitgndi02m@Y!1u+7xF{nLN|vI7VkJas$-)|2M~ zBuUYwe!B}>5qC$aAB-}u1LLLekO6s7{%|T#1KYdcqp@ zxQ+<~f>-_J=Wm@rcgglPH&JH^4j{u!nWa~&FXf`sl^4u}TE0yYcwbGsP`T^(D_Zdd zh8GxA+$^GWAg;aewWr9VL|(mS&3~^ z+nWd>u+EQs2nCG<0kgXrnb21Cd5yKe#?vfZ6YOU8zIfLga%Ko=iGz8dO2aUB@$p^y z?%^mysqkQ1HWn5Fggna)Fvp;W0@Xc81>lsiTuC|96~6dYqGIp8O{)1uNr!Go-@I z{9i{)TG~#3F=_syG2{21!;oJZP)M0KNUb@iOU<@!Or0Nojh4cc!<1ZB2A&_dAPH@h z^gvZMxzm~?5qUXNu6}YtPE2OJ*ZrzFlDK_7;;@e%_Uy7k-+!OHaDBX+yy&lPd(P8q z*7dS!*+|ikuj%rZ?rQ(l)&2}if!6k`yKA>F@N>J`q)1_4w}zx8dz>tdrKtZwqLS*GVc*!F#yjysp^!w&?+zOi^%Su=p5gJoDX zt8ykMna1cBjJeD65$nX0mkaV1$V`sqFHjw{G~s-oeCOx+%jxhL&F!R{J#^bMFw^_S znteCcos`AgrXz>&$Dgl~`0}@!`VC@SoJ3M1Y%cL2l~K1c3U`4g+9$JL|Rq zWpyNz-5;m;Kr*Ay!z$ln$ZuV58!w%r(<8<;5wIhnkH)TZZB8C;!G&N?IzOw)6C{)h zzwmw4vUn95i~5J36Dz1K8=CEswV+W$AaBtF_An`cI{y|QFzQFfQ#;fB8#QxoX{U)q zqeEhKW9QfH;~K~ah8 zn?V4)_)`;)IPKm|y5KNvh$=h z*Zsgc83Xk`!BF!qgC^N^ri>)U_oy<4r+lKGl%94REz+@4r9Ff1kza@)39^`7=g;QF z+gCkH6SS=Uo2U=iwsJ|E(L7oMdz-w>IeCZd-cu(!N$!C&HIOV10iKb zS36h+|GlC-UFrcqRk*H~p^iwtP=|0MO4JjR0FkPMPnfw$Fj*}LytqsBfHsBtES1SP z4%pn!LaDC-w_KQ@t6pF12-oo^8}je89z zGf=M1;Q? zaV#T}cmZyXanLRa2DdhGChMx~=53D4D3PN0TBPAyKXZS}ejo2amJBMn><&Qyj~0H6 zF%`xr7L;%0w-LcBa@KE}ce8kF-zrnxdaHqWR45C6=?@`~O=9+($18-()KZgNjvKSs zwu2QyBESBj&yTF}+pC@6MEe^bdr3`VFkkwRg|TNHKku25c7r$6LS`<7 zU|}(AawF@w*>_4cRb%P7sc~e@E8cw;4%z+rhDPt2)LE2@85CHdEjuK8AbBZ_+VM6< z?n(%<5WE^_NV$(vf10Z|Ri0s%Ft*-A+~grd{~`k33H7ey=psIqJ}(VHE2%5|Ym(k) zN5Jb=Klp>u2ESu#Zu9Ed^4tU(D+c1+eWQ^| zlmE~c%lnHP_9A|m(&ZTPVH_Ye_uQwqEQ)tUaqQluGK?EO8qwDpW62qN4_ZWlMjO%! zorVVn{~8n|p*S{MHb0%^m9Nk^V_ZmrAG*Q0@Mv<>f2e8HCSRSiffjhZ!lkk0GXn?@ zA*S+}DW*_y$<*XR7RZyFeV&!GR2!{ZOV{_hM_i|PlZ12;&s|NoN&i;QE_m4Mqv2}? zUtgm)&@kZ(2XGpB1LiiM^`I}jn+VVOIia!8_@JDYWDVM#k_CHGhC6O30`R#eiJx** z^`U3gBCT@E4$8%>GY-3Yp`|uMQ^9;fq4EqE6z3!m7FGEJjl2VgrUxZPa62fIEkrD1 z5yxE)FypJ3k^*sex#0$=nnDZ^aFRPKQw5aZZ>z>*Jz?E?E&KJw`|V`30`sL$5AIP( ze2<{@3#;#w!L^KIr=6CX$!oQuy?KgLbi%<7@bdQOh4ix#HINu8}_a`N_n ztRu%?Hm|%XqnW2zST&rKTz~d57646M$Ev)(t2pGQ((^6!kx0N|w&cyL6@V^X}696hHkS%BG{SPwI@Wr#2?RpJt3u8yBCBq;VwTi%;`I^AzOOnHB^b9;#*SD>q4d7 zw{``qv-2X9G&1A3m6akl%V4P1U+omIjzg|97W&k6eCp_XA;@42?PD1$nRL<~MS6Yz zkl}BXxAj@AbzR7_@F_NTUO(YY=;Y*?REkTw?wtXZ`GY29u@016kcEu2oz>Z z9LZ2-m#P2KQteD0U~^Enx~@AY*>B8|y56O~S=5bgA3>#Z5a%OlrxjHbAN3zTaw_k! z&?1$HZ1P_2`-BjNOIe-a^SH;?b;x^*@XxHE98|jL^c;OD2A?qiLh{JMon>C>KlAm2 z&Ec!AV19<1ZoEo`5yV`LQUX!xVfKyKaFY}hg3-v}D_RMldDPZY!sY}ME^KtpQ@Mqc zG>#G2KIGFaz>~g2Mnmro4ZG@;P3&x({knZPA(n`9OrqU*kI{yjF#(UzbVS%Hv)R31 zs!G7`Mh0>b331hju&pw#9A(~9y{JP^Y>Grt(TQNuEV?xj17&?AoJM!y>A@zC=&4dY zU(_+zN!hG2X~DCy>nXWVrr!SWi-dl$jy~qu7VPVs{#Nhb2z-Y74QXE7EAPOi$iwit zy#XHF4cWM0=M~L^WVu6=K1{J74W2)dwCEzLo8)!7$T|KaZ6jFsY4+I`s(%w~La`{n z=Z)K(uM`$3Xi#TfX8~Vqi%oLOW5WL)v96C^WI}jfK-t8ZlC~;-`2rpFI`)+KIbIIQ z!g*Vd7`cNuynQM#R{jpDom@u)bKHjenj#AmMGI-_qQ4z@cL_g@(szwc9&=?fnJdNC z&BQ7~3GSg`qXhZ5+CadG-pL}yH+>SCh8}2=_{2kDnev_nT%3W|u>UkdxLY|#MMp=J zDY`g)X+a`!8v^~>LbBWA`Rj$!58<4WjZ zi`PL+_0r-OV}bNYSfKf54lc4o6cqZ=a>mg(=l&G;e=ZxPq;HGwq&X&mIA+^aH53&A zZsD`LQKHSYg5bwpfNT6t=J0ckNWl}vZf06h)C93Kryb?{d78q78YFXSxEW-&(gsMe znr7XYV!!LcpSH8mN%&*)h80PpjOZf{9zbVCjV;#?J<5(ms-y$~s)negXeK&Ed>${V zKj4D9Kr&y|SHHB3LaUy;cGWPIUCM@sSNt&x-G&--6>8xWqgJBICrh$?t@rxK@ z6^eB?;W8~};m<((V{4fUev;wz}zl4Ui^po0vq&q$)zB9EDaEF2gj5Gq5+U8Jr z&iKOd$Q-OytvD=`l>0`TNcmdTcW?m(`7+j;{BbQIqP;6JEgxg6$(+y*Y{Vs`Tb368 zEj5B$&KKhtyTZGvdj!&99kY*OCODsb0Y{KgRW*={8JG@TkJ3|@*;^OLjdQJ$s9d(_ zZE0PxPRMzIZ2pXr+)2x4W=QrnVLrz&LwtO^n9*2_=X&R*)!t9!qN9~lUfBabLVkdh zFGII`3JYFQAu(K!TwMXhgeaj%RJ(VF2{n#7$V~+f(jXDviR<1xh)9Lj7Zk`f%K_dz zaQ#Q19+snAoJe=vbpPtO(;>V>MR;Sw_&bgSB#h()O?fP7im**Im*=@m1~BH>Xht9} zfljKsz5kMUulhWf+(D=H6%(lrKf1yQA&eKfgoiMCtx3-VAb)BP1&DH{*L`!tkZx%0 zRc5s%M}~3c{l?2&%TfaDMLk8oMZ4g|GhkW+p5O*%5$cyWlxcXhg1LTiqx0 z=B;(d1_?_}=vtNabD_yaF%sSX_sls%I&da-;J)UK=aRzwQ9rkCj>`vY)m#zI7;U4B zw?C$%Wi4th4&jOb4$=1=zs7~oWR}U@j`|_BKNCMGp=&_7qnB)YcO$Tj;&$c@^BU63 zew^Nmcy=^`q^J&67+u~gIQhTwEAHdpm?z|ssQM+8&Nk5Rx7u<49PItx2ReSz z5gs1S(|*Kb4w+IW!}~)uKOcn%oDnNfVWeb+7^})FB|N9O&mU6Z^II43Bc{}I&Sy7X zjiIK*S&TE!iKDZS<7}1{@vK8vq=6WRlrj{HBoOWA393YRc*U+NQ1Z zK?4Ie9nk5jb7n2<8!mEzwr$42Q2HE&CA$d&`6u&TK6**0Vo3*UQ$%^@_C_vj>3Z-t z-e{KYYM)xMU)c&Hxvf-}QHo1*oWREQ%_xL(%-e3$bFa%KQ!a?Oz~vSvxuU@WxSmEq zY%V9ulBn4H48&MfP`FZ3vNvQbJhtYp$ILB1GUaCwC@Yd}gro0v&B-j|;m8!QndX}7 z3VJ?rPOXBi3y3}#Mrk$X}Wi51YTo*2oW^0WnJ z=i5!iW!+;2C@l3vx;+MQ1auwA-f{GyZa8*<*opr4;_`1+_5YAhDjon+1_eX&zg`?o z?VJh!5w1`&b+UJHG&XhmqTh(y+d2RB#zgqnb?6uFnwjwb!rKRVTEhl&$eG{mmjUacrI4gw$e?PIEL-kKCi#p-u6QF-!~o> zF1{My5;{W8X#S(|jry%7p=0tvHJkS4C}&Ase^%cu?faSy`K%W^%`ADs2@}m6eTGBa zpQoSDEu%ZmoMx+V>n1uSqr4d>&TIYMW4xO?z9N4l93N}WDslwT3jUrkW~wfzWHFp9 z-8;R`{~1=DrRQcnwss{Sp8RTj8(ca6YJ3ClZhGs`nVmj^D9<+#^Rn9(?ZKHFOFt+Q zklk-HyN!Yto6^jiUcwswAVBQ9&5R&U>lK?cO+t0)MU`*-yYcNyJid1nwz$t?f8}+Z zdmH6Ymt<6+ZJKiZ5WW&E??*4x&nI62564#=qp!xd9DefWi|!2Xv_e~f*R7+8{yLdf zqc%^p@$U^<8nj<1@LApW6orji(u}h2#RS^EkB0Ee$-b7^C69E!b}Sq8K=p3KoqT`B zRRcTyO{6iAaa3?45GKCAsJAX^JB4VCs$Gz>s>!y28kjil~Su^N|9P;2(ZMJToCQet}H(s4{v)iH)pR&=+#-Z zuj4|L2va}Qi(f5-~zPrOh&{6J!(5StDENS1*)@SrXa9H1?W7TP# zBf)Nq28^E;mRXl2w_%8aqBw4Ix6b_19 z2MIIzE~pV&^;$n7AgZ?Q>n|yhme)CqCDIeta(aGk_-}^>C!Xv$boEtGXB9}XT8MD< zwu9as!jH5%#18aGnr@;D+D+gFVTCH4Ks)Gb^XCTWbARVF3ZJzV`YxY=RnIh*Z&wj$ z#9ON>0HGenOv7L`^sd_HsXvw_MyFv*79VgjA4cp2naw;5SJe{|TOB1~!s~}Cr{mLi z4o{(Wl277!E4PDWmYE~yM*$ybbMXP|2I9~Kpz%*7){PaQdkCtGMs7t;cevE#gZ&=K z+!`MaeIh0L6L}xVK8hq4_6EI3uf?8>pfsi4ij-)C$y=1dnDz-(hy43UXYPPq`-F&s zQR1AtBJ+tfTnDk7hUt{bNe9TUp9#Jk*}$|Fy|1WvlTxezdU6eZQ!##*%tpAc(ad(C zA7Mg@_%ZaBa|l@HM&1?$=w#)vNJOqIV&h{8n0>az3E#~_m{PAoHX4~4BnUJ-pcv(o z?VZHpemgZ@jRwiIF%TStW98>Z(@Cuz(k!xIfgA% zj+fua`k@9+E6ag!zs`cTr@t(^k@PIV_?VQ#qAFJ9L$>i3p|McO=*zyZ>rLk#1RDtp z;Nq)5D1*%8RIa!I9c}#HheLD$1lD5VExUEwrQL{1Hc2c>yMA!$m8DTqexw^^Bmj6@y-T(NweAk4AE?9fEiLaTV`BxR*JDM2ob? zWkJOx>24idF~tdWj!Y=SOEx&P3fEA@6~{#__{P<3an5Z0-4+J zDlYoQ!$WHSv%|)IL=_~>Tc}?oc>vaxJ}tS6OayvQ!H|RyXWmr;w6QjQ2(>M-hzu8b z2S%`uphjAG@V5)!PW6nZLmxd+`15!WU2AT9N+s;4H z#zv~EJZ9D@Hf22FTs5WCkqa9%7^l;=qBd|^GD?>RqbedEEp<6eN#rmpr*jJQfi;@r zc+pAuzW>1#-BjOmnrZ6okDUo}OXCh>t<#8;I>}(}Ezuwxj^Hu=9!eAAF%Gp(qw)h` zsL(=ip{T~b^Z|H)#ei84NQhTN$H^=dsq@r*Xm(u-%U*QGFdl|EzAsZT_{usD2C|%Q z03rp^d;V`}!P}{#8vW&c3r0k;2OqvT^xi`j5I5@LtQ8nKEC&zwgiTM8+MqkXWa@U5Epc8LU+;)?RTJRhljgo)>xSZ#Q>SA~mP%PT zx-weqCDGW~50}0hd|5eS;+44Nei@B_M#}0lr3GIXd#AlxE~86&C-!{zYcmSsCfd`^ ztRi_PJ%0t^-f~fL;r|x(6N{qYs|gGU7l!@X5ZKFReMnjX9_q>M)~ZaxClY@xl~V9$>`l#EaT*HI zng!B(B5Jy@rKu38b>Pw7j?un_F9)H`a=4UF=Cn#*bd``sW*-C)nZtg9D?Z%+c(@w8^?AzL8fAeO7&aMUVA zbkB)Tf{bXQ6(s)97Kwbm!#~t%+0iklhyZz|{dAYie&n0DJzY+Gd~PRV(5J6SC)XE1 z#c^!Vxj@KCuBZtydgk9sR^3c|Jzb!=eVJtQ_?14LEC4z>a_Y?wN_>s51%{!7f^nH$ ziGRc*)Vy;${?k?Dlao;vwmJ5G1U>jr=6A5BRz0|+R?wC9PqK%lcEc{~r;#4zdNWx0 zN3>0y$7e+B`EwM_clMlLg9`0{eN9eeFt*{59jMq~ z+G`@vgV0g9CBe>Q9Uw0ByA^!~$%UhY$B};4yWk)4tnT!9y;aG!{jV5zD>-*q9v1rOF)F9v%%D5V=IW282FhN+>le` z?sZ05^MJ5tDQl#wKSZ0d+WT84{xF-NB_Cq0t1G;;A!2jKf%L4NTsbZ1l?^`0SAks5 zxM%djO$C>YTEgsqn*1>JCq z)3D|ISZ3>(5)oPFrWL^Z<+X|D-N!L#9;fZF(=ffFBF=07_Y9G>hi!*^|UFPae?d0Hw#U4qggA(q5K*t9!KN~By= z0QAZ9U8LAbB7edQn^~1t$$Y(-NO^%IkI%Y)(t2pAX&aTz{jr{wK6T@|OG>BjWHD z$$`&xK@{q6x3M%7G>k|_@Q`>3A1XdGlx<@2uv*YP8DEz0A_m#g^l^Rhr~zw!3eb!6wg* zHfdLI1gZCl>-7_JnxHZH8QBs6WF18P2$qfz)y|it**xE2^iUZ#uzp*6s`9DH@(?ZP z;&C`ndS)IiXi?hzsDMt$_hmo+Eb6e@+{~>@UYpt4hV4lfWSi}k9pdw)A_Jd>%fEV?EG;KqO-Am>}6CHB- zW7diuwFx2}sNLMhtvbYr7(EyT9>9kTP>W+gVBv->M6##TB*KuXv1#=kgoqfq6m)Lm ze?65*>%oH$TZs0@+1QTNxRKO1=xrhTI0s9o zcgtGMRr+Ap)5q(X+pAYYt9{Dg(OJgipXsxVBikSE+6`K6lit5hyr1&iKW{{CJ`Qhp zUntb(2PZcUz-cJo0(ibtrUdnNH@A9pcIFPk_)tr3>z37*iMG`^ zw^dhTGVuKD@?XpMxqM7|041O?8#hwaU2&Z2CD;uf=PY4RCJ~qO>uv}3 z;N+a7E8uw#Q8kHn-uUH5QvTqcb~xA1B??p;Qgr~wU_&WZXd;eBQ*#v7tg~id__&k( z{q4nsZU&UCAzVXS(hQmd+=k5!^s1|^^J(}%>}Ib7LCoPD=k&e=LBNOh_@PF&g?g;H z<7MeeHFr4QAYS3QoL*YmivO6If2(S!!iT$RQx&eU9dco_z=}P$FLzV;bm>4 zm#N9ed8<$3u_w6&A$J6)Rew8!;fbZwW5D|7or<4JYZYzLR0s!x)SdEO@LACx@f zd>SM|_Dr1%uiWf{K;J4hfBEiogwMtO6h-G%MqjnchtR}raekb!$zsuVqe0FPFDy0` zM?{lDInX}5{RS_b*>*!|nIxSq-3Ot!)obSRuHJ%Uz#X5oHCQ|&}~5|U>H^=c~qqJkM9l<)lhgJ)Kj*zn_!f_mF9p9Ru*7vfbfm0lVHpu z9ZsaY%QJ2kk)>%LAm5ylPC6Y+v?w+|09595*X*WE=TY%giUh?Mdt#n^n`$N_=qu`wTTD@6o&Xg@CFy)=n0mY z+W0G&lP}%h9rVu${d^*#cQ^K?fNCdwMo2(_s&^&*)lYV)IG;V?GVC(8Ck)?}(B~(h zxHty2Go%h+lvN_FH#21i2vk*JPAPdN7>Nc9Fl&i3KUw&NcKyBm$Ymr8&P+yO-u<8_xbd`reHIbc4 znsBdLgTmWF#GE|AFC!r5Qw_?{(k6&=f_40~b865eqx46Xp9Rl8^gT!^g%~MJVuFX4 z44L19D?!nyma-LzXAsK|LI_pI#4VUBoYNAivtbOlD{Nx&RVnEM6lFb#=+Mnl(Sc6) zm@!t&uFzIMs}f1gZwpNvPu5m08GnPeo~s+3%|p!R3M|8VGanGX9wH`v_I0;pLMN8U z9SQ`Mny6>Vyy}BHj_+5UM+3^~rCdJ-KG_gCJ+Kbt?3){q$JYm1DQrA}7)nlZ1`22! zYagn=T&X87>hz`rf-x)Ep59oygVNqZ3pp|pwBei@^rQHBVO6<3V7KN&(WDqyILif^V>1^_f?#Yhi{Gkq`T4XsBBl^%zzvRlm{2DFYmocRtTi;!HAk-T`!w$?AAqt zbQLDTAH}GQWBcs<$YN=7xN86&^D1voHXZ|=DPlS zqB(l%;aO)f_2$z)Dl1Yv^6VTeVc=PgL~UygTJj_C(jue>qOrouu{?MTY6gnHkx4LT z_#5qv^~qDdCM~ap^CLdL&5kjOd%Hd4b|2U`zl0z4gH|a^Bq7xrUXiXXz6DwR;dI4Nb*kEi(ic9Ly?o3H_F(y!;{heCVGY=Fly*)D*@%^=Eq0YZyCj4)`*S8s`frJN(Pbx`KQ1&f()pAbzt>~=L(a}q;jL^X(jP?zIpnxW zaytShflt6XR*2puoy4_zEQWR@Lpix~bDZGA5AoySpaS-*oagtxqE(uPS(D~Gc=O-f z0EX=$skQn{XBmO~xB^DEm*Mgbc+;FBR7J4Q&)*Xq!j+gX^yLx{!6C&nP-%}?i+VC` zs_$9gRk7VzcLzA#mT3TkLfJ5!w0nS}ndRVAl56K!Fq(4tCvOhHr1WHt?k<8;@??Sr zLiIJbs~<;RKc&u#UV#V^4N^N0;nzu1C+J2OKmcb|MTBKVr2f8x;+1J?EjCW!rzPkH;xMI?EkeFQA^5xZwUFX zUc~JQ`5V_R&uS9vyMN^T zdur=mAJjOd`LtDW0{3{7gD@jX^kX=#CG`vA6GnRE^|5 zm%$TvQc5;3CF?O6on;)bXkZ>U;bJV|3}7I$7}{6p_qUCQrc9QAtb*t0YBYRrHU`B=0!< zbJ&j|Wqv0`6$@!uOsYtYq=KYIj%Za(@bhE$&*9@*#{R4R7RBhhed=6y*XKzGY4>N* zWwO+&bHnFHnuo^(3biCX{b9XAp%F%-H%X3Of)9OMa$)13UyGZx+d~L_0(Hg>Y9@41 z>||j1ECNlagciZQL}?12ULs65bU{-6E8Qad~7y!TtBAHidtwv5CINjsnt?QRW&Iz~j{L zvefA)RZ_=|)qq+1!3kZU+k0gJBm4!ScnvU4^PZ43iYp?LWN6>O5-D=~HHvbhRCNr* zVDz_@=h(If%o(MzvZ59qu-|NGzhVWpZN0+s|qm1#l#A09zxKP-5`65l+xY14H{dDp~`4yy^rjUXCVbq zPVl!@*IG`26Uz1l4d`&Av+Z~QQ^*8}B@leHwGx4wf>T^bTJ7Ew{B@IPpkoXue})VvY5-`l)g{Fn@apnDwy3SkNT>WOf#&ndB=kT5W%R_$3r zVDEAd6fsd%rNZkN;&6WZTfxr-ZeWXvroiw@Br_39$TQ9ot*f7$4WCc-aWjbHpY<#p zX4~!#vFLDA#wt0mr-J?}G%hkaK{@i}^iS*>ArV!_rWL4`?HrcHh3mDdd?hO8FF6b< zn7{Tg4<{J!+;W92bv_1{7J=XHW03LmGhReVUaty97 zWtd$o8Wq<>)iceXj#aHvBehU|ldz(E*M8=oU z8-k|!xwErE3;(OqljL@v;ogpA8V-mX1ZqQnNp1-kT7j6X{~~Wr=}6i#s^t!v5Zh$k zD542Vh7(^*uG+D$sK%Yql{Y*^2b#E*q5cBhVs6AW%g|zD3v15;3DF`G)dp_^3k-@1 zc~CM%w3G1Ew%53sd8#tR9L^$D;N$EnXw-qF94;%$3DfQTl{*w0XI(TRe~2^TQ=dFuIW4g?@yD50sxe}qwBvA8H zZHa+vdWd$u%I4vKU%y?0$(DP4(dDex=-3jVP6W`DJ*ec~H_Cm>#4j3)&+O53sH1C@ z5WSmK6n-%Ity7b>WRF~In=6a@7Od}3DlI{-lL7WXb^0V&{Al&8TG{X1^YMNON~gQ3!~7*!y~R)iZA0g{mX7?T&A5Lk=cS;^B^%!C;A6Qb45v$ z9lxL`&z$Op^Kjs|Rscax&+Fli;*YaG!%YQburyTdSY*4ewXw6{4=(T>MB#0j$()Do z#79#%tJ&SU@@B}{2$PvZ)GCqA0=YW`;wScZtxs1A(YGdygC&NFHGiaQ!5NI(@>IT?7i~{`_ z4wuxJd8#uQ7TSOgVR5Deny`k{mT-qFT?Ihq{Ei>p12|-Z=8zN~IQOtuTvK$>K@ATO zDOk~__}1^GJx`=PT1Vs|s^KF>f^UlfCk0lPlEb~8^LM^@U zEm(#>hpl;;8DwlYAQbkY>3ie%8{P6c7ASD#)Aw!izW#o<7G z&R<)rr(8>1t* zgEcfsC}M~Q7tpNREk`35+KGoB;L_>$a{V4TIg%WWJg~43&O;m^W49}_hE7F zNd#x8#y!1!n^|4xyuJh+tm#chB1O@rXX{PUVdXbOg79w12Xip95Gu~)*cJ|LHDJ>p$vpX8x+n>7t?o zVc^a(VGOW6pKwNZI?fK@PDU}b<+A44n0q~I2`{V+u~p)t$F3vg4}UOHy)oARvf7@R zKDWwOe+Ba|9uM}Mnk4yk`E2w)Iz690lyu*<_!nf`YB(l;X0NYz$=l)6GgMz{Dv=_L zkHaP_JVELwD$t_@O!InZ^rzgvtxL;HBQcRnS4+>s?%CQZ7xPnuEFvBX`n zWG${l-Zb|9p?H#U-Ew=Sbpu_(8lT%|$~ZVF_6u>CWZ*PNc~9g0x(#rEl;IkB3T2w2 zWGbFsF1dGlse934dp-K13{kEg*@%{8(NR)ZvGR;!vR!M3ex|6uI%x@(p(+k)^x*rk z%sC5{;>+zN9*SnB7q#^pTb;h}M&)WGW=vRE$RmcuQhm z$$P-x_v|=|-SWoKQmm62SlL0+`?PjGUEC74yn68spd} ze}}1Iv%qlkovHq0qnUL~N^_NI1EP+w9SSUHY8a3i%UyY&6dl_U+l~mt2x7qYftvl} zeEH$OabNGBrL-7Tq*yqOL-lx(QbU?%&~~hGT@G~<^_l2`pw$X5UoE@ zyAEustMYWiX=quMXTfGodhS)dSUPgE$exI#{nA~tjQ;JjP zAQ1&E>AZF0fie!pe4tt{*O&c+rtN3&U^*F0t8Fha9d)Ry$ncb#413gEfYlI*pUq|%@0TEfH021Ks6+_3{E{@5dV3JkRU4(8tse-NaUZpS)u!er@Os>5{pVo4+cgvw-(^P4|-q zq)X=$!XRQXeMQ~Q0B;=zn=p&{gnU9RINBQ$cSM|h`D>OE4=70aBpNv33UN@w4jfjV z{U<&48Xc(9wZ$VU9P8TcP|YWsecooqajYF&cSTY8dPW5Ml7^kj#vsjJz=j-}eItMs zlNq2}2H9?B&7*JxdQNA3P&Mf|{*CwBWl=dCy~oNK;eW@JKVhol9;Ci#ijFtRh}i1U5p z=g^JjljY2GS|Hb|>;o#Rvo3+wh2(}k!8ewR+F0~r^!V=zk?K|3du*~fY*UHG3=$`W z4lu&did@a06lFLR^_?e;<~)`><7Xrcp5No$T!Zhi8O16de{cv{KJ7^wM32P<^&2kBmS*=A-;)rXKx(b|)#cuo}^X>M}MZhJ;fV|7(%^K8ofdR*Voxa3Br z7!85LsEYfwW1?s<>3F@osH*LiD(C!|sU5ZHslJeP*32%zneAja+?mvn%Cd?x^8(ni z=1Jk7i9c<99SIpOfMAW0$U44k@UfDb)4$#Bv#ZGl7!n23S9;>xG#&DCTJ&>}+lu9x zZG%RL%Y`W;P$(S*!ZVYL9wF{L#RW3a+CT*McMc(t$&i$@5VrY}fb3_csHQz9xHnZ} z^Gaw?AzK6`Kz5F^Zl;^=wVI4t14_)urDv^t?LT0@>p=7SVqi?wv52n;YiF$XQN^DFyG> z344%Ik6{rXwHUqk%Czvm}mcwNYqUjk)VCLnKlagsU}Kz_krqf@b`gW^I& zdFk!a7^|aAdEGv`w3ZJ+t6@8_c^=HzF4d3tD4`ud2Z);pLdMkFng#PLkP{3!lhKeLj`dKDQno&WZ`hg zjuVuI!~?CxMR zfkO~6J0N$#?n>U#LgDl=ca}8f0??q@5dI zY5fVulxJem)<0G4a$}q^)2Wc4LW=$u6|*kNuzOtlfxTAP(jp21b(n&F{hX1Yf5YPi z;KiG;H$BX`MMp~+Ig`O>1y!lnX9Cye^DWT6FP)NDj02;`&Ka*FenssPhYYw~ur?D~ z5Uz#1%?ydH+q@PDYg2nf?4FK#|1uM`VZPL~rj=rhx<$RD+o<0~i-n;xkHRO$^2gr(m(+NJ^(qRUR*NIvP&O ze=YCC5O=>h61v8I#&jWun5OI04X(;=P(mJ}#~n$J;TN$BUq>mnWNn9gS=s4~uXOt) zNMKF-x(+l5?h9rZEh3Oe@n<0*+)ZTKQ)GeKk2~;mgv2Dl`?C;9qX2gz(>kL#>v~~x z50TI>5vqDUhs3Jj|A2}T*pV16kS~SHo$^Gbqgdnw^(JSMKZMP`PD#eQvvssNLrpQ| zsW+6?Rn*sk$owTjo5d1bJidkV*d03~z<%(>qJ))u7+!*gCpPc*gENLuXqI389`|b+ z8k6JGCHW+(bUU=(p;RP}$dam|U-YCi=trq7rE#oA4F)gA6{yeLo-1+Hb1A5JZWxly zodJHw9hco7zUYDUong+lcAooti1rLRk*N(ID2eA-Ei8wjnx@wJCTy(S8b%ir9t&o$ zj#aIQRdqq?-5Z`cu6-QNt*h+c0(@z&A(3LqHFN*ON~!r=FHoF`~7wVQKBe2uy1x3I9v zZ8}%CSNM8k5mcx@v&Ra2=_HocQ5`9@iA<8FZil4O&KthJfP;;W$$K}-Hl9MVj`5@` zSf@3ccgH{Y{adFydff4p>@6azo<^!krxckla_UK5oQ6IX|DL_XhSRJ{+*pOIptXFay@&0R% zj2ERU3wes)B=JvRw=r|K33Iy-YAkKn6hsws*}xsEkW4H?=G^!sGj-KL*Km(isLWU5 zfML1#s*1K?JPcC#PWF7};J_KxjezgztNKIefTcp7(!xsZ043NFn}lZ0DcW z;1|wiB&7FcbqC1$0JRVw#?U;2;+a@D5P%Oj=ldXgXOvXdyyq1B?TKqcu<@$cfdxfm z=p+n(uJKe4%45y>0c&^z zJBl~pigiExtc<+%jZ@_snDhHQ)eA)(xO1yYczr;5Q{x!r)8MWHT4Xl1w%0MAypVku*e#ELx$g0Vsukh=ATo48}f^MaA zi;(8PAYJSC7c#AaE>gIr^R@F)MH%_I03Y*?{-+#!Vf~9ewH%LH2TmSlKS_32P?P@} z0&L%I!Y_B2jGh})YryM**3E2spo8c_4!5QJzJ8d{!o_u!Qop(hP>p~I72Dn(Wp>8f z&uig*ijI^B27vsmW|Y*k!FR3Y&xN^sky~qSMV1@KkMUbvj;SP#~kZU8yYNHuY#z1zv)l^rk&)}yQVU2k7ME2&^b0n zRnM~P=^0kaL~mRcAt@C^Gct60!|$kb?~wp0wsPhO2sc>9>>wp3u~>YWo!m*TBu7Mi zao?sX?&85c-3Ft36OPyHW@CiYi6Wy88pDEJDUDD<#( z)@Gp63ArSn*{Y$S>B$ud;|0f8{ll{KX?oCHmOE&ZEU6$(@v+J0n3k>h(l84V7AA}r z(&LYXMULDd4uT&DxxOlm-~Fy~h`r>V%tO768iAA{n=(Ja_%6Y_?dR=nB|i3AML`nr zf~umiY}8_00{l0qkOU2_PZfI%>v_Ah4zB_5umbynz@-6x19%rz6pCom_Acf9p!uvL zqj-Z3emu`&1q^;ssFks3hdo|0?ZaK6dvpS&tEhT!>mg%4L_nS;jnX=Q)6HGh^be^{ z=Zrp$VPzaB08xYXddx>cl?-Y%UFNpVF|-1o^QcKOe|u~-m{pVUS}H$D_=d;mG8~5H zMnG)V(WXxHA!QV;GUd&NAcw3{F8x@5MIwc(WMZ_V6Ag-&A_e_eG6}{E8g;-4f>EQ5 z-mpV1>GA8KeV*;lg2aPUiE9UMVpiO*-HowX9IYXeVupm4mAO^$5%+j|I6;n5aoaZZ z5CiK(+Ly9CY%i78AoYR!Nn(h2Z&mMG`Bh*Nu;BgJk$e|nW*;Uj79*TL_@6DWe<`@{ zgGMBEY(s`r!M=Macc+nQ*;~WM;%(#xm^gY!=%g194Duc_*sp4wDkeI>D5;5WKt)hX z)i8@@UFs>m+7A*u7W2GMwUcu5fLk9u@wAy~!kFQ9SjU6$1I|tOZSf{6>Ozo>A>sv`Yr6nRNO0bZt0A*FEDEYZ?vp}NPq}E5-Bu*6R?Da=3Y5W0t<uFYm zQj!J#WDUqk6{n&N$hAz>F=mAu*RFNUINvqYj2%D{w4k&~1K)jK+ZoKSmF@%%=iiy% z-U6{f<@i&bOQ3&shd-B~3_)MAnMe$XgtTv4W+r9WGL1GEM`wFrrHEb10T;xNVI@;+ zoBQe!j8Ghd`A(Qs)hlAfWyPA;Re2wx3;G@rhPN=JGd~1$&mszx+GKhVfBK&2V59B4 z)!0Y%QCbo&td&83;~;rY`Ey=b#^=832u~p>8NzW>_qL8&zP@cUE3EFMeCI%c3R3jz zd@gxEi*+C6NMz&DL{6UJTIY#lKW|V6@g32=ttAM^3)h1OP&*G8#$hHY>J5ty z%%-om+vZ+#xCV>yu*GXYTjiyBHcx^gD!RQ^nRCuLnx{pT^%AC)@wB>Vz{wpwTmqI3 zAwzM*zQ|)ga0x80tK-I{bxm(i7m<@)6_%e8^j7D|nZI}wcL;vfPKh@k)m$y?G#q)W zGJYTqVb6j74^r*lov!$gOSOM%&Vu6~2gLv5ocP!9|AkazWBTvN_HUClO*69hH?qAZ zx}9H$;p)IouZK4|PuRbJ1L4*-4pLcrUEr`WT4l`d%gba$%ZzG0L-}n6Be;?6c7MXw z8t?Ypz7w!Dg_*wh{(f#>pUQBVp1wD}`mjGwXtF#lJGnZ2*KWkMO-r~Q5wUmL|F(Df zdVg?^%HV0|<>B5Jf%CajYTPInG+0hkMJOYCx`*KNY43hp_dwhB_Te`iKAuXMp`QF3Hm*qaGQTH{+MgC^3uR8gQp?UYn2_kVx>P-+r z6v)PrC2Nu5g5q?I=|u!m%b{pk@fzl zHT(E_fVbn9#xa>egXSW&0#c+N7~H&_nf3m0U-10A|CY!8hVAq^{N(c?w_x&nV!b`j za&5IbyId&Rs29C_vAnGU?=ElI$g{f(_tG0${X@xa=CIwIf}ib4suL@#``0@o5oX-U zjjPTW06}iXHw!zKq{<;QPADN9kW9jgesnl*u6<(f*R;z0(+V7-bM#L^Nh}!V#EoMC zNl1yAP=AIj@=^I%l1ucN(V?F<(dQ>HkKCZL+j0YZO+%EnM6xvG6pd#qyry@*navV- zgHKuDni&w3!L~VqPII|5Tw2i@fiBnG#3_) z=jnD;Y=<$^1d68`4!XcHoaq8a7+Ir zO?u>oaBPw`_1B#SF+^-^(tc^$F5Kcyc+NCPBUjkBijc!MuZLucfbm4CXz)$rKKl${ zZ9Z@|D3;=_78t~xP+*5zjSNR6yE?hxE{f&aNM}NK!oO3Qk2&K5zO~x{Db?zjKgqlsYP`nh18VX>Q6v)GSw3-k#p9fvhXl> zuU#)&s@(UVTqe$F2N#&Lf!!*#(F@1O*BOQhkV?nLTCE#o5yH0H=#7VbDbD3j{*41h(`V}& zI+8AWBaE~tznJ`dP(1#lu)=;q)fO~7(lS4_%%CFB+=bp|8H%K+z&Vy*#@#(_VWDMX z^%45gkOGrT$XI%^GfJFT<{=MT|6JfJL_I38~F}yfR3Ch85oQ~s!mDYb+l|ds*OC0y<3}osaM;y$D*pl|)3EaG~|Mc|1&MAZAyzEP`@(5_{ z#zxoK-cZqm{J@z6$?Y+WRLT=!Nap*@$Ija>+IF=qnxM!`igX==DtcflklmC_RFR&* zqQyRf5EDBJoXQ}$K2}Zb-vOOH0exNK6u-p#! zG*Jfz2Q?yy;yJdnWb@o%2h0F& z0_M})3#3YenPowZg;nn^_c3S5bUIIUMUy}8N~&Xxz0|YgrW+{00M)C%6 zv9|;B%CdCGG=3Y(?c^Bkg;d$wgN0-@j1|_qP1Rh}x_JkmDi^pV$u@Kt`_AwnSXm!+ zO&tUvh#lJ|fR=0LcgEH+JE=Ei?mzvCQS+Mcc+Ue$ld=!>F$T;}Y4&J|GlDhH#0|f> znzkmK*Fnz(N#c>fup_0Jv9L;CP`BtXaU;F7R*qcxoqv~t1c0Vo zexTe2b-7H~v#KQn;LOHRj>`rJL=j(PqOwa5kr{~lm3V%;m*Cm)6g={nT@T?IK3wmG z=Uk$<$o06n72q3T|Bqd~bnS&;z%!x)2%36OYhMhrUGSSryx7w5<2;xfcAX^qW$14gWf z^Zd)tvNrbajfb=LzfIHM{@-M;?TxMG@$>n2*?>#&g@%40@&2%!N{X_{i53< zBsZOem$nq9EEo1J|7FWBqixJYt!KF!>S^l;K%x!T^Q`l?-}5|TyFT3~JG&EHzJlG8 z48bJ9ln3od@l)e7_rqrW;R(>LnJ>_dPRi-soRd*9ds(5Y)c+l@R3w$l373lBxbMKcmoHsBp@^x+RglE7A7g(EPha4a$xCL5<7g*IBP;`#md zti06f`Y$N1o(h$Ma;hQvE+?p}L;dw-`CWbX)O20*)wbd4yJ+Oelg*Y_`s~Y-bo1D4 zQ~JkkF4T8D=eMUqC(;NIo2F69K$&Q>+g+rT2eUJ z(Q7cXreI*$Vxv~~zV9+FcurtnHs~Ic#moiAJvpl97XrW=&Y7hhH~E^aMrw62QE!(* zxB6B=hwebP4@sTTZ@OeUQPq$*SU?8=&HrJdP045HAw4~jmwQg-4mO(3udc9Yn=UAB&Rn48Ym^|m`vM* zg)mVH^+IZilw_;fw-TGz>x+f^Lt%#sB672$sr{F4p_bBuZ^>9yf5_iy|M`y1w@#i& ze5ziN%<4;zZiBEA&-Sfqzh?{#C$dvIH;H=C9)IRG1jjHGB`W_Zb|Yn!UqQMYi9|q! zwkL2QE;L{F_2QOJOsE{COm67)Z4)*5iW@&MJ#y{rr#V5*0 z!GiA5;K^?F0!KLUmw!k&Z8+I6CC_M;DmYGEA%_~=o0#-gMl~I2c`4;dkW4TNT~R!u z(IgehVw3sQY5Of}au5`bqSxsnZ6ZbFoY6PR&|Pm>tG2{*c=_rB^QS@d+Pv=$O~pJw zDq;;XWcrRM*_9`W)jM=jZ@mX?$y_-nkTn(BGGrjLq_g#?PLbIt)KETp z76QZgYFf&~pZCL&OF_aF_nE>1H7)uC*KS;;@*t|gn1Z&%*7&&zNRex-I;W+^PH}8k zVJ?5jzWri6M!uP0o6=!QjZ4`JC^QU-Z0L1d>G@+wVfiz(ANiP3MT*w4tAz?qBSkY! z)vf0*#NPAL@jc_p;Ul*-avR&32GrLa7-;}HR@CNaJ#mc#O@P#N2{VLX!lq@Dts^gK zgTJ>tE}7lTI-eX#C-SE|Gvwg4PQGm0M|WP3I?8s6|C_)Fnf5FB&EzQE8IBETl3~Eo z0<90^P=^BrgWJ|l3Dh;d2%Kks+F!GMSc-N$I?E1|Pi}N5f2`OP#IdzPP%`KtepPpw zG<@zM?n>gv9kE1)W{PDE_06Vh?M0+w5cQ% z+>DnPeSxuxdB8l{Bbmhoek;aExsH(0yR_kejUAU7^ zMsd?ZTzC}d-iQXaip}jp3n+^63zF4pCZX|j*GQuM6l&X}l|&^HjFU0-V7l!=-e+!H zLsKWXQ-=!#Vxomg^i0^4e3%OwRff+$IIM*Ze!93B3b_h77|3aI9nzzoh#;eoqz^^Q z-Ls1>w2NLADvddSWsDYIRA13fHsCJq%LW=b>md1<5@yOd-B$7n4HWz-35vB>9B!lB&a^f!RvRMM{;OQQl(|vrr>*l>~0e- zfA-Jm%?dX=+-bm|=PfAi>|)tpEHQ#)*+iXVihT^BdfM#@QnmS8wZ%juRT=3fEMDlJ zVO>Km!5vm^%it%#n**S`Z-ujTwbJN*qk!o^#g8Lo{Yk8UcSK z^><4O6b(}-U%QCmf+z-~THc8|)DB?KKl~Dya`1tyk1e3>(MaS#2%;TTMZ@R<<)XMF zV8$5b0%r$DmXaU{+N+?dErz2IlzMpr94e53xwcH(@i>T3f%wKEMRv~A_+4h?$bH@4H=2sURj{d51W|>zh^_Y zhUvVx>pr6NI%-3dE~G z&mjo07YM*F^Z@YkQo0`X7!>cm>d4w@GqZcWNF^gN_Rk>tKfF=~rARRuFv&)3ZGQWL zq9CHXbs2K&3)5m4!hfLH&dAs5IoC(YGbz*ByP(DcI_Tm0Hf1*UU^uYYW-MxPCm9fopb@pP_ zXufv3aK6T76PNAND(>CY-j&vEAfCFxqpedL*hk1MFVHIW(;H)S^YJyDg691x`mB8V zB*cqio-_SBrZ=giZbZUkE`u-Aym6}9m}2}pZ6e_F@56oD*91qEqhj=%~~?!#hN!?iIIT%OFh#t()?K` z{42To?{@P)qBH7SbhgycGC)s$7-h`)hr+^si%xU{-j9th-;jTa&QZ9o1gT@8#Bi}B zv^dnUI6*J3hm+v~r%xp`KE#a*xdKX*QJ6j_5RJ*nfnmbx>Q5)H#Ey>(3h}?q-v)ur zjxX}uJDqap!~!R-w`bA6FSTMRWJ=!i$wvGVmjzo_&Z!b?;;iG6*X!Crm=i1H#`tbS zk|Z$M?@U6mqEs;7n4cXoA$cJx2?>d8-4F74vwHU4)ym`@3aT~#eh!rr`TzsC=(NJ| zzoA#3nUe()I=g6T^yj@MK)}i>eK4+;Yv`Vh7Ey1Jpp>*oIH!?JZ116W&OmsO0}w2M zvR0c8>qdA-rS-Hm`aG^SsRVk;^%WY=?5VB5<0C0XoJYE8$5U*~5PQ{isWlxa2j&<4 zh}2#sg0#wxEVuq>t;5VEf&}Cx98_NTO_{abIS&)`wDD31&8jbt%FY25VF56wDcl_g z1#(&3^+>%WGBsGJj(va48Y}<&F1?hB2NM{>FFACsQm36@C9oU7>a}L9P+wfS0j*0! z#iwMj&&#l!Wa7wu!opqy!}`+%S}kqYigFxM%r#nDZ0p-3Y9XcqC*NSBSlgbkV z8GSE@AAPh{^hWVWhlPH;p>I0F&%t3eH`%T50#KU8YD+)yxl=>^=Qq9X6I|ABehNsF z-D48qu4?t`xk5Kxv%BNtx17j3h~d#lKJzD?@7l^+7e_(qvo6;&L5i?G?dE7rl)w1` zXnksE{aA{jrGk6>a0c>71{nrThY{s-pvKb>gncNYexZksw01K++%OEZ$z)ID&RB0_ z!A`yIj=mr0F_1Z5>^}mUQ+RfS#C7;`oPK7^XE7(W?@)^%Kur76z@0_JP|Itg22oVJ zBkT{z!)#O=5)AUcl8B7Lq~-FY@{zAx58&xN=?Lc|MH{-_m(Hr(>g#rODaB$mDbL_mv6fB1UxQ-BW6Z3zQ6uv{*OX4Pb-vd16QD zMJ3~JPud(N&3^3kw2%;TOdc=SzWe z0+PO?=ZCDUX+#$*0)4<6U^NF*w9sOSrnK!?G|-djkJ9#CX;gg#V6)GrIg%!+Y><-u5yT#Gz8;b3Bpo*2}2 zWZWmPSjW?g8A;g>RGd-HdV+rrPF1jCold;cYqVPWIdHDKj@k{$v>5u^Gdd+o~7dazpp$le-=JA+8y^ z``t`*a3+W+$AjrOB^q!R%AjYZzo>>tuGsNA_$aT=sXOamS4G}qOcp*jk6^v$a;kcM zVJm+z?$~5`Gzae=4d($}gi^V*=^)q4+OcCG@6#seNxWI3RKf?LWI{(1yIPpJ00jPW z?M1D*YZAWx6V{;IOH_L`g;X}T*GrxW_ov)!PIGrgsP3h!m>U9I!YcgE2m35JOc&|{ zXe!22HRW=6i1(`Lx-AGMnL#EQbRkUMh?<4jjJo(VJAvZZjI`JrfBpESE%d!-y+yCL z%?+HS7M{S~*}Uh;pT%nK^#Oj5E54w#yJYB_Ehi?>j`3DO^T>Hg{QUccW(dxPg8ZbE zd3%j!+WL@7q#U*)u+cR6D9w|7#19M__aBb2W6 zFt%ADn!<@6FNW6RY{z#L{<+rWHv~eHuC4u z_#(+MA{mT@n?H5Rt27%VQkQ=oUT@-k5w;BgFKrm-oy))>G?(Wz$P2}#>CxPC!66MI zl)|HVkWDA&$KG>9_ldcn0r(9?H+u?MQ^GVSnhE0&c~llYw5Vmb0~jWMlS$GBTufSf zNhz_$>mJ9L$|vlo8(iNA!CG+r8q^EA>|ZN(s#j|_^|tBEIa5y=+2-9g`P+Ego}?aG zx2pNTHc8qLxQbxQcHD-`W}khDxS~IVj;NLNR&#>I>B*{FKiy#U^)M-zY`wAJJpe{( znOcg^T4W!Xi$DuR)%E@P5kPqS$h_#u6%`G~*Yo0r!9XPR-R*f#ZaDot!GQ4-A+e}PGE#CPK`TzQrr$tD)8euv$8q@W zh}x}ULfCX!)nEM-LYpfT4f!FjWGHArXU?@Qa4~&Nff{^tw?EF4$b=8O^l7F-rAEH6 z)9T8H-0t%R^2z7B*Ox6zBN5K${t)^}VEYTW?!)`+|6851{6}+W{{fT)%fB~m_Rn7P z|29PYGyH#}PJjNt3=vk}>J;UZfauGQuy8PFw_FTA9AwkcWp?mV>xy-7cV-i@s*+v6 zYPB%|V^zn81CL;TA|0S=leSzv`ebu8^7OQ<+WgyIA@1$F@Zn!&_W0jr_WIY|URu-v-vx+m)VJUzTcgawFmAG~EdWx4+<%?2C`CS^X;vzW*|? zrfu{Hj}{WQEhyRPC+0-PYdLv6R9}hh-x$)3fTjSXE6Kw(sNwtyF|2p`U6xh9TDnMn zzq^Z$TzCxJSG!zop*X*dR{-KF%&mFflOWlX0%^t;#A#_HC3kBhr(MQ(PqPsg9l*%Q zuhL%Vk3wyx>H!+D2tA)_y^y`Yu&z^ex%HWa$XuG-rED@Dg##YWtLDg zHPvFyz*QW3X1RRD=bc#^3>ryVPbyb@>penIuA4jpRhHoWED$$ldvFWujyf0t3-=@^ zuH_|%ens;0lS=b`9R--rF_>#Xy0B^O;F-CbHG@bSri?wtR>>Z?E|@u+vkmbt7{MdBqg9%65U#K&TG?A21vU~1$_cUJD`{DMWwwH-k2Ccl)kDRXQL~~ z4*AS#%ze@qQ&`t)A@fkl1xC0P^O@aY)|Y7&(qz-ydjF?Gl17M`YcK!q4Xu2mTU<-W zRvTKwJxVfK=Lf}=^3~$<>QbeA<7CjNv7{y^l!=X zU#{*%skP7rmGZH_H>Ic1Yfs`L$6&8J;EN(8rRolJeGQdTF;@*VpOuD`jKW3*s;_WG z6SnbwknG-^R|C0fr=5GRq`3l&V`B1-IN7W(2-`aM=9k?;V?4}NiB7Nsf@&+Q-@vc7 zg&$W`CPDZilP{ULu4!lDMjuHKSAn*cwbnz3wjAZ};(u1q=Bot$T`q~4AK2$&?N`!P z2`W4X`|SDr7gkDvP!*1nEQU~(W7JC%ePKn;Fk6}Kl*1Ya{}PRNy3dIcrrA^nKmR<> zOd$?Ah`HhC?g5*8thfvsPTdMK8Z_4~L=OkE31~9BdcISPzMq>rSV(-SFD^NL{KfX% zU>3)V_Eg#0o7o|0DO7O;fHUzdfwlyn7QSiqV+igf<8gIEeh+VvC{s>=e%Pplf1`x# z3!0dcEq8<`6^(>z0W;!9a)1)7EY%wW6L1-3O5smI>cG_~JdOb?4Qt&9ivj{D=CaWK0Rp3ckeoc0eWK;@#bs&vaM7Id|Zv^O{F_I=-%&Us3)u+E@LoB-` z#*!JP42|mDJB5YVf8bM6w@yZooxKXji@>MXZL_hxup9Ih;G{!r+itDa>x|W58L3@& z5?pXV3O%;90bgUe?A7VqW_g7RR?bcAN2D2gLOWF*Q<)|7oH2W&db~U0RgETcbn1e{0e7Cn9edfTyT;EC>xVI7}~Eoa#1bIpnV{EP!%ohp2Db_@bTv|@owmdJptKfw9MDky-{jP z(3E2h&5&0u|5SRpjf3;E+j5;|SeuuWDy&a%eaq*ZB|_~GnjC`Z()aK5!cX6)70t5l zgD$m|gv+>QGZe&OBNw;GlUu<-02>yTsVo3DUJDqJU8tN$1%C@H9kYjyGKAL*sr8gp2hb6ldBn9M5d2b2NmJW}|~1QisO+EqRW%30h*Kbj@QU$Fx) zC~|ROalh3;@G=<+bcFS7qTB8TndqD&L)Z~nqBniJcC@$O{+PEk>Tse=GU7sZ0yNE>DHm9V`EBnWMZA z8)H67R#$&2vLqt5I$yXs3bgJ7^OhoY2;+iTd*Vg0QAS%()xkev3V)O$(@0~x7qXd1 zo&Lm{TnY9Dqyb%t7NQ(LiY8{>wNGAd`GnH2H+BW!;Cv$fFgO~UMrj#x;uzjR5))*? zk0bk`OGVU*=op%&T+nXeWsehz=hDBP+qrHtQsw2F1c-k8RWV)>9Aetc$nQaZT$v-A z7IdT*VZ@aD#_+V)#l4t6-<)Ek2+K*2#_A~h0C;0o1oOq2swd!%(wrE&Pmw!F9Fg}U zu$!m2Unq#^t{u6_jm)w|Q!ZGyEb2+y0~st{GAA!V{PGtRk93r+g`(13S&9iRAn z0vUGPXET{y<`<~30n^(5AVUA60jmEBL*QT~`u_dL%E(y$z0s=w+8puE@c(~#%EH3( z->>U&wZ8joZ+ZAW0|*NB`$Ki|z|iQ{tg4T9!`IuTXG1Ir8=Cf@&PJ+?n#FuRCSww4 zIiW?}xoKk>$;{cmv7gwR-Y)D-h!3YJo*xevF2e?$?v_iV9~Ya}UvC$icU`R?ayDyK zyiM(w>HkU^xn_I%xOh3eA!aF!eiz!J%i2Ffs&b46Nx*&TP`Jo0tGdvor1)^~nUH9{rrI}3tEfw7-gLUo zwrhTB$(i<+{b!3xN_2>XiWv%>#bQttx>=k(7ya$qb@7x+bBZYN8l&HVvl-W9^ty45 zyV>a3miX*`Z}8FnA!jz}=p?=a)`MG(jy@i7{VVo}Jl>`w$6?CRt##V%f{=)qcDi+D z6#l)Ws>Hz`?LI#^&5J|*6lq35|#w5N~>Be(MSy-=U$3_Al_%z3b-dH z3NdQ4_SjK=1rMsrp1ap~`Fy-QP26-NRs(>w`(#GEhuDy4gc^a_8_+k4<&%vx??fX> z_9j3Pdqb*sUASN`XBjyqV}I2PZ}9+vAiBaGAs=^T-)FghWi}#QALG;8pAlZWhrlAZ z3Klp;e;rxx8U)A=IlM4i-?@blo#VWjtoQKZe*%nfrm8~YD=F(B#dm|TWt}2I?fQG? z>}uR(xpIc&n;s8rN$01hov(1UO+_`}mv!HJ#_;RR)eiaYeJ{P2AC>~`dAS=lG&Qh- z49J;hJN2*J(+Ck=L7vaSV%VZRR!$rnXy7VlqACfFnT8OgYXuY0_kr06^dzGl!EBl0 zr-cEG-(6`OPgijhkt8|ixy4s@?TFtw1F1F*pWb@scKkrH&7YzSB*~F?@8~CO5L0*| z@!~ssRP%}mUv}oI*8`_t7&7$|fJh<1Tl(aR7~nAZtV9Plh$3xwUtEZWM2MfL?6ull z$h4Bx0h7F_6qRhNf27tCf}Hj%@GDZr!b<5I5QuXkiHlkPB;U@_(AB7$mRmYwY@=!}( z%N%QG8NU(hSQu{dod2ac7ABX|Zaw})=q*e>lfO5>n&TBPCl#t2z&=*6dRV4k1V`jA z!4+~nhXR6cG0uCScCiss-|F?3mS|<8PHcOZw5G4!(pIf9XjGJSq_PrfTRiND?Fh&n(7KI7a%;KZ=M51Qw4v6WbX}X5LSFjwaOZSk{I=YhaaiD4G#ikM+Iv=-X~t!f*|WI!XYu$zgS%(SP2P3oajWDl=y8TC3`N(FGj7_E&1NH0AbfK+0XH} zs~Jwi@1~FRM|-JnVTG<-kQ{=`is8j(*9A#qgwW3=jyCX#{DjJRQI(&k6zdBO0 zvV{FW$b6GG`P_lrMWnlC+hSKIF1tR3J> zPA?D>kQoY0@dr7iq)%WL`9L;563ce(80s1?W{06CdBKQSqJkZa=naE>*XEu_ z8t=VtMZ~n98rxC?g3VsfmNc?uBR~vAJP0ku$g*@PP(%;HvN`s_?i`*qEcSJ~Q0O7xYqd&SkY%fG<<2 z`PO7vS>G*zf5RbvRrrCmQ}n1A9QOr;L$4vW?=;;G`&t7g*dWjor=Q1_!%nx{6VZ66 zUoj8K%Fj}PYrDvk9SsMmNsyQ~DM}r1tZ`{p1CTR2{~Ak=^ZfLw>jWg)6mrLz*b20Y zg=CQaLg(9Cq)PKyc{QeI53%;<+H(4yIBL~Vbni{*aDho&6_$(c?M>zSQmN@? z25yyjDf$*bA5=J+rgQhU^0fkiG5dqob6d8hj1SO!-qtF=m`SsgF$yMkjYiAJSK=Uo zRpa~&5coK&L-KBt>2ig5bog=cBI)i?D#Q&)3x*!`f#!rqrtX9*t@&{X^b#KI?wBZ~ zgBZ~tg+XJgN-*I=VCHmno7)d0ZOIp<{|>oNu#AAA*C;Jnc}I;imfEA#xyT32nE6V_2tcH!4{~S4o~x`RLbKnX zfs1p!oW`L(O+8AD-LXj&PS08$H(>4@*^gq+nN^S3!I1)mS+{D4?#@Bm?I>uahw>)1oJI(T?ty!{~ zF#<3rE$$C2B8BY7${mrTUK7yVeM=#a$R;HTP8A?gh`(G!Kc;e9b2(To20BI|=hWbd zT6J3=4Z>W%YOuXOmZQj3OkuGgG3?$CkL-B&uI34z+qZ$w!tX32uY^ftF9sRI9JB)j zNghs_`VWS(>9)GC?9G>1H*XQws|eF{N}!7ufwq1zzv-qF6F_Kl*9n4|?s1oky`8yH zjNy%gZ(&x-f$01V34ZIvX4?Y0RK~D-QlA}+`K|})|JWy+Ekv}gkwNy|Adyw=*PKrH z-X^OZ#2Dow=)<}hlqTx2;U}MO5CPzR&ux|C-FCpfTjvpp<5s&ybq2;97~@r~XF;2` zJHaXd3MS2KYV4e+GsTc#@b)SBEtHBH>qS)pZR^C;x+LI}%Uz!e^5#iKw0o?<+BsM6 z<1rP+@7ZS3W5qm%qfW##Wrt1A1Iz^1#JhA_#=)YKJ<71X5Jn4yjnDa%mMaDhB-%0);DjIUoqSqxjB zVbF)6i$pj&V#Wn#htEbV<#R9N4tDJM&t*!)`bnGjoc>|f=S zzjVZ=B3Y`8rGhC-O&JIXCKjmC-U&b3FwGD>2^zt^TGOT^9S~+Y&w%&mqZ|w4G1X4s zfJAwSibW|~k)(o&rZ;6mJ8DjG>5gpOjpNey>=E^^9frU<4LQM1C_46SPXtV?Bh)2r z3(M0r^XDKLk5Yu(yX1UNaqFQp+*d@Y;DSL?5Ra;n5Slh;P)Yb*e!&m>-=a*MG!;>$ zw)lpv_UY16ocI$A5#-nRI6^PLx6fn;$4R6J@E<{ez?{IT-Y2aI0VBRX1i`#;Do?z5P?ATMxXoy_u~8qAhWZP{58 zY~RcflUe4}Wu_0|yI=zuG4m1rv`)vMhW14}bZc`s>v*Mt+=;%wnqHiNyTUGCq=W8o zs#tEk-!$_s`Tx=b@prML=-yt>nP4TMLm3oKv2=W{6i&db|7ZaUpd4CR($_KJEhArh ze!pPqyORt1!{@KHmogt8z}}js({r9}HDwL?oV@`(I+ro->AK6}_M4ji<_=Z0qBrEt zRVQv5ivOI!&3P~INClC46z2I#Q`lN1TFb(O>3vvp-^FIm=i1lPRYnuA?Q`B3K`IzRJjS^N zgzeAA2{#M!)(ku$-ns6DlNUlu;nr(aA%u{fU8FboBn*ys0M5Jw>-NGb1Egj4jTGvP zVmb5)gh^2QA5NeD?o8-^#t6>x?@faK*T$`XhX4PcESZ^q{&$8p;2X0i@()A%m=rs5 z?HqFz&L1p(6cj+VVkyFpkcDR&mJ}wIKq8;I*u7nLS|p@kAJ0n;pb^FWr|{-~8+hPWzlFH0bHND$eNH z;$wpsSpXYDg{F$>OtEWEv6lvUTzc<1ErvLRkHf>)t(cEBZpoir3PPL}z3VXxv#I!o zuQVx?@kJVj6d_xK5PSLtAHm>jGUT}$Z0-XAqltrHi{6SPZe&ZHt?iHhgSvMJ(yh_f ztkbq_+s01Ywzbo?ZCg9-+-cjkZQIVu`$bem-M{Ka-NreMzwvfw<87>X=2~-%=N7cW zn@y^QaO`e6~dv#YCv^D9Pgq&Pb`YrAF*y{VwVq1`cZcjE0No zHcZ#n6_6Fzrm5i>r#H&WXBTInW#r4R7O))+-;%T*i+)Z~vho)k2Zk_*O(1gx%k~Z- zSMeqYVx0ZLFuP*SFK@C_?G|E!UD`9F@*ta&)3C(r=&<2QuD>KI1Q;Ni!mT>Fj1*m) zB?B-OuUidJMX*d3CBM;{k@#Jn_$MLRbq=eF*g67i^RUw7R-*vuB9EM-J_e~kg%}Ne zhlN9ShMFtdWxf1~kLFp}C%nqV{|Ri4J*jm#Ni%te-fo8)4fxiYcDr>0%1v1AF>FD* z!9s~%qmrpXLNlzM0i_8wNP`lht{27SwE`p=4HJ}4sSaJ_a1WkM5U5geqKmAs5>rW) z6cc>moC>>v57z_&clrtQ$>@)>6Oa%EfczF6&`y-BLEI{8q5mBFJhpdj5Ql@BH=uKU zcrR1yL93eUmMV-fG}#)Q9iwGpp=f-V2Z9U+GJ_TcJNs3bynW}>VHv}KbNFLy`eqV` zwjU-Qtlm=^L=ivep044qTD|=eZZb*+{vN3&TEGO1o1pBoaOEt|!1+2LR;4?3p`C6p zLyirlZ-5K1YmTVO8^m{^UnO4BTH>Ku>*3?DVn0hu7C(?%7NzP(az(3F`&mMOtOO zvld+29j9pfw=&jNopbg#o-&#RiA3M?Ke3p))xJe*eGe_I2L)a(>5;%{} zVqCL-lv0-2?>??)R+UV#lz$x^qsgEly^*anX+5QgTJPA&8Vs{y5(+>F;5T2 ziR^QGIq_Z)gsnMWkirn^M0wvzk59&Kht2#+_WptdiCXChK-q>7{npJVLC5{+p-BS5vI2EFMM!EpF$$eT61GLF zF1LYO!T}$gR_3u8^`xT9X8`{dfv8QYmGz1VU7Y@EiV+O}&qtP>QX0KXubDq~( zF$BUZ2j*G50midM1AkATD${W&g|sJ-+?YvDCf;zu_hKNL$~PWf@_uIS*zGS-5caFU zb1J5Ci}Sm6_6PsDX*>%jo}J>ool`#xy6OE8+y+j}s&J!uIDEY2$Vn54Gn>VY?Uj*} zSoCM?cbxV*-npq7oc7Q-rDt?Xn&m3yso+>Xf7Cy}*0VgW3QGx%&Fk#hHRSB z@wi1&>0o!PdQz*A;P5VMTXSx3cr|w~Gp0eZo4j}IZ2Cc%d@MLQGrSR9+h23!$qv%X zWMoz@0ye&gid}#MqI|w!jX$r31?sG?Q&r4XOsaq^Q+lxrW{*fnA1*uoPScDyG;m6! z+f%>Ml#PrE<_;}OQS~;q=ad?059t$qla-E|%PBGVWcb_fVmFng(Mv<+CaTNgP6aP) zj8%M-xqr&8JZ=s~N?~{WwpN0mH;R1x-TrsVyw)BwNcs4IQw?#BKlvd}3^k$8xDis5 zbxq8P$epfDmDf-|IClb~*?O*(53x0?#lNDgU9ei8Y*Du*P+2ru5UTr;_$8Diy02>{ zp~Ang<_=l(G|6(+%pEgfqYHkUS&h6pCje>quM1M7jC&iR2acM4%!Eqrp%SY_{?a}~ z0So%7)&p)ZR2hw-aO|OHvrd!IJ|Bev3a2qy%hdMI>7B#K#Ll{{ql*TCpbFBtaOlOL-wv>0Kgf z%)3O&H_{uG{RrE$NDBQI>hnE$RU|3O@_DKvrXya%C5LT9weSnKgsB zCf(Rh09cBHd3m;e8T@uvqKjI}nF6iW7Xmk9_|C)6u#WpQFT$jV^x5{9?EMBt9fan`GRBdsmRIJSFU-e%&GDaQ0-9yDl{ zQYf4_aL0W6_mQ9W&dVD(kqjkX*8E-+ zblu)8y^leI=Kzi)!1G!m*b%gbhj0b&j4iT+4A1mbqRSc zKyp}2+8<($iYnav`=XDckFw7-n^<@e&-OmxPeqv>rwUT!#+*tzswtX}hr91X#t*l5 zKgn~=_ftS{fUeq!myGmVrrxxQLv}RtAdRK=A1z+J@!EyRO0qDG*-m^i}>yV9{>Bv zyLak)*6KR4ZZqfexa9Z1aNSP1>6whKYV{M5!Kaxs*|>|Iz1Edq>NgX|K*i-tbJIE7 zj^Kg=O!o*N3d=7oXvPpXbHHgMxJr^(f9Djy0R_7+TB3PluyWmJ$hiNxiva$;>Pu?c+O z+KG1LE;VbcG*Bktct-)A@YFSs2EE_gfYd6v>uc22NTeSOEf8$o*OC^+Yw6xIS_BF; z*aRY+b(oQuYmIo(qR*(!6(is(@CB?`LCeq5)!ct!y}E&Zxs$x(4Pk{9C(-5-lJtdx zJ^XlWuC#FE4{>E-9sI8OC<`O*@9Z}LQ1iLg{C@X^Ns}|U-k_N7jls%>@85O_Bmo6| zxJ!7)rEPO4o!5?IJqvY!!nXu9mt4*R)>zrbgBTRn8@5@&*Dyrks=6h)RRW#d_2uv0 zW1+Jnm=r%h!ISKR1uCkCZO81oga2yQAf>e!1cBwd=&AF3z9Sz zDpF~`0T|+mDY8@1{^ky8*>rZet6AF;b04`B_&pv|8&v*EpzDT+r;IP^O1%4JKTy*H zcxtZ)`I^#$R^0~ZE#2<(%1rm9C;Hies| zynnj01`Xk~-)pND3bu;l<{asf@0b`kq-0f^oCGb2%&9#TYg@|>QvgwT*a>GHb3^AJ z3ruT2=iRbgnr|Qg)Vc-A3+v(C*|(V!35D{?S{WfnSOe`ryXLR$C5x38gBS8FdIEVM zmr?_zKq1eKhvyQu&$k*>nA_hQfD-yH1rk`&zNOv!{ZiE;lyPY^@9uM}S5i6Tm0$(=I{pR=gZwTGG5I0)t1s(GpUAc>ChZNo|W;(j66gx;O zL2RD6Eiwh{)GfjX%(3pQYzg48kKpwa_088=F_SyK?BrONU3<_(JrlhLP~B)iA+O90 z^dS<>BaGU-(V7K_!w#3J|2!u;tz};74ma`U9z!O-i7sfCKTp$mL}pIBQ+!&G=17N! z=+R)OA(;HFwx~?JGd*jzy8)D=JoE_<@qHgdFFVkyJ9>aWjyBgJP;IH!Kp%4*ZBxn@ zs}uva_Y!}dVu?gqVu(T09;=*{A5QG~lMY*jwB(-$G-~vFO2d;6DCCpzDK*}Z7=1%o zbHJ3sO3G?Rt^1HONPBHG4oW*o0r@WBM+`2(ncsbFpmphU@t7IMG;Unlc1Y0d&?>Qc zfneZRN}WjXKM%D>E;)0>H$^%t$~DJL`$JyI;W+M@Rb%%+TZyv$4M7UNfEPsW6K=(dCaTMX*{PoW9rDmE-8_n35S%fzj&W48RQ<|ko z(V&qvB(e7_^(8$)EwNBnX~U8pb*&4=QkZxXX+C|^o4CfMm?YjZ+7vCc9oexQmONl1 zkh?d^@$Q%;R!B`fx_rh<6OWy3$Y^$*BYMjZ9P1Adw;Bh?wua5b{3Q=68|KR#;zL?a z4Cuqj*+(90JsoW*$5_VW?27foy3~nBFTwZLRZmdW@W+q!Ef)1cH?D(s7;~)>6c2jh z9d|DatrEB)*H3V*V;QMBIAs3tW0j__BMVoSF_ zJF6hZ=$A(T^P+Inh7OR`4c^E|z?+C8sdMT#o4U&vN}Hpt3h|UhoSRZ*lxW=g`kOdZ z%cSDFpV5Z%RIOU-Cyq@YMcRuL*Ul4PrdpOqM-Is>7`@We;5B*RR!isV=DX7HO1~KM za7B&i*ks{Xuau?xZH;UZk(=FJuo)D%^ zudMW`y`Fh4_fI+_V<`1MojpHrx7X0ZYDwjDw9cfW@kWVYUQ15PiECBed&Wje^B$vh zELe|VmR`i#k;n;E)VaccdJW{KFw45Q(x?itjG7r^uCLomd_%j8VogFafLBTQdPOKt z$0AAcUwGP)BW9syf{%s(6@^*jncJcXoA6`wMNPdxuC&?N&25D~b0THucWSXNd;m=c z1e4CrD_=k(*~uDG-OjMgn}ea9c0^ZT&)G8;PAnx?0aZxNV0SfZrzP+mPRca8Vl?sy{F- zlk>Lwiv)u-Ed+IdrstxC~I1Fg^>9@I$|XC4lW#8XE34cdASm7(oxoC>%`QO$nq6P zC>o{l1wdZ$+8Nx?zbWNWeq#OJduIW9YW-$24?!%WE!n)-;WHvclSZfBj-Ld`vgx^? zg9^Z%r_SD4eSYHKAqN}0=%JzE^BsgfxCQzg&yW$^5?RMId{|kWHEisl$7r{k=&~rY zWkh%h#n*9fb=B5KDa2D}SvhYo%nHafcQ1DipXV*a4Q}bT+4K*)@`T1kf@f_5I};Jq zIcqs1Ss2cL*{wN$+g5|6`uX}{V)s{lqpdJxKwE>xEly_~;>2#WsaEsx8f-&l=PZ^>IAx8t9B;0(Hfe1q zWjkXwwF@B#u634dp}OI$|NW=vUvcCFE&3`7SSHyBIaS6#zmD(O#KFAaKODVIu%wH3 z1T*o!XjKoSW%>yOz3@0$k# zz+726#2Og*z8b{ffR|{DO*o(Uhn!>-oBy8w1El$PmImKYYCio0h4*)6>5!AdBo+Dn zqpd7E2{c&^qhS!ZeG?`vVP2Ihq%`}RC)49)H~BphhNQ@!>&av2dqU`C-^_KfxRt|0 zF;jj)zx)UA?;Q8(dOuQ6=4p^N3!r7p(Wrfhd5Vy3x<#|%uI35x==Kmo$6h+Rtk(;E z?>Nbx1-Tqb@9^!0r5lL9cq!g+essOxi>W)TdSKf0gCZ}W-};eoJ}U}mr<7md01ExI z|HTIPFXL|i=i>IioG(8c+pv36nb^%p)X8@UFyxaOc5LH#nW0|3i3_3i-{U||A_-=@zPVI&T1cLx zias!41!Suiv4d^uDi51>?YZ3x)9-upCGERDdi>pN^Lyu;`yTi2oBQv@t?!LFlWLDX zUAo?1+EHZth7WF@j?X<%YCK&VTQvcF{3ky+E)5Ky^=(TKC%?BrQ`)7CtvO?VZmx}O zSIYN>a-CHRl=`|-w#VovpG_NmX){i7nxCr9cAfN~$HLFNb(Ev9 zUYz&7i%xx~Y~`s$lFn^!Kz91f))340r#XsJ!l3af#=`18onsuop|J!hOtevf%{j=V z^RkE%P*oiSHEOMGY<=GI)EnQ7X?OhlG(;+CN$P_#frKYN2U78EY`>g6l{UVa=px$n z6xw|;zj-Ll3kT}-6Xe}hp2NpH1sac( zVXtc|(gudjS&9>|RuT)|{CDFprOGKw97=1bA0Y6r07U`DVQ_KRz++2MKJXRHhPtR( z_!{IF)OX{>h~e`d)%jcAr^RBvEUqt%uRk}Lgrwu3er!H9V?e zTnTsAXINDV@q9=c4Ye_fnrB$FPm7T|Vx&b~*ofs>^ohasB%`Y8bB)^l!d00AQ^lwj zj4u#*lQ|zZ`tWJQCQ6ok@OiLY5$~hwCl6C6^T-8hG zwH-kx63rtN{j1X%k(Kkb!jT~hvD|~9pTzcIlmBe7k|J|)hhMLJBx3;65=jtoNLDSZ z@=NaK2+2z?Yo|#VT0JozOI$}5i$ha)$GqmyYf%|W6$?UV>18P?Gh)}iU|b`SsOJa* zfL@Fy5(1+TKnG7AnVhJ{dQfE53XL_(Wu_D(PzWKW!xWTz!>D3&&9G@}Y8T_d=&uxx z^WnM;TnSYrB=3Az#x<4GDR9|XNep89tE2vg*V;ldHKf}|gA+!lDvvhOlFbqHmBBpE zH1)g$IJ$>u+u7hAt~tX@MnF&TdPL*<=B1r>^Fe`e?e!R}^zI>+Zw}g|1G?xksw-Yc z_G6XtioeljBe5?)pQTPZ@VkCxEU(_A{VA_J(_eH3Q;XNmJ(v-%d*Pz={%YeGhpd(q zPRpQch-Nmj(bJwHR;O*%5f+lFRYQmd=)OKq0rsFs?vY_3)-NqI>C7;sh(_kIG|{gz zBaP~;R)FwzQ$fD?;~o|IRlHYMX$B6yAgkE>^Z_JCAm97G^&5)?+0>JJ`>=CtkhzGS zTOjw2hR9_%6M6iIx!kRNdBFV^kQJ%Ty(;p;{b(f9Q$JM2+pV^)Fi5p*}gVq+ZU zH+XRsvsbz_vbo2nIHjS;-m@b0G$c|!L02{m)IJ1Ufwv0{?9;{gl3kq~+6ZJzx(Z_T zN<0T;iQF@gDiA&9+i;x17R=d5__cavG~BaC8Wfs#u`*7?3!{8=^!-w3Cv2IZPl(M` zH&IQ2pbiR;_>ffhCJve!Z6t4~ckSX;cOPp=Uc4{?XSgjG$CL2e#ptXpF9ukXCBrA5 zHm{IxwE-wN#r3Zg2M@>D{OS40vVNX#V5j4GnH#5xEJ0BZ;=~&!J$~R4KaqB&59CgP zW@}hhpf6~T@R*!gwCn}!unHDvogVgWB52Zb%R~)WZytLY~3fFCB z>!qCv8lNB`2k7f$l)li*uVYxn1llgcCAneLCfXfO6QPJDnUh7Hoq3Z? zzChDZ<+mGNZn6c+9OHX<5!85|BZ-X3<)zwRCgE2E@B{Q{mimND?r;QztDgQ3NtiT% z?pp#)N*7OB2WJ~4o6O>G`JdgD+f;y~=}#Tucdhw#24r1Tscwk#7Yx`RmJUj*cEb4~ z8E#4zd?uSJPFtYC}3`u8)B0IGSvn zM^jxYGj=&N7Zv^3?&jIp_uNv&^;B9>i8~QhVeY9Yp>0h;q=f_>)F&R;Pk4f<-JMI> z7HD}($JV;99~~8zy*16Ne_HMj*O69%>C>pyW*85Z0-vbOwO0a{^CH$Q7=gsTijZ?I zIf3Bl%9$>yaW*gPM_-X1eHGGSwCYsqV`$^jXJTke3dv^c^YHcqN-=me98_PaP+f)Z zF_@B0Y8L7>7J8KFmrOBnrudTPF~dMQ;oK6u19kC&emgdAuHwz+1#XWvbt_{P`Ac0=2V%a}A%o(T~M)p6-Fw#1fH7={p_V*qJNU42~=2PQlqhEQ7CAQDLF z6YJrC$a!_|_f^iRn~ceTF-5Nfh-Q6;Rg11(n6taG#3>)dWQ6+LdK~alFMiRIqG1~U`?io@gqM7}zF|@TuG)+i< zim=3so(m@!PD^T58*~fto89>&!%B|7&8ET0y>px1+!_lgDlU^mNuJh3U&QFlol9$^ z%dFX{Uji**Va7MGe%e8g^MpVYdW-boSpEp#@EU$uH1iu7TH}{#{VfY6$FN1ss&VBw ziD&T*T-!zR_J7|6SpNS;IkWsLDQ6~z|Jmzjh zv}v==w{*|zd4Ke@>7zHTHW{$Fy=C3hy}jkV$=1xjINnt8d|B-Ip5pz!%lrOx^>+4D zppV+_+0lhW6Ug5(?ncH+eba9I9~=p$*4{Ax-@jLh_|0Xq8BS!0I$X>W+2zK$M-rJ+ zLDF0}dVRF#-B0fg4am8Q3S?F}Q)9;Sy=n%b%^Z1hzGkl9Kaew=a_!j&vUA~xn}YOW zs~jI`H;Z0|O}F7>zDOWn5xGN1vkF-&r+a1*5%o^J;x~Qj!)=@Y8iq}|5->T?R7Z*$ z&-mxEaZ0jwwtKH}K<1rO_WlMxfuLIoq{Rvh9aSEbDOG=?eo+b!vemM--scnSxi(KS z=|~_0H7vbUvB;=NuYLuojMvA}+gbOr+C@A57PHGc^3VhPb@z8q-TL>!W&)`U`}kxh(Q4MPC)70Npe-4cWwWInPvoA4+o`RLONc;D#Gq{_94Q}_sz`!aky@k zAtZllnTnWY&@EC?yj~@iUNyMm+12kC@)$|Ot3x_5lY>V~D9umd><$k=H<}72IcpIH z&MyX15zh%9ON>w}`fGrdkTy)3!ZXbqEcmnQRYzA zxl*xb>yJgEuKtks2=UToJ!z}aJjnc}SZ z0cvMtJ>3Q?Yv$Iy)w2(0N@o#?f&dAav=<93(ZC)_KoCK(W)7+{>*fQ0ZH!~PAuHIb zf=-wp1`H>#r<@N56$KnKC@eQjKo(JNXa8eDj5iR=2@WNulGHVq+v_IyW{}CHgpZCa z8rrm0Dhtg5Q8Xg{A)EPFFud5zn=C=*JYqUfh)@hsfnqtxVAw4WsvOZfdmXTMF#Z~p zPOt1ih_NLRyjDF1rb}7CFer(HvfS{)(EMZqIiVy(F3+fP)XTP7)jC44X@2x zQ@#6gPLVY0bFBoUAHO@a1mD*Q@q=SxyEYWpqpJ)Mn;&`0MXIIn(fk!H^}1i1F@lRL z6(kznlNyS<+JGhbAUW}+N5T^D$wJGrsG=&aY8=cETJf!B%SiFLcd-D1G>A;W#qoFJda)tl4`9eX#gP1CxeSWcDn@>^@%u{*j(S)erW>@(4j0)OS6u|I* z;QD4Fy8hceJmUfFU7)sfVZdRM06RPEF+7VL=$L&(r6wr0#^__UejUEC=pvW*rNWt{IGXJ!_>7^(p+B>R^{Uc00=A&*NDO@^-*3apcV9l7U7U zf`H=EGOTYKCVC~Qvbt}+3^ox;PWw{ypq9Cqd7f$hDm+N5uBm*KM3WvcS0(-}8%@A2 zJV#ib=73Ylv_V}F|J%zqeY`|!le0NdtH{Puh2ydynpKIQNXtes;#YE;-TIhUvw^Sy zbGc$HWX|`;4tQ!kSO=+!aEi2iMl1-&+Yfe3`fyZjK~=W$fYO4N(BxVbyz=sdpe%@X z_dbs}zn3!F!vSQeH6_PV%P(^eY2?GasVPoyIe!Tgwx=fm%GiY2vfoPl z@=RsA6^C?dpf!?2&GOPLQMs_c*}QKb@@zy&;X&(-2p+PX6hI@UocIj=6-41>m9;1= z!o~&+0KIiz`zf^=SYL)H^eKXo;GGo@mWI9?E!MI>KxI$emi;9_(Iq+;icsm}{lZbA z$^QJ9=}uk{I~sUq2gltSU;QrXF)Q=VYwckc4n;7JorOq>$&i#Inv)e}F&@zF?rb3F z%+-*pXxa?l9z4BKeGOEJMZ+&iq0r|X4}-{pWHGFh`6POWzWl(xeeYjIH-Bc4@kl(m zA_9>#w!)zqkj}s%8jLUJ^i|g`SCryb4LPl_3X^4sI<9a?k@|*z2NmJ%Rd2&26;wU9 z1VrAMA6w_AaSvovyM1|7#;>`@gS1N_hZ=ss?iuIRT%|r?DFTeZ6UB!C0yp3QLdT^v}3uDYvZsp6|kbNfY6Z%`*R{fy&MG1G|!eUnC@-16DC5@h?R{ zq$1XXI(=Wrk^U~aj7b4JW>P>KX1bxurTlY!hK9xtWxs~7f#aKY6EhiXi@?L@fIdtj zAPi1BAu|(W9xpHHXyC=&R~?>Tt%$ljhE6$@0%X0)CR|-S%gFO~V6Js_b$Ei{C6R_s zAFSNjAc9CXti)VVj-FG}t*2Zq3k!b#_@?xGk+|~=n9T(vL*(j~ljg1{SrdOr=$&Z> z7vX(9LRK}jG9VstCE`qk0f)1awC-MDPrcE;mAQ!SpjJu?n~?QObWa>K8@$^}`Y`h8 z0Ka!|U+gfrufW0fwuUzq$-dNz(<}RndI);=8+a(iw&uUch5uIY_}^f^Vfk0$#Y_zU zxw-M5;s2dn$ol_%6Re@-xZi~8^BnaJj6cefZ%^t!rOKUP)qKj5XuU7}bLX=&)-YKn z&DrMG{`tsz43H$Q0dz22Z6<;MG6j2l2iTHP$u=p(x=n)5r!B*l`TI+Gn>_dLRSJE# z-ln%_*(0?A?3XP2M6!D;<2e)P z*g5C!9Y5zMPlxB{@knfh!Ej=@0mQ6BlU4L%$2YAv$4|}X%#y+`Tlu{0I$*9%t5M-< zcvEsrGt!Tr>c+Xk+X3O5BeGO-GV>uvYq-~o;V(eE1m>Y& zS<#sq?Ue8)E;SxT$%82JinqJHKK6;J1Xahb^j(u`QE)V zBu<-L{g!EM)cSlbU#5sw8BPHorN=F=N7ln}N$XkG*asry0VZIG4 zHN7DAgVLA*TMLkqpzrdPx(E2y(+aY%20R~ME5M-zgv^+GDz;1^E$iO33NTi*mL_r| z3B1m7JS`&zl_eQB7G!KZdxg77ydVH2;K+&QT`#gW=A0rntUxjc`<4$^F66;pOesVm z+U?w&kZhJ=c+O~%JL|$Y>zY{0fQ$+T#4R-(2|?K?#w1;qlg$C~KvA?+J$R&Onv~{w zv}H>v2#dMUEyRC1%rM0L!Af9ol!A|i!4G+roq@Rh3`CMarPQ?6&lFhlqy~vdGo%QF zz7{yefb{3qIar>PKhm(F&?90kV#C8Ydb47<`jNhGC8crzP%Kf1%&#h1+}FFWPgj6G z*?oJxvR1)i`88>>6M4POif9CBhZ#SO@3BdMc)qyzf$5)_CJk5 z-+Iq1*QqUv19LUFQeBB5`uuyIQwILd1G)+WGpiLl?IYcP!+X7i7R2P&J228^)KDe6 zMMZw)ih23KKp}`>)Vu;f%%BrgD)Kx6%z!E{mb@SX&ADGTTFCQnKaJ(HTChqe&Ds8W zvxDp|u? zjn#0Dz*cr!kO+0m&2W~lcAKKH_~wYCuKdlS7om743NO)BITKR4m@2Yqf&9RSIhn86 zS1jHo=*@he*hd{FX4G$huKUXw#tFeu!{ zSE)N;DU#rjBIg*#MH)P*83WAET-z)DK@g@BZwuXgNX?7%L&&>R+`k!f?F?D=J=hDf zZKh-saXa6?>pMR>5K0)Z=|J9fEDtEA9|<@Qvs=Z~K(D~!6t@148K03zXA9=jeAZUn$*a|~!c zOx`2DR)_I9d?wD7Zcbr1WX-dkxZ+X+of?`9Grs}6fxu*;I#H<%7O;wgUrRSo!s96e z%y7IUtBX*|&8b|?jxbks?+z}wVN0CXpnVL&hq58;x2#A)(-1G9=u?d4S4n+;i9wz4 zcxq$tqmqE&S-|VS7MG8Uz4NSev&8N;qQX5@il(_rDL(KLKRmNpHlJXjH^v>JS!?s6 zc3WMOL*Nj zFdtjRcs7#Wqs{^VSm$N)+JbYVr{M&AW?`oC)>6Sg`lzxpRXBHCmUPTF)y$h<{d3AY zub=K!+BXK_OqWHY!S%tS~?&vmT#fJ@itilC{9&>QM(rW`8%9djZ!=lvRSjo5z@;KnW^oc zN`k}|+ktI(3KS6e1>k&sq5wY}3CZe+jxx3>>hz52v6o{*5KefiD4499zkWq5I}bW4 zjM9j=;q_olNZT+E#QG-^_hJLO6uOOEK=Zep)HII7P8nHD;l8zJet@@!(o=v`8X&e8 z4i${1a?ei#$9P-4@bG+`z|MQG;5WQsABWd}krMyafZ)HoG?L|Ci3&0?{O8ihe}?}z zQXVjc(6D+n<#0wQP7aXduLv!`7|MYv)Z;^5Sgv6?YA3fsd zeVw1AWqE}ZAUTMxdsQg~b>A|GQOKB@?o5=DHQhAl^(Qh<5YtdF8FWi&3(b#qMkNb_ zlw$v2MqAJO!{2EuHww^W$5d3wC~PkzBEhdLc=P3^|8cRq`tQhecNh7=5&Ofb-uCw; zd7sUff~#c$>*gMR_pv{|)PMAQi~RI^tM)m1XW4y5@%cu7i7P0L(oxu~@reZoD*28R z!eBwb4%U<3*zOSp3r#G1(k*Lgjgv6ImIE2(ew z+=CT}nQ}vPssze)u~;{Q0k^YTy4i=LKglIJ<~(`>M^Xp;^>*(In`qn=Gn|Voe9+D9 zC@ezv@%AJ5R#20)RBm#^BJ<4Fs%ZgdW#*SgLbED63`TW&l{8Ssg5Y56OD99c zzvQ%g{}beH?r?(cZlsH*Pbwdlby70lC(-OVXfRj_R7N$!7wKJn~gPF$`n>|A4z zoF7fPy?9WSxrjtTLDa4Y*Bv>1p2pNz?Q*mJEX@mR6iR%P$qvM zo}~gcf$l7ZoJs__3I$cftKglQV}DU?aK0DXpc9)NiZiMCp%ke$Uu9^8?|OcjAVx8c zlL{BZL4wJ(tKIe^ZObZ@khMC0Uy4jp6^S%786l|L%9}A^WF*u2F%ZuSK&S_WqY2hd zPwy&<2FGJsplS{|A&ne2y0=^V?-}ktXXiUIm<(H4uALa5jI9YZf5##+h~g{}i_!+X zK@Y`DfFe4RPt*Ez_|V;)dxx_Es4Uu(fi;zrYDSQ_$si51g-B8Hkj;1?={pcG+?67f z%u_$CG*e%YTx9xUd!TBe;G))9A@P9Dn0tXE_=qh_9)}UPR3}r`RN~I}i}_+)PIX*R z43oC@xHkgdvBBde?>IW3Pczy@+Rf|=B0&s|s8j z@JE7cBW?E(Jmq$te$7-NObrW*R#NRUSjsZ%)S zn4+jZLd5wJivqSP0ibbUUV1U>Q4b?PCDP_uS?L`f-?h&gClQ4<-+_g16;^I48T#bV zfvp3|%=2@}+9bh~nyN8?7H{Hh>JunnQN|J?cS}=44=LnLY-fMd^C|)4S;s*H!Us6oAm@=O7s!Ibi z9Y1&c60pn8SM`W4d}LW(s`TEjK4}<8p3x}`Ha;?o)P@95#U~QZ9NfLCJi9-2Rlal) zwKi=TjZpC(a}CKCq#bO(P=XK2g1dVNA^ccqv4$-|PC7ha3q&7S(|TT-_pqf|DT3Dd z7*H0@xS+1?3vVi<#gX9O1DCqv&N)q3T15$(*CD^Z5$M1;E5$Zn&iruh6?;4@T-Itf z)yN&bYof#4RFD@5Y7IMt=l0el2HH?EtuPX8{zdzIm}FExO+e^LdUl_ggamfgUOFnN!woX^j>`NGgu6mICkS{ zRG3D0HiRG>CqQ56+%|t7v-^%wdHT0qYm`?>Uv@82Ag8XOOs{#v_m;Zoj9c`){d{dd z6C6mkxXN!drTf%+dEWfROQEn+^yPO5VJd&?_ytbRZV8dTDf0CJZTDhYRkts?=bOs# z5kSzjbeM?&WlEs?$7=sh2e`iFVQC1KeMVt*@Tj9gMP)+a`idsh;i3+y#gE&7@7$;_ zo-Q6+ad+(1u@h23SlIWEH7ki2Bxbkz)B>hC)$p= z0~Pga7H_t?Xp~~r?$j3Itm;*Y;u_FlNoht&y3>}EjIh^}-gtn)$Y7onvX^twY_{$u zf`(nPoN;#Gw3ah6o05^lQ6$6WBmSESSH#ouT_Q-1L#%URM1!`AXkVQe{MFMazYvDL zhRPHrQc{-uVDoTlCB2q6cRkt*x09oyeZ{<5i0zpBcD5ygodQ=Kz1|9`3lmw8`^Ty7 zPK~tWPH?9ME^+7FSzSW2XPTPi8yt*kSLeTovj2Ll@!wm2$nvkn8vk?s;XlLw8&Q^# z@gE%Wf5RFze#&UrP<(zqg!hR3F2&R(EvJBbsDss7uF_Jv$h!>uO&tRuCP7@H^K|YC zCoz~{82_{{zYtGxw$bIdKf4;aZtbW*+kCKEUyr_S-Plp7cCf$t`Z#%9fB&BOcA?W~ zv-W#kyLmb{G4-!reClxtxfwsCV#0moJhA}CMF2aXKwcr_HY1ZmO-FP^vfC zad%G62SmP`{edtJB?omx9tZv@`CHeQYV*33;$JM~6D791`R=L~W79jfQ4so_X65fx zspon<0c#lML*-sT|Mca%gj=tVjDrm(*870n08H+~`t^5Io*;X=LPF>kieRcRO4#`T zby`gHgC;)S*LUzaFyA_~6aP$&{z_6!buwrmd8y{xz0mnYemS|3SX(_rhbK7ix62AW zU1Xmpt;K4{s`bIp=hcx|RKyJX&gIlblOmX|sPpFYuQU4NMUy7^B*+`>NHq{2Ng+Ky zN7qeUjt^8WtLSmn!0!G@lWfQ+z`RcnN{jhcXpxE~9h3%(Uhet?RqW^TBA~MS3Hfkz zkDl{MDHv?u&BY!E*xB7xQ^6UR^Bj#gTs)n<`;Uu`wS`mjRhD$+3NNJ3OMw5&+P<*Aa$4brK^0j@g0g8f>h zL2fAJEHY4({nJFoXYd3^;X!)m{Q35wXK0D5=RQ+yhP33_#}?I@MI33(}}R4JA;x=-R9#yO3hg+pdV4 zxJDwQt4X#XepZTkhaxb@Y8p-0Yg!LU;jHyH^`3v`&utHs2>oAy)@x)?e3lSc^cKI& znP|sm)Ge?K1^Y-Lg+Qmutd_X(mL-BWqyVblTABH@LHdT$>xq{tt0Lu4`aYc%gmm1c zfLlO2RG?KhvwD_$L>N3C;c_mFA1q|X=|kg-r`d6k;0W=`InyO~+P}$oKF#F+F5F{8 zu7YqV(L$LH(;!iiFlYKb1&$*Qe!j%%$S}wgXvbl{9r{FaEb1snIB_KbQQaYWOdgd| zuoNd%@}Oj_1|bET_7LR>GIfKPhoBti**k0D!OJjNgP6lDTe4{kZu&wQ% zz_^hliL+7g@(3k_&nSq6#z?#35IVsv)1)H<5wy|VGVDow#dP-buamFvop`A^s;yWW zF!$>0qGrf>z^cTwQ!j5p>s)ZfX*DxkDkyW#7X&TycEaNIS4^#Phq*+TOt#$=@F%!K~K$v2eV!4VcMN69Ugo8^sM=8cXk%hpp z)9_=YuR5WLnf4Ip2{XYyjKD}-iI{eY55JHr1s;$F5-%ZAiFjl}(3Q`Gs+oIVM2+n; z9U!U+l4Ga(jfWlJX&ds)iD4Ju-L9;Yl>p0^$T9E%#MaE_8KHqLie!ixU2)tR+s+}Q z`w8)P_E;FMd%H5|bE2_m(mTC@0OvzZZp zgiWpT3@H#o4JqZYQD3a7>}B7H#dYTI>4)7@O)q-+-lA=dg&fp}dS+SeJ2Ae3K#&+u ze=Kk7WnJc=(Di6Ef|=6+3-X{7gZWq?qiT`JjR^|gAMv{w77La$$9Lm3Xo z(%xU3Gvo^F)8SIn-V9bFVhig9MPy0WxC1u~Cy_0^!~QNSvbMn*M9}IC*~1J6o^QUEm$CaFp!!=CSaCwl7oK~+ zfkN7PTmCVuWo&WFljzW?Lu?#p4oKrG(v@n6a}&Jk6>;i*bXMk)Vz2BB8y|*2^G5jr zQ>l$`V=z@_9w-fTkL(K27KikxH*0vv57laW3Lpo)myk!|IvyMXx2vL&Kd zY|WW(d5|t`aS!{{oo( z+tf<`C%=h*p;n?7SN?Cd!)E>WDwqCimf+u=|6jm2X2!p5wEr2tX*jNbr&p^be-7{f zQ981a$0S8kYBVd4ku`0x>i8(!0r(??>mV3INN5}`mwKAoDbD$2wj@m;9i5$CoqoQ~ zEI!oJUN?4<+HULWv{z4K0>hDKBYgU7a5$bb9&FI2R{d9*=RS1Gc#2E;N zYfu2Q#sD>G-61No_W>53<6*T5XwPGM%l1tAtj&Yw+(A0jE<)%FDSzTrN{XzKn?*+W?XV|vxP3VP?xrD7%({45 z{_T=iixa6dUU16Tr!I6z4bHN!LSFg=EQ?AWj+A8YKto60 z?IUbyrXdM*-+PZFn;1lqqkxF)W@*5-5C)xTCLfOIz10)Q(b_;I&MseM21S1xyKWM9&0KK+ zLd`%?OfbgLGp%AB#wBnO9?ET)$~Ss(tD&h#TQ0qF!UGsS^obJJu2q95waUz3rv;Gj zqS>2bZU@i3(Q^s2s^z<8B4Nm0-7Gp9jJ*-MHma!VN$|w&)z>vh9-Wq0qRbj=aS>GC zzL5_g7vHO7G^9MKtEJklb+}Q@W59xxsMTdy<{l7n2ie742a}AIj4KScqvki>9n8tc zMrv)+?r91AY_p}VGXO)k&z;f5kQnvY3K=8)1puPjhmB-u`t*ow7w>?)7M(bc93sM! z{$MVc`;w-Jqy7TG~r1?(`dXkl$8K$`8&42M+}EXOC5 zI6na90}BmS*944Vr*I?Ce>0*zn58t|yIRyVIdf@i_eeu#NV@H*- zaWubGnLn3lX)#uImUDbGk3OkH@zM^OJE1v8t^kkvy0B(S-an{5s`bd~rYoqKm~CgK zyNVU^(~eCYiW7XAWC?ttWPAPqLjnM}k8PsDDz`mMX^(X&HS)T1m#)rKZ^Z^^-xMfS)R2V}oZh&lF`rT_9 zh*u49XEkUKY8#6<_n?n0uvB+|96AaXvvV`8xC3amiOm8XgjV2g08w^O;D_qYRkkSY zidBPrR>QC{ToZ9v2(4`JW6Gwp5k#eaoy1gt2eep)nct7eeva;LBxQee-Gs)KYQhIj z($nL+jeA|m-qDx?gILTVL|j@^w)&Qcz73#-5L6}AN5ZfLRKstQ}Q!^#kTpQEK zI63ybhqC!8HcuMFDF*8}e^Hig(m%nFDv?a-x_if-XtS*`^(DD+RX381>2KE*-SCpx z5NyfzmIaM%xO7>Z3n#P9kETF0p0T5z*A$=BOvsEk4_L(JsD&(IgV}?i`nASQkLQ?bt8?^RS)rRy5odASF=DZ5QXo^O08Z= zbAyc$N79C6B)YSL#0uLwU6J2#S|@2+3w|F!%Ryy0*c1(qz>-ZCzwK>!=?VEE>U@~C zN5m~(W63NQk~~NKHo?NgK#d`TjGgZ_#(vIbAl~(`-s>gU!g}IVKby2h6b5nc7f!p| z=k0Pj55=L!f&vs@#^Vy8cz9qqA{Ll5&yW#)5Ch=E21LOC%vI{_(|?2{{bV}Uz1y%O zXv>q4kD}Q&gnJrhs|uG24A<5F7DTR;zIk8wi41;90IamwF;)%@$Piu{YAvb&6oqBe^ zu&va6N3`Uimj`Ey^H8E&(C?S#m2{dn?&Wi10-BioJLqJV@)ZAhBz!u^@lJ5?^-Rl7 znW+$%cnGRFO65W4j-!bEQZ+9Z!hNS`^hq=e_*;6hiM2I%Mt4kN!ic zPy}nE_X~)(n^m#|B(E?0Es?-3{!NwA>u&|43>|bi%k$=P@XlL+f~)A7>6A787PgGl zN1mLB2fVjQ^gU(Arn}k{8DU?wX+MtlJg6){dOnrD5BOuRB_scBx62#Z>c^nYDkD*Y z(|Zz6N+3Yp1o_j&Pz{_asHf z_K3Yk$_u+~KF9qVU2FJ1;4j}5ydD-eD+ltk`CTISJ~lsGp58V;=4(1Um+92JJ=Lw> zYJ2FqUml%rr#>#HHnDYE_da(YL(o~@1NB%dgQ;LXn}$A)9!u!HvjA(?GoKD)JEW3z zSdkCAGfo?-jor&K98{-TDLl3H`lk)uu;7v(u-Q>tOc-lTn>QYBMxGCGZ7?dfBj0?t zx4gis-2PtdUY<;&z*4a z1y#{xzN7=qLU^A18Exc@+(UM@#`Kbo?lhI&oO+z~^v#LvKg)pDncRuopF>%*C~-4L z)@P-E+`OEs)kFC>kzWGhwPUVKZwlT^fmHS@2etNk-g`XaZ($#Dk^ZKX-YrGOAggPczqIJ>q{bgh56~m+7~x@rfENHGN|y) zZy`5_6ej~&HV;c-IrX-XzsPh@vq!LiBm>F=ZiLbM!32S8<-awQ?EAS3i8#-%=j3=N z=P~o+B%Qaji^sy$a6E|TRVtFT*}x;oEbvzc?Al<*GBSs;f9nF z?@w-+=Pa6TqGCVJF8baPj*p%D4Wb_Xx1g zy;^)5m|V*xFcePP8zQXz$!<3zd~=vLQuXj%?Da$dsm@o=eLXspVHLJ7>Zapz4F0SY z%ahKU4ORm9MOSQ&F9#R)*?M_k2^xgo;{dzC=4_N^hMEFjrfTQcSc60@j@g_TO4s&r z)~@E{q8tPIf+HM5WtXjud|MxVOn4IXms`tl!z=wY&{EEyi-1S==?#!a1WkGh<(D89 zw>j|Z3;k3d^%g&r!~h`IwD1GweXqE$79{czHQv1mw#*new6#DRET8e(OC0PdM_j-h z*pXtnu{~b31bgi4gd^_AQEklo6w09?DB+j++tR{LB^YX!k?nh6MSs%tF`%+K9IET{ zA!H2zSkw5R$>q3CG^Aul^_#axq~)iHn@e&_7F^3<$Q!ws?cQDEsR_M4=tl*q=Np8Y zk|5fw?lOyA*n1Bs%ryildKpV_&H6)2U@d?z_8BQSzS~_)#EQNC%$A#vsaPLCN%uh% z0K@GskjfroU;%9{p~~R~E_1F5L8XO8hOyuvC~_h`X{E`2l2DrxM8+{k$qrx+Oe6HB z$XCgbn$dvLod6BI+|(cLXpSKFSxUns>ko76>N`d=Km{Ff@WEnJ!AIeH$;OxVpR}v|D)x#)wb+3s2F0MC2PN05M@0qp`(2r?h6T3I0ZAMa^G%KL739yZVohINK zYN?+5&9f*X(QGIpvc$K2lj#1D-wcri+5Hkw$%IlGZ7Aw=W=44n@yS5E@l(TuEkt~Q zo*8E6u?iJaMuf~Dv0-qxX|hX!$Ph`pp0iYy?Zp1NL9uO2P#?U0}5%Yt-jlBSPXm6=Twqpeh^JmPuF;wn1rVZSmZ4(|E>- zCXePKU)mLLhsK2r%&nAs0i~PoKjWdmRx8Wb7D`fTas^bs=R2UJ0(!teblfHjQ0eCE z!JrUWbrqRM@`opIks72itX#{FCqkUpoFHo61Hk7Z<4kuE%~n>#)U=5`AJ2KMUbtN* zstBS|3UcqO$i*MC(jACI{7mMZc24@vb8q)53eG^9@l6eYb}2)f~@h@+kYR$)Dkh)JNgE;%J+q4tx@eB zU|WsCLTol=GY=vMk9ZKI+16ml@b{OMO&Kp+L5<4Muy3!&SGa(rltW?8^Ohonq=iFWLay1j}idTx`)-J;_ z4N#g2oqifN9#ClqG=xp_XH0xYLR5NPLZZJ%FzxmMq!=%HC3<4Ak>Qvln>cAGKv0hT%$>j^k1@z$u=8UkTkEXM%M9k|2N56DlsDg}KU&Yu zC+j8x?wWJvg{@OJYz;Qqv-I=S2$Kqo+%QQ#t3Sn1g~QQYplP-(akLxZ#0%S;dKSG1 z$-2F9MtkEpSfL%l#}#NN+iF~*J|pU_rk|(uWyBk&UG{w_8UGM^04Am~dA~)?9}9I3 zkuV8FAHNM+3yOG>Tv%=kn1D;@`>gMc^35=b*NJET7#;pCozhL>?YSL*7t)#y<3f20 z&@DTEG>(~LmH~KGjm07}2U#{4R+b5Rq4uLSpiJnY3rZN*m6eN|9=KnUFr7zP|cZg&7mOkyu|WJIXO*%k&T<$@t;faAeUa_ZYfft%N2djbisp z)?0R$?wt68tS^kuJ^|Yo{b$?5=?3FrnhjHG2D5XEW1iwy2D)ud4K1R{?=AXjXeYCc zVc#X;hBg{2nXV-0+&<;oN1oW>_2zW#KdA=PY`jawkTas}Fu>vKTR6Xh?L zm~0FaEI{>COQ_Kk-+yJ?zffB%+ z27fDN;zmEl{sjvEcgvH$QMkIip{0qD6Aur)tcjBW3o9$ZU$6hjL;U})O#JVaHT~Dr zMApAL|G&WTOdS8KtVvVWc3lFg3;V|RgqRbf={0jRvJU{$%q6Z5EK~GXnlKZ%n4vM9 zl_v2xQ%vGGlZcu%S-#W!_~EF`~>@(@LQ8E{)#+5?KTeF!)yC$o&3b& z=GFA!nZYs*|H686CH$q%)1T>!)E2di)WyT0yXyqd=2s7Q!gN318(F)2n97?Lv&!`e z=)UIX{g#PH`5}JSV#*AM{0Q&1I#HdoJ%#oHT685;T)gdmwI%-h&^dVWt&}-*D;+v7 z`{lk`OODO~+yxq zf$Bkfsl$e@%(jJ7hjcUA35!0144VVWoASN zr`D_}R>roK?3w#3S^O9Rc^2>RD-8 zytZ*HM2!gu<>s?oi+*x(-&w_Hs?jY(&JC|f=0 zyLm@$Bu^1Y9+l3e2sdTga;GOrfnOblXXXp&q~@2wYgjQcqjF${*>yM3lNkr7OVT+9 zw2J+_mI}|Z-R1Y{xb_Q;aM4MHMh+|)tC2D-c1Z}e8|E8jSvX0*=QGrA2|cHwOAp3c zkVi;P35yG8f!tY_WDdoQ<%tlm)H$}q{kO_Ssjh%!H~+>jf+^>C zTH_2E7TBk28uG;S5>e+pfy64@HGk#L04>Mu18 zC+Z0kXE6M6KizK)MoC24*~^JtJd2S5?i?a6EGgRqtHpSR14|6f{0zgil>+nKn8=7~y0EjIUyy zcm~0!(Us1`R}J<6izBGtjl9cj&*1J{cvg(aXWpG-=TmJqqn4L8!N5ZXC{NiGLyC$I}lLkvn2s_)uON_C>_>_T5 z?!Z**?BoD+&yGuQP$hDatWs|yie?z(`h{~H5w$;Ij<^lHvSz=!?nH8R;USG zL_%gbteFK|tfe#~G?PG<=gw_`2j+euM_|+fkW7=phI74XhS@*6aA6e{^x`s|XL2Gf zPhj@zIL7ptAb#p-U2|4>MZaE9p1_m9O$R{BbtWD*nWh6`vs^P4{_b~O=9PSQ{}QGD zI~PwT&PZ3wDyVq{G#K^{vsFnO9Y_{kQ8!+xn-p>V_g};HdN_!kh7tr6y2NA?2&c(qe;51i%qIT2--VkXheCwnVEaiIn8z;tU@0Idvb zuQiGCz6ctMLU|a=;*pr@q-FrovJr7CM#}=O?Ex3TKa|AasKL4TI_#}La6!h#Js3xi zu@N}*F~pdd!CgS~_Z_C2LJ|H{zUF`>>u|4l^4S&ZdH%N&uCWRHK)!&H737gBej-gl z(xXZoZG%)cWU1PVt-dEb43YZlNFzA;tv$iK`G}EmYDPJDdyrGnvT9Q{gY!*_D%!y) zcMOXRA^15@NBpL#ML$c~wZ|M?1l6Rk+p3gCQ`mRh%=s7Q@peYoCre=Y+()pDjVPkl zaj#Yjha*Rr6*C&$Y9N@T3cB%VVi587_2m237ckCv#cUxgS}KFz^^VALd^(SC?nDeV zeOm63txwLUAP*)sp{%?%zU)^c!DGk(Jt$abY58brHC5uny=RG5@H(ao_+dx^yiLn5 zo*X5G_ODYLeXINxLTwBVDc>4l&c?W^`~(B#Qm3wsZE)xF;@+zuMF}DoK+37Ig86xe zPF}C;2?a$7BYRBH^YNRpr;6p#$JS+g9*{zfA@`1npe1|G4fiXhA&)x3M{3V)?P*Yx z1w-8t_BlQ;i_R`=uy|O9-^nZkT%P%|V`<0W_+IQWj6((xA3R;)@0nrEX#!=Q;-dEj z;DsyvOm?C&#pQ)N`xV`QUie~w@WEgaoE3dT5jjwnV4=3bIcUhtkW2_CX`!h&j8C1K z^FuuVLIxX_H+CkXoMWM^6J3v^j^hZbRNJlYH1`}QG3AL!y9HA9kG2UB9H9dpZZDHH z(u{G_7@GRnOH2)ZdQSL$PqE3DR z(Mg14{R`~*zlomy2XdrWb~bc!w=fiH?DpfSHw!fq_$pfL_+X$-&~U z&*)g#nOXnhf-(?%AHmA@m%@qR`v5TuD<=~NdNC^lCle79BU@t=dKnWNGbeKb7M8zV zkN#&_HaUq~9+(j!$W!_WKLrjdW%EHxNCFZn-rNzlK!^wl2n*_y?|gp;Z*aHZTyEQK zWWOGgH`3(UYI4yf75IW5OSsM&hN0819xWJzqhJEaHu_e>wjdSI~R9b36+b9&tPee-?Rpp^bNoeDQGj*o7ey=E% zl>KCsA5V%exXRL?*BMfDrG#IIQ!c-CV=g|+%pAaTF-hM!cfwgV zgY0RFS7?|*|~1MAf7!X3P99naEZoc<8PZ*MQ*A$^vXPMWhAd_QRh|qVbk3(9_ z!cL>RL&VC*c15j+KP43SwPlIi&K%NN;n#*h#@=C_O`F)KD6f_jil3S*Vf0TpneItx zH}|a7^aMVda?+eg#c)i|idi@nn#UCh*(AQ`*|1MtfodMl6yE~r0Xzo11daE=%}a^0 zcmib+IoZGG^f>yO2Inai;mX{}5+V1JhNci`Mn~vxrVPabpM0KB4o^-nMZy_@NFzG? zXNIFxt#=d@H=VKKEt%g?-H>`D4XY6NVwpnkw6FPUr#GFvtWAoIS5mmEe>Ep}md~1-=gt$uc_^ zRn&LGxkh&X-Eec|o}`2xWP}>-q=cU1Iq*GI1-|P%ndhDhY4oD)Gdp-JR~e7?NXY#< zZ+W@a96P|BQu64V-j+W673#rG&7TPU+t@9sffX@SjfFtpCj6``6QviG}&^Y5YI$OpBV*wg+r~hiJ|y zLX}wDk}3(t=`)R80FT+Yj6~w_aE@*^Xklnv{yMmQ-L^%QEEjE(b-_mutf!2i`hi;6 z?J=Wv{Uq$DRvmiKab2?oFWj`XlzDJ;=JE7AviLAz6uz3h7>+lRN&pDPZf%3UD_AqcLnzOMV+oP?!QS{|CDWYjcopRNfEK?a( zD*U5Jwz+`j((V%vFY&V&pUE1X&se2&j7#g(X}OQyq!iy?F!v)~`|G>XV5UFRFo1T! zkbzu$bg@yCJ1_sR+>YHW*=3=SH@zD0`ud6`$m} z%|otyy4eU%e!#(=suo&C(&Q@B@9XQ4*`8?Cd8?s$9A5;5OmCUqqKW$fxXHG2?7Ba) z(btb0)AQq>?ZDDY7W1Y_C9HC&<&56H?9)61gj<4g%*MHpBD+bK4nXtBe*6Y)lWbva z-Qz3lzo<&3Sxk4*sx?q?PIriH37N$?@Mi^Wt^GA=qn~lOGe=N|%}#OaCv?vtCB#&h z2D5LVAew}1Fn_OyqlfkAHd)5>R%<`O^$R=pQVd!O&-RduW`K=RbU0v z-|buKyqNAeyI#%FiVc|-nQ*KhCMAl@`~>;9G`TqjeQeb8mc5wC({jmjm9P00>zK)} zJ#(=Kek&44=T^C){GM6oStQ3UB;+7+Nro@75^`96dX!p&bf7O zo@578j7P-j(#@3?O?-c@Dh7M3!dg1z(O?6*r&EpCqDfhfu#B9ppdgum0-=kOa`ukP z!T@o5w*~I$a12p)ggIM-7O-wm-6R&S6;8Nxv`_%sLa$i7`Ak=y4-WaBG%V1q!hwRj z90BAfEeK0u+SH{TjD9`;S$G#0UBE=;LE2%=0ixk4qma1y(h6(6Nif1g5u*s@7z*jh zpSZ6zh}vT1!H5}0a^|KD^6Y=$gH(V^+{~8@TM*QRXhin`jWSN=%qVG0P^vM}({?>c zKJwxx_Wv{|q#`LsD>~MQEj7LT5?t*FWL>8So<3BxfnMN^>-J(12YV#VSc z4jjC&9e<;W@=Ub7PV76d>}7cxYMC_BFHfwvw&n|B$~fWIH*K{az7W+zh>R!Lo+aov zk;8a;fvenG0MWe(IEg=aKx-i2GtJXY6T&P7l3?zEnVnoD%%C$&9n-P!cr)CRN)>*P z_l%@STh_!?6R^ZMr5+Uvm=hIF(g(F1Ppq+qMds{T1h#An;$edhsbD9E=(IG zr7XEK|B;^_AybhK9n>5tUR@Bha&?J@Mt}uZrlgMVPNLIofvm0H!-EE?R9*o(ZCBiq zu4Mk>IUW)^I4~CSe7CC}mn{E8r`8pIM<|vy2>1B94v1x8hd22NdE^swXEUy7#rok_a)Vm053_$$QM06l-KV$e zn}Wn3LL0aW_4abCy!+1GWq29E(A8a=%g1?NLw^&GwZLe9(Hn z+c|CgNeMDPZ0(e>hgUGb}lm3Nu0i86L5MLdgsQz7+O&|Qt; z35e=2l;bkav*>~%5j+bG)TQ=Noj8vLTvC#Yfb8l+<#l10BpsQht_!~?%AU$R87U@e zgaXRWDE22un;Ea)A=2U6SI9)ZLdwC3N-qZkIJp^h%)R=I2`p61n}k2QvzvsOPHX^U zYXNtYVUt`R@M>4jY^=o6n6l6(WODDAKBA(zudaC7bZpk_vfK7He1C7XX(Or0i-$^< zQ1)DjcaMu6BduyU@e8X7m6~3nWZ6C05pAz%lmykyRbQQWrQmu6ye63 z4@$`!V>723XWW?pK$%K{&Sp?G*Z0R7+C>n0Uf<+yMmN~7#A-@w3BWC>=X+;+R z!klQu&<8el-g|#XQ}qE zN%``)Uinn2?A>CKGB&mN@VPr(d0q=YwfMI)jB>|y_Z!{I&A`aPz+dQh+g*utxpD$J z=imnZ${__hIqCUl!a456%PBMa*sGg+Jo(S|YADyY`NJXE*K^^LIWn!V`#4%BO*1B5 z6VWwOXu3JL4}oE|*+^m=SpOm+*0R9cz#>z&eH6Y#COX&>p@UtaFF#C2vGD9C7_ z(;6Bv8l93~68!A)vaV|+Qw^eoU!!DBuPr8YX*r$Q#ys@9wxnNrbf?o3KvdP~H6=hX z5S@3LiuIj0ddTiJXwGik43qRZ<>9{9+^)At zvj5N+`Qf$Z%uas02@Z&)|HYis_WqV-Oix4}jOg)^jV;=q9QSy=-wP+`eH30TNv=6X z`uhIn*-n8}qfw)OZDFcH8f?9;j%ulms@_YZdN!&W8br^nMX}nANc4~v^cAhy0&u`)>3(e2zt2j>U`pl z9f^{jNH8)D)wAeG{Kyv-y>^lv4mL|L8{e;`w5_1iZJ1kKsQ?z~+APQ$w{HX_JVPb| zpc(In60st{Qh&g`{1gVmVXFl5A-v;W5zfpkR85#G`ZfdtRw`pmY+jFY+(3yFA3TVM z!jba413@L(2O(U$cLcRQtHPNCLGlmuV^lCuDru307THBwx>hhTdl@TEIj{t5UXbZP zEzw`AcYZ_drhv*>TBp^)yklk<0_=*3B6fllD=LkMsK^qu@E)WHU4LZcI$oFx=WUj2x)Tl#yQkZ+eFq53iEtZx(?bRykWd99vF-iv^j5jOr!(bal8Vla7V+F?Q&J5c~5$KdNB;e^VU@P!kDA z@U{xi2R50A(H#5@h;X19PuFyiOeUDhCYlIclHFUsJAk-bI&|L@TV|acbsiE6fsZW z@vQP3HA`fGbQiP*kr;Py^~;kGV&YL%JyCyHIBt)k53l6ZW1*iNq6$I`LBFt#IU~lD zl4zFsCJbmr>S1*Jy*Fm6!BFYq|Fo238YIxBmJ#+rk@^Docs`^3_z_obyHyTF(`Y}*xJ}5=x+J09iV&A^FCAC#(y)&tI*Je9Y;JlsC z4f?#jdUu<57|;W1?Gg_ys?G}4nP5sc|FY1Fu$1QhX^D?e`U#o~QWI^;U2{Nz&RWdm zw`C)0ysj-k4z9&jYu+7}R{mRFoual<|IV!+xs|%FB8^gm;bPE1cIM7niiq$)^Z+IM zI<&X7jTVu#^HnOD4k21baHbHQwHfsW0~WNYeu<7^ExhXapO>V%&-b zf~5$c2LE(>8v(7*^rw|n`%VITjT;{@sN72eI6T({KjdQl{i4Fw3IO$I5lTVcbBdY@ zC@6^iL?@vWh|4x3!g3Ozl*VttuNU4H^tUHLhK@?XmAPMG5r3OP!CgDeQQ5oYL~zCS zesqDo!P@LxLxB2KuYdiy`!Pimb$i$WCT{IQl&MD*G!+Vf2}DQF#Xx(GCkg@Jpd;^Z zD8b-;PJe5*K)u)_xKt5wy;x3Dl$y4D`wDS~1MF9rm4uu2xbAr9T~0CnSE?5ZVP_ZW zs_5a~o9IB^t!6W?;QC{<0gz_jJnD4__KCvmDCA2%(vYECEp@0wX6CHR9W~jPxpEVD zKWL-j5lcIEtiXOD6Z}NKqA^cy*yEe}54biah500Nw z8&eHzNl3FvnJXC5Bh)6P^s4oSy;$r^dJ<+``wU+=MmSrq={fxPn<`6;66tPwEBjr{ zeW`X6atcBYLt19}3Iy^sH}yX{Ekf4vsJs(eT>1~Nv;W+FKPk&T02TP1zJ-T7WtO$Z zBmOwXlYxc%m(mOz%K8x!s7ECacn~ALk`D>*aJZaQsul-{u6!f^ZwV6$msW z4nR7R9!IXAE`Hm1ngGQVTjW|nD)_vs;HPEKfsB_`M5|HlYRH$lJZ=1Q(oVp7sQMD!72aX10{}H>9_ew2awp#$l)0si;lhL}zg&yi*C23!iBUjh zP9uSWv@9=@_iYGMScxL}c)v<5LvF`$94x~XY93X?Mb4+>rf9zpI$RD^Dbr3G(S z=PTQtN75mcN5yMEH~qViSb3)#et*la{eJIQ?Hk zTK?|*A6Mi51x~YZ{IA|L-ytpQqlmujJKrHK2ZRMri5s*)sFHMQ2Qxc0(UG2E*ZwKy z;bk(E1xX7Z=iJQFQY6;bO0lB)w??UrjemH1rlvYR@;xZfLQgK&GhIW4>(x^yzL(=K zU7n7oF6V1HU%J#)o$bq-AH49ozFvgyKObMuj?nQ|Pabz)BgDV;&B)T}3W_mm$eVn+ zdiCbP{JtICuUKEr(kj|y%!t>C=`oQa!=WURmBz!O+WX&LF=A87juKIs&uMnZ;*rLt zd)Bb28(mwwL1Zt??H>=XXT)`TD~QA{AZ8XhPpdCj+e3Qe*CyWT;)f0Wu$!dBT${@Y z;VQA+F-X51o}2Ez4pV%-DQk0Fi!Pmgc>Zd96ISC5yJi85iQ{T~Gf|~$QOlguA7E$+ z-#&{mrglbclf>4}6>i2!5}2`%hYySR{G!f3<%a>jE6Ih*MnprhRCf?6wB~yQ-+41% zt=$oPKA#Ap>^+6DzMnUz$6=fAU1e&D+DnbT-jZN0G{iMZCAz;%Oq8fKwT7SGR9&Cs z8_w|c7tU$lSxDbS%yULc)RPq#*=Ni)ZkAh5myT0P8+zUq%%>qDmRm}7SMb0A&jDXr zqAX?#J^0n^D)}bOvi3V%|D^j-Cpuo@ofcT2br$v6B)m$1w4TIvh4M>ZpGpGVh60x1Hg>e=xP!uFKO*9V3Ot!NBJJSdL)KKgP=+op7R4JbDDmuZ%JOJ%+rjYhr_UjhxC$?4UnpQ+;F zC~+jaR$853k8eu}gYZOoA5n^~Zh*hKU8bo=Z=|nlt=Jy2D8bN$xob8>cHOmLwY-f{ zrO{lQfHwhZA#omTBFX4hY$&nSuazBi9=fkUmQgCUvM3|vzGY+DtIQnAXSO@bdz^Af zt@t%FY(Zp1_vv=0jiT_2Enhw&V3rScEB(mJH@-jOFuex4N+T^Ifg3D8Q7BMidT-y3&2~2W5tM|uXWuHP;fqk-42h%gN&rQy^K9Xx zOP=$OcoPZi%KlvI)pgXa%I3K#H&5v7AlFF@<#%!DWvCrhX+1C^zpvfwfD zTFJO>Z#xWE?x@o^c!`)dr%L|pKn3*E7{QB5&V31aK zP&%Nviic44ZKpzNg7_*S#X?aluDt4bcuLBdu*vC3&cF1`A2}sTS6H-`E$j#x^aV$C zvba})N?grxip84A&*GvBoz5b!%gtX(=s2*!U zGmu>V-+$27LXvrVTd+kDbkeRo!D}2v)1G*YXh&t|L1m|pHDXP{o3Nj{nEs@b@NDkx z+a&4RulwKf33g_{cOK(Yz|R^qxgtD5yj1QO?j1IR$tJ}^*B!tiSJ>m$9HjLRA0@T=YdhfP7^0RA<*d74bQ)08tZih|SnU)UK#bSoBWIG>W z@gjV~fRFL?RKl%cE*84Rv&z%LZN*%KfYY(BIw~aydhu|(x&b3M4(xhv&GM)rKmnZA zxly^T0m)PW#0(N<9t9Bk zVoOwifv`x6KhYk@wT=l-jxv9EMI5#U*~OXIMvPMJEg;F>XB2F8Rv)l~0MlNLJ_0Pr zqMfD4RQe2BW3$3clDcrUPrLyH9lgc5GtkpyHwjX0N}Qnj@oht1p)5W_YEAD5zLEzt zlbF~&CQi|fS@3*GZH6S)5t2=tcP7ja%;AuXvLHQC{_a@A2doO+{AwkLn(B<#9v02~zcs`X|i^pn0G2;c4m z-LbW4S>f)6P_it&si$YMzmKhy?gr?`fNWPigcXbg-}&Or=tzf5YsWIq{GikCKXyj& zp!Ln(3Fv;7FG0F^lSJ5YxqjjY$XFiX7<~dr$d;WvC+oEDKK@~)&Ar-YcHWfM?N(Ph zBpcxSz8P4wd&k^SmS#|2!i#R=&2 z#m0dj3#gNLk*98xE4+9RDq{aX)V))5XYIP}8{4*RyJDLa+eyV0oB!Ch%}Oe^ZKGn_ zPIl(E_C9;f+0HzBU3|N>v#v)Q&&9YI{e9lv`|nN}0UwP`IWYLGU7^aYN|v>`UHHa# zm-D6m-$?rZ9KHBEB>n%L7&*s(Si=4$lh%L4!Tf;UqNyFT z+mGTyX!#LHXwz`)puYhDrscv71-A1m&`rbbiefTy$}ICoCLCN>cKL}d<@xyP0diF0 za#rX3enm~WhppZP;zX+!LHv|Jm%BTc>e#DCQhP_s#>Gc-i}&gRr+}KPoHl;C&FcW> zhEDjrKw|EXXlO-g6~ywy-sOiw_V0MzmER?2)>V(llMKxyF*G@!z2dD zhB<83_cU3=G^vaqJz}dnPx$Q=8!E2Dl^IX54jB|zmz<~D{0I77s%22 zA6y-X9jNU3d@jsGn-|ZQVxP*jKJUM(CLe#)DrnF<_1qaa$6vSmO(}n<6CCflgC{M@ zrig$~aXbyPsQg;*9}~^irV#igc$jI)%Xi532uc*R&yC5W*)1|+8h513I)GXMHs=1T zrl!W{mCW*6c4hE8klA-uYt#XHR56fh3^s@Nk^6Y5O%vp3(_Zwkg?f$e$w|G&*h7!5+sBx~>{A#l6W4+ME6Py{&|Zl=-horv zK<}UdjUh@&w`f;c4hYjrKxS^aguE|Gg$vBIN0d!)1^wN;;}*&2vQnJ5I=5g?CFtQ4 z7bhH*B?UIEA>(s0 zvP;!(#aF+At|u_~TUX0`dCCTF-y8fl>`_+WF@F;&cPX|pe|~(@)4Vc76dd$M8Wm$l zvCDe0qR2nkvmHay!Bv;kAw-+ocaF?0$pLs`y<>tH`V+S60!RZ!sC$AY)3)Ob7GSpD zQ=#RFY~sc$c=0OQ>gwm`HI>mKF=sRjdQV5-iwwMoyt+e>kIRV_qmG=+X6QdUU5=)K zg(lw$gwtE9A(fN$h7oSA0%1YYzTVWLwx4Mv;d7iKB3Mij1jGSqBeX+t zvJE{Ibn2~uTo}5U99OM&Eg;ReXE8{(z~P~wP#JV&oAE8Va-zD8s=b4Z*4GY~4O1^> zP>;PAxVJ?M+qtfd{pA&saq+Imay_rtwejAOjD7u0T{A*t|KM>bq%jNLl3FcG(FxD8 zuqYePGwjQ@9Vyq|0m@ z)-cWo1s@!IqjxF}H#cZ;+5c*F=vUU!0V6|tHBJ>fQHRvI*kRbiSM5AY!ZhE4my$zb z$6wnAc6O?0!z@uL$LIx`z@yscD*rI)R7NQ$C8&szr_w#Y;YWQQxmw@uCcmsRrmT-^ zYNe5>d|R*kY9Vcf@Bn1f&9u)Z5eGr%J~AUyBqR=R{~(B9s$MWjM``a*=UlzX9808v zZ0Yye~9iLs;b5TR%2%NSz$($-i~lCy0rj+Cy#EUuJSE95ce3<|bN}nq1euOOYxI z0-^&~W!g|Q#U9(AZtC>ad`My@ow98(Kj84`L1XJ#4TkZf)zWT4j!_!NYOJ5lS z?gy_wZfi7YTBLeY3lwkZkfi9;>g1Sjm)kHe3EFK@WAn7$eZaZjB&G>w7!px( z#}7ScBQ{C05M*%4X1TJi8iY$pr^K^P7>^7^G3zc>;MKb{n9fxSlS&L(qdD zTy?k$nm%$lN(b+vOqkjlI++EEO47mF_H{($TVv8JT)8Y9J~B$!!4$Ww!|dH0tzVA; zT67qQWU$^Z)A6`d7QmZik7pPpq?0}lssoPX0Hu9Ht{LYjMkgILlMbbnO~@Sis>@Fe5`}MK=SyAL*LlR6HL=H#|Ea6`W|y-3nriZq@Tb#;h#TS5N69h2&|K% zO)nVM3Y+|jp;wdUlGxlpb~sTSbuO`!8cdW2wLL=f)kY?P)bg@oF7gtEgWupqzr$U| zWOQ9GGT!~!%3M5-2^$+)Xzq8iOoJWxaaOkAps8}nM;brR29G;A`jo0PvOoswWSok0 zoviBeJqk7$V?q*>eP>6HzvpwiB~$M*qg6NF_j>_~oHFM$al*?c759j{a`G~xsCK7k zep_Z}rqEMt&2z06E%iKg$Byi$U9@qt>_F)fYrdY`uc9H8en0;j^8%j+W|g_UGN(aE zN*$PLA^3N|q+nO3%ACyXi zrnXbs9+W3YeP{)fQO7s-vxkot8beR7H!tsd;+G|6`2wCl!$)X#KUD{5aCYddcA{dH zB6dBWOx~A#LZfuu2w_p{Y}%QXmU{Js7ei&J#b!SGQO#jGp|s7 z@ypYpR{RSMU3Ry;m}_wSE^YGo(})c^3@L;u;rFig+1+Q@Vl5|K9X)&Dtza9?o`fHr zaui|PC&BPM$T}HgPLtN3uo%4wqLLFFSISUHthyH2FlNdls6F!c_Y1zA##Qx{Uq*z~ zw3&6RWSBnFNx_A9jEl~%b@1*>`1{kE_MokgM8?PYxxi-K-oetZjrvks&QBl0sWT1c zxEnI>#blK}*k`yUg`>*~!JtA+DhfWf-OlV$wiHdt zyh5CKX&qy?!}8+gYwLehAoL4d&^N8MLQ>!T2+itFLzfILe?}Q21A&uJ7MqW?yyN@H z+VPV$i%iEWu=LmO6L9oW$~qEB9(@_DVjV8MO~D4s*Qq@rqDxiLPauEjtW|7}r2>Fo z>B!a0L}i{ckxW)#&Y-zQ>DhMhxv~Hf z)LBLx;l%EQp9-N~8Lu_A-F_$a%RMEJAdk;epuy(VEk$Z>E-M6LcTxo2$nPIMkINqY zhfAisUEA}<7{!zyQ8mJ7y#`^q9N;I+lQ)1x-i$*i<_=!w{Z6G z(+TGH=6j`eFhe^QUi&ZA-Z`%mPSZ6P3pj*2aBh!mtKo4M^2-9cYc{JwsO@fHw6g| zKpd^Z2!pB{KsfpzvGw z;x-paAVNq^=z;Opu4TsvP9<1ai#0xHL|$P}UEWoNc1T8(aHi{l=cHaoD=Vb-WiGo8YK0-)T_!<;^BeD>1Ho7vB z+7E&Hy(M%LhGkK9Y6_;pl%0Sg-TYLt8XPgHgnzV>1{S`P_`#gVW9g0nhw$Z(N5M2^ zZYb!YoBYId8*sOVM_;P90y8QFvu-|kTC1cCD~*<*+z^5?S(>gJ*Cb*3gI$;V4izzS zRTY|QdGpf&-<&K|_)j4p>oH<- zGKD+1))KKuJQW=@kls6PD>a6}f?wFQ%!WbZ+Hc+gesOQ<6A>M(;LizqHm2;w=5Su*(x7j$HbY zCBte?-&C8}aRT2|5ksi|=1#qy=HleY-x%7Mql>5Q-)~kP>Iih8?U_9^~-9psISp6P& z8kcHs)g+b=`A{KNC!OKeDQ)k;L#50tVP#+A$sxUc7^=E6Uk^1PWVW?Jo84rM2(`42 z>F*xqS8FL{eFlF97^ZN%cv2VHK+dTNKY5Eg?_kZtO&L@dB(s_U6L+NEqIT{$4$`T- zf%Zm{1rLoZw2#;%f~kJPn@l-tLW@~L>6m`V@wk`=+#35!=&OmS_I!mnDUPat)G=#Z z%&4TGD*tW-fJuSAwT`Xli7kUYBRe(YWH6GbFT#s4wC^fQZ=(cBEy!D&`+I#S;8Fmv z8^Z%QzFo#ohu@Jb_|xA$$xyES^6)*hY)+a)7M|6NFL4v+%Q+lZzWg$qB$A9lwDSc#T%o;7#3)V%ZD>j=nK&3-0Ve1XvRb%t& z%POTgjC;;rIb0^+2zuj19F=cVae6) zH=K|{-!sJVkYGev4e8_`hR2P8IW)4D_CizqacjQ%`reS0V1J1>wD$6lGp}62VQQKg=_vaYcTUp~fMju2c|>Mg%+*fr^)2*-9gjNtt* z?N*GmzWkSVgCyvU5SA3dxY`LS4|NE!7OG5cM>5&`Qi?C=$N2)9uy@@PmD`Ib^mHlc zz$!9bR~Hqh75ZPWL05rJ^1TD|yJN!5k5hbVTc6T4_B^?!r%O+c8)LDcgJ7SijJa_WJP7i;II zhg3baE0<}iAGsZy_5GJzXW!|a4Bgv;ovyⅆyG4_syE{4KJcT*YR)WzSBA_b^T-# zO7bdTbEV7!2Z&HN8;y3U)9yD`;a)6prEd5A!mxQ2ab}pkiFa6&8?T1QU4inaft!ZY z(pLjBa%;@QM|RCb7dD=mVTq@bh70qw-;2mIFj9VY4a7J%35(+1DA$j&Vsnx~1bg}S zTSXu&n4=e&)08)mb1Es>GztG^(@bGj2@0lZNhIynlpm7{Xx;LVwZ~=&fs+3tL7J-6 z{hSFrvJFfUvGp>wR?xIr9#Zq#c7huaERMrxjhFS7> zdiOw>69}$NrL`3ZI;;Y)3$m2i+{LAN0$x}GBR}0K)Xa2)$}R=pIG-_gc*cC%tj1v) zCI{O&@Lc~c(h3lBBM9wIEXeWSA+0R!wPf#4zgGgbvuSz|4?6#iNKgn0i>7NwqRk!a ziM-E2NQCkm(K&F7l`u-%r)8r)LB8MMyR*PZtyyPn?7okFh-#|u9uHD%>9J&<#tFjo@lHYr7DXp+ za?GxZ9c#CBQl0|$QVB=h;$$yYzl>xdcpxhQ`AKwvTgfZ5O2tBVayi4vthS5xRIHdX z;#R7L04x163Hq~(@1cV*h6v9ImOmXHsT5J;uu(sjPBfH_x%uHd?~(t4SuXs@oO@wB z*sTCaV5n88VX&7sm<|te4i1>eb&Ktew`a`J%Rnzg>MDlC;;=Ti?FZpaKP53AG9x`B zN|&7=yzXZkCssgW?q_!cZ1kNqEIvrPu%Y@8S~U{D%T}Cd*75=m0?Go0s z0t31af8z$K-qS9%I514aels&OAx%t#eXn0*au?wg39TQ}+xNgngVDcf>ACOFj2Pb3 zzbdS`O~G7jUHEkuID%`UtH( zM*m11OBO%}+TEgGO0RiD6fBEy$xId{DWU~52zczrV^2R8&!2n9o4cMJ%0NG-n_T2H zVMXvjd`Cqh+9WxyKGn=o_<0qW7Ljt)bnTrPuR(LMo2xF?IF*0DrQPw-Q zy%bnA6%P2p?dHtZGrUAGgVSV0xNZeFzdW}e$`3V6aZ-*%nwCMOD%u6=4_kkRZlBK0 z@R1WJSELxz>Ud2HrFMH&xSlEHO-bgs#IMS$5aWJxy}K5AR9 zAu3W9LBf`XlpFiIo5?xNTF88xglOPDVlt{o5Za zp&eKEgb%JCUuVSd5zSn}Vau3x0hYD~IgTk?4fSl&1}&&+vb(*f{Sa~JLS5e@wf(59 zzD!7Nr(0cT=cURy46=HSQNs@WkU(RHt!S%tj#e zU|iO~RNPqF=Lsd8=NN?sU~;tLr`^y5Y3{XSj19{EnMRq-X4NSc#MM35REvT}C7f(?;nUkZStguz zjhF026;D2y%JHG;%Wqh4>iTNzsb3SlvZSxy#Y=FyAmWtb-Q2ly;q$dM`@_D}HGkSz z5p&-7dXRCpcG)%7+0oM8{1Ioa=Hle*-opy1rCgStZoA+(maWtZ))6z}|KZg0wkS~%-5x*x7Em!@O z*2rf{)5rcjJWZ91ZkFBGsw`?qR*eN!fC9^@$ZHpP!mVuMnWx0_69}3bdso<8{i=9p z)~t25XeUi2--K_CGX=BDJI+}sI}_A{$V@(E(loqHc^NKR>k0R=tGWGYXkYB1Z)($Y z<(`phPQdqZ?h(7~!+N8tzWun`$6H_2k&d-mx>zsCbbnMDY+eGb6VO`w-Ug@l6C7k* z(<`r!mbU$-VH1;RL$1f&=dkO5=n10#z2Os6@>yF_q6ec^8{2qH84X+x+08?|PUwth zGhp#TiTQQHJ0u42yqH_J=lyA-8YYVq^63KzFZLOyi*wwU(DuuE$S_pWf>Z6^xKptf+0)l!S<+y#_e?a!TWt*yn-e!4?0GKUC+b-~@FMllor$>O&p+UqIuJ0J>a^~zN z=`CTCJvkG2j%6DtK|N+bYv8+^51W3kt{Z>@6!nUpp}QAk+kO3``q~IpcLlWUC3NxE z28PZ-7G<92joaAVL3QcunG)-YaVE91!Bn32x=r>s6B8(`Q*O$ zSGl}xpzSL-Am6${#*SvTt6BHVEANip_d7V8px}aYg)<=)&sYP-!sv%slkhy~??rpa zJv^Xgbsd#_2$1%70g1r0#g23JrprG;09l?~1{M3E1z&u*@I#hW4B8u!KxL2%wX(Ma zPCn0eZYc6UCMLB;@IFxrc|y`eKMRk6EVfKbpj_K_qz^J&f_Gq*_tbwVnJfCi`%-s7 z?N*m@?m{MJV9@vVxj`2p7*N)JNBdrc-`Y;SxOaWUnKd|qJ34z3YBZffY4D!z5UHG* zFOv?>P(GU$YKuc{$=N(#gp53}2BD)9PGt$!3~Xf!EURqE4d$RWKE3hacd#tM+LXa& zEG332oFY3VK_@AO8lMy`fkvn_(^@U$NqH+kemuvFuHnIeNmOiH9-dO|p)z-oFfS$d zWm*Ypmz@JEvy2DEJ)=#BFyo>|4S1O89em5}o|V@co$WUeSy%O3;`7MbV<~(wr0YHd z*(!XYE|$iGT|4}v`-m(a&UL<5c+FtRpd-c0E!npRE7e$hgW|eQQI?Qi(me~dQv=uhwsjaSP-SHqSFhH9#EKS?-aU;|RUABymvE(Ip>0)1iR zt>Ki*PlMBQDVo$?X=1!hxm6D9)%_k|;YqeU0TG6~$h~O8SvL~cbz4M@j*eGVsKq7G z=)e|jPE7|je=Q@h6-eV8FOV*Ue4->(jp*O2)ZurXlH}+Zd&)V4Lww{5Ke-|Cie_aH z1LdKV@ND`+uzfaSrD=p*i0CsX^h9XN&^nf~6xZiPq#ie=RvTzli|1vgXR8$>;zw8w z6)R)Ln?~^FraO@Wm`yQ91M=|mO-o@|dFVeFx^Ej#PscQUuBO-VP?8Jxc>I zUk4%Xa=S|R-;%(@&YG$)yk5viQ(gEjNE#nGF5S18hD5Un8&t?eP&LVS6J@!+DG2&> zmUw7Sgl5T^z-2E&KB{Vl_Pl_-^~OjR1X_{zLQuWU8i4EP9V;SU%ivX!sLM+X-`#r< z`?DRQTMPfol=!~$CIbP#_{}!q)dN$eHZ0DoR;$aA-S_3RijYa2&!=tZi66eH+B#N< zmmz@nu$r>oP;#54ZahnA&a2lY;RD}SXpS4kCjn_0SBve|OCXUOyiURAUB3@{^hfEQ ztW=K(G`(3W=|Se)@GA<0f;AIpU=+35Pk zFgBt)yspmYh@JDde+!4YKQpI8HwU)wbU{0T2j@3g*gNfYm%J*5KPOEQDX73LIiG2b znut;nJ7!?IjSYN%q|{oXQ?KyTwu);SutLxr1JAW6r>$hZi1NI&~KB2-|EF|XH^__6~SP@clcHD%yr zc=ZTN*mx9B;iIT4LC@DVoUG(acpM1tVFlQT>hJtMN?-?PS)a*i z$bvvB>N;kux*aj1IGq572d-$L9xq!b@gZEUl$T;ZGRuUMl2k?_`W~4R!sPu8>By0#RuMPP?-TL~<4k*ti9U>s%P(q}k)Yi0_(lmr!JQkXa8RqbIPO>piG5j^#s9 z&3}B@kAiY$&`XEqM4rX({>seuLX}PmVH8&I6rb=WV=Y>7k;5WJps-)y*jP5V^`3uR zpgyVK%(%Lsn}ec;@^OOI=L66H@$Y<&W^WY_?6;D#vrZo8wF$|!^!W@kZC*oHg(JGS zv%|Xx84x{QnPTvxS>9o7FF}>AbXS4eGw=1c**&6-6JTqeYV*3t~hUm1sF zVDjH&%-+pSfkFmxRjBuL=64St0-4v3JgE|nJt9!bCcU2Kc@>q#EwwQ7Px?h--`ng` z&ikJukV#Wz))V&sB8(oyiE7~cOa%mt{n5w54b<(Dv()@kJqKYw_+&VsWJwY_rzMTp z(1D0VA}-S>q#$Y9k-C+AbA5nZl)mrz7NzdH zGo|T3fj}6+=_orM|Ope`_df_(Wcew;4b3YrBcOykGq^qCD?Cc9`fP9G8$m z`~l&sI5OLvqwXjs-F18|TYYudaE$`?gNJh#1Yk^>E+V3t#qkq0=1%?-wk5ci@!y={{_gnL{~fO>=ieI|`)6d)U&H^;r#NOd?!Vsq zPhL}v{{WhQc^$eX%2O@%$gQYg3N1N*Xw=!>PXihd!;6-M@mK0I8@_-=+=V7G)uh+X zPzFk9&(}I#PN~leABulW$3Wem9etd+Ovaq!5;C5R+Ftqe`Od?iUw^25U&&klUZWSc zWLG_MI`EU_Q!F--?xOe~H1i%@a~-Srt-1Jt_n*BZFup!n^0r4gZIW{&=~AsRW+7&A z`2eb7Q#RE2?_6C0>dFEOfZ>nq(pq*|ApLj}SbkM+w{(f~)Y0$u`d45P(G+>GV5a|g~s9b0;wlWh- zC<8ngtO%wOvOK?VR{S6fP-N$HqfAJm;=Is0Q$8pqz6?Hz>L~7dK?EAJ#^826^EN8r zc+Q4p#N8N*m;k!N;s`|Ssi?>iBX^NtEK$M&C7$-=ZUNZa-ljlj34p1Qyi|{G^C(_s{b`U~KRXuiFHeHhD$EGJJs; z+|nFBHWYajwU}2NPkwzXj%dQx&klK!RfuuX{cl1BhWun&cdOEI~Z4KExWA0!DD zcy}UXmNCH*U^|fp=Dp3QX4@lz9>!L3kFwsxAV_+cZB*MCl^{)%D)~YJmolzI5LY&s zT?_e)-cOHs3gt-vAGP0S+ccmNz@aw4K$B(b%8&4s;$j8gex<+BkAR_cP-T@2tdPv& zc$&6D8pR>?(FmL_uL%+r{_zIFO$;Xxd@_iCqQ}b+u6%+qSSwyklw-Ns)@spJ^a1_b zs?$|&kJAL`;A#oJT%IA1O+Px|{4rF^j~H#khPFXM?>p@jE>z@NQ&xiaAfhH+VsKF~ ziZgQ=VPmXsbXJfwHBz)9<*{M0IlWug#*Y;JSh%dNjFF1DD;Y9$`t0`l4Oz`yV8nsr z#9xkqV7)di1oL(cWERb3p$#>gwIkv(0fRnzcf|g`l}Hcur`EB^qcDmH-9D>2vPDpW zSnB4fBebD!jKH@+hcG+gpay0*drQn%uR|wCiCl?|>QdK}aYLtXuJ$@B2Cr(1Nz2wW zQZ^$FR6tMg88Yxrsyh-HhcveCNHdpJS>`59`EMYrShNIx#&7ACn78o%?E4q5!3RScZE!|L1) z{*K5tT~^MjxKh~Z_=Rt>>A^oWN_}M*^AA`L>=tbR8ozPNB1!N@+580|gXs^X zkpVyink%3pQOIFfIE#N%oiXpU#&cntY$DI|J7>g0miK52qP`4I!G0 zLy(%u(y#iAkC#a}DVd)r%HDJ!%Pb-Yhc6RioOTa@i)uZ>{F=^IcPFm-)7Qo@{`Jbn zHUZo33T+PuLmqSR_jzjw`o8!b?+3bfF3#=_xA+m|7S68jJ^64STk6SXI^y6yDKjVA zHzW}pPuH7Y;OA?^Hqk*F$BA8U$EqD3EYXW2 z&e(R4Pc(No2%M24gfl0;DVUoewCVUhg9zrDT4+u#{QX@+T@5kk_RTS?#UlPb3X@06 zb0oWEJiPeibhKObD4)p^FJIW`GS%{OCt!0hJ?><6%jC8px8$TUw|&1+jq=f3&*Bc> z06?MUU~_o&cAimwsA`e&NWSt7oAFF%NBh%xgay-wYO)~WdZntRrg(f3^%rE7y(G$2 zUq|olr#rIu>w6nj>oczUmZ;ztmEA(v5nt}K?G3*OIi7@jZ1pqtYC6J76zYunZJJALAp#N$ zaAPqoz6d2o%evT~dIx1J?VbKqgo6sufzyE66uJ+V0HgyFpUXCBh=qJIo`tt^-XI7P zhTYc7W9YMMY{7lOM?^mX$aOXx3@aPnw*k?1Ij)S*TAj-dyY0k09$|xi_LXFWo{u^a z&(9>Ch~^Fu>$O)vVJL3C3KowPJMW#kwZ@S+-=$icR=G>4x#3Ubhf&%sNOvmpGk7gk z;9M_BnA=ivFQWBx-enhw5}tWjY8F>;G?LEqCTqc?7^p*0(D6EO35~vid;iqs-rZcy zZeF6@T-)Ph4!P8;3Nrn26b5293WcCGtZ9NaNCUei(l$C!A0eIiOjGGwMbJ}TLP)Vt zP=rt_S^#K`q-iXX0h-`m<`zctA8T^bLsy=r{E8+s^q}*Zf#${;uiZDMAAwwk%CDnn zloqJBp9;0164BlT6XM}~`F88%qdWsRPyz3W8&?F0KAi6j$OFy#*bBn1<>4hsN+Hlc zoe2(GT-y8tm^+KQX_=NQRBG#CK0br0k097SU^87U+MzzIjJP!sh;+~q>h+E_nW(SI z``funxD2s>!IgK%imc3JARZyYKeb0c9YE)MmTm`TW>pr)@R5fPso$0kGA;R^?7CSl zDsi)F9tL)5dlI+OIqIpV8|ruiRS1RpaQsmLzVXn6GNa56Q-uNqcS;!V+K4M0E(a|| zTPva+3-!&FZXc&7ty^u3X)vWg56lU3M?U|?ABPfF(^#FLwj%!wL-sz~g6yYOrI>|z z{?ij0k2|$YR6dnrx0cID2X0ROOI7P3-8PzJh@ZN|8dk`mgMA&N_X3v=EeWR%(!*>j zS8zp+VxS`IjIM7NWcvdlFy}5<{i6HjwVETSG9$ZBG|mzs-8`|%^;H#PoI>36S9$GX z*1%L+@Rpe{mpW|X8zfpD=cfq%nRV$+v$WHRT1X%~KrD^=ko zmT^gaT=c2w8mW=xvm zmQINy0EHITQg^vud=Xl!Dn}kfBs-96_bWvzh#Qlo=6yKcGn;0FFbCjnx2n;Nsf$Uk zh}$*nl;kN1Yw}q&3FR(OiI^f@xxb9wv)kWSI~mBG=@lxusK^nUFCzME0LN@_yew8I z>X>V)XsxLhuW{NnZ)vzUCjcE2(Tv)fKeVkq^|y{+UygHq9yfYxmrzp+Pt}pn@R%S| znNYekw=4G57}~h#vW~hri<~$%Du^v{lwhF<+8~*?)w+p`Riol+vYGlT0D+e7C;prT zw5}P})7L`?K0_gWO#zfB1DW9z3OJ=<>LGb4RVJF66I-q$Mvd}myY6&j8Q?%UI<5kF z6rPADESM(7d|9z=mc10_&?USQktCU$#`+{s=;rzz6u~|f=F51+snzafGN}x4@Opfd zR0{=Z%hUeE;>XoJZAU;|+qnXU7^PH#;2})_mn}Udc%!FQ`GPkmis{zsd6FSC5o%36^T$kCO#FUX70d}UFAd;Mo9O;T^snYt5F&J6ku zYkc*m@v;YGB?(j#3+dYvq!(n*WHTfq^&um1O9R(F$_d_nngr_)siVMAtY`(U6)Ne{ zubyWqIR<*u`Z9m2yTWM?T!K%TEazEB)#+0!5TV%PN zxNl&wLlllmfcbTa<1CW~Hp$)5yQD_x^s<67c&s#+JAu;CPSjJ={VYVbIqWQMEyvnp zdXlt%M9XGe&y?w_T{Lb=Pi4LdGlCm^$55GMQnQSCU-E6=>#M=mhzp-3zdUq1ZXnnL zT>3tMh%?|e)3gQrqwHQ4Pm}7pz=)FJ(BM z@Gyo!Ja@kY&5}MP=Q;$oD77Kuxq1&)qhL)0A}(UI)5pok7*oAFJ+VlE!-iO59G|P2 zAbq55El2WjKBXb_3Iuk><;3yjVI&qZoJc1SK-=I}#=p!79GsK9fd$33pt7jf_TDM+ ziUBsikrep&&!wpYgCb7@@gQNJNg0+ghi-e8q4)oQ#`hl336mrC^avBP89SeoVY=ZQ zI^t&eH~W>pJ4^CU^*sNk6Z8Ml4(9L8oBT7Bf%6~3{|m~Jo#kKnBn$s2?h*f=c@q<* zs)vj*k=$N~cn-knZ&wEg$U^U2^3?;eVl*ZBlg|$`GpRI_Gu`7q2tu-sExf#}ytf&M zRz7uWOPu?TIqe?oUCWna`?|lS`6p{Q)&vgJW7e!btlhOa_dEZvMTp(JOqkxhoV@+` zkS7y!bawKgKtcH23S(t(j^AA%SAS$W9760RZ#sX*R$WZwJUBNHDEky!Tu%%Ik z#i=k{L|45m+Dx`XV`PWXWtbRP45uAFJVbpMQBo10E4Dq_o zJ`XjQ@x}F&hkgWDnXKb2qOe0I+1*Mq>29|h&np6xQ}Im#`V=E?>J1k;j9;zsPj7an zP8o%2tgq)H9KS4*peCAq7R!Gd2cI?TktKl3|ppuP1wYY#7 zvJ*_TgX$r@*qZgof{y#n^o?>~Dk~WpA&!S%K$VP+zG{rU8?&lo=y{3OD^su>Qg*<|nGZRwa!!=tNS}tOYo*OZw=qP>`nN-~)j6^^O z#ckrYPJ*6ka!Je@4qWsZkUR|Ab!hU#H-(-kRVpNV8h}t8r;#qW|d%puyV+cir zKUp&NpOLW<6%ZP^xDnWWyae}c7Y_s{2O*mm66XALNTYL|&F>l6 z)ZKlm9WYq4Tk}cG$-3hyPBXEc0Yw&eQs^_+wMv=>3rD(NVK|w83TcG{(wI2j!v!0< zZe2n^KivgW&)%huFe9aq8X2Sx>2ssRbRa<>8ZBNysSVfA;SY^{d!sIp-a6-rkd^E4 z!Qudb1|)dvEDTv<%^BlcHYm5qtP$v1NkKD@$FfIH!Gv)Dj+WdmJuC|re1bCico=e^ zVO$Kn#9+7x7mNxY#z@qTtY^HBm+RW*d%`bkf8vM_rumFsUYOYw+=lZ;2o()A-taM` zG@!&a2`<;(m6L2`?1pZHFeX&LGX7TQDGM#pI0Ul{=sEn79L=Vm&!SX~6XAax4S^v< zC20(_YpbJ4CSlCwlxi1qO-)0}pHn9T$O^j4Y$hqt*64Q}D5-fgp9BjOSMf_3U}Ux5 z2~JmF%tA)y$UbisPrPwv$m=1AXa~a} z`Jzz}2|03py*K^Gw23vMGRZm6I+;>b*~f~}N8ZvX=vytuX8 zp>rg{YCd}pv1j)<9-}AxfDA3g67Kv?O*5v$54}y?t2B%Xq72GQfp@?j?k-G16hnn_ zU|`><*yUPU8JaX<;2w%;m=>vgEAx??&-Fa;*r!uEh$KVc#XQ**ZPO; z)EU}}0>&!T%n{6o33n)?WC)Nv+Z&W_w8Y;f<16C)@%~Nr<eI+=bPn_5OTAC0(dbBP00E;m2q>QTUA>ApsG;zTkku2C?WPUM&*M>Bj zc3ye1nSyF_;<`J_-BiKGt?EM9WZ3Y*Vw3BMCaGfcv={B(wY$ zGt2QqzUwU_LYNsAb9Rh&GHPF^E2KhuJSY&@yCqf1%MjxQJxaTsN?`PQ^j2L5ro$fM zu*?7Rxp!Kp*%{U!)o^%r4IJ6K14`;9|5M;|4Vju7qCSr-?y|<8u!OIKhMG7ST}AEK zs1p15Gw_Gm(?hTRJ#V^{2XG3wGqkOuotY9G`p5#ftPiZ+tC*Oi`g26=ZF_ZMG2;v^7TpkLaMHmE^yK3DLjn>7#(Z(58Lf|iJ)va=fPly^)4O|M$rDaQ>~?9+tl{I{$kK`(Femad7@?G+Rr?>C1H5St0!i zEYbZN$1oeKP{-xG`rIU;dB-H_#_$|eRG91++Az}A=*#1AHYAi_1@={{GP)Q@V0L!) zMNQX5&-t41CZB2r|5s=HT9dbaRpUL+^H0wvfj1w|N3T*l-!cw4?fZ$&_lvf@4aCa? zSJx%=CV`nk*$aZ|8jJWo!naYWNm1o3->mg-dSB%@5CR`x>1^uvA!1a^tc}{c)Q#bW zQMXld8k=8V4nB+;yDjtCZ?4|O=iNh*Cv2LgoID#%S2eXMh+Mg2J_;8f9^Ut)rA7;e ztj<^yQc95v&xs$&K9ybbHeGTj&VjHm^cf@93b&ca+@3rm7JA+0BcJ-6=`l5oijq<$ z-1=LR-Lx@f@q1P;SYu9dPqc``nJ8t4x0yp9n0$XBlUn>4$@j%bWTe348VtxvM(R2{ zU+yysoj(fMhe0{#;w`eE%AsjdmaWVn`2W%JGj&?AH*BaZ}pCcLSJyOpN^(7Bc!XQn!6=hmR8;NEp`1&7Q8k*1VRyV z%hb4lHu=LGvFVc6=yJp6T3#KoX{znb!i3#s&djv6r;YF1Z$I?I5ugQ6JS#J0?jI;g zX8(h_cM6gF`;GC8H`qUjf28cvhX-gBG!f5J%vVCOa$V?2stRSRfdA}iF8YX)m9Lf=1xz?Y zYCkJjsg5mxw;7;g!eHSp#++x#^UhzB64ymRD}&J6Q`Z3pqK)L4?mIUGR{!qE0Us|C0d z+#GEPHSKr=Wwb78ljbiBI8<46)(|z40u*+XXS**6+2ynK*Y=xH1XKh_pBLh~v(Hu> z6hn&d;Kf@$n!PLx2Zm+gAW^!Am;5~*jpFoVfNm6-Q=Mx zlUm#1HmwGw?)IO|q`@G=OH%mzj6I4vuZwSvC|^RY{vg7TB?zlMWeh$&de}@msTbDe zd)++>Ei)e^1p2y8Y;6b)>i9yZ&&6B`EDQLYB`hf3N#4?^h^Qjl41EnB8$deiAGlCH zMiv+%>Ujx_s!a0Ak87WfL3T;!wwxz>V`OK9Pvu=D@$E-r07L1F7Aa^!ckD%!D(v1Y zV~oxI4b1bmXPxEKaiMd`C@m>{w`g#Xp^P6TS!1Stl~tkd5y^^D!p|SbLvsq}U}~lC zH+>|-u95xAB^srC>24veOuCfzh6Q&3yY>*CHdvmw&5S;pi5=FI{!MTh`P?LejAE13lQ#q+wV?S5^cwFV`cO zD(zyP&Wz`UCYR75U>cYz51~%MErq%Sd-es=HIj&elHFkgU1}><2aMSO1oY#v#P5aT zqcrFkSRj1b8f|T(a*9!2;5-jbKP~)cb~Yp|7J1f7Y55L^U04AVDh!_Mm3>+-{3VW< zmcC;Lj%5z3kYbc%rI3WvQCNe0DF(z&&W+1=R-qy{#`q8&*^Dm=_VIv-G~|lX#Gt2` z)FCLCsS+X?5~q7BCrbpl$cU{)g@?~dn@a@7TvwHh+0Vb2)f5*0Y{V^*P83)uEw~G^LBdtw{^Tp+pI-N^Thi zX$r$e`!PI7xzeOfvh;w&=w7WN4Wx3d$1xIcLAhx`_V1A{MfannM2Wr6cnwcnqdC4}n55+&I$S4_!7jN9$eZUs@nQo?nFUM=`Lncq{PYK-%mBFunX~ z)Xb#6{6L2uw8)E9Mg5)qhMc&?pNyz7Z;bs0U^Nfj95Y&E^(!;1%T}B0c>eT7$S%Rn z8>pGnZ3NU~w2`2vSw2RjKq_*}9W1!d^NYxvx=BSoyKeruV&niKm2-Uis$L5G5u`7<|w_HJyGcoPUdT*gw?_c~U?2 zP)UaEm}9t!4QssQa;R#d3pLaCv)U?fVrGAa zu-+`%Hb;WGw|-T0I#Uwqwh3F(7SgzFI7Y2;1W89xE28q%ASiB7A{VE-1!%X|Mcow3 zPBmuOPM+LBH2*M6OjZ-V#aaF*lW>mvM!SbQ0^ahhxNO8l0xn+2HHawZi4KD#pWoK+$I+b z*QUrf>M;0`=!R;Zj$HFszJ!oR=l`>eXaDb!9b^BuX2%%+wfX6v!~Y8#Z#I^HX3qX6 z*Wtfxyb-J0cP9(Z2xT_j`%tfRbp8QY(kw6`Vyuo(RD7wunYvCuIyV;c;0CE5LgnDv zeaO_<>Dsy4eD`Rd?Rh;pdGgVt!_QE8zCL+2f8U(GdT42XZP#tp>9)=7ugkz zV_te`T{LJv(XpD^ea6e&cklgy=vYu!_ZDh2THlMk!H{E`ojLSglh*H!o;N&o$UkA2 zTmh+58%i!a$2Sjp8L=|0zGE3GkwD#ara#Fs6Nu2gP@Y4b!zdWLrrB}Og4=$lZ8~hw_5#4 z>fcmWMSdOO%mK4GW^klZT&LAxru{QH_VZb0pH!YdV{IG${6|#(k zXbn+WfNa58Nx1zOnH#%s{tcA>aPDfscLZ0Y71iz!v5 zWu`~F>_!k*w#|~8F-`PhB#9-2jAM?=bW^oWtxnTQWJa+LHm0~A7l#!VE8+AnHq;m_JOop49xL-oQHAV7~kY7T-WJ*kkO%|Sj zSdwLOkHk6-p_Huel{2i2nXtC#oxqN1))90;PCIQF0Mn9xVh=51GzJANPy{NF$}*GA zgxp~+d)_e;{Sts2@d;S?=Nc{&OE@dUZxO+^&!=GslJTq!d8z}tjm#*9i)nRK=ZBK` z4np{XK`_iS0q71#;0WAXcNF8a-56o-?hj*(BdW(h5WyQsgc$@Z;#bVb3g6bH1^+`; z*o26Qxbwx>Thcyc1JLhUdfjBzXUxX;_&`g=m#^k69S){ZjsbN-djXv7hwmKi&|>{E zLse~2Z{Hk!mUC;_F&bPbP;%zkQZC8UWrNkEwmIa&{H=lKY0O-W%a{+d zfv7)LW_v?%^#-_?1+TQV0w)`IkI&k6$J@@3ZskWznz+Pl*NXw!F&EbOykB^=GDkS#8GFa zlHZT}$vQn6a7pmJCsn@;IWV~F5QTQ*wi$RT1x|$V(_VUQaTXFF+imB~=q$nbIWa*` zLS|KJEl{%Cj}|g&?8aFvzDSBpL$B4Yw1G10h+LiGhYyxe+i%(VmFTJ=ZW3{-7x-1I z{A%5yD#ZwG1Z^?p)Y$}Ld~x8{_#_=b(|}8~>8S+E0+*T;%MGc}Ycc;|2&OJb>DbSd zn>aPc1Eo*qXK*@MdWIJ)rMgZbu`9DYzEpVzCXzgLA>~$#jiSQvtCUy>S3s!>e*tH) z|ALbAhy*8bo{}mQb|R?gC8fo-YjLvA6X}?uLq)+S$_en)sj`6Pkm;csF2_8-E0j1C zGa_nv4P3kLp`BjnhZ_0oe#`2@3}?J1p~;*$A3$7Af~=6V2H?C$MTgLpD>>II?Vy(F{o z%71&nDN{~OGvNz|!TU$>9^y_5og6VMC9b2IS&K@$N*a;~m8hAZRxW|6G8^wG&b^0Y zrlzrU7+W(KSB)yV0ahB%!JY$?XG;AVnqwkRcwKOo+jX>eO90>upj5)uFQ>&fhqH zvY%v}pZ`jD$aRcA=^aBAL9#L+4#x zK{_4-P}UJy92x-Vz#u?TfOWkFfZFyZ556dr_-2W)1r1}xrRbPPfQ?H@C|l?9fv_7p}Gc^I*$#v;=?}o{`0V{|;u4A!?0UHQPq0eG}K!Z|%Pk zX4s6qo&n@|E<1nG?6*DWD%gTyV^{rFVe)Go0aNkiGmoZOb!XTP~!u00s3=GsHPcMORzptIhu-D45wnQD!*dqo?(ecAE$ zVUZ{EOr=A=`pun+^8*S`RT~V8vn1W{6Q8cVB<4=O=E}3H|n&b5+RF-rFGtnEH9LqUGac~$i*1ttDAZK{< zdC^8qYj7*Q0m6T{d=Y`EcjH887CCwd2CSAoB-lkqti4KO#)2s|oB%^&tvkAR&(Q=|dWl9?jPJV&9uq>>~I5bjx^t2b_f*E@ynJ zO{8O+9~9+xb7^r_%(&Ph8VWYF@k$5Xu4P;_zQ}==10y)>;{RzmmIP|q2x$aU%#@^y}}c)f&7qu5YYmZe@KDY6etQYBh- zZD=XLwA}u=3+h1_hW>lN&t=r8<_gVHTwKf&szdFxI)ljlQCZT8+n=-mE7;-!fsTk|RZWBC?xH${2KhWe0Egtjh z8E+C0KXjmt*?i27aLsA?V?Vn0{yogxhu1yvXxZ%YUQfNoME*ZH6#VyyBC-Elqe%Z@ zXZe43`~MvNUpN#nvoP`U!b1JehXQNcqZY(3o4#)W{NkpPSK0~)MQtzhxPt&)B=o1_ zEcgaBUFg=8H`RNNua|7XVjYFcUnGx82KwDeLt=ybQ%rPQ4{V(^Jyf=xN1L{@yG}ap zo_f^@v8Sn0DFs@BCSR$oC(o=i~4M5y+u(L;Fz)-1w0X4BfK6l|9NbuDQyU zSm;jKGIk;B0S*PEjfqk7IK0qz-}lQtT|NBeCUy zOy0Bm>$CcVL5d?P4q$pvr1!$85#lKr2svbR z%Uir|FVpAabfskD@O*m2aZJIa`eb|S^j&}{o7Cmce2 zbLUc-RuwJNTh`!;tQyxRRBa;-Oz{qX4QscoT3Yvja&Nh$w^=dU<}%z`yovyxSwL}M z0^+r!Q~%g5Ari$B!buu)jC=NB15qJJ7V-Ii7n&~kd_-B6{qZX~w&6>l?j9b^amkCt zt*Eo$S5TG6M1SXQmHZ>BpGi|@p))AD)_y#4t|)Hq`~um$9*fB>5y~Ll-BRVGw?1vB zPcmi0#nte@v@-cPZe!0cWLOf?G%x&wMQIz4N8=MrI$uCewPu6hhY1&vpzq8+R=U6r zTBptKSw9I>NFGwYQljcYfCHy{Ci=iRH?l&rE$auW`6r(J8I4D^UPL~}2T|eRr5C6; zh=t_T0!m%#F{49FA~(AUgSsz0BlYO+tGGH_F$wt+m8DshfD1Oda(J_wNFP?;y@RdA z0ToGWcnD20QPABG{3)-{F9VU1yN?T9SwK^HY_JchEj1AL!AWJ4rEvUOHAQM5rXhsDi2+#?H4CM?%GS>{<9Yp>hM0(-&R1$jWpA7Db346y`x_3Ai@f$q z@-I~E!;a2{DUaP((9UeuYTjUtzTD6r%j$V_aTSd&_IssdW+}McV5Ws@c056#FH6YP z3n}{0Z`*DG(1zdg7|al=Z1QgA6x?!jEp;;`m8)`_WZH#B&yUs4J#=>Yx*A~U-on`A zD)3wNuJQ(1GpaGq;2D5*nds;u1`Fgc&sNpd539ddR#vu~D?cqKz}jW&9)*7BiCgj| zAS4d*Lv>XMcFaKZBY#q&{RbOF%M%!)DKfy%$6#3Ao6arhW%&WJy?r)JW1cLxa=;3+ zIENtTX9sbcg*8@Sv0Q>Vch}9}`(l?7V6q~;>Q{RGx$45*u~@Ij<0Was^raqAiWQZ4 zj>D;;rU*iT2*WT<#70oqBf!T4!W2A=>uv|6i(t$=6m*TZ5URbW>?+WU@krS>>cL;Z zw%wbtkoGIR10p5B4zDk6Sar)4F8056oiN(7`*9ZzEcj-JUk&k3ic`@x#jx67w<_Yb zn1Er93wk8^)EBF*m-q(Y$_Xb@17*yG&ho$@x?|&nL+lDa22n^n0xlC8LMKYTw|$oL z>T?j`CGpTn!C53wpC$23=mb~{+UZo)1DVz)&Es2**M*C=}gXoG6X>_p1$IMiwFT_v#y&s&Kr-Ib}&o za>DL1;=dl`Zv=qH26Q~|wJnZ1n-f&!LTUvuOaj}@f<3_%a1?>6VF*2|pUu`FL6sZX zsFFLlLy+JHm}n<`LJ1{h@rO_ivM0*N;N1v#grmItjB!uRFnqdyg+yt_r939=5Rzm* zs_2-gChjpw@~FrXF7ub?f}Ppv}Fhkw&H&& zhGEPMTZ}Veg=eaoVuyw7%OHO^=&_Sq zh8sy+i#X@hQCk~xZV5h#42g8D2?!8dm&GVki6H3+V+^6sfH)TVB3YaHc}@PvNMX|D zFNV2qXi-_C4%FW zc2vq;q7GX9uq2{164ol*T6TbafpAjTbR60imjV+6#@zgyOD_I#j++D8Xu_5DI>Op( z{YD|CDxc#i`pmrAGv>&UXYAD43Gkq`6_zt3_5+dP#LIcBS<5*9E zv;dYwmv`$@XQ&E#N1_Pvba9$u+i{s$D99p7RK^0Ubt2D}n|oXZU*!Buk~D~17qNnp z18W$J0*7o1ipa{!gpY0{wdcZn99gA>aFk8N!$ZQN)msQIA9lki#AZ@SIDEPk#Lu}f)mZXhUc5RBv|czl@v*SWM?XixpXE!;Uz z7BXoXL>Ey}DH~&KAuy!l?%ajb&;v(E6o;5J^hQ1GvPTNF7mjnF1)|*+VGC0T9QJ3E2JHf9_a2%;UfFoHeES&5eL>jso0ER zGKD*!k*6WATQ z4O4-C;D%;Y!T&*afs{(YZ+U7fsz}-zFr;{qP^B+a4vb5>UM-T=+q${(Zj!KlD zG{SRI-(Y9yz*-H2AuSfk!m^IWM!WAV>v6aLPgL%|J4W~~hH!ZYTO%bCCoMX8VNp6| z6E`P132Os06T$y@2>r)HLWkhTAueNLY;GWE>!$T%yrw5$;b5Ubg_oNFHNVeo-RbokRCoAolSx-PZ4MNLb_zj`4q8928m7vnKNf1rMaCKWNnqV zMd#96@TKxb(DY)u3@hvh#m6l$?e9gt^{3iYbiP1JU0mman1lfI;d)NCaqD}rCv}!> zo=P+pET_zzvGd-Upo5bm%M?k4KHJpKUhak32QUkxHv3XAJV>|w#x47Jcs?aT^_=co zf5ZfA&yHDxE4DBM+92hS>An8(D*X}68j?m$d&2dWj;_Bq@Kum^#x!Gr^o=1}lTA(9 zs6Xhm`GHP+TDmW9_kN$R$C&!cU4|0A?)PIJWVPPp=>(D=xA!dmIYA5)DGU0W6}9DL zHfP}z)9XFSYblaRe`FjEdza3$TrP!-G3Vq59o%Ug%HJUZG2;}kK7Sh08HeaWl|;b{ zr!*vzA~>F682hDNjK+9e9`Xg?2*<7(5{&avCbs@9WmFze55wDulCX|L^;fUIh zt1$!WmTlI48r{^gdNr-N*@{NZmSDk$XMi4JGgm{esAp~kP8|QL_$m96v4g?`t;q_+ zH3_xz42w*Y^pGYgCU#xtFD{EV)z<-pDlh$9AtHvi4g~#7iv(T%>}MKcJ+lu zUvkl>R0z~+p7B-8DN*5f3g_Vh99#G$Pej;)xXrTs(J4yBqXGt!Ce8tZA#Ea=33p&1 zJ_unnYJN{Uv6oPNcsX>8Mp9U7%q?r;%sNn;}U zbv0}`73q6aBY;5mm*G+5t6)90BDYsR!Xqe%06nw_OdG^iFG`1V>eH6hE}J(W|6=)r z6^LP$BU0lf4oP5@LaPE2`Di5>8Q8!4(QPJ&#!9E!?fK%5FKF%Fr<1QeYkuiDo-@4( za{Jjc#YW{0Vxf5=d*~S7PpmYeO*o(uH08!HO;!~=$-q3S-p8IHDb`Mx3Vw`&h)4J> zBRSd@V=1pP1BW3pBo>+&IpF63Aiiq`M`uEoA&z=g4Y)P${@-9)v(wr$BV-%aL7 zgq`s~c}$oj4+Ce|c*WmZfU)M0Y+LYDFw^9LQjYWDDEBr0_IAt~L|`~9uNm-M39R+KQ!z+lAE z4_BhvI(zlW--;67D+85g2&3;Fo-C5zEU}oJz5dQ-km7abV( za+#ooXt%_&ccgmwn69*P<`vxpd4mS;J2VdvonRAMA)lv6y*^l-WZDVVfgH0_?>UYg z|GkS^A%;z2cO+YJ3%=+qJG&E9gt-1A@3i%D$iurJ$%4{eferIp&TvG)(jr}+pY7^v zq4uH&8MNY~ox)E>IM769v>B+6#><);9a6bB-+o((7K2w6J@dJzern(eYA$mrWKyLF z90V+~n;1S_^2(BLn59cja$~;12;|j$FR)DOX-PA$>F||zF6RudO;c>sj3=U$5jct? z9#_rvV!AdV<rKvWb>B9F1!19}7LP$rI#?dS^slG(H=I}G zm1dB%Mf+zl-zDTdkBS8IyFNl&*)_ML{QJA=^7rUIIZePIx%H{Iw)gc12Fq_0k;X3m z5l(vY>%#KZRz>r!hQC_q>>d{~et|8>UtMngfi;1v2yRRyZ@vOeDsm`EQ}C`Uz#jfg zz)l5-zE%F-`F&cxXUx`!h_{XfZI_!%dL?^|ob9HIOa-iEs-MB%d{|o{VE3K}x!-_5 z+N~S^iIn?yXZwCs+5fMJKK6fWqL1-k8>Id@{J*lwr2k)6nHo~IhoVS5*tfoCzc8bI zKS#!j#0?Ln!;-EEG+@#>q#f}~H3_Q|p~lC!etoK{xGE7_yIRIZ_N&V@Xz0w=;&r+E zVr7I{@YLD%cDwVzEPJTguBB#nUjH4P-u_2{lvK0zhQ&{TROijw3*T15%}q_M%~!w1 z_&+?#>{9#q+Qkx-D3f=s`mW$>4~J&Db=JP#$qh7LuXk@3QjZS|stUNZ!cGxtoE3(c zu=Z#ycM*|g!|z&c|16S%ZoETyATqpkQxVpI=4)^F9)k7$0PlQ1f4cytH^D%L9s!X{ z@?h#64IOMNGp^>C=EEdb6m<1zAOstpxIF+xk zS2TSoq4L1t)Xs{?(+)(49KcG@n(p>9FDrd@^y=YrZ7@4uLA&1ZFP1CBB@Nnrz`%D@gt`>VexX`ds0YFaim4*I>t;>ua;|3&JEx2p z`k*~1pa?{0WRzLS*54^48AMR-L*sd(ozAyd^3zyr|NKcr0xB;Is|viZ1jgA|!hFcU z%CSQ3v_*5oRVhFTC7-BUjigKE~SH z;ISc$F=cu63*#9I+J(9B7!6RVAQF=s6P0{A){&>-Ve~&97j-EiCu~}NJ^(lFt zJxXQ%MSSK$QZoi^%QfWJ0|*Za<0nSO%D;A~gv+xBHx&7a*P0*5)+ZB;@g9^0MC;wh z<1Do&sGgZFvIyv(;#@xli%YEjS`2#-l&A`@rSXYbh|fu>K6l##98lL!a#U&M;f=Cn`>p=g8_&E9PzpVfG@bgn#wQ|`}ieo1nOQ_>&gy$brhsQ%~fKO=$ z*-<~pEm+CZp&V#lgxfU*${=i!UgkBD%?Az6Abi0+?;#qW)o!7Ad)ZIh4M7utQ4rL} zdF{{=h*1E-zdImJd#qnj3zz0%@lBC>5J3yt7Q_(@0~HM+tT{ag?1FmK&_DdV5!`DJ zsKvPkOJ+sB;RElB4l&;dzQ*CP0(i}F#=uaZ7q+4VT1d7WCATCcAY$ef^g3THSMsMH zIaa3=aMrI&usF$N&eI9OCXaa(hKUD@_+%igt5S1r*Hmtl>1ommu1#az@4??^$=B^VK1FTY%B3b$qnMi?By98qBhJw;RC!$^rq^d!P4k4dUX z3%_?s4YRW@6T^$TRQG|l?~5d|uv~hj@I^bb4=Cu}BBgjT$ul=8W-gZ%-yOjh$ALzC zQOxcOit=m{V7|2)_giLbG=w0L8q#~%I-zdQG3XU?n;R?HE7SdzbTy&CW4Ch-)=e4C z^`2l#Eun+aCgvKu1b<1$`TXj5?^(Z^+jP#n0rQ$5$j;H}%5e5NQ5GgR>@)cNf}-3w zHO0Le0&{(zsxbcky+N0Qy}m%6Uuu)(C{CjXlHhMw7AQ@AwbO<=|HWiGKl59tgK8bu zG8&e7w0MtD_*3n)9mMN9MhmdN$#ac=>M*Y00cd#0)~8L(Lv}Xc>BhL#Endjy_~*T! ze{ZNvMf1NXRF;9w;oN1We`DCt;m5Vrt~zLb$5 zSmF$-oiNKC8bOFEZ8^iOy$51ynA1w7!}&eM7u_cO%cpubrZ-K==qmQdJW)-1@%k)8OZ|{lIBK_;(}KX3XxCht z7F!}##MhZmZZ9yanIEdpl`=hkujfj{Wly?8U>C9r$ze_d;o~XOi&>2IN=iGUMf6EE zM(l|c;EeYO&~05SWNMpd%7ZDzJ4o=5(Kd)!Pvo0kU(T!gDwM)NGB7cTb{Z*e9~3Lm zRA<}V9fUbwhC@v&^gA9O&|-_4fmspkvyIos=rmmAa#l!b(diyVDsSOf^cm&IAn|!q zr=Q>$cqVxV7Dl1D0j0wm$=kZ7m}L0+X}0Z*Zm1kFuLW!3rV`9?@A8Oq-Kg(qF7T_n*%5aS*pR5UkLx7}4JW#Az> zGt1KPup7b4_2jZSHO=0-2;31VB6vy}D2D#E%gf(~(&IM9m%)-INm6g8hb$5StUDu;Q4Zr-dtJlMWgErY|qvGAw zwZZ#k@BZ|$rt{U&relj1`sDI32)`W5*Int;#mU$Cp`mQ;{0|ZZ=reXybXCWZ@KVRo;6kMELdfXS*^$t=yZ=e+ujWT*N-?UM^|uXgW882X<~zKY7;?A&f}RS%b=AY2M|N1Lgc(Kn?}t(W#qoa+jk<`H0T z3sR?$PSn&X>FXM-e%ueMPkL2s2FK7C z%Q}lIvnqvd-o3$8w*Bh1*sHsDeqf6%f`0Y=rNb2hi;Z{KSU9>*j}WW1Xt%AvA2-kZ z%vG+~f17+aSO)ehtl9___FT30S+m`js{SLKIwq&O5NxTwBqXa_8PS>l3y@SsFMmiWK_91 zmAmy>EkmDXkXTDfNKFeLJ<)J)PJc=Ldc1Y|2D(R7UFNe53}bxPu;AMVQQ}>v^8^q> z1}T(;uNy;rBo?rv)l6|*O90X8-w49HD1?7Yd!W>F)*?$vl+JXJ1wAh#c3V;;T3 z+==BjE>BdYr8%q3?58s~M`{|6B|)$o(g*`f!M_}2mC9!3g3Q<(`52&Fm}Zj6$g(0y zgk1a`2w*Ax&RL6G#er&5`QbbLW30jz92sco?VEsT#lPxkXBqSfq{o0uO7U}ech8_DmI5?FaF zA>o*`;t8Uq(fX{*O#oBS`~@DqFQii0O+2t}WTt(w#IUlhDH>e%0)pxK&X+x)B1+0>UAdzx}--2~)DYwE2Aw1UU596d7EvV);1LUNNEwMFbje0_B z4gnQ1s`nA1f{^wR8T~xbJ_`(Vq9}m{!etw#-oXX_^56tGh;;HOBEJZZ_>n1uMa~CL zaqO|cdDK0)gocV}B*)-`rHbSusv<)8YcwuTBGN`U2CkjCJ8lw)!fl+WzxC(djx5Apo0y$+)3PZR? z&Z^&C&_Bwv3eRrJhXvGHf3^*F9VF@F)B=eN0Ik)Rq1N__X}Yx%EZUqG1P8%cqzUGi>L92V_Um)k z-VFF)Gp-zeh`b20E(B54-$#h(Y{!+FoWol1;P}%9E{6EvzLFZ7jRBR<>cdn1J{}Y* z^)kC>=D})IydHkG=P?*syQ(e^K6vJhq6mc5R;OWem*oMKYwJPA5(mY<%tY_tsG%{J z-p#c4j;w&w3G(UgcqApYvEc#psyi*9wKT=*Gh{ky11kt|8jDwiPVj-cMFeqlW(kxp z_EHmDh#*JY5a1feV58IB z&eA0$3|D98Awx#FploqZ+zjFH3UdmL3&4cIQQQ-Doj35;G;Dy76&G32!`6Z|6-`^la=9GxcBzj)pUtr3^RARkVer5K+d(L zK%9k)ouj9FkQpH-N7cE@&QL#NH@^pxx`u_ZnvmaBLU>()IX5|VxIs<^WP+( zon|BBc0(GRedHD}z)PX7H%KEemg*|)4hgSuO!@6_fDUu`azog$R97P3DVgtD*kA1@ zDXBvq>l1KBHA;N`KqoT@*T9YHPLnQ$p-&ES2FWjb#0KJcmg)OOrO1g|O$#{SRa-`i-j3e;u!pv6q*)JGC5Zqkh zjF?w}*;0?72q}{T^qzfbNDZ>KydzzJ3K&QQx>%+!=$(4xlE`_$jnlM%oHWP?HA6T? zj~{x9d%jeD2t&bcW6*YDViB>!uC45m?vLN&4ZP?WE0X{@JDi2n((hNOy6N3 ztf;*R-N6wlOV{VP4Bk4bXSgWYT_v~c-A1Xeb;%b_n6^D9_n)!t%Q04?_PCVGCxJ)` zxr3%n%OUlZc?Txz!tUdu`Ms7Ae-->`=S&W-ov){U2I*V;WxK#Wm4n=JFIEh`P3%Uw z9b^8iTYUA7ylE9fa8}O-;+DHWcSrg7hz-Oo3TI@H)Q0K-B`W}t)*$Vm1#o*0+UgDZ zLHexa3coLt`@suZY>wCG19k%%%{Hj{ASe=p$ky+ua4O>!7=hBXGvGC5g_>WwUuR5c z8lIlx5h?N(bW)y~6DxiO$qwt^mDI>i`&-H0E0-YO{pTAI8b+zrjr4fC32?=bO18Q+-5o0C2cON3p-)M|I7Q*&d&sH(WzjPBSw>y8Q7Gr z;R!?lzHc9a>?oV5t$1{&27!6*8e#{ONWu$z(X&A9R48Eb+xla8is6fJ0;#axT1{gqHIFF6?C3i{(< zl_{gdH5l6@iJUHlmMFRiZ;N}`c)XFs>Jc_7q>-?}g?=CIs#|q2EV;)1c;?m8fITR9 z5Ghjk-D{w|XJ*~yV5$R4Jl8vo0g{VH=E2>1%UcjBtQ^-eyTiIgN^Q<4CzDta^z&k2 zC&?I*um~_8)P|#=6M7fF1kRNX*H4X9J8_2v;kiV=J4DDES;!gZR+#hY#jmtR@*v%H zJbW18PLX|~{ylv+Dw_3Nx7u(#bPzRO!f-MLZ%YAOE@+0kfX3(&&X}8=5vCk46b!QFR6s^|zLZUr8g@Tj) z%lZWE9!lPTv0Pn_otSXE?C5zQU&Z)$Ia z9pq`cNrWL1f60smIp@d|RT-dr9h7EBMf?Tm+Y&gKboaL*(3GKjR|l6g0TOkHgwLO^=QriQeaJ|X`6>MSB2$m+YOxwIbMUCb-v?=r_jB# z=g0XaS<)L@vlBomi|bPWX9l_4Q8{yZCiPg~Mpv<&fbxLE_DI4Tzc_;iS$bj28m>{W zpAn9KyrP@4lcyX}@y96;7tpMv7*l59i#dllB!UT9d7zB3^b%+E3mPV0VxW!4sBJuL zPS_+uQZ(v_*}zyUs)m>6m&zcS5kKDC6uAXV{FHnRWLn0if9tHMns02)*rw}hko3;4 z(p{bJ=MdsVzIzLSfX2ZrlAbp%p#qTHhfX6D1_6)vfr`yP;slged6(Ijda|Ct9j zYQLPQc2}mZD*ro`ED>jo!ELw@)Z}h|TO9~Iipd#@_de@n#q_7;PVjk>HQI@B9e>&b zr-}Z`KM`(5&RW$1iJ)zd1>60srhA#0$=TjvN~j9C9^6GaNqITfm8aWv5E52b{mjck zAQS#TN{NcgLzG_KI!&(lR!fEu`Q7p$rS8gbEtf3(n#*IM?)9KUS6a}|NK?9TS~xM( z>eY5<;w!0TAeTsLr6f<&+ln`g;M91%m{b<=o`NNNH^nutE+9~&XM0Leaoi2Cu#j*!zD+@U2 zE2<0-$3MT)KtB~6DPQfl)5$d7F#1VMfHC`LM%ejCVx&M?5MUHxkd^cdCn4iSw&ID< z2HIaw^f?IB2Vq9GkrVPYTNSo9Y@qQU6P@B9@B6`^(l$v^zsx*z2+OV{N+vS1x_J)BY?toE&@xbnr+ z3`6k_Li0o6gBlH9$6#dB&;9pKHR+Mqw6Sf<^zAIylN&-8^gDtgpsCjD_d4_<+lk<+ z5`=OA#h24o>T20KH}!|rehEk*YX z$IKAY)kUGn+9*oB0YIpZ!2r@t)<~V8UP-58)&olg^fEYjnNi=;@*^lW*t9q^zbMea zB_7Sqq0exiTV)-3nu`e52A{J}0cO-(-5IeoF~t)Vmkdup)^M2^cP^zB zKf5dWb;zjt#qBK;tWD61`)KF^ZaQm*I^c!sPeF?v5YJAS2h0}2Gius+Fd*9O~q@tq6BJdZDmZNxhcv#@YQ zfm@lyAWk!3obHX3!b7xU@0Z`0@5PH-SOR}gyRjq0ddr9E-tEqn(AHfhwU8J5v4I{q z9pfbD;Tkd1GxW2iMCewOKYIm;%DXp+DUv1zauDV#!M`R8>?of%Qc8%Z)df#A{ zH)F*kXFi&$mM~*9hDYZ5ZeT7(aH8A=Xm1m7!JQ<;+huX6C(|y1zK}{_poc%#56W2=Kp)Bh%E5TLmQQ z&^P!1B`7W|@knz=qW!i^DvBsKdvAg`0dSY-Usw^cSxz`Ddb!{cmin>3PPX5PE=XG~ zg7A9_)MDYedRh@?^n;{UPu9+@&FBa1;ok18Z^N6h=SMSLX%~7_1-# zr%FjG$H7rW1BbAWNr0pq^y6>cE+aR=V>6PuHdf6h?^hU*-;W9Q-ZvN3?F7+lV>y+@ z?Zp~SRdV8nOZSAIs;k_jl_qG`rb=&Ryk6JS$j0(_F++3cU2A#0ec`{@L+|3<|z{pj?~d;i^}pHQuRP)xlDjB^oKxv zYoBs%5U;;xjb$!szY{exh&bI^cNjrUEg&s3v7KKFiOKUPqvy1?yiFwbH+e+{38jUk z4<89g&eUL}$v7-UK@7}k~o&*;zCsFZMDxhNp~iFg9s zMvbz}w#vAvvhtnLljyhe)AV zh{4RMDXd%8}dBObVO9ZM6XdlaO1YH4Zphri62yyTrffr5`9D=7oPM7 z7L0P%sk$osl@+i<=_-nif!ddChvnV@zn@OOeyF`?IHru*kM3g}6ka&jZmb8yyJ5}o zZyo1ORID(zMVo?tc?{6YUe0>^#U#od_5!I;T!!PP1QJ*P+bEhO^|7T*%?W0dj{ruJWCI2x)QeCLui4rt|k0R4WTT7)lt+S^YgZ-B@1PGpD29(09 zpQJUTkY}P^qs@>qBscQ=ez2^i3mi`tdx1hZEaJj}eiCQpZH45AvEjb-4`#F7ATrV` zXkrnV^0!ovf{(PJ{)VN2NxKixWJ^xlmIq9l_U8G8;|z4Dvn@n)!_6)hHuRJ+)iosm zXl}Kj%HidOd_P?!^yeTpMxcBuVt*K0Y*JP5_g$GHlDR^VKDN)?L)?NtBPfF$Y08-~ zkLU&tIHhnhABgdk34cY4UVz%J)xd)2Q#lL4Vz@=Ua8ik@Y_ z+u{Y|4gJ9P%;^JZ|CKXH8x5iXA`><5pj8`mrSKr{CP*Vvt^q9@f~&P%rjPW6cqCOy zGcykw5rQANSh@_GBh$l7;3Qb?ByC zqA0>YbwiL`2&YkLT(G1JI^@ZmE>@5Jq`)t~t2#ZvXM{t=GiRvG*i}0aJ$;rL!_THL z$)nu7yH`w%?7S>nSQYlYj6T`-Ft046A>Yb4JA<4CrZX;XnEPR4AXC?eAF#O$qHm^f z*~eE1K^xwt;kF90Oz*s}Szk*q_1z~Flm^!Z^Z{#_%&HX4gqvE>CJYYQ)G|eo%+oB~ z9voxeB!#A`29nq>)Wqc3`gUti!!=dM;NUdG%fNS?hxU9WpJRmb#$lXNK%1z_Ir)OS zSQMeL+;>I=29_X;5@t(f_QVVJpl0=Af*uMZ_m!quBKe&YmwYlTD)uXIxqdaY)Qg{b@IwP8S8vR6!T=q#t>worucD)GR=!b zu;3otz(NZFL2MqDj+cx#=@KnO-12$7nMe3~auUv)_tN;FzS0al)v*$NpkQ#T&>;>| z2gi4qe}(&vufE-42|ZGESb>TCXy+ie=2OI6_wEc1at~dun<{=k_`y)|6*E9PVF9%neH( zopV!)gUKyFYgrgL%{~n{&4Hr3iSB`$#ZvSMDUIfRwYn7uLl$4LMHT#-g{;ZkCoi=- z&!On{^XF)k$ODl|XN^RMfw`0Hv~_gE(9 zkhW}NE4HfOlN!eLEuBr=ULx*g_9aBhL&`BfuM_Pu)tJcx&N1?SkcMyd${-?>&pNhU znJh0`>rag{HpZ&K2|?X z*f)1Vm){c4^L`YkehS6QS56_$JKo7rgu-Sgh~%~OZ9^#F-}@epgbv!9qLP>l6~5XVIc}c3kATMe0V_uk<48xoVu3tyiD) z$`JBj?7#m17T3h}pN(t!$3$s=D*yNUFBT@YKc--RxBvPrB;Z8x10+fljGHMmd!$PE zoFKfqj@#Pgwcd7Mk9fvkR+or%GNd_boA7dC7n*FNXvulqffqTDNc+yol5IDGY4@XT z{)_5 z>0;BNIq~i#;yLH8k~zBX%IWj=YqYuB<6-TgdEPZ*T*w5#-RtH{9ixYCnYViKQ-uap zM$_Xff^EZj`6@bl`=bI>mN`d%??Dy@f5qh^vi?!zX2VI|U?^( z{L|s9@lB(p+k<9<4v}zP6!Zk679hc>iL)A%UXOn1yFUF}-USOFlf{56HZE{GW0iAs z+7;t)i|MZr;80kzQ|H{>How47#)%NtWf1yo1y!?bLoE|EfP~=3mx;;uH{pf*XA`=u zfK1K4s`2mNWYn-}8*dpl-tB$w<8B&GOZ7KRm;3F?X1hAQK8yz#e9KqcXzf<|yZA8@ z@GE632JYNkG_?;*0ykQs*FE^i!N-H5CNBd!<%B!CW zl1!SfJT`(=XYIeI3!|p^O^MQM4m#KhXS!}C8o7g5g)IGMywtl%vfvYnE)|4?vGch@ zl1LhBm9g4SBaKD_t|vzqWq+?#viSnys~nSJPQkHMvG0>eZe;@xE{eq|y^2dxI3;q^ zdRK*9_=M=q@K-W4&2)|Wo$Tcpf!{fbd*aHK?}XybO1rCJP@@V(8(va>lAXzE4pTct zh65fYZ%Lk+%>M}Sr+ho?1jaj0lp>Otoi!l2CaoqMm$SZsb3BboIx3pBjCY`tCrba$ z4bNP2%fjYbcsn8n20wEN7xtM-e%?q@YZK`m45FAYJWOy*A68;16W~^ccdjyA6iqIX zBs0i!JH%w*9o4#&rr$ zF5B0Yh4oLBRgCR%_NdpbLS>gRe$7-xqjoRaUV9^c&{d}eD!`&B!C;d5Q=e2VrOiBD zJ9}7#aiATrju018&;w#)C_pKtd0-^DVTPqkR9M{-KZ&+N(bj$@7zh_^{iK2F=W>W` zrT$@QAj>GLmb*EB-dP5szA8kmgODS@&hd?Ks0y3Ow(u^f(q-La__49KsR&EW_LctV zs{&XMLu*+S&6}g3f{?pAYjg{g4aRdDUgK6OOd!Nl9iAvZWD z`a%IZEbKowl=;L6Ixap;mMP$zoLhXMlL>8!|@X0yFJJ&OA>&^6R1|ZKx)bIT<^Q zLAPW&*A>nv6Ft&ag@Sua2_-$H?R9o$)9K3|=qK{rau{R#$7=AAOwKzm3Z{-_Duc^3 z;iEwV7jWC?B9y^2fV#^pENN2M0+sIO*6Kx<2Ke0p0X67XF4`<2K zTDnRJ0i&U|VG9Mu&09tuF9?^{h3ClD74RUUhjXO%5b(?QpxLjI_ei$Qri;q2BQ3UH z|5~d8%nf*}f^?+Gag&5#vTAu)%Dr7Sokkioaj5amp%u2Rl*E)&qBCS6;sy$LAK?eW zDg&ndi=HwDel)Se+Qg|M?EA(CZzIW*PlXjbWh6eMu6|B=BE_J2dwONYbF2l`(T>mERO4cB16Y0jfPmMW`E zI~-$sj4s+|jCnFWvkvk>Qq9VgC4Je7OCb2QIPUD@hqtU!txrJEspu3ZVC#luxTvbM zP|?Ng`o+7xTwgZ_B(o)@aKkRwE+duSQfHj2E*WZ1V5S@qq|k>@tK z;u@*He_K*wsmXWu%UK@wP!T?Cnt<&O`_;H(A&zB4=(i~DjDS__)UzoGVu-}$rX0h$ z1MIyil$-SewC6RsSbDnMI_N^Vm-k$|K^MXjrM)=O6g%}SI#MFp2Wn?c%;M;m@AjJv zT9K^WoN0;B=t-#usR$!m@Pr%U@ks;dQ+CdCn*7&IT<0!Z!VGY@e8{R!$?9u^($jLS zIflh55sKVX@5RHmC$)W6;Bu+M3t3-hFJ=rDsWK@fTS;`MK67(6IpUKZdwWW#9uwh& z9Im!)|H^w`%IJou`YGUhGNf-Bl5E&y5hwlFe2lY+lETc5Yc0v^e;Jz$hi zD&59-8kdDtzy9?SzgKQP@J}NPJW@v7iSC!~)Nzy3Np{(*7rdwrLx#7z)$!fvo&or>Lriu*P|(pPDtjvg7l`Ns&t9%}yPqs&32ZR)Fk%k*>h*nzWoyY zj`TWxOp5h@c7sIOg8^fGneBgQlrqQPb9^SMX?XF91|iW^_HCNI=X)7rag(+@w}6%t z8(4M#)otpI!NHsGbeCp`AqgP|(6WcE;AP7b8TtKwcR=X_64|;%&1X6S8{zw(5zNu$ z?H#xtxVbbRw33uLU#NrTWke`@cyny2%OGT;pOAB!7)IW>B0_#yCj{AH`ZzcZ(GrBp zm}Hw|@jHIj^MJx{mDhT{q2cVA*%b^;Itae4ZoTH42uJnv@4SRf;Em*Z_@04=QS8Et z?WBM497G^j;PXtv`EI6krRg(-(Qe{1eCRz8Dg@7S*nEMXc#Aw`p6r$A+1eS}8{L-f zDMWlrrKD$gj$|kY%JoiL_@cv`1Y-eK^SV71GQWwuNR)O58bqDiQ9>q}=OqO;*+5XA zH@w_aqp!OAYu^m&JG| z;b|OMdD^kHF}2T{CXi#9Pkqwr7oTHlF4d(7W|PL26f0k~a4Cifui*F$cSN{#r#|&K zV;hFq-e88-?YKK%Q}ZEr^g-kz30aU*1wLXAN=Z2flp0LD!Al2Y%hkibbrZ(B*?s2q zlb08}Xbfq;_tVq_Br29Cue_+D%@v}#(f{`T0z~}`+_ASglQcaj$0%4q9=%n5gPlFb zs3Sq!IVjoZX`a<3EiafSQqHQ3Dl65-^Q=)F2%c^B0WHK_M5WZ?koCu0v z=kBlPZC`l>mQEKAM;q`w?yP*jtSE6%eFxc95jbS1-&A;AU8|gB*on$@VSzjNV z5qUJE%N>YEYt(b*$zf4#5ddu4?Ioq!aq63M6_awf4Rt&;V-<826jw5_qC);gInJEl&`xGMJ*+x%?eG|ciNiqbDha@&&HMIdPI z(-a)7Kbeqp&BRmRtn_q~te6KFtYsqJ1~-ZJl;gC^I;tgKE=B?*0&gCk0ZLRAr}hDO z;FIkobac)iY+{|;9p1J&Q1^CL7@sndzy(^n(JheMKndpNW>hq80*VRlDhQb zS9XW7rXU$vB5{OCS(4Mheq^>q95R$7ZI+^5pYIY8-Aslb$At-Wk@dg!)prtVs!qQ1 zPIz%*1WRfSQPk1GH?Dd40L51~J#c~If`*cf`u?igO%T1$?l%aM6wEkYC1i@>EoO2b zm(ubKk(MHK2p-008K7=1{=!3H3Bg*orQTnnwHkI;!tKW5uB##4mPBn z=|U!LBCf*;S$u9tc2-PzAFqyxdSKb$b99AfomDOuax7=8`w2Ac#M5!k<<*;GcJ`0! zkgyOCA+=@rwOtnv(JkAkxC%Sl4J-IL9W&`7qaw$F%m-wQ0Zo_4n5gUqB3R;dZ>2{b7 z`vF6o73@u8=Y;N-RmZnsNXWY<*eXm(awJ&Ad+t!$WvGqzw;BGo8D($`x!{4+5HSc)~J;!wz2QIZ)kYNl#mz6l|^-k{`Y4-L*ENj?nn zTkfW}99P|BIZUNhyrEo=t|i}QlSOn}cG2rry}w4XF7J872E=6se>_f2%;#I|SbDEA zJdoKh_VJ?-i|=Q^-J+Gf{%Y%F_G%jNJp+pKaH4rbUj?O8DNZ*D!*~Sy_+y|A_8XE; zsXNIeQy;X63s|=FytdKe7YUImJut%?zK52oa(5U;MU8oY^ z`VNJR@e8_ze)!#0y2yuuOI(=%8x0d9d81}#ny)aAMcOI?mBG7v$5X~-CCV+{gMAfO zDnUQAwBUB&+l)UUE1bh-surSuyIM!XT8qO!;_i(s-q^c|+7$Rd)!Z zIKt;>j@9p;(z~VDSDC zM9y314T+0f(8LQJ8c}d%$oA%#EGP(EssQ2%w3OtB#^t~QR1YVe(B(b&#J-~*`|z9^ z{5%~_uPJU_i(BU%N3P|u5O7(b%n28U{>hS1kF21qf=90Pe&I-?$+G1=xt)7e=c-b3 z_5sq-fo0g$8f9+y@Ce~nI2`N=7pkmC0;xpL97)QXC+0#6U(mXBr_kqwK?KFd6Dgl? ztknc3%kp=E1Ck$IE4-^zJG(Q^o$=0xO*w_uKS%2ywjuRT2(E9K5j2^8aN3(OVrOc^ zUTmyfmx_}WOQ^?{c%8JM>TfSOfwJu9cI;9QVt|#f>bx~MCfm{Xt`l5nD_sAmMW%<& zkKtdzC6w;wpAF%IOL*xZ zG`4IOHCiFuwxA=%VoA3MVH#`Mxzmr_iMJE9ee#`rDbkl3=#uToSVn_4IXb7_D zyqf>8a=VZ#MGuUsLK?Szppnl*%{LYhOI^^Cq3UN#yBR{nsmJwR(4Nn2=gjhdTQ+!N#OCu zU;wkH@h^4*|LL%=e|T=LA#Y@5YV6F*3$UAVHe_Q27)=3w83~pCT4n;2|L6e!weakL>wig!_#X`^WBJEyZCwA4+1fb%+0j+gX{{OAS3Tt&lwi0TFT6P*d6cR) zuDWO;MYbxL;M6CdE%~PfD@$(Qr?(ep&@DK@7@!H`(+Xt7Z_`J+Ct@5m8#b=%Uz{3f z^g3ES8&=sk9?sey9bG!KJ{(-$zT4LN+MTzWHk_!9F4jirZ*!)Vk)ccgh(%#z`EaxKK=CnaAx zfkuIq{qs(XLp=H;Yqr8!zj14^y`z)D!p2bj(GPw4^~qy!7^;ZxyWj{=?Uxz#MQT^( zRWMr)t0K@+inc2CQ=zH@=$O8bjay9B$pW1 zrb@h)$iYZC83vr(0+`xi2V|bz`5J9E_{2`$+o%T`w#3!)>1pvY;ti7=C&2{f$v(Dd z?$@Okfq47u4$l zR=r{N&>}#h_*-U|DI}DmUfzq!$3GtLw;xTLaAmIFyU@Y8vm$?8LvS7W+Z&w`H@Oy| zA=7GkDWx+@D_9e&h{P8%V!tElzyy&|94erqmqZgYk4>);a`Gg6j~a;>;(q$-zgmsS zs4f_Aw(Yyo(|iWH;or#)bRHMmkr5v@8RzysGF}gYB0YufBAmHTf21Iku3*|=t*H3k zQ%!)lZ4`8~1d4jO#|gq3Gqd~|E92_&6(6&%nJ->Xb?X>Q0=8&bU~xbUzjegK!&V2TKBTpM zuWM~qj+b&Ae{jKsxdRMGJA5BIzj}NaB&e|cKr#|MU&KqP^AK182_AQ6I_CVeMo;R2 zg&$<4lAK60o9=iXr7vnp^TIG&d`hz^X<|v zAyRQq^V7BNx5LMe#0sJ;i1T^(qDwVv({|G`N+CFWdId6Q)||-sR7klN0!7~nnH6xM zlxlP1X-&7P9>9Az#Pd$q=DP(J>9Erc<_N}B4a}zPy ztBnfhk~dx%_?}d-oeieb6|=_>u|jBnY#Ms!ZSrQ1A<9$6iP+<(o?{O!tO*Q?{u1rGBA2`0afpo3$WYSWGqExLT~hsith)t^mHH_i@I$49&a1{YPG` zLBs+){%~UtDI;YjRgI`s76vBqCP@hRRB&;a7mO04UQ4}(BZ-WjaO?!{=nk}5ytyV5 z!;5cv{)IS5Rmy35`+1Bz@5lC^AT@`L?;;7G&+EXqUx4{2%Hw3*ey_`CkCub~*^6rii;Q=qR;*^>v zI=6w_Ok6_o1pgLra(z<*YVG{w;h0D}q}1bph$owPz!N2;;%|;fh4BrEzM6$S-aXPC zKY}nJ{0Uwy+l(7gd}Khzk81VeWdOPbG253SF_Zjc2Tno$#OY^_i?s|(NVFtE;vL#q zl`_k4c%u0?nrq4Ux!@R5KEn@s@;#x{IrFQ`yU%8vCF;n-{6$4j<{h!KhrzS$W*xD{ zvJ1KKl`Ohll`<3YE_?{Sbb-U4gn58dAz+qFz-jRIw9c7V`PLg$DVWiDZfkvux4yZLjgTW;G{z_?T#Cz zRJ{**gM%Si*f@JM#G{T(1EqSN1~7x#FzctV@5ZMYvz@s0@L+nq6^El%qZyL@ z$PQ)IpO;yzRW{LgZk#>K1Ugix{MYoVOvBgFJBnT-J3&t-KRsUE}e?IiRJ9HP@#+)y~ zQutH?W%UIeYs5EU4Z6}B$)q=A`FQOhh_J!-#GW)lFy1f->GQER3ZDu;6bv{rTS=}s zPYPmSJvlpb%rRE=^%c@d$gNI|3qPe$=A`%lJJHMB(_3C~@CeJP&|y};f0?U@qe_VU z^yHvmRd@ET`*YE5%=1%dUsvzL4KnvP+I*cw!C6C=yo0PKZUP)Zk!hG%*_Sn~dRr>% z0~nRM0OjrV>qFMN@Z{9X@-GSaFI-rmUM2qEpjN$BEhlpB0np6?s&(p!*n5>uoR317pN+lRfyUsCYvWcAkRHED#ox zBn`0aOA~#zrIG^h%J1cBDWDvsMCy0SUIp#D5EQzRi?*Y%7;e?%^u@XkY z{UUU+_GMtM53K?VQv??FQLixfVfTmxkxOezW3qhieZl>SY7#I6cm{MB;Zdt5kjo}S zctW5FOmD2CPmvvrB7JZtk{hxQfd)+He3adzp`pIG`4p|}Bh)XI%c@hO-*A1Ra;R5QeNb8&1Y{lt#$&i5YPzRtXfpK!DY+ zy<;cFX5{bd&xmhbyAVn+82TZPUq@ek0H=n#9sM8C#$Pu7|HZqhc-WgV{1qWED7zRr z|1OK!IeuYKGIg?ZaWpn{0sx19bVU1mC?E##s0Cag#zn}&{ueQa7zZH>+iyUl#305A z`0F32!4LRh5c-?bEh`s2BNG!L3o|_Ci%*N2!RK(QS&cu{K#?;o_*@BRn@sH!#e~ULwNAdUT;7lze|XFVW>B(H@bki*QA(JoOv@zgCY;~_lDfi`;kTxboiso;6c5Vm{CH`{!< zANAe5q}27^9-d9d!%cR&pRI3a@9?&eV~*6;Cq|jUjA>s@=JQ8b7r0|i*;6<$3=_@BM~q|LNXi`i%*Hi?{zT zea6lN=ra~VCP3FQvi(D!F>(IEkBo#Y^c3e}GDt_+b^)S#4s&Bi;0?tR0-ZO1I zSg2I?V&%%+J5CC*YH!)Cc0S>BsNW3k;m%r`Am#{!8MgEU6Ra^TN}2YULi>JQ9YC)L z!)4uT8!#6I4j;T4-noG9ucXx(2-Mb5er;sA_vxu=^0fpzmOkT1*S3qWaB|}+FU)#E z!OYy=e9_kbKc`{*%h&!7{rP)J{cS3$IJ%hrnWJ)sUjP%6iIG9b(8=`AC4xf2BBG)+ zqZ4EM45GGxNnvSg&Y*5-D`@Lv`M2`#D@9D5j2$iQo$VX}z5Ex&yO^b;le4gep(7y& zJA;hjUyqrXnE(}-I9oUY<`oC?AM*cqij)76wEyMqe`@T1*AG}ZY@PqK^zR!7n6~Cl zfXU7v>1=3YX)I`KZevQw$ROxs3~;w5%{QCI(?cdkIrZbBn*65_C2H z)1=>|1z??U|4V@hux|W$Gxfh*$qG2B@z=YU*%$$P5gYb8K%Fd==)Bv~tx&AL} z5FO{Aw;}{o!}z-azn2(5%K^)bDf6F?{%8IA$B+J>*46A$fV9?~R@qVf z9-==WJJ;ZrBM7}wKG!a0%{`@WkS*$b%>vi~8PKjQh?J-*x-xvcozY7~B!BVuY&R*4 zC;X;C`>pHEFyLwQ%+^I!_q?9KH@$1^tYS3FGs7p7;heo|5_6ON?o}8Na#gYZtLEuy z@U&DNb6Gy>rs3&R!jp}Ii!V7dg5P!w3%!fkm0ud2?c}7pYm9*1G_*Zk`D+rqJ{TItS1c&Ce zf+_j2yh`FQ6IFN>H&h?lepLj&%-oXZN6`_EoE%6??W&ti4$55Aplr7nD?if2pFKSN zSFp@puYe#yaxK+72Sy!OhFgq(Wk3K#d}|H@VXc1MFoxN%mi5@Y?gchx?En#<+;0(I zH9#@=LT}xAw}(3vWO@J)FwOQdS+b;CNut+w@(8>^>PR_uSM6e?8YqCfzKbjblI~u6yDY3|BMAL@WRLga^ovVkNovcoOs+V~c z`W58FqlA`#&N5q*njL78@ri>iSsB>iyaEYCVR`T2L^mV`=QWb<0(Y$hpvg$;#2A_C`!)+fk~9LA>~5h`Wr>5o zfnfAW6oyO501k7@6S^^*Q*(wlMZqa@6x@+)4*ol8B(c0(p&F%abOG{mtzi z0%MOQXyF|r#7kTsg`<{M9*I+r!{HnxvkP5jHj~NtIoInY#g!2*wR7@~XQq=Oz44^y zkly)l79J4uqUaCKo;l~}?7Uy=gJSd=tqLQ{DBhez&VdBzF!|&ailMfVjG_V}uoHN) z$la_!y43b0ze%H`v-m zrC2HGK5MowV^Vu#zPOSK@=u?GKyOPQ-RrY*p30tUUkO(>OD1v7XUw?|dz;U=h0HuL zOtHMbn5%eaA_HYwbDQOyziWXed6K$hyYNGOjC+7<1R zQUaycpp>2O?MY*YcVk6*+6Or$+nMQSwEBBK%?w)#=RM?o5d!B z^U{57VYzV9Ef=U)q9S8%{RxM%vI)e^_r6=Hc_rIHFui#)N137M5LS6_(^3+HrBOtl zSVXep#5V;(g=4)vl%--upmE6FFxDV()D+2Dv=wH}Sg%MBgA6%g>^q6Q2AiZGvo|Ou zM!(``kd;zovK&69pD1UEl$>~{up**u??;MerN-d%icDv$$?`F-GYf|NcS03-$$5{z zqp+7Q>?U2SmYc z1Ab!GbppDoFAiyxq*noiG@=}B2RLXivFAlww*7_EZR&yeX;xO{H5iIo@f;dQ9;#q# zF)lUAIk)8YoSK>sPlS#FN#INMk~3vvWD5!F&-~4cLE~Nu@tI?_TpZEH2Y32UB1RCq z0nEs&Rq5FCheW|AH%J=Iy)2}3UiKVM`g&WTbl$J1B2}&&$v{01eNQ7Lou`Od-#V5L zWf1J%h%mmNFjKs7jAgAYxFeZ~yt7oe&qEjT7w6A1KK`(xUwjzlw#_EZza5~$+ugnS z`o{E$Kr1S;lC0RS)ovyz^5x-e8WEvkWqUkw9*>uOJ~L5R#ZvbUtVFObm|^o$8~SL5 z_G(46tT~u{zNS!KSIrVuA2}fN9%gFzI9{I3x5#yjT)8DOwCv>GAY8&iOaiK}Z6E|$ zR4DC$rnm?7tD@aBa5Jku6`zwhv9<|ScxYy{XIBq6CdQSgE+uiie&KqFC5-LfSo12k zwsG2*Po_n-fV_`J{5xPYWLI^0X1wz0ow%Gf2o9SEBd+AFpoW$+;3T(?9amYgkd2zmL^8t)-w@FkU>83EeXV1#!nq?l zl5Gc{(!vT96ucdKO~|V2Rd^gY+K`_9m=Xk;XMchdI*=$h4$S&#k7uWRYA=a?OHp_3 z&sK3$>*P$%P$wkKyj97yM8T51A#@wX0a!N=Wxz8{D7cqcT@1!4RG8~ZJrwo6Q&G$mB@xhUBvkUOQ zinsJ$N{n&Q1B+hDF*1oHN?@!Y-l#ovnctS)%RU5T+u8 zp5vE?0Isub+MmFF1uVxWpU}Il_xQ+cuJbsj0kq`O4Ky~&ah8XtH1U0?E6z2M-vBrm z*>+7*=c2ru5-vvrExb(Ho98zK7{56F((NU&)IZn9vsF zi>`sjQLxAtNHq;7WlSs@BNAzfoU82FXmJdVgzsjf{VYp`b>?v^EWa`R;8(>8Q>wx#Z)=k)^sZ(tdBz` zYlX4@?NzKiek+N-CzY6~^#hnvgZc4afcAfqd;MF=92T#s@h)IBa0P6;^cL{=zei z&BPwUhmh1L_csa$E%LA-%&V>^z1B5tbfQhz#!oI z^SJ!qje$Q}zW=7(QW9mQe=;J4UIe^`aV-SRW(*IK65}9fKw^v3+P*Y}>Tpp}X z@Q4v78pd7hM~hbGK1F5{LmeQErj%|f42oEsyR3te*GX&z8@bT;+BoK?GwoB|O|24f ze+6R`IYJBtUN$g@RKo&sR0(}&&AvKX@APQ(2z{S!ll8mu1B))c)L7ymVEJ+OODUC^ zIjjz*DL4TAIn9TJX)a|`D!AJESr#zOcP)r1%;A%INpH=D;=#)nw*mTcyG`yTh%Y>%!thsP%m%?bReCet~MWbDOaxJ*u^@7Z2-1m?c@ ziT~0JD)bqTJA%`fCNJZE&fLq!e$*fNNGo`GX!n=0t{622Mg)jDG!>Q9q6JW+HL3L< zKeNa+^6{H@Js^HV8o|QMlrd0nv<#OqXZ;4%FBDZ2el01(}cb4-l{5DKaTR}31zZ8S(;Os7je8nUx|D^Je zViwx2k8JYnum6tH#dE_B-ngIMcY(!KM45<2cUd}!W;ea9umI0Z(M$+g8-q)%940|u zK2>X=T}%}Sj!U(};I2%q?5>;)dIBB`r<0auxWT&z#Nz^)_>`h=#CwE>C}nS@N1~cg zqM_hKt7;3f_N$eH zQGJb=bJt*m>;2AMTL8EwP+PYeG%pYNTM2&2r)ZGa3BLD}%NVfuiP5TO2xD;e5!C|gc7N10Lk+7m>{eB#=3H&Ep#kP=9{^NugSs`_rN z+AO*^R-f!uS8aL4)3B;If-_ENG+ua^aTeuJ+=2kMN)}w{3Opp~k-Fkk6jy1m{$@sO{eR#y>6dA_=vaD?-EFLVg|N zBM(|r>Yz=&`x!Xb8cE9s(^Ek5s8KuFeO?N%+XsDOuwd+C`sk6he$x8 zrZn=mb7cp)Dd#adR&9J!)1GTaPk`6Lf9o#bhE!Y1EY{y{N=F?hH9xyFDOGnDDtkcIQr8A>#Pg% zT$Tb)tc6%3iF`k}s>X;MiH8-Z8VZ_$+@xA$d^J$M*`imH#vv{Wk{=(DTMu5?6WGLJ zxIui++PODcWoz-|F7QJskzJ03wvp|)Vd(}QCOW5XF}9qv52ZbE$uOyyBvi*BDIzmC zg?RiJ8Mj&ob!nocKQ?`WrB?cG8P3=23F_n$p=he68;)G_GUu%yZMIR$Ze9xWK+!v& zC_RNIB89;gf3)as4~);yIm*bpBDGt7=Gy$hSJ97&;>9iApK{+X^EQaV*LA{^xv*8P zn#^j2=xeYD9;`DaZC2yIM@FFT+HNw~ulCJTFEv1RtaGvQhtsil^dKp_R5Mu5AnyPV z#_{)X*pcz{B8CH3buAuC7=1WD09BMlw`LM!nZ+<;Jy*DD>$X{{1@dd|R+@UTAKC@% zK-54AvBm$FMZk2SLC_QDD^lxPic;$uHi}+mTUkN7+Y5vr`t#9Bh?%fwKyxWm%TbS2 zagwqHPd0WEBlLZJvdVQ`utRYN)IFv^g*gB{Gr>KELAIO9=^hq7Xo&VHu;O zx&(YWEslRYz>B^*Xpf54@57vi_Rb&~(0wwaH-Q`kgHD$0$U9^N-1aJ0pBX z-Sh?h8xDTs`0Hi@P*_APl_>x$RN+g_!*}Zq9YCC$h-$gD#eJ4j8ZmeseU?op;Zq-x zG8`y5msn`3p|36Vum?MR8|I`gGr64hhmneIMCM3R?u+;axB9K%@RZn#$IHfy2e+I3 zyFc!eUCRX>AH)L^byhVlXBhnC&ll5qIYC{Q?0IK=xB*xux1YbN^cLv?fAFj~VDv^{ z*?w_jYTl{EnjJQP+SbEO9$eyKtAbLUF*2_h_dBtbFhflG#Kg+*@Fm^YzOhCfbmHMsKN-GbCnvni1;5KFc zyIi45S&4Yq&nJF1w!>C`b3zZN!vKUQa#`_}c>~G#QvVM8g;tX^dG)ALd|~4t`mg}S z5K^B`aAVDLl(Zidk4XnsN3W`KqD%aU=T4`*6JM*F6rCOZKkD8wM)rQ)`)u2`ZQHhO zW3`Rdwr$(CyH|6yZQEXLJ$>INGjsNS&d!`<_Q~W)Dk-Gu_o`C$x&GG|U@vK3HU?#& z2u6lxb3^%ZC0Bt$LH$OP)CV*EMR;AErv>EMGcVM2=L}qwQ(hhW;OR}6%0VzoLy5rG z#e}{hihLdVC2k44U`d;FW}j?DP^#)Kv9h~_4}*tey!HMeoEHn0_yLtPq?HUaYBX}KB(^mUk82#$Da+_QbvDw)!8-oYG0BAe#y#8yd{9fVy|3a1j z1LXK0EK4u$Xy;=8Jp%uY$bUbfSEg5SG_-ZH{|l9kJ?Mp%zc+`jmd2(^;zHkmt72&6 z^!*lPXGa%f=l{Uz??oo_KeXMl{(boWMc@B=qx4-b@z3O_z5Ull$>*<)5??}g#IT*8 z6Xqm|<=m<6&r8;x)9+L+F(VT_lU2rI=lAVxIsmC4Bje(qouh*V687}~u1{~SfV$uE z_{ZF}p%(Ysy|Y8tbvoM))7#Ip*Q?Lz%JY%8tFu*MVYexy;ABLyZp)6>o#h&fkRMgwddoJZ(Z+U?Nc&U=MS>6&>tn^ zEeVG$Os4viZ4~V8zM%S%yACUAbSz!fZPbyXtWJlUV0_kX-m$PDXVZJH*Qw}s0_AyW z97;0wYTDeY7v3-VUd!H>Z0nf?+K(Jf@Qd+Tw>G09)v;T2R8utXHV0qh#b5V-0FsxQ zZDYVW5N{@|O3KqE^^v36wfOD>%(|AY+H!^0=?w^3jdf0pz|dh+TV|qbQ0cRfSoep7 z-8^nb+=j^35}gR(VWv*k+Jzj{p|$&zpto=4-BQuNI=U#f-tRgnYJ7yxKMx<|`B5&; zj$Iq6#%!72o;s=OEi~;_nIDQ&snywuetfj(U*eHv_|Aj-*2F4Op*Ps-P&HFmq%TyV z+$g?UX>O?&Q1a(jk6`<%^!MvtWrNeTK3|kyH;4PIM3wE)u31b=iB`3l=8Vd1sks?2O} z=rFv%mNYm^`YmQe;jnfa@6k5%zSA7p<}NnkE08@fLp^NDq(0yDB4X6AOHG#UzzbbD zAH>W^s2QORlREx$ELCx*E^w?r`}7%~EYFp;Q)2cC6D}T#?D!rKLv{Qs@35u7)}{A8ZkqFQY1`f`ur}A3mD`8WwV; zEpY6z;NI3AXy+?PoFz6d;$+)uPu}E{t(pRZFEy4iDzd7nMuf?i1oFNRKA1~QYWy7c zazbd~9&%D7L&Rst#lpj_h#fD{Wf@u}!=xZm#H}mMq!0xL2cs*N6dDZN5TmedMj1;m z0BR0~Ii|uPO9+C=%weJ(_kcSXP@+G3*9p#Yr;7GU0y`(R#1o%$oW&xB9jL*T><(f1 zT=0=Qn+5pP~51KgsR79vmjX&#!G z70fHS&wSu7?s%V(U>h1%o3Rkoh-7I2Hi$ln$u&1Kkp!LH3)LoQ8 zo&`gsyAKXb93Zfi0jzi!WiB~@W;ToqdF-x98L-;)Qx*pU9xo>B3~6d9e*_qx4WbYk zujy)R0U^D?oXF0pQ?+C)iUxaP__LQ~09j?5rz{cTZ+ZXXq?W$04fDf!`%=|vXCmL` z(@KR=N5I0a>!167B0GJ8M%ot6xu5UR&A@Ssz)Rk>APq+P3RT@}hhFKIVgXa?c}q|Q zu8@D@dHHj)gUo%21M_>#Nw&s<)c|T|fYjR&GAd4{p&3qnOC*~^ztcb(wl_mM;m8ai zbFr)-pwbo#d&5Tqe4m(877XD?Cl-*Hv-4|A5ZqvyFZA!WUngBdrIDPUBdlUQ4dP|{ zOu2Wsti9%yk=bOyDjeWo_)GB$M(qbVYqKQ}j#x$3!|Tq^6Qtlly1PRgpRs-0E9ABr()8 z1pn}jHG0uL<+v5$)XZ8!OleltbgQZKt8UOavkK`4T?p?YOItODh*@|kDKDIeq#Fs7 zG%xL`0}fb?a=1RQN5Ae}{)p5bnH6}-A0Ar_w1@<`yF}^lFSG_pM%dsOn);Y}UW%LM zDT})>h7nn^PyZE0HU|gn25oxiByMuI*4#9RQ**NUL~S8p47ABk`0q@Oka4LJM7fua z0nD+SAp0wc)wWS?_dBXM(m+Dr!2-cf+YeVeWKDHgodNdtDR4yb<9D=_r>gw;D@q&X z^}>AK$*!T_IgbaaMMq2zht0v6Q4L#dw;fmEbG<=n`NA<5Wk{w9KhD$6C0!9143tW9 z#v&Y@1VRjkFqL{u7G981$rEu6kj;8Cdxo_7^WR%?697CMqWB&n?=?rTrXDcb7+(g& zHjnK$V-E4$r(QJR&ids_VGXn{mhG|{E)~%EZAL_Ipxb?D&@QLM^9lK#Gm?Vyr7PhU z>W6YN+O#Z$E}f|m2jZXnXgJnGuP47NR#k_0!7c%;2DxH zk;D(x+n(H!19)4WS7^0Zw6pYThC9n)S(qj!Q*oaW(s;=qGqGMplqJ{_W=)KL3Y+UL z5!uO#TmcVQ48DkRG;;(f>P2Ptj9-1d(bEXBK=qx3Ofk&bv2n5n=JKrX93OIlEDXLd{=i0XBg#$I)_M}>ss-B zqB!M=_<^pc;#YGw1nBUv{+xlk-ka_uqG5CNrafj{6RLml_K+1j_fbh9&zY1gY&4Yv znpGVu{%C}LWqSm0S2$clC``U&N_0?q z>Nf|!9DJQxVXvfcNLkxlSo;hq-pPPI_{N4=Bu3V3=IaDGyyVEB!S54#_rQlKk)u1f z2lXE`uqO^Yz@K$Sp|cjen1}9oG(Nn8gFktU*$WnZ1e0>&wE6AC39jH1f^GaSR^)h1 zsqKkPaMssy1@BGZZT{TafnpsQz5v<0Iit6T^@vLd@vXpZLA(43d{5WHDr_1l6RDr( z<3B1)-*)w|%9p>|tL2N~CWHf?FGWfFRfrlcQ^my0pKkn!&vbtKhQ&@w<)lKh<7&jt zmGm>0HO$47a#5aibYm4b1RqRb38t$Ez92C6+J8;X`N|Bn)4cUyLOT zV{9qj%+6ZhvB^Mw=mN!&=eX_d4lRp@!=oE;7T@$lwY+@-Ubtcw)*{D^j$6h=Vk{wh z1KW*1)4)gQqWeSV*Dm%*wzltk+c0STEkOfQ#d~*xZNl|%XPgG0{)6H64Wc~I!v6kd zU0~Or*+L+%0hZd473_97cc9CZ4@3H;c@iFtz;O`BPOM;1tvi+U+ulxYq5nEJf|c?A zu!LpSZ^iK6D#U-&EcXxf*8g$%|NAWWEeQSRSx!syuX^jh5+wS>nZnk*6Lm1O+`TT$ z>LtJb3^ffBz_kiYjZCBvN#))aOz;1+LvWwbcio=&s=GfA`=>BjiKLR{h^m( zlh6#EixfXJOOtMLTM?4mgsZn7K=wl1@wR+A%33$qKn%YUAJE8x+i*|%$=gGlJMQ_0 zk$exufAVzTC)cX|o$mMRiUN@*xVQb)*Q1Ev&Nq)OB>nvc7#t+Vx{-6=^*doAhtb6( za5o_R;wI~3jsKSr#<5U_1rU6@f~AS$KvNhKEhhSG2@mhfWoMb|W0I)=`~(d%%{UP) z6WKh33a#btfLkefZ&r@TGQXbK?5g>905rXCTg3BfZ=@&UkSXuW0~_XQeMFF%POs%S zmvOsuOVoa*=+htkhO;|*hP6FNTF-8pUU1~tzP#WF==Wr<98xkLO|=J}(S1kZKqRnV zQR;PVV(1hV9Y9O=xnQ||6ol~&3+2gtm1W3HIpIuHJ@mJ~?$l(?k6ud@M*g|3m! z(}l}QgPBJ&8yb|Z6zI1pGCh%=y@T$o!}d4^fssdHVtNlCE!wmNH+MdCtc#5~D@&k_ zxc0y|=ff{uyT<;S7 zto;P|le}-F8$ig3n&eV2YCU6sLM45a7x?KNCB@MoS#%avw_32)N4;khC=HRi(GjYq zD&&eLG;Vhgm$3b?(X|Ueo6hJ`}Wn#(3H8Smiz=eBEmP&J-mzd9ZM)OGvco-iW zPdsgz27J)ValOnzD{9X5EN8ILPT9qtrgxQs`pgorTu@j)_$L;i7RYKIgrLYe03><% zz9RiH0}R&o3IXJ|YICNoY?Dw=#?lWjBM2ieaxm)Q-Dn&XCIyDi=%*lplL7U@vk>xU z54eCPhAdN8k$9rI6dpZtgil5|YX-5VIU>VZ^~>*h)Va|sf00VBO08}oTr*MV&EBN5 zUT%*sC(ymJ@0h?B`z{J<*^j`63lIvN?kk4jWU9Nw#0YW%wa6P*atiO=X`~>wlEA`k zO+D+B&%Z3w4n!)Gq_KY6F3_DuWvU1Vf9IGJ!YIZ(`t#Lon)s&+9RnJ$t*h~u#~#8JW!8ZF`>cuhJr3u*`gKYV$Pv2BP- z1UVb)>-t?g|Oz^_Hh#?4HmLIU@7=>FkbzE{C&Zi6M9_FT&hnHgLFm z<~I!;jok%nqfMVhLiksXZpEAeVfiRkY50N@#l>0y%kgdhXfs)w8iPc=_}`QS_rgqP-L zh^OnxGgGke29L#pM`U;)7jd2A3DDYr2*rMf1;ePt5JaB zKiK0EC|3cCEquU$$BR&m4pN5*j&V!8dh3ocWUOQzz5-92F=Pp>1SGhKII0Vi0KHjq zD=#$C&bTow-{7Kwp(HY8`UZUwj8aLMmt*0SzXZ(P-Im(mfjOVqWkE__RmT}lAVAH-Ad|Z zvWDKvM?7RXD3uW0*jYv=6uTBXR0SJwOaC9+5q2DkT_j7-Z?tS#q!d#{7`a!e>p#b1 zbQq!e_m?jCk$LOLEIYVaIyNIRQGX-{G-cKtna(nh-&9>*&@p1?z@kMbm}vy3QdWvQ z(JGjB>dClSZK!=Y3o=|}UP&UrFM9P{*gaj`WZVeweF3{GUakL&O~}97sq+tMD*qGv zj(@L%=5N;hzm+nKe;xkcU}QGde=_AQYW?RFY$CH~+FJ#ac%Orrfk)fgNh7z}tH2-B zEehg~T5_FRy`N?hV~Cd_&Uo;mwBZFI%md$T+6<}7nKnPwn{-@p-#Eupd#y(q}#jtTye$uz#LKwlZn!K2p#2pQM* zI+gFjjEGmqk7UE)5)S>O#KrC*)=aX97g9J^0b}Z*1|g`@2j{SSEc(zTeh3Cpn`HFb zz14~g0yvcSwV>=C-J*Xi)dh-)L5+dat@;XAVGw`w?laXbJN8ceSnZ!j32_79S8P|2 zs`=HSAvxC9c2>|tyI*~GY zg7}2*Od&}B^X@6u7{-1r=0`6@n#`uIM)~CvZ$mpZ$|U_o7n6D)v-iO|(m6et9(;Rj znOtrem#$?&*IC@TOJjLxw_T@)bvVAoMlfC?zYfy9+9un<>eDCL`D)cmdV9_r>x)N00V2q<{3+%X%}Fh~AT%axe-jDZw9UiBFPa z0%0&0RROIz1j-QeEf>MGS9fYAnPL4w_4{;clNsn{!Vnuq(JdSCEX&ax^1P1ykw%V~ z>Lf?y#C6RRUA}>!97C~Yk}J69$^8L=$SuViU8_K0&sHKwyU8(6PSO-|qX4VCaD~)3 z!iQz*&ed8Cf_TX6oHaoONF1&LIK&E)I8?(tf) z1Xp2_0#|6s@pv}IY7x4WF4Cm@a*aeO9_fLsA;PZ=b0SeZ*&iZvZz!rFe4)$AR$QQ~ za|-j`W4?gMpHJG)FSJrTxOV`2ip|7(4zBZr@<|#8QIt!{G|{Cs2qqgZTb`$$kZkSO zjEsw1GEePv_olog+buIIJ9QOzFH~bDbNZm_B8_pQ#kxpmo7vrl3=M-7=+sX78*aaE zHhN0-n~b0HoLqdOnv3?~*J%Nq#;-+djRtsY@PlBd~M^!k_%3^x<=$~`BPkt9HZ#7uLD&5$JD zT_ug{wB4abNrGTVTtqES*EczO(&62%ZT2(sDed10EmBdp{23*b4{H?$;Kwof)P}Lj z3Rrw&w?bjdTW`Lav$c=-S#NF@A3IW}ZsUNk71vY4oviM%VKaTfLrz}xa)@HqE_Iq( zxDUeCQ#GV)Tk8mt;>hyLl;ahlq7_F*JP3FURaYYVwOWV8`0-HMma=O8FofRb>jwu; ziCOK?Wt5JSG&%mBCxvd0gw7gN^OF#gm0+s6{kdE$C}@3vyT-_&zz_A(gAnWL*LgY; zYXc~5S+Piz=*Ml1Dk9En?_;9oi~jn%fbVd7?XrIj3dZ$WwzZyibyYaDS`}o-_MAB6 z+;PXY<-Da)BAPSzTt-^}a6t`^8&G!aePmZ!B{(`=a-LmnFF zWxsk$xlqV*t>(+@5*B-#<_nxHLgn+PVVV_?p1U^E zPb*{#Yeu!XV}z3ajN7nezyUvhj#OQ9+?^0ea5plxDoiKuC$wQ>G1qmVyaur_KxO&zEf(Z~}tx&6|TQpL(#o1ZE zJZnF#D5U_{SPHH@O@5ZmpGsl7f!~7+8~4S9AGCGA7%yq4PyZWTgccY$^C$BJkET$2 zDIUg6L;_WT&Shh3I)5{OShiK4EW`k#?OZ+}^$gPi8Jz)ABWGi%3E(KPJTPTe;V;?V zM$hK-4sJSwD}zd~A>mzKs}fohH#DN1Np^xdi_%ELBaAXm_#ghex0%#?%Avex3pCi+ zjGl2e*A?ez6Vs;rR69S2;G|I8Kf82f1q0}Pz`SPZy&ezWb&aMPdpD28=0^rtegYXe zvGVeL2`H7(*!8Kkeg-YBwyS`Lx(VSI0h9QUx&DX}l#UC`utl$4hGXWN6b_*+0j=R@|7f%vI@}5-8sRQS?dgjD z2$HD;lJ}wE{#5p6c;lUCOZKl*g}Y!%cILqNj@65ug$W+Kb#kHzKGuRAz+Jw*)nFaN zvy?{3((ToF%&5noz7SUv54MMJNf(%T_~)1Gk2sNn9?n&(ZM|FcYgaAx`BxfxS?CE8B9aKXPI!@*kHjv7-53K}Mhe{6VLrFE%815##%lCDhnF3(|p#YA7m37;+)5N2!oY*@1egM>L+diGY4Q=+ko>iW=zJ2Un3u(z|H36r8Xwzft+wUU z*PHnfjPKj|-AD8Fyz;xlrpCS7rbeaPLZsWyx!bk|qn6vNCt&@@Q`b5>8_fF#gSNWc zWO6k-u2=eZX$|;A#&$)fua2GCjGLSZ1fAF^ITES_3rDM=4f(^HIvHOzYq*Y^en zBS>MYFQV#jkjWHh5hbXqISOeu+tAa0euyqUJettX05dm6XlP5CL9(OLus#7__qKIC zA0LW7T*6;hoV}8NU)A37e(EV$-lp$RRc+l|?Derk;zmRL26l8CO|3n5yYXkQPOKWA zN40L9Yrd;36@!$7C3%Bgs~J2DwzN}VKGd#WOX7I>G~-%E_PPNaavDQ&)jdd2y^@nK zM9QI&ka17ID@daYY-RqRkS5--NY(;vw4M}WV`sY1PkK$+_(KK&<_sz?h(b|zxGkUI z6?XhKjy#b_)BR9HDr5J!GS9ca!DxV{R#7lr`tQaBiO+=p+lx~%FTHJ{)WG+Xor&;_>e+#i<)lGqtE5EK)0CblyL&MduN zn3c4hzkfFaGkSpIa8QBi=?rdx#6SJN%ZluSzAUi8Dxt?uV*S`Y7%_>1*}vG?px3i#5;rwXu{SL%{@^m0X$!kgpSSA8GQ<9HxjGmZ*^`ahJu3l zsQIR67lzHsK=oTQiRYZRLsWv0GQ|a1$b%lxvAdnfXr76B8O7xg^fJ20b41 z;gvTI6GTi6`{ywo*J(Mk34-p@k*8V_@Wq@X21Gpn(70HJ6tc4cRr+f=?pPc zmSAoyk2_iW(~MiiEZn4=+ihPhKfqPwCj7z}2!0eN{gigf1V<7W!&`3L*1c?+)Y0`5hKx*~wx`~Z>y;P{~W8WW9%i&?W>r(R9k2UPE}J*o&q348gtBTVk{jJnvjJI zvz1AqL9kujOX>G5f(3X`0O>ijxK5gNbyWlgbrykCHu3pJN|0X`CDm1XGT+L^5hfiv zauTwk^4TFp$rvi=e$bGKFtKSXH%#6kA;4@utQtgE{mMQvHMt*7;( z3kmlfj#*?KL3F=O!^#~4Z=-_4bB!vS%ZzAP99lmq|8kuRXJo&UYeBg6Tumh2)|1>3 zwL1EyCjcyE-4zT;;bsZVEoM)3xU{9dDP_tVaF#qJ9xttU+lH|UoEs?en8zYoc9cJL z8szBuWWF%RJ+4z!P8=)i+CgD>&MX^(NSIR_J-G31SZ178=4KBixbf)?$}cZE*>*ty z16neY`4IaQpLT5n-1sY;6mu3R7Bf!7(U(hA=0fuw`&`NrKHy22c71@F39=)EOTvfz zc+B{q;YCBI-(vjAF+Op1#$_43K!+#foQ%i`^cP@9nDpX8mA^H;Qi1dD%avs6r)O1j znzGk<(c_|K{U06uwJYwTUcTR1%^^Bv#-}GgA>+W$}Z%9!%r->)) zPc(oNpWlk)1_eup(dq+7asrwssnIVtx`k~i>m7=It@UnoTPApu_iA=PQ5o23i5lcj z1Rw<_eVPDfFA%D43|EG?j+iXxGARuF0!xB>kRCVOq%K?HOWLHjT}pj`78 z+OiQebgG0Obmyg@(G-EDZVee<6rPVn!;>4|q?Y}VOscnZ2usScZG#O8{h_jO&ig~X zQjo9>I48BrOJx{hZ$pI%b^3Z8vhG}YMbmI}W(ag-R-f23CL88Lqst8Yb z(ea(2jL=s)kS_i3p>q=8De%?7!^?9AY5Lc%U&*auaa-gF5qN!v;!%ByyI!bW?Y6tj zLH@;Gu=ame^>GGJV!qlTLj!sOzn(tYuC?1BYkjbe)r)sdkHF-IN$cM+(%wE|l4A=t zUwcegz4u)>PTG8bJ1WQpmJd&s1_gF098RPv%77vz7*?YJ+Xv;G*x%VSuq41T z_TV4Tlbr(v%BhJyf*sZxu~IGU8F5fys+<_x%1yO{)**`wEEfT6slA0R;g>4a;>3^R z-8zU~94X8yr%d?}&E%riW4`bLiJovYg3 z^mvoV#}Hy(yoj}i6f8J#=H8ddI1l$`{w4geL&lfK^-%Q@<94qKwb8fGk;|*;&=LC1 zv)w00UG~HJEw*j%RIjfim%ESskIjK?lfA?d_$N1}(H;Kh#yj;VH*y|$c zrO>xd8qNKY*eAE^`E6I`M773tsi#JdoD}A4JabX4=_93L*e(5m>4Td?`>b}?oqL%M z7R{+6x=pql)Ae<39Q(A7be>Ny-zQ*-MXHh-6lEslB{`!jKy}i;t&krsMJnu1EALr+}TO_Lk^rnq+a~0`d`F1`;bT^%T+);063%&>ggH76skV0hh?kE9&$h`23VQ;zlyxp)aSi8yCw-Q{L; zewaJ4k&~{FpYMpZp>p1hKrhXxS-NVd1vkRk!qVFkDp`YDP;*f0kU9`^o?`)qF4OK$ zO`J>i-RmkC^I&+)EGH0w=MRv+IdG#up|%1=a;fg4*kVrO3{sHPfuw) zNWmQ4Wl7*Af6f?<~Ry@e9pqC#n4y&8xjFf${9F_X0?Yzvc@ zy*%lY7<>p29r{d+?FH`Bw~q2V{(8W-lD1l4C%KWKD>haFlp@MqX*%%Dha1PX0ca@{2wJ^ zdd#tCtFdEu(E3ZD@X&d<4VzZcFJO^HQ5;cN?D#ajqRc$jTp+-B6N_zVBLBPD83t>X ziU}iFHa$bOl_Zw_Gl9K$DzQ~Z#N3B`r0h^wLC|FIlGI;3v>G!cpqLimzYXW_!m1ZD zGRR>SIqm%EcmwZq*(7GhN`9=hjtn+3~?vR9B>Z^1)gBXpIWS$nx<{cM_bV(WRM zSitO8qP`1}IVunOx_!$K4S;=QMUn^uHi#3!=j|$SW$Edec4jUi&TTMkPpb~$8cyXc zAej~=6pp8@85+DJZFlRJolBBm#8h!m&xq+8&61y~K#-D~O`e`1kbY4(*bQnjYUO5U z6Yn#fG75GDNKH&KHx%TiX2Omrst9^A;G+lLPEdX?*g+sVoNumJN{}nL%kvy3ih-Dhn4e%$uSLAs2zKska%itD~5<9{U zH=@f~>2YB!cB)UkehHFU)N(wv-cOOL*8$m5i@m&1(ekD0q*KXct%5QhMI+$-vnA9uuMNi9j$-Kj z4@W=2Z{umZ=>qDx&zwxz(CmcMQZeKm_@tzm#}=+-4PMN1uI zvo7aCbq?#)1~EB=!cCEapjKYI5}%`I?TM)45y*sLj{(BnAIAfnNf9unxC_o|c z;<%XtJumHMyiT^30gb7xz}&6rU85c}1A&))Iz{@GV~c_Tj{cmVv1E!%k+Y3xyRdf} zuvr4i;69_zP{#TFWIi9;?g6@cK#oo|g!g1O?s;!yPRxd)QSTlgYhi^h;!_n!q6L{< zCvp9jX6{HRb?)eApz7?VkR_;u%am}H)lt)ur&Ka;5FSUG0D$G|A@&k zeD}MiX5Ov$99WG+OPLM-->K~g0EbjsXC9wAN{SHYB`4Ej<-b}kPp1u{B1t*>k|tP?9OdUE^^0e|=2n_5T^8aa z?ZN7Ph@XO9v1#|eb$v7wV?oe~>DbkmCv-?|^#q*f7HGwuoB|x)<}cYH5%A`@_j#&l zhl*rtoALazM~0-@aGx^gl@!r{!B(Zs2Ni~G9ECf!4&4s%Pd;U6q-$T;}WDl=;ZqO(D#BZ1RRnaD}92Z%G z5gARZn_Pq@qJPjACFk;Rw9#G%(5Ef>yRMMy#u=n;JCurHe2-E>3^qc*Y%7G6#{Bok zJ$jk1_GtSftfDp`WpyLUs{G+Z3m(9eU*;AXL>sa-t?G`6pW7-*s}E=X@<5DpAHH0N8X%67pP#79+K3euuuLUfEk`6LlhHs7N~j_ zoRWrYLe0(YB`k50>C5`$TPNX`ITseeuo;jY_aoBLqc`sg1Ws|E`(GMC{02Y#L{=rS z?m?sPg+R*|J~DG$!3`0spGbV^8mWas?nbg?j$`#*1>gWQ`j$PM99s=pj-KjRviD#? zn8)r=VXxFt^Cw>YOi#lT90J9St9jTnOs2Vjju|&61*s=p4?Vj}`F1e#%2Wgc_8Od3 z=QzV((oaFNTb{?B2h1?D>*3W}2Di3)c$vf}IaM&Wq<712VX`PED(}&`QoewZ*Nyl7 z>&b`d-|d6`pIIX?{hinIZ}T{F{6kjp--rJaT)$i>jHkFPcE{_#P)Z|JS+4ugqTB$^TE9n|V zhke@(twfhiq+c3J@b1d6bNkYn#CIK9ynd;ux+~dGo6c>_)$2ClpQNX+*^)@9f0;ZC zHeq}ZtkoE#GNkj{uo z<6n?HcpqGs;F?04ow&6Dy^#AEH!$`;ycm_du*15BBf}q!FPcevqNnOP@`^OQ7QDTe?M3oO*}Mr{yi_>(l$# zfsr5=Em`VJWwMrNd(-BbMCe|Sz#Fnf_JZW7NPR!)8fy+0LWNyK-X$`b8MS>`kVNmK z!0RdGf7HO(8>7;H-(f*(@$f79Vs=Fd7M~#9g8saUVHW^3<7}&vM^bgfp^s5=u<$z1 z*-&f5|D^N$G#nQC_eEKr>a2(*H%N=VUq|0X_^#0jkJ$wem!t^Q&tpuLI9$`P)4FMU zI8TjKmm=+#?oq3Vxss6Cya1#{Eb!rhV@=x`tX& zHr~VLh{5KTD?r+v+j0PVNQ`C%VL4-F0e6X8HsS=dym6h`JV-pTU!h18>O4&tFUMR6 zkUAiVDb%F5XGurWr09+7(r>oAE=Nc#BM64~hl0w*nAS=dI)8=B3Vz4fVE;}$Iz;## zJ-xzk3kZ~c1J#o>(lGrSPR+tz%Dp5<^d&e8)iHDF11Iq6%)PyXdp~y21<-C?EeSU% zI!$Ig>}&{TOq9K#ezs}r$HbVhmVU}Z>DsaLDVKj#=b`EgYA{6#A^w<(az&-3s}~Y8 zN6nN9>~Hl~kTs^VluPl;dD1D5L0DIg=}DaS`1k&C_M*)4FhggW1U1gsZsQ{vd-y+o zCDIUSJ9%m&1VMWrOXsZ6&GH;nUP^mwI|1|>S30Q-4$5rsp^&DiEO6K3M*jQb4O+O* zn}uRaheqCcet7nyc{adt-F!+C-Z)AojclVcoIlK#7 zmj&6My`2W3*XJa3MFIo4dx#vP6hV8LRMZgQx!|zr3@$gpB(~rigzf#60Do9!ANnHZ z(JuMxx=Ha~F%}}MQH^m;jOr;qcuE(<`vUvvp#MQiaAI!TrQjMvX}BE?5kDxVHHPg$ zZnDJ_yMcS?Y{ilj$^(4}I9>9eTV0c(+&`cQXyIBy^IyP! zp)7i&TLK&umT{Ki3KlZjgleWl%~#+GTA0$B-e^wm?HY>tu=?ei1opI&@bv!FLi<@E zdb__PIg-y?pXTmKj;oS`1$wLIQIE*x?JUSR;YF2m&T@J@UeQDDCi0x{{!`&c#vOZ} zedH$Yu->Azz^@g2!2tecQWIK23Um*XAb(N7T~oIdHABH4bKSe?XpZPYKxr zkA(tkp=cQ3Az4Ljh~cE1sAaZA{zSW;SJ)fHlw`5!(d$MiD4r-xIjM>PZ;TC);tYhV z6pZP79NUacCK{Uc>&o6oc_f;b}3%O$a?b6EB!Aik0W6xFboGMVv0xt>`*064xhkfBd$ zz#rOvi}~|WMlmhv3?;r;wd^R4m_a-X)D&}!9Rf1_m=?&>J1{b=#^}sHsDvocq0Ku) zFAczp#O~`=)HD{4xxLDnSn(@KkX^CH%QZD5$&v1(W#b+fk;Q<>gzyzDkGE>D6+KWL z+2q7?C-7s&f3o6xA=_TDDFBpaYbQ?~d~A}TbV?BNPu3zD!&3r|cB{m|&PO1;iDxhs zwUk#EI-fF{c7F;9Df>gS_5*t<4z$7tcxLhEWi}3|b9ufe$YSO<^dJlzXRHkhp!sdv z348H2Gsd}>=Yt{Y3oEn4HUwSBY@0_{ZRYm^-HIFRZm3vU>-7viUhFP8pvKZi#b!1b(nTZg%$2 zRfDiiB~+IP{0TmXjyCx(Na_DHFCo*v*Gu>hZPcuPAO7E9CKk^BjhUqF_BoJxz<>MB zC}NSoQUU8Pu4xz2Z&$nzezC3BGl9-NWD_rdph0)$BR#Bn# zzDD$PtUg|PH=iV`*Vfp+_GndQaJ{&@w0gXspIx2Sx4$-PwdgLkW?sE=d3-#|-o0ME zUz~MIj2&EkzRQr{eD3_!GN826Dtm=DHSOLo80(vz>n-zjpLW_bN@vPj#D@ODRt$;$^R3)g2Uw?o=eGI z4aL}nuOxR4ezLXXTwPMR)ncafLP9R>ua*G|*91ttKJ)TVeZ13s58!6TiKG#*7?3Uo zT6)as(s`2OlyY=347#-Xk#n43pJ0f;S_TrN-6?{pkd(1XM2(tFbV$~Z-Y=$e6Q)KI zn+f3iC$P+H3WEO^b>|QzYq+K9v~AnAZJo4j+d666wr$(CZJx}NHaqL~q;GfMRW-OZ zs8}(GN$kUCZPt&t@$UcwP6yqKlbECgQ90OKEun?MNjaJmo_`3Sj{i=wGRI^AL~pVu==RK6+vVg zCC}-Q*Ss-Wtc8fIW94su48arG3ticnsPmmJ%dTaR=+jw->K3XPl!!OHcuWLEvt7ZX zU&c~O2g}f^kc_gimD}9NHO#TTgQg#Ykx>;iX3B9s=%7^HqusFWiC*z^Y(Z!-y2=H? z7naKMc+YNLKxj7t{@9Mx*sWSwD_T6l3KmoAMIQK8xNunR2)Lh*qS0n%L6NcF_>rR2tCjUw7%L zNLep-yv}BqPvWWG({hZjsW03ps51$i)fHGYu@!%ojuP07=-j!Y5-|1KvoSyQL8me= zF0C8E%DyO#VFR5F#R8k(h=#5Xi+w2P1L9EH5oe`+&<<)?Q#7@ujCUeCHZCu_dM)pe zo*tqEibMv@P;6K*)3@HC8(h3jiUb`A8G;Y-lB>ny*LQME-|2^|^`1N037y8;(c)o5 zP`N;3kHv{1%%v9LMx28y(N8-b@yD=n#$}$INhbxok2Ex18GT?PtII-Fw=|s$@56G4 zDb@2P7zC_sHKqXwahy}~6u3qBd{`4Y>SS)yn`f?*W8 z>p;8rbSr69sE=7~RiBD2WfiO!>MZd}uQ%iyo1F~3Cy}g7O&jXBj!;byh`RY<(4oHBVI1& zE6d}Fho~5cN=~9*?@YlHn6NHxhd*irj#O$@ZEOd3a9hC+P-dhk>x&SpoxUfo&o-3j zE*b%C@jf_rNjWmPbeK;mfBlhkF-OSD3Y^TbRsrlOQYiAb4A9;#Xajc@-0?O~=U z;Ib)D2xUTew36}G7V$ivR}cZf#1Jn{)C<-arr~=G*cn-0Bxj2@?;xD}MOn70-Jl(P zRv-7|W4K5ycJQH@63DR@uPOFMa<9z`)44Mc16X{mEw+ zlxiN6BE|CgdV+*!cuUtw=g5&+VFYT^0ml?auiA1Ue8oDYL?JtH6*Ek;M@O{tUe>D?#Y!NCMQk;OgEVmUs zjw7ionu&#e1El-hEZ2nMKnM$~gd1(v*up#DEqk#+5(xt1%RG?Dj5I^Jz{H^!1;A6P ziRFteQmb0`Z}MH$R8^2pKzBy}_Nm>!g%_}X@{h+ibj|Y2CuUVpHw*3L6C9Xd!Bdh4 zH-}LMVruA&C|ZLpCTNaiKx@2;sCh&CqEbc?M!E$CkLeq%V$Agyd_^iLcWiUoMX&(u@cuYWjlB~pBhmvx>mt0YaNDiQKh9~v1Np7Oev+xVdfDI&@UcCOA z){OvI6*cJ*IgNnN}nQL8=xGWtDZ9KeKyWp|nKJAQITL zcYRNcqrksZ8F$T(x1v3NKZkFVbYv3E0?T7a>!g-97^jUn>s1A!HjuhVI3U_hk5B!t zSe-#L|NhN-J>Mgk-H137*tWm~?F+y7_oz3EG<-m;4BW1fd|&05O8~z@oG9d1%8N(i z&o3OOi?>9d!YjT1OTlasbR~t={QWSAJ@i^*xaKYO8M z1OkyKoiVtD+^C98;3A}4y$3J1m=@*7xhj|C5bjvqWtEv-`!*5f+1DU7DY7Ny*)!5m zzQ+Y?qJ?_g3JD4|r2&#M_&jwEr;l)#F|g}I{8p0WvxQR6A+qyCn_w4x^q=Q~8zYRF zw$yX9a8KEp7Ikvp>IjOZ!}g|Bz@ZKOdAUb^6g744K|#G;M{taw<#OJ zH+2~}qH1k`GiC~#3T%xs)lLBN zWo`H6;h!7>`u;fnxRkzocA-k-%Dyp9lvP$NCR#7?SSb2Q8lsJ&-$-?&+GbjE-!htT z5jHv(B$lH2Kyi1CKQ@4Zm>cCDe|ezO~!7N-NG{8=qNu z3Y6;6GRZFYTP8GA`SljR_YDvTl2ts$_QLwGC+`wW+k2zT(n}7aL8*+C&j)`$ zIr;hp&(RoZ$3yZY$)JcNM@We^9kCewqiOpceSyns0qe{^-9ogPEWu&|8mQvL^k9(w z)a;vspSU%b56SVCd*h)rws$-3^1x1|lR$D?_Un=J@lqXu%z{j>*`(ZJJe&6L#dMzk zb5Z>fdczVFZMva|*aDCZ7OkV|jXc0Nr|)i)%Vdz+Y+ShFauW?Ng`==?KNd3sm^Yqf zmJ(TV`>~KYO}!c9;{;p2R`L@cLw&W8HfqU9ej{gRv2L~E&t4EiFsUydW0B!Ptk(Yf}ia(kn$f6~U4*#tn4Kvx2(FO&_Tkro3b z#o`WHKXawhEQ~EBPJaXvOAruC#ojlJ9-pya!U^RC?HF_F9OfN`(w>`;mswg61R7%i zPPU#qNUDVej^QfzG?pCvPJaTdM_F9HDJVYX2FKb}mp|xiU0%Ad`di5E{5j0&l!RzE z9sEO>nR8G#G;M|tL0?h~V=NJ>iytVt^Y^xz0CL zG*BQg0Id&{ST7s?8Dbo5VD!{gONf6eOhKiDn=9&~8`vEV4`h3QmaNs5ohHhwZ=;1ty=R(Q8FU5{|DBn{=A{|H}I1!YBpb zx(dD+D0~>0$U?{NA$|}5m%*{<-4pfe3sNSF5}M?XGuj9g>lF$PkI3EQ1#DH-o*_;= zLo^Q1h;!Q`Q{P(wPFn}22g&r57<6}s%hz^F)DCC03qaE)j8ngS(@idomUlJ9nx1WZ znHq8#fcnfaoZ4pSb<`u_sqp5jx18}&h66bDdPS!e6WiVoO~#29o`hzb|Z*EAV3xH4Fc+NW8v z7n#OT`L^|dUAK#aWy?aycT+~gLs)IJonRgrVB(&P%%Ml~xHI5( zuTp=VHmG?IGp|ywIhl+%7_Vyh!W8Gs*PR`aP9jBuQ`e$tG@g4c(uH_;05N)dZP<+8 zuwwMOv-mlVP?~-17`PUcvzT$NNE0WNq$FT=P4$q-QwEKRFIv#85x!0#Tvfs^jcpxO zRD$J3pD%Bo)VHX+soyLAo*JStP$a`0FyYS7(nJDb4h78s*bhVmEhLE*mz<_dyhi*k zH&8suFy)m*K4(-F;U2-X-bSo!&*31%Hhb54jK2{N&z>2e4K@41Id!z6woNlUftL{72m?H4j0YuQoyK#c&nwx_|wt|Ab2Xim0E zEqkQ=Of#E#^w+}MQ3)X!82<<13%-jMS=jPkUq}PE``=C$u57H35D9I z*{!aA7?(GIrQXAkTTQ{q2A{T^>!GDO%Yk188Qu;B@d*o>{yE|UEv|re%gI0DRV4LS zLC7&&$fA~?V(dxEZB$4MTCjLLsxr#ge1K$I*g&Cvz{CPM zqz4E?=camzc={N40AN4K#AY{I=FHfD>UGQS!wi5l#3ub@qSqWpgZ@9HRXG$bT#ZNo z^^UPY;J+9{K`4M6?*u0<9mGW$hO!&Kx9oS-rsV znIb%KaOCir0$i}yyduFG2!snq&k6=}%4Am7@Uq+68ie9JN%Mka(d~~b!$8tR{Fc?%4y1hMV$gYA@2Q8YZ<5pPWM!j_4~IpA$*(TN7;hR{32k*r%XAMZ zW2J?YfCEC~HL{#dg(SxnbC;OVp5{`$<`;pyqq;&q6oh$5Mcd!&G=Z#u%L{^u@=4QOF^c zh$|nhh_%QG-jNrHaH{<2W=jzN)G&M;)=gv^n2*&l@_INMEQnI~#B=%O*8B|Do|~b} zkUUsRY_WmQYaUWIdJjfdm+(*)3Xe03&5(G?Cy7gfN$;4qL%ZuTkr;vFLa;JQFz&u9 zmh7(fCmv=81^t#H_cW3CptRkMp z4C(38yl-^#x*tUvqcZ{CjkY01O$7jD=S9o*-jyM!8RH_m#FXjcoFcL z<<&wqJct(cuIu{=c;oQq7i^>Ot!6fhycdXAMKiKoV1dD(F)#>K<--&BP4YqlLUhyH zPQb6N>N9Eu%=r0C0n{!I+Wy16(q7KtPU-V2y6NtdWH-t3?cltz_elwyUMxCl(jANn zY%lIIhw|>qt_<0>_N%7nz?2R5aL4D&_ekmT5L%e%&$VVuqb*|V*qqMmlPE(LK)xsO zlw)VZCu5Ew%#XGA$^EMZGvW5?CL^!4d)Kx`-Xp@IlD^L;tJGX1nhyD#3?f&A*)>!1B+E-hT}LFI){+ z*#1$({!h%J-If?q5A>YxUOWsF?Nel|Xk4(7SvC!ugll0iye!-j4C{gC5GK5M%Hy?? z%Cz|SsXkg+7NmZ5A&Yq{`%)|2b>Xp*7&g+_;EZOC^njI1R+M4H3{@R*cxW{F? z#GU_ zi#R^guiuW6{HN??O{N`LcJ@Mh!Ltns#p&d*}-R|$x$HTq!^ac37 z9@wI4z4>zAcTcBo3Z9R(729GC+cjVBUI#DrQEi6hTo;oS`o(pMxpS{qmiC7bwOn1A z!#Sc&+WYKKI%?o<8QJX|@ zwRZ<1BpyM#?whuXL&s_TE}&?-oixEz6L*GJj9WMv$G8+4(G^7%jGY6eE^4fWt*62% zSAeSpnk?2OKsn?sED7AEf?##F+j~K_7paN;EpWC(0g8_AicK6P?~P`AgJnVsgQGX$ z$@mSYIs@81EiyJ=_IKqD+!Z4%D|4?sRJMQ;ZH*>a^=zjzza(ggI^lufN941=+X0l$$Ez1%n<_MvW>w$-cl#=EJ6Tb+pR z29{R2duYg-wbjef^-AS_Hv61T^EPoXy99vhJ2vnBZD^-ys`?1#&@>#8c_^dsNDe7q zE>}tlO!jTQu|Qolzkw!x01VOGJZ#N*6b-+}*5;L#=A<_I(6bkMmHzl6hPUU4k-f*k z4uvef*D~A#VR=I!*BI2ar$J-PNEjjD3+HMi%4V5oS<80X-}>Kn{+~}^Y4#9cXnmPWF}Q}GmC)|WcB>4 z#AmnZ>Uu_<+z}UNpR;h)26A8iH}DQ-&7s=YVikpC(w3N(0(n--8CB$_-o2D>v`0WR z#68A6lb5K?qSWruysKZ-U5#JrMYpZGC;e~3`9P<1 zcHgc6q<*KEz|pf+eZ^!tf=lmZ;K&rAkn+7d5c@D1Ni><5{VPy(W$1VD@TT~Lel*u-~=lANA)XX)#u7VYx72xXPKyOk?WG zA(#lkpAg|gvP7!RSYxOI3CRg1QJh9?y2}N@fMpzbm24$uZsNu#%{+1CDeO>_`X!Vq zL?9g6+o&)sq@+b8XUrP%37_vEMzUmCl@3@9>9bu>;!P`R$vUdvMDp{OlpUD^%iA~#rPO9f^QwT406zZF7VlX1_dxffeR`g~RqCPf3LED{!j^NjfUup0eDN85pnPYXa2| z@|ctL|1k7)(`VZiCCEIe8#Rl`ijfQ{h^K83Xu8T|#@aYU-g)zCWSN|lZ}^81$@fR| zvkh7#L#J4%Irp*!NUAtmGU6nF^jpis@d(+b>(eXnL$TX1>o=OOOJ2H?Uk?=yXtYbD zE7z`{D8|8~rqL0V2ac~Lpb%K+|MkbK!EgOs_TA|P**Yb2+3)h?ZA0F{Ov3g4Hje># zw=l&h9%sHqVZffxP#)dv5QPZq2_Ps^;dayN`d(V6#BPgH?+3v5?vIMtm$|<;&Joit zAQt1Zp$+~9K^e9VmkFx?~39}YfoW*M@Z0S z!@(gWg1WlAaZcEXkja{6Nj<<}v;^?Z%9XNi$Us{%J8+*55?10*Q+<9)fITn%J;P;^ zG{^+b$?mqWg$$fM~e? zS@>wg@P-z(ApI0H?S=wp(VJ_kv=T<6vn|r|DcHtDB#)=OBL1Tk51H>*GPl{He4$@R z%yDOUaQX?t3D4i>`DRA=#Jm-*aqH~;VUsDQmq^<9UuimY6<`bdjHdeid_#%YcM#&eM~%&$1s|S$6SBm` zt8TA>1BX!-M77CG@T~p$F~6z@t!X~`FZSL42CVSE0Ul)fx59%g|E!+;$MFAr-~AB? z{Uen7f9$*e0S}HK`tt96{Ro8ohYx)mTOkzJWHp=2=bG9J;9c>|!^s&})I`p+-mkeE zr4@f7tirr#V8-!8W!*jgr+I=Pqy$vVRkHF5TbQTR$=tR7y8@+Qo z{9AvvcT$g!_s?zkya=^WxuyN8u}R0Ona~HLH>XeS>)FgAT~?0#*mV)v?-mO88ZtX_ zOlql@ri1U}%J-K;NU35+lTu_H$d?JLjgw$Fy+ct0$J=Cd<$gz#l0)s>PgoujdZ-bV z20!|ga3%%S;{;N%yDt6l@}A!JYxeP;dvYTIytZ_OcE)gHvQrZv>FMo2x!tYZ&xuxAzm?C!xIG)dMY5-mFH{Jzsg4`l(IUSAUM(%s{t@ zdQbd?w?#nlZ(K0SMP4{~gDl2u)pztLnx$gimC2F~)3zR&l2IkFez(3@qv-oN-A%Av z+g;-UepkOr&h!X}k}U{bGW0gtlx~3b z3~V)>w;=2?8`f4!O)j+n9Zj|oh3r`i7tu;w2p~?rq>``!J$u*sSRrf3=~a1T)X?dZ zOlj6jo(U*pA~T`{_RCEQt%^l5fL}vE>5*vyzo3$+=!Hn-Fwrfx2OL={J>kEzZ%1;s z1H?k8%sdm>xYV}g`9ES5TC4Rb2?da&fKI{4uW|UOp8ujh;HVoFF7lKF~+9JZ-+*f+RoI+OMoEYAP_8Vn+55>zV;cBZZz?_ONcFY z1KD`%Z~IZ^;(B&)6Y1c?<=s#4A}z*)B=3N;U<(YJ)WQw??udXB41h$YS>?ho1V^r^ zgG#=Y>61v)FCIa%q~WneL?TZUOawtX)d)yr=&zbiPphRVwMrEdNJp2jo^yI$gIg-4 z`60b8UjUc?;f6E7HS{*GNY;I6($8< zZw*-6;!KMoTt1 zrCK25Puw7MzDGfoYi&u>1IAAW{!F;CAGVU_;P$;;l9J)TOhxLFPi;+0pYbyRoVFmC zzo%9gK)Qcbkfr`0a}Crsarv{!L4sVLc_BGncpb8rKICAw zww0Y>*0tZk$7(C={5&^)3%v}b|NU#XSnix7$;Gj0x{+GFn*m*M+V#R+(z}EgsHR`v zSgBmX`)peVTfIF0_#(+}R&s>aJ2;kH4htfM?bN)9f-C(32)bQ=>V?JB*fBmce9WrD z1sTXFB8KKAfMyF*(n77qR$nqfrXlYouoRS~M`N$P-qS>9_Hy>7O?~^6 zXT~Ns`i@tB=!EkoLEQ__y2I26O!#i%sH#^-|I-YttjETF&Pp9*9Rpgkf|~H#vwW-4 zv3d(R1BDc2Jvj3Abu6}=!EiKlDq9l32-iT|ng3##LKllLKo8ik5rbxv{R#_3DwfBf zfbkMp2ABzO@cj_U>UON$rf292naxJiRisqCstKQQhE4ET2!GkmD6c%=N~jjnD)t_2 zjD@wzQ%t6VttWy!?M=?+chFGd$vLrlG%U9_^mHEpRO872AW_Q~ z?7(Ssc<;pLve#wfjE>*ld=>(W{LjbUomO?n@7 zGDacs&fLz^a^(GBn$5{weZXzknav@SG`5X2YxY!HuoQV5wp#L)R6axS14dX^NmVcl zj3aMVGPr~`N|#oK1C^5;t(X2Y;E`W^X8td15C>?5kq8vtBMw@^EnDe9b*O%ebGXjv$6o{1ia8oCEO1awUhn zWhb5tT@KS%8f>~p)U^HUx((Y3Muz)fl;f?V#Lg&1x%vW2V~FQ&NXJMizZJA(L%oDG zq47RvKHJ;KY&g z#^~`QQPdEz-Shopb(dp_*bk)^^s`;y{)y;9uXR-g%0c;)DGU0`UPkIz8E;JTaK8#2 zCCnJ-os{@P-G2-Wv~0nA{5d*mM;SwB9L}TwE~&7g;a0M0KuA%%DPaJU(>Q*t4?b0< z?NC!pW~6_kRHcuPhqjOpjFVQqGrHOW;VfqW(WRAEC-!m94Fk10yov*2cb!9KU*X*5rg0E2TpFS|-0H>fM8-U_y zhq~C#r|d{l!Q^=1jd%HXnSx>lug#dFjpYJHSEV`l2_4Qy^S1O8+u2k zpF^QZdSg#)bvQ19?sEAImE}!pRNjaT&~hz`RyEfg;7ocyp=8Lkrs`xXU`tfx#VQKm z^0CTjJG_+@4pj}8@bT7W8a_~pYdEU4Zl5hY7>J*>-Y*2Tq%BO$Bc3z_!gz-JuVkc!4BrTT~b9bo{n z`YGuy;Xj;9Js!b7%ceMQtGPu}SyCnGduUO_n%(G@eNw|R{|k$R8^gy_098dBupdS_ zhb_B?bSqzS*cptVGaD?vZeJ-7cJ**F@kt|&4SppyYHeFFhM>^aqzmBK%L7a+sN zRM5b`^lj+{qIdNqenU`GD7BsTSngH4I~~5Ef5qtlR$T^}>d?#Y{ zPm$B+N_uP!RlI5SRvHuwUpI`cz82|V8{*OzahIH)a4;{ch_fyPhfv`wKDYZm2MwIBG zkA^MddN_=ez)8`vfwn^HMdK0h(-}M*N;AQD&Pf;0^hj`_qo<-U*;<L9nbbkSz=?`^5lHUD}8zRJ|GS-5np9QW|%Znlo0tz za}#5ybAPd4O8kTs#%Ir?Vm5zrP{-Enk{YT5yHX#$+JE?WRT)wfCM;|Ag8HIuL`541 zc1Idz6da5Kr!bN&XHFZQ;KL9yOroS4{30X56UL+#vJjJ)Yn{3!}E+I5od+X@Cvxh4kP_HtiE-ba6?O7Grk<_|a*p`hK zOjX8mqz4QZ#tsPghn`GdGI^G;N&s~_T1GLSC*ay8&oyms6ATwHUe5?|K-xiXuOYL~ z(IGf5%Ofh#f3l#jztK{59<*ls26Ps4X^E;lw|?c1eT&??(M0izVrZs9=Yqv2`3Xaj z=kbbXd3A0TF34p+6%G3{Spj?;-L}a!;V}#ih9n_cFblecU-H18ir0Lw(Gqy1*Z`=* z;Fxg8+qyP(LDDQTp3=)176Wz#(kyaxG(^;bx&FNS@iy^WVJN}?(Y!2l|6oX1bX6<* zt)|4M_dw_t&%RYQMIojgkwQk`h=jX8^yat%xqgSn!xk>JhqgrWa}LO8@dx;zSQl-S z!?ESl^SEeP(;oa}_dM;1dU+VMzNFwUw;4EptMzkkIrLRJu(oS2y6Xs_*;ZPUR}h^A zzC~`@AmTjlgd#H}sQvB4W8kVQ)%bT-SZZgeJ>BW9VxWGUqWs+p zqq!FA1FO{bW|KMzeu!FQ0j{HVbh1{Ki&vBe3T%a`7aKx6z6ndThv3B6HS)Nx&D0?0 zRX{ld?b2PO@~>9ZVzab3xCDVr=&NSgc^=TnB2ds2@?WHE4=7P)vz#|*EQ4JRyV-Qx z4WDj)eV~Fj$4kQf+RwJiSu^x=Nt`>}32V3*i-NN{ z*cl*383j5fFOI<;sJr3I7I;RQveZf`$qLwp{FRhQq6l+3YY{z$LBszZs zJO$wWxYU%vQ>v{tR9XuH2LR z%}z@^u?;<{ZK50v4pmrqQ{=Pxp+l?~?=8Dyd7a;Ok|oz0Hx4HExBl~TbAOo63ihl% z9g?Zc@YF2Nu7aTEVS->VVcph0kFxtO#zhF8v)I~T&gH^aEOU}ZofU)io%w!TI$!ML z6he!2lOxCE2`Vklc#GC`+4J^(hCND`jpQ9fs7ZIL&8f_Om1&+mw@(iwaxZ#EIu-7W zPk_Jx-&G|Xsb6IlsV_8BIQxy?fy}GSfTOrV^f4)Io19~^p+x6YEnTR^{T98cgC6Em z4KBtH9Otlo%+3{0r@`N)&QePUsn$39gA z*8*9hr(8jI2R=J-Sc;yYaMB2s+p~N7TXesaD)wlri-#KbNy3dEt33mq!F>57v0-Qb zH{ZNm2{F))uUFaO6i%Nh16lV}8rfseN?#Z3e1X(W1)mU|U^cVPmCYj#9*zf+Qah=TnR<4uf zpB<6^82(?_+}Jt(pX9o9>|q$quKCR~vIOT49)jlWS^ zPnu6MZ@YFX@kykQEEcC|FeBCA8Z-$idcRecrZYbce(TZ0T|Qo9vWE(HyPKz6-cSE^ zecqh@yI9lyTC7{W`Bt~na?k1Y{)Ox1<@MX;UZE~xdq+My|rKs5EB`t!?j<+xgt?@`-*`4POAYGA65xSac-Pux#e^X!S_xzS#mEzDlFUL8!L`nX{*L zf`mPBhXz#xus8Y5x4kI$T23B&@E2?LoI+SczCKdou2pGsJd3-{COUUgT-EUA-mDns zH3s(O#&@tXp3vX1nZ;fWw%|%$B|+|zko;AC;})EFuJKs*n1C}%Zm`;m5@$RiBd)En zWjH&VB`?ZQm3P9~Xp$EwDCaV;qAcYI<9OY-SLc2Qdh^nPPG0JPw0=MoLyvkd4l&r? ztsrW~`IM8Xt2*E-Iw)Or_rBRCZ#F5IysPdSecz6JgI2`1sJt^v-el6xcy`LcuvG3h z@o!Y#&pp?~K^H}i*3YK4W!G6(j@(4uY^AFsQK3x7+UB)7S0d<63k3mewQ`0<9wk`X z*rlO}PUYHGItu~`IllI|4;PEVpED7B<+mfztSfk@J8mtJdtAq}B}KdP&zMvOd`cL^#Od@^?@ z*k-W#fMPmlrCxy~9{OI8Nkf3odL3aCcA8!2uXEZ^bguTt=)Q*wUR^d;4GtGAHXHEl z74VxPa?d+}jwRe^Kq+X{Cm>uW?_Lssi3!?qM;r2Hjv$guilQ+jKraf;GD|$vGAP~X zqixnOhIdwHX)~@#1AoSn#ppk+etA+VWEv}Vy=XG$k`{JPepN2e0UhtAa`aHpGRD}o zG6TQ>0-k$5o*w>nT~n)GqwRbJ9SlWCX? z>pG2ci~$3`Bqx@KexLc*OTc0U4EP|4sqF;BM#c@8$QY1P8pENI1zjg&UV`!osJ%$7 z^puWb?4;OYX=NRL3JePZfE))>Yh|}LlqBfOsLo$u)1bDcG0+|P3Et{+{AMhBZn1RC znVhE(Y!+n^^6GZl2UZq2hy<)lO}nq?`uSwey{urOjUE$rc>g(}_ZdjR=>Qnck7JW& zOFhbv;9Htp=b!WBNfsWqbhJEU%F4rBNT1E!2{5JJ&y%m z_?dztm~?#+7@Rr)&xUem^sW(u4V!(e`56$q&8bD^;5{#Tk_()F^;w|Fb8+F`XY|1X zu&8O4ywzWEGhim1s4^m`21Nl+!x5;UQyQg0qAI?KE}ul@8EO7} zJOou6Cw2NUihamS+=`E2>v+Ued&<#4*G8BM#9%86D&4Yrto?M+Tg{UQHRO08G@ARb zCQV|G>T3kroP~u!J@Yw=B5K%shC@L;MxY|bOi}i4WZMQ6NIE88K$#8<#px7tU_ z!B0bd@c@T5s|kzKVDd)4mO~ap{L*Q-V=BKPH2?v^2H7sS z8+b&h#|i_S!kM=f4coA%NxOIy5LDyh{)CTRlSB!ssVW#RF&&O*=e0!?WPx?$R@RRJ zLIfF$@5=(;Nw|b}C@?IFIq)KeOCTU&1>@=X9UiBiVTwXt(`h=KL!$y*osIOUqu~(D zY()i;c#`l}IW7${?kgOtA32ScX6UU&%@PRK%`KBo z&`>=4X=+3wKgMA#5W}u~O>;^FYC4{h;arH&4q4kaG#E$R+}j4+x9p3cs0EQyemzL4 z7mdpClcNQWh4!$FM`(nv>3RlC?6-8&$ z6>fm0o{UN*Uh{=Wp_V}*4npXf8Vwli5Zf0Nc+!p{-59#*Ev=ZpjaToTvn38{a#3D` zXwyzmS)xh)qYM{S@W0Z5W6;C}XTR8gQ}@6)Cju!jY;Y zv8U#74Wn*BiokbOkG4{0;AkEFUM}o=HhU$}sh_?iQZFY&GB&K9d~;c_Mox+`BSA9k zsFQ@^=-UM!5!(o#OsIkp!B3o$$pNZ>HF#-G$^hFKJU3S_ndP&lIP@BsHJUD7Ll$}Y z`y3iC0`xb3EIL;O6f`b61x_hWx)>B&i$_#gzcE9s5}XFlW=ma#SrP;ZdSY{R=hYhV zK#e#oPpx&}2VHDO9$J!@E<<1$bccu&PayaAxKJHf#we!*+>Up=!w{!LO??b6(m4Q+ za|pUzoAhAi9gs?-lbk<;!MtwKH-_;yD5G~{vAjs|9RV|Kfe%Ggf2@#LVDMvXs7qgg zH<6>{DEmY6_*;xRBAB9h`Ipn>8xp@K0N4Obc^V#G{oy)*neS#mmR)(jon%Mqg~%8k zJdLI^%?vG9L<7bV<8%+dxbu$-AO{G>(t-=So=@kk(4tDKxZ(yMXw3;O8y1c^V>dQ! zsrd`R?OxPcP zIMcTQVorJy^IxbzHvte5xvB1o}Aoy zYk%LJ+}z(2-}RKny6DI`c9G!|X2E06qUr3zLATz)htTfRuKcd=18w24pv)ewYBDlC zy8W@PgVkv?hSt9$F6?h-*hp1O_l#) z&a9q+5@Y?S{xN43e%{{!7AuOVjDlYRa@1EGpBC(LfiHHg&~Tg zDy@OwQIWZnl8F*b#C}9PE!DHLKHsET9zIN9dw`jkqEX`{%t040H5r@X&%If>pZ6a$ zUhfwdKjKVSkGIuT{2k+mJG;hZqRETpqh0(+zgW=`lKeYS-INgJt$U^zJM_Pcy))>_#48bN%?$He(QdincNr%uSls{I{>g%+fsXIMNt4@+#gXB>4395U!1OWOfC%Nq==3j z#Se3tpxIL62T zR3JI9%omEPadMYV6p#!xpFUm;5x{s980A{mk&E8OS=X1-IT|!m+NOuWwchgK3zLo~ zdPdHxTw*zG8*?AX{`0U4Y_*Z{0Vf^*I+fP@k2v#0?=Roz^BZ(tL5&I^1~Z7czY5DT zgosp0K3?T6Su=~3dxlgljbOoPyv3*s%+>De2M|*x=#!R}p;gd7`}L!RFH;(_6M(>F zTiPi7z;D-Gy^yVIJNm*6TE7UrWxN$u@OF}Ng_^;)m}4@G4zd8~H!$o)PQ|C2mqU6L z+u(i69dMtgw4JerD9#Y=YuU&*y)wOp_(_=&=qMPdK`=_R6hQA3^gR)MH}h#lK;I0z zD%=88TSKoCmPI;=6{7gLtHJnZ;4`QIEMkq zmV#$(gWO@N#k&X`gAk1X?4K6@F1Ik#gN(wRBVZHH#S&9=AyF%{9|I6lco)uQ=_+!nWLFc?x+l<9?{EU*}`8M55(8> zz=)Vlm>;H;9%pDBZ!9YSx>5i@vMQUQ7V;~J%9abPV0bN#!X?M1p8_16b}O82`jcO8 zR$%o2ivglVYz7QZ+PH*UpS38d(&mT^=Z{ma_1p(H+k00F{4jjKn_@lvaJ63|74Auu zQ^fyZyNhqJma){fKw3&jZDm7cEp<6oD1QLA_g@=jt+ljmBN}7v^Tu%mbj* z_Vo9f%*Bx&R4z`j=H@tw!hlEc{9#7Z45=D^M+vN}4YOyXVY_Wu8ag-F1(kfh*{Qvw z6-%v7Q`!hgdljcr$GY~QaLO-pG1!MN7n3$wa}HfII3>QCdLmL@QsbQN!ho<%!vWO5 z7GH7bl3O&kKg+_yJP~ab&y zo*?OOg(3i!=M4l5`VN2>nM}w0nnJ1s6D5J z(s7vu(3rkLQS=t;LSDcZ9j8}y&Dc1SJ8)5xAg|0>I}A0f_?8G0H(Fw$`vC+cb9w1Z z#S5w%bZYd#eTVo&4ph8sd6T^RjORI1U^$hz%_1YhscyypH3jAW3`5ZmA&bvaEB1k*a!R+OLc1iXP|q~CrDlk`JeX7p9TEhI+|4s520Dz z(XXT(T;86_7>l>E*1JB6iXBTZ%?*DfOU;J%Lq8R^eQV%iBc@x`GfIfT=p;y&Frw_| z2FYM+Jpd$6q(}YcDJv@F<-t`C`D*Na=1d$0oVBjM3QKjGe?oL_^AR?|cMIOPJ8$9i zb8iZH-xyL_CES6{P2FPx4AY-KCXDZDbq$I`>tr^1uOHmK^}*G726W45^t~<9IoSrN z&toeJ^H1vgxkP-$KWxQ?XOd`e!SOZ2dhb`PZ)R3SP_BV6ka@_Kw^MKmLn3uJ%}|AF zr9wUs63Pk~H!8B|uCfz5-MH;%L!ak{c7D*ZDc6+KbDPtyus3= z86CelGEseD-k7kPW+eIF7a){1H;J|r&U3(p5eP~Jz)0BmG6{~TKuQ4jx_HDotxrL7 z+AWQt2U*kKfT9AG%s@AeUp0k7wP@E!I4S6dj?eI`Lg5Uf#SK15g!`eR=;Fe8#a==P zL@H7Ij=nLbv!b5EuvYiH8U->FUS)NI4A}Zv&s9vKg1>wZj(rv&CVDdsV4FU3%wal3=N)M) zX)l1Z;Leo`d1^!yD{1f=UWMlJZTxFq7S%NjKW86|k@&y~#OupD={uN1EQPnAK_Y=k zn)PsiPqPF8mEkxoYiq&wj&D^^1a|Tpii5%nyMm;kRvHR7;mJQaB0?vr*-Ld&C7_1%f zl+;KTlb;QqAFi}hNxaOW>d{s!M5J!=R4}<%eX9-R-ZG?4C(~u28E%pSnlN-2mK?WI@+~*96Xqh_~!^@kpbxO`H7|g1)JmZ27ImQER#;Th~uVpSRl>?6u z=8N>WGEdGb_o}`kvyQNxwW_3=Z1JmehgAt`56ChQM*ZXEnjIuC96k#uTB-uH?F}uoxMiMiNoKR<8eU$ML+u>fal< zU>-!Lx_I#P5_qv+O7`l_lB*2hDZL*6V}($Cg@-=0qiVcDmhV!D*U>gavk}6ZCy$&m z=^G9kfDz+c-97*)mTm3X?3uUls3Yq1X?Pyhw;M$S^ziH1&3IJKe8%%x3!Kk?4!q!q zv0IY0`t|AG4~qA4>N(70E}vBj`3Vma5p?+9+)n+|$TXb@6F$SgyFdR+y`q0NoBlC) z`agxQjQ=|P|2t&3%;E9VjCp9Wu-_fV8#*>5>ihYrnr{uJ}9|?N&%)h5{ozqdpx>jXPGhy6K&F z|MW>`Z=oS4@K)`~Z(PH|t)luExA<`KzC%^2IS&GI`lnP@k6e0A^qlm#&~3T*jJB^K zfxhWr&)zi{QKa&uW}$Xg?zO~y)73maH2X?e6lVwmih^vXsW4Yo_M7EX!zSEF&61d` zV^=dqenL|RCXt|VWb{f77-gM_Fb**mfKa(LUro<^y;5j$di)0aSy#fCiX1~>m0HBG zY|8b6=gsjxwKitCe|3p&hG zx*uxxg}cxdrJAnHWylyIk75=M%LtB=12uODKl+=Y2fPhT9@Er}j^gRVQ+ZV1u!Dkh z_jS!YEWB-_zw;NNZ~4OtOo`kJ?YnOQbyeLtx_6WWu_Dsnszc_AEWVbAldaSdeoRYl zRBYYcrRwnY=K-FjMtw~Pg)40J3tL%Hth79k3GKeNtb0H)JG$ARU^k*NLZGrlJ_Ye& zH+(rI!8ajTOl~`3sp+M33As^F{)6X{3%LpvnQs#4&zc46^*P7<~t1-7~BvG$9%F&NqY0YWYtNbQ9VwBuGiD4mc~uUgazV-4Y{b zmXgU|3bynaSw;aC06olIrP+O>?W9e#HB>6feeLr6>BRg*YRIz<8_*Ivj(UJHp-cDC znf>fe@=NRv2A}ewn4ch+NC;R;U!8!w>ukE~P63p`H0!^#o)VHdUj#PV`eXa_QLp`B zr-i6C@~z#r88+~s%Wi=B_P!v8Tz6q>)wNzC?Hj#!QI;5TZ0feAhA=T|QPA$U=zZJ@w)r^oV2dS)-g3qjGU}C{l=d%9%7R1MDJK+U+@fw+XSbx- zzYX6}xc)N3T1=vd-ll8(>{T3^#wHq3Og8q*Vw&MUhd9QvsJ3R~6q|-}=|GxZfS57Y zQdj56F2GocK0z;cVw^G}jp(CD9V65jP?OaO@v)Gk`;N65k@SJ=(=c-6q6g~gZMw5@T-HNEWa0jOYAfd2ov}ReWw+(RZ&o-(Mf=h*Vqm3EGwoizFYkh;2~?u$AFqNZt_Am zS;`H!YRb2(H@%~4rIXsjqs|pSun7(BG72_${x=i)0gN%e?aR3k3L(t(W0HcJ0GBB0 zF5KYe{$Er?S--xsdE?JN(WAdip=J)|c*6!HC`jE(qg~^32HA=vhcjXiS4H^4 zB@9wFq?VXmWf~-}cIk8NLxW1Kft*%TL~|rwAblItj`Fo%5EWk+{GbzCQ@r51v#;nM zVxa&s;$d?lC(g;in&{Z_H~Mv+&$wtIG~KVV zPiUB4Fl%6_E^wzOYJ7uP7sB`)JMHEHwGuR?dg`U&???k*Gt3TZ z(TX?Rl_-c3L)ocGKPcRJJ=QviQ=nR=s-2Ajm$#Qs+lu-`&HMK#@CIreSUzLJ4Nq zcIl}xfT$@at9TFLYk_7R_HP9*G#SDcWUuU)grz1%Q!6sCZgbHB=+e2^VGg+)3hz`W zFDub-W1&qK&J%gvv7W`#h=(7e=Gg|lop1$k4$!`$viJE>wQT%`+kGy@-s&gU71=s| z9_GZzl#*gBN^(wML{G$}JX%T68<5kQp@UvmK&jN@iQ51p1EG ze5Wx@UPhHo&Zov`W`?{0fhuVkBZowiSK<(dC9)QztP=llzk99*^Pbj|ennJ4l zTXa<7G&1o}`XV^cunW>OGcjxQ8o~I_W5V|l3bYWAk>JK>qcHZEs@B9mRA(~*BlnWi(w!_-FX7A;hksD z?OyJ!p8j_irQ~P(mdg6|xS>cZGDzu0Q+I>EVjF2oGadc31w4e0C~Z602`nw2h{LI- z>ejI9s^W1Ihh-jLt5dzn=q}wki;P#!;-|U#Xr6WY@YO^>S&c2 z0*oNpyXI~M@`O|ijr{e%TVh|nb&e()g*^`pmjOk#J_md{mX&4c=`)|4=Gk32%rJ>S zog+!$&&dd8Ce^Y>BKaxCF~5Z#T0(-gzax@lc8ehcS$qQSwGJoDX@o-A1rlikD*71n2DSlBEMQ2D%=^-^DqbEm-K-23-FM*6EB?-FI0;+9z!(9Khu-v0m;}IX2H~y z|B}MHbYF~f`i))o=2|j>$W*;!czWkdiNd(w`-1DGtnu0#E`EJod$}(``wQsJb0Z&W zd)hL4`StXYi@tNj^(}V+qpDhcK(P40o$YD5IIhxvgo1cMoSZE{Jnn-IdLsDXzexiB zG)(@#o&^3`to%Qnw2c2lCoLQOzx!?fH3?|iY>Xp%v+jQP0(s%XUq0!K8O62RW)@0s z>}ImiP7B)qfaS@dM2gYmLt;K&CdO?jXA{+rYUs(Whu4>rmy@h$=lkXjR@dcgEN&0S z`{o^0#uM(@o^7q_ydTbX4+nYOuSNeJSzW$5GP-}>a=L#$emvX{_)!oXUq1@{C9tm_>TRMI3`)U#RE+G5QjUeM^J+VQqn z8m#xdNG;PR>m4$xHD4(=ufQ{Bje1B}eR#Ru;49gj50RYi#4_OtpH?B{vxrU0Pw> z*!=oB-!WVwdI1#A}=dDo47M4w8-flI|K5gA?B2ajpER&+VQqxv- z5xH5Fnc2r^6}Hm&q{N%AC+t%y4V~d1(b7BrYqj!Za*T5Pk+IzH1ptP(lJgKi9oenM zpYkGUkF)@+&{H0e9?{WvMwnviJn5I5^D~L|PLOE^xs_(VMU1HfA=V*Vi~3C$ zkcj48JcbkT2#bU=d2+;99-;=5DP4s!u*eR9Ax!etbjNJ4k>kK`6Hjvz>QImxpp$Ha zu||%~YatXZCe=x)q~Bl&O44YLsEAG)Spf~HM5)p3In%JiZ45&Uy&)(_9qTl<;DyqJF#$&I zqect*j1RhWk<_iy67fjXV+iMiJDA+zaKxd31Qb+wD*zbtOXrZ?)bKal!ZVb|kz3!f zQi_EO7j*(f5u&VZ>I_jx;)L;RTopoPs^I@>kT-U zQWim2Kt>x?IXnRN(mZc?37nWc2|a19#1)XW$-S_5=>h!rrgG{_+@n*a?coaNVQEwn`d$fPEDrI%uG z65ku`P5(6DXV0lra2##Esl2>HS9Eu%rV|0Q!0v_aAj*(X+QJ-`Gx2S+QfT;%-RhQW)!+!`>F7^PuApLVxfd8*PvEQ@b8}W^3ri2DJ_QZYf}nZ?nsJJE{U{+K;TlBPu#`t)s!2Mf zw~Kg#GZr@x*xcBTrOgOu%>~p%l~rFN)a5aQD2dZ3rMGoxEHxKf{$ClnKv{T9Te-t- zpEwWq7)YOza@nq#@$BWMgtBVFA<0RY3T8Y2K;>eX*goj!pO6hB_kJhJmJyL-2k`YQ2b>LYF@?0)(N^Q@$yxD!b|IDQ zh{;`p$}c9yJ(syvA$m0V>tGz(i7(mDDsAgmY+o^SedRSciA|ugD}O^jI9?Qzg1uBa znI#AbV+a@!EPbLZalyxie*ja1k)<2saq^Y;d{q5#@r zd|s3<0P)ab>;Ogt6HCK-$;E0-gN$^7M)iV7XiS!2NR}Vk4Y{akrd+-Jo4vg3mQ+oP zDj2nJgoM>(f?}PSHBYUk<7y0xa?$AjxDnPwL%I$+jeck=y?oQbUly(yAlaU22Ss7_yHV~>+jvL{`U;b?r zgZSi|f)*S`F?8#O{noz^K?*-bSwySO!w!Eo6X1T8v+e(_+qxc4{ZpR;rxu)>XOgYC zV>>r*cmo{p#Jd-$9O|fbn@&ux|CP*=oprRxkP|y7r8eP(!CQltzTXKbGtv>pA9tE zASX6ciJbkbxNt+^3GfN>44fnrJfU~rSEkaS&D|Z$Yg#m!KU9kPFxRIZCS)eh3toBv z1Z98zhSO}{QRX&Z>cj%-{4AUU00;Cjj=&%V?Pg8>;3KVpQFMR!q5+|%5gWTG9En97 zQghD>!mX^`8g1q)LJ(P4A3u3?%%fbsW+JjY*KD7=z@Sn4ok9uy(JTq$=^>u|3&>cL zWa<_=?HbpnO67_4j=`nPA`2cdE>`QV+Rx%r_7JLqGW-q*MZWVHoQAm}o46?mq0?HV3+wj$^Dl>u$D<6~6PdIfEM%#+<_-d&TBpL*DTAO1hMbYS~`sLnKg^ssD5|I)+q z$IKI|49dp!8u(dvw77&&Rkuv(L1I7wy6P z&8We1`nNMl z@N{sq`$S7#Jv{!p_a_1U8KNSjYn77t*dbG0oMR{JTBET3AuOIP{{ixpr|om10?k2VqhC+C+}#D9b#eX3r6 z@EG12Eb^rBByLt);$6-fvkWx%H%vOHgovBYT&QF!2cDU;HC{jhH+lN%cu*}h(s3d6 zB*|p-u?K&Wn5Uzm8(!in(PRdE_*@euYbnCCF}Ji{6$kg*uaJodA8j4t9#794;*}hP z6TF@8D?tUV?*j7`agCM;AD?N`)nAYaZUnkRElh1kIu{*WfaK|qID9P^(HMe(K)6`3 z(7Q~&CaoKWELCu90K3-k7{1SThS$(Tt1l>6w$$p?Yf4EZ(~ZC(<{Ow_w2VijHqN$7 z`ROFC5LEMCQ9U)bgZ)HMIzUZXI5JzsoKcnMWcK2&amJ-~YDGJIATrLI)xE96hOjZ} z3v|>}5A#@x-)@!7Y-F^Uk{N>FU$86b9;kSX#hE}BT3erY04vvi=d_xmjcY6@GD>fk zI-m?KXZpYqvYL6);l}lkP&S(amgZz2xJAr%ihH|6!vt@AbPhdp9%QYF@Of>9mbArvb+3lix%ZT`a!2lzw!Wq@ znCgYPjI;`Tg)%RY)fxfU{5_k5x+?@nj#BJ>7^MDn_7SnYziDf^ ze*97umIU)v8`M9fU}eT+I}M0q$~HLw^kxkNjje89m=~*94sp6xMcg1r zRG_78L*OfRAY=xjDQfXYoozhUGCSs7t3m8CX+>@DC#YztyDt~GNoX*f^^nqC>hv5y zU)9uK$CLA4+IH-PY%!_IxS14~>_QX{72CNUn_JR;K~rk`BjrVf&Airg2U_KWfZ@k| zXZd{q(E0P7eZHj!<4EOrJaMN?-VnfC=P~831Zd~Ku}25a+=}8piI!SBaK^ijHxSgxlNn;$;*;4Agbz0~#;TdekQk`+RhO*`6drfm zx4p}Damk6O)4%7d4b;IsSfWP$<~lF)l1jDG+f=j*7^=|ZFTz0K)PC}HYZ~q!Sp&jI z{A&+wBWnbv7O_?(#_5S;;WX;VO3xA6z*FPg$mP`yi-q{)a|1MP&zHn#&z|G@$+Z7^sYtG~#xdLbR4%{Rt2z){% zi*&auaS2xEa`a5!Xz#$uP7oVwJld4Ql`MwutDW6$GTAG;(^b2|6k1xDG74aNtzurNH7S4dhjn0 zO;IE1_wZS^;UGKfl3l<%v&8RtC8)%f`^fhm%7V;m+Oxf;lyS8L=a#&s7!wC#IavEe zEN*kqJ$Fie7Ynf@XIU&v%1qgeB=#1?ia|{=V2;I>w9`V?Sf)b+rB;2xC#qw49qV;s zqPM?(C5xB0xu0UWe!`y*%gFvw?gfD?`awKm?iTM?N1ep)H{@`tuDJ3gmF$56V9lC z+V(S(q*v@J&E1|*B(a*}!MX#Tn=m7ad(zXPpnh)AlJjhvNSrf{?3*|u|JE6xj0T!n zGFC`%EL|4dKTtwmBr(}PDaDCm1nN+E_iF0MeKnm#ESjqFs#vEypYG?oaR$5lBY9bK z@QWfB^d!{17=?vy5UaK-KbxY62Y3flM2^4z%_2x|ha0!#L?AODC45z=UmcJi#$)wu;mBkyned1(xT zMeJy5s3VtPi{MN7nJ3E)=PltU6^y{$0JQ2nmLCcCaaN5Wqv3ET$d{1py5EzCvqi@g zNEp+mGTtP;uJ@zt4*Us2j6Tsxv)3pO+!SYgX$%%3%+A~1)UdMAJU)2)dX8yU z3J%}2Q8?VjrmHu~K9%AU6g?Zy$Fg?8 z<1*G>?%pM4iwT@Sj2R8T9>z-_fe=3jO_)AcoyWKL>a%fSrYI{~MC9}i#t^R=K<0_; zsijFIRHVwzaSSg|Lk-R)QcFE9r6f``1Il|AuNcYKJy!Y$ml4Jb$eWWWt95HOtr8mh zsb#BU+1oQ5X1Aj1_LEf;up=%1;0!|6uK9rz^h#_zLqy5Ew&K?LSyfa`O2 z_x%cABCFziIR_NSo(KHG9k+|o1RJsm@ay)17!-hfmslLwLuniaAM4e_`TP#|5{?67 zdUGGXfoG=o?u9#oq#uY5fbz2+&dds}*W}hE$0v3cH0;pYS zht?c%V-3wD(OPL&0k2z7^`QZ^@pA=tYO%m-ST?}n!;K5*x|;WrBrW` zaggB;K|$}wCZh+{#YER3hl{~!n4Zr|$e5z3X>dy@K)6+0{)KIZlH{)ky-3K{qWqfo zkqZi|$DR$!KW-~c^9b%u6dB|sVnv`2P%yzBLJH%E!=zT2gpueTT}#Uj<#(iXf;x0v zbQ%Y@Uh@~#A@#n5iYg#4Q4CS_vc8yP3#w)(JW)7QImG-DjI;i*+tU3M(FJ4#K_B52 znp{A2$P<)|^B(r#I$FEAGoQq_$kSox8<-2%S^g|>BjDVBs#3mtAMK zTzR+}-PIR~;hE+}V2@9wL(%>tW)9R({cn=YKMnBz?-Zk${#k7QKXuIiKKy@>QCOM( zU8wpm*CCkFKlIHLF8()v2yUTpf2eM}8g+AO))sB?Y5tFKj(zf)zYbM1HS((ND6P1s z`-VF{oSdxCff{HKNtnC)Ms}-n4gS-s1HJyHr^k&E=E`7;rXhy4Wn&AEwI!zG`nbh; z$!0xo$J+aq;Qg+oWi6&91&!6`C~eI8=0_@_(vP>9REa`aan~|t&8w@ExCZt8Y43K& z@I6v3=ccL^;i;>2(Me;-gQC2RwxV^2rKQ_vizX( zmAv&4%<*}#;lgWlG_|uaMLE$i_0+S2=7&2GNu^S5;-tQ-B0AgbB9jV7Px(!UCw<U@oAvg&8vy!rJp@uwX7+?GkJp^@ zR^p7JpCCgPKb%QuAP|y(wqpu#vK1T^P{Z$0lwMeLp&5az2ONy8y|p7yv;y~3x2e{4 z1^%{MbK9x5Q?#PxC4^2C#Byz{PZOb#MThF6yf4KC2iml%)hyq-( z*Q+5kd|Q?1nJQ@?R`0cJJOC*heQRPQBJe5?oq{QR<2ydVCkM2yhl$geh?@)5PA1v_ zn|PH(bG7nE9+QwNS=^3*5m(i_@zmjikbqdx@o<1A*4cot$=G`#;&*~62%bW{G2{V% zBl3)<_4CkO5Fj-d$E%J&A)b)u#MV2wR*T9cg#$3c*X^O!B5^}2ML^`m*lIxaL!I$Q zQn!q^aL|XWLsT^S5rHgSYY2pXw$+2X_(N>r9K|3b!X5SVUcZxC@WryiTh^#;u zqeZuT=y|zddSNI89t$zODlA3j92P+#HG--)+6mUf;k-Xx0d!$?&|^~&%-tVBzd@JR zX&A*uk(FgAI>X~rV2Ys>V5)%c_hBT18B<=R2(|&eM*JdYh;B^N7)hM%e*?M6D|<%r z$)=xKsg*LQqvIBwko>@V@@G_Nz1o6d!U*4t-+{e4+$&4v%9P?`&{&JK60YvTrT!LKZ@mu<<3;+i_H z_s!efNd5qC5tV&V5*RJp<(&m5o+1B87O`7@fTPCDOyoYB_vjP|47Zoah6Las}OEfFz)JKo733loH@=mBrzcZVa+3m^7lNek+w|K3ia zIm)5n<#w|CcxTRxt&Go%nJ4yb!OK+MF)AP*RrG9(Bei7R?uei8cDR0E%J^~pkfAqM zlL%2)vA*e~LWcPnX?3Hj?0z`qLMcuYm`Jsxi5lxKzo&uGB?Hx%?0|X;wPdLmVvt>@( zFm(7el#bwuJD)9j)T7FfUR)8Al|WSkdAT?}`J)NK|Kvm=*Dx%UV8;HQ_0Ux}MxRTm zf{&&d3!%zs>0hI@Gve{;2m*$PH@f}&<$ZxY?km(rGXqN%53O?EHe~vs#Xglp@##2vZK(MDDk@ID}Sw2);?+d%RWO7{~0+7X*dc%zami zthPpE#5HpFNv6$o`)&$B4Hy-sBHzkx`?p0=yxo82PeK0KCoeG}N-{ixITAO=3CL>X z)9(IVcwPwl#sy$rZJs{|^nfAPIl#i0{Rf=NW#fRaC9}SN z+~tR{cdWksgq12PV;V~2PG40vh$IXf+B6!Ik5UZ&*)p2AUi!>32i;j_Nr z3Y3>#3x15DPgnB$M%xCZPnJh7)b3={n)_(1WbjPercK%o6nki0Ji zbH*=?p;aN_n0<=OOxKF@RO_N{3LvsMxBzQ{_uO5~{64Ed^aQQ~Q2f=aySKeQ3#q#E zuz;*MA|<`OtS{`2-Dlm4l}!T56u-6=S-s5FLN~z zANU)H5S*J+XScaZ) zG?f#&L7=z(wb~+N|8x|CNIjId%s4KaR`PL>4GFzx)tkz1jQYjX8PCR|ASD##QuLG`RYFw>Sm;WS*UYEc*KM! zLs`YC!+|!otmXm~8%r_*crKrRQh{hGO-J&A@YiP=MtuFLwAjm?UR|_M+`yhzciZjr z)ZQv@O&Rg(Tbvv*-UMXJR*H+J;TTe?@ z@LY^*TLVw1GZL@hHY8L*zX5BPLKh%`m zbg5fM!LfA*ELeDAM)K>BTR?5@E!C;hJz0Bm*5PjK`qe(-wmvW3&bez1)(}lDA$027 zvl|{5z7u^;zpjH1{w!CybIq3QM@H+?NcKIhomcJ}!S;xJ^>EG4On@tCFj`H+vk~2n z*N@lML#2e(Tc&y%`O&g3O#S64Y4h<5s;xg1FoAZZ*IG_vNvFtWmZ*(43Auhdf2nEc zTT@&B;HGa7X(%2;u}LjulxxZjgV)v7{XBW2^!R?*pzioYwR$^g;q96j-|%S};F##p zzuSQq)*JbK5T0}{tf>Oa*l*V*d|=Thwj$_qnPOVSW>4Ll{xSqq`Chy`5BFRdaC2E~ zd!yS&tV3<+O{AxFpcuw$Ng77ydR%kImFBA4AQ7Tv%n(i}JeQNPQrR7t+Zn63q$I^D z)w?&a&ig5H%2$u5LfF|T3uFk^pO8Pj^YjOhzD`Jo?hs>~2s2{cgi}Dy`1D!vCboGM>?r+5{lRQXYPMzzcYhw&1 zr0hWGF9;$uO-R}P(Ag>WmgUSj{5NIRT*ScOxx-H|>5>WhZI78j#D48#Y`Eu}PkZ7UMj&X#L z8Pr{PPj@d&&QwO32;fhMxSsE#StoDNonl{)SH|iNVoUZdGosWxIG)Pcc@RnnHr=-_ zu@Kf}CX0YmQa)wLN%fLfxP#hFQh-}a>5d>8ME>o1>jl8 zC2*JzWQTj&x#uV!AU3Y$x*ob`Qw+9ZjVWegu%;NK1x78RY-K$D1w5%_;=7v@3YoXr zdU0f*;p%+^J$q!%7)#!v0@pWbwQvoTCneM&e9{RB`ggpkotzYR$QhYMV(;_-_D4K+fD zX?FAR0URejhVcv<>L@CHY$*gX#QMV-h=K9--V|sggN&&829^cHZ??UR6pDEMB@WP+`Zq^-RrK=iZG^fdj17jhd@Saer2A6 zlYV=p<2QQY_Ke*Sa*QB@H?;wf&Z%uso#0T1lmyX|c2a&|864Drl|XZpNz-+0 zeoI*~Axv`od`!!^KLb7sX~m0DX|Y+akO}l;_)IJu#9;zk>QVSFFIk)`rVUu{b5L$a z`q0O5UbZxI`eQDr)kDkt&|wH(4WRL=thD#@oqU=pzf#H`R4X%I9*sTxAl^>t zSa?s2n`bv+r+BrL{-T7Lx#`W`Dn}1U5vUE^)RZ1=6&}ei9;BZrMiY>@`LO2WWBFor zmfpYx2Dx|ZA@~&k0*WUBtl#ab5w(Pr&5^)#O@*qs-gUl3DCP=F3lt*HOC^uz7vOIv za3c?Ibxhdf=Wmz4h&=?U4B!OdaH-5oh(y;3kudmVqVstHM`1FWMj;Zb#-&$+^x)N; z5-X^y0r}$#&Yd)2p-{*~h?m{GoBXo48g8Es;tJC0C4`SvRJ=$-l4Xw|S0xN> zR;VNFK?zhRV19)~-9SOCzilDgR05`^uO`rS<%;*}pRf4K>N3aOQp({B zIec1kHU#X&le_ONEVDOJM%ZNhh7!;RQMJ-8fygDbN}R z4QxG^s#(wou4e+r%(K3aRXkpVMIXxH8Gxbr^r3^(Ns&P1m1%Q(ZTtBW20SVcvG8ET zCSnl`mi?!nj8RMp+{4Z?!C&Xi)rbUA6=2`h~s3@LuoW0+Ap_*HC7B=s=V$#)A}3 z+7QIfejh32&nE)N%uE26bLr2j1cRLNk%n^Lem0%+ue&~je49YR2$8hE<(3bLcBWR+ zTK#euHNg65)AD|u^@PS3abYWLG!)a_<_( z$2@)HKRt`9*>aAX;Q%*ROOPvzmPEh8Nj#U zGykW3ndyH95VNrVpZsvrj_d|K!pIKMkx#2A7DcoVX>(xgYm?c+Z0czv_$~oeUX;pw zbrj#n3ulSrY;^Mrhp_$r>Ps8vd$+SU*G>An`D)*uzW1inlC9QGpA%2&wDm*ZL*+DG zh5UkwHltNc^zPv$iVT=pypJ4$o^1{(6fw_gf`B=+k*5bbS7BJ7yd_WZ81p;FLGlvU zg5@;h2&J{!2=wx;l-cs|H_>JPzT2E#Pv&cHk_r7`n#sa1-J|;#Y8ka#O>|G01P2%+ zjOc9$Q7Ve@dn>k;%V6!L7^jV>ylx8v0anj|hI$qS=oWogtudqxy|0v+ZZ}^3i%eU6 z#y(bG8e?IEdNtMs1$X^sbhfgldoYYLmg~JiR zM!Kg%?)%!wPUP(XWt~$b+X^S%yDxm{?jp!c-Atgvl)7R%8Qv_v$sVOE2(>Naotjq- z94t8%v3F}4O*((?F!ylKkI^~}i^~6tx_1iFEYP-e)3$Bfwr$(CZCjPBv{7l>R;6v* zM(5f0#=U2E_lZ6adp~r^lm zZL_wuSFR6(Q&*KKQ&M(f=z=swY{oe^{3`F?RltAvR{Xn=e?rU^rVu}hUmMTu*zW1D zdyP{y%8B-|T^^<94aNMgC#_psy?5FwSpG+5Wp*96(M2eINFe|;6+)98MQ;++f*J+X zEOH>71tLYS04Q2iGo{t}SgjYLC((rRdq|5`z)als7_&bwt5dG; z&cH9L=f3*KMehD&3v|k=rKUw5`6k_RX-W=Z<81d)pXapmIr{DS65*O>nH;_d1Aja5 z`wT+r-l2EAp1z%N2kh;ZL32p`C`3ja`0n{%OV8@8-gqmUS*ae(oe0e}{wR}P3|Xa) zvV?C7egDTqd=7~kl0~O%c^2Yl94K2{d*zgSztKY|B$6p*x(bB{ zRqkvCO&w!0O_3O3hyt}@-b7)7?_>+1Ws{)qa1l?EU4 z$A2jO>u?|*U$gzI&BiT8ed??yH%Su5nk-ggs+{a8;5g>xc3Vi_9L zqz>5yQlMA400c~zNS-E@0w!S^M58m1YAO^sp(=$UNFe^xA>&w}6iv-q*@KN-dzgaM zr39CVs+H=n9b0bO0^{mym5pu4FXUAcwx|_amf9(k2ul8qtFY4TNH5d+Ii3CZfQ_db z8b-=*V|_qM_6MK%1uw1#)`auh0F+52TaV2LgRKf#sI8nhgG0Py*IJP~?wO;xn{W{- z`aly~>o*bpT9u#xF!P6F%thj)?-C(Ns0zX1c=18iU#-YC+4uGFTBRCRxY>^T#twRyEmQ{8I0cM^G87gH)P!!esG{{uO zwMnufU%<1^k7n?_!A4tzCW=ah@+QOkik#9JTE`MR$=T~@$vX$0^X3gpAtT&)1HheC z%1*o{*6N8F>ccnU9zl(pNXdhLn50{SkC}gRY1c>EgK;UKzJgxoPPzL4QRwN zv+xsk!i|{pVf&OfL^9!<5CMEy}V(8sVL=m^%>aNNXMInL5S$@Q(J8SyVHuF$liq zmbpo&mqn$D($tV!0O~Jvo&v!*SOkMUvc?=Dh=*EPh_iwOT$U!JJ>-PdQ+TTHA0nB# zL;9VWr=AOuvoP^1#4XRi`oWNZgjosnR7d%USCLR_Z1T=7*p-6U9gIoY*g7Fa`@0Xu zw$IZOKL(QwOqFsypE(jZCd1g0zpA)jY-@dgu5vm%L%G98D@>iseIJfE%q&$LHDzm3 z?r-N`x%iuqH&J^xBbN^^ZN=KT`-uve?dG6vvyb=op=e|z*{seiT3D}C-Qd7q(upRe zR88bB=n_09#Mwk?X|Cc}V>);1o8&E`zdI= z%&K8g6dlX@QlzQ1>F8W&_s8Zd!WT{ul$wKx?J~ug`8e4vJ*F>7K3PlO3As!-_n*(pHGHpT8L13Kj%-)!U5{$+Kqfubf3~nGe;?4qX0aT;w znD$=Q+yFXe(x$Mgvfc`4F1dN2wIcnlsfPzQOD!ZD8Lhu|DV3IMeL~(Ixx!Pw(EZ(5V%mN%vw)+1c5TEQ2$B0{eTCB zR%Er-c+lUO^q&V}0XV^Z^bJ@P zrfTrN?|)eT4aSFm1C*QfUutP!{bxSgKZ^f%E(8Aylv`^ve!m&fU*F&xoKH${3bm)0 zO~SFQs1Fs^$+mfPfD~R!+ZwvoRQ%4a{@d4FVjQW(m(RE#*CCVj9qP=RPjNjL`YPwLW>yWx3|D7Q zXpM;n=CtQ28lIDynMrod_{B;e{=QwVLtnzT*wweE_dRdf-omc>nS+{w@zL2&r71tV zoUE%(;;E7$*w0M;G0a>v(9*Lr%cO?>UUSkfeY(q$V|I#P1r_aEJ2JiKc=M>VE-x7L zQAttsJb6%Js-B-YmY3HEgpCv!>L#G*sK{YuQi@{|l$^8}r&;S+`rSm?@&5t&KrkyV zFqI^srep`vnY!#Bsj;*F^7R(({=VfUbjN2b@%Q=OF69kvum(tWBf}+s&yA-bq@x8UL=xVZj?U= zSZER6ci$L)W}P%AEhhU4<~#9I(+ckmW)3U~8TWa1Fhrelw*mlW#~v2qX^5w1+QzKx zR&Awuh7%>fBw9+Y1ZRJzTfjkQ(PWqrkW&W?$^CK(NF4vy?@2Z@64XO%7g;ha=4!f0 zk{qYx$ICi!5t|u$#oIBH{S5`(F2P-sddEIW_)S+ajP1odHy)&<@?qA}JK8leO&AoGFfVeKCpe28KaT#-o*h_L z?G)xCbj}_EtK8eLQ8w{sW0?Vyb}xciQnNqvwa#pzEq&fF!5SW7FGEZ<(q)+R9{Ken zbsNulXNFpSlbp`{dt5oZJc1Of*H49~>u^K1j;#2_05=aF!X8KfPHYK`k8Q*Banv*c z{y8GZk(4AspTo52B8ZTbCKUn&k8>Lq82RGIb}N_*Ayl_ZBy%x-z+Y4!+UJHAEx1R3 znQ$2>_Yz|vP1WrIh(w8Z(qIqZ?ia9&w52{y&|>0Mib3u`o&rwG2pgmyYgdl3T`low zJUL`2Vak7kV~1pak)epqSHO^HVYQ2ccWEdO9gsWPxqzmnc)lvzC1k>IXO+#~I5Hoh zwgMsTwi50nc=l7Y13r1p7C4uZn5_|lw9nLUEx`%iP$Z!>Syw0IC> zH4<%_J7}MkWCNHsVL2SEto-G6WxEUrbp^f#x83yvmoRXBu!2di^s9@9{>9*obSK6f z9W);8CSN*_XuB-mbQm--_v{KDK9R7<6wQR52qFpRs1d0UuBu{!1jsqsj)vKylBo|V zy83Grm@S{9iIX+qk3lNDLe;h39piAHi%i_gbZI|t{^8KjXRDLEmy2yfsNLVXw33mw zxJ#!myiFmb3x`>Nt`qF4dX#Mw)!mp~uL4x`oWXKM4wmkrGWxlEyO#ktEQU9Yr{xpr zq}idOUNj;P$<9JKBlKi26nN1s?>t1B08E*CXV@N_{7xqrz}EA-Oy@}vh=h5>iGlP8 zH?#Vtpsn;sCP>wKiZaFgy}vRkmU5~m>O`fDfSm)Afw42p;rE!7QC+-{w8kKivJ>hg z+*Dkf(|}FDM+gyd)>$DKWSF$B6i!&beZi;_&ZPNWh35e+e*Y~(Hd-O2vaZ{MpwnlC z8ZCEbrn7z`Xyyf2A+G$9K|2!~cbTMiK@-rVDrlVu^P0JAsx_t4CTXyGRV#1^-G!bm zRIOvR&^}@?)TNR9jTS_YisbT_)VU@xV}zaVKw5PJ)*AAJ=gxTyoxt6#5I&aJy*Tcg zcqz6`q9WM5d1Ss*^Px-7V8FoA7u-;G4ZrBeoPmn5V~NaC!o(Q@#SZN?M(~Rle`dFm zYDxiuA})1OHKwNV;pJtkjb#*iUCE+)o-!S}emPf$)@M0k7ITpKLn7g13QilSb(>8l zm;}sDQzOFGe4oiWSBtwGj(hV^n)SHrqsMmhGNQCu7>zi3_DI8G<6dqP#&`MWbCzn( zP^h}=)2KresvQW58oxuY6p4UdIsYotTpsw$FiXMrFD_L9H#q_wB7=BiVJA8Oj|@{L z|DTR!d15KOGmYFOh%8x@ZBOh>#Yoz|`5Qv-5v3b6f} z%jUnEB#yciJB&0NvKs=DI_OgSh6V(4WXE{y_vX;}@RxYzC3R*J|#J#M-N}6)x6^JJudZF5}F1TpzksGWW}d+Iloo%M=^^NeXeR(vQnE@ zb<|WUA$I`da|)a-v-wJQusDq=Fe?Mv_q;wbdvE8EZhPxII(orGO|$SuVA+^yE!y|h zaY%?B)?O@i-Kfp!PZVs@+h~T_R}0rAVQZF%zWyZ7p3C-88Yd8v+2_T>Wwt13a@1My%X5HjQb}qu4+Aw?u54;f7!Rzm@L+=cPrtmD=M&ycNqc^% z!iEOfY%+5|OfAoSzy7ngju{}(!Yjbw#7J!HMpHZi4MEpu4WYQSZ2YEas#-_?_+>`O zX!C6YNHU%bKp&D-_w``aK#{yRKy?)lxHeI){om* zG*OhgeYS^v!-vB4c-N2)mt)Cs61~+^e;tF-s{f)9{x|66MLk@^m0b*7OnG>I5XOug1V7Ke4Pngs zFICjD{xb;gAI1M0EixzL{}M2?X}>Q9_y4$lmFg5(^yen?E$TWx1){0fI;QgBJA56C zh7iw2`6jlEzTb&CiM97PVGcV=g#omchH;X9mS2*a-CLI(BSQmxKara2%kQ6iyXCrO z*Y?+J>-=`te75WB+h~>5`WGFt-&5?XS=U=;_E*(4cK!$%6IXaOH6m(#_#3LGq^?Ul zEmK!HhEws^AyaAtkE*}^-0Y8>S3bYitP366IuhUOIvU@J=HK}$9_u<1n{4g>Ao0uw zN)7cjdT!bf*k_&(YQGZ5Is&1|Rgy8yrD&NdO*JEJmi6>Mzf_mNz3b6V0{b+T zwX!S?rB_WH7Lw5T{C@U^@#mEn@A_M2tC#i`n?0SMryW)8pYH|wrk?BDALqJ=nvLTj zbkv`sl}pVoNv%&a?v=M^xh9LxrfL!yJvk5$t4n*ue@3Q47>c9WqE#O-MaPs~OpT4B z-hn#pv^Vn5$tNZ1p;nn8W)=k3h6i zMxoQ_!De3_JmkO-``U|}+dD_aG~yQ$Mh49dMI^+xw(OG@G_P3<7PR!R#7NEyjP+1t zS7Q>}KO;D<@~0@;E}-(ee$gzQ!{&8@Kg@yB+WVO-IXgJtlCG%@|ZB$sfOP1zT#m2;+wqk-4#e+)+DBZ&BGYOBk>q96JYA zUoPASUYT;(HMAh4zD9WIe)iS1-J&M%X5nGD8qcF!N77EWVy8Cpjz!;WC(+Zj)5~s zA^EU7g)ggIc8?>A0ou#quE|L<;mP8bSDM$Rl^VCxGX2{tO;6bAbbx?YAT0XrNyd3o1%X z==;oh?4@|Av~C9zts^&3@obaNv$;Hq>dF~0cf5!ClkiI~25@n#HYFK1qCC%Gs z0L#_k`dZ0_b`-`e*64YnOWZQDhh=KvD9_h!+Vn~-<6a3U zqD-HlFr4l|GSXed-O4UR$@OKiZD47k(+T^a%z5AN{*KQ+(2Gji_TqGWy-xQGPE6FF zRkk6ECf55$_j` zfEE)rzj8ql>CUV93CGgPZA1CHsM&PC&;e_bWsMbc7Zo4B5{gh!#7WD*Sc3RvulSv9 z;N*$;3ppi27GV=$@y~ep1tR*(@6`M>99c7VAVQfb4C`A;gMs`aS|wc|HVLbXmxVeM z%Oo2f81ky@0kS0f``=ormV3^Ih1Is2u^&WAXW=~(h3Uc~Uo8h@%EZfe7 zDQd2k;z`gUUO?Eod?sN4!sdKpatsKaVj<$);NSd$Jiai>Kv8-Oyfy&#fW$;PI^LCn zKn6^}WJZnD`9eW`2C-*Iti?9DW66M$_kiU@w?vflQTxP62pX~CB-;V$;UfiP4%b~4 zBaJjH`mt)z-$(`khXE}}BGn5x+#m0otZT)E23=+u^ZI_&w4q2x;~+gJ0OLU@FM znyt)dKD#z%E+(KvHITX#cYkNsN!w?bB(vjz5nUJ@VuoqV8S7)atkLONrTo?`5=F}f5>pBjp%^FI=dRIf0BLpS>SQ+* z20%y)_7K6f;-yA`P^)hi+Bjdoq@W5d|0qf9WJ96w?I0aLQa4tuO;8yEBHf=gmqu+7 zhlhU!S8LZ%N~MLTT_-UU4y}JUS~q_C4l7yA=1dI%Dw)r8Y-l9`RuTpdo0Oy}!ZSr5 zk75%1LPqo&)c#m@xe#X4nuJQ?VxJB$ZwSbG3*v`tZl$!LL3rHV)A(TF5qVYM@~YIF`~T$I>6&6_nKJx8`FVz_9f~vPvddo5cuaJq5S=@oam_>C${ur`tjGp7Pl2)Qyxq(sD~LKOjp8LPN0_!;>8o^Kj5Je8VCshdP$!0wVSq;AP7ydO4w4i z;=!L&zEF(&n*D@XcGUxD;mM`*ofNEcXW^)%ikzG*1)g=U2NTY9Q75GPSF7qN{t~br z$h7~hh{7kV4hcLsks`+H1;rS*+XMeEj`Y{I|v*g z8EL6o?k9|N-cR|UX>o!YSfWNiKsN`S7gxVsTIAhiK)&B<)tXtz)Bp?B@HVU@(y2-$ zFPW(bg|2BJo>uj~0o78iH2#ZW=Kl`8KkL6%@BhyTzJC<|KaVWTjEw&fMg1pLgZ9tJ z!uJ0L75$7XgY=0YKXQND>)8R+%gv+N@J&9BW+dn)+}ul>tnV+guoPM@&A1N_jow5N zOAegr5qq=NmTUL$FGDtdlx@EsHn&6iRN7|2i;MSjVU^NhzSTr`J7WFD&b@dm?GydG@L_-Sxm0U?x;lHc&sr}&HlF=$sPCh<@07h z_?_xX9Hs02qhnwnB0EcV7Jy%v%vfs^f>xK-?p2fay4}q>uk_c|N9F(e$fvOL7tQ{9 z9gyconY!dR&l)MXU}OJ&)gbk%g33P^>nWdDThtS)M$c=lxad(40>qp>0&2P?S2$SL zkMh&6dgG^pyh_$!pPKSrt z0mLVW#9bM|8q1^Y<$08ds#&7$Zr<7#gOY#e*E38kq~MGSo(%b8OTr<(Wv!93eE1IK zdOqB8SP=e;?GWFv_yJ_FNq8)(et?|HC$e%2$Ed^#^~Aynt&E!rwKpI+O=je+C9>ib`zYXczK8dQAAqWf zxk0RKX0siABAvBGz3tf&ISM{dFESR53_;L@Z0}meLw&Oq*F;hi^QpGWjd7GP#cHsb z4K?7ct6XIN|Zi6Q9gD7_|XYA0?mJTm0%jyIwU%b{PGqPCW@U%DzI6YcvHaTqn0#V)20V!I;- z#0P_eQ**tnC`7QdN4&dDQv5>upKRQ^nQ}1vioREY6%6Gf-f2Wr+)$?g8RY_Y&8VtE zg}in-Qk1bRt_A%dWM~P8dS{u4iJI1F=(PATgwsEgq1?1q5=+t+=L}f#M{0F?LcuYN z!?6@%D0H(OhZYTDxOD?AcBOk)YDPCP`NmyCI;31iuy&9b*Y#wjC1S^aSv-edPd220 z$cdv;9$xN$llK~7Zz%9xD^^KL5mQ*n>Vf|pg$uSdh8rGW1@(P_|T zI(%g{lpv`(Z2@G7dN15Mj%oWMam1@6E7TVMbQ#3*$k={z4zZ6%II_0%n2;OCs#K!+ zE|`$A`mjO<w3$BCEn|NYDSilM(CR^+6c}v4zisnR9Re5(jn06E+}GYwj4$&FJ`Q?*;-hG zEGAWi_6BV5p)F@^Vlkgos*9?yhCfN6lry`WzGF~rOox#<+NgIcEh@`OA$pQF3#ZYl z^=V{IIg~$6iFe!a1e}YboQ50ndjw(PbL*1W35WB0UX_`?yM<$DYZY0jx`m~KlM%%? zjs3+?yg1vdq?H!WP2*=Lnx+#iM{e;KeOpL7a zlg=J>!OOo_Z(=MwxBbU>=?}tABhQ?2cvtQG z$2;vRz>ggb8Bi$ml8TeGjAIF0oRVS`H!hzs71SBmuX}^gT0K?*2+h#9K1N-x0>j5|;?VHpUrc2`K>P6D3L2jyHf#GIJ5 zn0|vV9!c=_tI3n&a+Ia`dwHT@peakR$lfXY@5$dp%%D@Wt%L^lA$!o?RS3CABW zAw-ByjJ!~>Zi%UD5r%2T<@jYF>g@W%!EQH2+wqbCsl;}=gXZNISc&#rUTjH2cTz+# zoj#>|#-~^^1mGN)h74@?b_8Ri1qL(f*{;zeGD)0w%@gR^u|A@A5oj$7;V|BUrDSnv zDg5+9z()ILOGOP#=Cvhgtwm1~UP!WdeMbCyOqo?JI4>x0fIb`+u`}-R1B*SUqfG*< z)F0)FUsyGxreSlQsgT_t#Voe(k&teNK9|y*7vQ8U%fY)>_s6+dcs^QzUHQ@+M}JFM zn|ymd<@{P+@aNgviP+L@Rf=n_~y(41}D&k))3>a+coRU!5a-G+{0l zBcxZ3;MptGjNFOxBNdeyhMfCyom32rFGZD(T;E>nyal^~5Pr8zmn?J}I1Q}{3o zI85o8O(w5Myfhnti~}&kx6HiIDh+cS<=ed;%R+?H4^Msq)F#$@AVVqo9t8Z+vZVRS zlVc+~acf)v6_Qn}4K2EPVDjTGLi)V_x?)Z8t;}3q+w~XwXfAT50yo=Lt~B)!T8D*> zr^FA^JDeX6Ws&7zz}V$-%ZLL_swL-;l7tkr9=#MFL-Unq4e`UL+E2oI^q4o!2)yyz zIF-fm%Lxyz zMA{Go*(DU1LzFMc4K!DpGWWLY;aoM!;R(a}l4}V>kKT~!y2>O=Xm;wVah99z?k2YgM-3b;5zAJsX&K+OE?QQqOO~b zylDPCesF!voAeVCOPIY+5J9VSu>Rua_2uVpVOOz8=>2T>_2p2emgSYLJC(M+iGP1M zU!C)F=p%*79;Y zGZ9_+abAt!sXM9BO>f3j1C@gh^^1KdroMCNRU5->Q~4)LLEl4PA=$jr$n4G*T7OOc z+CfnLBa!yo)$>}K`p*8<{_(k;*QVZUlR$Vo3Yp{6PLGL5-pw48 zmeoh=>-+A6PtQz2Lb8b0)B$AYm+g3xl59&|JxfSWyl6Jff>LVAm<JGnt+I~M&&Y#gzJXUY1 z-?$2F+5m{FpWrmTjP5gAomekCyihubPhotcQt%fWATxc7!>~vPnx!BLm*zEKh~xyV zKu22@G_sud(JyQ>#>+U0s#W8cMfR zs!zpw+aNfAlVRtn;gWMJS`*qV$NEGdEUA_Ap~Vl-#UPz+IcJn@TkD#0>g z|DmXR;FTR=JN+)_HNQQ2PeKbnyjA`YyG%|CzZB}eq1;5ZTdyNR%^f2{0!4HVgfpGM zH@Yl&X{7J%LS%CX38c`thbV?d>j$T@Y6V2+&|NBPs}qON7Gjb-eP z6Y}4(f}Hn@)cP^S&f4%vX(A_)lrdgR(=ZUJ({qI4q^4`?wi-r%tYvzHX?f~_q1YO< zUU|s4&5VHQ(H1~efm&l36Xhg9NWERjl)K%u75roECf?0hTnjW3Lht)bT4e{Il6Si(Xq8KjK-na-9x z)zNfbF<1voJwwJuSjoL>Y{G!j=^$ErM>!RllX&<*1{^f?5@X0;@k$TaK%4YZjsQwK z21D*cWqczUa#X5csgB(9V8xp3i9lbQPZ0)U5PYeo849mYF*Dq)JAf8|f>&ze9KX?| z1EG6wWZ+=BDMQD>e--Mc#w>06m_&TPtxAbOs=^G9(iQtMUR1ERK`!2ZypM`aPY_XgG`<5E2=BrC7^= zs>TW7v`c|N3=el`?|H2tq#O-EtD7k}^`ObK8 z$~EZJ$&_Uf&+K9+v#apH%y|G@sMj#F9AdV@>MEPK*bWFz_@S?OXEphWc29r zXmJ6)(lgxQ?_;(Q93cse}`w!wH6{kPdRm8omRV zOy=*l>&XKt5;$_5veusa>#>*as5pubssGw7e~*LF_kYp&{@-DvWc}CLDE}G4_K)KK zjdquf<$tzOYDqi)92~kk#=ir_nOK7&(IM@M>sd20WKFFbJUy-9l5s2|n_G$hLP>h= z`S)zXCJ>=w=f!DPC%pn-ZN^^J;O|VWH`iQGxZ?YMK2EK7(=V@EA^Wzs`SN`DIludq z+4)i7pOsvkj~r#$7uaw2M0a;}b+^BQ$48w!eZ57AQ-1X6jw|IC;CyGDxcU435`%xa z{|O)}eHjrh*rU>nY?W2xqC>`fmPA_~i;8aTJBD|_cAe49#o%_xbwCzBH!_$zM~$2SA;Q>o^>@LpgCz$(_5my{BJUT5;N<*i^2Vx1GeyHDHqgRWf5H z4k_>aLVNI!TLVqrT;}PXPA_DjdWk8hbi*r4VoBJTI@w9ePwLPzXY401O-L~%I+s?T zXrC$GsSxuJaUqDb=faPh62B)3%Upi=CtirTFoQ91FD#NL=nopp%~$1l<&R9O0G_`y zx*#iew#47#`SYEk>s5V~N5pZl>g(Q`slgc2aY*U0fR=gyYPZ!7nrz)g9|_J4hONru zqlH3qj3t)ej+)_$jMB)fx39gS)p6Ms7z$K-nW2)GjB~992aJ!*;M2O%>Wvd9vboSb zs06{V6}^_xO=Nv9rJ`>eFZUux{#*_6_%`=N`A@v>W`eYA$|ri$&GLd%HAGIs#_6sA z4iAv=pyFbm>an&+2-Zv9D#%H65ZQ@{E-z*Yd8 zCva+b)LyqWDG`!Q+ba$cS7^3-0=&#cwwHGZ_y7GuO4RafffHpKN$kS2bpTEB;lvY(bNgup?<(VCG7WQr+e z^7j%eX>M4WH-d<2N`vnZJ%>n_e_k+N^*8gFxfKSXEBv(kifTfq5!aqB`HAQFflTD# z8JggXG6KqLMl8!O;oI2)z>HHi84xG{<&=1Int2Qj`ux&f)&Zl-P3G zluyV5k>?knt9zr=*ZxauP76DN#ClD7IBVq5os+|awiwGM`J_B|?t|F?&(LI$fB~`x zD2!aE%7DLV(BxUxlu}FJ$rCLZCO zPhi=iPc+%;rJ;^Ry`VC6 zv*Ag2*3af85!k@9B_Q&HmD;;q%w$l5$H_Z?QYj&MsQ^|Qux5=MD0yKBO)uhbO^~&z zuq2^{+OB|7K?jsv=M|UXygeX7MK;kGHP~2LVn)K>0QeLx8TRce=B0w|Us8|;eM(VO zQC6NfTdq&RL|Yf785l6oJgPMvn@jX#3;=dK0~6iEoD^!n0_$H|uj=HjSfSPEA4t$v z&!i(V#-vqvTL<#{H$-=v`$%YfYzQ$4VdThrKY(raHIk2IkV( zCIJ1Ui^(t_hqVRD3|jZJjB*W{3-lw3U-Od`Bxs1+SR5!MEE+ak$8SB~8FW5{c%9=S z$Zx}Ur_DV=^N6Egcz?^&A1wufeL`@pT1jxL>p*ddssB zL^m^Fp~z0=&Y-3l$_P3zP+ENTkoTQSj{NlBtK}Y*ftJ3@t z+ek$7)^7Sru0{;x1`x4qU#f4(9z2@z#SEff8R2zeS2R7b%Om>g!FB8 zC)5j0FD@GFo{J%QEjcf_s2rYgK~UFm-UBK@@1R4c(LHG2I_zI$Q8`UWnoPZyMv(g* zW1z`Kewwf$&aT5(3I$1L&I-LeBT$*(oRnVs77-S0t{tu0Yu@Um#<_3qV`uxhH|5lj zw;s7sTh$t}>QG_e#3PcM=tH%f&q%m>$MVfj!1E~__|BSZ_>bvyxZ6^H&51N+a2CZtqeEETl#(!HJ8nOcx z@1yrw(%Uf_6vwQQnnAyJ>@7H<$>b?^$31z3&2>n_xV(Ki8<~qtalfrlS=MXf_nDz| z$XKV6a4N_Anty(1On>nJP@gcaPvE$6`m1m4XOD#ZGyr&r`8PVRDu>Mk1;yaNMR*8S zKFSk&YGh^Z3LIPqw*f|9J+ZVIP~o~$ywQ^*NmwvDm-ZsfR1jJuUvo-A$&J}(R;g7e zxb!T<o&oM>nDO~T?W(+vW2v(qRjQDTSK*qy(wrM9uUd)?M-W=9&Fn(5BI1tk zu*yHdd-Yj;hIER)MspeAkiFYNEWB;r#ch|M4O#3M`Q0>3>}4|O7j_edxAvvynYXvr zWLcc5{CfMu+|-eKT{f@Y?9ty-Xa4-MWOx&nI)A&#tZVRB4n5=jw~2a<{O3#E0Qv`- zA!WZ4#cRw-Oo{hKtWgf@8QFZT1ZD1@F)2P?C^7$1!e_QlD9es6Zzi~055Wa@Y|uz4 zu1ZOE{7k-11B57&+~6{Jh1(1O~L=nIr~TP|3){@&hbBoBmHpB{(t!p zBK2M=MIJ@%<`t^9MNQW_&Rgweunl!wZQMn}MPpmff5?YuypWYVd1%;{z&UZ?%>C|* z*WJU7z4dG2##*+wy1DVr7lj+-__nt$pZqtrc(1e8_0MJ37f0NdeV=vjKH;yEudjdn z4&TE`RBatS-A0l>uHfoXXu4!1e_5wz2xGsGL7Do~nwlsR;g(-+E&O`=zH0=Yk!v(L}B zGvHct%>#5AC$$4pPSacGH}AJ{PpkHQ;khd9jf3k|&}_qI3Xf_!GjdF7sTb|Y|KJJS z-+>h?wN=oua}eH)v*;d%K9$}UFtmA?22p8LPabj|*L?%RjztGK{-W6_Fe;EyDRvq| zBKFj)J($(e|9q02#b}J)SC??Wq0N*zxI`@nJOHk3xAIW9c zW(2oTah%}m4m^9>a@+NJmz{#(=;n2kU*tiN<8Bc&Uuh#MK9P~^Gyx#t|U z4rQXgPb3_JJvu|S-^1bF?W9Z)wOj7CX1^8923X%=M9=HO%JD<;z{%tlyIlDMmmAnS z;hGpMqO`s&%}j6~T2YKbJ*wxdd*Bw*Sl4S3yp9s7swfcAAo{6ji{{aDc^?t;j$YRC zp3!OF$ScxtTIH`Vw)0pFl0mW=W40HQ2fb~N{&sJg6O<+H)d;u#w%-69EqPx;P5pqX z4~J9=z3dNQSk~hKABHW*BQ~aQ>kFwkuL!{<$&>`57HN*2rFI+>3G=4oITcrPBSr-N zo)}b)Ri+Ge0<^fEe-~1eIe5z!E;m;4*WuR+bYBM_fdt zRjk$3W@2-4Gu?!~)|2Tip?5re^=De`LW#XW2otlO#x+8!48Fi(MKU2!Asz8@7!-}p zC0Jw(TSFNQ2Udu9a7mMY5=Mzq%j}HxCIsZGCd(1x6yt|m1-lCs;O@>*r1f3=% z2LoTS3VbxY3+IUXMO_i3Q9D3^(o+5K=d$2oI89^5E07Xon%$t8O+W9qF@wAf(y553 zcT7;%uu%Ek6Hb~A#v)~ida0r_pcZ9|hEGQMFsdhTiH1X_fs>ps3MfHn;uGP5L17+A zang)8LTDYex$~_^FTxDNS`BoME`N?9US!OOIV-jiF>}&6-olCO6pj%^9GME^E$ zIIWm!)-2HJTPY4ILwpv-QbKWe;Dl#_n%~G_r6VeraEb8yiE{OR=dWS9NBm~mV`a!?0uEP?mHT$wk}N1TxN(}W2+-&aCFGhyJm6vA}FeP zv(KR@$q2IFDIK$j-r&W`&1gYKCY^4RNwW5?VFyf7{5D1+K{&%HoiliYa*{K0G%W0f z2wbH7G`50fk!LO*J~&BW5v_R@1P}&8#|%BPe6f%7CC z6sJ>vdO4MPRuxcS@pq>VPD|GQWM!^~yC6(E6lB>!EDDTRXELR#XF7%26B;IWtZR@s zO!NUK8n1X{8*+6Ua8>GN`;9W-6)gH7HHs=cT8)8H#(s;XQ7i#(Y&ZKWg~AvtIm@o? zD)_K?bSiXse*#siqT? z`ePb;6m;!ryX@CMx7GmhcnwJuz6yQz!A22!QGRuJ2u?<}o~(TWvSr{*)#xystN`i0 z^LTCPh^XL(SKkBy{DNz3%;{Rs0)5tj2AEW_riZUXn0~*p8yi z+9-b0caaigjGkYe+0{ofM?m6ez6%RExBM`Uj}V?ZjFR^{BwXn+_06kblcq__?h&_; zmQ)?xLn_%NHO^8nVeD$wYMOS0C|VK)-g4M>g%PN3w;vwjVB11n{nijP{}%ZPh%!^&D8`j*?WbOpZ<*u8Va~cD7vh5um^oz9*A$x)Qwe_V>cgpm(go?2cPb7nBnx#`OjH07ENGzV*rIkI2;x3o9tFz|sq$LNkJrP(WN!+48c6cQ8tk z6OC*x{6TVwRvj@O>K^1VR~S#ofQ~)|f{O|=>4TtI!SG9EU|m?+oCrHN-0+-zTZ6-` z_{5^$WshQUi~tUE>Mh4YKn8vOQ3J+4DbC?8iDCTV&{_?2mjxWR6-Q=Moev1@FCOQT zc!p6>)44@3D1;It&FnL1q#NL`;Y}$X>_i@REyEUXt3O3B{%&NF;mVx!{BdiLSWQuz zIr4#wC`6zjSIX=$(O#VUJMhVZMcsp-^0;mgU`u?**AxsnG%|1i?J}RF>V;clGIEc9*x5L2~?Kj=X5sv}kw*=5r~;jsqfa-suE5?}V-Otq0SyOa^P; zCLZkXWi~M^Vx={_F)d@SPsLcg(cob<<)0ZfOYdHfbm-UY>0s5kjwlA*3w97`)%;1S zaK}V8(d|`Nvjag!=ImnO;f4+pM96&UD%qrcRB7=X^7Pzvuimii929aJE07UVAbVa73o&f1f}# zrv?CIdJB-?p$eJ>_nt}L^>?(UjEZm|io?7&YonX2e}c%5QxZcxTBSk1^Rrxf-e2}Y z4|)77+l)2T8KSm*ldBkUB<@Pr+Wii-YwivI)b>Hh;VLR|l~9O-}W zI`&WU|4hZe^N%sXzZrM=cNIgU#S3jxcu_R4t*5nJ{MkYE!FU6DMT4O5Uljwx-Odhz z^sl65($X6xTcYmwj)kmc7PaD}ALxnm5%hi|nGh6P@Co^03b-k}z zwb`w_92-wv*G<^#hfs<*6(p%e3>B|`;3hRW%B7_!6!TFzAW~7g%ft} z&t3jb|DqZMV9p`+8HKaUv=BAj^ZX_MtM=uEyhmECiO6&szaOL^sH3E?JaSrNq&8HJ z`IkBV_rV2xiVUhbGd6(aX0l-sO(!ngSq2fc9ziJu)tu>s=lPS_3Z^i{IhApDfUS_- z95a!DJqdlX$=BES@ts>6|6u~B0NTa^gOw_I8l{eX&E_}nKNZ6D7biL#`MT-ynEd=9 z+(WQ$?sTTF%02hUt?T7Vm37fHkyX0lql!sZlmWRj=IY(;?*no93bK0F`f|Yn7Dv*; z2P4g^lNr1z&ClER0$q8=mFdalGsvR3GiV`Ps@x^PPJ^XT(IzRhj7FOv19*1bMtm1 zlq5*fP;NV~t#xwT z^KX02gTbzNedxXV)O$u_-026?Y;0$lWmQ%19}gaModLxgzomjPNnajr_v;1FpfXLh-)n+Kf+mqU4#BIq1; zvfAIMzUJ5!Lb586`KGc`Sf&ticfSG|^kPYjYwz3$ zUe`Pho=RDh83c>upH#6z5$}uZMUzv>Wo0z|MgqZ0m3sz(X}=1!;-MkP$m*q2{1!Je zP=;?%KLKOXuBL;7`{5A&!z`$)fhC!ZXlP+)c2OmPjVgsIh_qbxCoX#9Zn_ckv!yGJ zMN1{c_!T17mMnR34x1(*cq$)YT*m>r@GPRLQY(Zc3s^p*=w+8vc!Lp+urF2OMpPd( z5Y&`KJTF`fe{n;c?yJM?0fWMvAdc#!;l_XEeF#-mQ6YaN_cjQhSy)*9P84>JW4$tt z=i56N1(&>xt!Mrf)9I1;fB}LpH6fulx|;id8lfP=S>&m+wp}~L^WxAp;$sTA%gbxX zs})@2#A*t8hGNeN70)h?t>ktF`qLP{H1B~p3G{~G?i*!6R0()u4TVbla6?r(enffd zlmnVenshkX4^kP0^kz9|>j;&%YA7`FY#<4#l)NRdi55PJ?04vfcOK3ekW<*{q%6GT zV6*H3HXxk!Fjv~5zfZ})x4L2|;p--Tv5NV`{mprm=)ER5bqG02frlFvzb1fdKLbpU z?0T01@%!<+*7{@br?u=WiyMSWo7F6G*>h`%Y($2!#MW6S`p!eVmChOvkGbNPN`5&9 zurLGo-BHf-i$j)#=h8Bg=5{%ALsZ^OUezPqSqINf`^z1K%~5@Y;q;)&V4mt@aUo$lo8cb;Z+uO7^6RzLh)nD6_#eP`6qYK9Rz<{pV(ZhdjHlL0)(oi z9gJK++vi*aKGjeZwT$CgBjoZNm)_i5o-LzjvhuIVklL0pn^rE zx*Z5!#(*P}N?i8(iTJ#9PKyE)11HY45YSedC%-k#Nw;nd2LM7+R>TH)SO{kDHl!jW zp2kzoaU&H3j&gDYs(Z;0dKyprp3k>!Gz%O~CSl_)avZakcmQEDGi;xI;R%s~r%n;> z0q!FesT6cOQxCmCEdCell#T|kwwhd9gmL`bIebADpp%RS;bzC(HnLmBzXcFMLeIb^ zIq+{syML^r0ISw=k)jHp9cb$QxU-`B4tmHV5l9BZN zf};V-QMHMc{&uBwN_zLCk}&z9-OkV{tNtQb(3_9&>8-Xu^i9MNkm*zU9m@|!L zoAEynLQ=i=e7!J!U~FO3cm+0?1k3VyVIPy@AoV`4LJ)YGcuq(^4v|mFjWMBYdm#4@ zxvm=VA%x$v!pg@IR)E}dl)I+UV8ua=0ex6yG=;4}hobBeE0Q0WP^$CRu zygdYslqaztx1KAgi5-m9HWSNLVMZcf1Y88;PP=2Bmf_=Mtn0*%dgUDKFtbH zMoC@>7xR@IStqPWYbexW#2eR(kmL7Zk_AE!C{gX=VwT37JjaCMrSq81hiRyLOxo$W zgMV?T45QPyk*?t>#$>tSQ|7iDDkbI)TrGEku)oEKD(r3jI(1mWAU!M=1!funXJIXY zV6qX#JjGKD=n7{HM9Xnf3K7FxzSeX5r9HScREY7~@{=xdXnPH=Lj`0^0#yQVV`W)N zF9#tr`vS3YGNK`Q%%`wp`ksN*KtDv%a42aZ@*+y#Apsavz0Q5d}lO9Hq{32DVQ~}Rb*N_y=s-z z$c2C3Nls=VpozU$fC)_NFv0a$ug}%k!GL)5cdvHbgvZWSH_@0yhqdO!N%j{zIX-)% z+}HcQQQO0p-M#D2`*kw$cCWNw`@g1!@Ds zZs%+Ar=0jHQ)a`CbWyZ*-a2^#I33k8g{4=*Usu1!g_{?~T0I?&j9b5GmdRKJTpd!h zPJ4bCO!!({_yhEPByTWT`}{^rm>~X!v9C1>NK{~dp9h6)vFRhhVB7!=Eh-(GAK-OwpyNOv6l}-m?jm0#mi_^HCmz#%uVB#R;;=3c z??M@nuDu7A)x-5zTgP>^4%hen3W}fk{r|G7_TblpeYM zLK~s}b8grt816G7I-kvYRnIExTU+oqw6Q`;@C?n+TW?p{-i1fcWL;+eae{$Rl{0jK zswInY8QI#xU@($P$7`Vkapo(MT7(AYZj68B(>7wXVTcv z1w@@$6lbo$6m49bV?ZGN`ouM|Q7>%JVJGlJ4`7<;Q4lH0#pc0kNokZYip=$!v%JAV z)iFW^LkpPsqa^+s6sR!kUjlJadIhf=p5GwC5)UF3Fv9iUrrnc8v$ZctrYv?!Lf;m^kb z)o3z_DtWc`j}Qd2?bCl@yr-P;`eI^mz~%9V(;0Xsg&|^yOIQ{JDMAiHsozTdKvV;$ zU8#w`!G)xf3@omcLzO@m%V|5|Gd^TJuo7aI`38p~Zn80B-Q@8-$Vw_RaOP(+QY3t zMz)e+NxL0R+Z1+s!#xZv*@_lrmjb}{LXvH8DR3e8JI(k6$))LVsRPy=8t3^77~WEL zWgN1)pA?r*QM;iN#>6EiKDB5<*nP3hxTEgS8idjXy6y!^TFWf-R!nfQAdTx0r&JZY z&;iwIE9ZBu3~mxjjtfy}sqxi@QZp&-A&}IWj_R^$hFO|YVKc^AhBZAAJ$1ZJ8uoUALaMk3U7 z4635r03mOxz2U@Qfc@1=gsmE=ins2YGg9p3q`PX?eEn=}8q%1##r?qoj!}mDnu8aI z;1%6(goRDZ()3c^fxm=YTRQOhrnQBye_tlDu&=utbM(DoxmuVEX!7!3yMLC_=2r54 zTR~ep>fiu3d+zdsq!iM7lD)4}L(8V%h5;y%=C3rH*t0>54MXHPQsGta-eQ{>fS$aM zg;RDK36(fQoF%qcYrGtXr1_@VhGrC&!jD+9b!-(a$mc>A&>~o@hbWX7#9=d)1)wHS zKt&m@Cgc1B;MArV8kRT1F@KimmfWu==aT6;mV{Ws&QI={lXNCIc&ec~{< z+FQ85P8ut;kNa;*dwoGQ!>En(5fSVfCW|AejSRQ!@sci0?GyT7(O12~*B&T}m6zI2 zwrS+87t3IA`WHGrO8wo+zP*?RFUXj#ryk+GTZ=_9JD`Et$ilBoBZGYfO|p#%nn$n( zpRGhHPPX)Z=x+0*^PxsDb-3m&vZIHr?R)meWu4IX-yWi?ZR@$ zO>+JhZDY%Yey@fizJc%NI*iIQtI3)V}vs0KyD~L=IBa0KtmW2)NO|#D-aC)y^ zWrf@H%5HaO_H0~Ly*3$8cr7uEF*U4nT_e~Pxh-Q6!RRa$Oqk-Ra-B@AQd zo27<}bC0W?Ta3xy7j}bs&8S_%%DrYI_`G;0tPBRrkN+|o&WugLW2m52Dq;iBZYE7b zsl4_CNAL7d|4f3jm5Ws^dBvIjg+eCvQpl8Z*o`jhmMcjUn-_zXaLEEb9*taUN?&|P z(20GR*-afE~JN&W%U$1vcEoXzLuj|OUqE-5Dp7%_)pP5KtY5SoOu+|YT3cj0SYIx z$tu|6;g?Hj_yXIen2OnIZ4opg^tDSim`pjgggl=;%Xe=G9r(;#a2kgi^nbDpA|#wS zHr(Y44m|p^PlhYo5~yFN(OBQ=mxT}foq*%VIfWJ3*{WlYO73TWDFl(W%A39I9LQYX zdUU?D0iX0?zlXjgrTT4L2uGI7uk@=PS4e9s6H+7kagAP?zjjB}qv zpwCg+&6MI9A4drpYWPANa%U!BQi{H|F)q12mUCU-%8RX)PuXekM_YG6PgF&}3i|oR zMYvo*;`fGVT}L559ydXd$@b)(nznY(YP=Z}{whd%?G5u;O#IELpPpJq-yeC+BCtt< zN#}1H*&JQc{5|nAg!7?gVz5dQwq1rY9_UU9DcEG-uB7d_e~MGK4TPk>^oeHZfFR?v zBE{fjeS?e0ITCaAcgSc1;T{#L!sfJF92|8TkUQk)GS}$5i2bE*ZCpC!&Gtzjti_r* z|KpL)E}JF`X?6snP@yka_^0rKvtB7);CF`a_$fHzRFlhM)u3 z;cY}B^ptO@!m&qToUxLf%OS65fdLETBzPa}e~gXsKF2&KIbV=g!RcXbP)EQtQbN)! zYv|#iY8V>y@MZa&2rm8zzb;rErI>VP);V+N@UX+~Y``|ukPiBS=Ra3B%r2}Q8YS-| zq5AJkk7Ythg;G`0ia^fi8fc`fwV^OU5PLx-Qqq=r! zX{g@f2Oj-RK}2*U>CP+>L>B_0O>=7rg#i9Xo%Zl<*op3H)#G6A62faH$HlU_lGwFMIn724a% z(xiDjo~cCA?Z4V{2Zsrg0|_qT?RQ7v>TyNHsXQ9-dE?LcEm5xbRIXyn zg(xs9Z@23(CPY?~7xAHsX6V zcpN{tXyj*r!YOY?pbS^EqD@vz!e#|aDXrXz4$-~CkF@j0ein`9H}n{CP__}1t1hWa z)#8pZai@jlEWX@1t4Ck|F%i=}@Jo;ylIlv7_D4mZS@A6l-CDwKhd@`gnZ->IET!KF zRv6=nL&inXWp%2xbI2u@xQr#YL6qqt>y!>5mX)6Sjcp>lDS{-e#(OB|jA?@}j-=cg zxBi-0}vW8__G=ENi<`z%(2l6#(k}rl{$)G=~?S7BuomGnxK@_a1Q)h%W_%YDas0_ zb9M=+i>;~+g`Kb+jt;FGCQM>tvID8=ihAAKZ((ETr*-71sV;N5`JIf|PNql;HZ(-> zv;oczE4R>*1F6zPEHA?oj0}5W-n64%bcY=n8%9)ojIx7nEgL+Gp&_hdFnXVR2z5hk zt2cU2$c3lCQ8#F%H|m;8mw1&|Xvw!~E&WoN_YyYNTy-fF^WEhARnFD*ZtMAdI@M+E zhh-G6U{zdru*J=;ej{0X&U$NqO**m;b2XCJ=RUqg{3KB2;&4rwGsoW8(=0!LitG`o zUmv_!dv%;Ax#J36`y>c5yd{>}vQmx-&laDnf0-?yGKc2%5;M2qrjijVjPY7{2iw3| zN4?`;NM)2(c!EXVYYI-+hR)DZ4V5(_M_<3=1&4d|G7{MxlJ%++$|Sm; zsUx^YprbPjQnB%Xg=#ulC68+___mU{O9>)x2+XoQNskaqJQ}$2@Oyc13#acTU{yYP zOToKjBar#3?c1jN5*<+V`x4rq!r(jvp5OG;!=E#N_7xd{iRX$I7mA)ahNc%OOzI!H z;8;h@5p@GR-jhhaQvCtkA{?5#6ZVkQxM%vi{Y!5+ObvGK@1gn&jGRh z+bnL^HMfKE&VI+`$Z=x)r44x5y!EYurv74y=gz3d>$;7huTgkr7VVY<<=bQ0r^3&U zp!18$vCb6OqBTHSqvC1LUMP3ixO=UbK+ta+%ika<5B!}$zLrxKpQ8CYFt9|u=P&qF z{WPxUwgg-ve20}{H4kF$VaiC5ValI3ol)Af#CRVT%44&rB8gJ@o9M0iI2SGFm7EF3 zB>{rH*^rcqo)Z*f^EVXvXYJnyb*WCrFk<8iQvIGcqry_EJg&Sk$E29Kdiah=LHRqSF2u;H?E1@deYt?* z{yNw@xu{m0*io#MI|q&=GwD~B$cVF*crBLDk0xWSK08Uj)qf_~yzh!m0!3QSWT?lm z{$RSlh9EzjIhx(KT~vGYN12Dut?e-si?dpssJbNk)DT~|w^KjX2?shX;u8LC9S5j4 zw@FVnfyI@+`15dvJHr3V2P+32Kz5V6dY5GJg?;nPv!brM3)m!5^RDkdF0Xe{w0UmLsolFT^mT$o~fZ`OO{#IO=4u@K-BI1kE}oa-S1C) zk)M4|Q!;pC`t_IM5BTr!!4TkdSZ|;P* zuQJKLBP+I}6Q9R>6|p_!EuTc)45-V7Hd@9#AcKvnSicLZItvqqeNce~;SC{w!EN#1 zA+Q;CFnguKt-&tT2GN)8`pBQ}HCIaz34%DV4|Zv|hI-dSVWMTnfaPXwgPb&8yrr29 zC?iUqMc8_*)}%G#Eso8%P5xXSKYe~&+QL$QhD^@ssHy%vzsz4Fzk|~OYpv7m^sMHB zY*Rl`c&3Vtag4-5lI*6`NmB$9VMi;mI3kBS0%r-Q9t6q6E>6JJkC9LG!}wOgwGC?n(E`X9N}c6N4NN?Ck_z=b zfJxWoV}+iUBCLdpXJy{pK|xwy-qgZ_R3=DKQDT%38Dqc@2RM=@Dtl!{dSYC5M@qC9 zu1PhOh?f=n%rqxz=tUeN*@e!z9h35mF->$kix5b%rkwDk+DzY;mxpM*#TsBS zm9sX#K#a7A@pBAL=6cl4z9t!K6+$dVpYl&on?H{)OyVTjTJuv)q1kHCYkop%@o*}s z2K6Pid)#?GmO}hYOx7QakxX1>&$G?aKh0Bacm2&%X1|3_kmThWuvdWn0k`LqoPZ>M z+w^A?a2;;R&?_ws5$%P+<5k#*NWhA{WRAhw!z8ZogZg!cWWrM)>d2jo9QW9TmSjS1 z!3R~kvE>v^X}Y7L^je=w}R>xA7|v3g>+{r7yrSzlr^T7#NY1eeQ* z;*5j^l#_Wl(K%kyGhsF{0NzSYx>~iYXRHKgI?s#+MPunYe;HphW05i8>XVz+%57Dc zD_rd-Tm4F+6D5H&kQL2G0O#Yo1c zt~?jF!>}DvGg3u$-v~ZhKez6u4>Il+u`JeLF6jWf!I&Mjs+vZAT(HEo%V+?;7di(I z0i*Jbu6;y1DL#viC?<-bML9+s$&3~@pW(GlACUcPr}(eot}&}=*a5Qv%IOK<2=J9*r)thc+;8LB*CD4Ev6*o z%K6#aYTf1Afz(5}qn|~tbH*Kay~Q7oNN~e86?Vx1@cNUGX;HD2ucCKix6(pw&?_rq zMAzTP57+1upSK?gH9$7FI;O6Y!yWcU`)aSlGj!oxa;)ugB#JxAao8-^S|`ZP6lud@ zHj1EE+aU^<4qz~OdnG?kRXCxc89X&{wsn0-^2JtVI<+`4Uwesa%#GYiVhW6u)l%08 z8!C;qIRo(Jo?j!956}(U!pU31GzPrH`egA*%A#3wVmUy!jU^YZW|0n_+AB&{Ax^2a zGAaO4>{2mDDUc5wM{VI$wEiYXDNTdB3qNC}0&uTtYS=a|-wkjLLAvfu%sk#0ejXDY zIoGE#=Wv&8lrGW2AiM}pxCl7hZi?$qLV84+^e8DI8w`39iF=BP37Gl_*C&q^ zVx1F>GVQqy?CLD($w@1q;y-NuC2f}`s3GCTz`iAfB>Iq>vh>->3(h~eqe&STIT$|z z6DSsD7%-DD{0+Yl;A%er+0FDBGtxd>AC*SYIZzz=W7bF)Q|yB8&xDM#T_2S<#4o0O zS3RY`jKmtbL3F1RbI$jLBG-H6g<>O(G{2wP6uo*7bf67bE-8djR&2`x+4288buKvL5&&@ z94sZXl^^NV#^~0z?$w{lek(@H_I}+TI_&vtABNJJXYU(HoASOH_n5&rWLHjJ0Nfy) z0c`S7`}&rDc)zo|OX$;mXwAvk5Ue; z3Ig`LP6SZTPT~biszavBL|3A?tx&KRPlU)DaOZA`R|9kw%%Ej{! z-SXe`ZMC)>H@Hyzk$3+F#;YkW?Wc=@i3f6BMIqAvWF&e@coJ?j*9{=+O3PvR`}vz@ zqV+NaNCXYuDxNs@{<0PCk>j(*HA0rndAQXz5neW7QSGDPK)v*MJZARRGC{r5WUg#3 z-&nWZM@=lKpB%rmuyTm4z>vu#p_wy$6Ih-xB9e&VkC?eVl*7!unPAS}88xxUzh2_~ z!PePX>TgFTes9Ha%7tf}C7#5kED~)s4O#2t0~=rBSCu{9f__czK$9tMD!PjKQF3(y zMZLU$%=T#ye8gS0RmY&FgClC@;McfK^DF0m6*b_bPnv`0xbp^*l^Zge4m?cQpxpvO z9qIov`){q@L($b{ww6N?!Fn2Nn`q}Cq(=g{Ih&*yu~KjN03YY@RouqepbkMFVk|~cMi9|y1C`*poo_9Gk0+;5K7YQ;k00Xi ze_TA)=S%Uu@>i#Y9Iw1wXIll>OShXee#hFGWTEG+y|BP{mR=1wu*q};4T0#lg)rhN z^Z?P7Z-cqO4Qd&v2h;mC>%s2z?G1vSdjuh@xyi@Hk%9tp>|r-&*5hV-V%#=k=_+th z^L6i+yp|+EGlXl=^xsfiarDv_?2b5y@@R6+e4fz0!xZ0^rIg!3>L|^ICQU zl5ixi;k83#)Kv`Q{Q0&8z-@Jk9!||LgnUVYWJx}lX;ifTE+h$OF@TC(u z%$)sgfbPkL14F&jAVD!zKsT}QM^#4c<_567e9Xt&x*H^`DO^dr4wRRX_ZTK)d11M8 z3SRbv(0DK;*2DV`8UMASD?q}NckQp=T%ud+^o0iK$q{7lfTdqj6&ArZUAvpJQ#JR6 zje*(}6I2D431Jan3fZ=)N{5??L4Z9dWW5V@e&QRuhBha&aXGMp-pnMF?uz!(&%dZ2 zX`CA-q-G*RvzUZDTC6z)nd5H5lNQ;QKI4kQpgz!{3XkXU1gW1+S9hvh$`!5xv+5*$ zQk%Jd5%Ob=T_r#maBTCu6i0woH$1q|W8IAv0;@$iy&edu%R=&HIn7HXHQy@ZE?MJ{ z-x-h~_P-^q!x$YrM0ir`3XDY=v zwA0w3!KQ*qm_+23KX(gkuxBusgOtZI2sz|ihhOec$5bIR&Uer_(uFtAX6M%n4`6$a z3}u>X`ZwMTH#SZ=LRnx>LLdO4$n9+Y6*DCfBs!Eyx$YnbHFruu9po4m_R-MwnU zI;Xn@H6!ltG=#^vMCcL5cFB$XGKEdm{Zp>bM%J-U4tLt1rrNlrpC!fc;dS{5)~oS= z7{cLN9j_y0@pu=HQx$6S6$#XnHv&V`fb}v$8IGf|^v)Jx(=iYgO9Zyr;1nS~J9Cs9pZ4nk!CjWQ@rl zxPk?CH4I0Ia0QA#QEL9^B3WY-@j~sGYv%TKBA%C z{oJ+*3BOO*Wr7m&4w87{b6jfSb(abPp63mXz7^cqOqct%hq1AuyHxb-kft|v1(}j} z$eAVdeM@9h$3|<1L-S--k)~qm%v4F=o{?hG`Y0Oz3&NP&y7C_YgMY_+vIg3j)3DN# zFblf@tsGrwEX*A&zqUkO%#DFxn}7a-$b(pen1Z-~ID)u-eJntLAax)lAevvX3y9fQ z#0J6w!V1Fje;s7)=pgoGA4wy|%f`aW#=_3R#=^qJ#=%a{0-$DLq5j%U-qGxTv&R>W z%FNBw{QukO1qA-N-v8MX0{k!NN72Ma6==__K*Gtw!YpC!;tC|;;Nb*(g?2!57iI~& zuOBUDZt7@e&MfzJ4}exAtgM`@|EL51-~afvzWS~gfTYrVZWDi@zP(1e!7H@Bz^us1 zc74ts)vl#3O#PJ&Wbfy|H0x+rN@Yfsr^i1pzxko%l*r`QDL4rAH5&xj(1nhLEyzHr zGBxN^PlYnYD7DLiFzR_#j4^gO)fS@aBcsrQSJg(8!&bE@>3eNHs0OQ*t<$Q8Yt*pr zIhA<#Z7bxWt}~?|t3zV7AcZ3g19efLUZS9;lRSL8Nz5Fr^VfIrzp3#c!r4 zOdm-@NBu4Cm2lc~a6qgL9m#CcO98z%SsC_)&<06@Kem#tB)JAq?-^cH!-n9A=0dO# z@ykC>QG_d_g@Y7!RzU<7AdoW>;+QNyxp#zM4%-*}m*YrU_!pfJTv6DLGDq z1DDQhSz!gLgwHQwP?eA)fg#9BhF#;7nkvZ`=4PR153U0xuo{?auOt%}!`MuD7w~(N zY0yJHcwlHr~TlTbk8#SNch2n zCs@Ehf6-u_yx30=oWPzL zDpnq&#uAdTlko7O8_XI=M;k-50-lU31}aB3WCf)hu`p491saB&B=Vai3cVMaNLl16 zcm@hpJ{}8QEQMyMyVzVR^r7Vw(#r^Hfo)hk4seMfI)WNr2*qklHB=ESI0mNzLd}8( zF%DvPtJ_UHJRHeD)oHP8{{S~6cV@iA;ysIxkdzR;6J(LFMkE{sB4$NgkRw)*HK4)D zO4^s+VE#g@oE0IX9{_yv4srTs6A(nwSe%A7tVv3X-&)|ELJdM-RKxNIv;j$Fz=riG z1=$rF1ZE8^J_RRtU~^%=`%4jG6W4!A9i9rxl@b}8ahk0TgJS{V30+A220p_vfOd%a zhWIC{RHiU=aEuYSswDl7zL~YbKHM0Fakh8<@f_<}=o-L$bwF(ZV_e{|%a`1AVA>Tl z1Fo!^1jm3QCkWnkVE8d_lCc2zjPsmTx{m7y0xdcu+bNv}=cxfyumt>*$R`LwKN>qz z5!LbsWChMtFqgf_EWZ5|MJwcF7`)V|_|N$!PWyF`f*aZrHlv{D@gXC#Kx?gUnmG1| zvf#{Cg{>E~KNZETB&TjvYbvT~DES!*=qqwfkQ;uBgmY-lVy25BpzEHdA2)R_HdxN2 zx|ebWCi9VS=(+?V2Wr@Ap-dCxTUqhx;IJYx z!h_o+g>8~T5vv(36-Y?mzOx+K)QWzGB9%z%#0w*kMzn?9Lk|gYZe@rImRt+srwMPN zB5V}DSjb>)$9%~RlMh#xk~W4khS&l5It6&ZjtR#Q%^r>zQ0x>%iLD_t*G39U;u1R% zgYk*HjMzfu>%qMt>&M=K452&>yB;arE8h(?&+03+Ol~-Np^xd9wpjz>EV)NAfbhqJ zd}VLkBcLp?15`Iy8_5`@)3*W~8_rnv?rP9`v7K|luTkK_o{g4nvMP9JKdG6d^k!MC za94S6q+ST$2uX8<9$#DwScvMhHCjT|2WdfTJw4D0#}xqh;t4ypFO#`#R&!Y;d5!b*Cd1pab9}u|+LFM|vxd>6A=8H6uW`e*WiAW`9 z`pX(+itCqUTMEE&6uw{21B;w6TA8rTkRp|!c!Se?VUlA0U>){AaK8F?t`Z$MSYPi>++Z3VsB&<${<4K4_rc!XI_Ixm zAQ)rtj-=N5shynmU~3A!zT!F6!=!QI^l_YZe>cNpB=oey_+cV}=I z+}+(BmVdL7?0cJZZdY}6(w)k!+)CYZ&NVkK1vL*qR#k4lrU^-i+MWfc87TcBd(FHU zt2Nt-AVk;)qM@)i^V=PoIUWIH2ELzNQ;?<6*RvL6Y zfW5FTul}J~6+Ze0eWU`NJzQxO=tdvsJ_!!vAQ<)B(~)6NF@hf!duaT@a4$AZ!@;oW zI95;aTVHQrJR0xL-%t46AZJgoS4{JNTH2tHt=viy%U*a6=Ce`QT_ZN;!KP^|} z*7%KBPYO|@2WX8YfwW7)F6M<=mG4IV2qaf0ih;LL zh%_~?5@m@OUILe`{cBjU?FU5}q5>903r5Sck^7f8a$ex;&aG#;a#iZ%r9-dh?IG@} z=k4M_!RyJ&iMI;qyMfVh#a{(f>i(#DUiDH^TT@a}ebZ!1wqy^c?#38#(ZGCYIqmqm z@tr^YD!=ma03eTkjQ6}RebvWxT9$$DDFgCu$8k}fWew+oe4E3CJ7WO7VRQ+k_wgd) zbMJfU@4oB%p~al#xyhvMF&X#dkEgOE1sQTrmY3&{ZvL+xHI7X@Ho#l^%IugITeZs^ zPMa~w3gS32fBO(CHjj1_9aDE z3|>TVxM6c|?v%-jfRy#UhF7`c7?A& zm#o(_a=RpzlvJsOl80j4Ou|C7Jx(TIC@vmDE@Qg0s=V9c1ls@Ds6J-L>;aCk8)Oa@?a4QIKmx29RX$pHiDmU;%GE6Bq zL2>wp#deJFo;+>`ssBV*^seqeiGMZ{8lmSZw+TBVoo8N3zq^9@h;fUU+V}uZ+ExfOm_(vl} zYk%ptky}s)Xnf3gBRqN2aYc5lz*F}@^NIu#rinU-5T#p(U+I&*qgkjql3x|x-)fLJpp(v?N!)Xzbj6T6(N;QU zgwsM}5y-DqMVmuY#V)vNbuBY7;KX=;bOEm2Kf$+NZ~msiX8&gJSgIx?=yW^i{#Boq z)}DZ^`c9}C)uTGX-~vY%l=(pr_d^h>`2paw*?G46JmDMxIOBP+^E;QV^Hz|{XiuHK zGu@rBPMQd9V32mCm3E*du=d*NLnMpDuUecaV;YWOmI} zwGl5B70qw8_|!f?Z)0kOT^50Nt*HMEpjc2A_gko1#hiqrEzY^PEA~P1*Bc8pby^y~ z@tDK?^^!6b`&<}~B}@_$*J%Vjsx5*gIQe90JSJz=qJu2cJ7w(}=(XD)FJTNSk>K`+ zpTmB?qbP?ztL_!7sV@Q#^ljjRV%$H52ElL40qqK(Eb1udI=+PtL()6 zaxt6|x<4UNQ6CS<#6w|Vhs1};mtR}s=D&8{YI&a0m+bB-X_x-WBUkA@F(&oX*>VCx zGQJsk@jr00#S$BfVf%R7|7?0ISN13NKW<6!VVO7DmG{8CCJ#>&%1~?BfHC-4KAZo5 z7_)6T(f8zJ>JK6UG$*pQalf?7D|Ug!V6tV;#bvbRWoc^EhsoVWx-0)=a&z7iy1rZM zil|SbA1+GjJ=hMMEt&+8m?|0%%^fZ_Nt^o*n2?8S?|+*zq@@LtPv{`Z23iSp|Kj2i z+_krLEvG<_fSU6MZav+_Z90R4xxQfL%Iln;?M{i+!1Ec8o|KSuaS>bd+QmFJL~gQX z5ek5UHJrSE5OSOW-%WiBY%lF#->z_=O-Z!>u9vkp-DBqh}u58a+_zFSxXE2TdtP_ zS&840Xc+2hR=>|W#X8$`bAFa}&HQUt8JG|hK>zd5Dd$df=W=O%b3MqUd{ikc@Nql| zO5@&AB6;U}(rk6%8HcGIQ3&>#?&nLsodff12KEWC9016^l@BE@?T%VWI-BQ}1ZVq< zOfNWX49MF(Bq@04E&m2YZk`I1dvBj3fn#wnkQ0LqXgCrvg@ zi1IYjs3kjpv7YdtOfa0Cnpt_B4BB^(J&27)e0?h}^W}PJv6IEQX)Us5ycueBHI~BD zb-VE}uOv^L&Fv`Q16^ao&qLcRAp-G!DQkqz$%<$dPW6&;RqGLJZ!yOR$!gT zQVmvzQxOeL?>L^~P@$XgjR9Wa?L>B90;Y32mVY*N^@}~@j;_hxLpv>`tJ}*(Q`bJX z4&t{QJG zS&`RZdb<5ktY7G#?9j&p=RXEuR2GXuAKJpVJ>SF%hQn20L4~{+hn6AfaaXCpI}&ye zYVNh;hf0z-c|SJwJ7}j(%lK*VwTQ7xBs>G~$tAr`w2f2Oh*Rd%=qx=a#z|WUpP~vs zmu(t?_uLtCELymsCpNkTko_u;Zu77XDZSbRo@OppH*#l4F{ z_ap!9Cq|b`SLQSU>?BDBE0O=7PH_FtU}5ly$Pxl6+ml@waBV)dd|!EeAbT28i9!SCCq**~pXSzH}mXp1l2+M1!vIIYez?S&gcHv=EviatLG3 z&6+9ODiXZ=4^RNprGtvZ8(h`EXNMjXvMm^Va!aK#BKqz1KsSNXq9fA-TIeH`)Uo_# z_z|4|X9fVkC6RC1>Tc(Iqe*{o^8LX~IH^cW<4Eg-u16bb_bUT#!S|F7+@{Dbnn@Mw zb~l++2=ijcdHHr7=3>uriMl<3Cosh(9A-m#-S>(NxhtGM+(#R2Q|seTQrR|wVtO1zumu&pezpT81T2Qox+qiw!{z_6t&6G9Gj?e*wtrlk2=>6pR_8ohT9UXl1u%n~JE`9TeUa2Hj}sv#cDZR=#ectF`t$`Sdl4w)*--q_=PHYJlm$iV0PFl&zDh_q;Fj*s> z)HU8nVNM3({s0}6_#Wk$6C$72gX7t_D&g#ciKwn`glEaeA<{9jfwrZ-E4K+gI0i$J zdsc$;*y?IBlNm+4gpxOtW;%(nn4}1yVF2D%!lBYHdg*X_p2_4qH54t$xjI+1#hRuJ zGSY{Z=EyvlQk48zyZf>!_qM;hKSjoF*@#t*m3i;_&xOHThCf#5N}0HtCu14P{Qv3b zp-IAbR32eSzIUoE#A8F;7;;mXrkL$qdWR4D9~B_aUD3WYFwoaY286(pAFtmHokssS zHkuV{)b`!nM}y)8@p|mh_C{z9w_x=B=G`vjJsD#0QA|i&hk%8> z-e~PoCsI5OkUnp30vJe^L{KAldp8!_o`PNUn&aN!)trtPZzh1If$)ZP!5 z{LK}wc-gTj_6ayJQsGX1C|=XB+yG#pBi2KAM?ty>ZaT02$V*^!a+3t#JM7VJcW}y) zFDZk(xorZA)_U>c#w#$`Ka;jn<3rQ(+`Z;{!FdgLcgpQ#rOekqs_iL@|fI*ctci`=iWIT^DdrO?khD zfs0X9Qe`8zt$Vt|*rCV$4&$Y(t~6ep273(}V`Gbm;=tJ^;q}p%ju6aS#atoI({cHC zm>oCTPgm;GVgksrC3qrf32eS*&)eN=*W~JIx5r!wsN@~+eA-1n7}j*z5g`*!f2>Fd z9Py|ZXZl=b9FC2b#?Nn=iVazpJA0*dYdbVun#NOL*J~D^Kx5meUAE1Fr?*qP#-3es z25?W-!fGSADrswVYkj9C=i-G#4aPUpHAY_2gF#!JMNc3e2nr~& zt*}sE=NT}&A6C7H;PxI_?~+sX&u!|Z$-J+3T?gEs{mJxlo}wwVPV>-ETgGUX7Sg|# zVaa9I^btxipP@|~QQG0!Hd#5#O^5R7G~VdAU)2-2@P1^Y-3JW4dDhKkyFfzs8NzXGW5F&v!kM|RO`9I?QYN9hUG}c&{+2Z`t>>Iyzl{}h` z0n17p==O!NxY0ue!#++%@~qi6MqtWjk=@nh3={77kuDyTr#vn5j~fZ@V0LB=FWx=Q zBfzO5Ek`T3jNkT=ejl&|zT~o^KMxlEbOpa2iDPxP!ZCdOA+Xckl~(CBGv(~dy_lh! zE_$%??(|s3O~(UoN#-}64Jf(T2DRf&844a*Sd4ZF4{--uH66Eiwcmv?)~b|71z`uq zIDL1Z%<2S}R`Xr#GcC1_ZBujDS0-($SxVRB*I%==t+Fqp7BrAG(Jiu+Xa>#bZ$o!m zN0D5{wQX}cXA+FpI|Vf1_I2s$o*XUOQl-TMb^tQPMQJk9OzA0x%ydJ>nh~SUwD*R2 zn0m?@h@q6(z8euuIkx7;s+l|r?3boKUUfMivbmIN)TKN?oNS zW-py8Z4I98iuAr@B|@7^sWMQlIXzTYjPO`y5o_|FuPAP5%hPYKN)0r~69F-9x{+>S zpdsf~%W_)lmTxa>KGn}-eN$qiUdBFvuaW~FO1flGP3p)-Wekfl+J69zLIyi|9I5X6 zf5aDL9tmBfed`6oeOamkQpbhb4xkrP$%B74BhRBb7#7IxGicff}FZ=Lj) zQ=zcB)DH>{E2;Y3sX)Rk@wp;xik~Lz2L_`l4EUbv zKMF2f@7>#O@e|L_l+@T!WCX`a$e)I~-S<^$1_Q@YQQ5_%-(4hEV2(9-S@$?^^RUBr zN(`UNu)61!Ey~LN0hEf0ilv?`%OUd_s>^N%s&!=-6oQ7>R1hIH2{@)(YTLXwlcq&K zy2e67Ap;!VGZ+HYA6^=7z^iGio6>tjUlvr?M@D1A8r@MHDGst;X>@ub{W*OPn=b}n zDAOl_9izaAx^auGLm<9H`8yHBe(g@GW8B^20Y!J^yq-#y(N8&|sdpU0&71czlxLK@ zBEItFW&2w^o!gnyX4e))x2=vOL$Cx>X$L)ho5VrBb-vPDQP}oMjn5zhKGjg8(LYeb z;8i`2-GAvbLCRN{iK_W82yZ`LA7WHGyhviBojU?6FN(%7mkNSVyJrohv7pjkoDtE- z8OFSLDm!k;-T6u9v;BMXXH=Vv{#n)4BCiD|z%!qAqd5C(In&8R;S_tX&W3Yz<#Z@s zczVCv+!Z^HYk|9Yznu}4c^B>eUefe+>+8rm>GRTT-~nprxGbstURv#-Ysa^Sw5xbX zZBDZ98htX^(5<;ucf^%n&)aOU%eO+<tzdTc`7J))KX%}N2|rh{my{HWHVeA@#+gJZ%qj+3M?A!64fjN&eN6H6ZG7ZIy(G(XA$UmC zOPm8Ihvyg7SN1da2|_8VZ35$Z%m{)m(${q(XU94X0h>e*6dt`;)h~N~Vq1i_!CM`b znn=E!cUR=)heV$4%(W3!XGJlW`tSoKK4!wpa5*(cyk8#mCf{^TVlpkw>ekuAe?+gp zUan6?6NdN`(p9cwz@_cjKkiNMFAUl+?7vII_%Y2Kap@8ANl3g%37T)s`VW%yGR`Oe z>V2?2-?hHd^4{;`_RL^V^GfKgW*NPg`n0PSv!G7J&E?!Ui22x0X5g^pbmKsKY>?{y z)$!u)$sAbHzUDcz_|k_#XB0Pm#_3l43PW-wJ@2U)^%@s ztx&3uH+vWf;*t#IgmAyGQFmt*YR>Qoxg|tBnrinc=pA%txM!;lHSW~fS*x!-S`ia5u6Du|;T5?6wYBDGlCUdzBZH`VpN3i9?0J3cxWhWSx<)$hDCEksMDkkKMLB z{C9|*yp?x`@mRC=dT~S_uaf^%Gp?JoKcM8Lx_z!VC}iNoy;QS^`YCN;?_{R+N7*HI zOCW19!&M!Rts}QnsOz+mZ^|~*8>OO;a*kajJo$`$Gl=}qCV{MXZPX*(Pg}jUqmUKP z!vpXSB$=A|g{o*f`fMjOIlmoi7~{r`svA@+4&eH=#Ex(<3T_-VHmM4;Sh=wbmK=?C zYwZlh{q3#HbIeLG9HC;Z20~nau9M>7u-g$`u{h8(sxmVGO z^ZMl04mc4lhIxOr*__AzL4BZh5(C{Ifscrm?#&tBwdPc{r4sxrvO2XRKC~ls=l*ic z@R`fSgZlNmSh*nI-E2dr%4V<~FG_bO?#m5X$=RSeX5bg2%Yn4G6b>W|m7b-}m(6#U zySv$B^PszV>u3*Y!(i`N6Ao`t@edNHYOT=biDrB?8#kO+VCAT=0*0PcIcc=AI8Ip% zD*)eOe~cA1oPjKvTa4NzO8FG4Y@P{FW>g3mktm9Zm%>NwK~*1V7{4bst@@EP1^p_L)uQJ-Z#U4GCzz8 zIEg4yr;7DfKAv8r#*8(8O53hBDPaMO@92o)b()qyhz%dQE=(Dbpo^8HN3bLEs8?|O zjw>kti7Q^DhB<;C%Bx3*?KFOC)To{Z8Kq=%KR)&&83dpZQHfZ9a^AV4Gf9wT$a(>e z0w0W{JJOd|UvUFew1#d)Cl`B!?mvs`ASmv_7vY&gx@Uc=RgvL`wQ$66@A3J`ZjC7tlYln;nY?zPHSt(?y%JQKXIv!V27E zw5qcY5^XuyaVS=!V?(oWEdz8|*{LjVQhgui&@^_yC`Qb0$c8*tX9zV9ram!h?Mffv z%?F`UvI6JjeP8$UxnQWXl2dwn`OFjU?iZC-QubFv6f-6l-S~(kwoKj5wer@rQo8jvwx7OtgW zf;K+NeD1LD43t|!rOvZr$<74%bxyyU&ONMlMI~XJI6SqmzwuRPbERh+_YLBk>qw%X zqAQFYhbrlGlv44K2z6Mxvlo*VSXIfFF&(;p{_1(8TUj|y>C1~xHFz#b6M3+lnmIul z^9LZdrHub|(H5)TV+PEb>~qtTHtC32ey_T2TJspuU1A7Hhymq;58rip=~Ur zn^38biHDvV{k)EV&PvAPoor~R(My#1aalzT!f>4}sAo3j;j5!7%$Roz&7TsVY76L4 z*f5IXZ3iclYu6w`naJau}p-a8L<~MuEW`_Vy8IiR_06g ze~Dap&B3qI%xW94M&XWnDgMZZwUv!Uz6Q@80S_->hZmKMQ9uXhBl!$B<;h;)cCwL| z_>Y1acUR=&k8j2Vcwu@8qam~Mp}oA7>~9_7D7aBW$3HS;^-@-b%bZj_5Qlo6a> zy@XF*|nO|KfG(Pxvk-0TbI{;k)CKzS%sIvv`Kx$ zQO#1(*SE?9T2zJ^`!nTfwxFS%$3~oY>Z~*JADG*{o9*#*Fc}HaP+m}1F{VY;Mpz(J z?#d3{N)q|%bkvS+N-w_xdE%_vb&I;nK0swgar|SSe-QKKl(?>TrNT7W|JHk2xh5_vTKlBqxl`{9D|>mnf+UQ?wzghIp*1pMXFNu9>+gv%wM)o#A(QK3(T4i;Yp3vaB^!K#@^BWgOXcMZ z9@#Pl-q!Cp{awW6k^nK=xoNZ^1ds3#VXej0?z?2@4V?Vl2?pCT9gLRd#=9QsT5tr_ zMicG0(y1jdx<}W?cV%e&OQ(WPn0D=0E`nkSKxl0LK2qj>Sz_Kv#ndy8QG1u9k9$&O zQ+N%Y=5-#CeZLU($oqRxoW50~18;YIGye8vO{>DjIl=WM^>K>kRPe3Khk95G4+D&rkK;8T-1Q)4kmr>$L=bK+wqgw^(O((bvS;|2x31f)Xu19T5aPmnrral z@%+jbVcKY0U%dV}G#!vCYi%l|>xxHAwP{GDQdVFGoK<9vO2~o?3-<4{@HZ5)DYt^Y!X57sF-Ggfsl&I6x3Bb4{&B~{ zmcv(#cR6~uIpyM(3p|iEp5yCI*(J4r_t0ez5BEfv z6EW`dE}iD-iHK}(Qa=skhP=@NlPku*3J|BnV}^#k&((?TKV-4AD7%o1Yd70ky)xDQ z0m(7Dj_L}Tj%bIyZXytjW^g?#ji1sxawXtk6$jb&r@C4UW>i{-APS*|{Z9wy%2DUd zKPt7tAsSpyo?+XOU!AL+RV3@)r!s{HY!X`92Gdz^CaB+}n(rhp|6c|7IYLv)cZ#`e zQbJ?$>fp;aB_qQ6sW^f$+l%q&`jf zi!Tjb>&ycCR+~iEFF;q!vTiy;s2?m6=CluVSz4K#Eu0Rv4!i5SgKa})-^yI6D-t@U zq%MeVr+An1igNe||I19_9ZV?xS99JK6p#&6&`%%~N~KG!KZ|imj3lA{ARp~MXDMEY4^KP7FyuNOmiYMk0({FxaQg5%e@rQ` z;u5Hpf~Zw&EMa?VgS9Jn)@l1L*CoE`4%Twfr-N;%2HZW%^AmVFcg0EgDwLEJKk+iJ zUQG{mRn5y%F*ieB)d>D>GCY0r7`_O1Mc0e|TP!&fBiDb3S^t|mrR-sE!tmeVtl3yN z{sX!G|KqF~+5aDA)&Imgx^!*aSVKDV z_LGnh)(ptspqNQ)?Rz#?nyEEN^0Jg1)QL9igh&Id)5_^31WM zSlMPkl5B3dEOE3hK@vDJqYy9qoK7nR?;Bue6&J2nL8)b7IjER&$6}F0k-|@mqpCQ* z4du*Pb3a9j1|fM zRU{d!VihbWOi+ijb_?ID#xI8KD`^x~9rhH*%ay`0iAhtyG$|9DupUh-2gNwSB{>oN z@S3b-&M(>fJDBnd!l^(V%z5S*-8ZEmSpkkVS|?r^a;uhVmW7&`3c=c%l|25<0A7>I z7m~nZI5FY3Ych&nq8NL#3|VBQlp%+S>Yetgig7ggnERlntQVaX#CVk5uXR!@5+D;_ z1t}2slQx{ue_d*JER2~ui!y`xR6pOHpD{E1uny{O(0)44CETQp@kTQL7&lxf5{)&) zTyo3^Vn~*G5kW>Yf#%Z|qo^XS2beqbA*-e+m7j+$@};4NvS{)uRzACX6Npu2(0wdp znJxuO%}zm37XI&-0rhr#!pk64sWC4Ow)?j^w#;bgHik9r$BBgbYq+Qmom7^V)1X+a zftnYTZYuk`g_#3V1H&YR4Qe>8?qeuDraq~xH>f^}4q`F&fOifH54x8Q^?+tkN!>** zB3u>az;Y<3YG(IScwIY?QU){!yPg0dd5mtd4Q!Uz|4%{D>@G3|i@YR13B*FvJXlMn zv7$m3?{q5!7)?D5D-2gsL83z9Z)MhI8d;~3ztw6XNs&34D`|v`^S_!D8ErtIG=TX# znnfW!DJa=h&sNwXxZ#8p@CNr~hK0M;aYWYZ?!EpXFjIeXbJfjp*hhZbj}6KY8w}{f zQdu#F56op@ed0{h!4zR(&SmxtH;8dpH3AV|GY%b!8E7tsgO5>kOVi$p(>sc~Ee5ts z+TWF@29K#kQp()HX;F=KM}PaM0Q%|n|aneX0^g-QQ?ogGvP*JWaQm(XS? z4Gh=0Sw5OK_6A|q57E&5cl1k1#y_Ps^QU(FjAZt0!*=2=Nx8Z0zh!S&+p>BYPyxW? zx&)Kbz@0p50<(6lM-hy#6r4>)eS88~5q9hkfAhoQ;1J44kJ3>R=An&3s^IRQaIf)P zMno=?wAc~F!CcZ3kg~UCR6DW7gc?$)6_TH{(jIjqSJ(_N8KxY1*u{PV2SHU#u?ADl zta*?i;XwU#U=px#SPNh-TN+PMc$gtbB9CgjEAJsEY(dFX8x?FEN@Pj~QQ3R@SCh33 zoJQ6?cT`^eBr_*isg}92eIFgf?Rh0i8}^@?tWRG=NnAIayOC`~>kU{Ty2H4Jv*;%j zLk*6eLZ^E^jG^2Mn+&p`n3C8X^gw~)Vj2XI&M+jjEut!`!VlRD-!!reY>m*6BGA9) zW8Y7%i=VBwi<90o#blq@?3Jp4wNga*vE27Rek3@A_6pZU79p^)0DRQ>Gq?)Czh7_O zEzTn^qxT2*lW|J?paru-&=O^F@$)C2?JFUIyj-83-}kToJ;Cg%ALi%FE8+)Bv=Jdd z){cFaZUPlUEBJ%W&$n=)(Ff$qU6YX>1n+wedIhqH(^#+VhNh`4>yKghdL!{NSy)jg z6(DWNO&)@XsgBs<8zA0EU4j(OxgAm0D@CN2d9SD0`X+Ih1rg>!jEmO6Ie@kN`o{)C z;GW!hP|T+A=^(+zmDfLa!iOt+1QE6goyPwPm_#oTA--#IbrzXUosexIqnZ`=Zj3P!n4aI|mAQk(#wJo;%+mP?Ku*q7rMkpkRK!Pe|9 zT@xJo&u7wae{4fSg{xx8pw6dmkHt-zVJn4&+sd&Z>G-Sl><*EWL*-~&vG&oKi4Xm> z+&7jq&$m-=*I>5&2S0lSB5?}QN1_nFXem+}f7E!1wf)06wi5R=jQk~&sj2`(A_HXL z2Aql@1DZ1P%!o4I?`&WYV0risVhG#H|1KzJ0L_q&rc$Zy7DaB$1zM8V+AkCbY6)`R zI)Y}zNjPo}s|U?vP9-+iTBFFK_&qa`Tz*99Grzq3UUJiK0PyoOLGAP497D*ss`jia zEsV7U+c4NMec!*8(x=d9Y;i*j0mfU)$%vgxk}iPZ!@2btr77)RyQo5-W&2j$ET%PxA>5GA}+vsqY6dCL#Bx@l3QuE4NqbmaUS+P-9{; zErW|f@aND#Xr37{G+J^nxSKwil0p(~t_j4TBkO1ar=4&GiGxTBsK&a7DAKQe=s1~L z_lO8uGHmT$V>SmP`6V#6C}{+Z?HC6|WD{2S4pH*}2we8I`J`eZv!H)qy;87tv}9y| z&5Fnmn3(^$NLz%bu|;%p_L4W`4hHR}O#n=V9sYtP<`zO(u+cI0N&yhyKtNTg$y1bA zjPwZBYXM~Eiv{U*omlW9_VPIaxJf}_pwb{fUIjsfAS&*qIWU}g`7Ho(~Ya(NN4Fo`HVWEv@PFO2=lu~OIkdHl$sB%noR zocV@nb4Lq)%=ZdvnSwgNd#>%v=ZE|1KnPgTV1+#usIXlv2gW-n-cwa`;cIa-}hOfBQrnL zG?;TC?HB$NlOihwAzNXqo>P5a4xv(?v_-$~BX&OPm=7ERT^HkaKIdx#?Oz|w>)v-3 z8-x)T@&^nbYO_nT9A9r=Vkcjb-7h=X>e6~|OTHghU(qKsVVukYody03L-gaXsgYX; zUZ=d+yHJAtZYnMO&KutMnhy+$FVb~ucGB;!|2oA!q_Hp74w1JaGfK?WlwD%KDqcN) z3UsIhx!eJoO}gmAKik!Nl7Qw)_Ym$23rZ^wI?4|^ZQc>(8ABeH&1;7bzl3}$z>l}u z&$;u}7xl`U%iK&h+nc-;oRIO&0G%hz7P=R3lKDW zv$27UR>2#C^v{IWi;1{RHeIf^VOzwqiBj!b+%iJ;q_Vs(R<%)6ti*_h z)P?X@D>fb@nF>NdS!SM46(rCod970q^s<0$zxU`GNp$jIa>ntN1Xpb^^6VX_r5sXvsM33_DWkHF2B^ zK6|8{%bH{MsD54CTdPt)DP#Mg=y-aON^>If{ZJJ<6Oq&K(bP~}h1$RO9|bL=x^aaL z#DVS|l){se*`HG&Dp8vT2)vBbafju=N^${RG>d%S{?}bk{uk2}7)0VJ$$L;&B8A{( z_1*O9ZJRlV;l-Y_JR{A>aliXuB6Pi%;I3q5ngGdyzJ$KZF!{&wv1#I*TLemQplFf8}*z@EoLGq-?rc$V!6 zWeh)H)xYU3S_rKh*C=-$u}*x_ia=z$k-y9agKJE?;@CF@QO}BElv;V#!SyO?KQ~W< z`Fh|n2_M%ptb`|Ip@ww1Dbr?tX#eX$)?70AT5Zgsl5sE3MymQzv(#tX!GDSf@+RG8 z#RkFE5X?EP{%yCIckS{+QGSNfv=C#T$dsgHh zVDYw+8SE`TXqaGykvP$mCVqO7R}EsNvtYzT>G~FEOj~?y!|rl&W+L&{S_4Ku%0|eV zeim3=R-=PdGdd0mp_KFqu`5e*3N&7N<>264Q~0ukgkn%alldo)NO!JJ&6zW-#+^pL zt~`@^b7W+3&qh2^PuVYx0g-rj$0z;vYuq+N`)oa+s@<)Z0!6M(xgq>3F%<)re7__( z0LDAw^J6aOmlj(vWaJR+??C0nTIKaZnF$KindxeOA6MMt$Y-ToCg3~cwCUJWnyf^? zC6%ucJ8|~WoB5Wr&O>X_;KCOFNBD<_>rsp7n^)9z3GE+t7LJUf=R4CeBXwSQe*zKm z3UhMx`0%f!QLv=&OOvJd60hpmh7=tL7L&6ENd%ha86fk^%KdMyl1guO;&^jvHm06X zUmnA_^!lzMz)CL!4`%Kt*}ufoIQ4Q7!!pG1J1gm_b3iyt&5(5CVcxGI{(V($U23+p zsgh&Xp;tAOG3~;;8*ro$xsm-4F{k0i-*skd^oCF7^&J!D+M-|y9|4abDwWkPw*F`L-Wx{zOML4T44Akw z3-S&07X|VBx(ylbLYePMO*93UMdo=;x|Zx|l{pzYtCEUKoE?j!5Pi3LQXoRH*?&&f z^)vnrjECJAAep#nls!u!Ev%cjd4+xMqlD`6bEf6z=<2Kr(0#D{$1%d6?|*(MG!D6H z*>*))F-i(pwKoQaJ2Q4I_O*GuA1N;6r+Ok3pC0L^J~}|lEtpGhrkXz5K8%apUpxx( z2#pZPfllt?Ukm2O)7f($`V@saedL}Jzltc@>5f%7+ryffCf?7LOY@i)1&%f$(my0H z42+Nb(++gv!~7$z!R3OTixGDxeSz^P={l9v7P4RKv38{u%Hr*U%UCAjO-rD5&IMlbk8f!Vu(JaAeR zpR1tOCS4llUGdVg%FAx>z^U9Lq`_`K5AKeY59_khO;ec=kZR% z)rzmc0=1m~yftX+_Wl~-=UBnp2ZwJA`$4K@bnD*D4DvKI94-%qa^24G*^S^(UEJQL z{Afr;-&|s95&}8d%0`*J1$!QysFldX{QQ$*i(N)lY5@ZIR6!_l>a9`vRIco2U%&Zn z7p*O0#ZmD}YsNR-;=%u6gbe3PZg{C9TBkg;>eWX&xk5yJcK!=bg)i026MIEpkzi+n zkibnJ+^5j~&GG|V7Hh2;L`za&1D?ib*pYD5>F} zP!DEeLf0a^qI<_@W~U!JAUG(^pn!+DkqC=i&IV5CRaoUCLb=fR!P#|?67uuVTWdaR zE-8lEK`V9Ld>m2Ad%|qqot4`YEub?ihGnn?)}i#Np3H})%u%W8ZP!5yAOUGr(~%Hw z=L|a#y*;hxa?oDJu`#U|O9MO5=7#RiAHAG6z`cJym`v7%F;9ZZA6=6+R%JbM!W26d z8bX5pQwo+a37u485V{Z`n572WiR%8S+ntJzb>by%v~=UR7=LBI?6`pzVxJ@oXLdQz zRIzQ-t*}E(^}C_sSVF|5UNYhD!gh3m%??RxHoyG?r5oBMYHC-@Q1`0!mi9-jb(dL7 zJ?=d62dyPNS_)nAyXx51(y>I}@;$)I0m614(S}ogj2?-h&Ad7?B?bb0+F3i*N@irV z)tIr z2pKIeYykP}g~Z!mpMujxHKC=6jj9(?gLI)sZKOL*@yn~jv5*w2qZKmps1N{sS9tV zz+4o~5q2|Wad9x!|4bxUW~fI>l%X2q$fbl6*a7%w6b?%&#wd?0x=hggW;!BE=Q9$Z6BFBa!<( zLSOf=Pj28YWsY(JQz+!&!PqUj^ z2ogE+FwzG)sZ*__`4-ADJ4L`&{?wV)W;lPxm|3)kXIn;}UI^rzd z2F;y3CRzRPiJxk>2;~dY%C#jEaEI6<$+36bBO#2P8i8fX#n-{(W;L{+w8*rveQ`Wp z5#>Kxb5kB^O3?#H%%hAg#HUCVWd6)b1%*igCB_Zd|IXv?NWXv{k>wOHLePjlr3?Pa zOoKM{=~UFuG=VO5Yu-mRdSR5K1Xp_e2Q{uNRa1E^OA@V&BW^QZQ#ybCC#T^9z+WR2 z|6&1@C{C?E8US}|3>D2dI3N!oBSJrkF^4RqJd>RTKpLwli=gZ_c|{Sd9X9PLr$L_| zkq3zW99i86Wi}RGHB>^-j7mFl+BzQsJ$e|DmJPZqMrP3B0)|cXYj6MTM&SC13GKFa zxR9lb*)uS14u1D^8kAyLj^<_2b}uwugrs>|c<+%l?EG zLm3VD-duZc>$h5NBVCDkhsFBS1!f_qEcm#Rq}`CkJ@05S&>_ow&_d<}w5~L^8$by) zS5am$Mui0utQ@E+g|X)>2)gywyupEpIXtv4W5zBt@0ROlCl`2fLRj939>Zz=W;aW` z{rOR?joB!>Lq-yi-YNqMeM{`=wl(lkeey>@1bIS80epNnkIa0f`$nwkSyMI|i2!b} zaMl9-;TFAbqD#2IURd<#h#){x=MfPy6dbwlP^NE+-fIVjf$4-iz`~U z_0DG5MQ!jhn0<^{)cxeJPrK_7-1)$Wt5)?}v(TVyi?g-HsCiXrh>b<>ER=iUW|vk= z?5j!QhdTngfOK{5KLB<`@^1vGd`K$F1&0*qG**@8C;Js<`^HG z;>hc*8}nrAoPyDIEa*F;9oaeAZ!cHry5g<5n#t3sG}3# zH|4_B<4yI5mKl>M(6>L&`GP7lB3(<1kHf@ImG$qaNa?C5L+d%8z;H^z&EyQ00A@TJ z@j+plRq)nS8RF0CgM_UKg9nw{%So~01ZU`c54#r>;5XOWQE}C=>%`VhPRRu@bqmI{ zC%)s36X0nx>`Mqt%&=o@dXe*{Qn01)U(Kh3Q5NIfi)REKN)fd*yAWzd< zXhVT&=c(uIVZN<9*F&xmy`qOG&aW`2n3wJYhpV$aRr<}+2`xz7?ZfFv=GK;Llz+Lo z+Bbc7OWDV4e?8yBs&leI%Bu{=;&z27dGz85lco<7FqFMr@$sS#uo*Yla^ey_DiP`DalRRoEw3!t#J7_Pg2gy4@5p}om6*y7liGh0uKa9A zU6$DubNbD;Y!(cB>Js+k(n# z4)9!DbS+zgqFK1RA+eK?(oaSgEdBZ}mF4!4=}i-L=Td6^0$-78P?-_XvLehGm2BTa zuNO<8R#$|pkEOT%F|XT9{y!Ld2jEP?u3I!VCblM+*tTukHs08FGVvQvY@0K&ZQHh! zlkdNEaq9l()Tvt4)z!PIyQ}Nz{b;SVfknAhuoUwIkICJCmYP+oR6H2G(PUq5CNTV# zGV3?G38uI!sMfW7Fs9J~;}rMGjhPz%E=BJB%TxJdCm+ppPgZKx_RVyIxNh0O@KrXy z64M@j&n7O)QdOrF!J1#<1(>oHPn+L_K;*}+PZSo_%gdN$r*@xn*UZ#8VUhupb>{&z*>*2Q>x2$k0tQeU`jM394h36Z^;6r3Bhft=F8WE?cEa$!Y0 zrF)f5nYwNv%U+*=?{$5nchT48`U#pe!U(ngRoG@_mg}4 zT%22GSdKNpQr8mWjdWHBg}s#j>dP#xU^s^>Ol7llg(5ww$D}Epur_@CpC&ePZya1& z{?c5ncI;OFt+>|lUE1K=zPq~Caeu=3+S+R&^e;JDcOdXD=(W&!Zx*ZI|Ev=9?gPAa zVENJaUL9;;@irZnyuV90Dm+imn|SJ@^6i@pL*id<+v=05%R=+`JSW5td6y*`l6+5| zF2-CR_VfAE;<)UK2p#Y_7vsEEk_;zxu|O-iF&kw;7i^T<2t(AzV_QuH^DoY=u9mQm;MjK4e80%XaQh)ERZ;Nal8T+GAwlVYmjW7Hvfo zwWwO=Iq*tyIbkU7my)jh8%BoBesbtU9evb9dug6@`Uo-xH((^8430hAR%<==+MLVW z6!ChKPo2;9wY zL5syXI4c1TjTD1IoLV+U6^LEN$fRs!T-e($85;Tj2D%SVr~IxKY9qezFc&U10(!mR z)Xh~e?tnolD>&VpXFzega<42tNtvJx=%bvi2!^ zjo6_*MqRoC_6~VL!{TfEX8c|2n~L#c*-3X^3ZD`1cAj1~sx)yCqv;$VdT zB8!n2^JM2A>ALEqmLTe|gnBfj|DH!pH>C9}^sI1O=1VP|mjzv~CpowfayB}VQ|!BR z7z)4D%i4!>_eem?j>oL&huF3oNtq9gH5=e5?}LOK2t&rN93u(9|NE{~OO9;TP4kv!-uL9{(R0cqe(-HiXr~y- zvKQrG_LnN&7HW3_#0-qLp395oS52br3Z1q%{UEb5QEI|l?f8pL^JXN+8=H>365f$T zaAa&;ZlBwyX53v(Pe@0#N0=vOb*n*?)Zm)gNR@1yV>?Z{!BCW^r41GJ8X8iEPTM5$ zO%6TANf)n8?TN=Jc z-ONKPa#vE#nQ=`+Vu%92E$sW*64)^{kiZ+tz;v{LX1PU5Gyov1L{_(CpkA zwCpDz<95d^n*>Al-W>Is5(=Rm#IgTM+LB~xYe`qp7Ad=17G6#-lkSiHq;m}yuQp|^p`s%Y7d#D?Wb|yi3W1nb!Dn}nXtBvv@!II(t`%ipDhInaK_3F1sCgS8hrCZci4q2!$ zq6&>Jzc-6w{SlhC;%WO&m?r?kGN0aCuY8Zu6O3w6RijBG;5Kq0Ij!j#BZ6ZuHg`ZT zVpjRXqVRP}@*y|jRDg8BU%dP)M)eD)?33j?u)oTj1b1-%{x5243UC>iB61O4rHiu6 zLDyEn(;W&#hGzAx(Zyl%BMP z8#YHPKb31^xG5-pGgLr|$N?*XOdjx9e`E)zU~pBC_)W{1c6YaQy`8x;$RY$E2RDR4qB~;=! zuwwm3k)0h%=pXdp=w|5S;VQTGSFpcWm4xvXcBYMC8AvCFlIZP2R4;JKRvRi(Sa+=k zYAcE?=IQ%pB!X5KFyQMNYBFB|pTemgU@3=5cgD{HzV*z#BTbVN)d?kqDofdG=zrSi zaz{!W4#+mmbanm>!WUqjws=@(11UwR&s6u@<*H@SMtT&@FoemOc@EA+7- z4fdH`|GX(8{i&w=_-+<(Rix!e{)zkx5jzgu#m}h^w(%p+d&itEE#hh5wZQ{v`UC$Y|Tk7JrU|`Ce?gT%rpzrlZn#(X;0$kk#PM;n`9`86)q39~?Qi zW-ou_HpCU=c&Usx-a+L%GqmS(a0G8bxZoAUq^#AYLC&F8@8Dd!n2#xnsGp#r)n) zlBOOk%|P6cfb_N7z!RpdB&xo1I4$>26M{(#LRyPS0@-QoJd5asRniXTcTb&bM-w_K z?q?!5^Ou*{9y)N^=~S>JKCmpHvh=*_eEs<}l!Ld}%5Gn$9_d0SW}qI`U5W0i(N7(1k4`sm7H(|C)cb!=>?l_(P2R71W zA0eE|M*%=XAtt~m>!4LgisRs<^HAWBPKPK|@h+6y_46Jf)qXGOO zKiW*jrRh>rv}tLYG}W!^D(8*0$_DC{qs@vi$8xsXAF5R-SXJt4H7L3$`gL_RkllRF zXo)^IF#Jn6z9AU@o%F73oqFt?pkq&9LI`o_RYZbB+gWJL54f~}=MO(Lt1z-< z2ItCaac|~RE61AI`IteS&(cnrF}^9%R@A_vq#mUA_O0x9?uHKdq6Rm8w{AM#fCn_9 z_^8W8ce+o4xNio1x*=gTr}`=Mh<+=@@>m)~($gKe47BRC{HV5VeLHjg$U6AolK5ja zKTno8^lbC<*L~XIWu!pOGmp)C(GIEoLH4<-{EKQs(OnclDpJP$%kq9kAaon^FvZehujwV&&ct+Fyy0cM$+`KlPoY$jl*0z-1lw14 zPs?ft$LIJ7Akbl~t&7Suo30=?NQ)a_{B^?irT~8Nh2pEX+bgn zM>I>+y^2bol)0%;J{ezbeKqdeN6P-{%c%{91N=y%7p_-sGH$T3znE?5JsFF5 z%KNjz<~^FKU;E?nlMK5Vh18J{LyB6mZ*=WWXqGrm#9*)x1Wrd*3)$uv-QG_Fv$sxA-}q^=R2^p z*hH?ihvlW`papoJ+%yiR+T|G=Tq!q<3&yq89#vO1j=g#=kyM$MfJ+=It27MTga6%o zl0`tUmDT0?Z&P+@W9-Ph#pC>V*vP-LdSGHo(HLf)_Uff=sGdHzyqhQZY+nPI?!0zx zMeXsG{^R8xAJYBZPjZr*C3SVzDTqcF5ASQeMR)2~bMnTh@MYn^XvjlJahhc7a6*%F z))5?$RLT0)&{-$8&S6)C{@BH$jQB?R#m&SpaShYctbl~q7t#0Hh4z2X(`9G;pG=?s zmpolg_WvDEmyv_@|Hsp{OWJRBI&_y_wB$N*_V^nNET8@pB?ywN8?_ zjJ2Q#ohoOnVIGm>zzCyEXv}#`yo}z`){vJiOh+ntVBD%WpLQo9Sg;s~6~X3G4<@2D zm}L$O$SO)bp)_H_Fr=L0@;5ISzqZ|XO4jx3rJZfMurr_JII)V4$Sg?gA_-#l`!>%B9&PG5Yt!^Dv})muiVlj&OdS?@GS zN3haAO_|rs?y72Q$^z64x+xILC}qlHZ&_DPpwo2uDP#K+Y@q#jC|3$ zFEDe&x9?dhh49ee?nq%!7EnF|8Uj}J? zVx@?lt@U8_L@(5%`i&x|%m9;^d2c)FB3vGZi86)_kizBFv+8jXl1#?r#kxlq1TK?| z6ti0xKMM_tSHW7b49L!Eq#LIvMAXL|swOd;ak(RaNY)3Dr=ua^WvC#+1Qc2oyUK$Q zvLLf^c#h8@BFNl^$Z91a81b*;4F}NP2vVjWRi;6JagpF>JPS=e!}08e269t`j8u6C zq@gD!h)8mXK#I7G3Pj^1SZQN}F%O_)duyH|06lx;1`!vf^y3lE$ZE7xPpnH0$e>dN z^V8{RAd!c!HKiI?$#~`N3Dz`o?!c)UhLBC)(Gyrj2b~>4(kTvC$o_D^%7ptFw$oob zSfYFY$>67pa}%gCvM3~y2=IcienwJ6%OuI+iBK0yN!X2p|A}md#RISXSr=uIw8)uh zE*qmh83SPgf)zR82`43*s!rzVgTXe#-f)im{P)2*R8Un-y`THSi;EBv`H#-tDJkJvNe)F|j=B z2KX_Uy13jeWMYiC?J~|Vg1_{ppuDyaMFDp5jHrV=3nCZ9Z?>;W;;9}-w64IWtacE+ z`yQ3BP1Ou$z3V^B%*^1K5)IoFUMgG@6saJrD;11_h(*}A2#O+9Kc$l5ieo)L%Q$4b z#8k@ht+BCj*0C0eZ-tb_q-_j;83tmI6)3P=(vd>{(pVRDpsL!1ePN(BBWDvt^bn+# z`V%HiHy3IRe&vB4K+G!jf~Y9cQwzlQ7*@_{$s#n|=1`PPIbh;ou};hrG?*c4Atq5% z!Z9iBaZnRCk+PftEu{8i&!bQvxfeNzBsDJ^>1X7)o@2J()gnd^km1)EL<8}p(S(78 zQv}Ux@BzgL_~yBzGO4@VViNN%K`?PPvl>%|g7yCROI*Fpd0Vo7#@zcGE1IGKyobue zz&7BV?snM_a2-vccdyRb*MqFub7+F_VE&>tPOpxn{btxQZz>k@duOjs9h~hCSz{&k zcr4iKTnQv!=66oyDXFx0uA1$KO|`g(vMGtnLod|1XZZuwIh#xb0=d@@?L%CWdXE5A zj=<9kMNuu=!vaAYcrt5jZ zA|?1M$b9e+!m!s^=FS|Jjn>o6G6;HXj7AG018MYo7#Nia>vIJZjPYT7u7sUqn>Vcl z4=7}!sgfI3n0f|%q4Q)U!3E-DI4oq&0r^x69vk!u<4CqHvBy}}Hs)8-kxfr>U)^g=amI58?M^fcc z>(tkbqfLzQ58Fhq!AdoT*W~t(+BDQ&?_XA3g7i{3*U^k(Kp!%0Y%l)J_7Ju?m%OBT zo880th44{$oL>B6F{vF0r4nXd{9>_9GU4)x!zZ{yka0|BRuZ=Uo0W|gT9bn~N{zH+ zlePzrf&diNyB_nMRGqq2$|%V>H@}7UzzWp*ACYuh+du3nrtV%` zxr#=}0oDdt1WIUy+(=NifY?T6!;}IFlAo}o6P{X)f`}2xC3vL?hvzO@vaG-3#lYqT zlYU{oCLD%AhY%UM?qQ&mf=2#e)Eedy1B#p*4$cPEty)JxuuRCoL2|WHv=u^jBx06= zLdRRcd=RUfru5MkW^8c77o$KN@)}QvqK)U~b4)}?qDaBT#}fv)7HB!nDYlM`njKr5 zTk8CQ%4n2MT8n23TG&)}kVgr`734oeC%kmIs4w5G|5#~8LB0(mU!c{1aIKy!0HV6yNTJtQ2 zyq%1m6-71pmvz2-|JlA+f0VF$3Z+{>=}09w8*?S|Jyl`K0J zUsMd9Cga`;_pT&0BaA@k9tt{$d+;3f2czC~1tnsr0u`cg9zzC>nJBBjbXQ%4NC4Ph z-W}*tjxkvQ>Ev;D51?IDHxZuu?Ai=JXuaI994@qx%d>Q9^>P$2!Mk)bp) zdi7<*aF7ZlwrnzNQ#wL8xNa?_W3)wTA_Ezen&t$ueGM(LSXl|GCo1=0Bxrg-gzGpq zX_drZCgdOirdpQ~c^s2>f67WnxM7PMWbe?y1nXnEs7ettLI|QNp>uF)5J1SrU<=R( zc!|gKc|aiK`UF&xRYqSx?^9LOn4&Fh^X&z)79e#=)#6(0^u3wvws6(4!J{*`{Ke?6Zr-p-EqL@+iM z>^z^^*3ZWj)b3TUi1I~r9Qeh&eU?(=)l?lG7cRSX^A>jJoQW3Rj-!t+1ioNVK5`3M zw)~$K!oaU6V_ud|J(OE}o)gRXR^!IJl<++a($1ezQ)_sXp0OCLTHpzNYKZ!1 zZ178{$O{L?TLV27@-I| zsZSXBN}z4{RJ+l$g6fXCdKKbWRXLRpB?+W z1;Nm;3ZieR^tmAt8!br|<`pJLlO~DN#|q;L{}^6k;~w3Y0>p~qma#Hh?axvc<`o$g zl0cwQc<2W;db215QA-9j&g&Zf%pMMDUz|aXsiV_@F0&e1f?1R=*=S55#zpus=y%lU z-%)Y>By;~JyFoak7b|#nPl!yXeRfn@)l3c`VsEw~Ob;Zg*eKR6PzVdnNCR0m(b=lb zCZ81{z$3Nf2}cZ|LBM6#sKQG)f*F_pMe`mDasUuEYb?u|oRD&$x_rg$={xaln!4!r zIxmeCTmx7OxQEQ5JZH#TLh_v$IYBSG*GmGHVYN6v|DM0{#k<`dF(R^g^;C#nQ=@cB zL)4)D=TsUNgc?+^s4zia5G7>-f5CIu!&Q~~Z-2ijvdbL5T8Dw?)zeHh^_8~L^h20SRWH2+_DjQYiMrKZm({E1JZ&BQrIT_deMFylweSC8gt@%Rd!jy9Raqhy|-kSzj!zP{|02??tfsq8*ly%VaB9kUbNA4#mNw7 zie#3&EZ75G$|I?%nd^b%igaV{c#4`RDg_26riC{3rEhhgSz6w@0Nb_iWjR~PEcb(9 zWt{vr?D4BI<_l9{#(3|aH@CkP?75G~NMX6DZu<>3u>RtQfVwTuk;J0$Vv|DwkqDTk9DBrORw++Emql zroEHN1ZDH7qkAu2kkYI)Yb{k+Jm1)L6+|fAYMXq{n-adO4(kdHL$=BeF4+hCTA=OtDa(sP5@GeGt3A!r23(KqDaIwg`|zNNM4n5ob)*lLTb?nz92d z1Hk^AMrP1@N__cLvIpB88lC>?beAsMtf|Q5e7g_PK5keg<~6ydaKa zM-|63quc%uPyP%-*33|*Nm|d%c+PE+<+-CnRckU-U7c!-o&pc(vZYlsrkXVzdY_H> zqjcQGOi4@u8S86#RhP&%QuwhwbGB4j5*bSmda`PxY;aUdl!dy%NN29!NgPX7ZOBFL zf%kXUV0#<`usp70R3S^4NtqeLQ-&P2Ci=`S)XR3K~+S zvgbIpb>qCP)IlRJ+WtY`#FKma6ZD(#-Vj^^(xIWYR@@Q0I+2+%-De@hKAO275reythiw;*_T1@|VP7;TrUy+*nmn(y>9 z;)*4^d~Ns^l}83TlfK#HIKQ%QiNiqjOIJ-NqjD(YPN@-q95CuT_{QdkNtp%daKgg{ zr#XyAufk`I^ztQ`Y`O6dd=r$s3t`qckiPlBxy37Bwnoysb6%QJPHK;ieO%6U`AYf* za`tcXM3GTac_Nk~(;D7L4$x56eRu@-T%R0YtUexMaQLT(tR74>y zBbWTG)6mntUC?EMd?hGv{cbC)h{7R-6>(O0KqH{$BOi$ss03r34R^H$ir+Kp<`*~2>?!95q zcrQLw^&N7spWPR}c;=J2_gK&?4r}%1k_q4!6N~T#K_2WKZU6AARWgwCkE?kC^s_xz zZWinJs?3ITO8CHiM>bU@q5~KDynlnizhN3}H*ARn*oc95= zIK@`aUK&w|)=9j7opgXZ@Lnergu#uiqvY`Y1Jc!YJzt+N! zQV*34?^4l7H|l-=@;4&W_pprT(=lGQf7}2nr$|sHLJWt?t=!xn4qNfgrB5Uq8I`^7c#jKpa=gOgGt{?=i;bl&N?qyeZB=ak7xiX`P_60%Z%a?y!7 zYe(%jqK{bpKY=4*F09cu#mUh6c^<1W_gVWbS5fY2C~H42yJ~>5cVMKk^$S$~Pd3ia zM@eX3g`p&#XwOQGj$$5OM}(*_sSZcNM+yJ6s(AzPFT7|{#UvSh1*+W%rP)-v; zc8$do&u6cNYPdiMs+w74{i32yX&KLy)LUx01GV;6^l)^R1QtY5c>)7NVFiXjK+m*8 zxtyy)(~n;qRpRfy$Ltykad#7$w}+@xbgq>0#7Grs#5uJ2ygv-1`wL)={y@mU4t>a<(lW z)ZV zq6^UuyC0|km1ehAX)PM*ehG!kFs>h{_s>~jS#IQ5#O<4{TC&O0JTJyx=n^U4uOt zV(J5~#O8ZKK3Y94$)f$Ftuj()S=-@V0iSZbOI}kZCpPcter)S96vgU&4^Li$_to$$ zt16pS?g}ZmLWd``S%ErfUTJ-sdYCS9M>QODbDZ4#))0wDfGfzWp5*p5P_KjPAFzE?xxOy?GxoF6b-3A(tUtc|QOtmlx3^2O%dou!py zyJ`Y6Y&Kb@Ln4aD&KLJlVEx6Qm#~_(CPkY%e)U9gE%k}G`_dK1pt7|MZrc`Tmk|BcrKxZu*Vd5M z-}|hfOZt`gvOt@mT8gGPPOTRD~o@*SsqvqGX2StUEv8pm6xO? zA%KrIZ(t3>N`h5_CBkZ7vgyW6syG~WQ#i=$a+y8B=9j%xVCO2@G(kY4Kuh*`>1_L3 z?OpF7b&!@Y%0QZ8AWr=^W*uO5D`U>`R9tb+Yuq{NHwbQxzc z)xL&BSm8WZ^ddhgj~SsF+RhqK2HUmuv2%ttGzs0|8)mcUXh&-%7w$< z51IhQ_s*ABe{!!njS~!=OE4Db$;lIrjgyig*|co^H;d|aLOg`JJnuT5h#a~(=_>X5 zf`4JnOQrWZ&+UWv>wj7W+8R)LG+Gy))@*_nc~oY>;ZgDfNQnszVDd@q-tx_ zFL!npIXcQ`<##t1xy0x)oR~Rc?isJ-*vnG2ths8KxZH(pF3#h-*|}qc_SG~$dF2ii z(A_F&QL&ihXlyDhCS`i#Lf!uVDR7coeot;$oKxP(cfRq7pxbhJ z8=K<-&=u{v*K~BBW^I?)$wlIkZW18861_<@?zxxRQ1>CICO*yXD{SWKrmE^-x6>f- zz(enHxK{aU6=sCDV62H(yPDL+4wRs@b9_6uSPx34T)B2L)1XsSmOJ*-*j%s|@NhKw zEVIRv1z2yBj|IK7GOW#2ty?1~|4O=RP-MB#J1vWuSHUSOVOCZ!E6f=k=4q1sf~&`> zoa(}o&W2vr&}vDm+?Z_L|AS3d;I8J5av;*hm!m-QfuQB+pNoXi3G$bko4{p|V(uc_ ziKZaje0u06V`46p|Lk>$`n7LKf&5^%YhQ~InRf8axyixp5?9h)d1(v)nS{kZbZyB@ zvg%cNwf}x_nr9Vvx35Q<5SA$ZA8qwZ z>&}YDW%TdffU&_>R5TS1De|N{`rC{8hNL~$bU?&ax+jw_s#4c=&9NDuc4+NpmHp(O0Q|YjS z5AYOyGtjhg045TFZQf@~iOi62NG?u_>ruKa;S^rC3ck^z(y{mLKcdA*bn7?c$3=AJ z{>9u`lr7orTQ@Cz1-9j=tRF`Ml)tj;>tcbq6|ORprfEg%R!(Q=rhiQBu~Ou;F^IG$wo#Ji3Oc9wN9iW5Xl({ zd8R+yjIOPDy`n@9Bmvl4;?-O876=xXE@|xe?`# zm|dt4;gW^n$nC*UqK&}b1-?Br#@30ju6(8uZDdMwMW!7?DglDBzn>gVR)h&2YLLO^ z{*hS3ky4}qcT|Z!sYR95pv-AexP=04A0Lloei%FnJcz46Hbow;LGyqIUO!M zs&gFh+L1!?S?u8akq|~728?E43DY%D_BcnOUTJeP-SYkeYOX=Rb{nB8w+2eXti-%5UJ+n<87+nDvn^ufv1kkUU!Gj#i}g@3=Xxo&I&!e!(Cgt z-V%O7fBWALqiq<-n*g}=cZ2WTA!DbcEoEA5aucI=*6P-$mGkpjWn~S@Di%e>lhSh8 z6QR!Z$?$o!Y0N7^13-qLwzbjh)nm^Vnw9*PM6bUCX)Kff@VMxR-7AY~Um-&E$>6O;-fh7d)~lB`Phby;z~!;*$a^a+2^ax|iOZpaq3-19 zwWI1(hpdPqihbe^xa;L516&vf z#zN5a2WPOVp2ydM{r6vijImYXwct8vQj`YHeet_=eI#e0`L)dcX~-qjoC^M-4W3ocX(~vwn|L~ zvU^{qE5|lwrmSAXfBFU9#Tk20?qirf#;pB!+vGibQ_ zC!GU+`vE)QEp~vOMBk1su&5oIV@;TS0B1r8eS`FYl;5ZHGz)s_{&l6b;+IJ;e( z)93MqDe1UP&haw9h=DCXKXw?3mai9GlW}oZ)(QZQ!I#Lkh|kJL*mmA1bMvnAKyfC$ zIWouub@cyWkZNOEPN&W$;>>r|H+u$)3&;;lE2a%{vw3{zIQ{d7mGSKu3ch&g zs|hdbc0Apbnp`oNFsUhc7flI-f2)`#=OlZ#^dsizo?&gkP3bZ1WUKxuX=Z=oBSH>|n za4}$H!J-6DpGorowmUtvxYvW%CeQL^f4odQeckVTe0|uNqE>{RBpbHt&ze>z+j5vm ztE!S??H8LN*r9yoknd!{Ehy+ygD|>b?C+})(!H$ zb*6BU$c#RYmg&pM$oh;o!XyXAj#+>H!3?hwtv{N(ys_|Yq5M(N-!3EUDp%r(0ZoFwI!n3oVY#Aw1(WDnBvF& z6mUhAwdnxgUf=3`!DG1iA$xr|IED+Mvf8S_k$rc&0DNQ2lw-3lOj>!*%w+iNiT>;> zS%iN)vd*eZNdJbW4aH(cteC1_tP+fCq^er?);CpN4h5Q=6r(--u4eC)h6mM&#oD z?~Tr#PX)c>TMLv8;L4QW$h>ETzZxLa(2)dNSN9ee)kdho-E65)EDG0x;uMSX3g`|C z)XwCl&+mHU|X~9n|wJEAouT%>V8?e`n<{9`WpMH)cSE>1SvG7_oKc}&5xPQ{>Ao-$1ORytBiz5B}%&hqSWF_4dtHO@XHH zp3is#kUuO=@sCB`zq-ve^$v_D^uBmVQPsUQI7RAwZtkbe?HDq8y{8wWDev`{(*OOm^L&(q9r}OwwLZI1=406_mt*+==+d zP`JW%PW`+DU#u95g=4)sorak-5%of5*X8HiSA-<*>3_>JWoBYx`9Jc5W#jnY@Ju;5 zn3?$b5#d|_&Spk-h;SZRReE*~q!P}HM<6e524G}@YeM*M#5NYMcIG}7(Ctk=nDrJ6 z>Sxc1I(^%T1sEpX$Itb*KU;~C{DB6BB{{gMqH)W8Cx^Q}tGkZH?d|QSWvA7bd6OS<6Jy(!++1N&{#8x3t13mIA0$VFNvwp1#waqdQ_ zNBIIa83f%>c3P(!LOab@6>LYR{>Jftgz+ilIj;TX)U8cXjbn-26$t23$)x3A7Dp*o zWRBuEd&Ded{hF5v1r`KP!xp2g+>Wf;u}G3{#eyPjB^ENV7E%|*qv@lO)Fc>@HY};> z=teH7D`}&N%|bJpcz!|sO<_aL!zoP@X!2S^3cqhOk=YV9Q|O$JlT~e_;ksx`W9tKa zLe-nCFKJT7mYJiZ+p^>e#v`boSA@9`7Y^oqC8R;jQW|g!Ttw@-QcZ)ZLwv~jcHp}i zG^#Cg$S29eg2eUPsE1lGe0AznF>q`K#ifIf?haR!Uy3(IsT?vax^CGe7)C_9=6=VA z4SA;)l}Ge8WbnU&1y@>8`oOMD<1{G=iEy)&4?BZL`Owk4WT=QuVk)JSPsim_4x{ni z71*T4{vJ=j-doFhA5E|XOeBTh__8XBfvyp=sEd`o;5I4s{2Z2vq<+?_8AZSpGS8Q8 zB~l_+B3DVFUfGIZYokpkRBEHP>uXaiGMU^TDhd~~Y;9(E!M&m3)h0cnmkC~!lrtMx zB@VA*UWD>=hqNT7z)`x!36M2omJ~au0slL1x`}~8>#Wb}X+ccndA1(=Azl%p}g3?cpPHba)Nsd2~wNn8> zmOk}NJw1vw4*FxT8LD8ULHq@&if#3m>k(IGTk+?Qa&wOusElFMjd~E?AY|R5MQ1l> zxmz?cj`319FqFdpZ{JA`f?+rfsVj(C+d#sqluAJSBK0D-Cm$8Tb0wc(Qyri4b{1cf zq;)JgNuoCCfDz~LL!_w=%{8!U8lo(spUx5dgM`rnjcfvqv!M#pvT9!+y;a+Zp_D)v za0y8jC)q5CnlatgmbTB9arOP`J{B`0FOikRrjdBGE#iWLUSQfby-32|f+_SfAr|md zGon2OTKSQvFfzg@BiG?fzY;Iv0h0fwibVnh|4gMSPzx6d+3cS}JpR*?8zrt6L?c66 zK|2dvR@AK>57chZXEAWR{*nDEXW9d$LK2k1h`r3)Bap#}Bf(-hG)?p~k06Nz(jScJKqR$#C%>;& z@+NWJ;`ZT}Hc=nVKm@2p_c``!0;2 z$hZdIEz3k9=!gkIoK_D#n8r9~FJ7z0Aj#%G)%x-ftA7!&y(C&m9fz{o@+LDRlLXiR zxsgb`Gtj9-yjwN-jn=!Y4-fOjLN*@;L7;f*)qyG4NKRRXwFrs1OzQ^#W+hrIU%K!>;0H9LNnnepuw^qlylowCEoP|& zfqRkw&lFk4^*VfO)xmjhZ=;NH%DEuHW38RhPA9id3v@)xu*L1Ea4MgYAL0%4x&ds%hfJwXG;mp}6c4#njBdyBsh zJY}g^OO*6kSbQGC$b);l9~s~+9(^*!R`f=h7*BKEu?+SbKUGx7T=#f;KqgFW? zHVOJqp~1sXV8(JP>gHwQF)|4|_A?sB^^=A;(Q+zkVTRlQ{4?<)Uc`#nl^c1Y_O8mxs*|;{>V-bm7^p1eAM&pvz5UW92PsNs()}c(x?5z? zIhu!a2tIOv-Zg$tiCEZFVj+UMkryawhq2&ZNJ3_Bf#B4=P^ux^#A+qc0zQ%i$u}Vq zBMqTrTpbi!!Y-c#G4t#T8|i6Chj0;SIK8vl6u#l{PrX*LIf~qeH(#hV_NlfI{p8Mo zV~33V4RL-P#`1wBoHnLItPN-o1Ocg?D56EhZs4Ck&>#=7GJZZk z*cBD1$qUBsrFi@#wmP;V^)XhzsvsPEXwq`#@w`Z|q`T;lqNP#K-YBo+J6I7s=x$WX z2%f|YbS*JWgg+bu20`;wF$1upZQ(^r*q~hln8A)4bZCDexMmHj6xd>d;l|;Ezj2L1 z#q5vDk~abYZz5nMjYrW$zm<7o zyu3J2P(^(fh?{ni@3#Of15%K|*mO9Pl{~779cE%7oPvpe@0l0^;c71>)sx$H5ELOa zO8}IYfBdQl@204(O5vtI?>33{tCG6?Z6^KN{z4*vA6YH78%R~$lX|n+Z zR4LH&m?T?#=R5%9Xb5f7l3(q;|q*Rxi!{fo33!UfP-KO>Dfkl%V zyy~AskGBJ_RtHSr^f4GZh&#uwGr);`c!m#@@ zw>pcj!`%#{9va6{rF2!@PEH+|F{km~F#5B%vWj!VTMauO8cxnkS6gxm-#oY~7xB8^ z&qgZ8zuWolS`h7cQG{<&a!C8b5s8}BMP;pxpz@vt0sQ7xe3DOh7o6yF%2lP{ z&}>6W&sOhKRcUp11~ea2@pQcBAS?v<7CY*tW2Ft|3`v&jwcBDYGi5)fMKa_n<}>4+ zEP3~^uTqFfn=j5(Q2$Q)tVHNNJifB(@^r|trZy-#-(Pw(i62No*cFgSfnk#o42lo$ zw`=tcX_!Kocrd=*z1!+~m7C10TS?l!%aif<3iaCU@k~L^CgZh@V)upYgM*y z`KsyaE<->5>HEfGIcvz*BGs50)EXA2*(Zj1siE5;8t{WXr0l|ou7w-~-Z=}LPIi9` zUjxd5CE#xid*}YnJL;5D=_(THrX;zG50Bi8C4!6+$l1=Ey$lE-57`~KP6=dvG_`Q6 ztoe&G{aU-lgbWg}HQDLWw2P7v{BXqde&Z8xCGdq+DUyo5)kU1315)rO^?uJGSkHHs z*_)hD(d&-{Z~;beRx4|vaF+(H2}LSDj_#;&D zaU{}~Mn{Y1^LA+HHMNvsn!UNJmL^yG!%djIe<dU3fwRB9Y+uiyx z;7UvD^KrEP$Ctx*DeA?$mts5dLU}JO(o+>Fks>`-$p9|-6#af|7?2*S)x%0Og<#H8 z%JZ$I?Q@TJJWbQ{QJ;SJDTdeG;WmnKkH8@W-L-uQZ_$GET|VerLGxWsIKl-FEW%Xy z8HU(*LSX8}kv4fm$=p+IYQfc^UCU<4rT8au$m=%!Ej3p&Iplzp#CJmQYCCiOLeT%2 z3kn5YroDf5Gt=c1x2(?nc1YSaOfA{p;PH zl5vN-_2{`_lm3(E=Pm(a>ex_mBVXPWr--EJl&my_Pyxm-LQk&3xRz&=hoq9fNu4>o z?_VFhwQq-wq(LyVb7X{pXnZqBLygG&(6jO3<~SG$()hL~(`5jQRaN-nCek3tS%p#( zB#pD3AFMgK%Ue~ih3pV!$dikoOq%&>aO&0uxL3pS^IfxCFAEEz&t%RE>R1ZW{iIiC zt8F5%jm51IvQ6cNS_^Bzx^?+`Zb`J7951I=0hibmzn*P1`dx?-?A5_qD6L~@@+#%wp!yfSwgcy#+cBw90x8cnZ$-%XV9pixXj7?C~6?AQl$+6 z?ZMdIVLmtd=TWZ_K;G89rNk|J?b?-95!>psK@5IY7`rz90zg7oe@gIVsHIhULeSKn zi8pNO+>VEb>%M@V`A5sgd5cBnv)}$PJfvX`fp38EDz|bqdGbE=;u8^|bkbL_62+5o z+mx*|AKJ;I@zzMfb2+G<%~qMT(sUj{$AjeKO^y|2g5vPK6~(3;4DNHoZVT&vgNx^I zVMc(g2uv$OwCF*-=yBPRMcT}9AxMWfNse;;Q}YeB{EP2JJ?6ABPPf7e*ZFnAgM(S) zZ^DB%MB{HoR2j)gIrP{?t9bNbV=}*#Y#LdM8wa2DZRqL+h_yLjiF z=bxpk@#DNNNqd67y8DAWAu9nNqI=2p?-enJMDvk9mt~bw(M|sjtEXsdL|_l@sqx6# z%UquKSrN}ixDBIP;M7@j<)l4<8czATNg->LP5KGHX6Nee%AF9E5{X3>yRTVr2z;nU0;13!t@p> z(nO!8#rjr_N-8z$-2^_ug-jN=OhzBrZv(gGPf-_}mkpho(nFKU^wrPqWrVPv+R0#%}95k_0zM zT?L%P!Dk`mnK6NsDUOdgPA9urs0ul&%o%kRs+3nOQrjMxAJXC384Hcov+Gnv2K8bNr*% zZi^0=5|<)xIh`bT`V9y|Ak!EW8l+EP76;6y(ddS8b6E?pA&-xSFvM&{y=C)59TIhA zw$(O;a&@wnRx^sR&|!tE?)!jqTakkNQFvtefy3Lnnz)nImOejAa}b_{!2cW%mN1C1 z?l#Q;NZzUyTOx3NSd{Jwmt%=m7l4^KC@lOejvG9u8j@XaDv=?{Ic7_*opWAn?EBSU*@s6)u1tBY^p7@%?^V zQO(V^0Q{zA%Qgh62OB|wFz71Tr{e|fX$OKHnd*7b%xEFhawn`GuuIu=3ZZVJDti$h zyvb$7{&bkvttj$}i>3W}b?aQ7n|vj%_R#S1)+9i5>d65Khd9psOAR12Hj6O~F++*x zU&0Ul*JfZgHBaaxX$tKxtp@F0Z(?OD`8n+I(xw{g?L;jaX-x%6<{zw&)Z$5^rq{n1 zzBWdv)psGYQB}mqB*$B_7-!HKZ;=Sd6(3yrAF$py+0y5ZvEE$W85F>*l}!&N^E{0;Sa*DYhC1JRY5aSWx$yj2DdKS zjt7mX>AVgP7aDA*EkK+@6^&MKf<)NFhejzR+S@BjH3%7>GPY7RKxd8i-VOMab5;w3 z8)&RQ)a%0Ahl8HgPh)xGveT$BYWO)j>pc5;;;t4(GcaKY%Lwaky=9!{UZr0`P%_y8 z!x+mv!8F+Do~l3G9k5pPKhII)6#u8xPL&as);>`?;3w|I=SPbOsgRY1h|;ETHsBWwLHVC%$O`_NS-U+ z{Hx8AP;p&Q!aly3`smP#-cz%9R-#f!9|N=4px>nwc2*roEDfkcX;Im((cV)L_@NJhZA#rYLOE-a_?EV3u`y%kDoSB6u4PzF)no z(eYZ+02eb^)&UQ@OoR!o=HNIs@TDa>`1-NEUq5~wZO_;Cck|K02vo74&5yhY8gd?Y z{%rDOZW)s?VMf9$j|f%M#ZFyPy}CC`0sks)D^knj^Y+2XKk9LNmiWYU1p%$tBi~~_ zITCYqGaJ%VMfPadq_iCQs`UB%@u(1OdfBGHaBt3?O}ix@@-y>*yT_ErW~B;Gh)DlQ+Z5C%vdt|s}2=K&MZV#YFgbkUH``V-gSzfGsf;pxsFt2 zC0Tb@<4Sq$(V`I8{Qrgn`pTHT9;LF(e{kL*kR0nRs|*4EV_tUhSPQ|KSP6u}&?vxw zx-dS?DX*CcfKDba=a;UAj6}pBHL-q1tYp|Wt3ewK`O4cy8*6PY7p2Qt+`3K-D!a3yrh2;U?T*8WoVkO9uK`LKeP*NSpheAj zNaphGr9?*OniSW-_4VqfSQlI-hU&$%{`rTL?n?0hL^*GMf|4dt`nLF%rgj`EmB|&F zB}V6tv>tVsvh`~15CFAZ(x#9wSf?;|4z=nGVL@UBOdXg&7v_{{<*k)=Wd~Nq(*C$> zH7io_I9++Is)diY_tILKTa{>B=k1OSIiS^&CL!hQ)h0_0kx})C+qp}16N|+HUU)oj#UVknah)kmlVeTjQ z_=V5rV6a>vYptwi2TCFaH<cmCf)#risMtnpD~ZPD8>^BYB&ggaWpqVe{tJ#YccSKfKciO!Ux(-oE1; z;aiNEKvQN?S6MaJIjC%pjbB)ktdNS%Rbz{{+$FeZLRyUdwW2JoyCpipGU#}kKWjVJ zruG$g>{uUiCA}%gXgeJ2t@U%nW~JM=8`Dt zc*Tk{17<)sT*h>b1~voZXFdv4JJN7_SMo?&AQoqLXW&Uj+w7YDoD@ zM+*MiZgkRd*qe2_sj#aDfv#P#xI@P9waf%B&$}3@&K>U#&fTOKByFo{(lBzTKF8%r z-n|oZ_|&emxyUSg<#JXi`rN>l0x#E=l1iL3;;_Csh;8i+0&+L!Ms~cLy(AFUF^V;k zeRYS0^DYzrX=W$qw0X$Z73*#bWq0OKvbE6QL7$fO?|Z^FT!(GOwbT^%^^?)E14E>R^iH!gJQ%aS$@469de5N;l5=-}?YGUPIl-r*p#(@IA~gnQRaRpSMBd=3cPuR@a^Z9)VP~< zkp(ukKtAp&-$oLF@hUQNCS+5jCS^^?1`;(sk>?|koqeYdijD%^E;~}3yd}0LPBQ7W zwR1+Nxq}_LR{mh8$+iD{nsRFwc(;ysl(}@8^C3;l1pWI+y62dt)tNryR^?42FQPHR zh0Qbv`i-|Y9~eQsAS?gL z4gh#y$=;c=F6QlOEJ60(jv!o_EA5>bHDIp%&gNgMe3pAZ`MPi?do$1eCrw5e);HC1rodTNicT5E zJ&erf=_Mc%J$mnpHksoNT&}Hkr~*tt(Oq*K>TM;spSjJ)t`C29ixD#?M~lg@5VEg^ z9T*WMBu0zbcoNMK0X+W{^z04l?SmJ+sj#)lLSMnEnLHtv*ZdiY@EaR)t4~j}p+lzK z(A+Kd9DhwxbuUFQX2Y0I@?q50F_8AaXFR-9`#ZzdT#RskT8(KvS07I$U})ps+`*Bw zq2yUjI;U0ia{V7fbPkaIL{eZP#S9Z`STX>xOC#dlx%UD6z>I;(+0B0p5;BiQw^kz3 z+!MJbO^y1;`<$h}5fHkH*~nWLG`z%Z-f_2C6w_z31PAm2s42OgZO)1|o(6KtxPrX8 zuu_795b7RH8ms>Dt3_`wT@EE0#T3B=7)Jzd-%|P? zj{}Bj$gn!HtS%h>zlB4WuuBM6I{U}P z7gz=b#}ew*f$0|HA_7-G+lgrnOF@7@W2$Qc#;lh8J!2v9E@S7Px6Up*$HusK`l}ne z@L~W97TLPh#*?f8R|4(R2Lxke=o;6uZdt$>pxN7tEM^7>B- z7q74Vt+Zt_N&4#e(wGE8;dBN_%9~c(l7KkF_>+NX)f#K_RfANRRv4V-v-(Bk*4$AV z&v~75?jx_z<-)TAHsr}`25I2(WOou`F>K`!`uap$ofD?1(Ie&_?0G#1Q@j}}W2Ct)9Dd%TenVSD{OF~&W1Iru^>W}xO!TB?Q(a9cT;%Rf z|D*t653!1Pc$muY@kDFPh1B|7D+e>Ru*iw|=U*EE{F|Qpw>?{~%yPr6$?WN4I)`rU z5m$p%^+HjL3@jl#I{Zjd>s@W^Ru5_oTZ+4-G?4?W@V}Rfn2rAbFZP6u znc;tlJ@J-zJQ$8YdKcbU@4C>eW?7R%>gjm<{hn-m_urA!F|? zT$FTVGGcOmEW6V7fF)ee{OhIQLuN?^n@v|&PuDdzMw>BKM5~Z9F8pCF`_jbxVHqw^ zDAq4fDJkP)8gnD9s=$QqFK<7RO@{KN!BRxP`btub;Wd^x#3YTnL$xXCITyI!WR|b_ zy+~e-MQ%_vS8P1?*P5bxno^s7y#oKy0z9I12mG^1Va*~8|7k7xL$&?L(ylzrTOS{^ zsu8#+B8e7OM2vW0v{&m4^jU(TE6kp1Dc6 zgeo7n+)}D9iEM1Ifk_DylgYY~f!Wbq!q^J38nZCU&@%2Y%C$~IySzeAkR`fe3?u_7 zaO}nntF}U4X4FYpkWyuPR2n=S-OQ%p=DGmdR&KpgJZ-- z;P)BoBy#JEKs>BE=o^)+&OTr=tIx}=@C0s@B-W~PUv^;(jakE?f6BMJ-lI)?M-L zt;Hei#_SvrHz#wnvilQ(WL0P2vbuxlHI*Vt0Cr#sw%VUxS|F~9Z2g8*Z3#3I(FBSg zXZ`5i5#-e`I&hm~11?Ok873$Nqq0u^GsFpdHN9nFgCkV~VGyX~Q&`ggcH}M#~IfYW|S$PHtW!T##Ti|axU3WX{n<`1sqr-E=lKz zkK$vla0=4B@aD88C-5f?{lQ`^r{VU2@Pm$KfPwbdLG6JMbnkW$ zzj9PLz=3X21qOkmxu~u1xtBacD}fMVf2D|R07(%d&FKjd5=`p9I%JW;kn#(~u^<3n zP&*Cb6?Q-%zEaqb`+M7r{&h=3SMGBU44e~&{Tcb>XJGQaSoD zZTryx7hdHt{x?=%$A6zRVbo!@pnaK3W0;lnT$#t(ou z8h;Nx%v%*t)9Ui%cG-BGR31#V|8XBk#G0` zJM4)OUkycQI(+r*$`GH@rH%U=p6b(t4z0p)0Kt*-4=4)-&2jh`Gp4? zLo&Ql{97i_r~{D?3C7x)HVH#pnStp$j{}A4i|mLxMg)bf4EQBT%#Y%TZ=P&o4hChc zZ19BbSHS?PP81C`<{s5lfjEbY&X>042i6c$ilDCh%c-<7?zby35;m8}?k%vymB?Ya(4=IKsjMdS?~roA;@ei6Zh@9y{etNS=d`6846dRa9Kp?QR|>;;ncd;Z1jF6 zS+EOMI6A-Bg}9Dw#dra~+|!Ma>de^XxM+Jm5*f)yIMJv1ReNi>4EZ3}?+*~s0&Iu! zOwvIMA!uN9bOszc#q(Q_DzPVGY8{FQ(7d@{7}@NK>T7SHWNE_c7rAC%g78Z4_MezF zQ@UzRJO^~yZwuu4g$6QIyl5Nx9z1Z*cSwK`w(A`0cjMF$!|`Ikr*p>n4}tM>lphaJ z8rStF#o(&z?6@Q(!kOwAfEipQdqEAvjV3EJFY+^X4I9HL&2yHGLMiy_IGFhL)jwuqF%Ryc z_P6us00d55DfJDRjNmT5kP3-!ln>eH;0XCg_@LAuF#JaK8Upm8FeptlLIPNf1Yi!t z(==K(n5~eGGkzQp1PxfdfPTH6>Q|kph}n7k%hKuvJKE3i1Z#Atr3y=2(%oSFhoC>m zt1xiVJU&<`PRVJ*+pnLg4Yg zV?^72#q-hB(9Kl&aZbAj7Qn_ocp9$49q$y}PvWs90aOCX&_QHwe z8(iztC$BMIXNW7pXyaJ$hvpzY6-rReTxJSe-Uu7)*1)|7iB#G z07wiE+ZolME>80 zUw&@m-GMjHDWXyC4>7_(d>?)mU`R>2_-fD~ScIXKA!0_Ty&QzmyOqrGs7F2cb>(Jv zH}w7B5<%`DTx>9bWbvq`>0t4{;zvDDi^%jQwqT-Ly zl$RJ&6&IJlc{L=iHuB1==7>kVkX-j5v|Rax8j}c1=`u&#?RL8<2SUB@Osmu5=DlvC)A{PQ-sR@KvRMt+qvOg-t5Xf#Lygt={!=m3{Zoru%v3`vq?j#4y);+#xD;qDVZldyW4gW(WK9PS$4s zNiSVJ?)d2ZZRpBwp-OZ392p<4K4!N4UEQH{d8E)~>+0=Ue*HI*7fD)IFIA<8DUttx&7U~wzaWg{if`B(vm5! z0Rxv%{JHE~`OSP6K_I|Tt9n+j?xgz3Z(TcxO;0Cgf{D>{_IzfyiHjm`xqN;Z+GVj= zv##ah>)F$_9{GYEL>$?30*BXbBlu6mjneQXenP=1GaOH3rXNBBogbRWGA^FEj3Q_T z*+z#1lme#HaAd=|vc`A&a%z#%dG&gI{Q2^Tk1n#!c5%p~<1K%?GqIj0nkzlw`q;|| zb0E&Bgbdel-bX3((%&ns=IgNrFDR|_mPByWMvDXxX!Ms*wOdnHV2+&lHzq)UM)aDA zo=@zkak$6@hAVUN(WZl7y^6Ox>9vGcuD5*Mx@VVe+X_|wA^ejcrC48O4H-Jd!Qdo;%2bM33Wh8JW3&04`@{R&-uJ}j$tVLfe|VJpH^%9P>-zJj zaVbr6sHJn$W<3xIHNHZ*{(Yq;6#5@Yp@bO@qKkxu^z7({b4{C zKXI+!RBOOTZ+P*i;K*#50__XXDe5b_^Zi)UalR0mgWW^M)q2MInXht)jE1ERX!KfKra^`p8_rR?-2&FjY!-c8l|G|?OzqFS-~bM z&#y}Me3=ZNrCmy^R3$pp1^wP|XDPKUzyfAghJg{G(=t$luL$QjcG=|$vH zzV%6pn4bOS#jJwG3E>nAb$os?OJ&Rnx4;89Ue&W94#9%l6cJdKIMIOArp&h_ak{iW z2@$L-7gURGwv)t&ZoZLx?Hpb@+1qagLhQn$z2L~`v}s7_*Mi|lAmJ{8=RkYEZ|vT1 z`DeUb?8T*O%jMpq5BBDXk>oYgt->DaJ*|qO;bi3@22F`(u zadTrigluXdm%PhB-rP47Rc3O1#njCRwDsV-WIyzT!9_SP}`*6loNeFeg$!vc{UK0yKK9Q*SUe6-FylQ+R$7ZfpBtG3;anUeL zEA63(7WYp6kZEGSlvcj4PcLldlzIf_|+gEl4_?lC(V}XnBa! zbP=cOBuLT%it!R9vxe{>sfGRqAr>GNpcNqYL+u73Olh!L?p6gip#49Ew-+f%I(C)P z9P{|M7jb1J_`sZj>&6lc1`nGztG~k-F^EG87;xW4R(3}fiqaPG?UM}0u(hwc&wtjX zJ2W1HgnYXSHxI-io&5c5PEJ90UC4zB3nyNObWlwt?!U(vji+QNi=c^@Ovkdz9p6g3 zx2|l~9^Z&ky+)k7-fz*;MqzJsa~2f-j4F{W&VK-s5E2aao0E31WBi6tu;FY-sPF!e z1+V_9iby;=0TC%Zoc$Hmtu$l~?9`^k}j~=#QsGT(T zcLXlYi)izkPd)PqGG@|o6jc-1V-8GQh$`qynh?)TxlGaQiu$d{P&yr3g$rA1RVmtU z9v)Az*W~b;ca<~H)ri0qLIoUJ;;->vlp3W)+QE=P3iTG;KDcZIOw05`9-=QU*DFLfADtP0Q!@@0}s230*;&7mo*#0G)VEeT5j z;r)95lDs?KM@=noVLVnMvSUk_p%PCMh5<>2<+BU;>4tLi6TfxXUyTnBQj-&PRb+hB z|8JogQO+8y=8y|&YmEW8VZb~&2>8q7f$p~7=icXi!0y*bKDsfu+vZ)c9-(YyBlH8h zw-4JQgcJTv&xsiN)5yO6NT?y$@mYsWs34$#4+SX^RWH|6_0okCbN{Rz3zrN(`lZp7 zm5Qc%ZM2Y183it}SYnqB${gdZ#}dAGVqW1ADP~{{)XS!gtKQqdn5}x0CXUoY^yX+) zEYVP1CB|5tJ;nG?EhX7deMv%V6<{=U)Gq|qpQF4b^SeR1X_wM|g8YW0fy2YDCWcf2 zup#okAfF|6^sqNHN`ZO1Dj{6RmOh_(P7uD!|{DsUeZkU~k?O{>;ea%1KJ7J8{3?sGG+9}n+YmO0g_HvK{{0ZIu zyTN_dWaqN<2I_HIw?ZMSk7}8oeE&E@s(??!z{M#^7ZemzJ2=`;5s_c~u-WQI(;x6no8itT4L@YKSgH~mwV8@V$p z$LZmMwNr?nB7HtG*Kt*`DU;f|-$j8~f!7!Di9dxxQ{?8zJyZN*^xaI09rDHaDw37( zCb=(MF1dj3Y=m~;_qYMm+M8Eq z*=-*0r(bsBiVh__ub5$v&g-?;v0S2#=|+ETw?n#;SF2cZHw^v44ftvZCbeMV?lEMh zOxf6TcMpD1$>{X%MP)k`P6Pym_YT0w%tFW>F356p4Lun+hL#vLAZ14@skw)ho&k zP@|k=Ha)h>-<_|=Y4Omy6Mc3++f{`N*)?Cqn0PIf+39x<2K_2aDP(G<%Gq$fq2J*f zFdDpB>uOTg6j6htoSX-S`D$>Cf5oLrw0bZQR6175%C0bEKoB#ga_uA%Uf@rd{H^rC z5sora5&b!8KhDEqxF9;1sxb(e!*Ko&beJ%>WEj^gip~Bt8rXG z9%ZU^n#p#W(PqTpo4f<6D6EU0_#p6`Iv6#_k!DY5+ZvH$>Q7Y18InVWy>ilw$vR3Q zJKV&U$>{wLreucWw9y2mQA86k@J428MQUaj2(&lj6`6(;*^@`vMQmp4rj{&i)FeT- z)gdk2ySD)Mk5e*7_AKnE5x?KM_Lm*qTOtzJ|Mt@yp*8QFab-%#u832vv?Yd!l%+~u z@d#0yq1|`mL!YJcN7{Cm@H5gZ2kb@NN&G&(DEgJi8YvIW66u~>#cT@SYLjY-!*t?M z{a24h+w%A^iP_tsme4}FC(CuEVH~@WE8^6PnSJTt(%z|x1BwkWVUKomS;`%p=U(pd z7`!&)%k%xAUp#|IHHN{rGBeIx7F591d)ArRc#+_|JK8Q!CxK?S2)d#1*w*g0P?}lm zyiz*ONY))0QGIf#uB)4beDpB1F-SpY3KKo|Yh!<-M<>VIL1ft5%vS_~LiD{3GSzZP zqDmclRw~1JiiO1L`ZGz&P%mK$rEO-YFlNIGXCOxFDvB*V%pT+Jj;?)KaXe1eHeJ@k z3j;LH3D_?-bO6;DhKqp-?%g7tC7HA*)%2;WhDK+O0h zx`LYZGetw4Xe^0mmdJT~HeoQ6vY83O>0O1va|SxDL~{Xhv?j$R*LJZbb)-#94^WZ^ z6FV9l;=g){jx`_B^oeZBR{yxU!v&$uHrGW}G^t{kR#vK45alT~8zgh|NQ3k-xeF)T zkHItQ$So%4GlJKr;Tv5AqBRBWAjD6YyCvPO^L{+F$TohV zowK?|5|F`la@k)WhI{JDhR9@}Qagj;^L*6j~<~61sKWf?jt#)p!`eD zRKIzcyLkS=9V}9JP6g3B$Mb8wS~g?$Ja7NewnBDB+dAlJrsrwqyNQGER?(G!)!;bf+e8G|bQL5Wf^UzlO@A@$$*+1{blv9i!VvFS#ao+7F*--W@s$eJ|a7z5LlNX7=^6HI=vcJdfc&?1jD+7s}enGY1yi zdw=8Vnz>tj&QE6BOq#^<%er&5DrRaBwl=-h*SB~7k8e;dQkic6s&xTd(u7>j`LaCz&lLZN!>Vu6WbyJa(yE{? zZsJ`2*qWsjF=}9H#meW7d2v>48rP61Zr-_H6D3Bs0D+~}#Iad_#NyQKCs!9QUL*+d zUCA$6aIsEo{M$QH5Zdk2-9xpYR71oqXdwV}b{8*uD>B?nDQ>9yt-zA^r({z*ET=`o zE02Y}F{TXe&~s_*9`78P^WsaYPlLv`w#!*0{J{9tbgeHc%cKdT?*h{}PL)(=t{Oj# z&Qvbv%IEICZ5|kA5MqofJnp0vW+fyhHU`AQ135<417on5oaNFCB_ijJN7@gZg1c-~ zM6mIl=dyg3%=v4b&)l9)pZibN?Jv%54}7ZtY8AQwl{O5WvMtFa* z86iwz-${2WUpJB%kGE{LvoCIPMEo&?r!vJaVyIT5E3f&nQgK713t{^ZzUnZ(xSo`=EW7r6M`M!=lxUSyM}fu1gN;g&@~LaT*Wvu!>$?5@RjJ$K^Z6(3`hC00 z<9_nGN4dpdh$AEF;OX|DZ=E^Y!#g z`L`j4@et`n{BdoKw!E<#ukZoyOxz2yGz9gO_mN zo^_CsEjpH%z0?j+NEM#CXh1L*yM5)}7u z%6l?}qkc&aOw>k5b4-8~L+PjWP4Wt~8|;X}$k6dcV&&If=X<^4x5q0${YIe`TsK^A z3Pw{1fA95g{Ej8@3#NFy3|<60^MrS=mZ;s4I;^bbUF^cBy9^~&&a}zXUa*I^nQJIn zcRxN=;j}6nRpIfPbV{L!1y^)tqtRDPeiXja?}i)$kx$1NVpTRV7ZHQescoCZ$)`J; z&2nka`B@X3?K1?lG1ExitN89uDNa524sG9F*6J};2O)p5XPgrgnj1BBg)FxE%!%Wqlrr2Q{O>S~i!u{5qmgkbJMS7aID! zf&Egs#eMs_ITMTP2vKFgw4qDQR+TplTsWS9Y8VwYz%zqyRwxn!f39 zZZ-BTRQc!qC1zE|^a-~AD6-uAQ8b|O>}41NpFgn-sVS1B*mdE$c~-aS%>|E#r(Sh9 z0UL_D9jiAye}s=oP=z1^ajT~-^;((p?2YUU13HJ{(Dfq!)p^MpY7_eS-YSdAlg*%c zl2f~NNla2{7|tJ}-#;SGV5*$TATI)uZpz&hb9(UyG)T5`!sMl4U8n2Q%HXQMRNXLJ%+EjR~XW!9r6X-7ZIZ?+*YMG)-m0PHQ4l z!G()6%x0UnozGqYw#v>%Ph}H1=-5Gc8;HOb&#ZEBA1^j0xbf}ruxJ*uKh4O_SX>2K z#GK;N{=DN-=3eB6s-~^6&xegZXZW(x#-c>^I_`XKMba|UKq-I@h6W6c1z#u}m2#vy z^7VDZd6=CeM5 zz?(J3VUCO-mrtH8{iLohNXAi4;^3N{rDg}NEyT+fwWcep)G8Q(%G21;YJ+b-GuVIC z5G85$6JdZeLAfqtP?N*_1IjC35E(DpHKQlm+zs|8beAS5p)HxDW2K3YMoAQWU|oW| z_@+q!Id~)xNMXRb&|gAYn9~dhi8a62Jl^X7X_DN6St469{yla=x;_uSj7VWmhsZC9 zo}ruIAjU^7JdTrToAp5m;1zvV=3i@^n#ocil-QI{Dp%ebMNp{VoKAfs?T~()Ypa<` z(Ibxb1d#7eh@mF`{yk*7cf$m6!vdJ2XhUHqdPVyObbiCjQD0WaINi-gDaWhEH6Kg z036rZ;O_>Zg;V(kp@FqE$b})Z*$q{Fhk=>XVSV;uR_HI#3W#YJt$d+ZW1BU)hQFuQo139kdqdcKqEkvx7J(&xe$2KLJTLOP4 z1jyANLJDY^X*waI=12LLQqE>a$dPXEZjB;mnixt-3<+0MzM9BY591R<>qi?oL4mye7?tc-D=#zkrf!ZcH8rW~1FNsffqx*q=bqRuN zDX(e%O243zCr)f_*L%V^N9f=JYeEs99YNhv5DXo(GOJucy{k__ibBbovP(p8DTipl z-V*Q-+^IdzQ&Io{OS)b@N(8n^#`@=#o`Fnx0{jhL*e!)mg5My#P0+RX)!^yZ9Ms+a z#n@Xw#nE)@!nnHx_rcwR1ZNoB-GUQbf)m`G!QF$qdvFi#8Z0=$J=}TUv(9(#`v1Gm zx@$d8&Gbxn*RHDF)wO$f?FwNfz>V4v`tw_$?ie~w&{Sk5L6x&Uujp7VF40y}I6-J~ zAs~R#BfT6tSA<})Cm?}xc`#npzWBZlS{%BZ;TalRZ25YWhUG{&Uigz(Qp89QZ1AXA z;AlW`ay0ZWi6Dzxs3(=SCNVTR{xPx?75$L`m}d`w4?`iJI}@Q_MQSMmTrtmvClV-d z9TSNrA|(H7fCiqJTA~dzHZ_r$zYCrU5;;OK}N~ook(L4XBU|GUPm{CGgRR>1EQbC6m^QyrJ@6 zzczyA#X){7ozDa$<%pvP0|ZGBXU>UqVXxH?hEruOJ)@y?8HqYkzhV>=jPVe9l>v4o zRAy{hx>R6)AaqPj@$67HbhD-d$tQVkGTSgvmBv6)h&}t`Rg8r+WZ}0sIFv0H!bds(d~B1ub+z{jLbjn%c*{?l@`5 zia(OrmC+gnK&PaA`~o2MY4iq$G}k8@>musKR9Jpo`Eb(q3>~xm(RphfbHFey&6gB- z0~{=ude-eMl`3C$8|ImubX9$_D4lGcM2ey{!F%e3JPmVE;9>O6ilKnoOd}+& zHp)ZOR5r@75`u`7A$<@aH)#fO4z4GVr3ir=W)TRET%$w@)y~uTu4dPtgHq3!B7_ek zVFqu&AU+h83YqF?LW@xYB$J zE1T|CYk($shdeVzNpZ#uMTt8~XWG>%lOr%wtv!N?NrNpAgm>4EkPtkW8?BRT0*S+Jo@SnoTE}7kK&&tw zV)g0fBfOEPn*nES=*s91`NTMiQ_q|q@mFd;Zp=unXbkqX5v2$g_~0g0YN%q^tWAh_ zO&GLyEu@DO6w46b5IN#@>j0oL66}4o#9&4#PevD~AVI{u39{TdECDFAL--|d-=#;u zzBH|Xo*zrSRj|d`gsp|o>KF5#aq7v&_(cj;pPj#Pf#F%Dd>S9?ieiO_JQoSQzJH&L z8tBf=$lo}HO?>1W9H_^L$Il(CW4Y1zg&;nwK7me*5JIwmP7{W3g&IAIPW;^Y$82M1 zFrM?{-q%-;3yror4Ns;UmfDSS4na&l%AL_*bV^rpJ19?bJ29*MK7H%ZBr|hzs&e=aLaeF3J)>F&!#c!PWfCyLoj&X<{e%;RY_sBaohzPK6-- zCf{`Am#4(&=GCM^J{o+sFFVmHCp%Yi!6{S*v?R3EFZaCz3_3=kgMQPWH|X>9cf~OizZT^9s#UYg3*3=*8DffyVl|R6sWIoMZkU*EtNad)c3JtzhcUwR z(uy`X{+Cr!p6P|(?@FRcOw_Y$W0w{hMjvH&#t^CKY8;hcg>^K7Jwf-kxmz?(8R`L~Cw}`ycwoE+ypCB}RZGPvzHGF% zbC1^b=AEIWtH0mki*bCp)Roa;XhSWpH&9Wp`%RP;K z7QIZ=5LD*36}v{siogGiCm}^8MM^8hgHf%mvD0SaHW{;!9zMm)WC|rD>fx`;;&I{L ztzWZokach}Zg{!a@&2W~bG5Qb`&e}I;uBcnwP-Uf1X0#A0qB)*Cj6%&Sph-P(XV^8 zN2kWP_F1(1Ro}mzM%1(0_uldnF0aF}=j}PmvG?h3rO(K&=4QrL#HTrfP1*iTK$UD! zm8{kh1CHxPo$As)QI#sK4Hsek;ggN){I;q^eUD2gKi;W9JHLj}U4K^oFkqf_n`SB{ zhox(*KTCK#%kHKIQuK)zoF$Fm{MdrYr8j{-U zHmu_Q1_B2zHMzgnm`+d2-Wxd*IOe=J`!xHux5^okDk7xbPZ^TBHHcgQzX3BUy52hs zjB6Zfy&qOP51wsqE)G|-wl5SlN+7G(^0?RF;V0hh%8-yu#&)k7vhqJDYTj9MHSuU- z=j4&}6o7GJqE#nVo6d^&Y%WQ$Y{?2L^?O0azE-FgeJn3jv+9SJ-3vdxc-Y9s#?#S^+4i(wsixGG#f3>P5}E;c=`v$@6cX|_ zemm5!v#{s>sj~KOyV;f1q-v~p)hv?})1alSDB3R@e)8u36f|3dNWSxHpsR7lT-t|g z<{F~ELG`a^uFFW(ZzB!tlc%)iWS%p1G+&G(iry?^HAFtB2ofQ&bF=00cx;SOOf)$- zY+D^)E1^iE6eYFt0tpYy^ZlgdH~mSwYD5>S-07OXbz=I~IocZ|6}?$3^lMpk63Nm& znimUgKEApxM>#a1Y+LD+aoj#q0*-w$hN~6!T4LgWyn1D7+cCvzTQS8>TLA!~hQ`A` z=&yF8ojmDEv*nQM=|wvUMe)4*5Z{O_$JXyX2#Vz50p6sCp!c8%&)oD`m=C?0QNLDg zHKrKs!G&{r6+XUmNsY3&mpP^3>EYWn82$7X)hFMwCOWA_p=Ui+;GHsU3HX=8hZ|42 zHw(?-j#XAgQ6J$_euj}gz1d1FYU&BGF}w;2ZUU$-X#X3*ZQm(fxnqDjv(Gk0B~3)K z2bbo>n8D5Yg3661D64UC@#eMN=C$9Fv7?J@W36Kbg5^xP!T)k&4D@O_I$LG5!Un&9nS9hy7} zr(KPirGG9SL$IY8cc%fo7txa?)UM?*9jT_@9%{KZVv1eXAu6&GQ!My%4CJA7vR#v# z`>EvE^>>M2imfI0@1Am|LTc$Q4QzJWRR?1WrNvnf?ZwBAB6VGRpxQqyw*#sf9+?AJ zyrj*d#zq19L!I@k@%< zY=#A|z2$B6bmku^Bt>R;_E5@DhEN_ZT?w;|ISn3+^+X%oI`{jCs)5Io#&)o zR@3BC3e(H`Wa#Tn!K0ayd^qUO?fN2}FzNqaunxtoc9KwQ?TdHmClPSA@)5)?`$Tl~ zJGk1QR1eRO=;){pL?;Y_5%wgac>kREIx2RGF_#qRC$ci{Rw3=>kT%AW0_WzLo-fj5 z>!Nh?J2=OrF%ZMUiHL-ueHLrix-$l~MsPV>*k)*f;MXr*Xa5ZYR^x7uPX6wf_@NpP z{=sqA$%|-13R|uq#DmW{rtP_AQ<3ZvL)qeW&L0cvKve_5?g7E#aMA zN_+}bbUR6+cM5z=<@OU=0ILRu4L?l<@8^j&sbKkonBjU35TBOQ0iE(X?&OpJ1d`*=^ zsoxi52ano-&m!eTMn`dp)G)>{N>@ag1)03Vg(919FpnkPQVX}*gJb?8mvGK3F5-j* zrkq%cpwy=cvWrD(;H4h@^^^cFfZ9Ncf(gFIz{Cuthzq@oaMJ*s9_j20S_1jqPRXkA`_zHp4DAedfyyI8FyKj+Lsf3uFyXugt2a!962!X2gH zb8Byh+^~9<7QSY8LZvNyWth^Dk9PCeHgNXy)ObxKQh)F;-0m@8#pG|90(KUEG<9~} z>mf%H;&^syT(`TG@tLhMq+>FcI+!@JjWK;K`>Zx(%{5oF`DKYN>V>)JZz1szXSp0V zQ6&9ZN#`o7`|U`4*1_W6AHthT#P7=#PS$@9cX54vtZpubzulD9`gNSudQ42|x%1HS z7IL@B%qA7R=}Vs-6nlAk&b=m@EDfyNELZ{62=tN?dvuMrFOIZ7d6`A+i+Y@{FUs+u zmYbUJ@-X*1`Lcuzfm<*kz?{F2XW_AoZzNt%Pt*uakGVZKuhf2P>3!yA&3&%+d9wbz z(44iZ6M8#>fRK0n+av&ZI zPr?p->z^t?AIe!VIQ-G7BjYq{B~Dtio)@T@^TBQ^5LX3c&(8u66p@A`Qr5tWp{ z7mD?NI~_rU;crA9+yz3|Wp6rSf6XbWJl=nzf9>^jS$e+Em3(itQg)pWdx1@%m^gc! z5F3%vV_;)^Wq_cxw#dIO>SNP_KFv)$e6r{V6%Q_~7wwpr#^tw*;0UC=q||RV6ccNL zuEhs~zRBpYs(fft>`6b;W)oZd_{1$*iDXM4Ja{lK{>CGH`}g{uV_lHscEIy|7x@R~ zd~IWaXnK5*vV4W|MXyT@x63^Ne~ha-pZOqVChj2YSZ)}@@9v`Phd9g!0#af}r8Ool zr;SI|IXGL23JDXST5^Bfz9zLYkod!&Y*jF3Y*{v6R;knT(WvZqYPtW0h;Wmib;TW8 zi*`zXOfgC@M4Wf?Vh_jE*W=Y_Dw2Bvt?cQ9_7~VUe5F6TxDV_D-oGyko%E~eqxZE12=g5Xbi-@wSD&7I?dPGY1O6f(Mb(Vdmyt(Wi@LvoIcevN* zI$<*TL-z2er!i!6Pjdvhi25S;Y}`JC`xK4aRW_@d+|MQ^W_u<7i^-x%j^C4-h8#5I zY-=&a4T})Eam^X&zMS71>3X$*?lns4S6AA3QkCJQo+Nyeo4o!DXTUu!R|kFC7RcD^ z-W;&XUOTsJqn;^mJhm~SL=AY__x61pyOTsM^~ZnP=^Z#bx7*R4xHE0;@F~yK(9##( z$vy0`Nm4&iRVp9B0lv&!U%D{7m7NTmJNZ?*Mf!i<4NlXQ#g9G)QqbvEcQay0E4htu zov3{G|M-^XqlO5ED54rR^mS?qf4>$fyE(bnpXS#9E?Z=l)vx|u-vK~DV7E(C)S#zPvw7eGRgPFAd>SZ(BU&8Z5aTyH(Qq}x@S_Gw$`sm3b-k;y|dmNH`;pm2Yi6uDV{`cFn@G zPT&vx%{isE!S5xfbmp32ci`~@Vr00?A)yD{b5bBiJdXalX0f4LmEl&0?z1_iUfJK# z(of-U0i<)C1P%CqF3JXfUr=0}O{_mamU8#Nc<0)V_G=cLec9YSsOZH;X%Z}|3S+VpRD!v>ZE&O-<3CCwy;26ND*Xp0$(&2-*VX;U zL?EW8Nf6UZ70AdUk4W}E+%_+6+_vY_zF?1DJQ;p-zIxbtyWmh3A|d{vLhJnJV8!e>PKmXR%r?Crg;FpiCD6VuuvL(jx9B zktQgE&6VA5a}1j4a5W1!Y04$IiN5&Xd%R;;BbNFLY5GjM|6C*&pvW`l7ORjb6$F-U z=%`acPN7&{#7EJPh)C3O{Q=dAEA zl_s(*E==@?jCxBOqUoUAJMkJQE{~{Td*g8%e1k+pU*X;gLYI_h2<(4-mQfpXfQ-gP zh>iz>S1JDd-5m?2S(QHMTHSBYPE&u-btg4{Lh?zCulwz8cL3Hm8`W#d{}ESwy&R>X z`Bw=j2)VmYb-uZ?+7bTXXIp-w-7R>N`B*t_+t}^u#VT{zNZLIOKKutFG3c$We0&&U5y00Tq&`2CiDJhRgS(=qgqMvVa&TC z%3RivS5q@tdQrpem+jwPMg>*^UlYUPfZnPiEYuNEVymc*Du$K9d-Tu>>wU}LD2}Y5 z9Y`rqVbrOC+P)@ol9U9L@XCxIhIt01vWB(bsufKtz-7&$cu%n%Ofk_Rt_!s$>TZVV zbfcv;96gpKylY565or=C6!NtQbbU$5mXPZN1(R4RBQsRg#0TDlAOqLX>cS0eGc4lv zFy+&z(SU|nDi>TzS<(E2yTE1PwFuf~8zxY$o);R3vBUFqB!X?rSz{F;yc@^xW3uLF z67trz3zD{yiymxDD8(NEUL&^9c?j~!0enF*Sc?%{5`?5yaz7C|4ixZ`RX&~`kdV(4 zef;?t@5+U0y|EZXAP))(4|Iy%k=FlsSq81)+J}WXzb9eN?%a6#OagOOWZ7xmaPb_i ze^S%S`JwrCIgJ)@znoUb5Dpy-msDZjX(yIBnm2~d;%S|vLTYum5pj)1$-Z;G=s36xJTlG86} zYe+f!C^0y_CbPie9B(u-o;`l3C?U_hYB6M*heXoE4xPH{Q-PR8k54k%-8wC;1%Hb1 z0KVhH%$U1g%2~H9jK2AbYE%>gTBO-3<2sr(Nclvx95ZlF3wV7?*X4-JIllaOeTj_Z zK(fK5F6L;;mP1a}z`?#!MeL6Ha0x06Q*UcYyN#p+uHQ$n);|SGO@L;r#%Rt>z>CHN zm`m8{BB!m{sAY0Ti2WR%EzNT|kq0}AT1TovR7wHX38EtcJMIK0_h z(fuR=y23~p7(783@v2(4a)d?^arZ|gM%B`a38bc29Nx^{Xc0~#7W@e4`ndgG(@P=F z=~NLC0`Y$dp>B+t9AdEdf)>T`PJ`HgNGlu6Y^IM#B9X=5k_lsH6Kwnxx@Ka)R0R^l zevV1|i5LV=B;bkGL@60w&(%^Ke!bIO4^)5ygma~AsLU>p@0co4M$zty4lkuX00sAe zkFZI>4CIKn;fHfh0Ex1X7=)~_Mc;b}(L5al)#H0IE3!}(_@t=FvjYN`aj~m4@nz_D z*dj=CROTLpI_T562QyoU^CQYLFN}hCH@od(X%G{u;SneW5eL!MBm;yvYFOY|)QYv? z1t>E!wF?*-P+G*LY>^!7`Z<;~(BPnPO|xk*VY-5x-DtIEi8o3N?(m8N>UoLPhoH<2 zb_Nk9mZ<4dwO|t^$!753bh%hRcNN6oipDM3*dEyO4lx*;{irkt%`mGA5#cb{pRA3e zCsG@7tVZrINCvfYHm6aQuMj&^HZ+fZ2_(rsI$)ytqC274v_#k!S{tzPtC06m=>*l$ zB!NYR?CZ=A#VeyDN34D?_9|@ZJvk_J1sYP6bk}r8T5VbflJ@Z>Q(9`h;G5DwnJApr zk0ryXurRoAmll8wQO``annGTk_6TeAu(6-qQ~|p6H~YR=9}wt1i0cpnl9p36&{;&o21YeTYwN{`G(GNpTc#2N@m;dFvD$#BB4S zQbJ(GH4X;na;r@y!$+5n(5M=C_28vi=#&CYQ1SMX7zs4 z(}a;zqtop=+75}!Sld*m(^Hd6LkGjwrv{c&U;Q#J+?ZGbfM(>^D*$)Yq)2*L-+qZD zK#{aZEnyL^@K4f6K?EDra!aVRR^-x(uvVOdjhNIQqN5>=z*i3#T{5NN=O|?#I?L$n zM;}MGo|1JBCPYuXz@u?wa9Q}Wri1bkyFkg13krxuVTy-c?dD}vl}@jJSdr~lJjn&^ zMA_m#2S(LRJ85AV|oJ&Vpp@Ylhxut{ejkTkwNa4HofFTqiHb z7?`l71SS_!8#dU%aF74qqR9_=D*;1JTEs zP5BT?x)HCNE_CVzaXSEvHkng-{lu$%+Jp2X4hdib_=q!Tdn}xdE}XYQghhpbjjT2C z*uScS*5Qj@4bT2T8WBg(ayAf7*FgFe{ly_d3=zg#m0J*tLqduNnxw??D<22*i5TMC zQxB*$$SavHUyx3!=K}-Rqm*C*!x+N|i98c04dm+=r{cy))lABHtg8cCbm zG5~fWdIRqoVuN^9xE#VDn0uiF6)BWfnr$6RlCiLsR$KLvc#Uz)0a6b!23V`aL??rb zDGPAadIMERggs$sai703gw5{`V)p$~MT|pjz-|w$lqyES^OB5>4AGfbQ*{UchaK}u zH4Q&XV+#^?&_~$Ca-RdRbd;iT*ZNP@+@2LD@C%Y=8(KQkqUYqEhjr^P@WPM`IC385 zLW2@r*n5XVnWTCvkiY26 z)P2&n9Egx))OnvtV+0(io$>zha9n9g7=(SmD!>;OE+oli9o#1$_8tVRhd*v8|(?3MXt5&`R-twC2C6g8z1yuMLW?;iY1dbSVgQD`fN^9jvbu% z765!+Iye5<^1-~eudbO=A6p`kQV2l;TeroWqr@Cesx^m&a zrwVDRW--}S-H8{^155Hd?omnI5kKDWB5?ddq3b8k&9lBI;xVh7HBif|f^ji4es2t= z5srZd(+Is`;C!%YJG|RlQ#lwP1d$EwRzNd5N9jLbNKWJtaoVGT2?r_EjU!`Ya(|9` zrp@vDL9<0ZpwzZkh3O#IuGT?JZ31)ih-^FYNL|j-PzE}NPamwtAUUgkH?Bd*kTJp! zwo-6YC|YIaQ+11~i(y%)9+}rrIaK*mnuWx-@hmGTdBP7njnblgzr@WTM(t@^|b*gW!Fu|7v zv;)(OI`~ZZHu%K&!lA`;RLWm+m~Aa#GF+ioU78|F6SY5Z^iBQ0kesd)q`DZRQ@m^7Kk(y;iecSmAbgH zQTnsxJF(?|Bq_6!IbpNhb4PgE`DLB3NfCd+Dm$^E%7JVoVw?Q4vJW1ALE%&lzIj>i zytyWuXUsP?>@HU4_e9^Hk#z#jC-GiYQ_xH}uxARSM<1Yjh>DqoqJL~7c zur0EMmYAwRWz)p=McBQw1`QCX`Zz4)k~CWxW9ZiML*)J@3CRW(k`1g6n_9~Lp*6r; zWKFBS_e=CP3xl1MW!UNk<~blEtNLoe`hr)?N0FgBGfn&E+Qw)Lb4|rTB<~g*Qb@;y z*yLglh@2Slz>aSXDqgLt7nhJZ_n3}GEE(86URR|pOSrE-N-CLlOScLd6Q(3#9J{hB28_tiAm`O+Wx;+1pAIMy-$}4}M7ufYImE8mTzFc#BI!yPa+3O4P`C!J`{SVR3E+2wTXx6C zxXe$$De}F(e4RQ^tQZu@N~%=A{&BXchlpC#(i4bLB3|Zs9hwn8?8Z&5?zFxAK=$5b z>mOC^LNRfYGy7h__-skT%lI58Bd8#iROr~Fgp2E-X(-P&mkNT_SJS}o&mH#CA<@qAZ z7#2D#w$t+hvS*MwtCyi6Bdt`P1iiZ45!YI2v3%X1_ z9kRJo-7JHgPrH@FS@ra8a{V-eKX39QQm}BD3npBW5u_DTe16l=whIt{dBSi8cZLkb z`>9r4YE|;*k<}&HO)a_X1~%0uo29rY#FsrGO^I_zCT);?wkpe1=x+MLrRbXId-2c z77+JKg>=Rhos-C~@h+R#@9u2-bhUT6(cid|@$1Mdqh@F06LN{tq5>u4TK8egx8YMU zO&iORA9$V@o6d@KF;?E_&TaGggku#j@XNvVq(8y;zene9vy=7#i{Qf7rwE13`rckO_{P&v~=#C!w@}w zVrzOVHrC_S4NRJ=7z0goo6>fVT36ZoEVB8Fm2TVfQ$$Jkmf1Ks+6TQ(Pj?I>eLA@F z9zVTHUJmag#2yx$lk8&YS+k42XOG5gt-Qa1AzI#52}H)#HNNaX!QlN6@pA6+*7IoJ zsb{ZLQBQXYvv2=G!#Be|k%{J|mYO1f>+Nz8j1a{!I?Aymg%Rx4Yfv3!-`TlYReLq^ z7Z^r>>)gy}FN++GUK&ryD&$`XJv$xA*o&G1Pls*+mzelWd>}Ec8Fs zHk{J3wYk7f-te|Lzgt*4EpJ{{x2340vW+&2M@sFS#xy_wxWxXDiB{$111S;)P(yD> zTyLm8iN-DVZLV(2njT(1De=Rp-_Vj>3D}M-b}(X_eJ!(E3tU~4D7d^*+mux&z6BYu|SpSO{#*VW0bY5tcY zy!pJTi#Oj+e-P)}>e*Ia-vz~1kczMM1Ao?O^loRC`&*mW-N)sP%d@m71qW>pa}m)k z0-vwNzwD6J(z|Mw6cMV;57(VBf>iq|RLXZW$~3Jt{xHGerG}%2l9u)`WPBi%~|&}=qe#T#AYS040SI3~}5#cjQFKKJRv2G*l z_24%7(jRp)2laGPTYm!0cqioFQHr06L$)c8I#GjC5#U(Sk@SeMns_MN3ie8OhRN@$ z__Efgqu1S|1MUL#n|T&IvKDPzr+eLB?%f~e{jg{Dmz1IcuB&*Df^Q4h!o7{-Sr49H zME_p;zcfoG^(one)6sleXM@a$*$mIwxF{brZQpX-Y2MoE_E~mdf5sPU)Kq>vv-&N~ zOcbQW6{5%baEuDC#6zl|?#82D?!-ZUR@?^hGJ0Uf*HH(ZVavJ?9p=1lDkhSPmXf|b zrtY_$|A{#J#q!-afX734ckpH_-w_!}uMw-slFE8Y!a7VTK$81@WrBGq)A5zwQ82S+vBo%_Tfh7%EdHa*CVO8-WonM&C8oZgd(Ti_m!mZo&UMjg zcr~@q_P(->-1o+3%X&kD^ToZD#?|f|#|I&=?peu|Pg!^CPfPFXQ=gbGL@u?{CY6v6 zxp%1AG_B0Uc=MoT7E!IZ-)(xHM9YmnW}R!_*uUG<8#&&>D_AwY+VmXs_6869VCp36xzlpWPrrb- z-LRHFb?B?%Hm=e*erl4EB<<`Zi-$_>q)%CV>j00%`nIi~w|B73Bu%Z0Hfz5~mbkYf zJuk$#;mSp)sVDlGjDOYK_Y7rzA2BUzWOdtkS`r)?UeCB|+1$2Y*28h)11{eXyV}GwYaM=$j6@!+)8BxxNlsPM@i7)d1y$_e_Qh}{ZaLX$eW@*!AWC8 zRauOQPk@6{X%SiFG4xVNZ!Pr1jlbhJCGbm0FSRnke~L!){xFv3D2N1vuaqbWQZLXd zQ0=S4(oiw~6dmLJf#{Bf>qOUlp>ed#Dp7Tsx+qr~_&@z|KXzz2jZrk!JP>mE?I(tr z2UMn-*)2P~Z~fM0>w4b(X%*dG&VsImyS%cqnFZnt|9ySpg#04I!QqJ6U2dlyt4G9^ zaL8s=^!k*0yiwZU-Qs*(7a#gs@o_SFUeizaahlv8%gk(v$q{++4TsI@$CV+GlYU-Y zf$rM=l*vlxT(RaX#3=&xDAmYB&0EtH>^)i`FM#E9Y!#y|v+bXBm#<;N9>e$g%|@$cXG zUE}8=yT(O19A}<6`lwY)+Y~*tSQ$Z2ZdrR}+r`7L_dGNhHv&#-1*yl@O$baj=o$;e zWo5N8kwJYvWm46uk$Ocqw$e3-usS(pKP134MfHFH6C<6xW;5u~ zR^zvh&qF_iL?T|&*^i9eK-b=1lPY|JmZyKFYXwSG!$c|y@YGE0qf{ykW%haUJm=s{ zV1nbuwE8QA2pxcFmH(HYF&kZFpZRQ8d_ zf8%*sq*O$3z`5vn%;>yB{aMYrXri=ph;vG6m>lE(q?WT})rDpb0x$S?q zn6~}*YH|7fhBj3&D(s`Uz7or{=x&(QDbp|4h)csf3Abnfe(1Kj00qbtU z2Ts>K#z_Qk8zWJ>)a9Y@A(ywqA!aY`af%-H59<$|{COtu9h)1MQF{-fl~%&qjtc#_ zpM=UfYDwIT`9;2M4n~@`_iCkm)m{Z5`=_9Bee7IZ%PDaKDscAauR3eF~u67=atYW!uS+ z1yjWRP9B{erhu#Lhw|DF-@f5dcntRiWc|S;0*#DRY*@eD&5~Ct*7*h%BBxqWe)`p< z=;R$_IsY*>%!W4(2wy9qLPZXzjzN?#_NLngH$hKHtCJh}_4PDp$nVDXt$cZJRW89N zs7HOwK6yO6QR44yI|}SrIiIm#QXK|)^=@KnS^TX zet2Qg89BL1jO~hnR(ER*yTe?S6em{P1aQbSP!7kBXYRmamRbmy+SMuW_BH}oY~;<6 zbE_O|IVq_2wyq>!!!S2|gw-6OEQMv~T|yr?@e|j$YlGwO#bx zkBfr_qPO8v|{E$Ak@u;5aIX9rp;a>I6l5_IC~@ z`~vsvs=wPm7Er~CDbXa%Bkl9R2=G$z*S#N%3%ZV!DP2QMoUv_)9bp2oBLevd%4M&= z@XSq^cDIY-eH&Z7*wgJ28OkiyYw4JGzne|yDAJ=bsZOlZVy<44vu&R9c4AetvOG}) zZ$b=V2P+y{8cRO4Oy_pcm*H4xZ?9E$oUH1F1wvh0YdanuKAY&+HPfP&l`>Yo;OMK3 zMjKK4cT#k89Lg7}+hU4Qpj_AnL=r}bB*mn$UspPN=elX0;LAp|1CAX5bOKq`*G)9s zJjKBC#jSTn*~3o^28TOT)#DF5@KQln|*Tjmtw0ipd7-DL2&{aB({(2_c)+8 zNJqf9&4j4P7d|y` zDddO3IN%)GHlRGCS|iARI@3d=GR|f(d;}_oQ64`AMJrSep8xaY_eq6#g{bo<5OT+F znpM2M>qIp;lK5NCHvg6R%e+Zt;1WSJSqjckt+x?ng>d`pVPp8$t?x*gqJqdlB36CC z_={NbO_EO?{N7(3V=^05)%n~{W*n%vTE=)9*jj&(@M~>SFNWz@ z0HTb!5Stjk;s#>dlEw}e?%!>O8-Lqq72?eSNH^YP5jMvzirMjEod&kg!nzhA9v&Vy zp3@5|`xU9w{B#DzUQHL$|2@vzRd&qxj}j$1zCMum62EeZWv-0hly|vYdTV?edwe-K zIl?DrlRcOXc-H%SK{QetPxm3SsRJ59sojoKlo>n~(zLjCfD?p1Jc4 z;W7Ku4JM3hXHR@$_t|?MyP24NS6>eND^&g-iw(V(Ars9uY+%rSXxbQ>2mN zkTj)a2!trpaswp_BCtgoL+8S3Jwmx?Wp{+jqH0|6&7FP8E}YhI@lg-tI|kD7sr_wH zG`*gef@P4R7<@mFA@A51$SdM_YFlf9yV`Urqu}oG!;T*@G_8xC;&iNS9~)Jywdbtx zd)y|A=0fjN0Y7HkNOtm-+i5bzc(zEa{L zImFN;=NGt2GW6jMe>qeQjC!qRRv79)A;&vq+FAe65kyGWPy>Tt*ib|LmkBmjxMjSr z6>28zE7q0rzyr|N@@XqVyz|iLb-vzS%HV7j4|I;d4znt*@+hAshH(qug#Pl!oK>X- z68#+;r8K#4nzU@xN0?esHGNXS(l3=7Fuv*R3+)A4K5i*`o1J3?AT;iJWz(i z*OA45^WEQTL6b3~hm+Ro^MQH_N z5j}GR#e{seL%fSX@)%cvR8t^<-9@7a!=n)GO2?AWscO{jSEMu= z{x&rE)F>x@gZ zQKdt)Q?{c5r-j{GMu-N9)DC%hV)z%3x=-;-E@yg;+AtPjHkr5zHa}sO#1DYM5h<}5 zoV>YoPjP_>{5n0^kG+(`gz{h4c*0NfV&>)_cEX-$hE>{vPLB0_OY%kMaDnh(GAv>Z ztW4Rc!9X%5oL11Rj7-!JZ9I%1+?XG?S2?@M)&>D#gnvih!-jednM*F3g@v; zp2;6v2LPZFbN|VdDBYNy(lRyrBj-hf$oJ;Csp`7)S z2sovZ(d~iS5Qb+;hy%%?A}mFW{wxxU5!*5wM#2I4PT405byFM2k2Mx{Nw7r-YhO2E z5sqL>E@^*Rg7sDA<&63(QKSA^PS3@G?BIkdA;u9H&1v=;nk{`{!(j&chTbX$n2?7T z$M*_j2$qEF`LGLT@sSpq#aas)A6~pMMP&+Vnudc3HOS($sDrW%0c{s<`q~>t@|G4X z51T7dfi3}9rXfra&WfNwQWciO6QeHCNPvpYY*&SfELKj~2}>BtOO?6~Uj#Fw3 z_&SCw4-MBBg(nRS0AA}zIwKNAIZ75lQS70r#3o1G1=J>hbTQMhtOF6H4y0EVpkmP5 zllyj3K;O^2odnJ2!A`LFA_gfcrjnK=4TEsI!vf=wcowZpKq&qp-SN*WqczC@g<@1R zWvW615Xuy!ojS}lX>2H$8{DxxcrqxyjW4Dg+9I>mjQ~xtdAGxCIn5bRM3ev-*+ac1 z^T~TGq!g=Z5?J-cp1+UPo#wL{>}2xVQlcSYipmBoM_tE?v)8 z+P9wK#dnTQW-ki?rhfMgBt-`^t2NM0HIsmx_RL30(k=6#ksX#Ax@M>vTK3k}H*nB2=RCkgT zmx?hF8$A&AlrQ1beoH_!cY0-XjyC6b4328AdgODuX>zF3*f&Yo?_y9CSDPFum2z2X zY(oK9aA_1$W*^YEwN&fYhiG4 z$+ilR)4?Dqgon#U2SrtqTW8q#=JG3Vz%Zj+#KTRS6&=6_5jq#$N$F}#*JjI&Y#Jps=2P>na45sIHY2b1lqKFGJ%%X_v z_r3P+WX9$t;>ZOY^`dAKZ|D}=D0LB{h!fSrOX_gGo#KESeTvDo{kQ9!mz+xb~QSk#12!H)SZ!kZM^78xVNa8~CIc!<2T`Vybr5QLC z^e~tHKlWj%Hc_>)Qfd(%w5&VUxu3&#!m=GB;P{7_@k)>Q;(E&nBFvrfoKbl~m9Seu znhBCn;#I1?)wEpK&0Snok9tn?8uK&GBk1RYH z3eE_Dr{0f-=bcIh5HZe10!btZWH&AvJb6k1S^;?$>Q%rZBc<2a*b9cit)TS}o@jME zEz0uO!=Rr$eb~Q{0VJ%N`eD3Q<=4_>hwTAeVrt(GNvo^dFN(0DUc6AqoTG(92~n6n zj6*j)!V*2g==m{Q#*_u_4spV?2@E_-f0p3;eC|o@)!T0pz+kkpFU)%IDT_1+W*lz> z;EWox6G@U5&=w4m)8Hv#;L<@*z?CCz(O6Ue(^Uoq099=MDW?M)tn~#XBr?R#?~*N| zmpC)ciK%t(adt)$Fad0{!l-z`H_SMF5AN7zKt=7I+g;Hq{DIG2|?QvM9rlOHI4nE^&#< zApMKZ&*xoZw{4dtdDmZSSYtu0^Gcz+& z%*@Qp3>hY zw%gn7Wavjlqry@%)mN|rhCqU?sY!Q#D1?G6-n+B3v6ryt)ZF_X&{3)1b*C!Y)$Mn4 z`EgpkZPMNCXZUtTFes{a^r0AeFacITPH(kv;$C%UOAo1!0~`%0;6l_~S85sxL&)r5 zS8=gyP`zO>2G*U|Wry{0QpsQxr1ON+y80wURJH9e*k(Eh5sYiBcA4^E}5X zW#$=C7>#rRfu0~m7hEB>0Xzg6GM(hR!vJH7;V$Nr!Zkqhbi+5B1tr!FY~}jlbe6^g zcvdD)J0t@-7)eN-mS}-t6TE;pI3Z#_ECp3~rwU2&ESaMr33YX;Gg&VPKgTF`=*Ko*s?kytY|AgW1A3Tg`>toz zFE@L6?&kl58?Jm39;yv$&l0jm+`ra}7AmhhZFXynS%{#R#VWB*~67tWZTmxc*ee_8Q_fgw_e% zjkK{(b%?Y02zYb#8M1ODbWI1Cxwns8y!+_aUitbyiF~wu6C(3VSDFXD)I zeeZjEa55{x+kpERbGfw33utArtC`d*%v9&zEY z?^^S}S#nAWbul6b!wp-IQ@e71LX*qg+G;fbWAYL@Hy2L38@1S+q>9MpC6Yv@`CQm# ztLmYwNzp0a4k%DtL~;fB9~@6svmWgI<9Llt4-Zr5Hg`u!wb zwf(K9vvB%+>n^7!kiXe?VH(09x4X(rxKOPiaOiYdm=0M!Y0hC^@XFiSrgS&;5q2CM zQcPL%0`}FpujD-yv}J*b?;uwho9}3qhw5LEpBiCz6il1;#C%CzOa1u)$)C@_t2d8tkAXlOsu*(gm(To=W%ql(Pi61L-J}ZTD0Y!m*Xyv zQhMgKqEfKN<8UeJ_e*c(;XBmMOh|ou!ABmL++0+?#F~oaw=7$MTF%WeM#3TX0BChl ze=?vKCT8Sx7Gz@zBOO?oHF>AzKIH|MWVQm9G849F_3C$GVXoCDqGW1ZZ7j_ zYCN<_kPtp>@tSZv$yk_YswmS|Q?AH=j5vogG^%WA(QtJ9K0hy2R`yjXQ1U;&c3|5$ zI?m0{mz0&sDk~>sX5yP70qDl(*NV%UC6(qAi4}pBSf003vf*N zi6LQAaA%c$*L&OQw&0XOx10WqLvaBt%DV}74p^e4Yt!+24s%8xY72*1e9gmAG53|% zuf)-RpaoGL;$y!Kzirn5qNGVWvX3rPcVAi24ff0{l-hAey!O@YbLUP&QeiLdICy-(vJ-lp0&yHuC>~qMsLicCFQT{3|q@tH^QcG zXr2NaE_PRr&0jzK=h1kG-+r0DxB5ZYl^?e+;(Z}#ML8F_ab1SiTfr@L3m0iQpKTY& zZnM^Id$&VIU)i?YGUtj3IPOO#Ba?{vwmAGp*Ik>&rW=7UO6w!WMJ@e_M**e{r_(MB zVC&9?;Q5PSqJ~sGq%HjHxiI&xB&LO<)8FOWpVf5Rb#0q6ex;t0TZa{1%7^|Nlh4|k zNw2!BtLQ@eTJGivcHoTrl5v0Px@A-97BhY@=+x;vWnW{raD_ezrGqftRs?ccKR@FS zO|^h7Khn(_I28_)@uP?A4_)l^!} zOx)t&0cI4rN%WNEOf8>medr-(^2MpKI-%4`;@j48#@t^k!Z?iwkmdL!USey{K3O+t zP@k|#aLIkEK69?0dsgN;Frb+GS-v4&@SFW{B;h3A>3hvcS1|kQjYoXwOYH_PPwt%J zg34w8NQ>#3fQLYffNSHrXr@sHoA_DVUShPE;|Q3vn$(%lJ|ITetLBfdP*};=d{iEy zDTJXyuZIJ4ZRp&)o*~lpg4dxFFhPTk-%9#NE2I-#HMsx$;ig5x=F{HwqNbIvD%Uii zmCuWJ=p<{^kq~TjBi%J#HRi7N3x$s%atpug=4alTYfp`U#Q`eB3Gj#&<*56PSB5%~zbL422@}>hK%ZwnOLR4hsmVnkWGITSxc7&$7 zwnzItb|QQDyWrXh)28J~J$Y_+R5@W4%0FB9mEc>t&-aRb>7}ML@?CPtK1rk(#6s(Q zfEMQihn4ZU`kNj%p}%-YS2GC>j^Z8V6YoEO%pC?KsB8r~iWC%yizh~Db!Cw*)OUGa zSDU_aKclS0h57*fZUT9M8IMvnc#4KZ8%#xLmUX(79dQh1cwfPia4maVtTW&RVj~#M(N%Wth-0j!V)? zGSz_NPZoszRAlL}rC&r4YUCdB(O=J|E19swaaNSawiUBxC69p&ak?>zx+grN@|}hl zw-a}XA%FKJlrAErJ`qO9cVpFi3iISm&0ppV_*!3&Uo-M})a`jHq^ogfS>&oo$VG-5N)u+d+M-#X`^oU9(SN}~nT2OR>RL*t$CJ-1^72Rc>%r=m z=&$cvfpJ39?2~K1i5!?YQU8S6U{_splB%gt;}J*GkiipwL&hBXtv2H>Pvd&(9DtPA zax~-jMax`?Yy!9{xqb#snB&1$_+m(~})WNdZ-MfOPn+6UfD(JcG#rz#z zUU`FeqW%yjOxHLCE^oxz=)Fo~99(elBB|D-g~<}-2k$qzx) z%YaTArFX%t$zId%K^ty)xAp%y<=s1a@6M=o?uI$O;=A}LY5eWgepqcLQU0#v+srKi zrQ1%!kwD&q(@p!D^;5e)zc}y0dn#U!XoWt^tmlaARxibF?W;k^Q?}=u45@g|O81vt z(=E}r{Mx(|wZDRahy)uCb9($$hk$nn-i01Ot60FNOI{!E6siiRaW&ti*Cn^c&y|_E zd?#isKAjtF?}kC;9Xv~Y;Yod<%xj@jsrdVFl`bh{goZ3_3rGH|Vqrd9KRM2BM z!f7W%5KxzQ%hXWzf*y#vU+eeNkkXewxXomP!%sY$e7|#Nd#Og5_+vYK_ay64%5^Qnb{nx;cZvVi-jQc|-KM25o0JdaNM>lqCY#d|bH$_5t z8ho>C%;mV^INQ6sxCAtc^*uKQataf_99Z!BUhfgtukgVO{QQjmKo0lo5+JTulsMZl z%_D}DQAA!NleT}9Q~xdqOV*bbLN%c5lG7KZN?@sh#)rKQm~A%mO5 z)5_o`7PW6{`<3$X+9zgA(U;ZA#<#u=egE$A_Vz~d+INEfWeMyM{L>G6q0qRc%*mOm z4$RLKv8d9?U5*C{O795z&!)cn?4k;@jeC+wkttEL}q8>aQxlCDwX*86E*kp}*` zThZ0}SsfLk;7`1SCqFFTFC>RLm7+jcQuKqP3+q6>JTIx$QVKpHESJB(LRu0M+7SxQ zn6ZG|7V3p?)1(b8zN`C>$vFOiUw?7Z4jlc18VIG8QJa2jtXX*vEV_7#ikJGjy2htS z{=3~*f30Z331^O60&lE%%CjD-B#KH`m}gMX|8n0dot{%*V94{Q@tlwNJ<*E|KIA7q z*7-!7EF;$_SW37kibRIyPdMgP?Et1Y8?PQw1bzk5qcEuA*PkmRxVGCl6_+eUl|98#?v{4IU*oeu+u3R!tv z;%B-0!hK{iTw>o?M|mLq3N>=xgKZi**J;41oMZUe5%C}_d3oiWZL>*~xF|fZ2l$5` zQ_804I6{!ZS%pk*{@D0MW(F!hA4YKN3@hNr9NGKuPeu6bfiTszN#vl{V={0yx5@0K zq(?pch{!?4@QK;gY)4E4jc-8yR>YcJpIs|g@hou_NTG6SQ@F=U#rFXqE(w| z?jYPP>0=e!iK--Rs9<tGET*uu{lbUp1S?@Z2qV|hA6y6Fhhp=Pw9G~IGdZLMcFbMei(9&1kdXeuyWDZ>Bb zmAbMuNIHFZKoos*%f5|QMA5UcH+ji^;BcOha9O|aP`M=kYCfrrR7B3Tg;W$cUtC7h z5AGT+=vLkYBXt6O<3#+kega|a{{(0&Tx!-Uy+9=Qg9ALN{12BQG<|yC)02e=)wi*; zyru>eP7lWLE2@ypj!x*kwKyzUM*3eueNIfKhW>U*mU33x-A z2c6j~=XtzTe4{Vt5Ovlk+CS@iW zO^d%jy|+Nr&^p+-6FtA%cmUjmm!DrQBBbhj>*nYCmK%Zdo99*1W&R&TIDsxkcv7j> z1m+Im+-BG^{Q(h^Gpw~(A5}ZMgk+&ZG4#Ri9+V-esVx<}VTUEu|1z+$;{d7#JX(Nu zx=1X5w!~c@nHA3k`B7c_!0Tj--l;7vG9vt-s(_JkmpKn61d3#t*O|#fFo#<5XdXpx z3rnl_h1O+JLwMAyxF}Ygh`-R%BcS?XvX^=)s{=z3R5IZ~%RcxM9T-Wj(+Wvdbr#?Y zG2dMEhP-Y)?Yuqdi+79d-}jYw?tbaYEA%=QTjn&vzNGE(i$nbwA&AJ0CmGUIHv{t% zD~c|I*mYqJe(gHgV_0drs-7Ma(R0{en$2v!-{b`9AN?L%FA(2~!H|O~a=WX8m;v5a z-@5&E&Cl+(VsPa=KckaxA)v_(DZQU)e;e|sj1(0v^19nhh81t}yPi+mEu$Hj(=zmBePXcG%*W>0ml-7~C>wo&|7F&*7PEw3}vfBDFfz%|_xaSJ9^@9XVtl z_btK?FaHqeQp=uhMif^jSWyYPmF*nX0m9Cr|^a+0GOrc5-*y1x_43TI7YW;C2A z;hv`mX5!2uzLv{!P~q3(Pe*I~l+#H_772kuT6vE4Ee5jlo}+aBwvx4B?#lQg6QnsI zxXQ;O92?eD2+=m|*~nm_=`Mcbn7di$&#*WjUChAkU%)N2zN70!B!wiSr5QU?Ho-=^ znyju=W+c&Kb<&1(h_Me_uIX+Ugd?>a|#x@L^PG;aTQdAXZl%Yn4+w2mn^KHxDdf~EPFhR;GW zDYK)@{`NPxS{h&8)Jm(XSvg!A4=7?wa}!+)*6x`!tP&V6g3tpVZNJKVEykdWgAIef z(MtD(5f#dkgWkQFXF5uO|*r$w^q2AY=z+=l9{v#1t8(@{Y$-`yB;Q4 z%JYUFnan;*(|>8eHylZ1%~lF4;WC_uNkokh`74(iz7cvgNfJPc1{2$&?2}dYC#JZa zNp(vI#N+$q>tdVRBf8&FSx)&N_;P{@TqqVXoVP%5P$o_7|=(?7eDeYmYOi9q zw~-GE8XobNWjA7(jI|sZg&$OFqWYSV7j+4r{+@d*pKN7~Rku9GaSYU@U4GdMbhH)e zAo++wS_Z~0=*u-_d^A=cb%f=$d##te%xJmAs!?$WjDo8PC?wL-c@Blps3yt}ia&-f z--JXcR0jYhY$!BYAK6`AU1{!wZ-t4as>25+Vr@7CL1Pu*is>bC!iP?_x=?2V*z#hz zkrVOU#+ew3y@!Q~J_jL{Btj2Bb>0A={_x}+MC?cDDdNo5N{-VI9=pww@P{Kpr7akT z)mXIEBI(_%Q~G$i2*z*O%&;qZJE2f_koO}l5T?foWRIq+T8PWeGwI|jk^PDJ#6oBT z9TB#it49|rlYt`G(qW)>9embEh~<#((sYeZx-mGMv55e9`&v@$Ff zE^KY>Ttr;ly4;s7;oPamnt;OoPuLq`oMFRC850&bESk(P3Q+_aGR}=ylRRNEY6h5d z&4j}Y;8jK@eTz?$1cEAl9c;=s=CGKtnld1kGD|{KM9Ohs_VgD$>10ayFnB@>SX3rV zdZGx~2)+_A!e5!FFL*Q{wv#t0bYnRhYEQNsaO@{5B1NVWKAG-#Y9ndXc-)-tLg+ku z@F)PKUw+1>U{{A}kJ|=BH%Gzv^ch8*=#^2%q7tNg`CN(6I@;WPMb>$Yj!&u<{lk3S z#a0p0)RHzDvBl!--_!;{v33BGHyEbUZz$QK$S{QIl=BAJ@2QGPFHm<{8CXLq%dIq{ zJ45(fRvjXz%KEwV$fm)qxXL~*(QCGY z@prmmN+uqWxKCDCSZlB=n6WPBM9{Ia(BqesVG<})PShDtrLi-l+86PONrWu-6tGZr zODas1O6=^B@}I*0c=X14P$sO(Z$`6ZrZ1?-6meE?9cvNcYomxDy=;^(38O|Vq1iVf z#>9+A#b<=KfRZ#?I4du4}W8P`&C` z87Q;FMK^DKs}5K~voKf~+Jp7)utJnp27LReEWb&+!zQ`y*I=c-vaodEhe{@z z{FIR8)TOa70DLncmL3kM%V@sjwgE`-vS zm6<3WY)vXq(!R{~eopN1l<@qhc_PC){O8ZGW%!eU5qto)(bMYX6)ZiIr2yQw8O7}= z6vWXuq@vq(Mi}Ud{KT#!rEx{Sud$bulei{kyThA>DOk*7&R7zEWx`d%_UbcZ1WEDk zZ4R}0H4!XXi}V*8-*K&-75a0-@vL@ZpU0t!>!kWphZ_I434x&gC8e`I-s-7^4q3=l^jrqL~guRE3N{ zh3YAkw@h&k1?r)H`$3@CwwC1a7y(JrInF`YdVl9=TDAFe7-@}N8yKuQ*=Tsk1=tnzEL8A&+=DV= zZDFy?sn80}Y3hN04~96MEN1Yq>PF-`hdyDGI3{7ybK8C$r_Tqc!(vt3d;~U{7LNh?-rOszxdb>i(Hcg30-dE@YqyyKo7jHtuuDE z&4~*{V{TaPVX8W(NxOrpt;((j83nzf@b~7?1vIMi9XhaC)>$KKkmJ)Zm}N0kELCf~ z%Rfb*e;jf&^g0%KWsbB%6bivlKMl-#-fzD6KWv4(diDGpdp#Ula<6|^m3V z5oK@CZ?8F2ZT#5*ftz*hEiDm@EcLx1o$dW&tnYp9FJov7c|Ys>*VVWy8*^YLCv~|1 z73>)uu`!#v|AhO$!uX-T+UQ%m>2Lh&MAyH&5e5bD&!4vWJqdm6Y_YXX=3P-_V&q+< zj5HLAcNm@%jMVs=I{>H8>3w}M5=5`FD9AI<-k)mnR%GM;?F5I*g*-@2nhu5d42fT* z4!Y1r)_>^7;ER9ba}}CP8(3U6b}o(3l??9Iqi9$fJF^>a`&?&-kLs{o{FQ%m&Or|1Z*pQt>L*pG;v-48KQ26|e&wMguGY-GCt$fj3HlHv@6S;rL0>`^YUsny$1d z_@=aaGrX?D-qjtpgssztNh{BdiOGLKM)eI@G5ITW&*E(hON%-KETs|fBNovuL~``| zy4;C2GzB&#WKP^j<8l{(K4!%i7m#_4X&-_0zjz<~#srWqH*un#9W}8@3qa!(zRYd5 zmg9JW9<_-v(R;k=H~sK;w_$*dK>D*hKlXU{b`YzZz=k+M!rqIUcc}JfH-VM0gcW8Y z9ywn@ENu2uHYau*J~MfNO0bj3*r`o)S`tWka%>6BKzjXCjC$YB*w^fT6j^^*C@83E!b4d8q<8v8CsIb3WIcm*Ui>e>eKKGl}J9Z)( zR^U_6bgomWPEi@u(N$YF>M@|s#i)ypd9&hC-KKSB{7|DqD}7y5Q6V;HT0W`Nv~;+& zV4C@vOt%`^VI3LbE+?!FL?(My&~GqfGGGQIUM&xg50JGl8_5N4qQ3kjI#EaP1wbMu zT#9{WdbimLUTp=OeU&fQW`8yRvkKPUWD7A+0)*xga=u>y-qg!7PHl@P_4J#FA)!0p zl|Kxh{0|V`3)j<>r(d+8_#mMcajt(W9I~)vpCpWnCT?=fzw~Tg64ZF#4DEe5K9@Uh zhE{7v#YHzyXr1X)>Qo|J@Bx04iQ}az%7wXr^hb;d&#=lPzGSFU=s!J;IK3CC*RbI) zIInuPY6r$o$EWyCdauOTToa1s%GCaJ5v0r2Eit6aC85nFIWeRsGLfU9E15)u{Ew4) zOWaod&n-9d_>_dDLZT0n)qBUMPBb7&Ha2MC%3+^EPhhejXk%w2cQa=zTVV#a& zjeTG-p}zX{!|dt3=khgh>*=ZU>dJd(XC-oBdNtTcz`H;TBfW~eX2@ojFeyWYxGAku ze!Qo3FXk|yw5r>~kF9(7e|U&h_9Q0FxrSG?iDh> z29aws-`(IYn4(jsE;e!7NEe7kDb|Jt?b1P2PIO}~H}M`qCVstI3jTndem~W~*Pye1 zp9@goyl=WY{#^S2Wp)PBy^P@3fPRFaEhO#(!%l<(d6&-xN%!dlbX5gO)n*y_#FRB~-H-qV5%>xtd6SZ%%PRf#)>K=E6=K3F!PPM@uml$| z6A^Axn%irjGBAzbm{m*9ga0+bO82eFFPz>xeRQqv_|gipQ>ZwEIllW9;=@>92Mi*2 zEveG>o{ckfM(ke3^sA$~Hj>_r#HL5Zrcb0*0iqI=rlkX)96$$AkS0dh^E;{~vpTuW z=TFHBqwTW5U!#jm&kI?rHv`UB7i#kbAJGL=#~MXd)Ed?lt`Ca5MevFe$wN+Ei1~Kr zxp5n88O5A7V~GV?A_kn{B3F>%8}LxYd~!&C=^RIWS7Fbfxq~k%x$*xO`~f&AN^TALU*hT>()<=e3Q(PtHqC-ws)n-C&B`r2f2oyr+r;Ue%R;41?#+{YI~ zU~~2DEoS;Onxp1{0{<-XR(;9#iF;O-#E`B@j{vrg9kF5w&Yq04Bgt$UetxiBeW}#0 zdSWrp+gyBA5X2-fpAo`8dmGZesI_!m)rn^hLlxzXN5+sBNDtm2 znhky~e!U$)n;%fEXU<8=DE>eMwIT0gguZQEp>G(_gIDI>l`$WiG&AfwWhuN8#B>f* z*{U0LNwsMtZ4tV-xSzQc_fq7P(#1$l9ulDS>HbK_SZxXgM_o zc@`J8>T`@Gwy=_uh!L4sQA%6g#QAEcX_9mF`$G;Oh+Q!$BY(I#4V?~Y=j~`C^lfN^ z1M-CpdIkmtcL)z0WBrZEssYwcMH_`a20Xmf5?XU_qNube(%Y4Ober385mo)`zV6_q z1`joU?3dqauuw~wNO(pD$N-&Rdb{v1jl{mjpWhfdA!)Hatz`T#Nk`B zyu4RErro)NdbK44rm3y;)pqPed@`jQInnxQ{)4My`XhIp&U(P%EA3#vt#nNm|7lq# z2Dul-XI{%$qVlEE4x3mo!ug7YikuQh!|MnUFi+65LLclEe1BY_W#UW}u|inhaDcEi zjNVJ!)JhMr}1-Sp?u*8<8nTWcn! zRv${g0^v6cAA-?d#{4OUrnHY`j)&}x3Sr6X1S6EwwayLF2ofDVpPQ>Y;~MaID%!FL zRhe3J^xNeF3)kqW>L2K>aEuD~n<8)4Cs~!?gG^Ld>t8UH6=JA}xgH|}Q7p-IG1;B> zDUnF}Q>qA?B-Zge?mn-3DWQK~cIfJ!x18SZv#g%>-TH!7PXf8p4{OOAd`BLRenVxQ zoH=@P(}6FCjCJwH%`YA=oF%*7t^T#_b1{pvw$-2wyMH{0IG~{n z9O{{4pZ$kTkwxrp)KGso{XORJVauv#UG&_nVR_|AU7+S6hW+eZKSRY$!x=jLhL+@n z7Q-$5?4L`w+ssxEQ^>!lgD#OVxf-hU0V%X8G%@%cGHigj06&O^Rj9>@+G$B^XuCCzuc&4S+u;fFXkGXT z1KZXweryXHd651o;|K3Gc6uw~|2cbP?EXoc(EQu0ln1=$0?M)r&7!??n5L`fbOE*d z#&{nL@B~gyeSB;lv~34}+yzai;m`bGM%spdz**2n?KvezAxTNq7 z^C3T`vK33ynWm&&dgj2B!jnQso15Pzvfv_4Rqo~PcFfBB`pUOxNR7cby5YGavzH$n z#w7)JYN86TnugyuncX?cMJ*?>Qv}%2i2frd@?PIr$whtrT=n?Wdi0_J1X=T^lm1xS z4`|$-Spb-`);9x=9=c+ozUHYr1R7p5rppURBxsS4u>~{-=0lG z0uTMgoZZzNuT`MLi-OzpUSFr>DSgi*#fx;;J7B-F&ol%;t1aSql;}0e)>$POjo?2Z zSeJZQs4VW)RgM^IrXa@!=qM~ol~sOK`pDpA#Xp(-ZStq;ML}Q zdh7wPLB>v|HJKMAcwxYtnso#%nTQQyvv3Ga3{FOy`lOxg_RB(P3Icduwd}ueAH@)o zc4G^1{KmxfaL-GjvXi1FCx;U~z2rJFiLs55G-KYRMcTP_bcFS<&GaIRLyL}H+K2xy zm#!6c4JlLwnewQJGXz&bH18U|Tt?>8s4PdwTqxN2&aG=D8qI7P9)q48L2*1zMG!O@ zoICt^>G&QLK@`E-jPYx%+w}2tXHTa#zndjxFG zC_sC+?D7u?TBzyGOI&W~6@jVdI5w5;(jN2vQV5k>yRp!nKz4PyzrhQraQMUPC4xp# zA&+zan)W^@l)liUe=0y!6Iwq`H2am%&@r+0bf7T@gIdrCJ_84%QPP|2H9AG38;K{u+DEfCRu{?CW_}|?q%!>tHBFVzrCx4d zf`>2_y!`T z$v5*lQ1#Jdh~PQ!dk9}`+`fkEz?pZ>(QErbI_>W*wGz&+q0In9vKUJce9n9I%T4se zgOzEwIbg%8V}s2-{z)8PU1Y8Ir!oGO{%cCjR@2{Uie6!nXnVj}p8SU&*v|OvUiC&j z&$X}Ehr9lfGpEIlhV5UIpI^6mFS}lQOuIr>Q_d*cue}O5ioC*t8J-@Y4{RzUhspDH z^~pzstHO%kAzv>{@H%Wj6LQ$HtUsw*S=xF)-_3nRIC=hs-wHQ4a8;dHwKzqWh_^}6-=}fl}kR$o0#3IMvh|{EM7!-vt~TBCXT4_K^k2_?JjHNg?-6U@SbN(hV449S3hBOfIc$tLNTSvybiGZ~8#eQ7 zWme5C%f0Z)Nq!x>Da7@{g>3o{p|iXh!37EY#^JtC2TlZOO_jNyNJm|%)-geORe%`Q zgS_=o^Ti19@8@ojnt%c~f#;KlJb_!!nINW9IrHkuKiVD)MYnADg>yd`#cD$g>M=e% z7<05dA6aY|+Pf~YhG+EdgQ~rALi_Z*xwnr*cfy3&xkPkW@d9vHs4Ns5SF+wumphCc zwN$z?(q&WyO3Fzz7m_MZyAkAwx(&5Dt*m|0V&Ij(0A( zPR)8!0N*E(^&8@KB+lNw!U_SapgpaSQl|kO#!d^#Qg4(|RM8zSdf#>>bP>VfNb~!% zXomg5Z^$WR6~a+O6E3PgOi~1vH@{vhHZ~6RGon4IgpbJUWZ2 zT)BaLOugIf#1T%*BS((^{18USF2EKmSr2{QiE!p8h6M^`x0-$ z)O0yTSf_Oyk{TaDtsiefc6DCl$lAqya7+la=_WL`vjSRDlbm$1=e@ktY_spb%@hFt z{e#Mk5@i1`#Swg5eBA#};s`#$|3(}kz{~f4WiAL!AszdVIHGq*A{;HQ*pKJE%UUta z0x5Zy0#}CE$BKCH#7&WWs>|BvNrfj`T&34iOfvn+sr}LIPrZGYy*gs{Eug%!vva%V zv_9uMg~|EL492!M)6KtEH^f4z|J5g&dqM~5eu6yi@D0V%>x3%@{4)APf< z#gXv@7+i#oRo^x6$aj(#$@=6i&U;cZ0|m_we&5J&V)5Z#lG<#{7&ni|G8;_wYR<82!j%d)DOw?S-WSXI3$&}R0SRDD?gBn;8o#aFVJ?rx zR&Ke+0`I*@QsJ|@&2U@9z1Bo;L_5Z}A_ z)#2O@naa-W52su_fNXR;5ph2j@ut2x)dufVY zjQqW*;vi+YgfR9u#Fg(mgit-0bP(p-tg)d@?cA(L+>}iHZK9c#*D;LrQWzSg-5g5i zX(=V0XRM4EZ;rH!MmE^xow9GQ4Q+?0n0)VuAC=3+iV}!X2OE3@r?!l2DTZ3u-~5w+ zdVd>w0R~YggpXD|j-Pdu6A@~yu+&zr>7t5?;Tf+qM-1%xV+t__ComGLvwb%V>ie&a zFp^jk-T9%gA9TV{A%Eu*w$b$EKjP;&kxtMJ1YDka~?;; z^)!VS36feH0tV`Z$>`*MM9h(;A4ru{5v&o=HPlC8xbO@)pB^PqX<-tMzbf!soJ#q8 z!-F~Xcflc^Ij(OFMuT4bzEII5D5gbdXYVrxI)L*_cN`R3ba)!yZ<;S(x-UHN(gm?RrN85}>6^3R>wM4z|8ge^Z6oaJUH$sUTJRFbtY#FA0O%|sGYQk7Vt zX-J?jz#UGaFu;>ho^NACDM{!~G87At7yrQ-J22i*i#m8@l#DO10%&RJ$SggaZY5;{ zF0(39Jw!19u>pOQVX#e>>dMfHa+!Ic)Y@aZa9V~*RcOWZ9#$mU=OnYlXfL)ts}|fi z|2!chYI#F|;sgj;nO)IPD%m7G(9jxyB&h2)e0Dm^+b;({xx8z?u z8K*O>j7UI8+y1hPjf;Z~o1>c!QbB+%jYR}NG3OT=qERCk7aoVjB3EX$fcvAe51^}> z9U@_}`ejCQq*L1O*8qE({{5@xndV@2zeI@9RLzJ>Fo?>uJv*kFG`Z;DiY05N!)dx%u-uD zN_0>d^5{J9nhKsKnp6*pZ^~|0B`wxl0{@g~{u@kqaWNJR*_ppOZbToY68*lpWQL=# zQ>sz&=aiRd*lA=`|8S&P|KvwaJb@4ZsEkBT4{g9G|=Sjii?tR%qJ-(+9Oz}RM#l!u+nQPXF*KF;c3?&D*RZ5 z`-D~BDXnF6#wo99Q)y*?GK7O9IQ_I_)1&qjDVgZ!J}qePv3^FPwH=LQ&@IMK zbLNsE59pxO2L1Z667FE5tsD)mg}P>m9#@N|47XuVK9|=ZmBF%9LpSkPhX$vyTq)uS zp#h-eCsCo*o50wdm4!dp&JE?in}iFFA2g~|{u%BgB%=nCdXvded4kMhWt#u=V%p-K z>Cm#pTBdGb%MNCI6*=voY`64WW60^=cgPtbS3oA2-yY{DFa1t?=t@Zv%dLuu=v&(Y zC0(M06G)ZU$&9zrTP(iZ;mC^U?hdq4%S(Xd zE__boOfLK^T?0<>_`6C1D;p*ET|jL%UxYOVw4^NKbc_14qV}-OVBg!Yx_W;~1i~34(TWeHl4N>fm)i#d6oRsp3yKLS~W$Wp^rzA^#mEd|4$P+UF=D_>O+f10muCA}7fXv@bE$ys@!! z)OR5J7g%HRPS3y znnXISgx*f2?no7FYReZSBw8+UiD3uu~{ZvW8Jk`_Xe)01vfk{Sz;>i$jE3UvFM?rD8`Pf2tL zbaL+6BP;~ARc@z_qq1siiqI0VNJ-CLNKQe|zD>z)Y%<@DfkfEMs+7*=*Thf?;#AWK zaQ@Zf>(g+&!BV%$sKnA0<8fiHak6*h-Eh3iPZ-aUpK!dL4d18d3z_CLt6;uW3_kU@Hc)I797o!;YW8qzXr+*jVk^0 zFXD2lnv3%(TyA^g^C>n_gh(&X3rAVQeeMo3GxKZY0qRw+zEu^n5(}BNT?x^g_zzc70d#Q8dx2rA@e zw=HwHlnq9hl}?q%MFe!T^ZR%}2|pUQ2=}%7-`!Q!=uzoZXx4CKYg1p%<<*S7?y5;V ztBKSqYB;cXB(D#L=2ZCzEEVJ*{!uGZbgR3>&*HefDeV;2yV$pm*Qf=2%WxrTrJRXq zEZtwxiTlYls-U9+=+f1#>6!$_RB4juFmGlHoy~0IGI!A=zCV?T^gY>5n#v>;*JlcB z=AS1df2!MC=yRBgl3`exVVKTIyKXuv+c(31nsRG^=8GG-Gb;L3iF!%^_!hurW%LXjE9FuzWNJE$U6Bl*J8fnPTt$EB

    S=WM1jKfPRU*Hn>vSSvU`a} z&(jA!=IPQLpJUbPqTu=Z&NY_OV(c4q^;uL3S!Uh_cDTYKn6Me9?`GCXy`;igzsKcC zhB+W}0*W)O6>#dhH1nL3fqrutnt5&kB=?93txn8so-iSrtPgUmp&G21bS2uVNqm)D zJ^p=?W-m`zTE~P}FSp5OR{O^o1n*222H62z_GB}}7YkKRMGmc%RZ9APcQH;)7g}kZ z9W6n^l!n2jrTL`u+^uumt;@{~G2EllSutUfO{L_uRa{J9VV@qj3Y?kfl%I?8AX7jTh7~8u^ zYBu+)Kg~jTGuXaz(VbyE^hVxThtV30Ftt36#C7S#34g{vT8ZGcV*jP;rWUuRQ510qKEIjF86oZ0{yMCjDUNp!iy(y_nd%N;N`*kxe@J^RLJsS*X5Ib6=jvbf*YY|I zA>xu<3-0NmvNmnrv{!OH)O;a9U=!XK`s*JifahHo0gpQ|X$F11E3dvDI#F@YX$Bi7 zqEp1rH%?tft)*Lcw_})(g$rG!LkMtbdP#z`-&*wSBgzLT%flDlrK4O|xKgwV^?|Q9 z#Z|z(4JIx6yxbfnjiCLUL~8^Y-_DL zLU07+JS_{}9@W_f0E{9rTh7R7Q-1ciqcLoi&FYHC2Wtf%8jV&hB(;-gUtdoVKyt3u zGC6bBx_a<>f4Lk!{Hj7^3%-oTj_mWs;!1^KL)@wnV94$708-jWXsrm{k??Ouq_uMf z5YXgsJu~HL3|@c7s|Dfqz+YM`oHpy}1stZv-1|z3ZLG9wb%Ui9z|unZx=ApZD0yHt zltNJea8aS~M@#N1_EE7;a_PQO@ALkK*Sl>=SGXcufo7FXLc&u5PbK_=cTuN7!1KlZ z%yU9pwU!u<*4^sCrmxRMTw0OBjTtLfZ7~Zq(7h-g?cMGE1+DM-F2d*HJE6lWMX3M% zcGvswn!TM5KSW?5M#_FQsr$WN?@iVPJUVRlDyNKwh|=K4C%g;1?m7xW-{PGtKT0CO zl!XNg7n^{{h^k0z>Yo~5Pq>i0rp2FVBNt@Es{QqT952CC3tt(6qTs4n`T>3j8`c4< z|Az1i4hsUEyw5D;Jvu<qemlNW;7GfPXsK4becy;W9}X-=ZHyIR(5uz ze7wI~E>X!RU|$?vZ3_wMcbARE-rrhYRFkdQV#L1Zkzja3VanipYPKP`N$`l+DpXFnmm zK&bAbHZ8|>0wth9^1I<_3qDJ&NjTUTgpQe|=JIv=i7;fL?Yc&I)({i~TZP7{-Us44C~2-Ih`gCyH2`vJH#y#F86Y3HM z+dbZTwn1mahqO+cguu^JONN>5{c2`WkOXRt5%NPu}xxk~m zPL)Oh%lNZ}7q*`A#ns3v>dmCe&`{fr(!~d6AK1rd(`NlG@dxL{S_$x#B*S}$ut1fv zZhb;RCl6QI=UKqLh?uH#^Oo0EpkFS*6FE{dK%}XE`_>Dh>?c&aQRU5!&ZhU~m27s^ z;qI>R0*Z{yI%qy&(KX`Wv?yaQp6A5A?48WKbMnjE;noO=Lu8y$IcL#XK-s@T+vXd| z>c&b~Jcr$80LNP{RvgzCejRix%hlZOUX1e&Ke3*!`}+NcJ5;Jr66Y-U;~X%!RO6d# z5E^AM7BU|oz3XZ#hL7V70Jn|sMbFs&+e zRI#|jF=AQ(f@!MR!4d=yGYY|j)Bf@l~M)P zG6mLy?cMF>UfQq5-$StX={R%@5_pE4OLabNwOTn`^!`$=w#}SoXy_+nvEum!hL^xm z+lcWR=24MOvLGZA#Ix5@SMO4SZ3aeOGl4dFV8{mW&ysM>O>)1;`{3EAb)(co`C{{` z7o&rczFkADCATB12iBT(w(cy93xfi^L?eKhURrGc+g&m(AY1(6G?YSN8dl$nq zfd9ak+Fxa_rcKyd2|*^c2*ro_3{Nys%;>DK&|$2I!}?M zLA(tK$k?;E>AYI7Wk(MPe zG^bwQ81_w&P7DtGS+gU^In)@4OU?_%;1}>kNZRBrY&xZWD?{6#AYDlyRKqQ4o$&gR zZJcOs_Nc#Y2JraVTE8-EJjdu7hk1uR-#XZ5$w;ZS^~T$OjuhV2I5YS0BU$VXL!+0uyQOLr(`Gg{EB@mG^o>8!F%2?qv82wy>twH8s!>8 zjL$1>?e_{z6;JFZhYn^eTfJGQ6X7i@96?CR{<8EYd>exodPxnCQvq(cqe05cg4!f6j)*a3pt< zgh*JD^7}>91&ebO$}!gYMXPtwJkjUdS;Lcdz1982Sprawx)CBkuSo1|G2QeD;Q9cVO=JU&_j>hk2 z?NjdUAmFtK6z`!U!!U2L7_Z?PQI&AN;m^_JrQX9_DlRVaK-`>66fW2@W3Ep_K~&=) zIUj=ICcN=WC9i3r;wja6Hr|~zS(#17{VjS;x#pojwV_}L6Xh=6yJ9+i{&2q3oz>}A z(#?!z1UZiyL$}1dls~w`=eg|Mvlg{hVo=@FowIU0=mo4tiHqFl6LRXT*9MrsiM5Pe z^*yo~0CTX7&>KL)1Rz#`iR636eZE5koSpT*A)*JTCNy zr0{q3i(!nqFAm5P2|(R%3hKq;znv zFsFUx84qzuRjuesBSa*Y#?-96jWdrxJ`?!R7)<>uo1Z!N}hu>BW{v78*NT>tr`x?Yvp zsGBd^u81x42ZG=W{i?nww$Q|~?#MBRRJKo#6Bx3|My_zDqHjKx$7_D3oY@=}OG9Ya znYjSH9`A+9^NrqCfP>3w+v0O?+)O|MlMd?`6M)>(jiI8i5>WG0)!Jf-%-W3|(g0Gy zo3cYL^jw;*+@B|d6$C)5{R zP0o9!@IxA2@WvQ*Mk9-5XHDu)bYWjJQ08`Z%s1HumV!mh=RO~-HIA4ze`F$~Z#8j* zVQ4iSh`eKpa5oJyIp5WK=-}gMgsIG zvNI*`KBY{siMlgo>5BGd(!-AMc)z&R&Qw$dbJb`0yciM9ONuaYBA9^c@jQm~UhDnf zM|>MjM5KH_IxjgS^mk(?(Aw#8$9`}CBL)h#HCFi2YBe4PzTqPZd3{a~+d>fLY_OzZ)-2d?aIhst(8dX+cxcAx_GdBZ zS9ZK+X3jBR0(1SG=Ka$0*a?iFTEgSDAaiMjP|L{gERvBzlq{{N&-o24 zMjx_awnsbpkal_NdtzB#(iD>x^Cd_Jd?olH<`N11shvf$ses_;+J9FDUU*%rI`#Ge%G^8Kb`*Q!iHc= zt7?0Os*#n}iQ0zvax2>()Dk90=q&;b4M+nPp&0}MUgQf46{_68V`GVqG|4zW@#fGU zQ%#6L83!1~_BLE(e{!CClXSPh_K+hCFZX*e`(X#^ zaM!%*)LFl(S;K?Get-8SlPZfg2VY{%$zV_r$mqhkTN8t0q-7EDo*9d^=y2(40DTdX+jxTsTUv-N^x#QPT*q(3;*!Pos`P!%_LY47~Qs#gY z?Kh|LX0hg|$kxpqY9q?3rs^ZC(7Uy5q$Rq7LDxtVmT6cC&jrF z>2RE$6|}jtbR2ntg_AQke%GF+~7h=2N*$4^y~7hKM0mz>PH;m z7roe&M-IJ1Cp^E^(Cx@^>!HpT!*{Xkn-Y-gn|4LoB-zpLNVQhP;w-x&=Xc=Io7@Jd z5ka3)gid)DT##XycPHBXa11tLHyuGDidRh;Lh4DVM_Tl(K1+ghDcx;BtQDdky-B8c-URLpl8^GpEu1cF z2kg^eq6;|B(9h_zB;krZQUO1tJ{QH1{q!Qf3;Oa=<_N7mATHSMkiGd3&5<-N7$prc z?pqC0+_yp-RV&00&99-wvnh6Sn6L@7p~dOsrF6)jk;&-brQs3Nvkl|6J|ZJ*WnSRV$S}m&%c)pI zG%n8QlCAKB^7Vr$J{7A*xZg3DJ+(`S{!6$4*+|-DAbXXP2sRWyHQ=5tQZ#4`%pId8V8J5G@ zYr#Xf7Ca!@zZqLs5zwW!;+H~nSXRyH!?!H3h7GMCgwT4Dg1rTnT z^1?s?w!^|d`b0W9H7CcRHO+Jp<+gW8%ZVL`%OdjQh<}~!`1mb&X5rCQly=jB_AVN0VSO37{&@*I;6NdF~MjG5^SsZ`&l)`K!sPC{wBU2a*LlVt5ds+ z>o*ji$wb>8tM9hHh2)g0iG~l|ENR$tRd2t@eHYWd#9ZnbFf$Fv`1Ulz8{C0@k+X(X zr(tic_Uh||COmsvq4%Djlt>vO!mIP7i)(BUqF+-$I>f_2Vg>QY5UQB&OgG#>$^H%h z7RMtKY6|><-ewW%%S{UI%Lw>!>31pKTQ~8dd`oL|YXmYtXl{xEQ!o;X1U;7}q5=~J zXILpVLZ-NC%q0xO(dj>WlXBkEMN*b~gV1Ld6CYgC_(+z?fe8o5UPiX{FGD(8C@8aZ zLUC5-#iBpjvP*;}gGVNvWkrq*f;gC3hZW#7LVt;d^VfI1nNQ~$#bAlZy9Prcr8>e1 zBq2}5`uI*0kB4CbWpcW_cLO;rz7@qn05U%^4W;2@(@^6=D;Up{QetD;EfGD>K1r+MzQBehVm`^DbB6V| z*&Ij_k|_l3C!2t$i9s#!tikH>mtkFCI!%Eo9)U*=cEq$FqVcYQsiyrMTnKlCia$fu zM0#o9V2)Vx4J3p=k;jY;qd-=y8zU@ z*y#3qdfnU*67d6FzYX%RyuS_JBq+T)8zy~Lv z445h1-fh2~xs|rFeVTC2IGju{|4`kaaCwjXgdR(gUiabl9)Ad(G6WD4LHLtNSj4B) zW^Y;2R;pb{VK-cT#epwodnfJ}K$7(^P zI}n0+pI2?c-u-D%wdb{@a>MaVB<463D!XpJ2KKeM9Q6Pt@QO?7E1 z*{0VFB2VXr(Id_2n%ACZdS@%6cEdfRVe>XR!a|Vqw?oG84mh*z$~OO|yr1?ueG3zL z)$2~C(-NLHAHgOpd6s&fYF~H1I`9pYrFNcI`UzFvEd}({?w3{w3T{0gq@i7G+CQtz zXHj22U>I09E0_|E{^Yl(u3BI3diyi*cJF4yQ-_H5)3w;MYFQ*>yWp4Gy>W}8KI{ln z)x(hY!zxl+TK}d#ZyWNB;($SVds}QW&$iQKo^VI3&l2%l{78kFuFY4)+QRo&dVhqC zgAVo3u4p$0ET>;WKwYV!b@go5((T&9HND~Gh_0?^cMmKYrs|F=Tn|mBuQ}^_!+LJ} za&D+DSYDbrUSD&7{|sRE!17qgpjp7H_1f3-+Sk5uUFWzsZpxmwXus1wM{E|PpAC3E zpYBRKxF5B)UpXB7w78UEud_ACL1g26R^7e9_qZBS*RMyG)+FvuRJ(?Ct>@_JkDdD= z>;U)3?Ez~+v1U%ZB#a)rQm5R!pfd~~+ghi5Pks5*C>9r^T+Y9W!i*@3XIO6h?unR! zU8z-WT@b&HTc&?yRPak23rU?xtx0)Z90iGsX}(ce(=NLUoTOQKSX>=xfl;kZc~D#d zsim7fYx{B@Jy&o52p8}ryYJ}bhUlGggF(_WMYayZ>aIdF>Xtr9ze?X@$h$9F;$K(K ztjA#H|0uF$VsZ5kAw82?gYtqn7t#XLe7!PIoT+Z2lvmI#lqTWw7Cl#VKvmWg13`&m zD~eJurMT?dt(tS9!U@P9^`Gs@3_HfQ)+)CuNYyk`CCud?NS5(@f>$ElqN5ay3N1^z zl*GvW{7ZEl%ghg-KjJfzI-^>P^0c@c5*Ooqv+`tBBOR&|(bhPHpkF9W;w2_VuH*nv z){`7TiR!3=QYb}tyqZP)K-sDwI;;}AQoG!~AUrG++uBQ=dw8pYLeML;Ea4IwBUgMN zS=JLDL5XtfA2+EidJMY!S5H#L6B0p*Y)g((FfNql+ojsKf7CH$>Iw4y+OP+aMYXpX znQj&!jgkJ*K$V{7FjAfOkvhxt#$BdB&$;kdz@o?H|DaTxmKqk||BI&4?Eeo6`A5@g zX-_`g@TuvP8y8fDSz}iM%8d$2!*ujh6r4Pd;gyKDjwl3!Ldy~_DV^5?PJE94%cMJ8 zEo(U}&NM3js!!0D-sS(`yuWrgeY$#2+4f%LXW&*X%9RDGT6wc8D3u){aP=IQ&@9|G zdt*i2@Lf^<4@V!v#ACK6#{X>S$$8UveB(19Q!4z=oV%{HjUH5$@w|ptA^{uL5ey5Z zNxJ03$dw&X{d-ekHcR!tZsz}e=n=YQ+l3Fm*M z^2fu?`hVCoT#ct3b@Jo+gLVlx9{&=OT~Xbwer&Fc3G<0vH28~HU`z1q>S`BoU;e(F zrArs-1^2z6PxP8kg@{9Fp*pv=8iSHuO%)Fv53enDtUA8csg!+=yC3>zfQ(61xF|a} zKV)1*jo^5xqVG3`9c$t7#dhi3`4IuH?bx5!Lr{5w2-F(al$p4@a_r+1$+lnRsTbAF zGFLF_OXY$@?VNKEc$4J{YXxy#uH7NN@42zs^mL=y+b~>k1sY4x5}a5IH(})uSuEn8 z{3N=RPT2jm`Oxfs zXmT<%cxNa~QpF1dDN*qnMcAKa(4lAacpt2~#?WioTiOV>7)dj-s!(7PnW}(1FIM#i+8w27%zduJDQ6d~AMA38m=Y~U40&W{nRh;v3Rq1972A(Vwa9kYHzjd5>x$Sb0W6raU_&UYqS~$ zTym>AhBn_3-9e)RteJX}^uGxyDU^6`W zfszv#lDfPyj^FRd_?@~<2c=%!Q8@(ZBXSa|t_I_5Rhu=sEE5%;v~^s$EM<#4kvpkX zf#)uAw$33k6#@`Omdo7{DlxK}+T4st6OFW7Op+2=2|_s(QPZ$L($Eky3X&RuB7O{` zf{Xo8(z?cdfJDn+E|5qT($*BW+>45fzsM&yhppNZF2W^!GS-nPd=1fD=?AvT7^MQ|2{FkWQpJ!c7G3*geMOh(+&wPfw z%JGEC;L7SrX-LbdzI5l#3A53CfVY-2j<@Jm!nE6sHq!19gyDJ+AWK5{#Vuqa-0g1` zs+uL~Az0-9?au+;oocOIx>H{TJ%;%o2YQ_ti(LoX??(BHtelXhr)4Z3#{OKiGv?0q zUFl+@jR!k1$5>F$M#>&OCekWX=Asr`Nr}TjlF0ZUiDs_#B3U~wDx92uXuSy5X}zvZ z)(ufi%SE{xOSyL?%@B{Ba)kH=<-?yy*dCgT3N4l^|0`%W-3+&aN*kL$ac!(|HfUGA zZMz^29zi@9LBcEyngjMhxc4MuKQeW8founzCiRh-CZ;TDIYD-vBMXxQH0u{Q00m3R zWny|I8nPBEmlL5!!B;eC+73fo7``M5i511enub^?5HyZCK-({={GBvW2ZIW}cyV^a zm$GF_3;LOSEO2{^D{+YGTi{Z;&3t4OUsd>(^dd7|lO8dSdphcGE+x#ezQSmWE&#AUX=H&4|7hI=z z4Y{%ofeOmc94KPcWXTawVyHHI>x={xWI=k$R2#ygj^P932bA;%F>Xe7_uhdvo1^-rfI211FoGO$D8j}C>h1ah1VRB*X!HjuYWg@w8Fo3|HYNWw#rw(-P%$^T+O z45o}Pux3^pau-sP5&qhdx^e2^&z6a%du2yv<9RfUc!w2ldd7Xjkuf@^c*d_8tVFnedW2Jdmq|`6h zkg}BfUJzpBb9mAtx{`oQ{o*Cjp)?$P4gSKwbmeca1ot0hkFC6u^r5t=z4DhVwOSy@ z8Y69ADTKs;GwJ$8MuD*K)+j{iq{=pwXOXwialCJ1g~%@~WpZ^%RCC*R7**5^l1hog zt1{kkx-ojQHl{RBqIF3buTr%X-&ZEP{_w~c<>*s<`S$6Es}b{@eEqZi;e*xE7)xPb zi`b$P5AGI;AvU`L<0sJ!a|$UEBRKcqY6EO+L^Ne=Y<(yKh&fs<{BKy=WS+RT5a}{b zszY|z7X9R=RA$(Ve)Tv%zP(F`@BY>zdO7>Exo@erNvH271^qDPo<(%O@?!(I_r}t7 zFno_aj+rB`bJl+Xxg4BDr~H>=cBYES=3TM3f-yf7e07{SXQ?9JxpHMrdhEE*Qbn1C zDjl{dNGV0~0=606zu8~w%@BG&w<23tGbErF8@EKO<9dnUyCavBsU6u`xbHBMY--UccY#PEUsPSYd zI(zNQI@oSjm)&jlPZsQ3b(u6Trq0cc?3XT5U2fca*kT^1GnIH^&feVHxaur!E~f4M z3@PKxL+AI~CWoy(+@6Ub=+EX4PIASP}6CeNCh@R z-yk5lsF|mne}V>>P8!L|49~0UeY=i$ z-1cwNt()raX+LuAK&U%+pBhszm$vW5^K0qcyZ{^6JDo{y%L1+A6kcPVyxrZAN{MJg9*kzi8)r_*zj$&y zdiVKY?%2O3vu96*zL=tqE147dv!7fhYe(cUDk1HF0@@zSQHABrp^VrG;FH6mSu=7Y zuDt#IUQt!DeRio{v->v&s)sd__I_!#!TQ95e4~nw;vVbQ)@jeKQpG9(aul_wyfjTo zvnCWj)kVD$kpdi8xCkN20yRl#KVr!uaw6_ReWZuMbWxrXV}lze{C5tuDHS%EVL~jI z|KOk*&c<~4Iwi;UA00*M1jB2z`_gPY(H$yNamMzTE`Nivv0OB!wAn2Fodd=tj~J{I zhDNexOdK_)7*ymWEwV z_1fpyokMvVd6mS1l7u`N=pEy+;Nc@_-x#?=Jvp}K8RDt6(bo2ShQa}_H$A=`pEV`C z27NcjWD9PX{z=`-7sF8};$x^h7Sd}GyrK4@Bh zfnEOLL}JsSFDO0Cs?7GwY2|9G(qu7J zZ%Jj%&<-7+B9}MEcIwt9T$9O`f82qdc>hDP zJ^G&FR&Nj@KEvTBYfEIi+Ypat4P7&3KdS&9EbNp%y}eojc|_)KMpjYwe82maj-S#f zn269Xb8ZAnHlpnf$aDysZr{|hQa3el*2I-uaZ?YZdq}ZepbweerIt3dK{Z}2y2BGp zn|6IG9SzL2!MqMp$0Cq^(O>xBi0BCu45

    ^j9P*455LpQx$038of+!UX@<+zZ^Eh zx(xd|Y)jD{8dELCwOB4S>3@UF%hGd<-J_T3{-fhATAQFz@&6~(+Kt`)PP6H19wf+b zVUoJt0m54H6)Hlxa?04#$G@mW4k%H0FQ!?Iu3HuTZ?weS38$`}KU0});c!9z28LVl zwXzWN6U($2{jzM%xwGOcJUO}Wr1x6Bv*0gFysBG9MdP_hyBS_&G`}He(w$!N=*AvA zVawUK;UkEEHg0-2@o!jA zowsHOIwog?XEEBhUwH?xvUhxITCUTVOY2$XCi;13I`W5la(K;iScKo|oLbdiL>qgL z2K>|~s=C0N9edh7i-a{ExW1e2|gn53q{plwkeXYOAX}=}LjVJQwMII?q1p zyjv>1Tb8Ml9$mzXRmh1|XwFIgFneE3(kp1)crf1V(w*B@q1Q&M6po@&t!AMI z)s!_t2zB=nq`C*(uV4BH9I^)es#}S6VmdYR>QKn$PCn?8co&rbu;dpW532lMBwap|B7&KCwa_NG{ zpP#R&fAwH<5CcscMiSb>Y4WT%6QV+}313ZBhSEw$y?Lijwpi;T2D^z-Z~nK(zh>}c ziN8CS==!MzwJsvEo0$CCJ96xVjJ(d^qWU%~v94=@tM6%gDlg+}Ni7 zW7p63%e>9ky{x*vSJW(iYWY6p#)72= zsf&EtjyFBv$bVxxRnVw@m6YHWm^W>EWB>fXHIVnU$=A8)MTF{bDLe|iXbBUJ;0xTc2L+T<5@7x5Z-55mBp3IzXE-vB_sZ`& zyN+&hpWLuQr3+wk`(%$aeFfHv&DM(5zg5pO*RBipyx>1OXHK%|8)U&$6KVAISS2Xd zqP6?vj1RXboYR1wLMO}of2bXQYX0(1tS~E(M1W?0zPo4P6<`*4hYrKJoYLv{@W#6) z>`lzwb{@1vytYcsE^5riYn10%z9JZe>0C%t%sWK>Nsw8$7RjAcv@k1&P?u_1$2s1w zhWJuD>*38k%v)Edd4d+fpYk&GV~58H_5Rc9&Y}$12yW)#%OU0Q@vRL|Gv`jJ;|D)c zUJz1+&~pAi@Mo<9%MIt^tD?sI-BVUflK&EM>U;f$@B1vaRbG#`(=AnJ`uh|r(M#U}E5sPnbaICf?5#EC(|^Ta(g{Ie2B zC(En9tVz79{lNJJY#BFx+t}3`v1sX&?u=Gj4X2uM=ldM7g{4onUu;X<&jO4SuGg43 zkUvVvDj&T?`zjwkt=t(j+!=Jl$C=O9+x}+_ls+zTF>`Kx72LrM_C=QEL!A4T27;l! zipEaA<4ab3!S!@*%g=7Gzp=_6y8SLXs|rb$i-PE+rF_WU96cxGJGi^MEzTFQKC`Gi zXJt8S0|ZB-q_yn`bhU2~ZX3s5kHaogiul)y{Td(r$(6kpPixV)%0)o0i9+g~Nm|_W zfiea9<5HH6Zmc3&|9xl3B;E=42JB~fmJPTbPm*8DqDipO(e^ZTxJwB%_dFBJ$F1k# z6JG{H?=r;s38*uJ{MYaIQE^Q8kDyVr3tPtrk{xKXuQ_g?AxgRu_icl!@VuMb=G!>0 z7zv=VV0t-~tY++^vVJK+p_=U+-GAz|{l1xoH!-4(HC>YThgB!=h)gCA!(b87-9g z8MTRF?Xza6mL|I0f!qZ}0c8OfIvk4@AS%;4Hvdn{pOs}q$AU;b7R?qQAnLpl;83_o zs48EgE4Fn$V9|oBnYg^5bv|g(LcN)|vap1>S-7eYR6_qWJbn6hCEIj%RSfkp+}^Jb z7by4YS00MGT3GHuW8$Zgv|bWTSX=$LGcEIou$E($;5wrrU==uz&n z-mwn;gLx-tK4%Ao>2kRMT3VMDWn+Fdiy@}rf&hY-da3$Mp6xlhR^1sMhAoxoABNL+ z-3M?sUkU)O;8%BI3h>#aK94VFMPZtm*_IRn))WHAd)wt{F-6>8{t12N`19%`tatp| z*`tRhwjl$231I^}5k_tio&@*bHb9)6c>&aaOvq)OruOC+tAn_n&V9d6g)>0_D2VgV zy&+3X8#q9{I{Uq}9~b6rZRYN9%~%Q#oxG)Q3rpUg#!~iayy=9F zExr`B@)admEIn`EWIjLsRcvNdWtLi5*TJD!@#{92DapAsx6?SuS$4oN5BSMtVDA}X zg^)CO=H@Xs4E^3I)4sZ?C-n4{2min#$Igzeux#c1sIzCLWkh5pt1mCwQ)k(gyJ46U zC&$l{tyK>%_@M%mXr1a&a=nRKkI`@hUr zYrZTuy)vPN2J{8OT%@yn4X?M0Y-lKXD{-37w8(pzUzW9y6{9S#X`G&(_3 zqkD_*(0-{;H^G}e4YvU5==r$vx_8f2DvtopJ{82aNnu6%OS!YJHVTb^d_xyF!EBT4 zIV1rU2F&jesU&#(FAo$7n;@y6t4xvpZNkdHUwF@n980~I_Z(J#pHDAi1%-( zo8|1jl{W1e#XG0rTkuB@umYR!XwwfD(`JqrpO|)NYimxoItP5lJYuDrZp898YY_o3 zj`jM}x3#%C=6fx^MQ6O)dv(3!aRW;wr1Co~7(1ZhxmR?( z=&k|${mg^|5>EGs_B%GK%?YkXvK!&I?C%Dzo=sYjnCBteaSz&EpJma*_o*wo|TXl+BotW8PMitW+EcKJ6QKKW9v;0F(_gK zwU-^h6gw$8yp8NvW9@xWKfZW>=zBlQC1sFycb>1p1vc5A9mog(JdVG;kt`JLpZ?38 z_1xTiT>q`rVV?g|=8TVn=RfbPS4dtPbLtToc>gfE4xcGm5cu?a_A}WR{fOcayf6H| zmrt{Vcg`!BN2OgpVWy%oDJdzB^hg{BVWUUtP>zcumx&~udbK@!=)+F=?8-6Uh znQq{U&WNS4SsWE{sUd;l8bDPWaHGGf*4i>OSZqhLvz^x(?ooS`YrpY%Mve8O+fim^lkxbBh91L7kcg{ZojM%dFMO@tnfs;3N+e?x zQ8%%d{6XBgEB*+%C8nA!2*aTuOGOIe-Ag5gs$RSOe=hU>Ji;Z2NM7;3e2V`ZS)vJrKAg*l@Py$vONw`VV=O>C?0V&I zA96@Rethm9CFDdz-=rRX%>;>`#&52z@@Z|>l3oGYCZ4TU z0+UJ&@%1K5ZCsc_G-&#)OzW`ZGM_XM;)|r5EInDn&0e5sjrj+A=zp-0NqZyIvg-|A z{y&_318{85w{C3P$%$>8*tX3R+jeqd+qRt(+qP}{#L1iA{Xf*Z_tvfZUe#AqGkf>+ ztnTUct<}4`*Mil+eGwR$GXMq^Lk2{Zh&M2Rves$<%7a>P01X`Fr5;7fDHNs2HDXm~ zIR!NbF+$UcI(}>R_rv|yv zVCEd`ZVt5&kozFh*lVK|`F#Y2ddzgGMY^`&A_yi0$Xj7$H|9FBG?H*}5iqEd6xcV~P%e!?reXZ@wsKC)Fnm!@ z6_GUd5>pBBTVc?9ROG^d+MlxalEQj>HnW%^MNlI48YE66LNv!#WaR}DLn$j<6b1?- z?)6;e#Y~&fcrw!Qo0!}&7-x#e3$_LXfdSY=Vr@XJz-fgpGTdakk$FKS^@rJEKLbuZ z0uF4dqmH4L9X1Be71167)Ntxbb$T~C0oR6oP>2^T0@D!RIN(p%Ia$aQ~MNV;IAc}v80S}muP9B16lXnvE_61gf ze}EQL{VwHF>;(ImB?^XuFbf*}$ik*fiU;q7UzPtuCq@Yp-zjJ6pKT0mkXn+wiSNZZ zD5nAmC&J*m+uW5a8bgjvOj2#pwjgw9UW6QmCp$kB=J3Z0IcnthbXYA(9FZrmRl4p# z&QF%zmT6*pBAf_8B*xd8m5*1P; z-y61@hP}+=Dp7Emj?R_KHg)f23qD7!vp-YU(Sz$i7&8?a5x^ z-R}cuSw)$^KP?bz$fA_tNl6B2>>`>#jKI@XF)4$m80A=Y1G&5QMtqELgG9v6jFS@i zqztzxBk_gc^>T;Jhmaq?&*SWP!^hd1C$X5o{~1pPZH5ZLT(Ts{kwDFP0QR+!f#bCU zI&lR99ngpiClbIPbe;9}KzSl13`S7%!;v+I zm&lRzSe8JWN#xoG33!>g&HHRjUmVZo6HV&X}&%=jIZ_`w$-?xPEwVc0!h5Y3D?NCDiW z8Tx1$7#!43Z3kAqiPK=hw4y$_9L%Q9Y7+SVJED6|a1r5nnpkBp5kALTIEIZoJg9hs zNj0*Op>Ap##BITKBMZqW1SS+f^t9sJKp>Z_TTqhzz^kLc5F;cXkLp2kLd5_UEr8Na zho?GwOC1bKbHyAFN#lthoXtMWhA(itLEu4BjvE=GSJh>dYHK_OMsDwAi?LVyR`U)1lm-@!@6gpt{A|9 z^Bo5OGL7Vz4uU}WXZ$nH(Q-*sMXquF0G*4FM2gaff7G7*Kxo->wHhU>e`ax%y!-?V zc`tqv)xqtV(enBe7J4=3eKOL_(WEDi9*?JXKRr!#{%+5Q^Qn=kucZwJ!q(dDtXM=2 zK-7Yb?Vh*KsaHd%XV>?M*UZp|Yuo46DG#?*^$z7Ho2PSz?-%SZ$SI130`d7X+)2$olw-#QVTejP|6;o%y1*pWtt)jm!3y9Zi+#)1CXDwH&#Pg$YBM=Sqv4o|r*1 zE8f`)Xc*f8fe^paSwQ9wb3pD7cl1D*dQ1%hY_LM1f6~YSp(-C!^;nO8zx#bZ>iW<+ZHF5j4*Z0}JoZcsR8E_kz)s0}N zA;d9s-)n>^YWOtqdazgf#tV^0n#ek-Yht6V{3j5c6ReGt&%3kN&z#m$CztK4FV70! zZG8EYAC8Nr%T?fnC3f8itlVCOwzijvZE{N%uuC2f_D*4{anuVaZJSqCe72Z$#zPnUk!d?pj!RGu}bsp#5o0owJ!GT`E2S~Q_Jv1 zh|fIqH?lUZe~jes{8~AeG=1NC2^xW0Abm0F%WJ@-Btc|)AkC9yE{GJzB3>sIZpV^b z3gU&BG}d8QrpI0#lDK)xmg~rQjd8#k9)FX6|Z|Mp>P+aI4@b~k<(~}zv%~d_SIIu%;2EBiZFXkfew{W$x zeKc4&?JKcRoz?A_`#;z?j~}z7w?JQ`ZcsI@994_2Ce{{hiP)retQ@sToIuj*n01E! zN@LUAFkPbLy>Vu+d4j~NcDsp3Sx9Z|*)8hY%5}@^uw=mt8Qn&7%RCQ|Pe#fjjNN$d zz}@;`olqIkyxZ%x@frF+hvz3Cd#}b+ah_^iD*ASXEi`Gm&3k*R^0{$!tYZ_C@T%7F z9{ba1boq(Y)h3}mLL9J?+kxmsmXV9-<`z1WniwF9vTlS+R$hs9ws3rH=vCmQun?Ph zaO~@~O(5U3;?F{eILcD4n!%s6<2Bw0d5$(s`abyGe>7dtS2C zd7etqMM_1Ny`jShwyUapq*!MBVvc3&DenNX@glz4>|p0K;L@|{eQRs$IvCIB+xOAj zmG{`NF}<8_|Ldh=s7ZxucyEq6+wtOUaV&~o z55LbgLC?T(`xgJa`qSqr@WmUjbB?4ZrC9r{dMas{QC0b~%UE?6*5gnd^JCivP$t~2 z(dyLJ$yBPcOV2Bf>wENZAWtL7jbX>&!k9$$aRM(q7pZWMMyQzu7VlAf8GU!cVen9Q z0%;(4H?shl+b)gH5E;k8?;1zHpJzC27bI7Iy84(3JX^cAyx03+5q#J@DL3H7KKJ!8fakkaLS8Z5)Jrt4m26tUU$}@la~XMT|K%xL&`GYSmspl3siJV0H!2t( zL<}j45P^rr8N6wWWkfYqf6V&`^7!NJP;>7HY|yMYvxB0~YY();b@%;>x6?vpSv$H! zp12mGJ!qj5DTDv6_fwRE&;#rB1;}tWozDDZZ+^z{X}4jAXJ_W?!myE_e=~O6xl$Y} z^-K-44{Y;CD9)21f6bt7W&StUtaYatJi}Go?fQ?sX5m1FJorGd@dkG_qgH942{oU@@+j8w%>yQeq?dawRO4QzEF^+MFY^?#C$ zq8)kYY>Ukc@g#}ebVdQfVn`3YgGAQX7s+$FzMEM*xE=IwFRhmt`EkyV?;@@bqBVt6 zEmp&1!^nXHQY#v+76y$C=Tc=)UzB>3NyhbobVhvRu7Ir+Mo;)x#(&+-y=EFK&@a)P9tLlrK^pm8v!p;&>)>&59v)MvvjP-zp;lRc z`A4W(uhQYSW&Vtn1*iFc!0M&zugLPe^u=zqU(pzRf)J5FBgM5(3I|FHmZXJR&0}@i zmh}#8XVjez*%qH7BQ7OY(L3aUw(KfR7R2TYBC{pod9tX2ndE`&vS2nDQ0uJ7>(-M^ zLrlL$R7;l>3T5+UAq+Y9|2#VXwmk@3u%skQXUF!9q+_pv)wQal$M%s{!Buxq`?cl( ze{%j>4d1O6RJ*im#rC2F&u7B~wQhveG(=<`DL9J}G;8A2So4#^YNWVuNnwc+uEQ9M z-XAa3OHJ4UVV&&2{!U~>HTTK?Kxu}pB3hcKvVPqG9Z)A(zdgHqlLxso4omiM6uUf_ zO%BvL4|09x+qN@q(7svOPLN>O*@C9W`?5} zvJrNWzkbS4wc%nGo;5n1&2DDNAwK(DoL?QIe);fR^WA};bq9L>yvP2qQtm3Vm+{JX zl^wRNN2#Uzq5V}_8RF`i3m^;)RYvUIA&Jb64oj!&9s8UepG2P5#r{7x(?fcVLzPxK}Z8=6B%GbBHc(bNQrjEeprORz8 z^>dn3*-5cWHKL{Q%RS>TEBsyt5bg9-?7lt*A}y7#5Z_}*uKs=@l6AUAcOs|wgv z8PvKWvQW)m-0{d4;hnDtIL)Q`I)KKC&;zuj_n1=pMF)E`VU@ zRBHPUybzAM*oh;yea)3JHkgn~V!B!ipNlMJAA%1^wy zCeMF91}er@YNImC+M9zO|KfL>9uSs}Hg5LlG+Uv&c^MR%S+vtFS-ZPZHoyNEb-Sy)rEWbc`y z%U!;=i;>Hr5Vb^ABWm|n>ykm<`qLqLeNZiy$#zNWrwN_g$jJ^Zv!dCLfpk(1ow%>2 z5sW$?rw-udo15vK-Qikutd;4?1&_ANPHzvj$G;xrtk?Tm@YUqfanm0vL2_)zCF__T z(i^_RT?UnN^q<1eC7M9RAyW5)#RfTbNhz&`DXqT}pJFDYx9z^ufF>bim8Gzgns$IMzX>!Z~-j8}ZN+1KK%rBUlv0lpg4&f1jb-j$iaM}&`Rpgw%z~J<-oRB)aDSlIGr|JZqYEoXP0rw*+AoS>cS+OU=*28 zUY(3u6vq+0Tvj+i|K4fQaCa*8pn5QjpHrDnj-P{Cl)k7An>J^X>a)yRLrZYd3UiTS zjea$B<`Ui<`NOiZ-yl%R*Nn8)yZHL09osFo2GDDXZ{h?d%4laLTxsR+gzPQcHN9Zg5~u$hE?Ir!&;R|1Fo#b z)?f#Y#rz<*V1^_^Q!j?F>zo-KYQr2>#6qM4>WyWQFCgb!C zYPEiY{GZ5)3z+wb;3T2u6dd8dc{rHQ1{7;uSeEmdJc1bx)^u3IX)c%2k}S>MOc$&5 ztM$!pKgX_z6cV>jq35H_tj1`Ed9tT6$S>B0YBk;+{Qg=3&ch_A|6*^8+kr1MIaD90 zX^=6^jNiea$&6)Jrdag>oVMuU0Q4rP0tapA09WZ6yyqsZ706+QW>-y(gZcgdf+f}HHUXK;l? z)8~IA!hNz%yt954?fAGq8V|Xz^!c4r?Q;+9sSi|KB8gP_;cKwXdJfg*sTFR)oI}U2 z^d}5KH*g!1NVdD&A~0!+{_q6DYvS-+4?M+!`911`^w*kqhC|OcpbLAx!KgBIMq{NB zQpV`tI!zkpR%v}GPZA#W;MhD)3<6mbbv35wtzDN+WR)?ZA8>z19^w8*Is45{ujuFy z5efaa12T1LF1@9=6MZM?$q+JseM?%qjy==DWs~`2ZDp1+W6HZh|74w8^lU`QCYzvf z6=l|X4rR04cp{tlbh%$Ey~4Xl@#=HNQTtBX*uruqTA4q0oa!^p+SXt4aS9N0(IVla zyXo{KaWI47Z7lX4AZX1$g6?VPlsg?fE2xpkZVRUqO&McmGuzZ0s~e>2Ox=uKJ>ZI# zrCOt@>2B+(X;3Uuhq{hq>6T=CuHHVm0_NtKW!d_kK<$FF&$A&c3nucNlbmhzu+Ir` ztJ0=8ONVCpPL@d_M479(ZujHdFbC>JY6tutYkR(}em|e|3uy>-^h_kLv4;xFm{!z}MME0CM)j!YZMYCO>2!VmP)~$dy#OoOk<>N%%D|na^Yi^U^uU9x#Tfp?a`*RsUF|9_w`u(A;h@mq1o0 z)2Q=RfHF0vc<8-lnb+S=nkV3CM-`<=CRk^UJ<%73r|CX=OuS59mAqKlE-_R2(urqy zhhBhD`I)k6RWiA_&YjTyI@e*$*v}nyJs^Kul1Q|R&n7y`-N2^POXq4m1y7-Z9e%Yb zsF!XDw|DU16&aZbwnW!LcN`0%`lequ-AwPnvAhRwLoLD{Sss}lKE&HtWI0+AJSf5# zipE>V%`tj*ER)>$0J9iwd=l(r^5Dv3lbvpirt&4`z0S~&Wk}GA8B!Vba_I+{~v-j|F4ij+Y7f4u^>kfZ9#~nnB(+ z+aGN4P|0VO&fYPF_FZvVWa7&<4|oA+t+sjbaMQoBB0J8;{$_u)*Ri^=tS6uqEMvcy zYh+S&pF9?lL=Qg1=3J%OVJi9T7^JIE=Z%`@)~n7OXNF}Q`ebmw)=9e)XCtWfKdJ~T(o`jT6b``xfXedwGSHX5dPJX^KABIc123Ik zd*5Vw%!O)8Y8&wMZj&J9A3>;sgkCjb-yhW$|fjPr^aP~(g{&|#Z^iog~+HEL`#?} z8(1ZiTWHOb&$GZP=c|bNs48FO^*BGU0~P6=NDs%kzfcKSAH(HT&K0&}@kw%J(O zqSJ$NEPaD9CPJ~tv-&C}oC{G@sc4_yRPtPib(#>ir3}Pp+@GLX)@Svz)l!BLm0+kSI;JVD z@H`@ZG^O|quT(93s3THQ$m^C=owV#3Zg}h(kjRf^ghpo-P!8R$5*Mnyp#}6i1mxRu zkoJQxC(U9{NX@S;*%UzhKrT| zDaAmokZ=NalJWev!ebIGWAj`cf^wd zG5ny@*nv%ogB>(D4LhTh9}&#MC~+l3lF6c^Wp3G>e^_D|Y^)N%NIq5(_^?9c2Rp4F zbV;u>tOW7Xpkq`&81^D4Ix54G5lu8lF*2@4)KC)E#4SO=3|s0(gyO?Z+Gsczgd7FN zEKDGNu&x6OJX?bm%t(%y8;%X=hb?x$1O*%!M13*pFv_n{t!*EvWf&0Q#JKH1v8i48 zQ*a!|piQut;woB_`dxWLS+{7>cB?DsA7xfe)0C%hCyaFhs%^K%5Rt%}fnrsBe* zM%Lps6dr*cf%;FSQJ`bl0+3B8XDd;ige*o7dvU4~p`A;IF-I8%1aRd?E&V~D#*(%K zH)N(g13=f&HhaQ!;M0n?1)&5dNAYKFZ(e{k8mVGCL)j)?jc?IJt|6V7P3Z_;qsSTM zr~tW-cX+KNjVV|%WSB?vNvztKboGo@QNEI@&^e!k#TI1uC9vBiK#|MQPld0{ae~qk zM(==*Mtw5&;JPcbi!By`$Y&v@sR!{z5bQ+YOPCPgiNFH~8}u4doXpXTt9SW?w@(rF z;4TFY{egANYdz|2WB-;@paQ|kL}2p+S#6_8VT%<0)vY?{SoaEn@elcHomTSmYK?J< zfBiwms2uGU9G=4vqC^AGZmkmKFPthD9l=@9-4v7N`VE zIMo!t@}C4WtMDB1aA<)5f(Rl>11Xu$5VNAO)(StWu#?hq)ew#1081D`tI`2W?6F2- zhr^wN5&eFqO=yS$8AkC(;}k3@_NIZUaIV_(EJEcC2j`Ep1a=>uDIk?}M*)bWqOD@B z0R&~qe9Z?0Ric!ERG!FGOYs48j#7lgHma1LIJX&mnU_IvU^b?;_o`gshvJG7?{5_C zm2ggw?RbD69<^TvDNz(B>??REQd%TDCFWoXOw>y@j*+pckgDm6u{>MJbJV9b4h}2@ z6Q+}>A^wDs3MQcz24{rf6UG%Si~s}@SGblGLb!w>Yl`vpE2Q~@O+y;HQZY~AZkXw8 zOsg-aPZ`bW68j!(2`>@|Dai>>@f36QC_r?j(4B>K6VL?b%i7{v4+O6$5bCx-LVva* zz}`1Gh-1gdct6Tx)=2Phyf9AmL={JYBFltcT;eLti7rqe!61)KN2O!;&0YR;p1+tt zfkLqZs6c^I@`;F?niHI&jty$Jb-UjI?^&KuGK)Sc-b2u^Vzr6Qi- z6m_N${@=}ky|@JwOlI&+e;hV_00kvaD77P^OnJqD0>_fN#ekITm#%?`%2dlYU9gnU zDpkG}OY*gfJw^?M0wi3}_IRk3sCCTAf)kP;F~}7Y9pwvDDb*-_EfR!P$8&%M6r%3y zfCS*saY_3#$dN|Pkwf{F@414Bq?AX9tIldDfQcyOpx>d+i7>1Ks~i&M+!V`|5){)z zm6GpQfdyp7j{<~Sgo|+E#o(GP`-!WHV|YRR0eUYO0TjiByo|(jC?^IxloiT-l1z*U z%LPg)nJyX--oSQ5KPEw1=Wq(VK=%Vbgb;MJ3p5yb_^y$YHxr$d?0A>z zb(EL~6v(s*g19TqSTYz92<|8wiXT z80rc(527Sv0#pF*hD;z>PftsLwaIMO2gW4I1=|JU94DiZhY^O2v2`jg%?&`#JZ@g= z^#Y=zh_fl8ISS$X7Be73!$wk>$Xlg#DGS7b$%S|&6@hVm&Z?Ow(XcnGIN|AgwS8LC z^>ToOb{ZMklr)M!^Q1C@Q37O)r7;6|3HPOSYFIIl0MZ+`3#(JR9Upl(4!cN-PgC@` zfL1dI$RY*mlgi*B0qVmE`zZE-tKyIZ1p-TEGNE0X2B=Q25bLH{6G_5tQOG$?!BI@V ze;zY=??Vp3D3?i$NFaM`l3Uws?0Qd|4D~7m*c@;%i_PdKT~~ zf1cDc+j`nQR|LB;(LDilJAo6c3CGf(WqW*4;fRO`Q)De*fg+x1l2O`krdvZSNvJwc4*E{$z$P z=`K$%;bKCcyT+NXw^|KULHE+rD^$7{9Jsp&(pkBSj-BQH_v*xtu>_B=S%e!Zj!6lQ z&ELv9Tg#E!&E0C58&bNpsS{7aUG0ij`z`J3^pdox92X_qo7>Hn_1pBdD$30pM`G)F zgfei%vV}_#L8i788@96+nhUUB#;vi@!}mfynjBXp&og2)AHua` zqE=c}Hx;SpZ@t`p>^BYOvNlWJWi^XOzu{~Ms_#Fq+SgRB#6If)=d#>@sL9K&vnS0c zJm?M8A3-d0^k#{Rh_R3_%dwVht%-EX+%B$Q#=5(!)P1A_%lWjbA0>%8a<5RYiqqG| zOpj4Np$!J%WCN^yyG@u!EgUOM&Q__8&xN{dEJ3bEwi}7KqL!&_;0*d3ZDh!_l${!) zT?_bujXkyr-R9x>=c89D|K?lron=EC&71oGCIev6%a3SVD&bk({4i0(Knkdrk4XAp zz(!3a6Aj?~gertx#|E5?T25tAoE3cZo=$>1X!8xf-&6lvy?h1?oi9m9X31(#eIYw!UgK^-^d<`NWb~#FE>MZ=;kdVymjMBy8Z( zggM2iO7l9a%Mx`ubff_ti&phdtl&sV1a?)Ar!wDlwGF9c^Uu$AJ)4RW)l9Z0RXZ9e zO69I$Vh*jPjhXLNy|8v7dzoizTMI+dy(rSG_~6C_do`44ss6P@XtNP=ycDpVtrT1f zVI*HMnoSpRdlx3HCD8ohGFS}c1zy;aV{_HiW>agKV_%dy0HQ*E{a}~=g1sC4=wFkoAz8z}@j>x}HV14lkKQcp`DY*fu!e35P z%f(r97{KRW&{idKi%(#`S@}Mm!{T!oz82bwOOrq8X)0}KoC=fM=EgTqjcuKoIk?hs zvo9rou1Pu-tc9CWWMrGW7OX{@GGug_|NYmS&oN#ue$UGC&Wliyz#1Bm%!yGmqz8>3#sX+0yt+DNwabW~FcexFHE>bO&Km5H%6<*{eQ)T$J6#v5 z*>w90FJU`2@J~217|#~oo6>?AIdsPhFKG;ztu9abvG0%84!Of4yt02)GRke~DhU{? zF0M>_Mv`;raAmyNb!=`@p9(fFpAX(%*Rtq%Wr%olvh$s&W*q@2uW{y5uv!D%W>bE()h|`aZ-_G$EU8cn0Pk|Ue(D&>KZC5MF)Bm_Kvs~yW{z8HV)j(qcu&&-e@I zgEw}*$BXEy#2Jj&YrJ?r_qVHQj5m6|N9`z6LgQ=e`kVb<-%4B;*6}y{B;O_bQy$Ib ziiq3QnNroY97|G5xT>ucy4T8%y*LltS1I~A6#9o2{ z#va`-qeWQbqH*JrX}`q&H?)znp!C4zsze)SlVzwgl;>z^2@@lZRai?4IMJtsoEZNd zj*Xi+GLG#%^1FuujasE89E2BKFlC>%KHJxj_I5*`isQuSX7@l7P3{>e_4Cl2%4u~sv{|i zS$S%3s9#M0ukozc)AF6#U1aLUnwY`9)AC)m{lr3QBnfnR7!76XXbZeE?Oo2P^{v-y zUh*&H)!&fcfLR)m=Ar^#W}_478%Xg8mW2#f$*pva1Xp)r%5xow-JJx5{AFy)#M}s# zJM!ZZFD54(ofU%PcD_3Cr~ZT~686cnO~+29Jmq2u z{%-Gjc_Rl-96ZjN)KqX0%f?DVC%3j--&n1>y&|kr{zxPB&4>hw4ApuORO!`Qx05~( zA7Lo0hU-J6=}{R#Dq0-67Pku+CVhWvRG%+esu@xf7Ceyr#X4qlub5wb1*mds9}z)e zUc6;*PF;YO@Y(g?PIvE+F?-|98ug(d#Qrl3=gO$@H*-4mO>QLGM)|Du>l$;vUHU8W z>6hQC1?@6wDRUA%<$IO>*Dd+x|Dc*!|4hu>04ARhH=?ThlV=g>O8 z2k?n#x_e|A9Y_N4Od<0?1w^5A6^F~N|0xVzw|usxaGRURkLhQUYK;Kkx|UXVc_q;3+_(`ryGAu$;36W z4Aj3^bMIQ^GBgJcX5UpH(cylmqYanNOt-!~niHN+?Yn-ni^i3+Y;_Q+n)Rc>DYRFQ z)2!c1(Lc&LdGq0cY#~ICA1PgNh@VFDt}h`Mo@D+J5=l9O4Y566&zBENy8l>L!#LK% zIPS+T^%CS396b;lJs?l~Y?JwW5^QMwzrj406>e(Ci~awLxv8A4JW&ZzMq-VBG(T7- zSO;v5wluJX8yzk<;wlD4JQxP`!DHsnJ~V#fYr~f`$C@6hpg!BX>IA*nLfbLvXdyaV zhirA_RYJ?BZKbMww^U&=;!atZsAZjm3!mXCd|D&J$#|g*QZaqEo3ve!nIFBu;Wgb=?TPKWtv;W0XlG0x;cwo;PXW3x>>#S+^d?14kLPu&d#{ z3{>#p%I`C<#5Yp@60|&9c4_eu)Dj&Mlx>F-1xC3Biv3Z?0E-{%!yJs7%XmxU*3e*G zlHX`AuW{FZai}jkK&+~=v-QwF%aadOel$OLm#whX1y~>v|GBXnXp(ONkgtbNag&Y> zgB$hoyR(gvo@n_UpN|O~EAm9A-&!6-ix_m|j%A&&uOkr2skuQ@a>|K|( zJTx1h+HvfBUAdhzZEJ$NHO}5X6{DAj_1BU; zzWE~1o<&m`$TkO`kQ2OaZA`Yv`m!XpV-#|e6H}yiPAa$aomE>o7N0&$G0_L6VAfpr z>Q_f$=PSVWxlBSLn>CeW$W4d)_G}Q|3JRoO>e(XzoGgTkOHWOovbR<}pP!i}T$ov% z;#T_`#QaowGle4&B?E`ts&}aykV{)0?;{vt(0+Bi2%}#=TF}8&}VG zFBe9$!MBJ^)Q*8q{CYh1qT`LBiRa8^*i72xb|0|B4)`LoruXN%uYupgUe4@HyNeZ|U8Z>Ax%>O_9eKTq?o1nxQ zsNvdIWIw}`bZ^!ryDkz6e+hFx8ZFyUaA{><){D`+siiC&>+r3ai;mW1mUQA~<&3-? z6{6GqZQU|Cxu=d*BSoFv)!|xZ!k0*c&iD)0-w56r6Z^uu_ip{8_TZOq4@2b)#vK>m zp_}r@tvSbf>r3y>mXmi&n*719?rq982~u9d$r15S%g;kr_Vvn_vYu`F)Ol@yr@_j1 z+40%&srbIu&lipOzV%!9Y;Au#3-|(24&jJ`VR8+ncaWktbLzH?X}$dAg};n)a&3Pn z>&MpyMQHGx-jKL2Ai;Sgz3Rb%uO>T3a=Yy~xXoWg`G*4hsMz1gE2EEUw>WvNjTn~3^jtzT1jK$BU*`*lpUk8oHWA(Z+zuRw+_=?+#CS+b( zIDH9${8B3y2hXu1Dq3_IEVqB=cj$W2bXM9k@w`V~7YVHM_FPXHW9LK?NxS4Pa<`dJ zjEQQ?L9NQsuRHb+y8a7}b18|DEm@4o**Z-}hXr&LJbDzEHk|*nFrcK6xXb@6Qx}=_ z{|cR^{lfxuiW3L9>4U7S5l+rnr|ZMN!I`6$Ex_hJ>{?m;XTvY3<@>iIv)7pw?Hy(y zm-MiJ-9NMK`irNs3L*A_}X}T;t^5RD?DhV@jPF=PG);=kaWS%Ruh<}4h zw(p`bYOU;+3bwDoS>Y{Ns}CqIuZf)|*WK^~{x+QK>YK5-(~p|LsP0G}1bL6K74V6w zFw@^w$|7J);*3{s5*jB@!GjA^jrh$=fJ+~I`Ns!kU?`%@Q81Qk+D}O=If% zI;(M9ZhK#9ZZY(9Q|jwW%$0LlRQ$1oi7wB!hr0kU_P`_^g7~Q zAO74NbNF)+GayWWq3q|x2UIZQsgX6=ZDjqEFkA6N5Qv~!p0|z3v84j=9Ov~l^~TGm z&(b{5%JM`P2~x~Tc-!amc;c(tpGO0b3ASbhO=9NcK+s+k3rp^Qp@vcpELNVp`zd*5 z)9yZ;?`(Lrb!h9i+#)9b4#UJ(f+Cd~#?mzhS#3pTNx_EkyPM@RL*n6&ChVKuf2Iih z3O^cm%1>jDg_Bp@kM8e1%GPmeE%fo`>?G(j-fHybxC{W{@A4mWudONHDIP6e4Yy_s z0&dJPx>&3j889Q<+Y|;TeiQ4_qun*UpCx`6YsmQyohAa~j?FAlo}BhnpRUQp@#@sZ z9>?T!dtwNb&Yg!~M#$J?M^(8}XmjM(CFp-P&W#)Unb>ZSvc@tndjLGz9gNR~nC$AB z%JcIEosZRO_YGhd%NArRRI?V%Y4DFbcyeJk(;X`2C48s($oiWgyd`bXrgnhfw7 zG@kCl!*Kq>l^uLio;EGxmi~W?1WQrCl-l1zrMR^Wgp(PyWR5bP^{F|P;-EaHb*OJ@ z;BV&yYW3JI*Dw1~oJ@W_S!F*g<`Gk?15g~_u5?=;?iW??ZgXF^fgU_PB)>x=3Fb(G z%{Ay8Y3fHBUWSuUy=la*EJ9CC{zpgO)2T7d5t$5^A}T>|tZLg_K8v~!fcx_z(^o}M z9q#wD=%}@wQ=%fb#ryp4r#Q!!opwG?&jp`q>W1lPSf)+u7T$+}Cr)s{+*=rrpyJ2p zh_I)QGX58upo`i-gUXPS9{gT*n^E9&)` zeRbg}nw5CbZgJE)G;gphe_hk2$q@RXhw$2kckjh{`0O}(a}>8T@uMeI*cWhPJ10xx zN`sYKGoQE3#-t^kmoJToFAC6OdI@V81j|#gX|LDkCTpXSV%!?6f_PYfK!?&?q)<79 z6hTA)XU%MC4ktTxU{u#L{wm+P20=+XEmd$ZjmJOW(2qSmczXZ$|Ba(3#~V4hd|T>Q z`|JKfZY-Gg_*mxwUGwU%&cY-g_1crq}Io~UG+n;AoDvp0(-OFnux z^EQy3gx7bipY(Y-JcIO25CXT%BO8*j25aXskRWQmU#5C|9Pr@iHDG03nSjt|AcIuE z`W0^fg|)G&N%G+{Hv+CH%ooc zRnf~3JX4Sz=Vo`4;#Q!C=G?0vG5F)&w*FF|M4hM%H(y>J&pWVdeg6U`#eo;~T7KGE z*sq!aREFVx6zY3tc{ct(#xH3a+cPLPD;nO*9bL;nLNbgy-vWqB1)njn=;edQ!|`8kh2i**NeL22 z#%z4tg86&xUVqZe{8iDTaZ(5DMbX^0m&lo|5sI0mM9aE9x3F9@jue%21hBYcA; zCCr2ABrRPXk~%m1ZHS4eAORFELzL*E>!DiSUSp}s{q|kfWJXN&ix^6mDl$~S+~h(e zSdku#xavNpyE+>NaH52o^b718Cb-ZFo$f#+`4$mLvbabvP3nw#R$Ppx8sE<}i9KT# zyRvC0^*qi}VQkh+?+i%-0yQZnnGD7+I-Y7Yhvz`>rGgwyo2t<2YjW>5&t07u_QZ^4 zWz;X@$R1Cz7QOUcs2KZ``}AKL3|NI zYdFNb=W)$tQUZq;Ld9msoSgyjsC;r80UdErg_V3IdK}M(JV7$gSfEao2Q$FAeR2XU zbvx>>5Xj`0T0xJAU(m0$4deRiz1=^NsD;9D&|>)((YRQG1A?a!NZd)Mc8GpO2jl(F z8u}~$y%>`_;0I?#B7{sn=QOz4kTd3we~}Kcrd@JtUv}C7{S61EMX^JLf%b(>=Lb<% z^vc4sDG6jU0%JL1r9y3)z$)Z2*SjWwt?-DK&Li-`Sz6Hek*+>x^+oG{o)fh3`?{@+ zIGup&?LVNe;8;-uxA38Cu7Yeakd zs+UM85^BGK6RmVLJ$sc%=c?d7aKTnb7^FP_SP${jq~Vh<^k* zWaLJRxY7~@-Jw+x5b>|8X(1_Cy z3^q;)4h%w$Jj8;d)hRx+QoxgNT!*q-o{n=Hunji``;g?`_~D;_LUSn)bi$vx+rZ$TeAxRbVcJqM^G#~?7DQ5~d79;{SKtHj zK=frnM{dG-vwd^0bCsvNXLKk+;1ZsZ!5RUa30M>wH3+PVORO%Z0wnMWf5=910|D8Q z(-@6#Sh)S@P|{z3?FZ0CnStQA$S@e)qhHBf4P|~=?#iD92naF?ie~%*mXJ4orgD%D z;4hZ!Fv3RSc0N>yRREn=d9-y`k7EUFGXQnRkrZ1KJhacH^zYq0`%S4Lg76X!B2k5% z$^u64I!Gl1Bcl|PMA%|nCI(D;XHNk`G^YAdPKE*jGOw7@#~=?(3f{m1 zW67oXKPY?4piH7*OAvRbvBn)5cX#`6clXBK-Mw+Q#-Tr?afim;`EYl4*Wt~J*`0km zJFydSA|vXa`c*e8Z{56Ed8*Y^&A>)(RKTPYH#0;fWY|r?FqWkyqM%#BcccdLzmwT~ ze6x}^ANIn8h^(lj)C)yW<*CaJ1%qI{h6Kp}m~t?NSEuKdnOksc;3L65Eia(E8U12fmgVp^RIcGJ15uAwW96US?Ea_399V{g{2E5Q z#h0lM^usN#e4}q69Zl>2<62OfmWLx5ydjV@{0)#Jhe`t=F5_M4C-5uwL2ZXr8gkS0 zo8N|(1)1H(rih*VqiE^jcm{WLNmD_M6#^XEa)Iyk;G6rp$W_3RAcOfI3-Td zpmQPca6rQsSFmTBK8WY(Xn&-rXylz3X^K;Qd~fmUizV&8=AWQ|LNg1?(xxB_!ld;X zpoa_#nNRuL(N5SJT>cK&k!(fzGAu%~M`Y~0AK%szcCO2|u-VO-zG;bwK9ck>2$Lju z(uDv-TF}0escfk9%!n+ce2@ehH7xVnynGK!1#D3zmC1x>cJ#)j|l^j zirM>v)9?Uda5$U@th4nE)BljBPy_LM)hRI6$XZp4)o_xl4lH{ZR8Fwy4D2WtD44j8 zAQQI2hsPYwB4a6-pcBM_EC~suGu}uPEhYbUl)!=%(ILJ@Phk@h=x5{*G1gRe(49Mq zjjQIoA;~4ze)cFU=RifNBj1TS1Z6JmsKX(F_iKFP5cbxgm;CrfmmOV)m7ukv)-Vl* zXI0g2SX82z`V_V$fW2&Rw!4Y#0wuH+Y338ip)>wG&OI|4<8R7rB>1nANz~ zDISc!7Di0+_g^2f;KDQl!z@z#UNkb*%}YX^Lvg*hJf~fHnjM-kwMm0k9GU#^#;&K*rD4CJ9zM4EYsT#NJ5ow#XJ> zS#_N8Dj!A=jWruq!Xtty>?>g_(f{65YXSPfDyyHu{$VVmROKa%hnNW%jY3Jscz#Xj zT@0GhHG>p9PE!`~P=plO0vN9JcXWf$vZGn>>73|j{?dMq61wDP z81VLul&X>+@~@Cti&0xJ@dvOFiBa7!_8lR8h|*&~!-W30S#SE&NPNm4iSGLJux1J2 z09J9c6_RB{j&mLHuTx3;X`%=)?S0FO9VqXR%u1AKwR( z=KbOz;A)7;&AV_lWLcHKq#K-}wde9+vk9ORQkK|%9v1#-v*Z9wgh=?{U@@$bAEQyU z(so9NxL_h8!BytHLC~@#CYlNRI;tDZkYd(#^_xNAwb!6G|6++nKCuiD0dvZdg!&2r zYLU9~uPkW;BHUmjlYw9m#HJ-=f!ycX6}T>P?qx%`I(Ii)n z3altqeh%)8Uqfz%i$|j||C-d|M213(=nqv_LC<{Bc4W)7`uF*F9KlREiU{x~It|v5 zU+POS)R4J%q>YqUA07t=-cQOp(@wc!*L#YI7b(||>-*QDh&II?ouCylam&KW>YG(+ zM7b!80=~?o$|N$z8iD*O2}2@#D8N$$;}u{$!?R+r3xaT_%i{f+8OIN_z*x&Ea|~n0 zH6vLS0l-SH+6aAIXE(18nw0oI9;|Y03QnXsbiLlqcRah-Z*186H~u8re0y`R&t>Sc z@n7mtF)Zi${E#Z14^VN~@c-Qr+x0Y;|FJ8i7k#&QZri#n_~6-wt4P82)oZ|DB3&S( zGfS%)_t>dRYkc*+vwyzv-yi&F$g5enouDoO=2r-fbD>&4Mm-9hE~oee!|`vy zvZJ~RJ18n$aWL;3Mga(e)&Ot}q3FE`+o4yY^P=LUP)dluv4t4|b-)_Itik8M3S$?( zng=bz)uEe_4~Hkh&da)0IGy+f6!~v7tqw^}s2$3jJpZImgclgsX|&7cO$sxE5P@m;+IwV8$rCSLd{oPh>*U?U?e*RFd0X;*+qg-2?7*w0 z&~ltgDetHcS)$-tZ*?`|z`p(Gg7revEvoGAsa1bp??q=Z^b=dBy%>bDPKIb& zd=_)^QrrF%JGV)LDk9q3b2y*4}m2m3PMyW z6J+SIYd|M)?a;$-vFAR|>4OMr&$o5lG2&RLqRjoYO-R)6P}$ToSh3!xTA^zRp`&Y@ z7_Kc4(@SBhSI=mysrg{-PN~M)d~~*slPaD4$z)qa`<+{9IJV_vw6Rq5^{x5qT4nc1 z7@q6vjHk?o&GfO9*o1vKf0@M?pPZ_|i4je3?vhx2rK-IvXf!4mQQb<_Vg-yclBXHAH3h zKMb<|SoUG*aaj^GF0^DwOh$-^5&Nt?nZ7;orWhmv$_whD{43V!-$PDZ0!bI2 zi~!HT9jmU3r%ns+TG7~Vj3F$h>^Q;?S|RAWCi18-F)4!&{g+73v_ zvwZk^adi*$L*e*o2|TV6E_(ZEA~m#avdeZfgx0JNqK47d>GfBxZfLzX_M;34!zw>y zj@z-=aGj74ZJs|MaW(``oY!3%&k@wu3yX8%OiZzCUEu@l%$GGpZMd;`DyCBY&FaEtm^5>R;;(i^c`#*3n#H zO)l+RE*FWwRs$OLFavm;-yduusG*sypm0E|^s9Z^AI0>CS ziCqM;P2FNrI#;F0o7OLOhxD~8*H+!}!+<`OQ|_`jniqbC7FbQetu~GO>#|J>6|wqu zPM5HHR|5=jI`00~%U2cVePwCL`8rKW*2^+~%*l(>s)}iJrIo>oY$+u~egzXi^B_2X z+oKs)PDm!97EuV!f#QL8#@M46mQE-Em85Cl@IEYK>cEkQV)eprhr#`4wA1!BD7@tk0ruf~F({6xo&oLe&dc92!v9p}^}S=40M8>F3+bVYMKb411oe|DAjy7VZ;L_GOt z+pO}lbP>*Cl6fIuKOeYXE`!}QL~MeaQ|R8= zoqG#V&GRt%%@r9WVX`ouQ^G?QY?-rsNqsQdq2Wf7GsSFAMsG8HGbPFBpqJ#P8w?98 zWkw4%z}~HlYD1K7Ac{0%ir${eD({{aKaJwCzO$5{H~d+6Ki@x?&!HSp5VZhV`(Vy8 z`E^4BHZOE5LNG>3ErRB)dCPB!FMo5e7NrQV4N!*}nj1vq>bZgjpN#-)|c{MqnxD%z;l zBz{#8o;|g_4&t;TCQ|eUqjIsc%=Yq`;yd)^x7UeUO@DDZ~eL*L}(*R$ndz1fr*&W0a^4U4)Ah=Mgj~*0isp-vj+%Gyh^OsFeO1C_Z^UM@T%iZdKEjB{jcKG(*Ty zY=u+sPQ_$^jmTX~m0FCECNwa z?M*{1F5++74=x(B{4yrer7ExwF;ga{%OAx!aIGZANJ0eBtPBWTTYr-gasl>kV(k;S z0o8R_gpbxtn)u2XcJh-BT%HiO3rV`;=IXVGwDx~+yR(%;y{ir$t<$jjsZ9?eLZ~6n zZ`!?Hr(K8Q9iBrNuTw^n7|{~4p<}#MAcf2m0Tnl@{mCr#dYR#Zz-YyAD$JAQ%gSE@ zcy-2yyY$B{(H6I_7RfmI)b+d2knHpB@V7Q)l(Qf^pQ+0MIyATIwJnkJ}%fl49AQlZ+DH$4h&?` zdklTlb*Z+G*|ZNh_;EB8q_Etd-D9A9#x%C~yd`bAH!>#eY)Lm)c1i^%R|!()MA}A_+DkX>;n*S)obn@RU{cLpN;R=d{ZE$3^_i3w@LQ}?y12}+>`x*fWO0U4oPA0C`zN`HjiM-?CSaC3YmYaGViBI= zSX*YcKBd@_R(eV$DX(Pyk^Wkd?;#Ys7tT1$QPh((7_AXa`9C*f+K6?6yxy*r<{zM5 zr`-XL_uYGNL+m9xfkz?ahVRzvQCX zMdGQkUi%~ z+o$TYb_?ttXj$oeaqLB*L6IKds5~h`XxCI7kHUZ3!K^mzFkyDqp^X~G>QuG#FH{W= z+;&vLK>L$-t$thh_YNKNy4{nqg98xhuKHDP2HQd{Bh+D;(G6=PRFUY4GzHs2e+>v&=TCr68Ev(r=9(s+AAwU*EKSfn zYj@2LgFU#M-(?M~n9brOvpns2QJ@;rQ)$z-`Z02ZfE%?q#{?dq=b~B}(bQp`Cchb< z%Wv?pB6-KAnOv*6#NOP(%{KI*1&iYLDd$Vky(L+(?z&ozqAK{7r% zizl>Pnvq?zCVFN9I^-w}dLz=Ww$1dQxo$JP<}r{By@@RBCl zD}srk$QfOvA9TQEWbYAhm-lwNZj(5@9rGeWFI2^hgb(?&VAa9X78TV#=TK! z>s=Zi8%OUv>qevCWAy#eT$<7J(X+gbW}hzsF&U4DaI0In`^ehf>vhcR=`ft!d;^LN zPmuyYmZB(;vz>U*DWqr5q!xyJ7}{iC$ku=nWHj9({O8@l2}z+JXN-dzJzkQx)u(Wc zR6*fYQBu@7+oSKBth)UphRlV*VJGuIZ>p|P?*Qxxa&E=rsTcYAW7is17I7YS{RQ{x z#VCW}1rGH&%iQK0yV$9}q&a94t>9NR@%4UJeS6r>SMFu|$bEExY=VSJdZuc#@M#rz^!J+sd{iuZ72D> zv+m<6k0h+TT|gL2P^v zrcZg@67dUSVk}6@C!P;>9w{QWsHr(9c56*0A{b`A7BD4jYQ*#AFgs&r$RU>`Nym21Ra(PLE-Mu#C9*#Bke)8|H zNvnhO=O}1)Q0s!%_lEJ3nI`QNA#+o}B-_xl0AP(a+0IAkBMr(tj&YZR9h} zmt4BQkbFi%wX7^xSCnBU#WM2CB#BVsxOq{X^8Xe4)BJrH-XC;c&Kt4K7=~x7N=tO* zWt+3o>^T_Lt##T!4X!||V~x50b3)^Pe0{Ae>a^uIV46`6&!QIOlE|8d3U&!nvzh5T zatziS5>q;DKsR$^8T^1v*&nSyMkr|XszgrCDK~JNUkCi<`dG> zp9?HuyYT&?xh7O-*FP~idl$WSYSQC8t*Ofc&ITdp!+O*DT3PMP%(fSmcu2`!{z}^* zJRf*^R~Dg27l>tud^^AVeC*a{TQIBaz_VeU&O}t}R_WI7k$%-V^k+MD<)ls9X|XMb zh5T3YG82OSAE_&{37pk8~^GZ*g}@+RT@vU=p#S6ePSA=Xq>d!rd& zz#1cGy?OmgQ;gzlx5|YZ^<%eQhMF`YFb!LSfuT}IN6RgNoeq#iDWA^^$igyoS)$ulOxEuX>oH{d0SM*;9*F`$E;zRC-!=Z=ge8O0fq8_tp z7}M#W#p|8bu&r`wqi@cQwuc_?L{fZ1ny8a?%RN6ibV4mxASYjIqt)4P^Cig>yC?Fo zrC{k%a+xn3v&N59{fPrM;@I)NnlqaS<+t@Lv46ie5_AgzKbi%13AugyNzvv0wZwgi zzLyUEeVcdKJB*V_)+Q?bHzdTgJ?eM6cavwr$E{GM*V;^&CE7Z4pNrY?`pWF%-K(ND zYG1uJQI6E%vG2yFJN^mO-8h&~C?P)>^Q&ajk%_j~j8~l4M#fHIcB_!xKvD0NpGh>K z*U4&hy>~s#Zf@Vyz1k=8b~aS%DHch$aV3O{0i8$_z6Hy)9?rlEMh$y?2|3+;f;$g$ z-2N|XVz^mZSpR?3#Bl%bYGQae*qHxIdlznMsDh}vKjZ$?175<4*#$(c zG(*tcnbAtU3nHwH(eXwqi+`|YM9Z2l!o6g?_dN%%3k(5bVx(A;(tVGcqGOraxVZT2 zFDzWKwX?GNziC^`XYG{&V%CZ!Mio1px=7Gtr(`i&;RUIcGuB2uWIiCoVTjBn$)NM) z1V`l%lD>IbE+jfrHKIX}hWr?&N2GG&aT_LCN#8?+u^Qg5DUo)WnzDr$5GRdPki`d> zQ^~6MPw9jdBp^bGh{(u_n3o({_LvDKUt&=q7Una^r%~^nVI*S__#UVvr^4U6Z0TXY z<<$>TiF6&t%1b~V#!cw_z_np8Z&}kyAGU<1!ONzLyT8%R$HnL8F=ggVzuvKIa-9Db z;25Pf&$p{0#rV}E+esLD*S6R2-^(QSjka+PqG)Oc^-yjH z**8iKxCG^coXo8_#hf>YWTfO|LkoW7pecl1!ZL0|%JQVmke!W~2QO>iE75HnuR*81 zg(r9MR<;b8U*wNe6z7k@@D)qnRPoDU%6p;c+=F7Esa@14uGpkJg;HX0kXQXiIdVtxe9@V#T+7C-SG!cJUQ`o;l zmXj$v#@N-Gr36g;DBqy9xxY@aq+^Uz)08cYBWL&D=wP(GVM-)O(t8ka97flY5x@OF z+(yEok!AX}!ywJAkDZuIMO)NUinq&|$drQ7JCAQN*AEk&^3NU4JmIZcaZj~3e2O-v zHWzB8hqWb{pPEh5L&a0Z)RVddVCuW;(Tg-YtJXCJr--LZLdwwCklfghP8TkkPzHzc z%vf9!gwk^aDLvH`>2g(QUKQ4$<~yS!gK6220c4lO-HudGLQ+L^Py~0`i)m4;my12r zSt>*^5*r8&W$%Z3CUaDQB0L8(lxFJ@7Z)5RLq|!`i0sOnmD!Hb2C#IS)Qevw6a})V z&~g9Vws(Y3UdN;gVHPtgNJ){m zdM_ccRZ#b(gKG{8A!n0QqQdCPHb$1586gZRA#DMj!VS>efqT(`P9gN-gh=>^;jze1 zU>-)looO=0av(*g%YufHFlK{E8YPy>deHq_AWBFhG5>APDWP4^ha*RjMQi4rhlU!pR02XitQ3C3!lUyPK^9YvG{Uk$%#H-25onE5{E@A;;VI$y2L%{x?9-a- zFyiKEQP-i!`BJ^1fH%ret)A5LBFG_2y@wtD{fiq@aR25USDSIWESMJsbD}X>NVYW= zW+%6g-l(;VlCZCvCbHyLrm!+qO8Bz8thV8{2+5py7d{|52`Zs(fJan9x(bmI&k9lT z7s@aA9S?XC^WTp=5OCNeq@-2!9ZNw3GP0loxW53HPynPf)AmjRrS=%om}f6HTHwUi z$u}gh5e!95cenw1coF~b0U_|STU9f7X%TA2B;J%*FiYAhvm+iV1TZQT%nJk|hCNk) zta+!$_;(c(jNj0+Xt-~Tw=kXz-DCYhf>KF5(u_rpNhywm?vXNk@(j{el!*&HQiW=|l(0 zvl?l>DDwi8fAl9w(dZLfECMZ-(-1g^@Iea9JQzu|OHbXfVGF@*W1`W>IC-7$=s4`n z#K06p36_F?I5=tPla+!MrSYn<8lX4wJ4$)bUPw834tQqcrW?iTrD#_Dxd-V)>qC12 z3^7_A32mBqCE1gzKLUQR*-8IBB<*(`cugf8+Ac^O@gyop(PHpzL=>Vl31_McZRQA9 z)@){iGba0ZhoEtub!Z-_-lmYmL|_ypx+_T(6bdN{HG*i818p*NXb)Mh8FE&jTo}_G zEG-M_rqp-pIek{2{S){vZ%Z};>m^Ax<$eww_obyMCY{Xm3M8LJMt~u~e~C#*(NOsd z+e=b!I_?7oH>?K$jDcrS6e#4O_XvPN(dm;>6z}&lB_yOJQ+AE@>$oH3;c@R_Q;;%D z=_bu03??YjR>_4HvrF%^K(LUOZN;{FoqWBz(yQ0dkqKuo`8r8q2?aQXzcKKaG!bqzjzEq#HFx~hqg8vZj7OhBN$mqslfJ}aR=Epq3=y9x1}PI= zP;EB=&he>Xi!%)UpK*v86j~CqdcX9PAM9VnVI;E=i6!!kL@^A4&cg>uRu%|OR?!1L zt!NR}+8-GfD{6Ybwn9>p%D2%8{-N4mJ~`~AjAyhQi#*V1z~b+vu;YKTZ2(oNI9f7hJXM)A`)iy zc5@mz8N5}3oSXAZ zF^DT5arhPhCP>nm0uL5Q(%EoB`_#5j4$T##mw{lRE364Wmt+V>hFcD97mB9@d0c|~ zXUo3+TbD3$ak^ZszXS(H2NWhK^Bw@RV zcG(8=3o*+C-wu-qbs!D>N(gQUpS`-?YD;_?+V9VvYaP?GA3*NsR&$3yqrbvcc| z%fXX~umZnlh){pImv~C>45SMC*f9Mtv$29%NvrMJZv}(tqKsh@I`isSbQ9uy$AF$> z=!EfousM=B5{lxHgZeF)37HrDQ1@4duuyhzg#?}vQ9;W3=?^3s?AEt&<*dE#p2WAO7?Y&`mRE-;V3E3ZmUizvndXiPjBN!rE3Ijb9r(oP$(WJ~A59VDlGFnK46pcO6q#Cp9Sfjmvg^+tB zu-Lc8?un3?#1Q_01Q}0Y#Cyg_=>uRj+pVz)(_E={F;Z%P2wjVWaCQU1F!sHrJ*BJm zlGJ<=>3=BQV5Tjc%cdvc1X3xX-ovr27Gc4bLTh;;ds8jQv@P-?y!1tZWPl_I<~K;X zz{oFuk+u)eNJz;~O`$3STcco$+nKteCi>x2hqgmPnFB@w$j#g)?GheU8ItcLPfDVf zcFxf2OGw4&X_a!(NP8ogxmZcACa4dj=S^q&T4s`%lyr{w5OMKK*%Ct8GgO{#e#-um z^4x;7{bmJ*w&(4-PXGonI#Pxg-y;rn1+MM-N?Xh`63~j!<}Ma3H%Q{f7z!!gpsQ%# zlS8s2^wt+4)Nq&|_1uh_FX-#7Qz`WJbYAgtW!1dQf2vq9siHkw|Nt>L}w$8H~}2G1_{@?P7c$sTL&% z4-8f9r9)2{PNhjvnyheENOk#v!i1&j-dWh4H4Z40gCyzMGE=;K%=+CL- z-r6hF%r}WleLDE&KNL@#b$DXa$f7vmt~<8oWWNYNolc?hfqJGEwySj(JP57B1n0jy^2=n5s$z=n1xFqn;AusE@aF^C53j!y|5AxO{_)yA<&$2m{G^;`H_8EQkIDHCL zWyxDt=t8r7pw0S0m!xRtH@zq9)7YWZHT>KDt?BjP+mn)=Tj>oK@`yz@hNYIr_~B62 zA0DA`PLPTErxfVrkMKa9m+v3<`2_JhxSm8M|a;gwO)NvgGbkcf%dQeZ*9B}yGr}N4fLGtW0cB$oD`~Iu5(F~7V~S( za3j*2oq={-xy80k#R2v>phxjB>snthcD3w*YWwHaXL9ZCbo60}B~~{Fb4#IY!t(Y9>G76}P0|^A!Vg0UxXiyDw zN0?RsU!fJ|3-d3VzDy}lJ@Ob`rnRie@$(M8#F4yO&X6~(7Zh%LK5jqX$$q|>W6HR) zH5~SwHF(0MzoWRBHK@cLm%l%e2<^!^nmZk2A16(*VPZ!*@NUv7?Sx}-@6cxAMmlh9 z8`1#@FRofY>KTW)MP4x-7}u6iyR!Nin>9Ri{$^Q=Vbo(gg$|>w&b()A329})t4Upd zYnd^gjL+OO^QW*q)>F^$t_4xjM!Y?CdSmd_qFV z7YX8_VTRo&NO4h`B-QI%VZM92`TXeqd=px~@=-QouAfY3!hwcyd9ka9g;;ZY^CtIY z?!M$x=tLLHn7b`ZdtWVm8SC0miwEhTG!OyTYg)!QnyU^v5b;JuzZl zDCf)lzplF3jLn*T)Oe6dCy*HU_`}U#%QV2)fZynXVjR^2 z=Z%4u1MJU8VT9r!YdI`cr1qzX&nCvvMW{RUSG-^P~w95hmY zpWoHKDtmoK@D{H=%N3&{BqY? zfvfp<+7xojdLd^5)T}U_9aff4oOez;@lcF$FENGv{`OUnw#~iQSz)~#7j36@f-`6P z3wB*u-M7o@0G8$^;%R(+Dt-`0Cb*352%TcHTdy&TxOeD`euYh9VEY*3h0D;`Ul>U0 zE&2eO(42l(`$F|=h2EoT>qVLKy5fFY{-~Q!>Zt<5Vy;egj?2Ct&7mj{&SZg_Yh`HE4+`5XK-Pt`e$rw;|Phk-4Q{40Vlp+xNU|Y9-(}=Zc z3M8qxv>{{s%37pp$<)ZpW&oc%)il2jG-7eaXIAgI$-=gq@C(Ey9ysZaMkq{P9tfKn ziS_q^$Pcd3H@^2|g*pRulehK|7kVF=&5V^}^JXTdog@%TsL!-M$ooKgGgAxqUw`|h z_0xTJ{;g#dxUqxThQFV z`oHrY&4Y;D9X$LCjvUv5JZ2$Q_4a4*RsnWevs+V@7|~lu+v`wTpOyKT+(~gxA%9OK z>2mIzS+iSm>Bekgz@v|?FA(3Q$+@3$=r{(VzLyag4UA9Dto!&{%XblWu}`O^Ou{6nlMQKqP_cbrq*jC)5_Z8O<)q-HxuL`x9ltJ2;muTq zb#>x!tG4Lk8ji5R7P+J~dD$o@8vl50QTQT}c)Ga$!q%VtPEQ?x-l}3KBA#+6W|2mr zuuo+2&Q!SY4-(R$uM0X~v{Rn8%s4Ccs#yfbDp9RV{R>0Ac9OdNSee(MD>zDyA2h zi>R|L|5x~hx!6!-HHX?Y6ze{v_?CEt(V4YJO_i+!pFNV3j$_DB?EfXo#qgS zD@<>k&?@F)yds@diha!N>3(0S_TpzPT8^amgI2vpojEp|dIuHIQPTmZnQj!gJXpUh zbdF;4ZlytEUO3nAH-q8jwVahRQ#t2bY3CDjhWX;7riZrnry4*tNKL@ihCp+a>J%(I zy9JDMamk9w7U`hjPnQN=*w1yNG65Ra;7bV(TU*B;L{_Rvpi+tr*(LM}j7$s-__F@S zCHIk~ZMpvM>3fbgjqAkdzUh*~Dt~cj z_i6p4wz4?>DPQHCY*r$idL|a_zr@A~W^Ifi6$-0qh-jrjm;Dx9QIE(>Mu3 zeclH7sbh6hMo@{^Yrozf*$B>99y?qYZ?5RMcIBLnxSc!uZ)NICUr5i*y&jYtmdv|e zAwu&4!7YPQnep@X!*A&yGk!91I+eK8r2sjK4c_p^{eN0-XyVs)Zn0~Hooh??J($cJ zh2vl~)qWIAR)uMn{dZW-*$A2qVYB#LU8<{}gCgt1$WQpQ>pB5E8QYhNrUh=>=N}(k z1`9VWXJKr|#%_8vIh9~du46wKu@w&7mEm&6j|cp$JOql0u~%c<#4&J~bJ;RmDXe3G9%Gy*KfeABPi-(L#VxH8|bvseXf28pwyNq<+o)A}Ax|QQ&jVao^)UA9h+v-2JZvpG|#y)lLMbMDCX6D|6d(hoLR@ zQv*cXtqmRaeH!o`8!uFw-mpc3Q4WhpsGTKq<~lfYxpGqBad+;lmE4Vq%^L*j;Emg; z-nnzfD$B*6%wG?3E;wGY{Q93rM-Nm;kdu0N5TLfYU)lxQ*|5#KuDCOkM4fPP9p%?7 zbLK39JScrP=259=5MqpQe!1)Ld^v8<_YX=9pflvw(@c1fI?>{IEpnluCNcC?;@1V{ zfqn0h77+4dTU0!J6+DHzUOusH-1zsN{iNqst+MQUIizxP@2KqdV1bf9;ql~dzUZcp zA9Ys?Y});NxLc^O^*8b*&JR&I_$DC6BE+x27}VARuzRq*4;=y0Rk&;Op4Yjqm>=I! zv6pcb@N~jYKu_Q{8uwZCh0lc}mm%XT;B)41+H%`1Ij;QOZunmk1KM!{oE9u~?0NSj z1HeK0HFb_~!OHjRy8^Y<7>!n8^0L_@;O>5$|0!8Z=UwA}Ht9iGJ65Y-xM zKCYKLt5u%xzQOLr09K(4;?MJd_lw2r=Tox+?s~#}qQfx+erTYZ;C^y{H3}UG5GTLk0|U z>V9!V1Y2qwu)rEdard-;9`APh&Q^H%F>~ZR4%~j<9Xx5Q?V(=OfE0RaN<|F_cvgw+ z{zS{aP_PJalH+r4QHVILLGk!Wxi^(Dlivjl$n35E%+@~bc<5Ph9K?y}G=`&`gi$$lfHG}DZZ&$zpj<8`*h;XVtjO-5ntsBTK-ne<^d+H4-d zXWZHe^gavZ2%iPAq@dgj8j-uRA{z8IwSq})HoFl_e(ubqCGLU-A@WNW2eXl@IzJ|8 zteMw&DzCa6vUzfD2UO`yrL5hG7deRq@n4-2qTn;8s`vE;@w1*nvwMC2a2f*RQjoOF zcihVIpTA94)1{7p_j-SNA`;tPc%`Sh=e<;vXXqox+g>Rf)*Cd7)ONCb&f6BMNV23l z=#6HKm%n)RSp0gl{rM$>G&I0tj z(@V}6&w6C9>Xv{GYi$~{WV1Hh8$^`*N~sL`u4UQv#n|kmZ;pc+eCxFp4yDGA-|EIc zT3UcBnqT`KCZ^_;ri%T#q{)f;NB?ouM(<8rqIQo(*FPEW-kLQeqiRBntNM3Rt*N3! z6RmS&W^>P^O&?P zaAsg`=Zx<~7ab>lvGhCv7XkbG62TtcvX3)vB{omR#{%cj9X&ggZmoKW_T$8>iy}Ei zVlir<_1EOBaqj}>zZfwk^VG#jFIwkB->XLNOtzMyM!k(~nW?)ru`&Pk2z8s`OH8t@ z-a#xH)e^2^u4aT#`O5KE8%{K-IbgG9sJ>38@%MrZoEzfaxEXEbJqV!0^`^7NE92+~ zMfH}m#&7t`H?q$^+=2JA&$X}Vo%AL*g)l|~R^JA&a*id9lV%P0mP%2aBrIRn?hi;h z2DLZ8GC1~&9b@u*PmI=_F1t4rRHyfg2V;mh-V<>EK91z+_R5YXO|Kfn?gwqJ^ZJ_= z%l-Rsf*P(p&rZ^zQ)C_ko96!|1oiaS`?SR5&GOiLbeF zR4_?m9CisEkooY^duRv#jmH;RMYITU<7q!1d>~sk^2gc#J(BaaihEYkxKockP-5P= zu1YMzD8e+z4&R$BFR`>3oP8fZwpp))qx0@jXOf9qsDjNf@Gy=OI(NKU+1_gG>#>Ol zSww-&H;n7{wUzh{dt;#9)$%=HKnefkmXvt))DhL#ZO7`MTBj+F*-l}$>x_~SQ+{E~ z)2p1{gF1VKadef=FE1O={9sGM2qCYrg{J2$btU4d_nJBWS@^(~Ip^}qf7+A{QJH@X z&T3WKV#2mi!cKHYhE;=8;pwLt!BlPU6jPwP_LI@8Fhubpdt#So_!zST_e8aRePPHi zM^raY4kYrjYLDNN^V7v&i!Y=q_K)9t8^7W7g$$~QYa1w&;jD$EiLqd6w8@BWaYhPJ ze7;Li-_^AVpT&E9nO$U-Ppf02XQ%OYAm6P^?egCdD)7&=hi#d4P^v(|L+31cK96W@u(W7HTR|3&A=&tAKPpC!V{As%)h|yj;eNoNCvVCRDxy3JGsO(QZ@yTi*!)GTh`wK#%!4ls1ajn5(Jlx%! z=JOG_9n)m&LOm>}K|JY1-l*7;$7^8%g-o%GnT!_YS}Gcn>E?8@LVsmK=+`?XF?kyT zBGUTa!}JwuCy(=i58~?8VoMsY?H0>xjZ-E00t)^r7Dr+!+oJKUfmy|<3WM3ju#^V* z$D#s?>uP+C!R}k3^(Z-r>Jc7Wm8*xR7vrc@&u*@Q{JC~-!@XLFKj-8DH4Fj9j*3VT zc$Re3kQX84+YmduyEBlJZvO^A;PGB$K`}C}OZ>UUzDxY>fh*>ilWn$)cX(@vw~I#r zZ(eFbZPc_5K~{b0I^b#lR&|!xL54B@mXwfu7 z!nZBD+$D5R^a?ojSbbusqp-3bl^wS0nIa9nrjbVF)t)l6q1|5t>+8q*b%?j=evOB2 zH)WRvf<9h;OrFTt#QC-H91#nhA)QU;2qDC=-HVJgt1-H!#EZ?HQIi?3I0_4B%Ufz~ zo+hP9Pd(w!yowSOcARi4wrCB@Bul`t7{a#iZw$Ppd6Tq)Uq<@7&FA-Afhmn~`2je) z9k`W#^oA(%7NGGC%%+43O7hIegKO7j05PGRq9v9P9&@g$?;f8wFFVy8Ow4aCEhrBT zDj1y49rl%bh@K_y!fXU69Yv%M>sgMcb?$%|1)=(i*usPP$eGjK*_5$-J5iJ{Q2FtFbo7=Y8gFfiY@hIt6PeHs6fSa=9@u zRGJ*t&(J={E-pB^nQprd`+xH#QsS$zzLSlD?16QXd9(NeGiMcWS zV1o^`XyED86~?PIez&JPZ+%SiL}DL^)q|$GT3}$9>z{ci8f(TJ&%*mny7%xr;`b1q zp+%kerp#&^?{;zScKscd+JZx29O})^ijgHgs=A8Ss0|kMQQ)M|6B(Smoe!z$St`cz z{Fyl7X+g)yvnk@~vf37H4I`rIkJAb@8=-4~jv>=lgL1rA6ol1mOQeIl)q(K1wSXn| z=BKf^=b!fb$>(CX{Tdr~o%_(Wj}eQa%Ld$d^r|>L@^k!yhcU^SgE(qaO7ZIkHub(* z^XqN}F_ScfPOHcZm2~gSf|5D(S`;UzJ1979gO(BX4D-a7Az14U9{-K8Zw$^P>biZB zOl;dvY?~7&6Wg|J+qP}np4gaZ;$&i5H}Cy->wfjsTeYjZx>~3E?7h$FKI^PCO-fj4 zqxXkI&dUW++F9&nQ#lOb&tDlscWIF)dMl=x!E$e<8?m_yAzN>KP7U4TFXpGJZ20&tgxg zRSdoKr|5(y?&F#po@G~*IfU<+VG&7OHzrnRr_bThS%d?PeSefy%rY@e4TueHKQ{$8 zbFDiBB4*)!(??~-SvASbnP%`xCQGD@CD%)Fl69j9Mf~Rv-C^|ye8(fl!RvaswjORm znc3m;Shojv^PUImVa&^Z>0gzLRE^p{;GlF_rcP>gm|g$M7k{Ow<;ebh`AK(TeFPc3 zq6Nyp`RV5hE8O%ygS0r9nf@z~7CY*rGw(sui&CD1s$Y%N1H#pG(}w;09mEJOzlDbCZf#cm4^ow^@YT zWnukEp=OPW=XWTBx*;*h;jok5ZoN*F##njs!o$Hj%3UCAq)nl`jfEI$a7|ZEnH-Sq znAaAA4OiCzMXl(5g^Owohd49uM9^zzy`hLAz`D|Wy9%(3?=wtQC0}kyZ;xKd7=2ZJ z7L{jqgEHn}NVc;^qzf3dgB%)nm0w31?-;@=INs!rM(%lMW3P2#hETSE^MlplA!UAQ zb!a`-D}Xxo{4BJ?J?49P(Anqy>H8eSL)k@$vnqZH5O8{zlgA=;-#y306s;U!O^3L` zrKL_nH^$x8t(-KGnIKJ$O`H zTG9YYXTwo!APD9*Dwcd`KG24HV_Yw+)G2lW5-XYGR!uz_vqx~O7S>U3+u3J!p2T-D z1QdK`K#_WLGi1zx82AI-()?Am8TscT?mUF;K%+r30QFza6w2ckk0o<+>j~cjisq_5 zV}bXgQ-aWLZ+{D%&G!AxwVJx8bOu-{Hx}utAs7R(z&iz;?x!V=y3Gz7?6$u{fFpeB zui6*Wd}#kb3W(oKaK6YPgj2YVlRnR@25>k*=#hr%mK^qhj0n15G*?{G?#};c$#(iY z*PZIMBUNiNh7tqzrklC1Erroe8loH6220AGZrkpSes06qUznQ^*bo2vhYkWj;u9@e z6lY*IVs5}aSf3La2qPkzOJM0{Cpd!r8K)ptu;>&F;}bG^L~gs#EHiOp4rxJ2R~h8?j` zO-8TfjkGB67;#i}$0QtgSQ~(&n%tyw*+f!#5J*Ay&P{WunmiO7@fr-bgK;tV2v3Hr zG&>+k0IGi=ZD0s(jqU0`_u;0&j^GwryD@8LJCeXs#{r!O5L44HxdKDY0SC-RQxomF zrU53*wn(Vp04$2qa6gI28Bp=4y{Lrt?Z|j_@%1D+pnQa^DG>JBSWC^f7N-z>fjuuq?Hx<#%6 zI3gtqB(cS+i!VM1aSDdY&XEr08i2{#?wBit`om`RmOP0HLQi$2RHXu&;S!107Su@O z0~O?K^#S+MX4>TO%=N@!wP{sYHCK?kfN@WV5y3I$9)02FgMMg#V>oobC>cQLV}JC` zlCvW^p1(PtWHh^ke>4D1L@oi-6ND@N$B%TdUQr24h=zx7!hTtcvxIqHBA7rRBt1|9 z0XZlh2~vGhgOLy*qliZW5*@t9xBzAutRd%^Z!7};%;qO1?+@t)NyKtZaBK34^exoW z*|+1XZ_RG_PQ;~IoWf`(=$&kV!d5TS9Uy^3rYl|uvG=WP5BGz(=`!9>zk~;Z9j_z>{ZKhFZp9Z-023z-4LmScrn|hz;J}^$02%-S zx*=a0qyfAL-9jWDc2zY z4_09#XBzs`o=wOwymlEXj`K2PIGCG!hluG1&};|N(m$FR_U}$ZaC_u@=U;o)$!2$? zUPc)8pLKbmT zAMxI6f(=Aw;@|UwyVSt5qGASpBS=eZV<6SHQWTLFCboVD1`miL+B|e2%2}Nr9Ed3*vS+Rk9R(n{1Vbqz zKDTF0hnbU!_6G!}3nJXVxX*!s{2-c|hhq1auLTGBQ7&%!0||g$haw1|#7hUDbQA%` z=L4&8L4aZ!wzj&O)OczQZ2=v()PUiELC))b+-zD{peX%<<1GLwo&#!%wc zOs0vn>F4isKZ&G-xJVWe+k1#zKs>-a{9nRUx~czp`&SSOsax?_Ij4uAKUg9Hu!F9- zF<1~QULQN-oojN7Q^MYVu`MQ;5>g-~kL!{g-pR$Cc8roE9?Z=cD#r8E5GK(KmuNhof?FbXv>gE@@nM+ZMrsvSnMfSm!opEP zMu?dd{M$uMi;3{ZiI@d-MZjj@zZ@a6flD2_^*9k!5|@#J-+>l2;uKkcZp!PAWj>ei zMlZslQ62jmUKJzC3>_>w1IX!5xBPoCavK`WPGP_Pw~4x2;;y*qwya`~M3+R zB^Qo6#KH6zwBpeM&&wqb65%0v>N5JRNo;%>sPkPAE=k@Nb)_>$44uVCL1DNO{a-f{fs4;0^rU_}Y; zLGBZLU3EWd>jo*(5XP?MP9$NNrASyESBWaazpcYKP-ol~(3b=yHJlLNv?}0}U6Q=q?Sk;`oQh+HYpMQ>Y~(J?NPDN}qi2&Bo=Sm)4Hn?Ta?M6*u{b zR*mh;g2ZXvhxmcMNCy=}r+^9g$}q-?F!ky%yT|l*+YN0yyQiw@i&_n~wkJDtm<_e* zhqL9-O81_1LSL@m?t`~N++B$U!~P{Z0Dm`ge2j8 z%S=2-*KhEBkEuIL)NXzQ|HQI48v+FL2Jns+H(nuo7ojgZKtdr zr+di|Z>^d^^id=uQ}or>Z+xG3b$+-H{~9G_JK9OhIwWQ597@}yWGJ5N5xp-*$Pz39{%J zq6v;3;<8RG(fM4Ob9i(zN9G0m;%AN6VoWU5j>f4WQHG{wG`DFCeIDczyT92^8YL9? z9}*U|i=lA(0!Qoej|eE@rVMWq)*KvStrlmG*esjvI=A^Vw!vbAuj~3?8gUQtdC9xJT5G)&Z8{%6>NB8*=J_fx{2$P%48PmTHAPD zz-G5ru4ZEm;>wb~$(N5IlLV@tPPpE!q1(|rd$+GLmp>)%_^|H6uj)svUD3eydvtrW zIJSKc^zAo*$B#`TbNhXz9*>?r8{HZoJ~`P3v!*@{_xxFlr$R&YJ#bIt^LS06A5Ty*d*tB& z(VMOWK|!EnRb*x3ToY5iN}vCl z=Zg8`f*#6s;mg{G#Ios+@>H}*+WoZ`JIgtWuUB=Ez*n$W2&okB7b_ojUY-9vUYBD; z^=rBcVbiIR>%#Bz=%Gj2Vl#217 zHWbC+ngExJ8?|Dq^oU>N9fME8so|pJoi}G+rZnX&WzfSzgjR{r$frzW;{&TxDTJWD zV1xQALp8sOhm?zlV(Jv>7<$6xekjue+Ly;I2z|&0a*R4fBEvLPRps#3@^aj%(WkZT z!+Ee6=IrSs(|Fao!C{6gkhg`39!Iy)b8qk6Yq@ZDwO*naw&EJG!}k0*c zhsNUF(!&E3z^#zk{qp^ovzW8?eV~~R@y3vy2$7Q;p;_Lrpgd(ob4e;F&%%t1r6)|i zmX`fxcE?TCZuc3=mPlRb%1E2W$^{0WLQ_~EgNq%=&K!Iv7OLEj9RugfRF{|dbKymp zEUY+1bI~>=e+y=}TkE^i=-GvvdM6c2VRc-dI{%cUL}Me{D;3LX#ue#AeQ9!2tR9W|)P?AIn+cv`Xp31EE4|#*$(Qk|Kw~F}#~rGH z>%qC?>j@ua;@UkXp2LJa$q39RTQ$i8c z1o=<+V3vx7w*Gkma^^_?Jxe{(aFDoT5wX>gr0r)$Qqw z;u|C0`R$+?}ycK|bG+on0B(W7N!tIA-za`LjNc-Vf(52=Lja%<_*q$MC3 zcZ3m@e$y&$^ptNlu|AB^96<+{xrT_d-NHd*Zl?-`vmHd zC!bRG^u;q?{A8f44NG`d7xbKMeEt@ZP?wN!_Bz2W^y%O-?#Ic9t5;BPnFmC*1$!N9 z=T-c{=63IU_elg7Eh^sN@ajtiUq=F|A-SjllscCeeBNh-j6NY!`N64)=BRVVwu3UMa2S zQZGkgR>qBpkAp~al2GY;&bN%B3?9lA*L!VkJYEl{g@Q?>v!9bwD+3pU&J>}HTMD7s zeLFo{FJ}v>o913m{NyyvP3OovdtI)d~jrGd{cV9tZn}`(XbrfC8RkOq}Y?#A+ z)0MSsR_7v4ncbBJ?u#vd85{3<@-}?%QaRBg@m8oQTPe5Ey~2e5`8AqWsV10h&H~2I z2A8xaej)Q93g2*-gqq#9TdyHQW$NyzSX~3Zc3-4DQLL(1!{)+jV;ioMuBY17;^^jDdte08vZxNu8 zOnFqC1J9l%i`K-=L*}gUReSg}=1@HO`ra6j_&V)qVyP9dCKt@UOwor%ktK2@`G%Cybsg?D3DquX7Mi+1Xcq ztJpX(x5=IhkVN({@ou}UtcTOBOu?H|kejZ<+BAaEFW&HNI=4o@RZpqZo_aP%tmDj+QF$^$2pN6k9-t}b`G@i?Hx>~#S0 z=;Odw3J>!AnqFmj?@KV zb^WR%v|{Ju36X@gZ4&RJj8Umvtz@2E=b%5Ns)?|8BF{1^j{tkM)zk4-1;K5jasOlS z*S4`;Y2)00x&N-6d&z$$laK!J<;x?N7S<|il&94;lh%9r(Jvj&oUc~zLAXlyA9+vx zYZM7KlD9IW8O2C>omD!mGX@K8pJe@;z1PR9tyk9zMI-Yodi=qYe?r~mQ<&<)nGuDB zW>1ztT(hxSm8__78Nwks{={S_S|n(dxkuAaj5h(i?aCeu;X@fD)t{Q zuPml*Kle(OJEf{_aW;EQrF1_Qs`NaklV`l-=#6t0vsO`V_^p>br&3J#q*}ABQs*Xf)19I z_@j_p?5?hz+=O~A>AXazd>wg|A6{co(M?ff3_}GHAs6Ri z3VE})P@1~CxF~GS7z#5f(b<|YeRoi7X)OtJXSsTR2Y86ycGfhLb9qVh1`^Rp&*;@P zU#nY5;0Qp*|f72LK8`aOrluUB_bu3xE1f4l?x>s$tF^iG%Gpa_j3la^B*fKxZ!u8fZTB7eH+b6q}H4Avu(6u%si=E$_)8@s7xgi9bs&t4FDAAQ_J@0GNTxxqd!CirEX-iHxf& z{&&i_p8^F}0>@FznRyGH-*jAypg(J5*}~U!BPu>(8k`IK&*834THhxMRC<^W z6g~2x(1Q`gQO{YxEhzRlBr%z)(BGMU*H9gChb0Ki)HgP$yttIr%`ICjen97DQX&0Ht z4F`@)$=B=kn+*n>Pm^+9jX6$|mh*Nw#S7@8gh!&I1RNJkoKn^qES;U4Qe^q+lxEM! z`RcN=pi4!Q*1oGI@t4wss7xMu)pE|dWnJsK+>yseATNUts15(sJ#_P0w!3b&H~o>} z)s`7@iRMm6_<|MlniX@!7D#Cx?;y=rvZ!lMtkmW4?Fv}?Nc2La`tt91#@M4oO(>3` zjG8h>c;=Pgug=H(f%fz#ISWba-eimUN=2V_Uj0CF^?_fNb{c^u%t*=u z&t*}SyRo+{JE~s+L5d^K8=|rl!iEKf|qC1n{w}b1C(B%5zodGucV7Y(y9pNM*C5 zIUNi0-{KS;@p5Nmx# z-+S=qN~=v^>naZ%MWw0^Sh}!_F<0gMSdqD~uofNo8aa{v>!=~c_0wlu3j^f4$;eFG z*k~c}BmkqWHbI9qZY za{zMZ9JLr29ZY4rlfF)c8pwIBZQ%%XkY!KV)^NaU-Hh0<{zb-UX{_t74N!eqZ2P21I%kNxhsTyaVgdSEm8<__vRHZ6WkRfm*v*XFP!8M$8g69 z%V<~3F@89w(gL!F-MZnwnyEyr6Ws8UL1a9JJoy2Q{MU9%Vml3Rp_D7=9|LT~o%vZ} z31cA2RocHlh?1OfY6=#I)q|KPuxIVmnH8F=Dp+DSmSfbu7w|ok7pI}?|K_E);uRp7 zQ}Q=dUm&=bZOV8vXeoc8QIX_JZad(z%Ij_*BrE;J@=fC%bbS}A>ki_xI!%3|dv>`G z4P&tI9BwKyXLd#j$s^si!22>q!^RRgR^E1z@mRJ>Em;(K_{X*Rr$3XG%h9eQ?VzN` ziWt3Tg~vUFT(4Ai58m;pmqW&-5|PeRq;wVX68FW)9Pd>K0e=i%C}e;v=m_Dqk8zWC zA=8^%JrzcF4?I_o0N__FnRKRApjps@xcoSf81)~Ohh2MjlZL*TMs}#94K6!1*zxQ#_R zkt_gmGP1D2q9O<>j3`LLmNUO4m+OZ;)H%NKYmJ5aGD!b699}uwVxtjCx;&@;EHKRm z9uA0vgkqs@a?dQW!qBsU153yZZx|MYngQ|4oz(z}CLC@G;J&`{&513GaE0wb}$ zz~vVt!ViQRt6e&%pqeuzxOp{Zmgv%gTBL|M;YcCQW?CRg(Zw^HWsE#xUm|Yv53eZ@ z#C!a{BSDC<{CUmmIiSX`Gu-lZBx8AdlN^V{IYV_g2W!4SgoNRNp^9)3BKBCxpkUB) zeFXeO72^FKh%^+k z6`D7H0iY;|1^{SSRuRQ3e*(kL1s~%<04TIHF!@NFF3f_Y!jjQQ0N}m7?z0On=p?K> z6r5W4j?N;$En1v@kr{G9aMj)#0Cb5l{Ybn(h5pTCY@oxE9ts&Yz-pdPg)3aBZ;lsn zkWhxnEiBi)QWViEwC%|{-vmeC4Q7?&xeQO0Q!wy7rzD>;Fr`-1R2;ZK8!SV@$4sw^ z_5vM{ePkw??HV5-UlgPeSiCakPV^Jdlnc+4-_jo{Q8cHJ_5!j8AQt3~7sUE$F48+F zCMb*=+n5CY4nv0;(HwV2bn8IEwbq!div<0%8Hjoq0wCYWZy}hXwMb2*RZk}SDyr@< zSO^Mck@pkH5scYI6(|k~p zfdNn77){cY0y|>^1(+2rXNJf(M_%rS|W|EP-xsyKQbjO zrybD(*cRl8BGo~WCY*Nfew2xDUv`gzW#=0XQ<|7Lz-gX!7zC|wMj{bTEaLjc{L$Ce zkbqpct0UqPXf=)zAqfdOrE)P7P2i6BQL4EoVbMKOhw;*PyaFXSCeaQZwGujGe@%yoVTzNBN_ko!Y%GSFZsk7Qq|Y>R9U5}L6>4&!uepbh!u&2EM9%>N zyv7q{#4coQK3N+BOY`-DE#1Vtw0P#B=c7UvY=umL~U;t~QvEV^{wF@Xx zrVv0EIWIy4t{#MieQGDXm`aPKJa{wp2({V;M^WY^Jc#rro)J8LXNUGn>aH~u)!HBD z7Xxjdm{SkAxvxK`eC0-vGZC0m|Br?tC@xTN5(A!CKyc%BDj_4$P`Uiib6 zsY!_2Wdp^{bONAhN3DR81d+as@8EoAHPSI>A|}Ga3M$^1rSAk{S+jo*qmb38#|b1O z6agg*|Mq6*Yubt=Qy{#6m5^{!?N6(0#SVm;8{U}&B31!|9GCRZ)_Tg!5#)%HVE=BF zeZu&q%%ckM^@0cpIhy8O4VLFb?uU3yZqGD{m0~ee-n_sVl)2kF2&HrKYb#*!uHd1$ zvqdm+98*IXuPTe=FRWZ?g9R}b%xOFc=Ajp`X_|<8c&C3tjjs~htT87qY$#!SD4I>_ z^BkKT!tfJu$Ow1^5WkEd7W@x(N8kTi5~w%g1e#s)W}qiX&_O4KI|qCxp>xJf1jPHz zUtufmpvUDdnI%NK#pj4t(AJ2?>1djNkj5t@6ULaCtVoD#Gq6|i;Se!WsqwtCzx|qv zfRaLKW~qc#^4idhYqzC-6|Z9eBWSSWs2ygxYyEw%x4 z37x;8cqSPNl8C!>vDqCEKs>-Ha(M(d3ge4p=mIMgkEeaw4+GhyJd994FB2jAl(xQv( z3OdZ&BfUsEWGoGw1n7~Wn2H4b1eRus;E;G=!d-^y}pT)nt8FCCCvL|qZ&T-|9gSP^rVBc8WcdN8Uz#4 zBqt%mqUzO*`?N028o6|BQtbQMeJd**{PdO-mn~2XL2DJ8wjiD=hOvo&f!wve)3V3) zXfeBcbvandVOSoMT&3QQm>G$O78E0qi3zlT5i>wzhW@cOCE_6Ydlu8`K>6&s6o1)x z*gGb7DUE7XZDIE=BPJU~*Vm7s#(CzK>x|h13+|z$z9>i;q|vJOVAIuA=AVv?W~VGG z`MA4J?Bc($TVJKq@KYO28F8H#{4@V_mo;zTX~J#ekUm6ewVS;>IyNiMMlKyc#E@N> z`Z_hc6|NXCXmaH(%ZUO_Ik36 z_B3fkCgo0td%gdZ2RL5Q%z8)1QGTfYP9GZ41VWvez=`GpTv_^$!_M&5QckRBM z4tci7M^#;b?%(?MZqlgaOQrl@@t9PmHI1+P)fFt*i-08H@*W=$r9l(iiA4@ z!i44RZHy{{?8WfgLFFCmdILo&h!m)0#46+)DIN2hj8l`RuOB>riAmfAfFJ6`S-@PQ z9~1iFEX1kh^}N0-ESaLH-10CvO7;K#SWhWO15$^Km!ma0e6^R27t>_z-dSV>oK2 z6UpHB0O!@|GuM*)FZA#Lqf6{b~=Gmd4*Wk>W z97el!b$0dMxbC)^V{R+j(&ej0wo^B9{Of-0iTRrC3CmV==1{8f7F7}y=uG&Er*5%gX^5Y&!vm=vYHh;TBdx|N(!I?Qx zYpPo$<@)J*DI^r-dTDP`64*QVuE7Le0aubf%wcHyrQrF9iRVW>!)ZfMnn5m+m@DcG&H{lrwW^mTE0PJ0-+ zn0CAo>-o$-@;WIzmFhuzBT-gXs5qu5@JWu%v>~cop})oNU-FYf_skq?GA`DyV{HU8<$JZ_c+yqk4QYZ7_EN2Xj_+ zwdpI&`t*Wi^|e8&+9edZ6&okPeoKoBpKr)QOuesf@nil={Fgj^ZrbD>c1x`%D zyJM@&RblptmizD{Hl&)Jx+uHAzr0X^R|I9Q*uA2}z2c(StK&B0oIV17ZR(RiMRW#< zrqUppS{9~56Q*PRMAAov-k1V}IBVB>)aj!LE|9$T=lz!WYdL~r=)1ylp?U+#b@6M> z)`7^RO05CK4M`U9ucxriB}G2fY0}r(D~JbQKd)b3Pa~Lb+$t#iw;^$-I3t=V=gN<; z<^o)#cP=cDhkjR9G?KLAX}pzMXjRIE(P@=Tu|+wt++O^ENeN_2q8M3IGL6^>>rqnpW@DHs+^1Xy zyd`@nGU$p506uy+RH=BhKKC-9H{f>^UWksumKM5DLmEp@x z2{6H=#5X3*pM=9>+G3C{l8Ku;{yd;)1zyVLWz)*;|3R2oX$)wh^o*Ts0JT+`G1EwVqv(f4BP?^7btQolN5H5>8_=kU zkW&Izs*Bd13ghS!eQ8tJk;P$eU!3Dm^^~YJzp>OVp$!a2%6g_nl$6vN%YL zaapD`sMOJcE5pN>B^psUn^?2ES+AU>;vVoju>ykTm~W zwU&|MF3~!)aWGpX)O&vK;X^S;(l{Zqvmq&AS+1>P(+4x~KG} zB@sHZj7#IEPw84`@d>d7G>Yp8liVMAmehgz6CL)X8_?0#6pW7ao9*K%Kh!*?h%(rW zS?~(Z=rC}NAGlBEUkpdj?m{Y4bil-Brf@krzV+A;kcMeKm#6l$D!)Tp_kv+5?HrQP z(a--TX>yt0EkdI+UF3VX{svuTL?;<;QqEMpMD)7nE=$-Ub}ulZWDNgVEzhNnUro-K z;Y{!N>PP{+IMYQNgz7v$`+`H<>`iWXoF7IY>&Au&Q|*rK!>cJD&N&LZ6wlPtuY=$c zO_OP2_7EpBOrfP%)4yF)u@X_}_OHw->6iB^BBycih(m|H(RiB%UFyD#s$1vo*0MU= zw%!tQie_aA7X9@4bX(srTbgC+jYYH~AME|)_gtl?B$!!&Q*;%>O0MZJ_$xwliN7~| z9CesdwnQ*yPG4#5aEj9w9qX4nvImxSqmDz6u8dL@do*RIT0+SC#bOH67k|z+nm5wu zy;fg)tiu@{PXZF85u=y>#Qb0TWv|4J>*%(|P-nN2l_RX~?%t)P%jZo*agtb@H_g)< zS*gy%%!6o9SodrxoWYyYZ;(~NY0@-16_=I)=O6{zR2Yzdj8V0v`r+QCIjyIcgI7{+ zdvic3YVli~rFmM}xbYXg|0JjTF zp=#V7iF8l1gJiL?*Z%vGl+Si+5`ChcZl977Oz3R}e(q{~u()%&lo*Uij5IKWJ2?l? z$|n3r5?>V!ISDFp`dmKD@BMTwPR`f!MSvmC=Si7QRn<8|N(M$Gc9Nu|^S*FUH++0- zUS+c}S;`D1eZ(YrP*;k0odADAAcey1FRewDEU47Uu15|a8B|toC0TP1kyTEM?r+on zXi2i=(U3C|2Qora(#G=!OO{P*<{K(^bg{b0cL& z#~FpDQN!T&_Rg`L`cX)zO}3*;p`DG)(Aybx8Jf` z=;3I53%yc(7UzqB-b0y#)Fr)G&eUl=HvYx6Lx9XK=~IK7y_LNzjR&)OvqRrxKOiLr zQkB0`|4^bQMYxMC$q6s1?-gT}yHX=U=NfM3aEt9vI$X3g{bUtQwrH=`5^qJEa$B$V z_#8PtXO^0GjpE514z!=*K-;&OGB46p?))xEv^3q9(R=s!Q{Lou#ehiR%G>@1+nT7Z zsJYPw-QKRYsJPMgZNFPSPzR`cr4oPSTbu3+2TBLZTIg&q2gWWv)O=fxwnBOJ*%i;J z)-icXdQWthT0T$KYMsJKscw1sl42z-G_K>$)~l(MM(=@@l|oKwu9|ik z)p_yewOyR;vp`B2UgrkT*q9}Y&mAev`4l|ro0mHhB`!hh^}^xiL!_SMI(G8s@+N`( zZCi)Vcv{qG#*qQGTT^e16){1$H1{&exmMz@ACeN3AF6^`{lRaLa=hw~ zsOnrVwr}H<3z(t_?O00F7X!W;`m?Oi=r1Ir=qcYNzxYy>?ceZacE3LyD3Uf5V{cmI zsX9H3jG`=oNdk}@uiK=<5f$+IJ4+6anX=0YJ}W^7<3gJGB+AD#m}?7DE#;$i)Sz|P zFec$tx1ZBwm>IJqDVi)?-Hk*}0!v;K|DYLP;=W4yEbDkwCn-f+ho&FirZu}xo`IK4 z@Lu^maD6eIrLuA@P@*TJP9-=5G2Bis)XEV zL@*mkH(WQI(S&CN^Ygb$re&zT?+ez%>f1w2*!)wg5|I*-yLKnlQiJxNtAjz`Ras9X zugl#O%g`q5Dkq6YIatsNm6&&kVxssTvDUI1AGdeYo?YHO-Ro*fzfcHo(Q#L;rNp(n zr7pqk8}<6feL=pjpfc|p0ER0;BE>#5U+^4M#9Hx2YVk6Dak*^fme(06FytG0?ch@` zKieoUFfQb=`pZ*Q?O207f_M$?WnwbuDzZ1vZuxBEBJ2jXO#JU?SJD22vdbi=rE}Xj zcLeg~Cq&@dWuYvJPaEjx19gY)s(c^bS86Y(G8r<)n;1qgd zyOA4~AHIFVjfG`$rjP6yzl~w9m6_8FY|JLN{E&S_=3EosxnHuL zIn*2Zx_K4Xo3vw3;(Nea{6N9++c~liZrg8tD;H7Rx^!*uXzNz*Cf<0qtol2{5qW*^ zad^AOT$!WXhNQ+d!T-8dJvc>I-d#!WyjpBw#AL8KoO|Hf$hvG)zl zzT(TEeBLiNrMY2(2j7jv!cvw*rZAFq!EN5q2dURrdV%gmO0g}*&8+wFzlXb_7&UIh z$GKAomijNoTi{od;>mn%0NIV31adz~-EfuY&t*k6N2y9K#7n{8D?^?Hh0mssc?LT|*Oe*TGR`hy{nVwBR z%nAIWrD>OK?huwn`0=BvXdk2^t7uEWXc$Rw39|9d-D-1i#MInSWz&A7ScgX4MD}CV z?F-AefWf`r^8NPlAcMqTz)Fv>zx=f5N)pLAaAV!J>>#9Z)op`9F$~3aOs{UPU|$!9v4Km3k*H5d%)rk@Bn4#o0Dxh=3C}SHhyJWb2!}gfE~Y$6IauokQtC= z8BoJ9dhYAqeq88Ex>NP23a`qB854#l`4C;Y6H3skukpw8)R5m$Wff?%qzz{*d=Qpm zm>GYCC4CUq=D|6Nz6lh1_@T%*X2|~F8tJMCpd*Z;B8Mhlv+)C#0@{&;++9BDz0-jU z$(M>uEfS<%%Gu|N4vuU7uu0HnH>;QkqZ|?JA$s~qY~;u#_ezkZ7z)N=kx5oq+UeSA z&@N}xaEFCmz`}zl3^ffY7joiXwhs0NmKVbuDbnv$tm9^!S@=i4*};)t-~?i5 z7?!i`b!OkEA$7ZkwO`svS~NbrJCyF8?hw19`2;E!mD{|$?dMB*JN4)GJS8&NJl1&j zO|GKTc&NI)jRz-dp|@p1=>HF8Zy6Lx5T%Ra?(Pf@gAO!}ySuwHxVyVFgS)%CySoqW z&fxAc=v+45-5(ow-+lWcPGscCjHv25l~q}t=gaTgS!4{4^2^ICNg;JAE3_KG?}|ay z9g4crI6g_#XR^Bq!{x}DP;+q zfBp6D?$H|$A9rv&|0{lXr>)BuPWZCURfCpI!drE#8cs zG~NB5kDcBXwDYzd1DzqNmtvZE5yrzMbA3-3yzEUXaI*Q$Uj4mU6rmA6VGsB)@KBQ;5j zf3La`z$w+*FD2X`r}QX@3dT86RMoyQd6ubCZ)Y3Ue-`B<3g=ke8Q$% zU^V<~6-aoZkBqOK_(YH;C&rpbX%=%`CPwB=5I-$cudAzjz?+*kDO~@7RMNKVXu%kf zP`X8{nDG~XQ>R`%t)U=g2)ZgF^O4NEs7aV4@!@ z3Bxo#S=2O-#X_-|b~2&1QdEoXvkJ$Q5nG7>3j~e88g<}JHWzy9-4%YfrK(71A0zm>B=*frGjSPCZhS&jy6<`k(|EF`2(LJp+UAA` z(t-0X#6e&%d*{+hI_(Yv+Vx1kM6u$dh~ibueh&xi#s7rZH7zozfqwt>Ai}w9Vk~kM z9f&Cq>WvItIYK#DGX!lGW-Eys0ub-p`3^<(QVv3Q#PvlSb`@eP%qM^mT_H%N}=u-ihSoDE>ft zR*#@_VtRrk@S9quC03D}HcVp^b5EgT1@sG2)3LIr1%Bz}q@==mb%3EWIno)#p+nVE zp$)Ur({Z|p5q+P`r%r%H;fzZs_7s~3@EFRGN13qFjQy5`V)%9&X1^fXfJr`-uOW9v zF;d*bq=9*`)(%S$0PtZHG9D)Zal+C!gx7?pXZy>|S3z1Nl&qa)aN-5YRl^IisbjoS zEOHJRTE>Bx!5XsyVHV)#U%`zHj-Z8tfkXKRFA|Cn(eV86DuT;H;6I{n>&!r$7QkFG zOOTlgQB9pjsSpPp2tkxsg#RCLVF~$UoUA%R?8{GLz@ttul^3!B zLv2vSHbA7BEZF^OW^i`%kQB*^<7PpZbiyN`e-F*-qwSbl7m5cIN*X}%nSAYcW)akq ziVzQ>7|TjlZSwmLWUu~Ap7#kXQEN8Fn6F4!c8BzytSInc3qahZ%Ovn1qaQGdqm1sF zLZh6RP&6bIgi+TbL1PhFzA+@XY(HXQcM$r^@lu;#lxLPpX^=1m)XbnxScVg!7f{!s z&KH@o&dW4X3`tg$nhdPpBdsdjgyvgFpi575nqz}0oFLxvE#0C~*huLRl7keb zQx3z=R1uFu%??R|9^srJOhg9oB$IbbIm%9$&s1}01u*09uwL`cU&M+Lmxd=T8DJsm zMsXqL4kU437#41!bu{pRZ@3f|!OX!)P(Z~r$(Evg6Gn3x<0=+RE*FqM9^w<1te1TM zC@E%a!UYlm#GgT`g#?W5O+R^Zx^S|Lt7eB>AtON(BY`kgR=5Q;L~vOOSu}PmqP%|0 z^nwrG(uX+~f2nela!*SL*tCWQAC|JNnv3JOOt$$TYAxRJJTgQs@@i51rYY>|Vo@3C zQRf~Kw@GYhhPk+63v99s%$2AYNI5}kAa>6bIKyvJ(6pNE<8Qj*6?Hp#r|9I+zOl&P zXH~S&DP4JH4o2E!nmE+p<@L?jhE&Y78WaRh^>ypk-&)HMyqOPhfRCkz+|0CMK_#Ri%w#~r%5l=R`R_(bZjCC!ZU^V9*XE(Q67^Xx||^T7Ox zi^sUghdDoebiMn>CbIITW-eRy?0PfA1Hw#wqoVfdDpbLHqVyk= zL2Awii42GtkS$(087Db3E`w+<&T^TB^CReFk<%l<$Qu1 z>VJ~W{_wTIz%imS_ovt$IGgl=i>~vydxVnEJiIt=!l$p&g`lh=RA+JlyI@lYq7>;Q zD3DSoWe(ByY3bS1@uyRi9Z9^(g-6Q$K=+5`o!I`cj07 zgepmFkY=knNu5E3>imi zl#JvLp$#sGGR!GFF}5))>qFhH>&Yk;}wFKti1USoFKk?hN_MUz7QBDDYMryOHx`+ z+8sg^s>ZK*2DSzR84xupgqNQP#>7gj1;RE9>VV+fMuWLuzX&(~cf)RRUNs8WT+@%z z8M0Q&>R%uR%0wSzH24i99)lafDrF)>O#-3RuAXliMF~OKI|&nr-F)bDcr_-Uzr554 zcyM+x3V!XUvRYj1TTPO#sN%rJ(F8HQo+B2p&ro!QVz`qaI>1lH6C*lEsWmrC$%P`@ zx)u&dEWNP-BuGqbg{hJ{o~gbUEY7b7PSCneDW6q#-iFJV`0gt!f`55{)xuZ>d8I6_{uQ0!oWA+5 zM`1@FwOx|TlS5WBgs8zx8$*t#F-kl?P7Fs@gbBCc7f5%z4pYv_Qvp00uHVUGL{u|g6%Nu5_6MiS^~#YGXdJ?C!<)xZIE&iTM$}fwXaUy zzQ*vPH0hPnMlzd>q($+f0LaKJerxwHi4{;`_i-xZZ7Gl?x2y=(FyK|jj|MU|7n9-2 zPrUZ&p!L}JA2{Y!*Fp@GC8g-_Q?A^shw;i|eMu5Zj2V0-Z$w4xavHD6Vj-(Jui{40 zZAYPF8NHB-qAI~)wE5#Ap+YY~>CjUip_|AqwxeR9>$*BRO4Yzp-s9)q-uHfWXSUnY zNm6EiAB*MG>l~8xNmBQX598;litgTbr}_*Rx?L#xY`CU)5^7&d#h*L-;=Zb8Sn8In{hj&GgEo0z9H7vKOu;A z!QaGoFqJq9TtqM71%SWRmG5%5HpGH+I{#3heD@_%WfVGaXlMJ6BL^ zw~u+L4CH!~lQvl9W7w>pe}U4UVsjF6YSm}PWt&r-M+UflDj}~|$`ck$m^q(?m67k> zzM?e~rsET6rBj_@1}14d(jDdz{JcFmi|%;Ud~dVb2>Z9rs4=S^QkNY0u3 ztsfZ>L|TiwNT#oa6w^jjK66-1TGqB@GhDFd9`YEyx@Eg@Bz^%bpzzjxh>-YW(Ijae zRPLavJ`MGl2mfG!>C?N`Y(E^9qTcp#Ca&A63BAHW&kCi!=hnF;aIsD}_t{}V>NYvm zaUO;yRy{Y6{e!&hvEzrVebjeCR&go`!a@yN2tW9$ns`x$D_~{~_W4u{(J4$%Q@veF zyXCO)l1*x7>2_lTZAEm-Dq&+!EhsO2()7r9FBb7LRgOq7O^8zd)d<=cO6LeO zf$_pfh&~JX9S+oh`K_=Py~y6yjcBlvi0j_>Keg9AA6JBP&5={DCFg~`QR4m;dxwiB zwR#ULOt03dV@pi&m3ncD!9vP39d7u@|K_s1ex15aq4@LJrEnN;8Hxn@l*A6PW^_|l z^00fC>rq;@7S9HUXUfY~c3~c};g7FHt^S=}L+xyLrYrlR-akXKINn%qZ*pU*j@5k? z?N@EenJU}4Ogf$~%dlcSZW`gLju%N`4Oh`pSez^qVvGPVKG=wVV315E%&DsprbN}sP$9cch2>gY;i{MJ7}Gs9)ql(s)9=tNSxSUMhcj&~*e z48p7+#M26ifIsZ?Dz>?D<&B%@BJrZI1QOrfRLPUjyQGX(og==9LX?`$(BT~Ja~Y|( zlho;Kx9%bK-$$MDRk`lD?{%8FyVr|V*I-sUG&P-i%oG{jTiqH)#+bPf}fUu=lboe^q;V=x+3W|q+^Qd$#( zN376Hh$XuyQR%6U_m@qm=+Pli6@H~(7LLz~$jLq{mYj~a+nuj{9Hb^N=aj|Je*#Lw zF7N8sn(eZC^=dM)u_aImUztT;JT+-@tN*I@jS>Goo+i7`zeLWyRdCLr6^uG^QHE6@ zHtuE4r@@91FTpscvD)(!t@KfhuHR~{CvO$z{{*94(sZLHeZZa zy~l^w5%8t&54t%=$1=%R%qMc?EGDWPZ-EC|FIumZt%T|*MD#xv;dQh3`nb1Vyo!rE zJ3}^bI7lGph#N|5-DY1#x0%iC{-m(`H3wzd1T*<4ClfhSqxUkcghegx%tz-%BOiGF za6TZW`vcEW%xc*6%&inpwnO~+IF>+@nJiy(TVOZGt^0f^_vzd<7!UsZHnBKYbsRHV zvBQBDHnq%&K`|F=@k<+nuBiBfxU>nq!%H>JQ2UQu1}Hd_G}-~<6p{YT|Q7t zeN~YjgJzP-p!wcuMPm6eJ~a$s`BY#3_YwBfVhKI=!y-oY5y9Cy`{JXc$cJbx7B1?# z)q*EiU@LJBI4a30TDNz5`+;q zimG(r^a4?)Y|GhrgsP^k*hoXq>$|)?n z^K=kZ$F}@_Z$Qf|CN2g~J2)z<1*Tkck2U9yy-}iFhn0~ALy6NiV^|6fg-U9Q zxm)Dkh(!qwN6hzQW@^#z5jgvgs63YCprz@YX}NHi62yAM8{gp*whiU1yLMItBNvNJnm_I2NUQ_vUg4n7%CIPqlucLU&}8GgQ~uF z;cRADMkAF3E$7P7T09Hwzs9EGkk!uU>dk>s5(`yCe zxvJCgTi=yCmHSV@5Joh>vwXNu3kHwR(p=CsUp20~4$|B8Q+Ud!O@eok*i;G@Fh{v` z13JyyY^RN+lkPE(h7!kTG8}6qimw=3EM~4tAwxSHRIZ0zl_K)9dX(Qripm%4 zIfq1hLRWzjf)L(Df#gY=xFqwb1CQwF#9Rz`o=H^;jmR-Z%N=F*wH_c%T;#mFPyH{P z|7T)Ba4*wJBxB*;J?xe#mYuTBzn4Yc(Z|nd5?w>_=fyQ=UoOhnJ$0)@{#a^?LM}$8 zj>9+CMlU~F8U)huSGiDB_g{T&B2eNyx=V+{2(&eF_P=-c;$A8JNT6fpvdYYtA}TpPl!KdLKsvgL>{nU= zWfla96%7%B{pZv!j(OYbvm0%v?Rb+sAaTIgkp{){ z>}R9i`~tc0TDa|7oh=W~#5oPpkBb1g)R$IYL_t3{rr>YsFef=b?+NBK^Ns#}4tAFC zoS=i}WAULRF1u`*)!SH9k*0KZ)-AB>4M|m6b5|bLU@kkQC0#Cr{+-n8OiC)}vT_|ML|@_{M0GIl58=uf`hj!VnH zgj2B7u1h)q%$tdV_H3%fg)cV|c|1>-U&2tQm@hlJx#*Ur99C&KR)be^$f^|1Y}lwx zeaoV`X!LAa#%f5$e(9gHiC#-pUm(@Hq2qKMRpN$EtRyCVCIb`v>3=Kn>y7(%B)qv$ zJ)cTnEhK-QBp(>SIwu`(<}xL`cN)gru_mSFcOe~`(lU#9;!jK}>Vy8H$Go5=g5Tf2xKV-3gKqntNp3P!2(KS0%soVjavZ?|o$Um@)5 z>(^|t+PvbolD!CBD%0t8U$=IbIa$kp z$h($@zsa#;e)Uy={U`X`^OnMn@5_$=$I}+a&d1;T<9DlHkDs49uR)znGeEM|x23a7 zDKe)Hn9GmBt8ulE{k~)`6fv=Yb5{xtzv$X`0t4hxCHCb@QVt_i2kzQKWU6(JH!*92 z+gA?@BM(4nvS{o*;^qmr zjYDIatLKMg3xDJcDmGCD^|zQ7Yu}~fTlUnqqtq5}H%TFJA@i=f!n>R(;TaE5E{t3} zSH~@o-@^CzE-sMStjc5UwtYCF+?-3zd-iQPT@5PrMA!RTBN#5Bc1OSxcR{lw<93#g2oM z^2P-6rk3k6;D^~t#J}5cT_sBIuWH}sRd#R?W8-*zSm>zre_95=RE z1I}a;2<@1_{QLJIaLX)YZ35FOUj;;@#1{%Pff?PdK4FN+ZphR55$yWcEDOIXg~xG= z!4JK4W9s9Sx7-8t!!&0aP0=pL>wKU+sHPuj`@@m^dx;G*94mKB3ypt72~U=@t-r6u z$`;0LTNiewD`CpJc^P=0)mvj@mxbVR%#KEk=t)oaj!kZmTOU5}DblTEq^=CC@>}^@ z%k@i~mEwFZS)gDRY9!#{p>~W^m-4QhAyG@5O zapQcGhw09!n`PE0R8`GoY1ukC746R!$*8~{7A&jhQ1^!9xXmmXG?U^iNn!>v#$A$3DqQMO=^RE{M3TkwtKq1CV8^^oI%@=?`8{OB1M-_zhAsO(coXDWD2`wfsSm7 zeHuD=RME`Ng&e%$hA0a|{&&UOOK^eqEHv&L!-H|s;{q^_R(cEGI^<&6Rc{j_j%j)L zwhXQI_=2LC0}FQ5>GrtlhPy{kyY^`tYij)X@U-ToDnH%#LHFZSAzaE|TJ}}Ncs>^+ z@iQsyp>(K7fQtJ6yX(*tOJDrYR{;_jA^-S+a~fMO=^rKb`jcUv^k@7hUL-H~h!b~g zVQl@XVfW4^gMIGneJlr~t;h*HjQqrPXmsf%ERWh?UOwI zg_*s6b`Z?8q)* z_4L`w<<`nNRLQ8fu(_mQh*L-vt?lw{;u)<|<=?@GuOkT5Ckgs!XE~h-mGWnjeRVc! zctX>CgIXp{OK1*zSs&~YaGUFUiL8p3@R3RCS38B!a*><~}h;hV|Qd1l@G67U>9DOON zUq4rF-5Fu`%nc561A%)7d$f_{PQ8$#WIUy%{A2d8x!7 zH#ZHP&dkku8fzCBSGa!R6R=Nv`q6Ad_}#|edsDX8wfuFkc_HPCnM}v% zZTC=vS;K$Qs!%T=9Zqxz#>phHf$~*fC?S*fveEnp|IjQC;lejh;X$F);-iz@wwt06 zL6I7asbz?rk@z2>rz<4O+xS!@%lrCRB~kmGtgzlxrQ^RN6-F{^25|N621DSJkiz|; zxee7l&BM2sOq#|pqY2Q)`MUy9c9?Su18Ib3#;R#L&l#}`cEl??HaFV~AF>-2=|RS2 zz1P`3AmYa%17;S*A(MO?b1UpcjW-gIo6ca0gkN@;!Zn%IAVs8elq{zQ72Loe5|G|7 za>K$Vo5cPOP+&1vQuM5-T8Uy_GnZdFd-zTk&XFEw@NnL>-$sz~4ayzA% zgEjsIXiQs?+h9~7j^3LkU>T;clqDmEo6Um#W`n7A(qcgh(k9VJdDc{*?jcNS?PvW} z>WD=>p8QOw0!hPvV?)4ugFi!UCh?M$S|o)mh|?@pzlxc|kjRZaKPGafHX9!XC^LlU z4KRSwJ|de^bLdh=hQjPJCt+Dd(_DlBh#bAF)WL|<>?6vX!q*aiy&jcu`|}!7GNx6{ z_Gxbj)Az&KHQ&?s#bhwkUl@Y4O|>R?Eg;y;wltGzsu*E1(n@)?U=njmx7qMi<UiqPlaAP#z9{xhskU@EpG zx!s*fav@|{O9U9Rn7}I&9*1*|9*FXKB(%^YE<-v@bB^y8e#!4;>$PtGRvQ~L&teR;l`hq z;@^tk_Dk{5CL^N2@XY;AO1};CW@2tx49Us6+|$BpV*|sTzR|4#?vQwbEv3?7l~rXT zq*>~;2oD9>j2WW|bRg=Cc%<=Q7|+vTWk4e^EzclvTecjE5wLq4JU6KOckR^_EEpzJ z&RO}B{kOcmrr4%{(cvX)DFUV|)~bG{iP3q4km1M~c$9dYDF&My)3lbstmEMlQ4agu z1yEWYudVc(3Bjnaz1P&b(RhbvAw?7ip9;9_wazlT%toF&|K3pMcIICq7o+d^0+!J; z^S{D4_HbIDB+_RQkl^htQUHLWDxMS@{aK|PlcHcF-})veh+3R?raB|8p<@p=PGCqE zDfCQdS?Fz$wAkmV6r7UXknPw49Bhzhx7HpJOtNP{pmbxK8N&;7y8OPgjKj!J8p7my zaY&ftC_0D{ds8MOeyM@<$uxxqrSxOH*?9(Yq0DJ3Q^(#tWU|TCpA9C34Oxt7RFO$E z887*2$SS|z z!H=TD+)T3D$|y9+xNk4Ag$}`BpTumwb!Z)sp>6Trfo(wi3$)D+Wd|c#VKfsO9J}Me z_w>9-gn-#vtg{zN04ExaTq;nR)F~gXSg4M*G%DOB%lONG0%7Wtdjcxk=bD>Z^&21p zo8Ipl6t~1c7(CDyFC7&YX}0qm#H@B*lyfL>og}=#-ffe=q0tZT>i_IJXzUKM z6&{x#itSQ$w`-af=VTQR6P3MI<7Y=wmc_OsMuQC;=3Y%U28sFC@Cp^1;O!F!pQez% z0gcn7_bEYT-DbGgDcy{CPVqQI{ta9S2nK-NcaY=4%|T7#o8i`(#SU0H?Z`#K`f#_f zz+WbGG<^F(}LUHH6l!v`lMc#X(WcNZj%Q;VR|eDTcT6(&(_um9aHTO zgK2KG6X49Dj&FStG?m@h|NKP|C@lm0`G5<=+6B~@OTyeLCJ|D?r#<7sB2h=bQ4AB7Ag}N-pms5}z>@$G9B^`j z?Fb;DB$R9ko{8_|(ZTC~`wIW4Gvog=0ak(*XV_J{pYfo%q*>lY!VAFdN8g~o>p&;2 zf6w;|Xv8Bn6f-Jayd|C;fMOw8rR~%Dx@Y~mLsI?j4+>-4{e^P-JY4-YJG?e1Zk#!| zvG}4$gZIMa@F5Dk%p`?izwd?7*?3(^{Zmgy;(nZbe5P<%0F3Ed zxUf#Ywe2-a@L&~Ga^moU|Nd+bn-cQD_6&%I$h1v@>R6TBzYbyo0**)cGY>JUMWeWVeeejv3;%%41IrSB={x!+9&s1=fJRXq zMXHU&c?VAzYRe+3okv)put#jF{8f@656N`dSw52MNBDfHDK>s4n2L9q>^V!6<39QG zs$;dNw+wzo{#IF6Cg;v*&OBHP_JTY|@Vb+exlTsYwky?Bn#43Pku#@3LQB@mLz>j6 z)9gJ-AjmUvMu=WP?tpZELgz&VfsVt5hVRsH8eMU3oaqo46Mvyh@@%2tS+Xh7?np8& z!AI4(DE=2qDFZn4Y?6A%yH~2KoFMv9Y@(cSr+-bCnRN1(zc?}B9OZ2nU0;98h9V}6SpAj&hsY~EB>trMfSr|Q3GjfHuMWl~z;|8n-jiNqQNQ$bB zjmZpEkmFDis;(GGi(m(Q3vh%#Mkrd9ERN^0kj92krv!}d!EDj#^K6pYg>uB5`3VNB z{7DVy6DQNZyXETMUp<1dVA`W6HJV-e!8Fo>%=uGGN%hy?3SW7yPCOo{RoWp929Y}q zbc0(GtQ(!Am3{ZT>SYH&wqi(78R+tB90*qpTf^Id8_uwzrpal#5#|Ont;SwsZl%$~ zWh8{cZ^VJoku6V9WGQ3B+rn)k1~q=oY}KZ3IC*rx3RjQw52y@dZXuiC>(wJMU5ske z%)id4eK9B)jmRFM0CWe6m08TS%#Cz$vWGkA?MVIOMiXc%(bpvx@V@lxvlDUi zZ*!7}cUNB=_89yDGy;Sv#33=1^Nvutp!T=?c*JO3ivwW_T!Qx5F&+YLDJW^^c?kT# zDna>g%!+8Vn35Jn!W7s9<+GBZ;@<(v`SA$Rs{c=uwuME0ekcfs;J;LiLO|#Q;ud)M z|FxoS@s%_P1!4bIXVK}mfo}Yd3Qzw9-hJGiVzEQk-NoclT`e%IVw?S}nBVSt=_@wO zZeb0osAA1K$#Twwu2QnUvgK-)CLU~MbJe6L{<%Up97D7nj4A9(&K%L|9#asGhuMeP zq!b4Ae6;u}J-e>aUN}{h^It?ooW zH*7zI-*i>3GVW3BIWxSL-=M^}{R?lh)mm7{o?#Zf59P?om_W;2{M8eJt`}VD z@%f&6wfpymn-&NQHXQhG$;+eiQtM1{MWEu?ww}&@>DnWd_&Vc`SwcG;V8qz}*tA;J zp5Lt;;!Yfjt*Vo9WSz>!v}KpPZF@cyi+s>x4PR>r*YTq&Z;A$1ow6}VdPRwey}ZRM zM7z06V|K~C;$8Df!1V|$inKKhgk*f{MWUT>Zds!xWCy)6SlOg6kzh1-*4S^64c)#6~>_&)rcO;Z0X^1cup{;-b>`Bp@K7rq&&-M9WFM6k{}N7JFPUiG!T6 zbz9aV=FI81y+;h_UeF=}bnh$Oim!`DpLrVCPk5*8>BtU-p;EQ^R~bxa$#PgY(I|%G z4&9yHxlEZEZ1q=YR8JnjTwb}rBd>oQs)v~?w))+6x7l4o+@RL0Y~pPhr&CCWH~X-? zWmQsZ_`kKHl_lKW~zAT9}a}?MY!-vEbuML>uOIjF)-^UX9 z3+IJtibm>KCVd}N|KKKXznEI4MA}Q!)bI8jU3Bg!w5kcy#dH9p z6qzd-@xZw`DgPUfdldFjK7gl=srUpeL7!j-YD7$m{~tz;lL|YEO+SrEKz$TLkJA+P z;;^sREBoQxZC&9l>oiw<0DLIoQvGQ7?IWy8;lrsTwo`nT{VKm7yRo4o*vZ74fRfoT zH#A{bUm5w zzgm0!yL4O!=C??9KlO$KO0{a*+gAQM)`s7dd3ze@a>hb+6<<@7#|oBQ#d#O>O-s7L z0W>vbp*>1%hQoYR^vCOo4;c1#744<)Jy>ly0yF2gZEs$aZooZbh$fe%{g3%=N3)mA*Pf;c8LiXnZ_J& za3~MyFl+3Ej0SLAFutZqR)XBIdV{E}*gM?b6I`<6{nrkI5Z!O>estDnP15XhlK*KY zE05Hf#TdqS4H4}p=fSuIC>F02`IeptVrQrnec-_u|Fd12l?-w$EuJ^l*arGLU0)i2PZy{ynOL$lD{ z{}J_Sj9wHxAwaTplu{%(MP=~pd^-6;sJMh)xivxH4&fOXB$zI!S7cIrVZZQD;a61H zsGIFq6bv%tZQ#J&;`rGT2fpzm^e^-4_{&S#Jdxt z+{JUFT0_8uy!G!o?bwkeQ|z#0&#u+i^8N8IQYDINEOl+VD)j0fE6pQOdLjOo=0(Sa z9b=LT#GRXLhS9zJ9raS%z(;(-JjzF@({`2qU&fJBms>qdR~%x_S0?F3BCHv~k%{z) zq2$wvx4Alu&-s<>Jga$F3j$ z5{k<|t|3dTpk!8_!{&xv{3AcQdGgsV+GQE1H;j0`zGfheH~fUXxPA_{7y>G;Wb29D zNT?fK#llAm61IQ;$@XK_(P*+~sOW6S#n=CxLb&}$qR@&Y(${@{r@HlK3jwj$qoT8K zsJiuT3xQrhKg5Y!qag>P4I68B5ohK4(_nfVAwn=a-B#a;Pwj@jO!!OF{CGtLvNwpp z0oFRwj*tDTG0?}&v;?XVc@pVoqNom~HWG1_FN;aAm0&Pp#miaWB zLv4}sP!M28+p?!w-(pM-TRlUz8j!BtUOq7K<-Af=Kgj&2qYYl&1o5Aa*JRa0iq~~hnnVTLBqV5>Hl^ti5liPP5!e-x86x5G?@g4o{vG@uHq|45A6$G8eEf- zuE+Ygegw|*xdwfjP?_$X8YS22@7%`u9olxKYI89U!Z&R(f;hu?VC%ixBPLZQ?+f*wmGzVujHj zkwuAy>rx&;KIT=NsynCkkT;|Chp!*RfjB%(Hmp21s;*Z~qJQw@9M_BA%m#$6kA9JL zxL#oM%7@JYG@httLyEzcgX8$d2_X~H>l)5(2QPU-b>HqePRsZ}q8TjB6v~xa;~-&| zoSmCwm|VYwi7u7xCC%Er2j@Zf%xz8#YtdkdvDKsqw;`eUm?{w6($k?6xEtix?;dg8Myo9MC9ei4PuWsQW>hKKrIV8cU_J~&YwhNc>6`lPZE z_^JNu-jWbVRd_ot|8#G!P4~=mlESiao|nm>@FuyNqTJ~9_!@rXFO`P>>5-L z2Ow#1NjR-*Wn8C~DEJHmN_H`eubMX*1=n0{QFKD*%Dh10sG4C?5Erhaa=ChI`iI^O z@;#$_l;wMyu6$0I4<{}suB#SNBOJ4H>_kaX$4x6gIJBA)oSnYxs$);KSEC;(^VipW zt6pJbZhXd$VAjG$2G25(ywq!T*ML5QRoIuomc@oTzNL*kf*y6U%0 z?w~=embib^@XlW9zvvnopEn*b*DjjQ&iD-!Z?ZzEk+izK%8r1p72Z}b$4oCTcekzV zq>GEX`1IF51Uwh2QQ}K_Xp+V64SSKN-ws5Yc~UC4$5vuMm%|p)hYE*BV;H3bLf&%} zm>Vk_HT<&;3+EL&LaKvy0~V@23%LHnfz(Z1*H*M{tE^EYayx|)xa4;U<*iD}N2g9igYy6@!l?fo&^)+aRpzX(9BcHqX6v1M9H? zg6l2sUXeSsb$|aXZ~jrL^>pO2H-bj~)>^XC(gyL9o>p+qT2nW$5_{S2m_t+ro9( z!;SJPe)z-<8Ew2(23W1om(GlW)IomE`3D&zt^m+eCZbT2K|jL_>QnZm2sewy*$wD5cj zUc+#I3Vc_5eH|Yi-xjy8Z6}=*+M<0`-|n#FLVk3O>_C2W4(>2;fN%5ces4{MJ-reL zP}^SJ)=bH6F|^aKwjG3(K9T#ey=2P~JV_VBLh5Ys-q`oChdS*bMCrRM+#qrAntRIm zvA^68Od$tt9em?wC%;^Gql@&}xdeK`p1?-Bdo4s8!H1zsW6K$c>_kW24!?>w{(9R) z>j!i3?!LryO<}kIzJFNTU7PT6*0s&McZAJKv9)Gsne}K3nU=1oO^KcHu)ukmXT3OT zXJ%OW=W&+sI8P2ZdZJ-y(eto?dYnf(KQfZmg@w0pe3(BxJ!+8FYJ7h%1VLY zvHOoxuzCLDBA>|#arsW~he7F)q?8zH2134DM~g^57mJCbMfom^AvkNOoSe6nXz`S& zsPAS}in900lSp-F-A|oZ6F5ovocAQ4@;ru(hl^ zhUpoib#&!aJyY~_=6o+SVmh=Q83g*0CfvNjqDRd$KQp{Aay>mh9;(+}T;FxH7brz~ zs9lw{TNCAR5xvR_BqOqW`)E3x@1GV#x4hcCAy_}}VZ~!+J-LJdM^IHMq>bAhfJkHO zf?){L*k+Oo=`f!ruA|>uwVzngoeDe=vRsKZ*|Cr+;yLLYw+-^499#S+raU6^PzOG) zI?P5JIP&PfnW#QvL1M+11w1$jm0V8SlOR;@YnI*_e5F%VN(Gvo-iF=WF&Srn(fZui zPS~d}ff=V5y-lr@Q%gU3jj!0?K9;Z|?#;Apk)PPIseW#x^7kkg(M(7)B&X=oGIXiy z%GG*t^>*xOrYPUrHEKIl%YXr$TJTHMyWVyOQz z!Ue(WOd4InBJnF;i{98_YV_#SlfL+tw`iy6tZYv~ zXfJ+h71^ijhT~@^pNdN$RaaBPIFWpz}TkLhcf| zsO(%hrl#Uycs`jI_SaZxP7fC1doL+fz8cpEa1&guxy~c-0Mv!pe@2mY(_RMWuTP40 zW~6&^QhWs%K7DoHK06=YJD z%muCxwB{*v*glANE%kJ>-?avT@M|}f7DRjg)M^XUoSqgJKg`M}6;tCpD!R%#x`G#V zab|E3s?0rRwm220ze!4A(NK}P-CYBpw36Tx!fIVYhyH@`ZNu;t=5rey_8u{*uO_C^_se-ey$|R^&hk?B^=dzS z3#DbR5ZO$v&PDPUZDq*;0`NiJg(kSxI?ZZCP+H zzj_3@K)}~U&ydF_aL%ISc@fs@IDh+T-1O7VAeM54Aw%{<&MmIGN?%Xs)M0FH5fN+p zcvR=a$l79Q$M9BXQ(BW^#)1_nIv@u9`J0)s3mv!d#{J?e7a5H1p?Nf@;~i zt!D9RceB3wc7~QA%JznWP5zsBjtkM%WJBOwwRu#GFGTo3&GG*QWQ>E2>HiaCjDzie zM}ow~#QEPzkN~lpoQ~fFL_2qoNTVrRJlE>p5@dGQ0kZbJbP2l{C-Ce-nTY8G(c<>< zR`cgi7n=s(k7SQ=gz0VqtF?5@8lN|GKPombI zsO}HJ4o0$vS-o3wLp0Lj50)${hw`VHgRFm`gP0VVpcPUckN1PKzM5Z&qT$1~I*R?n z7TEaEt&2Hy>2}r^ASr3???6ij6t>A+_KzBG4G|2xMv}$c|8|l%7ko_{xNu0q6&$cW znYg?AF9ZYGB8e?IN6cgoF@Z^G*#ZTbEiOP5%;Y{WN7Dqh*~59c$OfSvl9Kv2ij%|k@P`2 ziF2R&UyV*yj(1Uz%N9E>Lg#=qAf1BSck=$QGnavUBukXQ$nv4dRKpC+%jCgGZ(~NO zg*1GiY4cx?LT$_Ep;|+bIr+!Xf;^hmilNWlDph!!zLY8HTI~ZhKa1TfK@nBF>LAwr zCPuRcVopHVZAbDTgU5mG6Tu@Y<%OV55c5z5lZ?aAa5-f@Mf}pJ?j0V)ObS#@WZeZd zd@&R1`yMUTU!Ro(trDSxe`B?m2*Vr5+$Ryd7M&^|2r^$K6fFBuy;B7y-TwG<;HDVL zlhD{8B%&)JP=t7L!2JUGR-_ina2MgTl~`>j)U_cO5lQz(^@cYW&n951v>`~P{8+(Qj# z$=(b?);ec>R2Wp{M1A4<7}uzm|1A&XZN#4oO@wzV-UAU%x;0CuBNUDU?9zB2T(AgB zANL*j3@W?nAIg4=-JKTC=D2nHAd%Q3`k?F2z5llhk>cc8$o7i%e(?D|$~X`5*h8Ot zKUbm~`F3ja-s$2fvk(;C5janh5KlcK3WRB&QwXENOO_i7rt75wtIQ`ewd_k z6A`iy!U=#<9`Lk)d#~OkAhnC#kVZi$C4xKs{R%-1l}|5%u+X{RiTc4NN#n%Y0zQ%0 z;1+oVLCv6W_G7WY5#*i*Pa#Igyz;|>4sLi-FV(NCqL@#qd?9_oA&z$f(HJ2Fo>TEH zdhG z`U0f_^B{ajY+O7=Fw2DupfH{WDu|+hBeNAlh1h2VWi=q0#W)j$%E{C27tB?l|7j+v zYsNUZ+ulI)L$-x}{4tsdWRLNM#WJCdHo+kU*~RX{G>?O&mwyA&#RkBQEv4os%z(mw z*p%SzqR+;^fKChI0MaN&oAM5z9qIBQ;i8FF@>C_P3N4ZX=)p7_@O%6H5olpqS*LOK zgDxEpzXgM|#b1CLM>4t0_=zwb-HVx0p2CM2ne(+L-QvaCSOY<$9Z5HkZpIHwiRTe4 zY%zEL0lT|yL0LI2%n@;vFya67=2z|1t%t!VKSJWms0~;Yv#9Ey4j_2_aGF#6a0*Zn zrcVss1vJ$}?OB-9QE%R9pw{T!=;F~eLcFi!JADaKLDc|Mxu%KIQ%d+FmNqHsUqxd^q!DSS;`=lZ->oM|*43A2$nqJ@Ic0xQXoT0D@re!FGZL+QJfVpx^@!8sB+)CJ@4)NzIlG;35Wtq0 za+5%ve-WoA4f`CC3xLqlAljH}b4bO8M!(>~jakMgnW%qrCuDO91dTi(JmAb)`(@?{ zQO0F+Vh8z=@$BNk7_~)-ZhVrgJT`Raps*5$SKgqiL5gaT!4y!2$7^B zfu0Ap=*L5XYowQ;w+~{Ce#8ITE&R8SlY*%KyU;Zc6Ik|g9_jD$;h{88pP*CEt~M|; zoCvp75-#h#P+JmmA7K(Mv=&iLh=xN6;zLqXlE4Bph)gmyJ8>BJI@9#-;@UCxH^$-m z>?elt+Ncfi*|N!|YcF}KWg?<}G6L%m@?iOL$J z?UdwXCs6wYUO>E!vrY`>NHH0qShx)jGp?eaEQbl$Q6<;H6}(ZD`;Lc*g9H5MHOI*| zuuQg2BhBDZ^;`#xG1GBp^HHD;wi#}3K-@yVY$U(&j+mc><;tyvJmQDrp~5idAzL*@ z(Z_846&Qs~a{^AAGig9B<4yfoUVNv&AhJP45{uk+|2|?5amFw=5Aglg2f$B&SOLl2 zmNG$f9dtv0)$*@=$OSm>x(QY@fZT^Yd1}J+m!ZwFPJrUycpUl$XEzBoAP`_mvp$3C zCxx5|tf7)@^(STd0vv3H9K3)F{9``&M?=!+dJsQ=k6*bOk&B5Qc!{DD4GR@)FSa4K zeyaV7{N5;q-f(CJA%%a&G(;o2E~KQ#7@}WBDj@R7{Su}P{Qd93pA%vLb`8=9I6){O z1PdMXUBFPekQQe<7Df^1$!zzyewrd4<6E8HJu4_6{SZV9Sk@@u_^7bAK2Bba)6PDg z3Q!A$nZi6w5+p5!{od2ZMxGsSq0MTagiQkPXz^PF$5(nTn00hWl6nq!rFwJ*xZJ-O z9W@t!o_7KQF<_fyi|87(ohWlqVM&Pco+r1&^dmqU(W0JMkYF~6&I%74Z>B5&q4m%4 z3)d)Hk~|2!BYfcrzC>rQ_oNK&=Z5l6YA^i-_B0@ZqiC89qAIX7Hi`1_-gi>3YOaoyB z-DzqDbUxl2h;h6va3h;ke&-e#UptoxzW_8h#V>=DFq4f4zWoTh1s1c`>G$r=*V83p zgjzYvV@k{QcDL6rH#YTh zOMDv2u43LJhK7wX=GQz`S0i&PJS}40v#WGxp6Y-ZES_NC>T3A$T8;>U@yb6-95=R| z4Q04RR%aTVCjto5EZ}}kkEr8_(ZitWU%up|HzeUmkLwuWul(U4Iew08g0!F zW2~u&61}GukGgd{wXJ^_D^cpr)Y`3ay33e8mcaDa7S~$wR38X3r&+mlTCq>*6kYX| z07fWZPAuI@-3W=60nIIgoVk}52Z+|#=nqHd^xC0FvlrPljhM{V_ zDm-q<>}obGHE}M4??)zzB`wnF%5K?vm={yF&n+5pH_&@;QK3xE zRv0z04)v0-v7^(IKP@hK!&l57H#xC(q)wOHoy>c{W2`<_b#OcNowsZJI+9*M+7#(1 zA6@kDaWJZUJKGc8VmsFXy)SLgXge-=9dSOkTkKixIGC|hZtGAISnB9FZW{^=k-^`P zYLb6Ma7t+A7^do%Nm1WF?C@E$A$Vfs_^^_6${ex0|8sTzq`=m38QD)ca+z0LRM%|t zR#f7BCXAq}==zmMPSV)6F0?LZt?)iiP(G3@(`toK>I3WaZPSuViGYS7{qjQ@QFG#4 zZ(j09*gojP2k@o+<}HENIV?1S$?N=6lYgc-kyc@BTb4}GQ684oCb2B;OcWrT@DOBj2#g^+%o!0zTrE7g-g1hkd+C44x_g~J}9s^3}Yr9kRZ@j^oL#h@gb zJWw$qpeAa-cWB2R3~cg;rCk>Pt{JvTS@?x%6T!4>>d&r;$ z`dmgJ#{~W>Zc>V^C9Hm1#qHvwg<;_6Vac`-HQ4&msflZT+_CKH{Qcch?-BtMa8SYX zb?nuQ^6Anl*WC}UsqHnQzN)t2`7AKf?z5}nh{C2#sYf54^&D;KfB$7T=iTH{j*(cW z!=gQ{JN=T&uN=0Q@MIaw0^N`CUhLs%`2@MS=A#fM*0hv&U61&F=g`XArF#j+=@1k=+O!@sO`UJ!L3jT**_KB+HZN-WIDI$6Vg*s znl+X&qH@NPB@zjn1n+fXx2d%-oYw&>dvQI}FA>=HRtklD;+Fz_vT0lCR)hEcQE@ez zvubJG;fQ^3Z^h{X`D`aXF>UqkA|L-W-xPs?Q>g^7v+Ks2KrFm5ejrI6bk#})!Nvty=$O% zweA=M3Od;qBk$%<#|Z(awwWT`s_vjS4U{! zH-$X8w3~EmGy`kfszRkF0ZURy$nr}B!WYQ>_N~jE#bv$qcD8|nt?C52z(9yg_6BZE zx*ma8tE^t5{5gzPTRvFT&DAT6LR7uKo%GZ`*PR0WPhF&u5bIA9DZ|5cYrq144z9w^ zuR@cr0m$QQAb1e%!4ND>6^u4?&kS3Ew%N9WRAMA1!Z@UDsc0e))S+;SaM*=d z%!dh)M+w*H|0$CAT5;UXL@w4s=c~fR%rNo9|Mc>Zuyu_kLX_fQN_Is621G-B@9zYo5^} zi_TqgX=WqNc;%)54g{4%jmz@$YS3pxybMz-b_9_Hx)qZXU+=-}iey5N4c3n0J|2kZ zRUo5)$=B!yj-D+KYFT)h71}yQT`V8HXH9Xhk>;@zzsI3T!7vA*8ADKy!U-vs5>Y2D z5Z?ND zg!5hJ2s2%<2KHYkHCzOc#G^a1PhI)K-kU6`zPE2K`_b6}Y<%3$T0Z(ck%J=QUwsG% zalzxF+^diUnUBk3Q`1DXJi++7D{I>bSWPWwy*a3KHTuq%ZU-C|(P=8L5oK(W0ZB?`vYnn$DG zs$t_2>+7wHMgolL36y=62K36hW?JugsPrXgH4f~V(o|Gz2_VR+q0w*yLNy@p=*d%W z`~0eXDTIKYSU?l$Ut|JzX&{%#p&O-;;C-Ut$A6g*6Vee%LOBTDi=Bmg&nh3ry=ZEW5Hk(nvXsbg(R??33JLIVwbnU zSH>f|sRv_P7YUQb%%m5!N3euPG5<$Imh=3W=gCsaCXuU|Zf(O+$}W+s4)X0sfPqE! zhbCZ0ASovl9Rh$pf$IKsFXDlPhC%j+HsF3`AUzPwlHC3yw&U1?qx6?Vt_H{qgI7?| z{ReNrNlK7oz@sKQ^k%o-LmT#mD&;J_0zLa`wOp=xzs2A6Bp&VWlBg0IvI1ljaIH*kxM~**KFDXa8I2p9LpuCYtqwe z*9;}FlsP1^=WY%Q;ED3cGM|rO{9A(isKI?giXb_*RJYKZJ$z5ncEmbB!Oja|anqL~ zAT54fp=k45eiFMM_2Ajl&mtf@*Fqf!sng#gFyATgP z<4n0!BmVh#pb`HE4GwRywmp_(5|OL7D8+4@qE0p`538iRWvZhpg>kKdq!ux1yXgPF zfpHTn1$wPWA!D$3;zvS-bAkLtzu#rw;fmkLMPH;+pW;bxu~h%7!0L+MY>KR>6s$>b zy)ajvV_vn*)&eAZG5C4xiPxipb!}Q-?M7v+QP32es@`hV_XWlKUQt+fU7bZJ$R{|+ z(oDu>-H?f1_v;?tc5#bGFD}u|IvoFvG>w%Rp#Qq(iVYig-PHWf-TGGbw~B2$>G0<9 z7X62;%!O_KS#gS%vLl&aJZz zrH%DrCUKRTxAkad!OI%A=ZK5-LuL)p2T6#(dz-q63XC%)7I1bf<|B~#u7@f zxn6x$1DFCu1bKK8zf|G2n%NnNuo<*4NK2fjE3}b8Wxp8$gK$l@UcWvT-FzDK5MM`d zAETxl3#HtIrm{2^LctO|v>!A2r##ouR$x`tdR8a6$9Vg6_5P$I}BH(>=GML?RLiN6H*E%1AO0{$Gv% zno9gf8KVWJQi2jT3o5DH9v;o>wz~{ zWS>>vJpK9kl>(Sap%L2P#as$~^&Ae$09z@QAY8DRnR)mS`YiKMb(3}L46(z+R*h#! zp~4i;S^>KK!IIuw^*vHV0JxTHyucQcp2H#-dJVPUX?jv%v2=M`1JSd_aFT6nZekiX z_nzE$ZTn%Ol^Pq%eS&YZWR$L^rK`mm^<%uFCJca|BbFtC-G+>7xS562pYYR&=wI!( z#yL24j1QWY$bW~J~m^tEJ=`}@+ z+LQock<|UxqyTLNa@O!1=q*bdv6nK2KQiGQBr!DPVgj8L5rzdpGV#@ih1OJW+7b&5 zNYqW|)jY(E@tMu`C3C|<{j*!!)sN!o=?H{-l9PR^d7cx7u6Z6;IW?{gJt&HF(U_?w zGT{tEod7$1Wf)t$a%~t}9`+c!1T3WD(pCLWPbNSXvzlQb@a>3}0p>iVA>X!7%P8#V zVL~I@wyR$;=)V2-*+=R%l%ePl*GlESY~5~xQgfxJbVzS6_>;R(7AdGp7uxWK7sNVH zA8-vPgCv7V6tN>&{uJxz`59cm*Vz62Hg%)f?c%eXH&?6a>$1`Y7T|U~!RgYuqZVwD zaeQ0Hzk3$B^(uSFF);u3Xrs;ENp3F01OOPz<7x{hoNZRwalDc65ySeV%V zvGySU7DM{Kjo}JJSsfgYE6A+PeeejRnqU1q7Yi6vop06Of%Mf%rSG0$KTuRekn4(O zt}71hp|zFJc89_Y+RU2i9?qlMOoN&-?p~hqjTL+XFZJoK{nY_S)!3uzLY^bv(;5o` zf*|#pW6s>EKldi?FosRKubdLHrYg;ZVPQXebhd0L8B=l|CXc|IR!;D`e%%37BtVlC z5*QrQfD#fwMSAz;bMJ&CYX=khBil@#Qjp%wZ2%M*@F;@>`Tg#9P8bSFvP_dqgoM+X z2rS+F2FoHXZ+Y7An==67I+_!+h zAr=*N(Xyv(;_~Knz|!dj40w_KIn1nm1?rfP5oDr^6?(s<=e|>!@LWDFCx^MzrmM`A zakwWyV;cYR%Q;7ytBaYJuMPx|Gwqs6Rf3ycto{$N^wS~iC?Mm2AlArE??zWUTdIs@ zZomi=;N?dq)ssmhF8T6KqEsn}A%*fq1?`s)z^{a>>?qNLHdXg50C zYfbj;ChJbCHTRYJ+iH^oor&R&qMTtCuHhP8uy@I=+Y`n7j5p<+^qN!7(|#_t)i9-JW1j0_Y)y zPJUUv-+l=^u+HsJv(Uo3%C70t-!6ebtysP;?VPicAOXql0DN16xqj~)Z0ZOd?ZO&2 z%9_E;sJ)nd7=Zq(UP|~}x@Fe@CdxTZ5 zzFNW1&K`dRRLK~quY^PEKHxgZr3DK6H<{n&pB`$^n*TAWMqi zcT9WQRS{l7H`PUyhmH!N*W2+iV_!PL3i2TBDLdBLd=8WI_9je@BUgkKbc$8l> zE-L*mGWi!KoeI5Xxpw3K2)tJ4L`Pmtm|a<}I(%eI!o*H*act0K1#tWPu6^?t0exe_ zhcBq%-xq$f*f4A@>(B;I%Rp>#UBNZS`=DqjcVnczc$38j%8@te8v0b!z#oj9psAgi zc|53N$ZS0D=iH$$aT(ofzVU>O9@sWk-GZc^SyrDF8<^TY`q0zr5Le|u2wGqZ%fqj~ zxA{v6J>Co({KPRJyS-%UN*e!WlKYQ@lTOsW9SQ0eSRhP5t}u}Vdw7#IlGPf~a=lPx zq?%VIX7r;HX580pHHiJ`$^5}6W7^&j#;K#~_tTaiT#LX8fQ{fVQRDL{_WJAN+VAy5 zpc~iLqk6@bw~?}8Jd7u^qG?>b|7jwgh#Xc^3ZpTJ)|^^nRs%?a-9onP z;^h9i$Md_XUEr#;7r-$N0`%;@k@8 z(srKtRcE$M2`Rt+XBqqabJeJt`{0Qp z-q+oUS)M7zEs^bXssZcTctLUm5RX|h42BcZeT5*QmUY3hIj={b`~7E>Gg#KNXvWis z_cCqr$`IGj)eZ`8lp-ftmOs&C#dFkHspn2k9y3f%9lN^jC+R&JA z!T6~in4;z?oF|54(b*L1@#nTL-t1R1#|Z%IrU13!Ot=RdgM`+ss0SZ|ppx;T)?MFK z?__@EKQ@F!i%ZyPbBT$zXGsdIY15VKB)gwB>h`t59R_`U+D_wQUGdSL1vQZI*~q^s z0nrD8#=beSD%?*6V`I)wK7&!>AI7RZ0RMW}Oh$s4h3K_9&Gv2U!o_}xvXFR1SkfX4 zF+D^)7E=4d=c3OcL)QdRAD+?@^=U_U8bPLod_{l5!*0m?H-K_VyKFaS9Y>bV3?N0w zVi=|hq6oWzjv|}Vi(ohyfRVwC_+s+sxGr;{cGAd}#$;qz-KWXM^$n&kSJjxSVnrJM zDCXIw;}l2FqPJ$^0Wj>)h4GM<#30xE$Z0E+?5q&N+`&QKY!Ws#ijYw^^hl8HiHb1& zw=`RSzOXYV;S~8Io%A*T2L&Erd|G>3&a|nlUz`@Iez5)^5*xH`Y=ODN?gUTdRXm&q zfJo)FxSrFC9au9q&2cH#LsUfL3v_L0Bv8GZWdC7?ux7w@T$Y`xa=3rB(6cG; zn7PrxtSktvVvGO^ym+iQ`^K>QH-IEz4RI*JQSG|vAeZckI-mJPQxHTbEFEob2^gXdWk zeQ*+hTWQdq7D#CT(*_b48VVfh5jw!D!fobq^p_bLK*KR!2#q`;G(?00-^5(1i|1() zwdVyoDKxIeg2n!P3{xlsLjl~L-I_+GLXo?#4>ib~J+w)kxWEeHXM#FkBbixm;j~0k zp+td^bTq>HH-LKPWm{WAeAqyL+*;zy?4|_XLs+DhLmq!CqmOaotXzp+$Y)@dCWRXX z1&hljRk%3Qz+qmSOM1b^IX|<2lAT*+S}Q-7Z51CoV9q>{Ysc!}gi?1Obq?8}uosr8 z3^7%XXE%qEE|018KMrH^VY2a#B)d_AR8-iw882~p>!>^8lAM657j0D7)XX@t!G3ov zhX}Qx`Fwk z2vNW2q}37Gl{5yh+)by90*~vDnegGN3cU7qm`@~}Us&!x1b6c^cAjEac#Rq$F- z0kvo+=Km3g{vgz$05g!y)gn*NA!H(BsssW<9un`=NB z4fe7qNeUt?2{I#TbeM7CjJPM!&n~*0g~FU3MHFB%A#>2d*-QtHRiM0l`zf(jM``R{ zBLCu>G`o-$ibq|08CPL4nLKuJ*Omn z5H-8CfOYCmN2-_6pB0)noX-w7&@MU{Fm~t_Cnt7q9*;xi?@s*X|K~w#5dw+A1Bt*I zCsi&~T5Bu8yz#Vjx)x5NZ>~l^%2``@CXnTn7dRUnD8OO(dBO1X z)weKFgMf4=Q-5^db00PEPbAC!La=Wn%aKyOdL(S%N{kcXDMs zD+K4*%AVY{M|C_;D#{sfTTo3R!ECt;k`#lE_xVDp^p&@^hxD0OT7qD(D3fWBMDfUD z`dKg>{7Sg9SJOFvjUPBgKl9$s32x0irngD|hZ6F0(!+g zMyogS&SmHTeK$3htrOTsC@z7(t46vw&pE<0w%hyejM@|^J+S&mIgB{cG|8W7z7Fl5 z&?cx+&0mDw1Tm2krg_9h?pD@<+(f^~PRDva$n|AzgLK9NoRDHBUQ&Jj*nk@L!)t_2 zEvsSp5++X<))ItP5i)5k5c?pbetJkZOQ`ZR{+kd%tP=z^8Fevr)7kRS*@V;Hp8ix- zDoC7Vq}5xOU0BYU9`Nqr+DV83(_s(DRxrc*ZJ-o=i+XRwn-InTU)XIhBh7^@(e8j{ zXMbTVv%>=@IY-rW|2-o=~}qE&Y+RL)D!$0ajgkg z@a^&{qt766tBp~JRxqP}rjs~3G4(^dyD6n^$k$`+9Z+wb@i)kifYeE5hFt(>jbo}+ z+psB6O5=gcNWfuS{w-$_69CBxvBsmiPlQ-!w+(2l&&XrDFM{*p1Szn+lmuq((mi~)!M4-rrlE_W){ zEjM@`cNC~W_(Ytq*lLUdx-Q<(}C-5+(QmH1jyv24N2Z{IuL(f(RS4qImJ=B zE?7i+oJ-F>T)K@i^EO=CBNuCi^jMHRX%_26*nQs|4qHEg!W&<)H~606;g3Wo3W1jl zmMku|@G!=UuLNkK;LKIuoq-Ghnf8Qn8VXV2KCUU=n!t2Kzgx&?l9y}jfx0+5^msi; zJhgET9Lq$p*$+`MAQDxTr=`lHM$z` z!r{u#bCt=~GoWGyfm6>$^)|Tay(^r{lAkC`&pSLPA+=2WbUb%B^7XM+y8v{$1~>g7CpE2 z3+IckhNB3_ENVmQ*1jO=^^%9%vh9F&X6C*01(^vo4n9G*f@$jGbFVAqwuvDI($3sq z83Tn8dGu-$%@lM_2B8b(ivy^GeHfQNI`#MB&Y2i`$wwclu5Wf_OXZfKZ|<_~2fXmi zrueXJO_8#_ZaA?LcMMcPUI}Kvd8yq=4MHH{dmC%zf$4=J1hD{pAjCUXzOddw4Kk>w zkTb3ztzZ+d258lxjHVk1oX5NqX06c)wX*$_{i*h=LF6J=C+jlsjsnf(y@aEma65?J zEED{J-9Ob_tZI$1P$|4N(Z+dajA9-@C;oZ~X$;Qcg+zH<8_-}~CMdRX-%IZ2X35PC5EN}Yr zh)W7{V%r0#46s42@P(jq<~W0xF8BI4!rIQ@2 z9VY87Ht~%zf$swyvYGIA!TZQ}68D?aj=cO+j$a@Z`VIAije?$M<%A>FXbvN2DT#p8 zAztxQX`{GS5N-;Qy5hUQgEkzw_S6|$8CFj5?4gBIj|q0Iu#7+@K-%&Tm~PmT(EHU7 zvI)L1I?=1=*KvSSfNnj)fXRSgplLy4oJO8O_5QGq!D;KuV1=l{w2=T%?cp2+mMMwQ z4K@QXPeK~Qc^|pI|iPR3c99vP# zUf22(3?}cvvA{h@CxX0|VvL9zjYpAs6Z~E$5PQ@*i?T`uykBkyVrPb)1_6#tA~rp{MXQI8-^&?n_9DDl9p!{FJp$+yPlL z&=+uWwWTRl`uAM^S$)8|sz=rTtoadZS=@Uqew{RUa|9jfReO}{(#CZ7G(5DucJ_&O z=8eo##s{WI0N)L}k}(CPq!N=cOPP?tnlm!jGoug)xr6N?2a6*Sq}_}Li&OA2@5MvM z%D5YU9iwE2bnCj;eAys6;Dg1#J1X(koa?;m@~H?61z}^3#BW<~U`OwcZm^{P~`@MG`!^?Zc6ZWNP%BiwUYtB*~ci7s9*6Gf-Y<|`$hIhuT zK0Y9Q-rRn`LBo;<`~u2c7MpusiT&ajXsVA+Z3pPWeBHVl5;)Jdd+S6duwkJ>gO4Mp zrVuB*E7Bq^5aKp$o4cmmK|4(|c()xb@htyBn12MGdEA%`s)D?6KCnr%Ruva}%&;;f z41++^{q%x@8{nP$i=_9q`In5N64HVj=FZLlsX?QNs`3SLKG?%Ln-?8UE_2W7fntXC7ghhTB1 zxl`)|BbD2Z-WzcdMyhs-7Bs4Ov7QU%Lf;*je_r`yRGZWQ_3G?Ksx}r!w{fQ!Kkw!JmdRRX1## zT-uBGSLZU&f}vYA?q4g47w86J%!1Agnmj^l>$PI><(+`v!1VyC#w8Ul5PCNM*61c; zN?uBAHCzMt=`qHx+aFiQV4RT|JiUk=6Y#h-5;AEe<&w$HZkXryLKvc&Ni`A*S0>yg z1-owIhA9t1!Y~OGaoK<5%aG$mN}>CD`G`Z(hU zxX=|gc>z4~-P2C-(e-nkj#Un0a^$m^ZlYSMBz}|nU zL#rg?$2{H%b=b1paNaYu2iA_jwY+Z=Ozi{LRW&K7W6S{!#>UGPmsw}bm=O>j6xh~H zDf%9h_)d)fq9py47kkTzdJw=q^JAX>HZJ_%1dRJhQ3>Wzjei!&o$ZP-$m4AT=c_Eh zM&LtLmYy?Y21C64ahMk0KFMn~NfTF-y9}uzUY}>8Z4Nt#9$&WVBwdeA z#_0Pp#g~?lr7I_Jf6ifDPlq++uFr@9@A`P(3U$}(qPsezKvS1_Qd`34lbucc*34O> zTnBl6yFfhm##9UTU{~cOqFOFGI5+m|M)}2-%v$-pY)MG+Dn*l@C5lAnGFf~IAFz^f zw>30Y^^8p8sv%$YouhP)#kbF^Tt*+5=I&-ATMfas&rshI0|R=-43T$9jy_0P49ivz z8u}%s&&nA~s?a(VRHqH({HT!l{==R`OiPA(4iCPJs zfO`CVv_TQ>!1)G30VIPQHv(qY-#w|{Rl+T*l=!h!Z_-<3kBDkztHl@_tSu`gU*HZ3 z7I-9{s6pW8R#*>+DPPqGP|U3{gaI)vF27v7X6XnZN@9#8{PK9fzxQw+^GApZE}F%>O7N<F509f5 z*)cG=5+!B08_#7ZSJZQg!6p9!0pU}ofQ@3sR`>D>r~}PgA0$oaD-;tan?pQ+mWnOL}Z7eM{?N5V}8XjPNxJkgk_Rj#_I~w*HaA zZFykPh|jhhVWoFQCl72V8|l+ph)wL-O)%NRHy0d@+De) z=s1#YdIrVB_@n3W?8sAM>QFIX+Ol@pvR;M$68j+Tk|3@jlX@?X8{+FKeqDr~8A0v* z+cgC3Q^$1yyo0BhLHf~(njCx5b0xNXAo)*#Zh3?c>RX3WfHUIY*z#@;hoo zw2_i;Y3Q!Vy-Tf!M^u>QWlzP!4+2&GLR{OQ_+9ceu-&1I3+RVok+%aOqTKWIQ*;~ML5|5Hob?dm! zdoELcl}51zzhF-=47ttus(Q8CxIx`riIEa-1lVX3UsJbyLm1qUw}iPbeLQ&2EB9s} z%sWL~m0g|2R3L4s7n#q_BE;V5Tvgs3xQnWODV-yqtvq?4?#ETw&NenwF*FK&lUKOF zd63xAM-4@m!0a%GS(l3FK8}p|z8sNtD=pHU%0`7;Q{y%pAYEyvVd#ci{FT@`?J9BuwiFoB^-}CdF__{fH zq$Uk?WZh45pJcnv^PCmAFOTi%${}4j&C{P2=`Q~F2KY%4^gQmKVMy5h1J}u!vlD+@ z(w%3POC{Hd+JA4bT5ws;Iyv1(Bo)eMxlnMONd1q-11BwS)^)u5T6X8e<)N+{)m1%@ zXX@5i$GP0LsrxN`N7DaL9Wji1s#6}lrQ8uYLUQgL1B0`V4dmCafFE0xky1+ppzCIa z^xeYuDUg57l{;y4BJe=^ISN2xP@<55cKYl`1$=$`fuw+CihayMSsyTQGHD;a6FT_X zP{gk1g8586S}mXIW7$YK{Q+lQ_Dm;D%rG_lJ{wsPO-WpM45&80P0K0t@k)1t7Soby2cNDnee;AB?a|+74~z9oy$0RG1BLla48Y`>m%e~VQS->1N3SV+ ztNkKDna5}C)QKzN6{pMnKiM$8+S8+t{C+gtOHVWfq6$O^l^ylBA;%tdo%pVbA$Frd z)Y2B5zZI97bdM_Cw8%IbIYrik;f1R9OxIlU55inb8OvBG2Y>f4!pgR@^iHxqdmWft5TXe|^T~lo7{fDLk z%-CIbKM3%z4#tQt?g%Ge_6_b}_U`#P*>4nCyOul_3f09sQq|G|ZaoB#b3*d{C8P$C zfOYie9(9eWPAw-S3P1EbvwWVV)f=Nl92$K9e_1@{GKk|$gK`1kOsNf+olNJAV**8X z(uS>K7USOw^iK6q%u#%LY@jT!`D4+kT!MSOz6r}JWadHl=4A);uzcQnk#4EvurV|? zq+5$&g^Qh~`GyyxBK-A4vlKU(-y{f0*glJ>*^ujKwbh!#Ycwz`IYt+ortl%E3m ztFS)pz_XKSX7CCet}>QvP}x-sdUd+Raa@zKB<}(^2GkPmJtBs&iU8G44Lw18dzV^k z#>pcm^(E;>`N={#PDMM#X=R>(9MHIDg1cct?h}Gry5+p6k2YWcn*4YgXru-hNKO(x z(FD~CT4)ZaN6j{2E{bP7DGsi5Qw4v%=_r{?<+U$9{HAE~#bypyC< zQQU?kaZ6J0Umg00xW)%=%QM&c<+Gyd6{?76C0t8fTwG0DE?iGsNLiNtyDcZ#@qNizCV@jkPP{k*268 z*F40}j?EKD)`$jH7tnJT2;ELgnoKz=cgy46(bJ4YwK?UnEd%yTW%~}jKDa_f8@5b_ z!&okx{H86n8$(v=_LV&jYx#cfwN5{>3GZG~v-^7Z-QLKDlU`qHPp>*YP!@6>q0C=n zy!;i5ZBy|qf$4oCMB5)8I#un;I~T!eRY)AcnYw9(nSEN&QZH8wxtjugSd-Y47?7j9CF;JqidNV%b{myhab^m%A#vnSRHRrIB4Azk zyDN;^Z1^*@K3p`|Xft1{+tt6iXsdV0H{pYgw>x2pH9hPKRc`1&lw|1@9Y zLw-GYJn0J>gSuCC#GPJU`GNcQgniXsOO?tnexg#R0jD~Rgq9NIJPqnELOgB4O7QP$ z8(>S~UL~BM4rVr9i?_0-O77?!;yj}|D4$V(eqOR2c~c2#IggtwYjA_J2AKMFDjTpx zo|Wj4t*t9@gKKrFgeBWF>B_Ivo=rIm?NE$}VQw|^r+K3^CJa#MEQOM0Co-Op2< z6wA*`=N6^%OEUN->b;WxH^uD~F~iRL&3xp)V$3ON+Ke9F-D4?55oAs&GU|us0{XX| z8iWpbCQ*1r|KaG74oiKyn$vLARuZlTFK9Lx-C{rdI2K3wATaj z7j7N|E1_<%r<@uOl#rkU1%9E?XV6wkz5rwo1w``QiQDpiJH~!S1iG9v*Cr3sGMdA? zD#xNzy8hM65f@H6xL_mu+Q5yZ0#aA+NiN}jUWU3uC z!ji04V@?K~o|7=K(p=cnsKxX8?(c~l9HH&h1XMqZdC>yK3+aptb@^gpF>=%>QPs zpf;S9@kbr1i>Go?{HD&7@D*9%I_Fbidx!!)Wa(#P&jr?x;iOYxYS&<;gcd%NUNOfC zfz*E+-KE82VtW+=bA;O7EgDQYyQ;EAyyZ#I^l_$9o>5-@y(Z7jn*0YmHc>P4bIzL= za`w~h78;C#T(+KVCnLPxUo@xB!EC^SJwbn^o=Sa(tJ{w?L*=cEVH0sjmgmjnLKj*B zbcq9{QEyxPDpQ72TWge&N3v-FScm2>#+||8*G8= zm-WjRj=cf9V6iR6j6UP?KzzEoHSC-|=(&_17j}Ang~Qg2ccGs?ddDO5JwjS7L5+5h zmRoT1?U+Tbv=V1(@ypdD)!P3XMQt#&Cn2xIneuGQ%F(vzkaV4;d8U3Q(XYBK-fX!O zIIC9B&W}L_=T6g76SlyepRAodRXVbHYd`s2sk>}5l!_3QGX9&q`uN||-XeXFK3RC) zWjdvGzwz#E|1iP;sU5!LJ~`>InW-gu)^ssX?O z2^9hoJ9V@styfOpgWuVU9U&wdVc742${5Oh)x2E^{SI|z*yR8G@3a1qEl|3&ip^uc z_#bi6e-V0qhzHD{Z$z7K&~uMuI8cKzJW6jhMIz%V7B~#dLfNu%dBSFHVNOFE>JhX2-a zv@Foe;`I#}I+J#=Ufrm+51rE1j>+WtxA8OttX`tapt9@ordTNh45cEec!7I?>~5zA zOJ_{zY3iKV-vCyRZQC`BGe zg#deG-Ce<=_$9%Sz;ccOyCv&ua>+Q=cyO2K7BFq%4BNvt@^oYM&(dEap(Ig0eCl^m2UXJSx%H3kP7O1S2+ zB-MRIFOs;Sfp(z^XTnt7*P)LQ7ln~YAdANn#qQd5SYIK>(amf=*luX1L|MV6QHE&` z&)FQ8&dBhZoPIGj`GBwM42*t(Kk;y(|8MfJIM~_#@A9x%|4&Fp986sQa~{@~{dXSL zf5`7fjkobU+k-he&KcaE`q}H6!3P-$06yl2KT^XqBeW0!Q`9Vl8yo)Ha=!6wEOcyZ z1hFv-ONu6{uC7b9l^v0Pmh)XJ6!AW{Xtp>Dc6&rfX=u>d6eZUNRTPk}mVQ_OZOO;W zmYJ5y3;>F9zYinoX`m8OwUVoTz+Kw0CXrM`Orl=dpQvG26KtXEl{UGEKrGAMA?8)u zgC$(2ne!#cpGn$;>_Cph1vVbPKzVTDwrAj(;>lYL%tj-T)8U%RNQX+O;Z6`FD(4|O z1_T#_wu7TmAColqYWYB~JR>bCIsDQ#}l8l@9gs@QfFQKYoxq3;yWUV0_034Nv6iEEq zPUAjCvTbXnc?c48Sa!vilq}MWBA;t=u;}AL;7EWBA`^IzRc4BPUByzMWU1Ccc<@6- zQ)q4TZY=#{2XB8G^U!_)4L^XI0F5W-*2JA-j`TVCOm}lKO zM15OT2VOdQ5ZrVTmA<3_(NavD#G*bJ@K7W@S(;tsPPqBRk~Ihhs3#IvLF+V~efS0V z(Bcsv1xD&_4jP3BmupE-Y_#(q1iqwwbd1((iw14s36bQR0n5T@qU3%EX51y8OfUx} zJsf34g!(ZwxC16Zoc0!ijEG}U^Vol+rWcG+tRnuBf#ojNqxZHdOH-p8&Z7&V#gib) zhLB50hf2n$pzQ>J%-78^cH&Q|i9FdOXyfZPJ7&}lBo8-qq5jJFF3dHASe@-f70=j) zfgzW*_Y*}!88#M2LX4yP0iBtKEagam3ww2AgBiKvR|eZ;OC})gFf`XkW;7B17oAMF zKR1Gmh-#AckQ?JdY(YaQINowp6&;V5cMi=q0m*0UN0nDMl2Z(ofowQqNih>8gzAFW zKVCv z^}ZI1%`pIYKP{aohx6+{F%W5oFmmX;*y#wgwQ_Oht zo4N|G$)`d1CZP{sm#_IosqX6m^9ePDL%iM+{mH07X~W^m7Px!pMj&bN&xKSiC3|PB z^cfQEhONyhdCWf&8)IFE%PjeZB!r7>`Oczyx0~toq1$W^Jw+F7#-aj3bMt>l$hOV~ zy$DPrLe zqJ6THFN5--sOfjdR{D$J%JwVv(ug1QuZ8`JtT~A8?H6v(Hzk1>Ge-e}SV(e+;(&ntfHcjL&Qrc55+~gBgkz-LW-d$BdLmX)!r6?rcA(-F-fgwE<$;P~E zd=!W%>oGWpVFXm$I;s`uCin#`5HF1_5Bv_IEdvc>!QxOd>gR0QF6t?s3=bkgvOR2s0INh2^gkPA7-e!bM_Fy4VsZGp>1-#4g>bqU60>boHT73<^APleayJN!#}$UTj6kezMsx_sPA~BWDGZRi2k@F2&^!~x!=KRV+b5WL_m>$` zz?g&u@bHfFA(E1NfX}dPS{j)ML{q0afLp|8;>C*h62})=MhCD%VQKFp=fJXV(9G71 zB;_zF38K&N3Sk9sZw3F&g^><~(ky?X7%U!KlLl`!$qY4yE5Nb%hn!&XLnDGpl$bm_ z#U%hY@I1^H#{rfZJQf)K#2HB_aS&j)wgXZNz*(Ag4QAb^nx#%X!gC*K{=o~4cX%3l zAM%cB5mU)EOM;!q*iA*6{j9lL`;(3%tzLT?IWV-E1R(CxGu&k$-3;j_2z^T&v+--4 zXy5fvvJ!c=H>nfjBp_2C~a3`{5bA-@mAQ10RGLtDd2ib+R4eNzG1s<)sm=AsvnY%FXxLrKso!E(Q^QPH{ z(7h++0yc|DmAs=5bE1v(8dP(+K_|?`IznInvhP zc5U!)*@o9WdGNf}Qhfj@T>cw(a`t zzKZYYh~&ie1%a1a{7#%Ilc(Lx@(nYVP#bKQvw}T(5HKv;QPO~R_O>N1xaE~Q=v>hJ zqcbQA$zqr~S|LxTmA;=1#iAFWlzF(PH3Ym z0dBn5pq|_*Q5xUb%Vb817M`8q>Va|v0b*?lH=s;Zvo#AOPV4cj)8XnUm)`+eOqR(4 zC90srwT}d=FE+O}Shj%8N0;os_LAjtxJZfe*ah=|!9Y%}@XcccLov}nl1=;q zL^cM4;{hr0YK1zb5ckrA2&C6rj()geHx(@vG+pnfry~` zBP@Vnku20}gGiy^w4`Gd6pKS#K7Hsdw2RSzX1M+gBa|8PfRZ*4Mo2B^^Mf|*Dr^7; zs4eV*1EeG30ZYwpKw`*DYlCy$GrE5`UfvoK)(b@UPQm7=TOD<(`)2&k57`&YLwz_k zvPhl)gFLn&!b58bWr97;*o9Cam>w61dbeGISsRl49RZNHwNkj1v<>N{5r91lV$m${ zecX_0*-4Ze)95Dh`I~iPr_e6q0$JmTx=;k~K7R@EAzGqAC$7;lLO^52uwROG^upO} zbSu7uQ#iyues%7Hj!0c3i`Z|FZ zW^($tu>X3=HJec6qy6Hhom@$qE5+8?W%?tEjPhTLp~Dq5_Y}$7-jHk- z%BSuQj_gXC-A^rBAP>$vK05qlE}SfbJ^P3LMHmIJS_LTZ7`tCB61Q`M4+7_*z>B02 zQ3eHqa5)09OB1c>)y86I_h~U-TG#w9+=w*@=Z5trYl+jk@u=>&OX1*~m@W5v_9p%H zkFl)ztX$E0iT(AeiPdt6+qWlDoQboHxk_`pH(d1N@+#F@TDC6acb>rBoj|{)v(_sS zxdBJ_2OnOwcFi-$Eml44XGGl(RR>PXxje4UJ?lP!Y!_#*4n4m7)H+KbjRv2@(34W? z4Waa+hmB9-fmPJfT7M|$#uKr+6wnx$U@%7xD3;bQAg(C%7Ug#kbINnt2@j!tVNRI6 zRHQcvh!{6KHa`)ZU0XKzk+A4?#|XCH?B7J5I87M6$oH+ekj7&|eCR6qNgpH}W|pkT z+pRUT2M<3^kB|z)T;1Kbri5%c(m?MM#R~ju=E>&x?Q?`yHUdv1Ojek6?U6bzF76Pi z_~kb~{I(cefJ-g>)62+b100iub{$I>1CRggt(vHW!b9Hi-)r^uG52li=KQ|iCDQ#` z&M-_cKB6;dLpJg*zWa;q+P4gwwzEvKX!{EFrZIyQ)HeNoF|I_3DTfcAQ2by6ucFc< zNCY5BVug`Mi6jpF7~79Gag<0@D_&Gytzc2mq9*%;ISvaPNx?afD`%ORl9YNpT4Ff0 zgKy|K2}Ohiuw&SN`0q8l)DpGuq(6|}FIJMs=?~_3eLLGdLR72rjKeAfreaABrp*zD z)x&k&$NE;cs`ci&zp}o1COEFjytH;ms+Z!2m01)c^A5-_oO1KzTC*3$VPKa0%Fw%t z@yl!!{5J8b>$pazCifC4;<6{s+s zU>PJ#6G;A}aFcKZ0v+@HF%cH>H;K7dVv;=gKU?>P?%#yuqHh;ipV_(kIyEEDKlez= zqA8Ywya0}-fO0c73)SI7D>Vl9N~+9BJsDh$ilI@rCUZ@(4kx**tNPqVwyg1otMtqtY z`7wOCDk?P*wUP_lGnOQ&g%KWMr+a${PM6*-lNz^u42yVj#`oMJ2Dhq^!h^MQ0x!=D zz85b~ps>DJu$AoN498Q^ubKL2sD`6_1gNDdIVS`yYlq**?9dM45^*^kFiBSaAleT< zE+@*Ev_HVKWWrjLdz;Z#)xb8S476cwXaj92>Z&1{@sVxRdFmpSCKw-Y=?k^i9f)*> z!>!c1)NFCdDm1&=hab-;S{0}CVne)BOv}p5H2HPxCiVn28h_X2y17wJUkZ8Gy%so1gS@E(l=&4)T{@1uPK@8=s_ClvgE z-G3T!cv~ubHUu4@2{jxJQ`Ao6?XC4N)#`I<>Hn<5u_36?F z@1y#u`7x_s1!)J`{1(jZvqg0Aa2fV?p}u@!Vykfl{KG=atw;a4(@<~#%)0Eg8gO&v zYUw0DKH`pmC{2yOM@!UOCiZV`^U1&3OhNE3@7_#MTpmz4ol9+5LY|SA-|$UUrDZtE zRR6w^55;+~RnI%g5g2)*I{h_kCn#8|T7K(HY|*K93Q=po%PZ^fO~t-TIa3cWwX z9zXM(!0ZqPsk#f=0#*^_5-ks;w{;6+AT$NiLi9Q|nAV_x2OFuwfND*JDf4S6U9}*$ z{Ask|)(m(l!)C9jWTRjmP&Cv_(`Izu(ESd#b=%jH%$H&Ax;b9)ct`1r8_Ik&C=S6t zh;n~G_lba1!S{#yyrox!9%)7t_zqo5=$&-vMCd;G&zuq3`m~9<&i6L7`A$H!59n7x z=eFxfWYUJ*uRIKZQ~P>s+Y0_-n!+U2k+NCQI0!vReX}dH>x&C@x$rv6rW^G71xEfx zV<|A?ZV4ro*s7Mu`3=liO+X4!E-V3Wj8N|mPf8XZO~PE{kF-NbO#PptcMRNlXN=+_ zYN;8;KRJceeBz2;u?6=8Lw7la*vgD8dUo(QTzrt_xT@q!SIEKV$ai>$KplMyLI(4u zaoU)PCYl39w#o&J#S|gSW-C*S3NzQujiXRi}#@6bRt4oBtG&FrTB4++Mxi${&C{wlu}K7;Q+c%1*_Rte*VCSIytq^#}M ztr=p>1FMqrGEe*$O+JMik;yVX-j+VD>*bIeO{`wjyk*M?^L3VkkHYPG>=t)6^=SC^ zJLAFc*bZe@#bc$L#eQieRtsO?Fu8w%%|o#^(-tm*f~EbJOi4T8`&QKH25=He?N={f z{p-O$!>$v*R(;ThIOMRa-7)phO)Rw_OKHtMdfIN=sj?{>TZ+>=g9e4kmDBVO&j;z5 zDg^PR$=BdRh2cL|YW`m%JrABH)MnNXo2-Osq%=r0Sa;1{B?AB*EhFjqti&QN3Q1?F z#D9Otr+$vbGU(J`{S~-q-snKK!VzMMsyuquuN%ML98b`hvP~;CqEwr)!ZF6hsL=cn zlWZJxI-Vjl0YK}qRd!ntO$4e>oszltNtzK(>~VM(0rN6>vTyeDoP$Q@g_DYYQ%b_i zCSqlf)3HiwStKUKyCl}W&Ml0qY)uu!e(~6!?k4?<^7tnw5E#;6ZaUp8UtFQ?ygz{Z#1RpyqQ z*Q6SgUaH`c{QQjL@b_)-U+7Y_SF_*ln;FQYdw&O0N3!SdRQ!5mu>XWVzMQ)7bRGdq z0RLn-`qSmvJ&Dj$(C6oK2lBPk-3IDRuw50np5^WfAa11(d*4hrbK;!AeYLkZ_7DB> zmB{9=W7r6k$l_ZUhL|#Zuzq;1lwE#wgq(siWFp+Swap^m8J1MalKEfCUgO3Y&_Kj? z>7n*b4z!o3`Bd8dIsT~(V1V!z=s&iug5|(tR@qL_xU_k@GJ;(;2u(1zqaMYeWv6!LQ$IgPsMP@&q&Y^Hm%_R^sF}cZR`Y zR}rag4@x)U_B`Gfw8knGUf%ZL4~amxQxr=wHn^>4GVUu8nwQ3JzMaGa?|3}QhK(cUO2L`yue;oJ|JxE#RF(z=Usj9WJmFa%k1j5F1!k|3 zoxZ(q>1V^4Ha)N_c?oK#f=SW+|0t3Q1Q z2)1UZ`H{yX#JBz~cHez}m4x+~v)}GF&&z1jUl(3vh_W>yY%CB6wHvANW>A%-Zw~`* zJ-%QlJIGf9h8zECcwRCRX!m9jggBlyNH~4GpctqQ)bj<_fJ9W)LE0g?^1YF)SxA3(4mWUG3)5^CRd}zm06Mv^Sw_UX2 zMKx(&TTiMvgnpM-t=tV*r8d3k^hv10TyM+Ab~ou0F28j=++@GdhJ0RcMP@FssMeVB zy(Tto1LFUFwrx0kuDr0}6?VhtaiQ(n zQ5?r)LdXH%XfXOLHV<{O`jFZ*1&ELDIqMEs@}f4bK3U45>$@)}M6J4IPldcKTevn^ z8ceOL_e+M{l!qeoO(8`T$Zjuquy{Tf#Qijr{{?zTBP@SC0ON<#hfMZt2q93l8-^KO ze^CCui4+ZLKF}%eu|S-_eVV{G<=;`xo+N*i&g-jYutRvP+x7XD3-j~rqM>L;1Xqb6 zb>}EH^Aq#qZvPCdTQlDUl*%rG7goh{Aa;k57q*`NN{=2L>ltZbbn4>e$S?MU&DU%% zpmw~vFDRbvf@k#EAob(gHjS`H2YF2YN&7z17_LKoWb!+suw76qr$0ws37e7sU%wUk z)nxt9-RYT=y37QZ9eotawmud;IrJ9Rgo}gWW^IU!bJ3x6b}Y5Go+;!(NDG+poX0tRZn-NLm+)y4M_FzD!28$ezwZ@)I) zDKr_mtq#YX(_|Cex>MU@a|q7*4^A*i{qIq&eZ7RYW>FVlUJuKXA*wO;*Sa+6E(NLu z1u70+(lNsr(w|X_Ut+Y(S_yp}-36Yl+LpsuzdE54_)4lI3oYjs&e$(iVQT|59x6tIws(_wRPEAOE(Atfo%)O!djR)hFwSj-e zzlnopXkOt(5A|*Idn;-?KRVav(nqaYw0$=U9Us=;n-b2d+|V9^e>Et1FC5dgDBPOapK#;4KW3@38nhm4t`X~lAT))7sz`*?;z?*F z;xWlbX)sNa;p(M+X%-iZhLe&-MpH9Sx6kU~m9(p$W6TYDUkshc29%_-Y*cFckADSWAx!r2nHS}zD(jO>CCW`w@RBS`Nw^(7&jO8+5Z?fHscUM(IRA52ZintP z4o{|oz59D>|47TJd*{@97x#;{%dbCWsTBHJWAYm*nXNDw4^{kZFM(^o&g}|$yica< zI8$vurx(lBeWCA~4(*u=ffz1Z*k{j&>&YF2nVDX!kQ5ad{=*Udi75q`TDV=DS$X6g z2hi`2@!wXz;e>zXLxn8O=NA-<{E`lfTrQ0vU_D9ASRZe37Ym%&`p zxNzKnJl)xE`OT_&gG`~8AKO=1$jyKEDL>w5j*OHIF7R1Qu4%R&%|P>SK2<@_(3BEj zz1d3RHJ)R(d3P#snn--FJAFDNv?HzNHx59HGGj$AXHwu9?_IV-_fO58(VeF8hdoL* zEQSS_gpcI67HS(5bmPUdv6Y^_x?AX+(Cp%D@*W$P!<9hUj^z>j9Y|yBf>&Ra39TuH zg=48cKObLI4X|+|P&w-;(}7aZe8#|?$T-khg(3iD{Cn#X(6?$|4$&f#yiBgEWU-$kJ~2M z0a-s`Z?4Ms;P%?Od32~msf@=1Bt}~jecZwY#*=g2v_IQKbXz=Hug+fhD8P}@x@9JT zllS`Q=p8&yWpxs5HKG@gH)t zGD7#;W_EERg*=4MrK<0J#J4utt_2Nn@!yQ8s2NW?kNFE(6$-V=yx**x9{$JO1;;(W z68!f#PIjoI{lC5pT57c6mOuI5wjerd$Q@LA0`<%NelPt=l1`TmbEHDH zb3z))=3VwGncB|N?xpdUzf3li8mYfUOU zF7t(WC0ZlzCJh`nSl8qFrQZL=5<`VjM=E(UE2M?iq|$V`?UcTr4AoD#9onjrEFJz! zgA7NiTE^M3q|6d_@#VFsAb1U+{@?FGh_>4n?HXmkLZ>muTZ&d(;l z-(6#>p{Iu@NF`YgE7tT2CNps(CgrC?C(WzmEjV&&Q8O%B42>RZjSxgu<);m=+~I9g z(2~~7Da+Ac72)%p9*S`&JC}`Q>&$E_hmt<8G~gkqi0Tx6`;*=iCtVy@n#e#-pID3l%hv73yUaL?2@)1q1bKip8sL5*nXhuPyA>h{T zE#Z_gi_sb|PX$SmZGnk(YZxA8Vss&f&s$D;yE(&>=UWv5Q8z4ww@fQFd-qsY~d@s(@c1Z80 zD1>#b8HA6r@p%0yD@Fxe$Bpk5oGK&Bb9uM`Wi^uBNoZTji>unxCTDtGYsQ9C7TsE% z$s7+M%@gRf0EMR?zP`?Z`zo@o@*jV`g_6K^0#@%O{tgO|@Yn+XBz~V<4gX)Ko-i|W zF#lhrp0NF&NVGWE*x3H3)DvIrID#?92VVSZ6;#y7K< z{8XDna76RtlRc%kBioT;=8T0d&+^Mx{_yFk^2q3DUdLNB&AH+A_!3X%WibxOJh0iG z*vfqq+kK^s%}M_lL#HtZSjw3ht#|u60QnlM)9yU)Z;O{U-d_x^j1&V`C5*)wZHJ`< ztxX|c({eO-CX4y7#}fzQ6Gg#+UBI+C-G|TX`luTpxDjp=oNDcW;{hXn=iAnKRMEqEE`<$)V%mf?WN@(F}rys4;h~)855-oF)s;rjBTZ zBp&3Zwh`hwh3}IZpypscBya;+G9|17b2@~cZ8Ut`gcn3+ndcC7WK55Vq{qlgUg^i^ z1TK>pDT<+^asMkoT82_2%tuK)jv^zRCk4p=5HEK{k0m)ndB;F~(h2#wUd%2tC&?fz zik)4YR!5yO7#Iz&bOXeJ@Lly8w4z`CNjZa@7h}X;MILLZC5SS%m&AKkVzM?zC?(Gt ztCCrI7&9vSeOQ;LO^HG!a$QP7DI}|e!b7rGMC~SsQA$1?fLLrJ3U(`vbxv|}=xNC* zzXsEruwE}&1%f-*pJj9mYy@zY zMQxqpk~zpYw9YYFPo8b~PQ!B_9$YVH#9x;2)+f&?(JK)*cu~1S@?0z{hXahX8Kr@VREOk zEpj(wFATH$9IN`gz|Fx3ZI1#5a+l?#M&X9PIiji(^?+Qj!>rZ7vN^hSG~sxC4S6H_ zB4|INv7tQoiMv%&?$X7iN%H3(jd=rg{3xF5CcdMYs5MH&Wm!c;6nUN+@?iC;O97>8 zo7y)-!iDZe&>2phby!Iy$THnILC?&x>!@Wb77~B3z>~OU z$7$j6L4QE2laW#_WJHWaQ4IRrj8{AIAOz)8U^7S78g2%T=MkDvH~ZbSN?cFLXvY810IUv09gU#hv{n|$7434 z(EGG5ntsE#aEx`IA-w>dzVi&zSO{UQe{(IJYGWWaB1SoYRrERY_3}?91D#4 zD)zu<8uOg3fbH@04=@A|LXnl(Zh7dw%K1(rV9 z9B@Z%z&8e=CmmGyU<0Blx6qNmh0Z&M5e-TTsu|&nh+>JQt8l7FO!h3ET3CM%|7Ddh zs0n>9CC%FR5P>xrL~%%~#p}#BE1aPTIk8#;YG$StNt%(q^Zgw9J21^vG>0}BX7o3n z>Ki&F!ZVN}?O3I_*SsGZNVk|N*gNT#OZ40jT746naDh3F^ZKGym{7yabQePB=n|Bp z?Bt1Az0x9r3?(1vE%+WYgLinMi4CiaO4?C~b4HEk2I&cp@GK104nMf&)^$vjx-hFq zy(|*|GvYMj3BecK4BY{2jaG-|XnYJ4Y!c++YUGV6g^)?3eV_XZb?>71$)27>XO8{m z*>kt7mJL4j_;QN?XufPpnPptANJ@@nTsmXyPiUJ2O7v!bN-iNEVpQo6IwwH#P)_=~ zEXnIaN4hPJgG+cwz(nZyzIz#FLSW;s4%)LrPkA6<#<*j*V9~gvw}CF@udcz>Fmo5J zAF2g<=tB2mq3mpy(u_9yBbnCU_&yE!b!?+B4gQN61;z=E##$C$Xvp<`$bq!MA%PUr zX}H6KiOv8XP#W`c@$^7*F#z;B@i^Szd`Ev^U*aT6F_A4AsRZ9?XeQY5LLz^}OJL_% zDBxmW|Dv7&CWSpal#7J~+c15EKF@s5OyyjRpUAXHF4JPuc%qd=-;hg^uNhzHT#NwI z^b>B7g~_BGdVNW(Tzsp*!GJ`sKO6ka@+2K_f%dh_9t1=er%1m7b5u-xp-1VVpmif7 zmPb1DwBZ<=&Qv>YRYHCL?nUW96))~3zzjE6!r(=Tqqs>G+)PHBnG5uQof`8(8`)R- z14REi)I1j?NJcc~N5M9o$U+I-Kcu23(%8FG!cEU$ANW=p!g~Qi6U_~YdYM4_y_Yr2%ot>tV^UQJ_x;Whs&yv z=^?ENhb8SCQ)m?x>bS-~%%9)yZlHnu``U0ETy2~#j}7LOIxqx1A-`w`RbF}*zczli zN-rn3i4~jI;8cJSzd&GrTONHejs!muJ3h$;s<`JNmd8Ac3t#(gnc{xTyD5GM0hrJ* zvEqQuVQI=-yCQYUaISBO97U73sB#w7b6N!bHbriF|CnT$Ys9y7E6lk7zgp{W)}gM= zN(8c)3C$+Ahi48_0O^}F>tfC1RYGi(g9zYZmEKO!Q#`oKq1Exw50aayp0`EpyD-Wl z^K*##K;X7q3Ct5}#5}4o zs?UzAoGQIfsv~`TqT_pbE>%6nuY;fXgiW+>gW<~qTNrOzqH@j>!|yc*A`B;Fw`eJz zba)B1Iu0aPMjb-WG{olzGBpx*;^*W3_4q{Z%9#X2sFRXHB`&KLalodUh@Zx?a!x=g=)Q zeD-@v)$RG?ZBGRJzTW&lQdvNE{I|DqBRIS7PHSBv?=CXKJBm+wR5QeQ4$!Lxt0-!V zRix&HRfll2XuAF0?oHjF20nA;d;E<3SR5A`y7X36OxoJ3j5;_l!FMqf+tb!{@4E~! zx+=luc~`63Tqg7xq-7xMCzEq4#~Iti-9+m4!$sLfJc zE@1^RFokl)ohf;?Ep1_l3vR^d&^#KOBWjW!Iw^RSQvEIwMaES{l&6A~_64gL22%zt z875pjy%Uk6}Q%=XqrK9Nh<<91o$P{co>M|P{6?5W{LM3_)QUus(^j*aMSkG`7K z-4VUu8tdq@!|ZnX9}~O%C{v`fzd>@GIg0$B_tf4P%aD!KxbVrTEAK&pzN4WYoMZG^ z_jY5auSrD9q?z19@I-{n94mc)!0Xs3=vyVGuI=wBz~{RuFK}_$eO?;&v~ZT|*5Y1t z=i;6A`Dx9Qf86u+Z|L4tplfSOKJ0@icZW~5snU6q0lN!Qn5>6)`ctY%VeJ z_9b!{WXuY@?qb7=v{wVbY0FIN1<~g5I=qloK1D1-?D%uf^3_p*&`rK2?5X9=ec>_y zJk;3p>Du+nLqjX{Oq(AJcoGctmCrvx zWt^CWxQ;jfbK+FB({rZH@vqOF`^sNh*_6XmTo;%8yu91|zVCx9XJK7=)|UCg#MW9K zUk+g*afOc?rk|B>XIeh%6CbLY(!rDWsuDkcT0@%BAqsWmjhrfW@zbz~ZQOrxw!?j* z%ay{Ft#DTRet5h+k|EBGY{`3PnYx=U53tP{#kL=Ad3`tyT$Z9I=t6=v|m-I>I@TxcwNDyyTEl33EGc@cHj|` z*RSOZ6QaI0D`QTxxB%6wWpZid*iduv719?E69F;(X#uaXXeori25?UI&66eeKZ=qv zS4x~+%)3hXhZonA47T#8G6Dc=TrTw;e;`pi+x>wP{#Ki}TgJxmG_A2ujoj)$L1f^m zbkk<=BNJ)L#w-z|0{Z&>){aK){(L3PQCt)aRE4tbr4H{c9`(I5GzcF!oz)ZMz3Z4F+%_8PH@)k1>+AIyS&O$e_qCB3TZ@(h%A?Y; zn)XH_Y*i%t3f{9LqDD6I16lNSa42S33vU)L9*ZC1`o-^#ibH~`IS(byGo$zl;I6IJ z_IdyAoiS#ge6Q4Ax>w#1;Ynioau2QlrRcd~d`H)`+J?uvDrP6u<;N0e+sfHyTZ_y^ ze6EeqX2PL^{kQIRHDYX41eGJrjo@Zgl~tsc2gJqDW?j$U(ami2SF9l(1Nl3OYNoir8hC5TYc;0hHzE*LeNq}0W zfS8xV9FpWw-BLx)fxB=^AmvAGDF&}L#Zs7ilcS3v2@WvikV+y8dD#;C+?t2MGOU&n z;`~RWb_*Xma>Q#pl5u6tvwB67Kjen6*Y6;kkk3}|S6Z=l>2;JB2gn2FkOB+-gA(M} znz#drgFh>Zg!(-jRzKGbgl+sjO!!lp#eLSmUYzC4ejclqvsNCOKH8P)ezXww+DBvjM+9DmMGz_w@JPnKML}CcAkh{#u>si6lZEu~l$W-H~1V27u{YU~JNT#N%ekoV~GN5|YYN zD#L*1Md{FqmUba;#96)evAzixNhlZu!qgRG>yqGu}8vY za)zm7buCL~_Kc}G3AqV+S&ibaeK$LSLCPa`4Bq9bt2cH*7iN+bPAFx!Wj;Y7a1Q=6 z<39Mq#tT&{d|GAwKa4a@g31De|#y%Tf+rVl|3~j!F&vAuB;2QjA1Almb ziqFmEQl5KJ5t@S^u+rhKWY-WYM(P)~S z%5vY+=3;e0xC}p~h^idK!z&VjckrJF_ue0uC-+LdV9+b>ppu^PIo^>7e1iWx;|~u^ zAHO&-;r_`cJqDi+E~6H&^-k2J7+Nd2_D9GEZ$RfKKnfrr<7J z<+cyKl!bi*!M$J}J*(^mEHsT`$NObjKKUGbrm~Sfk9yQuJ&WvP(yk@w1$ZhZD9r4x zVb{FOFJwe6K$zVE1HR>n6a;c~YR zGYLg(4GZ=ga`k`eH8YKu&pm1>5h72aF+~h6SX&<0LP7a=hc=K_b6IK@G}rmtY$L8S zZ@Mg1jeNNqG&v-)*!0?3YM9G6{irMqD;SOhO7Hdu5UvfJrbk?Z^qS3)na1&|?%r{3 zSWe)%{eP-8a)UCM!rZ0f09Ite$p&Qnwru2r`_MEU3*+#g1ksdTT_^d5$P$fRj z_virGTM*{{w-U|ylmBSeeLcXpz1(;q+7JUfnNOJOE`qz(Q}v7pPNQdbX`p3ta8`*d zXl@m-4Q68=cpgR6m?xMy(PEre#=Nk61RcybErz<5j?dF*1WyiQDelR0W3Hg$@}Fi? z12x-LwNhZ}cW1B}&R_hY?*Ew|*q?jpIq5O`@jPbAg7jJ)k18KYX!4B6%=m)nwJJ=P zc9V0n5W~P*B#=}`CmcPin5GQ?87`1j4AUmLW?>skZ@sVAE#!6Y2}P;(lrr$i0Aycy z@o4QbIx{O(d8}=;QxSdcKwFc+g zetO;8-vdp3?=e5GZ;ys1ot-@INxq8OPmdTsfuBcyF4xc3^ON(FQlH~7-2Ct3!li#+ z7vk{0twQe1rAYd@&MUIj3m!eDbN7cSmfPOHzFctf zz`o0ou+?u0rX=TGuS;my{dgW1K$s#wX+h>!v4pTa@xqNc`Pz!IvbnM8)vMM|UX5;U za|3_Ab?>XF`5EBr-QVLi{1s6VJYVy~UHk3n?eMhaeL2Q)XtKQpT%3o5_T*7F;SW^T z6#<8Z)J#Q}C@2EjK?X%aJyLU@kOH^ZkvyhZP6P*XfAR-VUBY?rpg;UmnJFaxPtGnF z?D*!qmfe7@15}TnbSH}3P+jOa93>*7Ys0s{4%}|V*ii=Em*QvR7vtySmody~&1o%Z zEq<=gFxlx;*`I!_nL9Jcvs2(s-u%41{mMH0*$Oyw3K3UV zhbOgX7tyr>wtO!>%l69W`?XcFy;ptzV&@!lza1fd(I*PLRr)Z(0A-{XD#4YmdwzVF z@|{f^N(mlCHM_fWRChfaoVlK8jJ}#KoTZ$M9Ciu4#rp*MEO|R>E|E?N@obCKwBw?T zzg}I?Un4)1dv4cO3TJz3clqlsGLG|gCSP+j`+coSjV_D#_)I@Tba4gwe0W?#d)UBz zyb7)$KCGd=0?$iuPnsAX&T)m8E7W^l+DKk)#ZQ)Nq^m=GKB5o)JMZEkC@;h-owfKy zhiu&!L$lp`r)gSohM@d7CeT*Tx!rmGEla6E_ea+YE+@U*f>pGVli`mN8}oOeGn@QU zeD-|Z#)x!MinHGZCr!v*b~wQMw^Hu7{Nj>Ok4w6903QK``b{Tm= z2ZE=Eq3n9igOmPDEvuB)rC>`oJYAXFQf9L>Cu8Ke41CH1Gg#E_-|8o@-g)H?SRaai z^Of>(MfH!EJKSvVlQiXlVdekhxR;yz{}E|`?f-%_z{|?Y^S>VVZYLaYIhqRV4Ss<8 z3?zsn#ArkHlKL&TAh_E-DX6b-JIt-_wzk3k;q*-BUsUr}TV%HcITg3@P5aj1`3nM; zf?n)w%rqel{@cAcL>g3+MY=M!%>K4ECpKo(oSL}mWl~*mjk^g5+gPJ?&a$|%9AP9; zDanj#s+>R(T`hokdzt4@ZgSc3(8dko9dUAxhzTpZh)1A|5NF_mZk(c3lkjS2TDgHD zrbjK9^xiH1j$v^>xZS{jL^vb7$X(hgIpe^g@7$i5K_V}b$&#vxZJ0xjfTO20 zweee)X}xN3r55ohhnm*SqIOz4haA^Gs6G@_LNmsM)@4{zb3aDs)@6TZ24q}@^u9v1 zrG?69rlcn1?TD*-O4qP?&ftMsxJ??Jj9v};b*xGhZS&t~dEfh?(P6ls<0W#B|MlN> z4bwf)I%HsXPysA8ldVD zW_M#^IKVm;a$}A&Plc6d3RLS@pVmC(TDxv=lItcEA_3{?00WJ!+I=3k_?A8gKjQ96 zO=9~eH9Yyoq2;_ZJVCvXU8;9;7?h|xCg}klcT&kv0v)WrXo6t8f&8ET{chbMn%K>z zTh2u^frK-fSZXZ4>(}xT1jQjC>5(~Zt@}&k#Id6LrqG|UvccyPAmdqz`{d8r08)fa zb^gHsn+SW<>XW&k^VkY{5+X(WLVA$3ko0UX=VYT%Y-Ji%uk$X*p~_^sA646ylLlKO z4$}8*;?XmE;oo&k8;aiAYyeMN3ozVi7^Q|NcX^F7D!>!ujK3)}T)hC0D_xBh`BS}N z-cuy9Irw%yq4`g&Y^%w5Ixvs6U)^OVFsIox<)$#qMr^%I!v^n#s8fWv)KEC~(`nk1z#ELw#;S^$ zFN!)_u@1QQGpZFlcfh51&n%qbk%9N9aE4!Xwm#AG#Our`mkGMLl#^4gY_YiTOjeW; z+zrPb@)Mr<=+MLjA6NoM@vmn5aBP;ox~V~M73?QQ39wY@0HGPEo$2~f5AcW$-F{eo znsGAv0Q!b0Z@p55-2eEqvnq-q@rdrIU`%TE-4mzx+k77n zQMz#O{LoD-#b#@Lf@9R@z_km+FE^Yji4%nKgv$dE!lqBruQ~gADg~(V#dEFjR^W5g-`PkpK{5C#oXttR{UnP)ztg zO8rp}2(t4;HGaT;CI|dPu@V($nqK&k03aQPP)0M+Uc$tIc3Y~1l!P@5WRM2mywU7+ ziZWS0D#snPDFc z&Ly4&fuKjS6~Xg?706lq&i&rPAWqtk!BUjyihW81H}QKvai6o1Ll0<`_Nfd9_-#vE~f^&xswEw zG9VbM(>Ak}exN)f#&P@9m+Ys+L3!6uON2@Ga|3cyj3(?n*?i;!J`#d%kpUO)ubSA9 zKpwou3{-Zk&OH&-8anaXI@+{puEk&0$Q1H6p-6rf1USNX^tAw#Pq=qhI2}zU$q|kL zH~$QaiyH<;HM}oT{`}uN*Y5C`)#6M6OiRemfk1$H4RssSC~z>rjCCgV93lIb79%$a z^`0WK-;#0zvaR>5w;`8Pd8{6;fM^6V*;F~aRB%4_?+o`$?B7w&4e|Q)9o!%nrX5tq zLz+;;CyvG&g6ttdxM+@f>{;uU0|zWW4mt7aV>Q^TJPDn$0l{Q*+$(>8T=qmlEg~lI zI9X&rlp4FdqdPK8`hladIV}^_j7fH|dH^)2AC!YJhHElRQb8%m%`2l^ojiN|e1mnaq)=U`T9F z&6(%|Rvfpt>ml!aBCe1ATc6lZDU?kdDinRNo$JOwI2i(^8%2M zpn3dw9gg`;$ynrRy7?BKNFgyw+DDD;X0;jRNt@1PBoq!#8o$~-({<3Ouw z=ZS4=jXtm6y1p#-mw%?{DU#mQ zyYrUO%S@JJu!d3;B|`EnYn(cUBkIkZ$1Z zN9PfhE5zo_yE#ZUFSF4=QUru0aD)YL1_|pnWa0JG*nx)Tf?6I#XZZ|8licsP5vd~L zOnX~53axE9#d$O6?Yjo*2jF&#aQ(X>QNExs;*`Bgc7wS%*0|QN$ROvBH~TEE^GMX? z?Bh((fO$zf^jFwcRmVXwX9>dIJ|U|$ zd=61FHwSo3BkvtrN`DZ#O9AqVEPdY`%*9{2A0b>2HekGwi=px&9r`)QV=j?(2l7RS zi}dEBjPC5H9L~ky`UH-$m>2l1P*#XSVi`+rxk|qG+}qu124)?vP+IDQ^5FNkgwntIDVWTFA{=YKHWD8yOl@CB=d=g%ZMvS>_H14W13RA1 zzJy&yRC>0F7w6-AN_w^$J5VY+jB>7_k*twnX;Gu9o50yyZ5?=4%dpF%#J zziyTxrynS?CY@B7cPsT8(X_#X;z}02r1A4Aew*$|li>2G>1d3!8;C6D>5#5^`=<%d>TkK`Dg>~KSQ^0i@-QgRX2$hW=FJ=T2 z{PSYWjOQ#lDBDY&JIlk@eAlw2+}G{I)-!eG(Ve570%da9g%!o3kBBj~KsJvqZx9>U zNPpJ$83&e*wD?g-R-DRdf|4Mf7HM~fkHT0n_l+%M(GZQ5tpg9=!l;D5y7M_R-)H!5 z%q32=jBl}((fFKZLKUotseW>Bg0*RZuEn=8Q_gSnYwyi?t;MV+%>fnnzu4_3Ke_f{t}^u;0N1-WJd?`@)>vefoC;r8}3bA9XvehI2c))Or#uTG5SAv zN~M@2v{i&qEpS%)`J|-Nf8j_viei~Icl$$myA}eYrd-7C<-S+ZV5$tN3s0o$KI>c7 zbu#DXUBPfAo6+Hg-zjwB=0BVKOZ+J^c1v{#gzL4`m|-^am}`@hz+Av)`H&eKuod(@S~G1LKm zqMy)27nF3om$20-PNhc>C=xJ)t!?8k2|7fgI%(;Za`Hw0hu~Wz=UX&NBOyu2Mi-|P z7Aa$_N>)%#Mcq|Cbx~ht6OhL}zY@o2LX^tkY~0{c0jq0qky@orz)`Q&RKq0-Q*H4+ zdc;?kwKsPYJNemgiIpN5yh@xd8EmZ{c8L|9=~ujfzpQETh*Y7d(`)tB?)pCWK-T5L z)}G2^Ng1sjQLwaE+QehY_+R9FwD$2MY)xrhF3Kfg1};WU1YR`nCNOgkEqPDAXrzb` zn&Q{H{W|eU%o{(DjUL&(7)Y0CZWlmwP`wQtc{5;tHOx}2K3-7yRk2mt66~Y-ny02Y z+QqoY0v+74sC1?9UI7o1ia>d#)qj%}KNB02@cl z8eWmET-FvF@VEY4UjFHMK~oc`+If~&b!n7o!@P_(5TO~s$NpBeGu}3Lz3??CMp5}q zk)x=a;EV8dFNk|8?y+v$jx{RAUCJB0C7eD1WC1pDr>uEUSUdXDATFVwjQX#{)EL7x z#X(9v2DJ&L!atcz&#Vz1yXKrUdKP%3XU7KN3X>OP3CD42A=%PYjvo_MBPwbB4HG4i z|IXcJ+A(SI)Rw!prIkQ5^AuOm5&a*68iE?U8sZ|{VGstsw(-eW8%R@SX)t9SbT!Y1A5cM=5UWz%QsksX zA;hTHhSNu=*S=E!=uiDv9GHI$N`3Yl0?XXHUW;M0(dZOzME!1fLP~OL+`><+m%>GP zOQq-U`xR4>QSoT$3fwL4-~-SCaWzKh|I)8lJZX3WPHPl2o-|Nf{~*4)HMM#4Pm^DD z4>ge}mRIE5tiwD3-2!~&6(xLQ!gJKkNjL_29nskIUfuloHT^Yzyr3o{gua_>Mv`jv7|g zubqt2Y;zkO+hOP*F5s#sVBBwJYuqL@2sR_q7hF#vAJtzeTo>>}i#EO+0^dD~!UW2N z+x>Wd_xk!0JTm8>6{t1D6Sl}R?-V(x&AyMRlfjhbJZO`_Fro)0hxSPieoOMyrF-g( zD;<%i(u7>wd6)yd8@3sUsO9RYY>&vPheL93B})HXzoyGSYaViuYzvCFV^)& zM>n9|cMt1=igbV+FqvKKs|%mCFi)O0Z~H}C-l_fFl3`EANQtYWH5nw7J$5;DZ~|AP z?dy+e+9Yb!Rr-?&dmt=G0m|)Y;#inW>!s`NXoMuDnKby!v06I^Wm?u7Wy77K!Cu)g z{}c>JW=fdu%!!(3M^hh(&c!Kf`4=spq3qK*9UP`}gsyB2{6mZsCxYe5b6)S76YNSG zTuSs5b|RxBU}p0rO5VS`9`EU)4gQI#@BjF{*D8E3P*6RCN=bLKF5iBQ5Eo&m$bN== z>^j%@_^ct%S>Rrme9WI)EuSe~?&k4JE9WGJI|htn$Ephwcf?-9DHo`2TSDHQ^BVZH zT{eG=+$8Hw1LIm0Goq=oHCAha$fx5P&tIP$vh8?V-ZBKK-xS{z0mZk5mW)M-dki~{ zqN%a`oTgW9fy$c~1~LxX_H98p>;g!SreBSS{8Nmk{Ux{kzxi!%&JkO=gI~N|`^i`< zC1Ahsj&(o-&9Mt0LWm!Ts%j+c@w&NVr|Rx2Ah@aj{wJ@g^`>!uFPi7P^R%z-DuRDdFZVx4tnRq+W{keF59r-<*clM^RSz7oNl-VXwubPdj^ca1cAx)As0_U#@#Gv@$NKTKcbm|4RUX*_6^ z9+dM>ihj(>hUM27*C~$~N|aZ0s%p5GRo^N9J}hegC+m`waz@9zsMd{x-!vMFfWrsn zOs6m=1P$Hri)Pgu8oFQvDHw}dBn6RQ){n^y8yvfg@R%!w{aBFQXGCDizS_={;Iv+= z>)Q!olkm61zREE7hn0U7Y*bOkAbtI9E`?Z5rlK=Z-JQ1TPFef#(2Hn0bc}o6;CX`p zApxu@Qc~_si~U+8urBpmlNh@YQVj>)yn_kIIiL{YveU{c{5Q^Ok3PR=H)|-h=H~5$Ls@kEl zUTp7$WNmM4%+ScRSm}M0;qxsZ28!%b8eZQ%Ys$s+9UT^ld7KEbR>-JHOF*zPuIDZ-HSQ+OPym0-n z5SH9A3f*NY&evN58Zl+`1hOitmpXdr-%Xc9K6R(upSe;ud3yZ93Y%A_xW3c3(0ErE z^4%j&N)i4k7^q+*)l4uTjdAZ5=p`XM#J}4RqT;m<*G?$v%6@6~r+D@hN`%oMsW+Op zlIv~LW*YOjqSTovlY?MFof8~ZkA#T6+jMBT@;N>0k&}OYdF~{BGNLoMSxzs*9m3MI z4A0Ja`sydE6TPYJrt_DH-TB}MOwEo<^ApC*8IWzh(12X;&@IQ!);F3G`MoGNjxFH> z|BT3#kw>0@xxOvy`-L1VJ30gs~^0G%|U0Sb!`UTTxP9RgdTx>J`(qu2P-?#0&G-+WveM` zy`ZU8mhnniu|rmnyOVzYId0Nwqesq8>!1SrdVcDPy{=!ovNjv#wEn*Pmg~zMOTGBk z!1hlYfJlHNpff7l+AYC1+qW~MBXe0c_vYXF9JpwA^lk9Pg)Jtj6~(J%`6WAy?s~LMXnwBm8&U$=Uwb8ve)n%EI6*)7he+YmyiUapea4jrW5f}PGG*ddO(V93z zBFGomah%#74C_6t9R9oMq2Pc~o5ugT-!C6iLUZGqAoULcxY|##q;c%4LNx`!WX4%} zI&9eW3h_@OturmFY{ZE2`F@uCu$Z68b@Rq55eYCws{k`)bLd6`Qat;ikjEmX2RGkeM4fP#zDrOx1xdk-L$14aY=2yYCP)9 zH)=i%G;ADxnzkqq^~v!EXuSuI0g|j)%R(nNaXtpT-=r(nc~)C93nK0VKpDFt*g8@u zIN}pKo97eyF1%bJOxY4EO0dV|@!G7aKA*?;n~Ap;x-PG;+Qp@Ea$8Roa@uXB{<3Wv zEZbstDTbQ$GdpZKv>~{LJPKxw4qi`1T|X8&SX?bbO&9_Q^nkFGaZbFKe1{uzPf%js zT5XOVWTOi9zUtr25@bufg3Xxw7|ehJ=I*{8He|bKc|5ai02wLfvF2Ssq4-nw_+J!9 z$#{8jrRJcKM{gc%S_Q%C>qF=O^+rf{r6P0y~s6>E++j)->vPzvRaTk-QKIGjLG@^2Gy0sl!nhU zh^4Op!$nXZZ1)4g9z@^&JXh=RgB_)2W zUjay!W~}R=ZQ`x*yZ7%5r+Dg!9;plwpjj7mZ%s&Yr0J?($pjfvhCv~P1wqooqTSUeWA_WzRvn$w=m{#UAM_lcx2 z2bnm6KqHphf0LHDjSEa5vh}nnGovlJ0(11~5Zra%s_jAs%++Rr^s&Rpmk=cr0%?XD zfmV>qM={=S*SqB$h0$!%_Vu^t3GBzbW=0jbH;#*dXUk7WTP|U~+Pdw0&i$a7LIYRZ zz8?v}o7U=$c)3{zd9ochl-U`=u7rHncU)i&Jsp?Ak1dMzR&#~7Tsn6HpN|%@A&h_7 ztC~Nq0>Nw(U^Z5>5@9yH3R(}jH$8;vqB;-<5XR5@EjD8uxzrlm_~m3Y8!_?hAt03t z@`@zI`TfC}f9;nz0bcZu;b2&h&~0)rPghulWPe4In=N~GAK5+Kh<-A|_J{31578k# z<_33fZ?tqg_3_z_5)FiGyl%#k4g=gGm5;|MW7ciYdE-p(%VtY%A4EE`PsN{Vg#{`e zA0N?j&Ra8Sz5g8WV|NcX6~qh&MV0?v-n>I!;l@I_J)KgL^lFply#su6i<#_@@@ie17<2*-a?Z4xNk9UON8Ano`J4K``~vJf=S7)MkUu1 zUP5Zf2irskvlH*}hJa!V+o)sedV36z3YU0rc0s7r2ckTzGHTL0sz>drh}9d91j zlF)VAjt0y4k?~?lr9_dlczU7Ze7-0nCL&ZJ{?s}oLx`yUIy~@bBxQCaym8U;adh!} z=}>vN2JM6b;o!dCx_>+Sbs^^p-&XrmC)Mnkx%ca%_;{Md`)vRHAsoHa7y68f*Y}wH zJro-J^84s+m*#50cZZd`0VXfZWUADWE0F{e(&4uw2L7&Mn=B}$5zkMirI2dR$oWYS z+!mmhRuPsDofDya__}Q4uTMDp+;~wIFU->KuaB_l3(AAO9=koH`&Zp|KO@)6BOg3v zY$4C3p5pK{^jM0|wAfF9kMuP{CwjI&0ujCD7ZV&0&(Z_k1 z(-bWzZik;o2iMG6Lw`AAS!8@k=EKzs+f}bO&7j0#>JKk_z1!l)U8^u!_8k*-79?xCmh<@326o3CCgpYUf((hf%+K1!3&A<`wz39~o*OIyo zqjge6=8}PRM7L+&_gOk=98rO9W~8M$+XnD8lW@`_rE8-rCvi%HLE2kil;dgsXb@daBUu~g{nc9e*R1cw$@m>9x_l7jxsfLiu!<0IWuh591M* z)r+7VCU!Sy>tI7_(FuBVmVW!>TkTVb{1t9gTk|Z>BYZs<%svI?&QalV?yHv zQ5Z1vn!iVwXR3DowtY&i`FVKdM-oeX3U@Og_^%(z{I$Li7OdVNU+Zi8}m1dvy(Kth?wM+D^Ez# zlKxhC=YFerv^&9#eQV?2NfW`TCkK}v&NktpN)^)7Jvh=U1zg12$&4KdEDlIh@OF%{*>?eUqF`NLMjEeS)#zfqKfZeHF zdNCVRRAVe*ugKBilXKrcHUDkq8F$Vx#sF)e`h9Flc_E+x~RyYhu?j}|k&`D&F5Zf%HCIy8} zuX5o!8Qia;T-2+NhF|5XafV^EaNssz+}+;$aqdKTRm&1#`*WDao@uRZ0S!1yhBVVK zXH1xRVs8K@v8Fzr^FtWB%!I#9MGiu{BhFzC-*hhwFf zM3YQ7nt+7DWOGN*ON~V|)9lihhzEaK`{pc*nR)2>J!(hA$52!Irf35Z6N&6>XO!Sj z7mZ$}4P=^mwAGIlcH%)J9~T~g3(q3@<9A_kZT=2Rc|VR^q{zS+1Q=IsHG|NN22>og zUjdErrXLaB*kXTT*uEz`rZU$9(GtKkI!x3>c8-O>6byMdgosP8Ju_`^PbBt^J>+}f z4-_!k2-V`=MX?+IPsX2vJLZ%Li8f0Z3>5gn36w5Bp-eRA!VX88jgi8PiWwNq_9P5W zbd^!iQwv zRax2`6MU!N4Ur$Lqp#z2AjG3$kQJ(JL->Y`q`p^7z&lfJ0RFOSGo>4plVaK!MI>!h z)ygYdpp!JMD_Gpc^`OTymS_hdQ7PJ`tc@F5$)X_)2kTI6-aZD2+ zujO%RJE8bF=DwRIfb%WFo!s`f(m%ZW0OF%4jy~$U&cfe9*q;`En5Wj`UEG%@GBxIP zv~c?!uJPm;J`k4Z_j?r*O=KIM#hm>B5zP3Aj8y~zg7+eGaQGQCI`X3gLZZS4{nV2f znU&;K-vls(`9)DwQ{Bm{;Qp?_?V8Z6_A**%#D!*pi(ABT-S25gP&rb;W3`>23jXfX zj;brDJE5+HGw@qM(bC&>Y9n>+XJivLuKKl}zu2B{Y+X+=#)ke0&pNJZ9hKdP6>7{3 zIGRk|L&`=sdWQ!dALr{O={{3j(h(9 zwvmEMk$If^@v^wqH3d|60IsBhAlZ0h&?_jQ_qz-5sA*UEc@Z4J{&=BE@Pd77i*^S` zm_Qw3qLq*Y-Chbq$1sxG4@MEoT3|(GDjNNVh^iUKfLsqeFvIa7xv zw`Q8Dte!cik2=y`t{QF47o$_}t=*5{51@Qm| znO2cow{cAqj@(bkLe~%_qz`1L$=V2XuX`3?rUy`K{0tiTWY%t=_SxpjR-(lZAPY{e|%exh&~CmD@P& zCSfks0gNT84zms0d9zN~afYlAO}F|u5xG{w(Kti&4*c#y3(a>IK?ZYBkEha9o#PxX zQY?K)2+AjbStorgWwyuWgU#A} zGE4#Vc&=fm5bP1nB?7G6Pcc}$2#RQL*uQJin=8V~1TbN>!goPRCVc`RM<(^g8Ngod zLSyR&EQ#L8XLmss1b6_|GBKrO*oGd6VeIi3R?mY>2;BpxNC~(NiwQ3eeXMwXU4GQa zeEYzcpJB!N{2LU*pke1%dlH3MLoP$)@~jg9)oosh07H`fh6-7-jIo$@6hn-O#GGV$ z%BagkQn=#xpuSeLbbn?3$FS_VI#rBst(FJw@qgXTzugHv4h3c6vE#V!V8n|ItHmtB z&aqa<j|@{orwa8LATdyd-}CUw{(l?G)` z8$nG@SV_(-iO(=UVyV0|_Fy3I&eXkPROEQOgJ+~G2~S|88wBHpS{Xlwfp4T+h%pgZ z;65%_tuma!LgFDsYiDU9FG|{12^i^IYWN_lWW@s9c!)xdr8Q*wOC0EH+3qA0k&k0G z%b~~&r!K?Wdg^2O3(iA@K;=7bDpfPKgGZ8y#Cy`fqDH@r&?Si8e?(s~OPJ|H7j{mt z$ATNh33Fs(g;+oonCCr{XyU^sS@etj1Y=0(l}M8_H`IL(bqA9aZS2?i_F5E)nBI~I z>{t1gk_Zf7Bu_NWyzLdyOkW{ahdnJ6kQH6#Md-)7hoR;8R#$Wua06)@rwo&a`YBH9 z0a5XTik1rk(4WeR`76TVU+TWUti411RWBt)@&z-TS}saIs?Xm>1!GNR+5v73Spx3P zSxqklcc;P>>t%nI5*qhQ2zz*@L|_P+E=5MAk!nS6>MbK0Q&N12(g)ZJl@U623k%ah zOKOF)0vq}abI~0ZTu1DQsaKY{%)1}awx0}>5vbS|2^xRa8pLG(a8}V_@cqsg8-G?a z%}n68mWjh`ETcF`G5bi!{hyn^#>r;u&oLtXYhmds5!~l}05F+w_OH zQY>LDAnugY#vNWb5J@&Z3j4))9k-o_d^K$^#cN#Y!PZ zRd-zh+F{XZ72aQlgmkY;XAH}%%n;z9@A{P*y!5N!lq5n7@KDkqef#5KGiOWh{Mtw8 zB06G#R2}%*LHZT*omy`OS%7XG-L3CLc*0c}A zZirFStIEgtZpKhcuo-P}LVL--*%;F{?wXN6EnAw}x7kkhj)A+{4cm!hJ1*e*>h?>H zuhGtj4+k>tp)->YWnsNBUs-SkLzV)<%UMiLkIjk6eOzti2R?*lXzd?t zPa7=Up`^EkOyu$ExojcCK}pZNQ^~_9VzL5lrWO~P=6T9)4c{#C$rryK$`SJw{CK$Z z2)IHFDAHB;2Nfd@gl9bXk;rE;oAQZ~$;;GO%Rfs#+eO416{pvqXHdj{ancR2)orA*E{o7)yorOr0G|;@WOdl znR>-#hWn;Xjw|*joma2{MR+#6tU@F1VjkD|C5rFD^E7{%82gw#1(x90ogcNE*T&*o z*J_V?#F_w!>-Z!)QlTypy!Q2Ek9vrVmOI4_rJz??#d31otV5z2r0ZD-z{8~oU=968 zPq^kBIos~7aGd=8Z112TtOa;;ng}MjSUg0&=i&?K%na+GU6Rj{UO3)*e<|XNCEr~9 zasgbiKw???dU?@Qwl&ARc%v*3--rC2EqI_G{v~dYP`*-TpxWuRbtfv8AQ&*?qdaNej+0K7mO)pm2p~Erf zR+Vi)h74*xO!*eFD?Kwq%23%BX~$0Wx6Wq>$+dMvQLY-pURs5NHFA}i8≪9@*BbL2xJf^7f?&ekZ$` z|FQO?>-JWzk)5>pzb}_e1iy3Fs+n3*yZ+@Rq8!|i>T;iAX zB3C?MUHVRJe^1Q&S6*wbJcPSJ4cQNLojm^UKe!^%W*3+qdq)!5Ls7IbE7jjF$57tZ z5B8}qG#}DLkq3TqoF1{h>KrE=W}*^$a+E<9!Dh7kY;a4!0xG#nx#!ud&}^7%$W{MlbuY75q1!O` z{Zaja?!H>)s4HNNuOlKL`b#L15X4XO+(-f+;#iLqNyo|}l4M0gt6!~H`bqY=U`j>H z+sB>3V!o76B+0BbLk2-H#nh3FyIUScp2#G74Nl_@jU2!K#$D{* z-R|v0R8(hWMn$|AomH>o%P+mva4Uw($Hhl!)g4Fi$lz;atL@Q;Q2N$~1Qm+4{vRF3PBXo4e~sDyvV^+;jsrt@lw1o>$J_ zsJPg*KOY;t1R(6uIvsH19NJe}<{I*VxYp_}6z}T{n9;hd>VwE{4ed$o)R{fk1C{%q zY<4(zws5Y9?|Zfl+45`|r|U7&7l+WR;>o$?Yvs5*>;F_A9y;zbgHlsAU`gxcmYFjZ z%a^y$@RViQHw|{I2zkyEm6h)u(ZV)rzyid3w2l}Bt=a6lG>-hGEHs+k@glF-53Ac= zH}mB}&&!Jf;EMF~%H{>OZ2#DkR1y#?LXMFv$NJ*l7mbO%l@5h zJZ{>%LA73;oy;ErL9OX9|1OX&RyT<+657lndTXz1?KYY_{Vtuf6tt4v;h>#vKgyuy zwps9$pG;cc(h1jO|f^i<_Cq?rpmO$jl=nVIV!PQ)=TgKl>NOI*3j+Di$vcl ze4#aWF>Zdp<93TQ*|lf=@g)v`)@Nh3GLI)paOqcz?u7C14}>D#M7VVfXI zla3~bQ zB>}_SjP51%JuUNk4&}}5hdG~RjoP+Ze&LW`y2j0#wPevy#?Aw^%FP-#Z;?f?>WBBz zDUsGu9e>m!UlZ}Ttw5Rwf7Co*lSJecRY#g^+wqEfC-l}i+M=au5JwcxcMxwE!D0(nv`5tee625pT7`@i&upU7`ufZwDT4j_O%y zZS}og9D#DNt}EIPk19hc8$aAS^1WeY@DbAWSvR-kGYf3F?uQTXMy`pvz}YPG-;D9( zi)B3s*ln_RO~cFt9sasy?^Uj;jz&U-QxDT~Nn@U~v@Dc*|HjBALK|PaslDH$Exv?6 zla^^k9GfzpmT^dA9haG2A0<^Elp`_zRlasS&&d9 zrqqoHiFIxJ-={ldiEuB5RP`R|&26XSiEw(H%$4bO-gAbP8rgr{IK6KM&D$=QFV-l7 z7W94Z)7I#$n^k9QiwhQ|#6XLbez_8UAmB1z*A#|(LV;WOR2)BUC}L}mHy?zu5w1oX z30(LJHseM2vk_Z)S*Ia4>EG%Ae)&wC#YhkZ(z|}S?kZ}Z@n6m-&@_7I?g$Fj_&|k! zW5D|BT32`1hw-}ucjx%B$7FZ@#hjM-H+v}F>dl_gp)gj;O2NL{RV=X_~T_oluJ``JU-RA7uB+g%{n zuvbqog0lkc@w9L6i#YbEdW))U@$KD9n65*9`8NJXTop+6C1Wd&owk@E?hu-enPE#IBP||e zj+ML3MHy*10A1!~2ls4L<3T*gN#o;FWU$A-lZ{DZ(A@=rUw#U8DW}ezXV~AptA@=- zg{Lm)S{qgCl7WY<2S&rxlwr3Ut!}sUfAlnbDwwA!87|pe9$^r-Gk8CG3j`G-Y$^kN zyc@onbFN&iyEgx`3i`d?+M~l{Z>p2w&{$8++Ew47@|j5T*;^RvL_4WG&ZaM!5(+^P zqUqbbtz8+jQeh$u#9Ee3^M5rLmlUe1GqvAY@sztVg7aitM#?$iphWug6~6|yIsnP|b4yZw6fp?Z)&*h_Es!g+%FpT0Z^-5mFn@txzR9W7X_ezS&3m5bvL>|mziTjfo#C0v{ zpo3U9-G0b%Fi`ix+TWG0OJr8-$Ng!5(|iMwkjaNk^^I2jZ*C!ZP#jGP&Os&a5$h~%_(dW-_Y|?WyRQ;~^DXwJ(CBP`G_u}|O#Vf9 ztL>)9u+bBdQY~(~=Kvp0kPnqSrj@OHjQGO>#$A9nE1l=*2b4Zpw?Z#VAhSZM*}3?V z7T5GCc+?Z*=p?qa@A zgRfpD62J5e-`zfCIV~xl(DO(Hh(uuHv_8rOWT{rXhvA^-x>;6=lvb3T77Gp~NPT9i zi8?6zhr-OyP`K8WKUG{Rfwg5c>aKN3JF?7U5Lw9dnWt?#2VzDlXqD}gWv?G-k`YUD z?`Nx33ay5!?|bzYlJLa|Gi&T@`7;h!@00t&u6W~}We;YziFNU&=3$F!BXnllDTk%p zq#*XAiD>lXEI>+kLA~c*=ZC+CZKwguRzJS7{Is!cLW7W+754erTr4Og^O+5CV}q8L zDC&C(zd%$JL%4k4V@$$c_4*2Xen0oLmC4|Ct2CcF67w*3leBP&S~l6bqI0!e;N!PK@I_8v+yI7+RryINB-zn|3+JmzMxR(3092XvPC8u zwuIH;ifj+|+{Rb#HDiw2(Izh|QEdyEfRZPVdDcaET~<*kOawRMHqWz3w?V~`phRB~ z?gX4Db7(1OD0!$-s`WVl9dqxCVb?H6p2o|w{w?@@)HuvU<+D$%T|Dh#{r>wQ*t=mf zc4qWTM}6kcWkvehY&Aok(k@3ipUu>p&BT@;!t!T~bh&UdN~IlqZBAI4r_v%H{KKo{ zlbalBJe@M-(;F!HO4U}Ys0!!{qv}v{TBDJu5Cf%!&#I~`l1Z43% zf!&iX2UQ&FbcR)8g&vo$B#Ydp{wpt}-ju&|=;fd;Rteh|aq(dx&K(Z(Y%z*fx3LF` zGk>y#6HgHgC(-IJ0Pn_$C$f5|bUotgCn)NAqEX$&MV;ET7W{Po#;R~|% zHobYS`bYkpG)5@*ynnVQ%kGC$>X&To;E`3_cW5owd>g-U_q1#-UkguT$tDZaL$8Wx zvBs-jnmm$kzz6(RkLT~n8gOO)MH&bgzJ257cP?^xIo3idXLELPg}L3#+R*@x|`MF7x$EzRg5 zEH=YvphQ05C-{rz^d)((9e)2u=Ha~Jox`LutzyeSA5l>3@^jp;_?i~dO5@I?*4=4T zwo@FIBb}n%492X5Op{goGks+F4&`Gr;!ZuD!8NyNOSbJTMuAp8W(S8mU(}>!x9F}s ziT!&birOP|ABVTAwU^!I`vE&p)bbKqZ8hopD`5~R!p@S@>nf!4a|gs!@AV@j1VBVU;~9)<#GI+46gz z&jW)Dmglq<0-iyh_Y1=CwE?JxV4|)EzNdd3iOi+}XY*1-W!Vw_@e!ziUn=!rXlK z?KJR!JrJ8z{iVai2J`3g5@~Ilii7}ZbN46y=K?$Z@tLBQ!Po7A(n{mC#RzLdxeZ|*x)6N{L}%t9LN7AI z?>ed`9NmAdLLBFPc7@^V4mox5SUHURaiO zhmF5ZoMsgb(RhsKFs>75de=0tnkwk5)m3N8iV74Z#j;~Vxv^m#7!dz=4gEh74>#MU zP1j34P|~yMOFswAi>-~khI4N4b8_)YFn*?-?fca@sPdm1+g61@IO^2z2l<%Awc3B@ zwm0tBuUo!g?{H4OJmNq3idOp#NqqTAO-A~?x?7btYd9DNKAJbeF9CGEiQh{C3KBUe zNmEDe&I0-$sPAyt%cj>@ceWWY93Z|3cd|qgihRYEax<^J#n87nGq)df_kHVl^Oh4~ zwc)JC)E8sD>trpz+z@2xWqMR(n5msl%h=c4@2! z6cLs;9bD`jydju-SYj!Rs>A;J|jCWm!F5HeN>NnpLacA<3JZJ(Vn(9 zzu#ityhGtWmTNzww;u$&mjfpaD&B%xvcD4jPk?Ydd~E+)Ksaum{{;|^mxts34ut#R z;I=>F@Sa!s3Ln_TSlfJt+t5iotbOE`(`K)2a6PD`$xUi0y%6hBjBjD6RsQ-S2q7aQ z!QAfOiN?T`2wgDSH*bw@Pk?JWb~DlTQvrtplbbU_g{5%{13PYlroDQkt6t%kZqj&w zFeAlwx~UcptU5hXgb9QBY+8Y-V?zdoI=B22w(3q+dW@_A+ZNjwk|kK074VEtgV(#b59Org-p> zw_)2MAAMPQ{!ZYeEyOJa1DK$2?R60|t+;tf2>+guIZPIpaqeS77+$|d>rwASgGd>{Q|5z!2kfJAePR17u2R#N!U2OTils2dKX6U>HzrjuiQ zr1=?h$$SemUWWT(qZ+6su6F};I%(kG5saM+Q*f0?`b9G`JqB!o1;vr3#}n@`mg0i? z;>N{^X!glIufIpf)G|Vp@h!FlGX@VUr$Z+*p_y=jyu5)#Ik>3gNWzHQ(Z9bwA|uqP zX-E@=lilLb#}1wsPqG;w2Jd_?VE;@>pMeksWsZev0at4((ck0@vx_d424q!%O6hxq zf;SdF42}tZnZPZJS5<&o=~HCFV<*9cmj27NLlGC;Nt>({YEdutt>ruqqZ855v| zu_Bknl1m#EL9PdYCdPT&cj)-LXZX>$hxj2!?9|K>9BRyWV+YvHlwuG)LePum6z@-+ z0n4+qZ<8y0j=3hC^({(geNWK)##y{rvKNIP`cj1_PR6u~Q288Q$mA8~Z}cP(_CU01 z*`9jny2uHp}pi&=;mZvD6nxE08}bTO@z_6lOA8yU=85o6p8OSD_zH^PUJp&4`1=Xq<&1_%SA{gu~?QO=G+0 zTKH#7o&H)ueDC9l5ep4u@)MNGB38(~>iJKTdX@=NJE<$|vZ#cdsWWW+^`DbZ$Vc>-bkGwvO{^d%EkG{( zmy@WLa zKRb#+L(42mTexO_qj287+K@EYXsIN?I(dDfvwVRqy*(^~Sxs|ScC~miLYYc$2+E*g z3Q1W9!rgQ;SYB)HlY%MkH9pAhDzAn67IjMx;)s6dQ|FBW(z~PWI`yAO7aF>kLo9RlBQV7#*lDb z<*$vYqc*79BML?g=667}C@r%SuCO4$ z+1yG#%AoPsYCehyRkSr^xN~xua2#b2|z${sB&owjs8BX#%zJY z7p_fAxn1aX*mM<9_@dQX>*hg3?U7F=Hdky|t~+|>^~?s?8NlcYY6y_l$JK;j#Qve;umpZn?%bNCvsWvie{ z|0v;zzD~gddA{13l#NcTt6Yf?A#ScZiJ&jKoAP_g?3ttz29_nqM?7#Q$4{q{5O#HQ zrY6ePt^vB}4>~#bEbJ_iSDLM~DqDQ4iw2qL=5JrDb_z%WS2J?%@aBqyKdxVDA*x>W z|5V|5$2W${v)`=AF$(`e$Uu7*O>Um}YDmq}7hHCen)G~Q(9972Nn2K#DYA>roeqTl ztV4k!yP6DtTf((h>_>%u#wmVX54mE9pjwu7M0U*`H|0pgBc^@=LSMzIvGo|Lbx(}6 zkwtvfPm7Z&|E~5Ld$AjUQv(LzsF4Ub1OCK0paO~_;)bEn%3-CSL~(Es^8}2sAO&x~ zXyl~4!p}%F9g{{|jb;Sj3sUZw2#46V)T0YqNcE5L_f=wv-mJ@L3fD7tMG&dD54@$x zON>yFFW>ZFyDM?+5f<{JBzQneC8@cJ?61*CQC#oJMU*pb3XC>GBz=zyf-0_)NW+7a z3c(?TmLlgEJPJ|OVgBCw{a_^24G&mfFdi9c0D}yI1Kb!)z24Vue^95WdFH(hgL`{E zKzo)S@54vKr*B5n!{ZOHtevO3Z;$J@7LDNgm*-c-$d8`Mt&i*8B&=8Ehj-Te-@J0Y zZ<9`+z%?2-uzK@{dymso>A@?(qJePA;<(e+2S!!t`_Ia>o5tR*XOw*mqn?n80u2p@ zW-QC>047vsPl#}bX>pX0maQArH=#O&hb z0-)96Y+X7QYoP~vMkxcm2I_IchTJre{FkrJAdC5+FZ$zZm3v3mPk0C}h8PY~Z^EWb zhHB2Tvp-SaInmI&dy1A@_=T-JnE~2dJ3)Ra?Or|4(jF<#UMn6v66gJm^m0vFppYC4 zEyhI_lNA=1BDu!Gab#9`&$EW>xA!{@;vni%I?;&L*Q}6CR=1azHeg3-w_NR(Ef@D& z2$GuNJ(ApHW6+dbe%4hCVso_a8Phs<@;nOxgv;I+~>2kMwQMQ51 z5msw>WYCEB*nw|`aTpuYc;+|T!FEee0x_kKO_bqeLeSMBRoJ-boNGPv@4KCOgly}A z_FYjo%&`6mIDXtHZ2au6e+hwWIbngp6;e5BDb5dAqeL!Ln@lrZ>_K#OKrMU)p z;9y?JoZ^qL@MGI$=UH7Xfl$_2kw`j0bzeoG_r{{vG}C#ZFE3GkBMP^wev1TUIef6C zLdN-U{49_p5q@Ql9DFr7d=zOX60V?CM@lT!Sou&suF6x`0#9{Fx128xu#{9 zJx6rW38+b!KJvSHeOY)h_E@imU|m|QM*o&=iSG`QjMOLRxH!$%4{OI3|ChdLdh#{n zSp>3i$r%J2p-)c7O-q%QDzu@Nuv+apbp-Zt1Agi1ydODmYY-Ql$=R@62ams(&qp~m zPQK%)dhr{7qxj_`#w+ANLP}4Oq~U=CK!SL6PL5b~kVRnjtmN=t`vjx1REA;={L(QxO{oeFx&Nku`Guh&)mFLMn;FVhUv^=&%oR$iWo|!<3MR;ZgHQ%K zG18N5FRvJqv_2Y?JKWUGKlF&tNe9ZT;%`2*UVeZSvAuc-n63Fv)4G{e&*ttR5D^?b&AM|gzv zlXjHO4r;NyxVUgtogUfx@tHN&+njd^*gF~lHtmh$0+G=%&;F*BG$W8N&?k?CFbB zHOJ@=elesH80@PF6U3nAMs?V5S6xVKKIeQ6@b{+moT@3#mBEhB;ELj`i(QRdIq+XQ zkVhtN=OyRTeoJBLt+7XsaoP+{egAvt=6jTr(tV)52T3~%w|aYWi{ioChR-QqK4XhZ zx$R@SEjRN|7x35r^HPO>L2^|`a$ z+HNX9yjJyiQ8?c`RE;$Ud#ieE#uM9j`VHH@mpvj^Cz$=MjU!VN&EJ2sQp>?SZg)1m z`f89+2OKppV7AWYT`4To*Ic!(BzaUJye+?I<<_zMFXCjlc9x;*PwfTg^s~aY;BC%y zB|Ahl$7A-}c>;hUks>?c&)Hp@7+}l%V>Muj&*(TW)_#*V{dQGIwcT5vv^70y-Y3lC ztYq^`3u$$k-ueUOw6)gVMoaw@Wor`=z5`l*cF|r4_v*F>sRfI6H*J^n-x7+H2X*SI zk$tSpCKNgwLKWB8Kqbm`6zP_1{<>9T%4(jsSb#%y7sJI$VBdf9I|YE{B9>R1d56yk z>iYRXy_7T2L#LI2h>*Q!C(s8*LfN=(qt_=^z=*$C<}vf!w6iU+>(c|>J~w)Mh`U?; z6eusfV_|IxVm_{q^q2l8iaQch=dh-zn^8UEiVJ8&#kxt1bwLmr$0s|AQuOBz0c#t9 zTW8beWQLrb(-BAVT`d8Rt5^O#U2bh6f~x8vEJ%*sq8^Me3{J0EhG~bZR*I~g(P2%Y zTG6s+G8dlrI4SyOKX~-(4G;e2%HUJ4WzcIC3~Ph-{2vQe%9O zZRS=&Z2w(6ddr5NMq&!w=p$8fa9gYqCJ*B!V^B_9X}UfLQ-Hb$h@b&`Xsyn{`@R`rgBH`oXGUMwXbp0 zAhf=*Y+Mb9misIYDl%&YKbyqJZNkLo;37&;5M>FmlDX-q{(A#M4gbY9 zUQ^CW&V?V@0|@R{O?05-*;Bl^&nC$gy!WF#+$;{k=rn=0h{@z?Z!9qIj--0<5~Pe9 zuH04Ma=#WRN-}vrX$BgV(o&w~PSHR;dOkSz1ZJOkE!y}2lR{Nn#&%q5NR*+bSUEA= zaK}Mkn>5TVW6o0xaGm*`0xOkR9gVejR$d5x0w+_n2ZAM&Q({%baYR;djXCrs}^vV!k z|8c#3)WOgH?g!zDf!mJjEbjV>KjW87W$9O-qjB9+#+5eckvbC=(Kz3`ecjowBuy5)=U*9Puk0TrMAz)cEU6e$L^JMt zCO>Cpl>C55b&f5w#`ts2d8DiYIy;_QyL`%DEUEr7|3|tkSj^;UB$Hq_i|qr!j{eZ=qVEbVqrI(cOM3E6YUN42YG!$>+E6WB<$5u1-+pp^lhjgN>tG*IZWq5* zPrG>}@6Sp;hi-ilZ0ojgmpKk31U3(Q8Ps|Y*IK!c%_70X7OuZIf_0|uoiwD}0uMfN z|J)&#T`0p1ftKwRexpYuxy=a2k!LMs@9plE(wD0z87Y)Q z-?F79MPHSwKE|j?r^$ew8Y@?UT+9I&V`CWjjm+63e>gBDeVP0rN7zSWoo(NvPHohe z{|Jk=_z>7wtK2luQfYCyW zntxVY3~BYbEAk#>lPI+rtbNpE_(_@9?y#znlgLXl4>@1&1SL4w4 z1p^R|eR@%|wZwIUwmo7yTYbv#7M4BeD0u@s%Ie>VKCS5%u zwN~L@hSU`ky87ZDfFDOspC3P^*_BDlRc3;UL zlo=%6?#e-T+v&JwMlH5Y%WZl_)+Gj@apR=2X1QRePIXhx{6*b|263my@?chGo=VoA z(+4#V#+vbay#X-VX62!4vzD{pxdIR9xm&mYdY$B#BePq(D`Q))JNp@GR6a{$IXCw2 z$9yyqa)~FCF!RIIP3_L%&#a2$?G^bVi=96_`R9!k3C<7;8>wA3T@OteSFuBn3d{Cn zojR!d4m$3?&n)8_l=DT+=M30P?Q$JCcSySar|1Yw+T|R6Q@SOn<>(r_Vi>4G{vQOo z|11q-mst_IzPYm3S8YESk1xj?Jef$+SZcSxr%TbPp)zu~v^x5!ID}ISto~qM{P63$ z0>#RZD%DcV337@hkR!xImbYL&iN_NBW32Ex#Htf_U7fjiKPJ) z5PLJHaSO>{9f>*`bxyT3-jbQE_KUsH+)t9bUlX#vSe*B4?f6S(Mfqus_jq^eDav>A zZ2E~}BHz?SZoXQ9*pJI;@{h}HT1W;0ZOa1HO<4*EIM04tG89ZnYM4a|Eh-2J`m>aL z>MAX5_1_H?zFDqlmj|j0PQYrKMdo_kz^hmmR}y<_2`uVb4SWhq6-Kh`jV_C*0-!Sq zf-**qGoUB1@!h%CM-UW(R0eNc?rh&{GY_4luG4MPooip(f^6HAW4BSK-nn|-sQ$}s zKuPE&h3aI;{6jCOj3pfS;vx3%+gm57py{c?lj(mEt;bTt54?mD+`o9}3E zf1wz|#Uku{)X|ESg#f(_l3lZN%FL1zi*sNTp&>1b8ojl+gVzplGHh0^QhHQnW48`a z$6)H@;Jl$JORr2MmG)TE!|nXQ_mjfOT5I88MbRgnaS4-8T_>PK9h&Dnv)fYWl83P^ zXj90>4)$|gy)WHQ`t~?!Uj?M-Z%_88F}8%>nh~ELvVV@g{zvT3%)pLL+Rk8}QMA@r z*YdNY$qVuAq0*^m#q4q!!Z89cRLMhK{A!6h{i>YPs3{UZl9J zOEcBz;!&L!oa+a+)#zd&WlQ_dijU7HuIF0O#mn@G`s5i~g0TjaCoZ6fPhn2oNBcLmDbnm(A|G!@ z2VNX{OuOmmw4y^F8sqRwt$0?Q@(=7I+}>2WeJ87R$i?+!Ur|`}dQbjtgHc>#5;dET zpa=32?w<|5Raw*m4dwgwIYtYpchZee`e1I3nx!Eq?%B5nk|6Ro>XW1Dh z)jX~~Ts>_dmhT2Sey>I^=P($t7J~S)5og8as)b-YMp5M9U-I;jrwmxRZTDMu^CO$_ zT`z8+Et6h|UYpV{&TSp))o5+n2j;d)2{ z|H%)>Ax?XbY<-TF7t5wap5k+l^#T0@8es@VKb&feu~IQfsYfA$#m4ci13SUbql)hF zd2g*=5u)tI@HI5`aWBd{5c*{Ysj`jvBa7~y#bwoLnvvi8${Wm_>w5SW&`q$4S<9LV z;ru92!s&tUN9VgS$z)}~H1pl?wRyAe{LmMv&e|4{Z)d*qgC|*^=L*V?*cLe`1K6hJ ziw+SgGjmottT6u=$gfWK4Z0;=?)LI2k>1fA13q724|7 zgbe@qnSxmPai?x{wr50k(QoZ(o4%^XH`sqf(Kttzk)Tp)(P2p!O+kafquK~iZyR>w zZiAx7m(iI&anAHD8KuO6Q}*6TEetGhtIxiSjFp&Op8oxFn)KT)H2qefSbguXW#p*uzf+I}k`VjUX^e}O zGNAGN@vE54xnyE;gKui;VsQzOgxcbsj=oA-R#!-F>{L~4sS>%0;|`g|PBT&yf6dOm zd3oh@7DFpBq0ZMme6g4k*wUvb#Q*0%I(^z|5@M6)m^oX_(*IaK8~4WuRnpQr%*Oiv zf6BUR9sYt+z@8C?(^@Md*>NR4SNE)$ePd9Ei)(O@n;w-=ouXEj2ApbM?-)Qzr zNH*~=mXm1lz+ivcq34S+T><9y+Xz%4)?-f)3wN?Ed4plT`STe6ChFEf#{_k z;*qCT{<>~}qt$(T?*F7Qu&5rv0~f8189|cs)B#!3Yj~}dRFAe=L*kSXn34JVBO*`+ ze==5N!6)!v%4h}hynniZ9S5t?Kr!cRv)TL|<&^cTVnxOJVb=!Jn=?n2=6v||E+K=8 zY8WhmdS8d{bpqu~umBTHugz#|lI(_%beoK%h z=_Df3;ATWR@;fS}{>!~K#sOixzpZy`v2Tyc*V{p-h8Au;R=sn?*|j!(%vvm=4ZeBD zn=?e!QF_(ZAidQ$5&hSR=Kps93Ks_-@BbD6g_rYx0YKs7;pY0k=VbeE+?R1J3kFZa z_lBTQq3RgpTxjB^Jck+J8FF=Xw)N-MqfD>(^-aF1Ru<{~T|jHETp&1K-|*Y;JG0xs zoOp^u;HdnomZn2xHFIho3y1~)o;WxtGbVDFi!(EkgVJeyxo+^^b$J~vhF+5Om-e?@ z8F^UX9QpPFhM1i~ncJ`xnr_yuV+CES*h+I?vSl%;8bX8eL&8vARnoGV z9u+t#btyQfuflOJ@B`sY@^@oaxURn^A+aMEA|g4rHUgaLj^}{Jh)V?7ur`N7dcy%N zQ#ye-icY}nQ549mk(dnTE1i)H*cX6sy*W2Q^xvW|A#2VEK~A6IRw9DG%8rLBhX8Pz z0GGVaM7E#|&#m#z@jk;bjur`YYf|`PZb+)dWDo zPYcN9nVJq47j4|13M)tA5HHv>O?6Bg!Zw8uwDjjTQ!LWoimeQAEFfzCykg1D6#oLY zu7TUj?!Vc3vVMj`u1$m;4_@~tKSeTDx&w`bkBBMGf+Yv7c&mBGhQ*1)@%eEtGQ$(6PZVo*In2~|r?D*3@J8hC zXEIKhjaf3|V&7+yU{U~3=!TmOhB^gj4pXqzQ*hQJ`!5b?S}N5XUJ+n?_0;06=S0xt zA_*qJF^nY4Vhm-2yQkpy0dh%_V~u6f%N43vmgO8MKtAYMnxa`K<&9#oy<3<);C;-E z_NDcw8aAQYF@~*B+1CNIqWg>E@G;zi;~Vy;1UP%a7Hxd~e(IcpMr;Ad+*KS(QPDJs`K;vHxk(L%$8#Hv8d_7ThFdveRM++d=H{OvMn>MP8;SXuR?}Wo4u?o-@psU)eHHRFCMju0vg}|1>$2 z_&FjBkXL4pA#^24Ivh(AMR9b(art-FA%0OR9_CeNP={wD5|5y zAIl8s$p+A}=C_SQQBm$LXb!uz*c!uAW%Xw6c*^eDpre%Pm; zk^=hMivEURk+KrkCpj7RH8M}dwXy{w|w{j3>70-7QjlKdRx+k#D#I=D& zpmDcWV&ZHksrb=g4^fOfLj4#l-y}$pV7ZwQx0xIv-GbP}toYlM5N4;oKIk)-c`wmV zhB%9<0P+=POHTZ6Ds&w6kOch{n1p1qh>Ux%Tz{CD0~P13c1w)Re?+7Q&W6L3n5|kb zAZDf;$aa~IHeehSp}(b+W0gK>kE)9#M$lFiBqy)$=&$84#|oBCRNqmnWd}^oAE)Qt zAAYGFLk~ygg}SF`J$W~!dBk0yaVSvqhmB*Cribn?b;5XG^}80i-u^8#99E;l^(DJEz4vI;phfbzq&i7UNPzRiS@Lk292q= zLFXWEz-=qohy5fuSE~tQ4VL<>AR$}(dCV5X3H>>j@HSke97tHv0OIBc&v`jTz>M2Q zzUyDBOGkfO>GpO1>5t(-7BgXyPlvL?BwHcbj<-P+1DlJitXI}@uIKk?|Fi+}Bc%1^ z*UGpfyz<`02gI+ejeF|Qky28vVw`3L<^7THDW(0#$@UV!ZvAeFNe%5*BBgXI6pl%t zw6&zmsAc_d#JRGzhM(;B-^-ikP(PhMPX?0KjEM~HT;UFF5~9$&D_A^D0>d_}R)=_P z2dQ9;py|8*5Qb25&53qFaErOYeF()GKA3|H*&#DqP-Ve4GuywQrJxGlQ}@zhgR&Z_ zYX(wEmvt6mkXnUv52E1bn(6veOa;@3#}!JWL5(zGX}2KKi<`M9IWaP4ra(VOtVgGg;YJI$B@PLahWU3GjNAAE!s04xM*MWN{4*y#_5(Rs|UZ+HnB() zoV26#WUhD?{KAJ~Et8dR1S}UO_=a;&pM>C8;ZL7=<5V>QW+H3+^meWVWypoKvNAW6 zk$Q`;`2T9NanF232_AnG(8Cy0<1}`6g`#l`tNrDiFNI^LoCH62s7Qq8>c~k0Lk5A9 zrE~#rganv>t%#=ajl6*xcW$`&*4F@^|Q7DSC_(rEyBF9}5yMw6eqe$56P(iO1KWiZ~j29`wEm1nu zpHb_@$F(MLxW?Fl!0CV;M>hB)$1~QrLr4{iTE_+SD7{R|Ln&h1`5Gzn0%h0ls{LB@ zAOC=93rEl&sxJ|WRM;PuF&SmtLof)1k7XUuo3SK{n<23z&cmc^g2XhQh-u=)f;RJm zkupI!D@Ez4HzqBS!LBlXSVds^!;!%bU!>Ccll$D!Je2Q>AOqV>J6zg0U?f8pWaDk) zM(iKgC;T-;)h8?p125u$4TQN_OpN((fB^Ut%9{?_S>GWq$X}ad{qvjS$ffWcvI%L` z4{AHP*!{J@uPeo`uN5F3RR^U)yWHQJ+Lr1$u!9)URNT+8IxYmehQF>;f`VgA7XM8n z(R1oaSrv0>)Lt85em2cJ(o(<4XNrQVVU{iYE8B%f~eJP&G>7;oS_;GI4E2ux`UTHx+yz#u1>9!es&#BSlfg)UA{5CbPGjp8U7WPL&WI4j6=dB z1zj7>L$OToL!^$1#XVjc{wQvw$KVV=U$=9g@F#O)JSDJ_nc^U&sqNhXjzR7-4wklZKc; zIQN*x)qVR+e=e3mBXS)&Vz@4xbq17X8JbZUM9L*=Opkp8Bq}nj@SJB8G(DrQH##hn zE3tpr-#&ywWW^D2c>geuqKQ#1g(Nmug!pzW=LBKf*) zLENQrYou{^Z=A*(_d*+YcWB(*t#EgDr*W4;8h3Yh7=GWI_sxBG-hFZBkBK;uxzAZu z6`2_)GuPR*_u4{PK%dv4nCz(2gURu3@7MDk*O;4$p$k2t@|X=m7x{k#nC72%iU+pL zyPmtAk1i){y{!e_V?Lrn_dilU<&k2cK>;7P@;)zI>IojJ)~NH_3JjkfpCy%ct($`o z3&Z_=cXeXg`c*a0U&&L0Y;4G-R(z!%^%W$yzupaviPUez?s6$?hA;#}5wKT=aO%C6 ziN|%I@960q|E51#H2&sn>HC`140HTa2dvg2+yfmA%T7mG%Z$tCT|QfO_6w>rnRIEW zyzpD*ZhaYa)L3|Un6Fy?DtYDH$TfAh_3Oon`EFm~?6TbBrqCE?sGjV&D4mH2KYqZ; zkjqccCRdAl=VAJ?&#T!h>w>o4$HQy&9K}Ta?K4liJo(Rr>_Msx#8BYO{E38ifuGf| z+-CgU`f1oXBEj05%Y1BB&(7vlO~pp~S@1+}Mfsh};_@Tx{sZ^NET9eehvmSveAEg| z-_sv6XUw2|Nw5+w7{Bbn z^K}&8{T{4vB8oH9Y?H0dSB5OO1g9|@e3ppIIJA@ho|V11+%;}%9lyPowpl}^_8csC zk!weAG6zi`u+h3ja_>1oHfJ<-H2F8>H6d6hStGJIt&BDpHx}|q?bn^9ZqC^oN>s}m9%ujctQ8HzswErZQMdeii&be+TY{}wj*2G42sHQsDBTLnY)l(<%nW#uK<4n6q=!{!zzK6N!a z(OQy%rB$%Bqc90-`@}OfaPGa}To+|t_m4&6c*xUw!z^Wf>N|a1UTzZ7ud0hDsIFdq z+rt5PZ9`96B4XY9JA*C@QWM1!I^swSZaKOesH)jV5@_2qbZE5IHJLF32pkcWNZhS} zKxE`4_|?*==7&2y?n4*0@d|@+ zJ#qRO&5ZlWXKV`+dQO;YIX{Ee$(tzIh(UV*RLsgmyuZPK|GbMIvwM51HPrAR;^m8n zyx#+cn_;DwTUA-qlI;a*2aQN{(RXs$*ysVL9c&lA2N&f7Yw3%XD)shj3t8RDk#-C^ zo|M^_&wTHILZpM)0zc zUB*G>^oh?>NrHhkJkUA-d_@*se70xdN~?tyYkcmidEw+>-}Qp7a;}Kuma{pz0NKCE zg=-yLAr*y5cDLUM<=u8T3MTJ~>)|t`?}^)`GkS)sEcrq13Y>n3_C{^xn>z-g+sLPOAYRqPWw$wCNjCrU7K!ou zt_Y=b^RUSrf`s+%4RL|MI)fz%u1WOxjP7t|OJu9o($$m1@osgg6>xIjaSw=Lo6)UH;sBUL72M4X3lN(8g=dDO zT>k>JfoQ_W>8o3JEK61vP4&Q9!i`xDwdOsnfj>M%Lq2-$Ie8{A`-BK{1t z4l&ff+a6k?gbIwTO4x7;#}$ty-O=k>=I2Q}ykRG~HzC2`-+v6TXbc>49>o+_ zI8UaDBxx+~()Uw8yKmlKfmC-omHoAFhY⋙de(tCG{|WZrNGl)Xgn#xT5;Z^>9;+ zwRuQHC#76TjLO6ZmsuAK&z>~cxL&{UhGYo=OJPO!jyOOnqi*%}*2%%=p=_9hnmVR@nexOHC&#U-K_IKYJU2NdSU@o57V_T1|#I=XGX% zs8nY!@QKIx8%R_;VYGN_eP#9wK=hr)COjkk66qvODn4Cxeo;PL`Jf(bGfAES?kd>S@l2V27Er#BYZMa(e5LbBF0#+!CGRrj(yJU{PyY0Y@ zaBt#|*a&RAURqXce2mh)t^g|%_Okmm{y;J24x&@qg{uW6wDeC13b_h;^&O9iW&3o} z*jZ1sf2Ug~D6_$Cad0rTV&9-a#-$q3a}Fu)YgguA_B%$GTY&6Z;Tbmn6%YDDUK}JP zhnSFoP07RX(*cmW+rT{XzXwkD+$Zld4@{bu4&>HZh<`>-%LOAONb}Fy1`cR11^TG_7ydG`@;aQ`k^o*= zzJ@K=-LEmG)ypcRO6#kWgAk7^ySn?SS!$40;1EOiI%ppfyZmfhf=%x?_(Nac^6O<3 zbKznPHUPRlbthXUz6{v@^&6Zmi~;zDm5)O5c8H!RmR_kr4RG=6WJoj5G`QNm_q)Nq z>uo$JObt}B0F+X$SKeStnYdTrI=Xo|lU{daah>mbwd}M`vD1VAuijdwgg}=B^;KcL zHsp<(izPW8XUJtLms!T=y;Kw*-e~0~OSbFkNr^q&yyXJ*2q(AcXWT1Y8oY;}#@;Vp zQ2wrIb*@`_tQG|T*Xbv()72jU)_?S}zEsPhQcjnLNDdead#FogVaqJB2j5Zty{AW3 z?v(mX2kfx@4y|6u4zKMFdEcUL3%Z;KwpzKMH@kB+a|3wXc~OpKEjTuQ)&1qGyvV^X z3mjbSWug|SM7fb|{JmVQ%MBc`SZQVw*~d{R;5vC83g7V0AZ~O2b5+*5dGT9{A)DRG zt-|HbrIZ3_A!i$e?(T5}0^aHTK2#~3eOXSI22{}YbIQmWjnH|$Dv{|tu~u_EL%8#n z95IX>y~G)eG2nnYiPtraa#tY!TBHv>-|605D zJ-HZI_SIHV+1Kw0z=U%5Oh3<^Vf3BzVWl{#1LPh*oeoC4o~0tMa5JxkZUdpgJ;s7U zHQ!Y_7Z^LO#IWuZ**0Lp+k364=FkH7SPv;PccYXFRzHztBC zXo}~R2o|;;bubMMu>B!=3k4Loo?V$`cj> zq!m;!p{d1qHk|SK(IdQzq{oniUJFsjJ{_aPo!Cany9RMi-eYgSH)zS3NX~q5$&pCz zD6}Cn^I(g--8KrT*lD$vr>ojYk0111A7Ip&Vi7b6b7z+&0bEs$z=+@jh@_6AZySv= zQr46vNU3A_SPFdpsTC%;=SDdO^96vJqf_qD>;|}~?bjThQ4p7j`h0btpZ<>9Drky{ zS>Y$tzpK$PXHe(#!^k>gezDw=qrziwvIiV)H%t$h=SF};aEgN%m{c6_Zj+o+Y4>sv%j$q0E# zu#iu#0k!&N_8=R{F0EyLWm<-kn?9XtsJ{Mr0RIG)l|%6YY84XjLmY2^3EC-4@3}V~ z%4?6{(rti0{V-~G;XRq6s(ByiXskIx+K|bzXMgZTXJ+o zVEpC3P;=%g9+ctq+iJEuq^xT~+0(`O6ebC&hQly+bUKaPX@59+gEJ08QjLo#(~(z=OYhMrDLq@~CXC!^ zaFkz9r}@CG|0tGJ&KJ{leoNtO8SxBYhFP~opc$}MMpVOAN&P?~f*t$LGD?u^Ce zH*tKL4Qcdc$eGR1KH8c?l)`&)?M<-e+-+qdrq#t?lFScVISKTNa*MfWmiqEDzgs%& zJo?zO@+2P_sabOu`IT@Doxf@qfgjOg0U5(NcR`1s?#n>an($-$2UdHYaDKJap0d7e zKU4^TEPd4?;W93XkKA8E_s@bKcN@z%5TopJcSV)TbxtJ=MpCdS>gr+RvvGaSqN6U7 z2gx99P!~jvDkuEGDL7MjoCjFMVjE_)GmY+vQpZA}TcykuAZ5Fealle1oQdfoG)cVz zg19ExbGLCNc;mt6Px?hJkA3`t(|3W0YhND_;1YZBeVDFeC;e)|*|OM*83nu6bN|jx z`tWo_3Gm@2fSs7qo7whft75Kxj(EWfhM@aN)5o1V)wr?bfy&h5Ai;dJQ}#%wuP{bA z!X28wnd-2WUsw}e*Y|*VLLYP{+^5ns{)kMw>kD*>- z$E62qS6IR7>=d%Bx7pjVTV5+6l_Bj<;_du>SM*rxL=O+69^;)X6mX)lg5sWhIseU2 zAZX?5P{uk!y6xlXRPpbZI_+j5JMQ9Uwb$a*%NCva2pl9TCU{=kAlC@QkO)5w_bE>m zQ>N4yPE6osFA?*qIFGPn!GEXqc6o%o{G@5RAXsqp_B&I(_7~KlqSheZxK22O8+BC? zocHASZ`Cs5(T>l*Sz}O+%7)2b zw<10y%bqH~e-Yt~lI)ba#>Z5CuhRnZWb7`+CB0Q?rSs_4K{<3>oC6%bH0+X? zjR;T22joz|3d^AQCx3-v;DLKGPMN6YcFipwt1CX&Qs?K@$U+hIkg<1(zq3=?)?b4F zZQbg&mVHH9?MS5A_$WcKW_(bgGB0QWP?=wJD^c<7xLPWOU|2(j+_Uw%W0|o7E~z8|3B;hzLgn`C}tOe*%*_ z{qT$Jyv;mVtrKJoW1YtHlbQ{PbDINK+~GEO=XT)3XKltUeWipck&IDjou!$bwgMR{ z^=zG{`hMcgzy$>ze7GuXr^|i6g*50&Hbf6xrRly{|DuC{DMtL93s0pbhg9%zfp&`i zzJb`IYAp%AjP<3Hvpy-pV&W9t&Cg5j{r>%|O&!jqZSz&8apk>A>jxjePhe~C0@$z+ z4poT-51>PDP$M_1l$-{{ZH`3@5>|~XKSTL;Y=qe@jfv=4dwbl?bg*yVev(Zq=54by zS-6zqx@jCJpZC3wj}04|J39;0!nr;v#oV)=dBhMX0@aIysJUg!zYmifpoii%q{-=Or#xT;HRIRgM$V#?0u!vB;6evs}7j`q$LCrSi z@v#G2|5w%F=Zrm#lR zK!}=o_8c4L&eE{W;9vvokx{XDj2fq3NGGczedscXt;n&Je#`NqFH_AGy7CAC0K#f0 zqBE0KpH8n*pl&#lF!%RPq&)oGE&PD041?P%H#C{TRJiAdyvKz$HgCR=J)^hf%uuJbw#0BXH);`KCK2Ug;Pf7!71#jIN!==QNkpNS%>iL7w%XUc zmdskM@u58uwlf|^T+X}rJwQbV+w1*>fK%=jmjub3&!t~;=lQ$+;pD#LcR$lc_XhaY zV|J59j7RLL<~6UGM>Ofjg%?mnaw{~0BO+iQyJ4KcEKO^gwK->q37X%VX3}K&A2;Fu z;}ASirO{DWv|s3MtH=z#CTG7bc5!LDvQzT0L6zh`r^tF4wNJR;{V{KIZr*}qs+I9V zR?wxX=LyhHqVg;12Gg#!Z`(_w`gZUvEDa&;qeO+*OkQ+bykN2#Z|4xDKP2n7Uyl~r ztVeV*;MM3clLxdxu;3Q00$vp51}Egxj=wy;`$;pj^JL7BU7(*oG17`NB!vNJF&b4U zElPjRDW#PZvMS0L=OvH+_&2bu4lFZW`^?A?iordY&6x%+Yu1@_mvS*P)*9~3dT#)= zUUESV8xNGuS&EN#$vb#*onqHE$)>NVg@m14rE?k6iK|(%h-;D4c9TPkn{B1JGvQYO zXu>fMp&lI)a{ypTBd4OJb>71AkIKd{fF(xb0_FaF-ot_VCkYQZXYXIt!7jMqv~@>( zoNKPq^@(0Do+;pZ<1|bS4qq?OALuZpX?jP>_pIlhE6y$8qI-_C=OSR&g*6{Pl(o>A zc#v;z>XMr<}3Amd9V1PX5tShy$=8rv+ARsGl*};GusYG)Khd=(I}^A znVGl1D^}?o4miPVT%fV2RGtfn%Oi@!Y}BW)=#`%HPde1yyO>hhdfC7D82|Q;x-s`) z(&hfNwP@|V2d6Q0XAwj|I2xPFnf^0h+*V5NXBSN!ocZpdY$FzP z)Ynd_w=JrEN)8{#=q?5MJ(#mrQgg6YwP|kdtZC4XyevxeMiFw0Aj#YJy1m;8QH7CL z6Q7>4*md;uiz6+DnouT6yDR>;PyU2>`iYt`Q# z4wl>r8bnJ&u;(EEl&*DuQ`9ThUQgdpx3%1IX7z%KX`WAup;~n}zV&b}lQ8%D;pNOb z)oRrl(@_%LH9))s!1&8KuP!}3oQ;uTJ1jPQ+f+(H#a+$ZFsr* zR0tN%H)P-q6?0L%8<>s}(PPk#)BBu^o>;ORv?;ypp5QC()f_%^@gSy{Brnuxd9mJA zMbL<9rnE2G>&e}cX{Lk%#`pK>QdnH!nwRj?)GVTI(-01+m#A3wRF%7!}|2GV(4zowIV84 zt*6)@j#Yi0F;%h?m$4XHY~>=42to z0#i>487^TT8fTx*=)2d)(qwq;CM^SI|HQ+c=#88wB|>t$Q=`2hu#LX4EcERC+ZDPe zD57QA#u$>uHNu@i7n(Lt3uVHm*5Y?6@MeWS|3y3+H#5h7D;|xD_5T!)#?Hp_pX1SF zBdw%d)GURtA(4mUxy0+7TtU|J9j-eU>u_v;**TjeG&uO*)|qYOSsvB)E6e7_Mk_|L z%iJN+u`S4_=}+To_QRn42}AkOzq`R#4ej(V+42t_pLVvgO`Xgg}^my2njg7Nf zexvOn1LITj;NVApn56M<>+&|Grn@E!!Y^Gmbop9&UdD~2v?`oX1u8nN$sfxqQJ~wO z>XnT@(neQ;skNXdrnIrPn=ez^_5>ld_ClMllV&Hsz*m22V_)HaG-gSe8B`LeM!%^9AkQvrklu4leRQ5IhF>duXB{mHc_I8LmoZR zbew#(XKtEBKC#p;)Uv5Ir#)+}N0LMg-nhf6DO5{Uz*7a8Ho?r+^IocOk^ea!)i`Ih zq}{Q2MtYv-p88b3W{=M1Ofp9n4BGnRErDL(KE(vKF&%4CRh7eEfalbrI#*95K3OL8 z24-E`wufV7jLbFMItox*<4AI@;C1qIriWm!mF<)7`y(460AM?-TT#x5xn4h2;sM0qs2j(3yuKV@#=Kis9T1 zO%@H5X!>+frBYCT^$k;*Qe_QW^EY<}YeyP$E$}&c^wjlD$RWDS__#1C^59p0;$}2) z-r_ySvcCG4114s3G%L5xAswxd>EJW}@-{gWmo?eXQRG$u+|ndf8xJET|CnYWv-mdj zbV8b(TlQtQB4~#vA|c26ZCKM?LhNtS)u_!Pd7)V$b0F%!X2EjKAxO^B`x5s|Um|W! zF>t_nE_vctC?i(M8ZZGe7?$hAD!+rxkS@ca0g?qW^TQxbdEWsmTBx=^3Ffmvt8UN8n`ie+? zehWjOT>48IJJ)IzOd~WoIex3A$c|9gcFV_z0)dTfz^s4iBeU7{U&R9O1af@t zmUJDsFK`fqK|{V<2t6?ye%%nyS2x&4j|{`k$tw`d1sQCd_AbZ|1kpK`5JzEh5R^jC z`WbfX*WamA=<&=XekE*}$C?oIsBwk=MilOxIb}Nh8KpRPz@3il#6EMWYS>zM$N>f@ zV6%X*>Ald196L?J)x!@y_Wg=4&re)4gztf2=2l;c=Wds%6_mVbfizwz{vOS4i+l}> zzbjIaOC)}1F@obeNZ#{}D@Ry*rD43dcekhhH))hTe0CpD;L1F1a2?F#Qav=l&ny;N zSP}!-)??~|Z1%aQEq4!+lsAuMPa(Y<+6Ii795D{|Yx?bwE;8nZc2qK$bX=C1qJHYF z0KkeQ(+?)B_og{i(a(dxY?wa>tpc)uDF?1QrZw7r4*REzh4iW~iqLm&Vh?l!jP95x zlpWmZ*vq-Bm1r78*%G)0G2U2|RCw^qxUAG$>T8aWVbm~HuV|@c`&WTs=%IW8DAd_Y z;&^D>!ro0uY!XNk*5(<~j~+t=T}bw39A*T*;@m6f$k|Jlu|EgPm(3*V1Wn+V5S7-q zKVlJSC>wD+aP=dHP?)75SL0Do>V+pcENg^vT02pm>Z$T1MAL`w;rWsB$Ic*Z=fxe{ z!Sa3YZ>1E7%wSS!!@mlm`3NkH<=mImRC9L(Y4S9tv4Dr~>|3 zhMV38$OlNtMI&>`0QzDLu1ox!)(t+BDc&wrYbVMs)Vd7g_h~~RHlNEM!%aIcB#UC- z!L?^&+2U-#_nHC&orOMc4s->Z%_iTyLzqrT^Pel0_cT2e$O@xV!i<|lg0QVoGwenB zVnVR^%9kXeUUO5i%KFdpU64G`)5s(Kd=Q7&7~~=50@Hz1U#|TIPgNAB4ueTqr%9SA z62U&F&-SpF(C6qc!?0MB6JV)Quo)TPLbHxN)RL zH*9wJv&d9t92D0=eMra|sog;|_}pLb;3sE#KF>{V)`GYmB2i`u(-&M0!E%Cqc)%#m zEQ&pbCdbb&np|@l5=<~~CG=HXvk6%UEWjLlhU8OXJIhxQ27*U$sMSoS7Rdp;!2K(M z7>4rpMvnF*1Qo5>1TBr7gbC`>EZY5|o#rW;C(VSo%=@DL&MC>XQi3PHvh;9B!m zARt<{;g2SBGc@z)VTo3R!)MA5_2NxS12xxW3mCvZq=pz=7o0GaY8ZM?1ACZ5JT7Z< zK_kVASo81l2wx}-I12SoY`M3zm3VK#*IFBrlN zSb(eaY9q?w>Lj3wc3iL7*hFb^ze{WUm?>nbS^z9^rW@5_ucF-| z_cPP(zfLv}Km_bEamxfH4qd-+FdCrSh*rP==)7Tf|M-4RI9fH(GQl*sIZ-usx3vaw z4|TcL0xUCv*+TKae0@%;cG_FSY%xX*r8oe|20C6s62SOH5T(IAbQ|Swx11QH>!iV6sCD1!QXp`(LznC zVdRz)Nlq{pJTqAr2!&|&CHSggfaD6{49TMAG1o2rWo)|X=Van2Ah;)=&f4fr8cjaq z$)VRicpc=*^3lFBaMk&J{W@@i+Mi)hjhP=`P?yK&^7p&++JZYUgAkRJVGc&DO4)xmc&xy2L7PQ zZBP)Wrb`@#BP9!sBw-ba-#P(MQzT-K!ilkVb)0oTGglC_o6g(bmY0=Rwe6MZsdPVI zxhhSyfm(g8nx0#SD|s?ko?bO)EBQ0E4EAE!Nyg1U`fj;KB&waRzKQrH`^NY=V{MMVOE?c>C;%LIXL2)N^2eoNx+L@!?SUk6NUopd(kOb7)HO_k}Lpwl_U?x!i`g(&iwd ztL==k{~)^t`tpV0jBtLKU_MlFb0{K0XY;Nys(SUx_QXHwECy$&b&Jm?l_yXJ`p%f z{V{2IM?GUv{{E52+VX?KSYaTx&Uy3$)=(>B2EFy?vO8@|Wy%uIJXTR+TrQ2=Y1 zjOr7hIk6+zwD+@{LH{}9T-NZ1#hAO0Ru823&5{L6w%p4AD=WhROqVYK-%mBJI4vHo zOX$_jtCDAjtj!n{bv2QMq-i4xc1?KM|6t1f$xgUD_z{eOCuhVRxAi}bnb1h_GvvT1 z0WfZ!q)MOXS7oK&h+(^$&d}yWyFE0yWsC>q^9|W| z`Re9xM^3#76qGe24%#|hax!tTW9t*9%qs)h$tFDIzyYt{za5)(e?7N`gH}NU8wIa_ zGbbbH_afU656Dq5QuA~k=xlmgw48qms|k2;{LZWc8K_Nr^Ax*&_ar-C3*RhGg9Mw(!RNE?F?pqe^m7Y5$uHOFA6q2}((-I8!EAecJ8OUnb@Cdrj| zhj18x65HOMaev6MDYDB1bQVtP4HYHV^I~>i5bOhQEu@fCAY*0P43e6H5cnG<{uX~~ zu=Jsid}TE&%Ont4QjX|mg3yKMT19cw{aF?p= z7``MGZ4&JCY0$hXpnILhx&uy_h@B?#6?~r3GZ!jNfM!_KF(3EW3U#6{F!g^@v0L|8 zw)6+Cj6mFKmyBCBN)Vsl$RTqQ-|&Q@goO8vr`; zqs&JVv9l@TZUtgtO1S`K(6O~$%e&1RIz!)~v7P^S9iYk=ahlJtZgnkJK&Kf(z-~## z%3*J{2g?p}vijvp&4d3pvis)zdDGR=GPWHu{?0_A?|#gU7{|beo>TqR>wP4#K$c?E z{>jnf$och^#cloG^N9EL5ur*?Hsgz8v)qxVa!>i}Isn{fS!A_nwLgz$Q@#PQyPeCc z%>>%ZbZ)|>SzCUw+!t1x5`)h4T^9VtXMUs7#Z_Bgt%5=Sg$CYY49{Du=hD{o0U+P= zgI;HO&4#}-4tktu`_H-D$&m+E&5Nv}k``mabr5Um8p8qzi;g8pSM@_lZJ3<=MF4dlvVU6ZDutI?vd%2Kz zcZ8NP``LkIfVk6|;()y)19a&i*Ehz4%=BM&I&vr!rKuSb(MA#W0xbe9g04lvkSJIa zhhq_LNw5xuX{Q5Ja$x^85WYu(H6~1(9H2rE%fQ+&91F2X0$nAHpdEnO0`sqdx@Hn% zTj9}l|HMvc8c#%}d+dT!2FWF@*na`N;Yf6>1>WBz-$Y`cgGlZWg^zLk=a`^M_287c zzx*5MxkKbhfjjRBko_zcvwno`7eRR)j>J!bk>$74sj;y(QM%pHCraH+cuw96WzN!_ z9qHK)1q-LqY;G53%}-&$xLKr^;zTm}BX)A^&T9FhNWZP$)M%SOXbs&ZpbM{p%$qzd@I<&fc7R zXXRb<0Qr17w+tY30>B$#zLi3Sjj!DuZK8ttR9f0k~IBF+Vuknb~L)g^zCU~7q zprvEk1(%N)(ClbVqg`XMhx8+kjG{04=aTAiIZBpDjw7^*bZze928=Cce9hGaR}a1V zW>0^J^3;|9`O`*IYPSpo&4aBPP9zitxn}uOm=$X^3oqNPiz?-!N&_`D#uFdwH9rq$u zLf$@_HF+K4bgOg*N;-1D+Ik9Yu4Ua+x2gYj<~kF9W3uMosF*JYC56fu;GQ*Icd+$; zNI*2NdpfcC3g|%hYU^GBr_=~b{PD-B*!bEpVk|4#ps}ut;U@Jm&xpSA<}!h05jSd~ zgJToQM9JYacnTf5$$ifh_T;S%r_`~X%V30NP(e?nEkd|ro<$-CnU{6>7Q=6i$dPEUHmq7Q)!4pJ zsa&_}`iSMa(Tk-HU^%RCm*=?sntMy48yHK_M-6eM zy7_aKM0GDxf(>4Y$3vW?y3Xu>{6h_X~`;k@1`5?2s8&sb?x^(+vB@Rlyv8Bt2SC>bi z4l~#V4zkeed8IDL!EW@X+trGH^qsq)r|o}pW(F-K1Sk*PcsSS{yQ%SS{v2hfS_Ikn zRWav}T)5SJb&g=6f@1!9tCpA{k-pXG6(s2bVmOK}9P26;En&{VKyo?^1=+N2|4Mbv z5SaFu?^C0xog|yn7wZ|P9YwEMLnVNJ^a>wzdjd2S+Vl8EeVOeh0E;XU7eFJZfVsV@ zc&?Q13Yec8Bl7oHm7DgoGo`+0K{CFEU({FA5aT#*USnm9O!XpiF8KwF#6SfjgMSeJ z6C79bi+oiJ{z2`_ymU)5`lph@i^{P`_f#$F za1)>wxWT*tIX*^Yg8lr=E}B)1Bs0Nkm=B*i>u2r8aacv0o^tf;0u}dCHwaHhV zd;;OISM6^kPQa2wmpLoyLfBv5zY)F0=YV5s-@tB$U!Ob9rAqp_!)N6d^EhMVY46N zWUTmc{}5H9e+~Wf&=99%U6vSVmv3t)1a!RQd$W81zXP$(W>#GukfIOBi>e~bjpYuU zmZEgeDyFk5lD}svUw0R!DxDo=6cqf$0nuhmnv?D&?p1X9w%TG{C4$O*du^-6753d=w8l!QACv6_?5s-JX$Mjauk-bbhB-59&0y~eH01-ipGxx_^sQ+}}m z>U!h0eoghyCn?y38}nX{QG#PSfNb+c-OL+Stx#B(N8z*M^y+d)eB0~Y~1$~XD zO{+O&wvj3FC&*R8gj^` zg+akni-V_Y?)|eSjlo87g;kmtr4nbd zbxC24Iha>pd+Y*MPCp(YAuTu!i;%C&w2ItxbOPnX6I9FkSTs)w71qr%c*ee z2&XJK^{m&p%CJ%P4~d3q3gD*-dr)gI%by-rs4+8Ln;uHU8P;bQUOC^)`ITaYBq2~n5&DJVha7Ql#h)4 zN|;~T(JbUCnF#3bwb&CjU@m|6j-UMkgbfei7$fV~S6e#|KRp8MbviSbPXtcw@n0zn zXmiHkP13$K1<{$_SNSf9?n(-ts7_w$fcJekAJsURTp*}pBjEa! znK0--9UXWhXn4<-`EJ>EwA1LnNYz3F`>YIPb{6>_QFS8VcCEgs_FN|bK1;GzY4ztU zB(l9U`7YTKm)MRieKN~?;{6Eqc$22R@y}J=d)>-RGTTR!?^Cg8wKdO;En=z<)M8V{ z*$YGyaZJ^K+wPcVHGXYieU#L%gXOrnw$M%MYlmQ|){KC&2 zCY+nPx4;;9{!ywX8#3P3{3b?HUYh(8FfIE}SqTv1%RJ%7Fg8oXpCDnmePkqqy#ml58$rRS7OeP?aQ5^sH-v+UxPgI&NjwvU$C z3%9jE71I89tqtA#2&YMQRg68d5&c1>w8R+l0Qak-Mbmj#&o&yzuT00GzyF{;TsKON zha~o*4<+nbu=wF2+I(HRU3)WnZd*PDQb|31adZ>hb8PMHczz+`n%sY2&P%25DY+hb zVvCEHzYYd^H7{v&_+@QD?z*|{-dE{e6#>*4Y!w5B_U~?KYcZ@KxGp(4XBbj$W}spY zLEjQ4Sh&^?PcF^Ienw-Jc;(Kck*W7|<_4fQL&!zp#(4{I`SSz4gn}R^z+2`rFij23+cTAx3=ybC~q>hYcR#B-Szd%w#DlR&nl#oJhEaxAww6L;UbTuh-K^J9$u!#;^kmR1`q-(d*GZ`Jo}W!wq^Zpt zcyt@n^})o%_`V=7@Ns{DgCqE2@)jcau_IXC?eJ88;PbpaHTki-v+-2${`TSWzP{%3 z9MFCB@?m_VOG`Pa|M&Zu_#&%?l6r2^^2&|H;{~zLb7QvGd!CVUJs{2Clzy?fs^HyrH zF)^BS9OgRfP1UGx1eBkiy1wrwN*R$aRK;x3^*lbj#Z1{_);iF3OAJ)`7OdyrHJ88Z z97nW!v$v*4UaD`Fxl!?%B1b$?bVNMZs^busM4&#^L3Ht%_zM!KuepNmKr2bni$M=^ z%0zyGk1jBI$|fGXKC)4VrUdYWZ(n&nevaY>rQJ%0e=nAi{iB4-lWC~Lh>F?w2H{iP znS#>uorY1MW*VOBCPemnI7M3wlF;_e#FIz|#m&y~k=fbJfGtlk!(&^*; zK5_HFob~pZA`^c^{M+H`Tfg==PS?vv)s*)Gj`zdIoZi!YU$d^)Gmg5Tj6GsT1K#Ac1tvKOf*Y;SeX3Hg;#k#dBf_9&l5Jr~rdg*M{{fau%BpE0^tI4wa zitD3|8nKpJ*4J`SkcRsuQH3;bO|0-4I6o!IY{QGE>EZLq;nl^TnfIe-7uN(v4>;?c ziL$3P3x^fi?63Jex)GH({7;fBsao3a_D{?#sq^>Gs4c3^$JKgcM3YrRzmTyPdel=& zEw5V_^^wh7;X1kNRv`sNH7U>Td#1iYh76?@Q0mEm$L#ee>(y`!YXb*sC8bkAh9O%v$O_ z8&jU0RmR@%d^Cnr2IT8P+W(qcHUc@Iucp_f(s>t=2V~f%L?sOvux1b|?w#5h$#pi7 zg^`3o>T=6o-N`YI&=|w6z4z`mM`D1LmD!Rds(`ssic=K;;Z~{9yIbF2^!IkmqNVY7 z@h8r65nC&r+A#d!c|y{!$zWPn6U1pfv!50Ht{;LBii(? zT(8YG8EQAvrN%dk?Wx0(X!W{755*+N)1M!U^HXTu&y=g01C@?WoaaCw4;sGxwStd9_C?cnwFeuwVMt|=>lvf;oxmht@gf@)S z1yd6=wX`fk%{Vl01E7E=n#umYNj9)O&6AunB7!;^9Y|rmi)dq{xXorU;GT#-)xAV5 zvscZ?TDz4T6FJqIB(ZQ!AcfYNxFNYST>Pq){=_=$_`Q!tOX}y&`^l zAdpcSgADbNT2?F=sdLJ}J;#?+DGmoU)n7A}Tsrdr_9uSfdaV&<^emqtAudLt82yxp zU#%HL4Mi`154OYrq`#Zzw8I33ud4fN2n6$E4pmB3242ux8YQ;be zaS>uL7o;ghfBcb{OwM{JbtuITfA9Vr?Z|cBUf6iksD86+%Q!n!b{lr%FVpF~X9oFfL z*K(N9zdf?y4Lg#lu7!lVeqbU+(!?w!B1f|0yeXO9sOZvNQ=6JL?bfuK>(-lLUt41D zZHvN`P2|$p@N%1zPWb~L3ZxQzfsbGCBz0?GadF|q2kbD2GIy_i|1Z+c0w}VdU(+}P z4DN$FgF6g5xVt+H?(Xh`yW8M{ySq!{?%udV`i2nA;vim6;y9=a&}DKr0~KTv|EzKi z$qKK+Bry|YoPEa7iy|@N2#G?~jQnmKwG3fYi+lf@4fFXNO5%KRbjEgbcMI3YBoGSk z^>kp!U8KV(gU--)ANRQkC4&u~0c!=nSrq05ed#D`dkYsgHIPd9m@zM*UMN>=k)2Qu zjr&r=H-iM+{)QpP8JesF98GXM*jg!_clryaF#8@DVl6-iEkd}jjL!R=4mcs^SIvR-wRZlM2yBW>#7jt}u zB>^)4BRdqRk8mG&h)FN;+w_$S)G< z_^;rIpSZ74W0~(_QYj~IVa@8G87pMwXxu5QdDvZvK%9r2D7ox>PMMo+#LNm{!8CvcC4$;M4;i7;mPRS z!#QDchJQ_uvqsMZzyAZJP=at0Ep2C)t!EnZsBt zied_59?MItT6vq~<09N{($|LX^ApTQ+LX5QI;0IfvH+~xk&-Go%os%xm7eg*tnpiO zw_;}l%H*`v!OQSAsWp?#f#wLZub772cNA1ztw&5$&!V>sk9`h6kGrL@gx)}*hzk~d zch4~g+QwdWQ>?%E-YdUK5xW%9UXi*`;w%EmLglQHwS=@^v2l{yG>pMg=h^T5o2Q+w z3AWLSxta`NrKPhULn43ZnYHIDN@NdIBdI~nZF|7RQ$Tp6U(!`jfLOL#(^f5RzZzc! zKEoV8-5%VV3E0_-sQ1rvB1tt%Dr?R2n~=tV1zTR!c|DEUv#x+i4w;4kXrsF&KjA zo}o;7a*oi|0zgi5K80H*Iii09lC9{B;5+)-G@l`KgfjO~>Xd?`XZ1TouST66wLtK; zT<&}#C|x~86}=3wIzr_0XJ1g>bnddyw}gfeT7sLFdFk*GtcIvz0Z>BM9X}%l0xf-E z7L%{JGgcecQyL+${LQuFVRJHT*_H6T!6oPf{u-*%yZ$E3qvwZ!f_&v<`y`3AD>eA1 z2Q8r@$^S~8DCej%uBiO6dCOdRO91%lF0{s4mC#h%!Ey8TQRt@!jI(#v2kE>26*H{jX`0wD5%P`X&8M*?hV5HA~ zihzYOrPVGsawNnrmQWNLQ;)tE0Ydp-Hj_r;+Tt1yH_PCzr4vTticrPkL$t_I`9+Wb zm?#LAh)rVp)sWA2qw`Bw>(~Iu(802+eU$=v&S9`X-th_Ly4CP9z+fYF{!+k%325J5 zGawe#Y%oS8KqEK}MtX!eZMh7V?%_^BZwbaMJ%Z8;Z90PL?75q45@*F&ypDPKW&T2$ z$PeLHlOVsZD{M0(&|;)H)pTf>uF=b?mKXxYzU;>wJdcG}Gr)ClzeEhMUDD4H-w56_t`^Hrbn^c5Kx33vdepkhog4R9H54e*j&kG9Yr+7qFrlh zfs+J>195jVIcdp0D{+7zL(lRhu(caUwt{I8DL|1j`7w-?yCTrtH_tqRqeX+m03#&| z4)9fS`rvWGC@J79pVJ*HMD7!sWl?v?ah>d*tX5$jX|q3|ejqDzh?`R@Q;4z*@SyP%G+!=_anG~P^U4tqQpO)QWBf)&C?mC_8Yd2fVx+Mf#GX7CPpTl$kKuh zCb#KoY{i-mbBk%U(bjPT_6~L8|0lYYumyayvbrTa7AxpO=eT zPtb&~SK8%=v1X-SXU-)u@Mi5~_)Q#W+nl~65Uc0&ZddTQC0gCpMnyjh_(X<%oaO4s z+v?Njmw0}loD+@tI5*R)FH|bX4=Kk6D?J-Z8OsYDma~!$bW6f`6G(FZu`!uHNkV)+ zr&@a;`aBruu9U98g8l{ML}D_WXz6@8r2Opcq;Xk(&OpeP;ppbxzG86VKT7HTRiRsKe)}KWi{C<@*v$naHvL{t~jQMpAlcCnl z4-b^mwp$9Pt|#R$YkYk7l%oAz+Q|Tu#x-%L^c35IOIOvq(<$FK6X)g?F*_!nH-+lU z0w3M;Z{|`|SLIFE1il~^wjy}`(m?s*?Zoeo#_;^<|HIm+L+BLq*6otArMH+hZ>>W_ z4=!Ac^*eT3%}*o3bxJmWJNFMxWw%r@qwHK?tGH|k+oU8qvf&K9qujXTI0cI%>{P{L zx_7kONG5dwQ$&t`H4%JfK#$N~UM|T5Rp}8|QKK4Fje+vxtMH~g=RQP*%kaiM69a8f zTFy4l?-!(Y3s@|Db>`cNw6a};bnVpe-T0Eev@a=!b0t;OWlfb6yw&}_Uvuni59+!H zMeHeyupr*rwwz9Qt1_m7sxs^rBR6dBJZqN&?I@(d4m$S196o9`@GtNPwi$t$6!`-# zv=VM8v-)vp`)Ui8^*(NMM_wCcdFLKzl_yWGWV>Rq(~|uk5K^FnsS3Q9=9y;Xunk$2 zH$KWU`xJg6%J9hAu3mfQmgf=lpB^U{9AT% zm@=W92N!TB>3U+`5{Th z6A3_;wznxC7j0))w!a*&-}BaT@B$`(voOId+2;c_#jNz*tiJ#+!t>Ugt#<1S0DKUL zJpdZ26Tn_K&)8YqeYv~VaB)+gh*fMwEyNq~L~w*U&Sg_+2R}cV!Re5@InmP71(aKP zxX@Qu0T&uyf%FW1z?&6UVv|@ooqMybwcMrl63Z8dxSyjib5_mi{4&QtUm!Qv(>0Dfz}J#Zv8KK6Z!9AjQ7NM_C3kZ#n!N4V!1@n<>A=@foc!*Gtw7^Z z`(GOSuZD4SxRouxSU$GyFcMR0WS~6AZpU#j~)gsj@(B!uX#Xl2LoHHge z7SEbQB+g@SRc?X(Pkr+Q{-e!LVZu9wObY&Y-B2fq=kZ1)B9^eKV)z*C>67-!*{&I0 zx?XQWX>YjlxZ5dB8Eu|#s{sE zW}iU-+T|ArY}C(zReG(*8LkGh$ngx%{>QqRitCj3EaN&tWBr+xn>*OpwzN_ixIBE7m+{tz$G?uGS0=}8Kj0Dxvv^jE_v z-+pjJ=vkW^{nc75_td>G*`kr@j#^Tn8oQ$cwQO|s%Aa4kgrch>q`DaVL}pZ6m%?a9 z8>FwKWRWbUcX6R7WeRUUvXcCOa(sPzX8gDoc%9<(dja|Ryr^%zzIDIryH$5RhB5ly zzMI{h=DrOxc6&XBEgYVsU;5n-Ry+&nzo#x7>JR%p#TurVM&Sqvj8{bEJ-$DDya{~l za_YZ*F58OEc7MDvzCWA@yq)h>e+*-P+}OQeZoTQIx#JEVn;F`g%=^F2F=?`7t!lRI zX<04wVHAXX7ei2v!Yan0mtnSWone{l!sMg^>{Q^Xd7|?IyuVU`Y!DjQgs;yUes6X} zBAtph(x(C@I@XsmUD{Ex4kSWvq1PA7`)Ra@)a?Ggo>qN-u|&v`_{{=wG;{JCT84B$ zx1Y1kJzo74+o((P@)Ts~v`oK6U}L6;Bz5>J!>dm-X#nAtvA^i=W(t4_nl)<`Tv)6T z_o%ndQ=4A0HP;uU>%6=Kcy=>M3&oxMO%D&-xA{*C#wpf2%khE>&g>4F1U zk45rLzJ$2WWzEiKH-E|%sysGxqpDa1AJhH&O@g%3O-jO)d?vlR&nF%7BJl_S@;EVR zAzwRj&_1UT+IwLdWyw0xOaNMnmN()wVtq$D!J53+O;3JFaFPXjLb?_I+A<>mQ^8)K zn#p~AY@s`K$*emQ>)h0tQesvw7a{1^(WB7M7CrYLAtc4bhOYG~2l{#nc=2g}K8h>R zWM7-)&k?N`5VqoM7c3vr&tKW7$eJ+oYEUz;G`pPk9H_^8m6E@hUftcgUut%@3+NVc zKZN@rnCQxWJ3dpNA-ZC9d%e@VIbMC29%^a-u-Jm*8(CiNP5S%1XEV5f3bd{7iq>-q zR|Ofhdg`cg)?q^+3|B}GZ5Fy`{$(7w|C*W)U8^b?c(x-U7-7nF%p}U1g?9O@@CoYe z;2@R>1EWsWw!>ok0o$5|N_VR2BK;!gd?y4G)GHw_s(ew{`Xt=ib;!(f)<-vOkK43B zun>29#7Vch{~k{o_&R-bee($mnEvA2+^8W~X5J7t81^011D;*bz%gKM^Lu6$rJ#{S z%t|@Ib|;<07X2>~{`Xe=Ci+47Ho+236Pn0B z^$=duKp>mYUr`tJ>T;t!qan7nSUT)jvQAkOq<22Fn@P_ z^0tDvbW1+F$igyLNUCuv#8e1f+MAaes2qp-N}_?=GfE}fsU`0O*~%-N}1s%NZ8&EzCGl!nj^tyATSAqJbj}80afkE= zHg=oK5Y5aT9ZAXQg@bdrpZ0e;&0lU>D8dAL^sBZ)G_$YEGJA4!A;*{^S^F}YKF7h2 z5(6v(NZ7BXo1tmo^2qg61?3@f_ZZJ42^n$YL!^Ij%;4MWnO&Q zqTy^vtPSmqT3MxxwwSh>_CMWLYg1C#qKsx`dYu-lNu%i)CDn1U!ZpWn=Hn0U3iNZ! z(&jZjSUV_XXOw4IXjoqGa*n6@@Q^nXE`YPnk4H7TtlIGIiWI9vd0|dn8zmhK^7Bk@ z8e5Y3oZ#{%Z*+xl4_DpGQ%A{%jm)E4eZxN&>TCw`uQGA9*Fh_su#LYHES4mU9P_6dt-tjF1G4M~w+2Cm zZOxJF`C0Icz0&zK`SJ0zGvvT0VG~RwHczp7blxh;GrIMR-PC`{xj@Fw(yTOXr*JjT zVPYf;s4ga*?)rES5H3hoP^*@%6YCt(x&P+Wxm38JFq65u5|FV|IB!*lUM<<~^J4j( z$Gd%a`tQ=wAetIuO3i)U(j9~HBgDtLf@58mSIG=YYDHEk-kPOkbJ|5qjY`!f7XTJ9 zb+_CSA*Gy&f-P%XQ_7sH^r=`!jfY`=0Z(oX0ubAuHEkYVkEG(hqSs(BaMDPsCM{l( z5~Fd-s4cfOxLB`jwvi`lXKC}$ex1izI;7H1O>sIDSzdJ36Gj+RSXIH!t!Cw1A$uQF+`w3P$(O&oTCx=2Y$_qx46m$X#=>5;CKHNr2g1h+cX_VPluEW3M^0CRIn zc-^79xDegPP5OP^nsn?(vxr?|!^Yof?O=bOv1DU8&*hx7PnN3OCzZI+sVT;pqvD?Hdb_*U)aw*H#G*6n zI|l6UOQip(g|t7rO+dQ>*Xs0Uv%`p*jk?=Om^0PVqH!1`Zhjo?`oVkvuDb_za-)15 z?$FQPolK+rEc|T}d^e3f*P*DVFWBX`AEw9_r?bGoCR2-d?=wL+*+^QQ#Sj-q;gJ%X zDq5vGFvDnCL}rcrla@YN3(s8TAP2S6y^6(Q&C1yN&jL^kak(3mW+&Om34x$meaU}T zQwzQvMrR3St-}HXK_^3h>={PT=o~0&%8P}q%2`5~vV{pUAC70^P3#I zj4omclvC%L8dy3OcmREpo^1vZR2Xp{dzJ@dQf*=>z$a}MaPe0ZOilUY4m91AY)-qN zR$Q6b;Jplst)Gte0Qs{0%S|(;%PV%!MrouHHZ41b?8MQddu8z@3^RZPDCCAoV81eF zB6bVA@_>Nm(qFVK-Hi<*&@b(X<*<9tiWqrt-%)eilYLgN+jGvX|8lJXjoY1FPtdCjaP_QIA{ zoljak{liUcHk0GPO+dov2sK!%w`Dq!jgbCLG!~N85A36^Iwvfo+O<0UpS{|Jn^C;av-noX14Q({7kBK2WfPuG05)$WQ_nvrqFna02Pa$ekO zSyD*$XgF)zplycU!oG2WQ9LIW(cTW~OC$1$rWqGS`h2nsQ&D#Cg+5hnzV6-fHhW`vDRX$` zsY;QXY@?&H`A+#IIcx|=s9gzH?S}r7@yU0}xK3S;IX$12ORgaXD{h&*)RU&7bi=QrJU2Eo4^Vn9 zJ#CDs?XnG3caPJ~NSQL*wVvE8={+PXeFi=q69I;=ij;_59hDnt)?Is&JjWPp$pX{t zW>ZQs+m#xebLtsE1f6j6d;w1U+W325nYu6ZFUP#h$M%DS=yfoLT4yJBIcy~lg+D5L zowb6F8(`*+`7GqR^9~z0rY&s#%&q<`Nv-ATjQS*)rq2nnmV}tfAxvcH4eh=;3dzhQ zdlc}aU_B45+?-!UT(@2Rr0#0-F#vwh5-@; z8X=1RaOvDQU*mOJjaKPgX&Rn0r0-c7G{q!{HsJKu6 z(}00C!4@dqB@Cr=6hJ}BGfSI|SAzKZc;D0#ttY_GP}FiG16+Y(ShkIo+xDB%k49?3 z6`!_Nk=u&OQvo?SX7!kBPjIg7SzQO}wqJK;$ZnUPcQQ@rg7u26e(3{c*k$f%d&@UE z;rT4?y4?z@hSb|5+k8XWwc*a;in*YkkC_8y@pRpN*n}7LE4tET_RJ+3WZFQLu4!*= z_6P(>hlMZu4z;u14?$;Du#sE{Rip`(;s?J*UM}(&#E@b)L1j z`lGVZNz3Z6VR7=mEI5{>kh7z4&??-&D@H2;O7Zi*qxGaG_^dw^zarbsM-$`NekPz~ zd{#p)yKZK*vw`S8dT$b(*3}uOsYuThDRMvC^kB39%;sWLes|04clEvJyF(Q*iFB&x zoxWjvrF(w#T|@fgF=Nz;weCURa_hMiv()a|vDvr>sv@Wk;oxUrcouU!f5RuxxOa3* z*smk@nezJOktF7MH{cq|`u06kUG(z{ShO;xelCXjG6h)wv)rtLJ`NN1l`^h!t==oq zvunCyt)My?;KJkJ*SaVO_W||GMGW#yazR6DvpK#oa}s(TilHVEP@8$}Jon_E*#pb3 z>(sJ{k~Imtj=_KRsF8f0CU*$q3&ClA3**bpd4=8ruHUKvzGVIp#F3iWHc0X&il2O=kyG)PZB0|} z$CT2=i_Kkza~(I`ny^q;f4`>)!nYgqjq0VTBF|3nDXMQbMQtyQo)aV>f8^j-Ec@yzhV3#ycuY(w_A9#p5NzQvpL2jtoM z@rBX%C#>t=g9f$XQ@82YQMYM+Vy!L3H+9TxZA7%a{!!=>M=^y}0h_?22lLVd+odzv zGD(zdlbT_KrStqZm*QHr*_~oxsP=8CPJlOO`GTSBLWpWw5Fz6hH0-(bNoxW{`XpQe zT!+EKPoh>t*2w}yfCatA=ZHtCsJ zr1j%7u0Ro9J(o}Qy#mc!>)^(_ts@IdSl_H6=C<(fF(MoEkJ2We>)|T8b(c)%aQ!#} zuKko9eB9D7@EO;j4)oWb*BbcVSh8W$;CQ#6`16l-dStdCV!b2EHmX?XTK&^|pfk{k z4D&5JtP@290revD?!A=u5cI8ibUN+5^S0iOm;>lbb_?gQZpBU*JOKq)hn9VJ^UG;9 zd>^F4Z+5XtZ0Ict?$8T=r~PCtUTo6ta2eO3CY^SpKUcafO)`7@ayn<^wuN>mWvR%F{=jk|6+3)7xVvJyhzR;|HsW`ES&%A z=CZBCeF@u5UYrYGgK@*x^!{Rp{O&H-^JFZ2>!O76}UOD_8H74ej2Fyur2Ha0%tBQa@= zbyndkTX+Tg4J+&{V5)AUElIS6w=O)x;DlyMKf-eCp{dE>cm(wi9Fx# zAfG%rV6dCQVraaMO^Stz(O;7xC|S@x=N17cKKMB^N2n(Ceej4vFO-Q>@f+1Egm1vR znQ1Ge<_Lmj(yB;Wk>W|Apa-~;R@R|n#)$c@V8%DY13^zhuq(;>B-nEQ2AyvzKeaB> zg~2W6F-S=!v^mm9qYb<(gcbdhu&_OnaEDVOh|JW6TCRvqqGrO=6Bz;?>6qzod~zwy zXrZINOAl&#W0|BIq7u&51wwmC0MJpvEzVd<#g|N>*9ybN$LmQF%7L|aF=4FV?n#jA zM@3nQc;Z@L=^`Cx#tTw!$-~phiA+C=dPiA@1z0J^Zn;d*NF%N=#ygTrP z`la$O5w1C71$G*5>PZ8oYuS!q$x>l<%)XNaz?vJ}J?U~WiiLxqEiu@pMJQ3ZBcFtL z=Tl2G_vw4TBB#6Um_bXRex1Lv7#9di_lm+2Xe8!qhWNXK>+rK}~Eu#p- zW+Bn7lLRwmqT3a%5$*0bVee4+gWDs*BMnXW8;>!ly;)t+by-{(3J-Iwkpw z<{X#<$1zFJJXy|yKpBGUW@#ZWrUx5l7!lMB%GXd6qM!@vJ6w#6;ZJ22p_z>NN=2ck;5B73FnH!o=+g;~CV+0#j=fLpxH41{B z+W^W&XXG<1B$((d^5Y!ZV;%iYGnmvOI8KPdk^yfl(KV23${vtb5>+g>STW~w|2+uC}F8k+|s z@&GQiwUWrAER4_9e*Kt^!J=KC3IootEa=?JU2sUR zhWm=Yh)@sI?CFW1gYe4pU?=yH2GISaye(Y7-nD$9zn&`6ZFr}FpGn6u2ubCbg&!ZD z71Ijz=x;GIM+_xS0Og6|KnSFWmNWGbp7sEkkeURkL?S19s9TbjVZ>HQk5KsIP6v?? z4KXP7JaYFP=hJ7tM&gP(7XUTJsS&@?R&yPRA=Gh2xs085N3BUn*KsYApn%Qz$3Hmb zcXk9V5Q540Mv4;mgqnb>;>-BEj7Zo{KK^8lj6^u@kJ^X7FpMc0q?}6ro%}O0Rzc<9 z&!5*6wY>EBEi92kIs_b`Obx8PE9Ei?6TBM03H(55TI}@;4z$)?7>Kmb=(Plz!IX=3 zDlZ80H2`Niy@3D+x*Rimre6b&70Ymve6^mxhx+hWt&K*!Q}26#;wQa~7|*%J@2*3b zvz`y5p+im-OKc1LVV^+0VVSBSWN<{I08;}QGar)g@Hh4p2`BUH$0Mfs3?dE?jn?(l z;_bqCJwOqva~*m6EP<_q48iLg_ITJIry&%r^j47r0z7oTn0-NE+i%bK%fE{UZnQ^a zZQOHncxb&H^AVot&++Y}V81ykZ}N;V5ur^mqlKb4%PA6>j{uX&XULZ#ZENs{>tSZ% z04c(3tC8-Err#V*q7gom_|K$X#a!A8izU{G20FWTW7cuPq#^Ssn~lTo&d-Ww?2(SA z#{=M)e}`6Nr6%F#5t%c3^V$U0WGmkxXgz~t0Ucw?Syxx>ztBGsh~rTO2Bc95&WU}Q ziOck|z~&vqbfENhT-7yPBP;K(>lt$zq1U0AVSL0!+nOE!V#PGhnwOof^9)XisO4Pn zmX}Yx-`rqA_HJdtI3m6N%OY|F?^t^k@rL8-T15H-%#NTfdQG%tUszy{KOXr_re*&} z6RfP45VR4yCZj3w&S<+3Wy;o=Y5Z#wm<^;K!YTzFc1sfRbfO!tc`ufb(e#;e%6<5+ z%@MF{kp`87t>O;IL7NgpaTS`$iI7(&@xiuBJ=P-_VnYhTsnfqkkFdedM z%md-+)(&AFjbfDS0L9-dm9JQJ6{rGZ7MFkhTJZ1`LWUC=MdqTN0lJeP(a0l$k zQ)(!to$?1$ElMC{lNM+zpxKNlD_6mG%mG_(8?k=j#^pRQ?^Ce?ACI?I9fg z0&XR@RWFw>Cs%t!ZX2!ZAC1qIFS>WacY7bN-HJKy-ABXS-g%3&$RF>QAGdy5<1{ZE z(HMQUA$|dyKnK53^3V_;QPF zNNS!O#Gp=kQ zjk1O3y#TZ7q&`ZR(34f;dFPZ%}s}Sm@%JP`csiNmV_n zhxbv-o1(eX4B;=IHpA4b>?>DxccJNDX-7F;A2*E!>wU;PPk4zaM8|yE`+Phv_aQoe zy=TCZZfKrP6c#sH;rpb!%^pLN=<$ZpnWPVXEh}Dg-8P(cg|VRP>>CZ{H+(?<`x|Wh zXnvsEqeDyDM*5yTbNWIqz-_$I#_4a)n46`C0bdMKqUHnoQ|YQYMe$7+>vGU?ogs#)!`MZL+rIq?6~0Ra2YKx8RVa~_b*(=dh@b#(_qZc zbtu^jZENniUkgrkt)AXBOV)qG=m2JSBT9TMUiz`=BoVq5;*OuD<{axZeq)I&w?w>7 zBX+lo&^L$hSc%UV+e{_ayExl464YW>@+8AEfqm+*C3lp}L@m6x^h$IzgQ2^jcHaDk%|jjoN59~ z8rc11-F6keRkaL5s(xD07-4{h?LWgb*(W-B1%^SjZQ+MttSB$Ceo|c5y zf1{CrUh`+Jhsr7f$bF9sok^94Dq_PYvYrLD1skO_9;qsI^fn%UnS*tWxF6xSt+moT62QMInOn{j&tBn%5tVqYF%64i|gvfC%y(FogyFQ zC;0;DnHugt_QXdaIbNt7O#7Y%R;YO|d|pYOjht=SW0>|7*fg)SH@fUOI>W~Z%&2Cn zE`ak{-5m;nxiiUn#IMQYCZpY(-CIyyfW{-ywXh>U&Mb8Lc0;!ItQT3{wk*tOF$FCC zE!Fla+n5>F?YJ;RA6OiIWrqQ#I~|5wsMo%<0(q##OfqNlHB)ugWmcqu!_Zpb$$K5y zG<)v`1}j_UDf_=l*L%rgV;*`{zqF2m*qKNfIxdEAtMmZqoe+p7f&yg1(qq7`$`_RO zKp$c_TpJEDb_h7-f{;B}rR9qGo~TJ8)B;uc(&X{m{mXOJmIF6-3t7BW98U~sBCu&; z=|ttCp!uc~@yUS)iKyPo6pFv=5=o9qxg=(1o;iy<)ReaKQ?wU6z4PpPPyU~@Lf1#+ zq2HUAe=W;i%?peba|@JR%)1hVRe%>En-E9%&vH1!^`m7SohrjMGB~j1qwCL@!|qw^ z>B%a)64V4|oJ`$8Fis#H{C@M8RjdCH)u?WyWU*LwEOHH0UFx4lt|1xIm51JcUP5Z& z$AR#80-*w?Qm54v`J7^gG5!Uc* z$k42gW5VHs(A9f5z|0kMnCnW$RFz*@>7?$<&s1|x#QW7&tcTjNn9jM7w@_>RTGM88 z2lEQ?j1EGJ8_&Yg(|F2{T7x~copg^uYbY!#YHvSP&emW31ISpBr!1(@@Q@`A zQbvv4;tXlZH=dx(PVX;y{gG#;7$-bF$lJZEoq2;%N)fl?x4>gdA%1A%F4J#r&Y}D1 z$-jE=T_1u5a+m(?;%T=FL9R-;HSnP+pVdg$&a?p+z=PeP9P^3q#C5N}oVz$4wyu=1 zj%+{)xBk^0`qT^wWkU9vR;x>5r?As1cDs$$<6OrKSIU;;cnZzibvqywX5&Hg=R-@ai$n))Ou9JO6`EC4Qb_NNQs_e0?ndWuw zG*5$n_0vaYr|R<0FFvaK&e-%-_H0NhG4-sIvU8{9;K&&hwB!0RyvK{05&IrXh_9Al z<->`cg!1>Eyep^I#?}A%;*v2-*nC`lT-V=e*}6;DREURp%+mxT!j^Jimp6)<~P>j+H3`kXrLXzw99H1B1yNn{!7_-kF9ulkf!yLv#l1pg?#IcG?xv?aXDPhoC?H zq)cGjn$y19P|Okf^9(es#R%g3YEL4Y$1hI%9Dd{V9uEe^KWjaHo`W3r;l6vzHfC?O zkf+Gn%)ICzi?(z89-D127fy*vn?H*%NW=jk;>ISuMq@}KE)JgyV;*b?4*LM#r+Di_ zh*21Z9!Xi6&>!q*6b_2FPs*g@ zbq*~hcs-%EMacqpz8T6&O&=j zbOmOzLTvpqX(sf6IH<|ehDpMV=|_9pSf3i`q4_#m3d`WN?&_Nx|p6^ zc?Mn|dT?uf^9z1{#lPO5;IRO&ulVHB>eP9uU`PqYv>NwMfa`Pv7TUI`nzM{Ko{6FE zvA0y!ClMcq)=m^3mF848n3cJe{>;*7Q_F(%)uS*Tjjoxx{UZo z=C$6PIn1p0Hv#^00oB|b0he6T*QKjmMt3wHZa>TGkGT?#XS~<*W98`X%c}=?yLtE9 z$7AFm-lG$kRy(H*ri=)pbW-Kwk-NN{V>Li4Cd;T2y}6?U?m-J@fJhP=J_*Y148YS*57?M=IERUOaa{>$#^VBBc>^=}%aMIn`)m)nT_KlR z_s==5lJ%+)y>~tI-_!&aU)sEgD8DbAHV0tO_uqz|E;!<~^ho=K)vir~ufrhOtJWVi zIe|3?K%Q02x~{eQuk}Db-=(ch-fY06d^pr|u1vlsBA$KRQ1}}|!p4E+PG%b6%5&~C z?8rq|FC0ThKHneA221A{q^;^4^C0)X9z0(VI^@7f3vAqtxy;cniCR_RS+z3gJLz_P zvWwM@ibeI0CWwa5zW>YJ;}uPR`PXWej6rmL<6|odK7Qq*( zgxbR6ZD4S;eYbX2UQR>C;}z~_G97X;9_`rZRBp7AlUG0BkN7j!xE*5VabyK47U0Z1 zoSAgzsOHlbZ9AB6`cPinY}n_~`rGd#R-XM*Ti3NZ=i2zAG~)e0IkG$1eba|~XJxO1 z^>0KDQvEyt_;JHS_jJ?-K{KD2aBR5f2~sF5*yhdtys^^tIm@`Gc&3_YejE3i=zhHl zGqm;W9Fpc7iz+tz->dWT-1Yc)Wd*T*#YePQZ9-qwM*pf%9DI@sIq?`^;?wVDGQlU4 z#E4C@vSmo){iC~_m!(5V4wi&4Zz>hvobeKOV&^fG0Mch7IdfTh#MklTUEgO`AgjWH4pi&fQKWfT)S=D zPCY!X;FKAtl+PJkR{-o6a#-KBAA(&0=;psDwkF?i5zhoLBtwE1UF8(4x1r8~le@oo zIH@`8g9zCp&d<7+d)_fWP1L7(WQ669IIsA0&$(r;Ze{xI2Y0PgrMBA;j1zdeipzdB zHjwg1$#~a+aHkNu>*l&?Gi^)-E{0;KeNl@cxRnU3TG*xy4CDWQ1v3A=*OW0a*NvTN zBP?*?qipX+$4g}4VkgqZ zS0SZ{1fH@Z$m@W4Jw?#yGF+v*^*r(pnfTf=+IZ0$MLImXjQJa#D$c#$fLw5Tc_sC!(uYu2etDp> ztQ8YCyYIkA_jPR|0LA!Jbvsi;PpYaHwY{sc>ZEzer?b5M?WO-ug*k`|bL)VucL}q` zF{D(-kVZXi46~R`+u+}IgG^D!c|K;AGV)w>KK7=|7f}k#eKTDoa@h+OOSR(f2McqO za!j5sU0q7+u6|pKB3m)0Uv9g5U0t~UeWu?(k~1}|OZ|gnur2kr#^18l`FUWl+IdUN z50rpATrn!M!s<40P3u|DeXrgcOSWV@)ETok&7Q6bhI;b0B~HTfBD3*lShxkVzpn%c z@p2vmkiAf;pmt}c3((pU-n(K=S4Fnix`PjKXN8b=A`fA>WK3oy8>#t2boM*Qm&lk6 zXz_p0E6DA;E#7JB=CVM0ZGsuW=N+Y)B`T)r+n^jLRr#j-FWY?m*a_{HRQgp_swx%& zwLp6HJa39}OsJ4}UkhjDu^7l{;|oqVbviSbr~ObERCyD1u^pv)KR(DGRwC^E&$U1_ zWZ<;~@qGMzFXE}vbd=9Q z(0gEP&9Ljgz-GB&3tW)IPVu7_f8bO))i_inDUQ*&EDhW6HXBO!4h~Y1$h-Q^w6>@t~ zr7Wv$9YXp00yWV8_4n+~wqLDR@bOAgJYq&|^L~0-=RGgOj`=r0LpD@}YMw@=NWJDC zlg6*(7G!Djk}RKt?Mi&PCe4pujqm*ypz!$@OiO-TE8sC4_pN^V_lx#uPob%hKRibNGp6n|Nrh;{ahPA%^-xyR(dAy_SHP*k~x zm>`e8hx8bhUG&B)M7ESQyG>_%arDyj!U6pd)@R(n!;##9lez*Qc>As23)B+)YAd9_ zHfWq|KydL^iDgB@h{I>NM3nz61Lf#<3$cO9hFe)7d;6UbI5!% zn`JOC^b~!)`}!4;v^8Cj)&wHihB9`T{d}hAwe)0i*?MaUv|oqA(WK0DgS)!v+U7sH zft#7Zt7ZPP6dg>J8oWXkQoRzkMiW)Pk+|DJ)NeivQ2sv#WG*I8j@M}SQLUbQ#0wvb z@10IUi8N{XNYjzki=4NY#L?fug^|7)emrlK11HWtXEzphPF}wQm1Y$?_oN?}*i-OI zyE)gCy&B-*3rwM<)n+U?fLgJ9!3($?dHaq?|nWVAom69my!nl11O65j; zg`t7RkZ$VZZymO1^k4#g`5~*ZqlvIf-R>eb*LIWkoTs{@_E@Q7k~MKthF#GsI?gn5 z&a;v+9y(_Z^`I7Qqal7hoZyPwSMO7wo}Q1t9A%g*2#@5+mOZ^P#fEx&MbFV(2#?gs zqt8oeRXpCMe@zDp6nf9c_T!9bXOd;^2GItEYlkBk!xgOL1~2}`6(dV7{|@oYpw=#G z?}RjKspiBXWX+kG5lN>UF%7%%s7xG3+iy%8I)PGCJq@c^K-Z|Gu6quNn3W;eV`eH$ zRubA4OIGB)eSC|aKkn1JG)eK?zg5Sp`{J-E=Vs;_f4OD zTvrS~ufQK__8{Cm@%Ji+xv5kwRsWe&qjhM14qk|hS_O04>J{`)uDzA0mw54BT4e;G5r;>8~lKWSzEeX zFfFE?()X5(d6i|N%?Bc_8JVXP$|9IC$8&oABauC^T+_C((M|^~XYFOR1y8CFi*g7| z(E8d*b7#4jy{VYm@G_<*5Xr_2=i-<)SrB^@RkF$2SblXlMGGr)&ovCd*|lbeJi7=FoqZCJQaNgQ59C}$(M{{gXAsFL|V zmzuFLGqL?I=$J8Z{I^oGMjfr_(;<7lNw6E_uQ>$Y^m#+hxbb=iR%&B^`}Ymm!~i7l zIlh_(Yece4?~g_Kdd0OlEt-`SB1t%-?6s&0tDpl<%d4u&Z00x2im6n3KcLZZQ5BBM z$jcPkwkIR%SQUy?tB^#n_sfHSlzXv=aH4;IFIS5sqJw@1*1hQ~J6AqSJP9m{XvWxD zcr5(wYHAX(AT%WXitm`kq5hV>4cbDrZ*Amc{d2~sfaT-MJRjPD)`felzk z8hX2CaV*t|r7RM+VySJ!sNFMj{*a!<`oB^F)yPYC1DPc72>h*nG9Vz7hy(~1`oZ&} zSU`Qq=><+R4pUvukLFlY)kTxUi7HO_SstzlFX$ zXThN!atelNWE|1JpBtb$r1I$o8z%l#MRUEw(9fr93t3xAzKh^c(q1;4 z)5hGwURRZk)koNNtODX5(7e@1Ji$k%PJ4_Zf%Ay&`r$*Y=(LO&l|9NllUsKBc!U&I z3T@L7Tr4c=u1AhRskL9Io?4CDcMt+(;%$b;i&_Uz0llQW3c{9dxKq^{qr1?$ut&k! zU|9@b#=i0S_vD+RXtMdElC7{@ZaL{E?l20L`|;l}|7bSghO~v8HG9U6IkgzTaBw`k zqW!gMKFYKG&GZNlFDlM(jKj$%iU!e4`zs!&MaWu)<&%4el<}77RyAFY3Phv>$#S|d zqK?wFtAdSi33TJuX4t{#w1f66M-AG-`1OhKDI7PA6YwXH=dVC~Q`B>g$mO&9TQYA~ zZz}{=ERvBw;G8`m*2pT?&*_*DW<^YH_n;% z&ug)(CH9J)1S^)<4J7$yiO-%fUNW47TNO54!`GrKB7{A4Rr%8w>igZF4Q4qc{-bq! zQp3Gz00A`1a+z>>6ykDjuYxs|@MMl2_*2}(QF276(1p!!lHfxcW7s zrfL`mfauWEVlLfHZ=T^$03qh;e@-j!CSIEp=<5szJuTFIdi@rl7vLPW3E$$+ZNv@J zXKp=IhWA94v&=_3@Q3XhvX!#M(eIaCcEg%53mBM@C@lC8n9i-2C<09*QP!0UiqY2{ z+@~MbOPHKBEN%gVC?*7EH^@j{vB5v1vthiu$xyPPvn6gjF6+;bv|&Ky`RXr)hBS!IqHqnhGxtBK@`!4J*N3OClR{;53(2hFQWtG z1*lQf?djh5?_QYv$eZ_RPg`(RJ+6$A{y-v};83K%r|wOt)~xf9Kt1r&;>O-Uy_u{< z*6Qo$pFRL}h;=L<=)K^w15?}!Xm!&oDFG#v-?`aHT-SYgf!7ttGiZ@+$RrTcv|S`m zxOm`Qm``M|2WA^YF+tvW->iPy6or%aO`+(+f!KJPz7JQ7%BuT7qB~_@H4C? zcY`Zx8|nxhP`OA)r^)|#MTry4yCwbRE8AYr5+{I~rr;0cAvyiSS7yF6&@C<)YVhQ^ zM6JU5%*8-n@Vwq5-a{8L2>JdSHsY#_UhCyaJ3VGyb3 zfDTfd?mOKP^m|O#X>5@jiQgr-GmkIk<9ho+9tF!nd^W;XI6_NIuvrW|80G9xeeN^j z7It^zEHH}qVC}vlOVCHG?Yr{C=sN7R6+pb2Ewz5@D1GsQmsX(1c~HT=3Z5a3>CbCmxpKUJ(%rgzeB zjr=(H^S7NZV16kHevAO~M(YbIKz=D15P8R~=W2Mp<@jEdYxd17X7vi6EhpN7CmQ0V zgq&342m1}j=cZd%aJk>_^Y6UKd~jxAv-%p~Y2K6$HHim|633)I0}$5K?>2xm7Zw5W zoDPFFFfIlk#$sitFm`bA#IhknGkLnfNFqh1xMxGA(uX}qaFck8$u3iFd+GDoRm6g08- z3w`)G3+zq$VkYGXV*oRQ$PeLAnO_^Z1<~Uj7nz$}leB2#hdtHKw^IhD*Z9Uc1jS6m zD@YA1I(#U8^_A^BnQ^DF#+(FX;L=SN?<*W_nn@-N<`hdVEn35JMb1Fp^)i3RPdQh5eTac7U)~0NVWghr?w{qN z;TFN3cB*|r$-a!~^T=|U7K?f-=e6Ev%D(c0#SgF_-Np3u=|1!Luj{InE0vnA=VBcX zgT*vDn{;^lJPn|OhRZpNW}QbuT6^|p$IUXIa?Sg8hzroek~JI1u$i~)&;@w@Q06NS zQaImkeBpNuc~gBj+~2tfFMK~1BK1O?uX_S0$d(W)qm>C-+?{s|6-D7mqqPfk>0~~< z+ElL4b_pfAg;+3NvS8hxXS9KQn$@c1K+rT6jVDMUKCiIxH+}@TQQXQICV$9IR>>}a zpV|~8U?I)DwVs_yzJO1e)a@l3wRLulr;EbX9sXCN9pJ1^)DA~0x02s`k{fXnMw}+U!0(>wE*4TH&o7GW+shrTTM^L9rp?g+L+h5czE4<64D_c3 z1o9!PbD}MQ|5&_+$NZsWdt#Q^UF_SNHpYlA_)#bl;Yn|@UJfvR77J-N=z zA6I%XSncUyVAN2Ms&H|&!8nF~?T_^IVEXkI>3~vN5+MTU0YN$|x=#)qS5S6#D-Xy( zkfX1c6wg2O4+D_7&f|W?CT7h$@*iA1B(PinI1=7jT{MX5IZ_@8Iv0T8fMK_ZC!0=E z^i$1T91RWt!N#~Qr$3rt@g9S(eR$89uqqaUTH)0ZtZ(7v_k$#14n5-2FeRxF#HbL8 zh7&4-zD}sZlQI1lf8$@y9|Q`fj3GPX-hXrc0TA|vBhWMboAWQcX~2JSPXEiv8ww>R z35)o_^l#4pjPsF6@)t*CQi1{{@lIFO#fc_} z8slKaTl^j2mST7nw+7(E(b0bPu(uVrkWanj)cSD~y$M={gS-ndY}V>|g6QBa0V*#doUae)DQu;FF!7X_2rW0$DN4#l+T)qCDY_V4H zxbBqfkoAN2{5zeI99dCi57P{SQjjt!YT`68{4vd5;T zJv3%-Ud0hoTG5BYo{xI#)gjiqiybJw!EsIPmr`zff73Ik`qkhk8Np7V0|3$A3{l zW;EEu^C?{!7u)H6Wrqb#2DuwJ&0rWi1A!5y)GvATQmrAhtcn!P6dw9`pzo?r4(9qh`!{0O2$G0SGf z__DyW0srzbmC<6IdCUUnoA3V`-31EfLaXm%mQp{@%9hhwTMPW9?{*oAZ;PI03%lDP z#2ome&Tp)NuwXDf_(0vlMAgn3=Mpom_9BjYSfR&latiz$4q!W9m9+u7b32{#Iv?;1 zNvg2hjTDAwq4tB>Rsle7VXTZ*X(#32+v2tn$;d~$qR>v&h~#b6CIYP6f17pM?DC2@ z_O7_PMK*s%ssV&AwAohfdciO24|MQ9LgQ+i@~SBy8xy`X7_m0~%l3~V67!kevgL{b z_c|HG0-&GIoO8XJ!>=q7|F8*J&;L2u2LZ5}50GZ?4a zRj1pB$GMoNs8y$o^*N>(r_Txn-NJ5J=FYxm{hb-c$#z+}%Sl z^Ll8)zjknhtae#7%-(%KwPg+2GN4q2uDT8`Sx7@`7PK2xM#HMpPSJw$vA zrAX=J8U$Ap;7LT!Y?q0opF0I3_@%mkq1E(<&(-UQ`bRboX${eeRU10u$OX~pOYr1} z%lQeVH{|5GLG)w5B9axsh|8cMZ}c_**W&ta=c(P#&W6{{j!X7Z=5PJDFZ{FFF%u@lcq$Sh z;Gvxyil0-S%UcNoPJCW~EJlJ{8oz@^F8(FEArhLxtUW%YF6mfTusOX9p^T2aeNa|8 zb*{xHmb#8c$~7VW;)j}N?my`kOVkQS@$Xj5@1(DTkeapPKOf+_WL3AWgHT@lCE{g^*;ShL98O2xGB&0Blk9pkd+X1_9fQt+ z32)wOk%L~ODxzVbe!Ns(SQ>C>S_<{J%c1>d=tea$AFr5u--Nq-zSv4a<#UA zL%Y9Oh*?kwRUg=(k_f!1wmj=$A_v_q2GlTTU$&xM%YGvE5erj{IZt+K?h(c|955^_Y4>XGQ^>hx?c;uxpBQ75-$KQr%+UOdt~1d)GhyPy+Agg|&{97l0=aj>&0( zghNhg69Wuv?1X`xh58e6QMlgR+NhNrSV0HS2$dqFQAid99IW2V8?pp(Qq4f+y!L*B z^lXPTSb}-@v*>l9v^dI;Xn!k^3O*%M&S=tZhlf?-Rh!xcrtxE+w>j8h)!WyPmAmn` z%ehZWe-{z)k)v%cWr&Uv07xk?taa}|RF4g|71WWm7gwOyC(#ue?}JJKB9?s-&A1Ea zIL5S}q2Kx64g5x)uMQtZbc|0Tp}Rhf$EmVC+TF|VyU%=gi+f`7lsdlEK3uw6B`6Fv z8OS^}LY#Q0SFDu6IkivbO7+~xj|R>ihn4Yry+U}f!frG0t?{}z?a4PCz(i@s_1SN7 zX%MSc`ou3qa!)QBGVXA8**H0*`o)hzW@wXd?J^)E-#DH@?!ZUuDYtMnU^A=y2-pk&qu#gGOS_65qHzMwMlrtN+(+S!m*8MDI~prP53!6Cce7axpbjO_Ly@Fm?9$AkU$(_-< zvVz*h*b`;cODOK#fjfWipuDK%J#TV0ldY3cS=0D=o3+(S4Nn*dd2;r?nS`@TDmLCc zc*t9sLiTU&6I^1sYQ`_?kd`IaubeNoE}0Kr^J~@HzsZ>-Rx8x*BI!42?h0g&ozRHj zf9Bu9WF-B=Y>13c{T5up!3k?;oSeyhXQJIc$`%|UfF1Q%JVTokvIQLWsQ!1NuphOb z-{Rxxs~6|$Ba{{YrrqgiYA3z^%PNv%{yE>riwNiIBh(rHtA^ghlQ-DzNA?Hk?xys$ z;p6Vg9Oi@1SMj8pkJrb-zca*_fPcT04hBgNfSdCGhqlrE;Vgj1e}AR7D(8Aq&0i|V z@8uEjF+ujnVd)E0jbreMJQ80nz^mr6d9y@#@nX^j7JgqI4a4@mAaGa;CO#R7oE}eF zmn)^+iNXC?|7NCVukim{z^47$VK*`v$M$Uy$Cj?h_9l`&+4yW5IY#b~mBx_%FyUKK zvtwR1sj}shsdJwWwRk2)C%IynPngfXY{wPj>f^0N^QbL*N|OgpLcA@$p_)*=*AArE zPM6E$=RgYrwD-5Om)vj+Ewkwo`FaUqWOTIfb~?~AA?f@4N-v=fzD@E?xL}7nXYr%a z^LoyK7q_lh&ZF}p<+zTnW?OrMll!wb7JV1;?*5}e`x{?GGSYW?JQiIpv$w(W06JZl z8x_Gv*SRof)#mSy-{Mmbz8|#Yr;MFc*d#i7{mQ@WLXT7G+|*W%OP2CEevmtt>Xb8D z6CdkHEX8;I8n3bKjh1p?#eQN80YSPByGy6vlt#kw(e^w#iROMRb#=oms>_Ae?(`$5 z>}y%4$%4TRo=`atVLqP;S2YhIN!5Ni#OE7KV&#XI)A2EglR`Qw<@YvXCC6T8Z`1il zpaHr&XYXNj*ORbHl^We4;aaUmf~~WU9{8%)?-u-f_SyYi!5*YjCJP9_DsHgz(S8Pf zm6yiE=X4MLh-IzU&5=K}F5PU|b@1^f^eRW%d?*Syk z=+@(_Nl7oT0wYwEfh(ras~1ZGO*OVWj*F{|fXze!9EAL0dK(BAxuIG&D2MK41*=@) zW+E{Qa6TuAbYpDV|4-!St6a=OHsLwH;EHcR!w01K$CsJ+Zv`NVe*)Bh0^X-8JQy45 zedB%lkiEUl#_zEf$J%?1)jf44uJRt*GUgwTO*gQF&Mp?#EjAlNqU2_F)?s>g&K%qW zwa_|8LriLj`asvsmui294z6i@lrjDW7&yDO(jBK^^ZdMOQz|zob>%c{EwfX<>2M!e zZ?Q}tll(=%e$hqF{MuhL<=N}hzE5&s!{d~~s}HsHu#M|a4QQ*-0^`|FLQ(@DYgB^6 znk}BdT*>X#il<=}v=aHe3^%~ciZ zS_B>h%Qp6Mbhwlcjo&%A0HHrnes47EA50Z%8&!H>fQ;ngQGY@-fFO|b?5HlIuMQVU z2n^TA7iVOf5Tn~)8w&EHHU#DAhuT`7LrW->UF{|QD(`x!jPXKW3ohF)Fe@WH%uz5B z3;?vwFZVV%7=#V#*;;^5@FBRYSN8WTp5aF#yYUOqMk6!wS9kz*mo%7mrcS~VTDR(i zqceZw9D%@cJaa~Af`kPep*4k8-)-r}L4!^`Mt2f(E2=5r^ z7ed54!S6?bZwo3h!0>=I9kjiRJVKonxmd z-{!CZ$UidqiV{IAdi%=0CAQo@V(ZvsB*{+QHQ}6}f%}SR^?Z>g=)zMsm z=$x%n)aZ4pE&w4Y~6&`eu682zy>gAa|nbPXskWr%Bi1c#2_`nm+F8!J*eX@ zxEWvca>>k)r>KD*5E+=s5}m4dU;pSMlaZrzwgmpP$9&a8&#xMN^g zoyTc%LrBxHiIGXaealy0!r?k{Z1s08ZlgaQaceJm6ge+>eYm>waXc>(&frBh=_8V5 za1pFX*vbEYnV~mctq1w9@sf|sNsH2t$QenL#?E&arRWsjSD(oJoSp;dqF$VETmAdG z%j{LqDmUyVG%Xv{9IorP&+Zz87`G15m? z=GpoI5d;Z*2QcK8DJxMZ?Tni@P(k^TUV(`OB$&6?i)vuKHB+@4=g~>8GtHwde3{3X z7}E3J4ltxbQ`!AfHj?+?PpQ<9lwEGMooO(Fo@Rq}u`ra} zy%d%Kmyroub{$!^BWKB@s&ybQtdEysE8}ZPO@3{wRjbPSeo)B+5X?=e7Sz0=seJfN zYq^k%^qVy*1OTd_b$^QlJ5IGVWTa%hwbnMEPR%KzvZ7_4oBM4Y;T8793h#8a;rBgR z^e60%4>m-;Wu{L5l%b!jd^YVbE17R4tzgqzBL;ElN!r_f{!5q&k$BWa|%Y1p$CQ>+p&gNB;S zMrW8b0L_8BEKk4tU^vc&@j~u~HC63fcADW(Ap4~vFsBrm@go1w zPtqbt-Cmxmi6ckrH0pw7a73;*ndz3ICK~RgGJxK!M6fgwTwrzd>+coOfRsik>La#- zpBXK#x;n!pB%+1Y^Mc^2*XLkBGd95jWF^aOPstuEz7Q)%ac*tOk8WLKLHFE-BZI4^ zN${-R{Dl?}Cwv2Z2}BaSgK|qzY$pQnZ6OqTU4$kX7hUh59*h(2Eb)Qo{?zy*{asAs zkNjU$wgZ-GpERp$+S#VDY=j{<4ZzF-tO+a%h>dlnGCXql|+K@Sb+MS&b{z=2a}A$su&+dE98+#{F;6c#W12E zUx>C^SmakoPLd>v;Dd@3a)V08y0g9SRZO2SwYDT-k(}vP)eQf4XI+Fy6C7A#udDSk zG^sq5;C=YWE>+d6Tm^l~Cr1IKhFI1-6t(#}F*IE&utfpfGF99p)#97F3jxHIb^v5# z!!M+q>&iB(-~8{IICU(3&>>`LzF;vc)9sDz0f4+TbFcC$^C|(Q8tb7OL3T8^;XHwF za(~_PBrvp)%)aX^Gs)>1D_!4Or8e!DVwGinYrT_JsiVm{Pg8|q(NOMXtYcxpsYK;t znPD5ct(>PHrEI{octoMC5tIAOQ8Vs7qoz#^-W5V!yF*PET7Dydvlir!EUxQ z)o`QpqKacavjFcKP?t0nVrGZp28r0Zp?njOFMqj@JtS)L*O2tm&+~feSnDUGIvp5> zK=z=}&{n>7o#Ldqq}EKRSB((P$Y&*KwkjLev_Avf7R{~dH(H)Q5E<#tgwcLVbw~xY z84^!!k|IXgnuP|h0l*QVOS4sS39(_(bZ z{};x=-~XnaVrBF;CVXjl(rx72V!B7kVX{HZMV>t4q`M^ zmKk-_pBy60yr8b)1QuA|p-Ky(Xbh>JEUN%fl_2oREcl4JM2Z4%nPZy5C=p?s{%J^- zy#-^Gwp^ZM`!Cnu8p7Xrr(^l|h@psh5gA7Q&RY}_H6JA4ejbzs0>A#oBJMR6vkLo{ z&_|J2XY?>8Tv_hmTiy3m(?02`$Yj$TIg+P8ORy`4gsGO)B@br|X?0&@UiE3{-}l{?ncR2eZEt4)wi@vi&C z9XG1bLPpwy;cxw^qv1jF!m&b+HGtSBFr|7x&;nUd{_GwBUNo{4iUrG6#oYik-XxBS zts&K0=O=~14fmX}=RuMkuT2ni;2k!GzODGf_$-LOXK3v!-R}~dZMo1k)PJ03pMM;p z=eR?hybd1ZR-zLzEcCojiL#%#Prx7re;2GzZLEm^0WGUMNeyxR1nS5!W(K&12qmkwMhwi#A8+t{00k~K>ZZWrPWlvN!xK3N2SVumV}qp?HV|b~ zV6gRuG`Ze*D#ntGn55G`iY+?TsarZ0J@fzzatZ331BtlPzlwuyN}5Y+R2wW`0G=F> ziHjTk92iJ4sGqjyfh|SYstpbJlwKR7r5WVxaqQ!o+QSnOuG)*tp`HGxlR8||Lpc>t zi`a(!l4D6dDNOf3apNV$GAQ52KK0e{^TL!EAsUEU{SPCVyrw?Cur?C9{tM0TR`Jta zVGtvNqDVW>Hu*|b15zU2vQUFZY;`7UGZ3k&;?yOHLOoAKHbFP=w??o>Xy`K*B6W+6 zHT_wYT&o^8$_+Jzm<*r7e+36bZSw7-%M0XzXziBEVi#wH8srFEg5o-XZg-HGL(GRB ze~4IuYBRvi(FwNHY@%L4{AFQ`Un0<_<(Ma76XWiu8pVt;jDVL<_NhZfEy}X@i+f^H zO?xkW}0^ zM&M<0*ovyk%%cwzp$-b%KH#(Lfg5UI@GL&Bz@GoXcnu;m93`&HA#%~f?Lrw8@WdwB zPDHLN3R25*rOP2=Pr&7-*;Zj-nha9w8R7Y+O9X|HCTXui<^szHYfpojrIi>k8ETv3 zZo4#dqV_Yx-DbNohZ8!spI8b52(GKLL|;FlEoWhbUy>7KB+2)f<4yYpVYZ*|3UVk(rvtU6lXEyJ3ph^#ZE@a52M<_ z*^vWVMAHHn0~YKAVcIEajWxG3t*o^$-q-Hsf^^ z(@>Kx0V}X`>_b$oun~zY1T&H}$4|}GDQ%!RrBroB<>yJDN)n}o>E=-1?{ung{DP4b zVXVx>^*F1x8X5v>grcIA6GYnvZ;_8^%0_B*6dtrxk{H44AsXt1gl)*&DUFZOZ-m`9 zzKy?2QA70|c6{BzI!dxfutU&f$Y6mPx0GS!tJ!u7+g8x2O*AEJZnBaJMb61QLWn3k z8To7lq#FdIdDd!r4Y%LkjHh2%N-UO1rm8SlR%v$tX7smbKk3w*1s0X360X7XYi?wTY9bx-%qD=MoF&ea^I+$v_prjqN{$ExdO$I)%OhZk3jkwQXtv}DR^BB2KIV6Xim=IXk{8(}z0 z=wGWQmGbrT3O7|^pqGuhsa@|Y-_6KjfHO2E&)p>bs!nq_F7wltmQi94%q~Ydf-cl! z4j%c%ZqU=JI1gs(hx;5h{TYcC3O8UjAUQBu#HTKW)m_IWe5 z6~Pc*>V7?lE%4m-1&PCn|L~SC`>OLhHL2GcZsqiup*1X?}eI$ z?TQ9D+NJ-j7c9)kbZ{A2rZg@7bgB{@3p1$qwK)rw#R{3I5|rr_g(+7SAvo*kYSO+Ds0ADyZr*$+kh%>ms`u*bLkew>nkV?3?SIts-!# z<-_}46m8nEL1z@_HxY^yOsjz=OA($!Eq6qxi0B8vJC8KXq##NmqI5!IiD!&Z$mD2%CzzjT_lFJ3^MZWp?` zKT5-iXF?ghBQbuW=q;3kW@~WZ%yUx4oaGr1!QwS4iOU<{i_B^piFUsMEz+u?aUe71MjAj8+sh&5-2$D*Pr5ZD^|oo)x+rg5IeJj=t~xo&>RK z*~~eGB$tJ4u7WslVxzY6#SOG(y%FYWj)Bv2Yd`7yOA_pt`Q|ZnfLd{xS)DrG7_wD}4|F))!^1YTH z?L!i}4?RY>nOh#$@CiF$_0YC2i+%9$)#vefwFMQqnVOMM7QCH&Of3I&RyPZipO^im zlDyWnf3vz%-}IhOZpOUT*N~y8PWGBYERY|0S3=+jm$%`EQ5*_e=5mhO*U+N+zf;kc zO|f0L%^~Q3)R4~8Z0@iHZu!?_AA5E0<3-zPMd`0`2gpSJ65pgn*H=qCahz=p6CE%S zhn2sfzrV@MaeQ%|bx$3l@^`JD3wsWbAe_S0?M*9))n_UvNRr|}B|h$&;a`0f#?*`P ziEu>?yx6j^fBf3(#RN*N0wXwD_^QO!7+rGukzuGAqxyt}BLlX*}|m8u9% za&YKydoZhnaG#NCm-orT61}w^89)*b{iBEP@|HasIs=T+b>ke$#rZYJ3$fSdQ>+FrX9GY?;`@`)h+@d1Vln zdcl{kAY#{L;1mkER4cJZVS)?$P^hI77wN@;<7jj{hdw0m|87GVluPBorf@%(wg)Vz zkrnPSaxwkXy00%Az>90Pbap1Lu3iu9bU}Vu;T^JkY)CtwLmbz+jz`-IsjyoMbLYLR zR~)rcUa4|6sZ3Yu72qP2zV#YJRTh9M`}1#b^JuQKbT% z&)-OO!2H4%IIUNYZhPA*?&-`azPcIE)CFbzDZlxS(Ey?~d)1nHXwEse75uNjXaB7t zR?eiEm>Wqgh@%$3R`n?a{AH!(YlA(R*{tV4 zyaq_vm&2K+Pm}dhGB~PY)3?IV7q*2Dk=Jo>XLgFYqdpLc&$c1bCUuBN;g$P8>gO#_rylSDiKAR0zUm0IoQ=iQ?v{a zh2@xZmuG*+7>YDi9UJd1@1LHmTZ1jq@CVi!`=fyuvABAG;PjNo5L&*oaN`f-#v{2w zrq}xu_r`7J@cfO!Q|A_6;W~j1*L5K@w@^TdcaJMn=fuRs_dLMzh+*bz;C< zaFLnk6uvEMp1`NS?AQocPtq`Ev$xDgx7(Nf25Hb3&8=yxA7(+4eP2|vZ$HoH+?E%i7Tx72A+OwGd6+t)P+D?yB1w*P_#Hs!Tmy z7n~h=s|ljHBRoP1Pxw1VCrDwwyLM>P2iKQg>>GoiVgK@{oOypFlg#X(-^dOW_j`?gH}d4^U;s;0n+YA5>*-0@%7)Gl>QjeuIy*^{Lz4^16SB~Zq%(u;y$WbxwhY4 zN*hg!^0csVK}+t*e;itW`B1&nW4Uz3=5cR-$v6Oy+L^{8T}eMbSifWNiu5$LLD8$g zA6REt-v4W4FUdpJcflE&(Z1}ecS z*s{hPiQCG-O8@Ts=a}tf%EYyaW_}(~!ZEq9jGmh5-YJUFwe#r<(C)-zqxy;y<}O7F z@3Em4uMW>m={khqy%-X|!@OLQh*w$_sG{)lj!Zb`gx_yNb6T8g|s{iN7h06FSj1DKA>@e=0 z`IsyAWsI(l%x~^fB}Q(yzk*gdX=6K;GF?jcjh#}02Bo{f5%(JZ+EmtPSw7jYc(H%) z#6jGQEQ0;V9Bf!b&G<6LIdEKOH z9rpfF?*Ad~Er244wslS1wP{=%Xx!c1oyOhW-MxWsTpD+W!l7~3!rh^8cXxT5cki5c z=ft@$-b}>AM0}C8cJ5sn6`5HpcdlIduXYU2fnRL~-ba@>8t4vncW3eVk`ePaqv>r@ zT)({%j$Y#KR+0R@)%7zYhbqI8)ca)0jf&=LW~?=>*em|xwQ3{lRfaKC=Z*eTPbpvt zDqB7xrv@Nx8NMlm{tSf6{DjZNO%Q~jbndtT7N`wHH>fL|zk4aLiyahtc_ z$qFumEnubAp*C5Q8~C7yeQL z6rWwypg}fE)H0H6lcH0zts*v#J?^|qktzotwUfLAZdx|C7 zM&vAjfD>@#J>Ht@-kM1px}Cn#|6p^`yPB$8d{sYcF(A>cxbHJ($%-Fq3`Da30K>>_ zS>}(7tW&(Nwt&TSNKIE6VkFwe)ZD%E7(hLFOFo}it;;!mz8h8qAZa?PbGK(F<>N-h zBoSiYR#^}xR^7S3j9!I`wcqkuj|7+5bIu`Ayyg1_EEwIb-4NV^9HE#X;XdV^9;yx+ z1lLEiQ(w}y&9FgRzkzTA;ZGP{{K%NG?mHsuXS6vzwPmfX=9ByEn=e0~LD;R8kV-f9 zI9;uV%CSfcin7GYk!1K6PTQz7{gL9$Y_C-XvFq#ZZF@&BT{MvTmmL|z36E!vr~xn) zT)e}cAqJI;B@B|iP5v$U{!Kl68h<)JZua$JJ+i>58cSTfachi#_|b~C<{8i(spk*q zd8pN^AjZF6P*KZ6=iUp-I!8;7kq*&_;E&n}wHmb=?L5`|Kc=C$D+(5WvnFtoj8wyv zaV5-BQT^t3%$WCAI;{mA)S{lIG|qtDOSnlqa=?rC=Q@hp0*cwY`zvMrzF~)Tq?C3O z#2Uf#OM_z(X!ow@4H?~PC=Kw94Mh+?!NhsRe))AcZ-RXacW0o}ImDrjML~5|v|9?c z+4;#zxm!8o3A0;)KzsCya^LJ3UpQoXlgqILqlEp!@W#ZE>K^j)91DD0YM+d+<*WLx z`#b~JwyJuc_yomP#A0dEWhQq;m_ZNolkK`G(=%Kr$T6vN*zmniigoEjEA0d3p%{mW z;cBBIZQSs+Pd*Sg>hs}!06%R^Y|bF&^QzjY;YWF2bH0C@Htwl_ejHzw#7314%!%N< z2Jrh{#=HxzuAvm1t^lzku5X~atL{>VUhQW*K{IXi0GuHNHgn=nv+w=|OKR7AP4&qxQxQS83?Wqzr8V$bh&dTZ14o~(OV&j^CtXU(ha1#Ryw|M$); zLV7@4Pt%eaS(}^{PDeK(3QWTDoW0B8(bS5)XUSdbsy$J`oUc>)@TTD^$a%?!YTi{y%G!{a?`&B>pRl+y0!-_J0YTh@v*6YMUKiVHE&%qKF)rz1s)=O+)TK zBP;4=meuvs`W6Kp6B0gI(f2&~XKoxTC$@hVm;X)2KNZtg2#x;o_F8)UoIZyu0HI5? zkwv*n;+(}W{j-B!w&*{U)QeOrRSQ(h|7ggVeU6_$hkLoI%d53n9Zo@Z3b$~*FxAbN zuosFj70Q@6&K?ztH(&vbYtZ9P6xZXeXa6+i%cxdoNgr3D&F+F9cH}UqG)4Oy==CBV zfW?U$Wo~0WVk*Je_Z-d%G80(kG=td@e9JdXo4l1z>$%_fd>!j1t&95eFBF~}@mc>U zl_dl_(=JIaZ5nrmkb2hZ?K7=l^bqOD|Bp@PH&DEDs5x$?k>KUXCOq+T5Q}F>3~LCP zBPFC>hWFYL0l2X{BKP9IjADbv_9{0#NQ(EtZXV3dEHy<6G@ZDS@X0V|Ja>xNkjt7{)J{84tw z6QIaPziK37){jx6G`R;q%>BK@{$>f4bDv&uOWnbl+=%ZU++zsJ- z|1XNX)BpaUE>k%1rg=nuaBRHjU=$!~(`(|smGWuOk3U~YXHeB6L*7pGa&qo0Ap14K zj(ot{eErnUMGd*bRx?TD-5PDfQ|;kkzRjDv^&o%5Kd$H+C!=2PdFHC}$No8;A(i=A zlnnT?vCe#iQcb&09sQE%WyB*ekT@_p??2tMmXfn;F~q6E{wQpS_k1v}s2lmfyKo~T z*9v(WQ6}TLzX%!K>0bN1GH`5zU0$tM@oyRIUk*R*rJ+649oRY(qMQQ~dE8G71-W;Z+>LR z_97qFGb^*j9>0SQYP!E%=c6W-`$D$jS>Gmg(*DCUVCzjK-RMn`e>3OdL@sWs&sTF@ ztTa|7{Y^dlscQBOp5~^6A_&!>0k#AgUjd&Zlf#zFZppEz%NBRGDe$U<&ja+H>jQ@% zGfL*X2%N{ZUuAe-lFh+>dtJ{e+F<=fCcn8zK$zXi=u1qe?i0`+i=P1pEF`eqF@>L8 z-8(iaDn_f1Bj=D`6uG_wy({q%e3S17@=~{X-aYzt9T6YKaIWLcIAluMD^k`14^Le__|NE3DPF7a#|D%*Aj{jXDJua?)O?mossjK6XS>@L*wB zL<5U?GdNE_3a1bwx9A9|?p^Z5JVN`9`xS|E!64Y1=8cJArIn`bAHo%I9^gXEI=zUZ zjeE!R2uj!%bcNfkrb&`p*m`qMY3XqIV8~J&s=N{hlDCan!5*+%I|THV?l!SJUC6jH zbAiqp`l3LZjYOhzQKZ?)BV=(x*<%$Uc#5~PhZ_4Y8M-}Od=mSJ_~Zl39$q9K-L0S) zI!MO`Zha)dQV0q8x4M`78Q6GUd!BnugGROvNMzO8kDja1kn$jv zemrDjlD;XQZ(N<=72rd|gF@uzFx z4?ipkal^2GWxit>^KvBH2i{uIoO3o$&GjK`vwJt=shKRXdRD@$;t$~UhgJ7>Ox&my z;5j@2)Z{nUe{$M#EzsZU_eq<7!^T%&K>z_}cE3Z2sj=UZz?iDRw#IO2THWKu7qF!B z4G;m4_K?1*m~m@@Ngj`I&%{m$Yh1rgrK@dv_MlaW?D|aEyWZ0AXtKXPTM}mGT7Yv2 z74y}linyJXIWRR_kAnwWs^Fi0ISs~}1vhK1cV}OQPzsXd?wr<95_M-TBU#X@f=@0Z zM1wpDQ$gv|!Eg^o!uv~Dh2iePv~`%rPstz=OB9R*iJzP3+xI?&+=YE#VBZ{$2lf7K z>QY|buI!DuE^2=Qn9G^I3hpz4V-Fjcwu00X3uhd52wV>m4!rn$V{QQsB^)ZyP?2RN z`p*o?1=O64lti1nD|v{Xzf3?1-r_uV)CW5vHBsDX<1bq1OMAmdfxAIVSPX1j;6? z>4-)6^m#LgAclNGyA$>=w>{_e6msVlCa_t!j{(W%R{t<5q@NP^jN_{YN;Ov)3*Ik2 zlQdRU6{Q@W!2A`L9G)?hNyq&umq||vliy8i6kw7K9&=qNu=W?r3=)4(!>ao*iK*=g zNrN1y_6g@%#?e+E7ilitZbwu)c1ock)c9h;?xeWSsZQ_#Pz3Mzw0J&*F?zLCk33jd z>|4ZMa7zjoF_mADfsps+e{B(E(+-!g^2Kh0E<7}IkyzGn$&7yW&3t2_;OeKvVS40Q zvTOe1jFT2!%oE1ODQe(S)F)ub$d-I(lFS}+3H+wdo^67E1%S_X3x<)Bx3=&tFh5kO z@S~Yf2_H~YLp_5LS1Bs1aMnCjjWHoQA%+8QrQGC?3eqGDl9M{GfdY^UxAftaT60nK z=tOVIvMh-UiQ&e9sfr2Xo&CJ>Ln6T@6FV~zdjU!#NC0#gf~ zRx#Z4%bo0HA-B@JIR_w&$4ihK2SYhzap+e%0ympmDwMX0%p_yehcjdNbht#^%@aqq z0onN1;jm+qn#!j%i}@vYO>DOWoIv%54{5qpw{$1$sfhA~cQ+?aI-MSD+n~~|$g@!; z4`1X1SFaFi2bkkI6(UWAMV}+;BPul6QP8g$rHlCNbaRM4w;DuwSpgw75%96e1x=)k zEutD5ucWGM+&pn3f8? z->D{q7Gf^I+a{D=H>C;*qZ3F>q$l3azeVO{7sx#X5@`ZdGQa>rO*q~QoBZ)_%$j`~ zKe>stHGL*{QE{MI2H?A~hQf?jMX@X$XgdY-3A7YLT)=xdE7boI`vpGS2`3U|I)T&0 zqo%5KGT+8vSs7%RSc~ zxCG1Tn(!yOXn@a7Vd_rE`%V%6SoXN6ILQYWd=X19;?4 zPdGtH86Wp>c2mW{TaZKvaS%|V%`53EcbtCKcogBv-l9iS_&oh9`MVfRt9laRP5+MW zn3PW07q*8@LAB&v@qkI-;(*a4^e}+288mVERX%EkJNG>V>Wz2yTq4yq_@XY&tIh`^ zxw9{l=M7phEy{wEjw895mrgTGnu|oc;{$?>P(oSM8^KFpn96f~!~cS}6#D{?YMJ@w zQ4P^eN0z~GpzHNUB*&Bj)$l%RRl%^R*^}7(fp3ER8^xR{3C=XqEtYj5+!pV`np+w6 z%m60wjc@j)S0!-e>kU&MB)1ZgQ79zK9(olq&ZvnB*d%h{*N(QHG{M+Wa3%!ZdSAh% z&?yssA`MvUMsb!Gq-7%lHF=T{y#x3hqhzG(sm4I=%ZRncKxG&h&Y9Q~5wrJ8oha^Pv1HA< z5G+R8-QwAn%K^W9o2@?&UUd7~7pAIwhew_j!W9H&8VNib2;;AY)OwOp5xV%W{iR_x zJApXRd#nb)d4bBO*1n{!^gGW#+$dJxhn@NQx{7gqMWd`qw_w!0oBPGiLJ);Sk#QZl z%eK{^bToUH@^PwG>DKy8yHG4uXLY&D99IV zT=RUo4U9#zuYtIcY3Qqlro2|)O*0(2J@VuZ>0bbQwljywUoe1r1?-u`=tC|j%n?!p z)v$ltKgcp@CgBDB{3kBmfE?6q%^_REZ^^VXZQYW7&&RedpBKpi#F?b$ho+15P9IxN zhk*C%r4GNQ4MMD!jmoz0rVaZ0aQ8Qthm-e=dGn1kqSieC$YTT0^zocC()#hk;p0(x zYa9CTF+AY$Mlhp74H@w2tM+nVdjjj0mucbD36Ni;hqaSu2I{gK%!lVu>gi3;^Bc@p z*`zcbZ#1?k7?l9js!{x!RPCyXF1JC}pgU`)4SNCirVuTabL7)SwXL;G%(p8!M82?O zq;;VL%;Dd=;s~VT@#)GeNm30`+T^uH{bA_%61F)1Ffhl}*>t9D#q0lRd>YqtwnHXGyNkK^PL)x$kKdS_H<%yhLmNepw z7vgo0Av>q0oY3IcNJpnPaZp2fmiLEzmxIT#OPhfm=W*U!eq-c-(Zl;{o>&FOkfXTA z!taU+xF6J|!CzH{5db7b+Hqo$36@vR`2%ZWHV*R_{(ibm<bDw>x-@H}k@B(LRGEBOyTB=-J!y68` z)vvVUtj<>T-wAZe3EySv#H5ppTXBfx?d=-46yj^8nYgv3;=_Sw_8a#1$2*OBw9RE| zFI$+ismXS}cT7-W3S{byb>JYkR+M4&`Hd#tpZCHhc(~W>{zng>J~T_7AXJsFF`asP zVr(pg_E4^PX(*h*>(NlAE-kjU&a(A;mCq^j2Pm7Ki#+mG_gP00mL$MzYE2jxL7>RR>$*HbSKGsfRTa1jCz}JZ0>)!1x{3 zSG!A+XrX1ID8@vIY+E(K&NnN%{@FYE#zwt|(Y%ufGPZQYVSy{X@%hW=$Ie$ z30T9wT%FLwdk@(btLekyl0By5*BXK@INns6URHau$Qkx%2{ukwBA|zBOiVbjH)^Y{ zBO3)>6|JMXdwNX2Cscd-E|NR%mbb2+!e5Ny!q zekE-u=+Oo~f^Kus=3ZvFUU$Oble&VmkdP$Q*`T*rom?lHPyt)qB*wEk1du`!b)eSKXC)PCx!oBb5HPjZhW2q)oP7&jp&L=uFWgWxq|01l6Cr0xM^5 z-F5G}8*>QKs?OPJbEHsM&>k}xF+hXlH5MqU!2s_28w$tbu~Qf35d!xzFVPv45*c7X z@@_>_dzdU5bT(QP)a5Soi&z}FL6?5O^%FaBXHu{DV5uMI3qNXXsFxa+ri?}16DvoRQ3IG0E4>ykjGv~9!c|6PYvJ|X$CM&teVm!gK{{+~K`AaDBls+Fn~CGsBM zujU!6;R^ict!ClAf97Glz=?SqmWUQ)GgDANSz%?il<&7)$BY|m9m}1|9a`;2>vZ!5 z$gQ)@NtHgXtjL-CK z^CY)Z8TYlRrt}}q-c1wj8+FEgRDV)lL1N$w>zD@Q~9^+@iC8*?Ok3mLHATZJB*nt5DWxmh>rA>eB|ctOc&7wSPv zC22dufFg!CsHS7sQj_(LPjNeZ8ZYO|o=6Hom^CXYJNN*ncrZ zdh0$BwuP!i@0UQs?-i{57l5yc^)X}nA$0chlRBBbe&-xMZc7BukPOv;JNKLTUiY>j zG*C4W&$6W~*rz|mP8cM5DRS;7A}eM<&&6u@v+%n^y;i=oQ@tRhI6_$+hI_l9rHx)Des6V# zSG%CgkGV6B5*@Kco0Elqe4IRwA7M@30n{_*v(?8GIDeaa1ks{>e0rFpeb)@jP7~-a zU`GihZDKcspR3Q7-=}Pwe1i_L^@ADF-Ys547t6haBX($c#cup)(O!4>7E=)Y3`lOT z68mSf!k=O=;ru90v(7oj-08oVkS%%2hCN2|2IiCP?H2acdS`W@Hzoc-4CRF z7cRLA^S~tQ5I#bWB^8_J9Ql35s(FiT3}s5GTRce+w~afCuYTdHS>J?J6nuT`Q!I!!9p`9?J_ZQU*n3QoKStk`)(a^_ z1VH~_e#YmUYiR`^`iVBEawhcmN+Rm(&=_7A5Z_kOPt;@&IlF}am@%$u+a_qvr^&(N z)(ix)e-V=AG6V~NgK!kUqm7HEeeHc|DMKXR!-!#f9Ohz1dpN^`C43f9?)Wfo!2K-= z&7v$N2hE}Y)AO()5U?v%VAke?CJH@+o<_%S-}7+hKR*lHvyoU~)fLvKU?zga>N^w< zg6dqYT3jvp%s5gk*oIi^T`87WX9QN6<=h=DMgyc{(6{(8e#Q>9_`Ic zvFdqD@43&Q^5Rw&PVlay&?6>RkNbw|_;|pTM4Z18h74$UW2zpI&`u z$-=5czi%_3g+6X-`B~x|$DXvR;ic;3R@YHfG?Zpx=XdE1KNo+zops=lGazwKm2$L9 zAz4LlhcR4{BP(kaCj7Gcr(&uDSRZWbCJd>i=2dnzhNy)mIdv|QNmwuR!4d&K4i`?k zMG&h3&LzkTwT_r zY&{xZRVm~vcH$!C%D(xB*yM;V2>`nd1%InN-7i|?jObRMAWfxjW-zCJ;bIg2SZ-p) zC>S1nk4kyNWqe`Pzqfe3s%5l5u?XmB-zDlZex!|4r@W%vfRNZJ|K^Iv4}?pAs)E0G z%Abrb0}3okJC11h-9Jsv38eV3Grm~s-_QHnF+R9*U?%8zq-q?R)+Sb8ogOxwsAU-~ z%uA$y$bl6 zN1zdcI{brbBfK7teJ~c?`ZGoA?g3Q}f>A$K=^KRSe}#}y@~~fYSso%*-vgwZ+2fta z-!s=F@fN7VP54^0db$e2zVrMjGN6CPznd#l%s9ii;N}B);LqF7-S-7}L}FWTrLz^9 zwM`RCSv9GWMNb!gqtiKA2J$bKThAM}9Uc7{cCQU=T)CtSQ}*N_~$MUTAS+f_#BOBTYm^Z29EobuJq+*;6!=f7hR|sNoB5 zc2l@MAmYDcb=1q&#lSYxB`SS;K3xI3dM4d}ZPV%K;#_Cyb8h#6%=cLj>yMwCQ6o zmntkwR4r1JF5CgzM*GLUT=wBxo?u_J=$t)wv^p$$OwwTqZeTu*k$<&0=Q2p_hv{}o zv8R3g==3|x);Tt3!w;O_Sq<3~%(g=3PH%&ls1-XZbhe@P zIm*f1(T};ig+ci)2TRF3q7*XoX+qWeZ1ji(+4i^1kBa(MpB%eBP8$$b%jQu4!WmdmE z^L{&2Z|k4*?UAEkd`f$oG~uCMvmT9*>Fg{6X72!lqW$tb)s$^X6DPK6AVyg2F)qDd zX&1UY^7U$ddt>iU{zdg{I`bM6r#WXS^4O5e05oQWMa6yI&$)9BcCBs8WfOF70H1G9 zGNP{z$z?d9qYlHVT~JLIuPxT3=GMqSCoS5`&N5<^?rz(cctMd+TI|Ovq0QLvn6JNH z1m7+UJ_d3tg$@IX4xRR9x-q3faxQ?3slF{=FQI>IdeS}Yed)oLf_m@unbKgu_6^d- zQKY=tg8?@n!PsR>bKbg;#S+ZZy$y$$j+G4wX>^V!Mi6^11qc2uq zAO;YhA;pcakWwIL{DDRtLC&#@^P9xA=|2HVL2*lv!m(2SSB99s2*#}h-`oT5A|BII9bX>W6 z55)HGS8&q5RV!oT9%2c_UUsH`ftqsKzDJGZXvn@IXE8EDR7jhMG-9lRj(4nCvrFa+ znZN1m9O~j>)TtRkt^qzguaZ7A@NBxrm=R%5tO|!38FVKOW>?eMtmW);*mz9Kdll!y zV&^j0*yQf%z>%vDG9B}SKgsm|T499*QZ0P66^bjYtW&f5o3~NwR3GXV!wcY>n~uG-TZxDXVN^m z9s{1pfDA#Y{e=<>t;B2pkHlq4(iG#EXCXm7~P2wJ+afwsgCn+Bj zR!=c7e?-yn8rL+DSXM|+yI zG(v>>Ski?KCZ6iG*3xR)`|{~v0&^}t-~3vy(tT}%xccyo%csSDuM&EU9V691dD07d zB-WUWXJM+6NRow0k@~qP%d&ioQa4X%TFyJ9?h#jcPND-6Zfp&)b%Xt9hx&g6Tgm=c z0JcBO)=hHxIOg+K+va72!D^5*03seJP%CF!KgL)fR4^0GF}EjDiTj=bXLM zZ!1qRSf+EuNt_Ly=II|N0i8x~IeGqWIUsjArp);}fvmAiC(Tm_WR6(Ek^lGbIbXF& zpI^Yt7Krmu2rs5n8={+inMZXJNQpsXsuYMkAq2kI`#fEQpAghS2DLNo9`mAlH=LXX zZ*Hz>RI`lw{NL{EYX#_lcJE3gep5(1qQGZ|i#ZhY!#)q+A(<7r&M9N^carRtixv5R zaKYV6Id-@YR4?q2Jw3VUi04lzge@_XW zIJX%!!W+eZ$A}S~GK$G>5x!sl0b_vu5iPRd-9hp;xA7Y>fSHf*ze#`LVq^P1N`K*G z``;=4uyg-Qaj!~0JBM9~gyPR6UO~ekev&Q%LrA-{wBe?;)m7m>*1>0YbD-nPCa>)U zEFdDmQ;)`a2$0M!=)a%+i$wH`89-~`CpdTdK*X=8sHUi>u{!ZIoZ4RFdB2_Afd|Hb^hEDmmP zIVhcd;=5cv*dc!+Rl8G}NqhIsk9e7Q+!XkAQcecNJrG1)f)N&pvi6`(pct+ul9{nD zX##C!&(v-iE72>{AvhM@F2u!i6!V`rUkbiI)ZN`Cw1_)5Q5*EKJCP(f!B_ok-C#(< zT!;&WzfC5BS*gtfg;AACw?@lGaUeD2C3{<>uTpccC;g1n5; z<~*;#g44%*rP_xR=2%nH$5s-0kPPEWT84G0hY%8*n(=@frTBkDD^@X_bq2R%Wnv|zi_S+Sj%I{qH64>oXI z*yW}rHJXfEV>y)9M_@@wCzal{f~kq~aKRBDK5g#($^z_3D!vq6sx6iZg_^4!3Tele zQ-;&OkW=P)PY(};roGs<5r)}iRiQ|0&&ZfT&|eZh`Uy+rdZd-m1FK14BYnvt|3a}@6h0^gDUys2+56SgV<0R!j7hI$<=>KM^P$J zr0_|SqJ(CNB#gXbG?HUv?(!w*vL)}6P>Y#KQznS?XJCMS>_@*-kmCdkWA~?yL%1Fh zBl!d)?nl3dyV>>xxq`eWM-+o=%90)sgJr#lUC+Alk5FF;XG1l>Wt4rsAT2}niFr)P zq|4whSwg0z$`Zszssg_U0mWw=)uSS)cqng#Tu?oRc4&rqOHLCZm4if0TOFBjB8Gwr z`J4NNX52%kNMZM6rWv1;5m_X6f9S<=lY*|)DYBY_P5wMU_OQ*cNK?@YH*{hXoD;V!OIV$u2wvjQpfyFf&kdhLX*i z%1@KwW`h_kaZKaxqlO0jd@5X))*1lcaY(ngCU7t7wkrG#-EE|`+Zg-UZCqVYf-5%yc23M zsJJc72tvUzKqM~0nFk^Ei5&YCtDXw==He6;+zOsMHK&N5B1z8a2=sNqbO*IH>IWKm9o6T1&Wj;n3RnWA)>nA45M-q(z=(U9!>hey<#XGcg8*osp0Ggzhbt3>r%wo z{3{kl-IMQdslgyEhH_~V2)Xl<)6tXef<&;#bc9z*{L6hyAY>6Qi@Oxj7j*tYI#{^J ztYAkh{q=9!MVHdtv5#!sxn^4UvJuuO0`l1*SOh<%I(K~|_S7Bi*)J6@N=PvrxBET7 zVf5r^Cb8MZwPY~iVf66DD49vg%}Hq=sbG!A@O}UE!3MK_({#nG-`AzNAv)xywEHfN z#8A1(+`G!fImXDO2lh1=kyFS-m<}Sd zo{xIjS?Q^}hOGIIhtOh*G2&(T1nh!-Kk;rF{3`nP7sFA!U#X&HpTVJIC|EDz_9A1I z)GysuBNu(FT#p2Uki>hNF3w@pMM*6Zw%}vGt)-W#3>S#|u}0irGjlV3o5fT9U@l)7s6X`~HA%N&44?lPPK{?B)b>fXtnqqL7TJQB{l_XsSsTsz5rRX;urCj| z841yd!CauANp`KI){zjr}ek@fGa z%K=Wv(%14{XU1Syb>mKNAEM%znA(vqP2&~pK5T*Oa$ka33GpIsJc`iOWB-zBGk{?gN)k4CCKa6%;{0Sdig6PD^ts>9jP_J zL(&vwSj%o1S|S!?A;)aK_dj+G7m3%r$ZF9#l^b&*>HXI0R z;zZ6oD&6VvWf4sg>}AW(o3bGo!_}x8BBW}^l^9aSvUd2DEDTK-LGENaPU@DtH8Uyc zuh?BSX{eam#rKU|_WFnQ;g{SKmHXA*G%IM@4P+=pe_7hq%r_)sIKz1QHJ;75As0nQ z>PcZv`6ZaFB2p+T!k*lWR@GghnkBB!F~6OD(FcBC6e$H8D}v{P6{Nz1a{(GvRIZ>#r$%E`DJnFPSTnCZ!MDiHKDjR-btea}>zk!iC%6ln0G`LGB1y72* zzUAgea}j7ifeT?c^sOl~GJ*4^CDAJ=99(zgB{##T(WW1{yKD%&6Om~Z(HI&NaVZ&{ z7VIDay+`t?ee)Hl97Kr?60HCuSQ8Pgp@Afi{yULIohlWkG^a?*jX%!QoA!ot5R_^)qY_YxG`s>uC`eZDW#F*q9%9UWagCqg6HA7v6`7yg6 zmv2xh347iQ_m|C^Mm2wo1m)6vkeFUbN0#ElhCH?k41)=D z2(c4`FGc4I$>9Wb6=cN1R2A!zT{uR5)TCnyl)0N`9YQAkLG#>e%Z&`A<`Tv}&&>7# z(0rpRLJDDk_+^m_&yrifr;aqg>MNR%-z_V z0-3xdpPtFX-~s`oM-^&<O$+l&;j(U|vmlAXNM-RNe z;TSpbqh~O8)6ZB~UF{&@#mKR(9eDG!M4+sSeitMRXwI2Wd@Xl`5U8T*6F~!|c{3B^oyd{e`BJR>t9A{F<&SR{V2TtcW*230R3~OMxezFy zhtoX9h2zn=JKII|bD0o3jnPdS@JLld@yM)VMe&Z{Pgt{Cb$JrlLZdn(16vct|Gias z5XDZi5L;Wdae_MI?I7U&UQ!gClv&X4WownV>+R{P^ZmXrkJlb0U}@fC<9#(?>i7%a zX6Ogo#=ECzlI%0E>vc5Xjq%m4EPV7qa82VygKFIY1!(=?@^-RCd%~b{v*j7Eao@nb zrVjgBHr{w7m}c(qerW@;!}ASZY(H8Nbu0A=NkKrEGQc-39$Rp^nvD%;C8*gusf~`p z?25Jya(sd&^Fbz4?WDh~iEBBYc@;6X_fB@bYdHsGXq&G7eX+K1N4xgFv0Slf4_fqO zY1F%Ec?U7oIP>EdMD@0?1oxsO2uu0NvZUz@&CHXebyf*Z=c3O0t7OPGaB4gnAPUdx z{E+#wn%9CqcUQ}gVgf(xO;okI<8M>7IQN2p57CSD-kr&!cdGuPh&i&J?B`GF z@@~5y(S%El&2sI(AvgyTL_RG%L60HJxcY%8fhA#dFq~mBcIJ%T{a)YJ#mdQal0C9^ z4DRh>-~l;%GvUZw>-5Sxarsr%ufytLRrcoQtTw=c#>H>#Oyc&2!HW4=8Ejw4FIa9w zw*QKk6e{vHxcv1|jh%&MXG+0nw{b;}HYwHs(U`8dKt4=<|83YeVVW9f160Ph%^QMwoQ(|o8zQSn0j$cC`+6$ zE7x$tabQO?b`i~f5V*?n%@IR8;6?Y*!Gv>|rf5A?IlvY^c^9H4I6Hn3;f9@N3a?u6 zJsuv{Z2*Qyf3%TXBv1vsuGq#BT48rm`hGom^4vcQQ>BNPNU?Xh#Qm1dPRCS1eBWkn z5YSv1c=~pJiP`zI68_4O&iInm8`D{!)TL6oK|Ocz_1FX9jyLoVr|_a&F)UDA0aAo?hZ%JkWaGgI+=$lCWm{rFvjVB7WSb za-h`G9>(^j^T71?cw6Q`Y4(w9Lz`8ePLtyBNc#b|TA2Od4P6bP8(0xf;15C}C0MjM za40u0;Vd~NN{sc!0mtL+33r4%&y4tbbyNHGc5E^NNe+jVNM*lQ>uz?3)kvo|Lwj_W z@lO6!c^{9ia#U4&uGF0l?!TU|OmXR)uLFfJZyq;c0d-6VmHx~CLA6+ohNIOsZyo-b zA=tSaeM9Esa2Q%~l8a+Ky}LX2uifpCnpF^e&tsDZL?sBbISwubJGn8l=yeF(q3t4N zB55KmBB3ITBeApJe&ifkiDuUBFrvh3^KKaTGw7L;E)I=*F#QFTbN_Cx<~7Cj(7hFP z=O+jAUy!AvWdF%3ctW0Buq_vUooGQFn{x*@dKF{j+|6}@lWelK(ax&2L43h=o4(We z?Z{cL!KNxk*D@%z?U@nSDtMc+V`xq|>^!s4@Y1<#d~};{t@7~TsgG)Eo;BjkLI$i? zFy*FUpbU7Gp&iw@+sdmdn&YGMwE&Ge)2J=7=hVIiFO@4_YJ>2MW8_AKTpC*)>*8jX zn?EZ6Y`ptBH8*#ZUM}joV&4rIFvL8r8W4;LZeB=H2lw2&J+v&(5qJ3m^PhCxqE%rs zI*!p5=Tw|(Iyv{!fUi`Mh6>8A(S5sx)izF@!G=YH)uZMySA zj{lqA_NxgK-i#crr}<^S+K`B54D(APR(i;y__EOnd9=mRQB)BloHm|UrG$QY{G#=4 z!~ZmOXbPu6R<0g+psX23nLcXd61L2L7#)Nl(C=w}UJrIL`AP@o?Uae7NO4h)3n13# z3F7X)zU}7ynqh{|<5Cw@l;dDi$RHov5Ojopyo(hSbhE$Bl${yDUBgAXAUT-33yr~=huR^ za7O_#MHzQL2lw{8<%qky2hT>|V((sy(8atxTlQ+R%Y6AM#tuh2BJOmPPMG6^IPUKE z2K&7yY@zxBKT_w8$SyI3Up^iW1V2^_HHm_1;jF9g);$svyrAw$aljV36C=E!I*n6> zToz9OF<3l65O~@Be4dDEJ{kvgMm34ZUZiYadm5J&F(@&yr6P87)L!pxsiPIADeI^u|-QTwAIo^ ztPl?9t{;spErdD@v%hG=;Z|bfS~wMZsG}_)tTMkIiz_LTE%kCH)*1cD%^qY8qYGvx zDa{zU9WUf-gxDGHY_aE;>9UoqT-T>R6^3C6f2h6R}ZM2um^D-p-dWri!Uqd?*9K~1r~)SKN_0XY*WqAz>Tr5^9^BYHFZqIlV$71^lFO`< zfUIqN!OI}_)iZlj`rxOi3UQ|F@tvw=htF6Z0<0GlE#7jZAu_7!196I(7a!{oS!$5A z4*DcQ1e`h(Ih;5YKF*h)q`}CBwM(j0I3&Kacm%0V~RD`>N(bM2sYV(4)4ZZvjz>`f2(vyg>{j3mC_*Sh1P%2icQp!^* zi<_yD>7zj|XRG)Z<3F9!KfTj`7?(1Aw8+(L`nQ{MAczfy<}rGt2erSCv+*kVml2Mm z9aesBmd_`}`!HE00*k~bmxgVU^<^>q0{E{Zx^x1e*jDDaXcOYQ7-%u=>Y%ucpDjwh5aZvzhX3OeP-O@7FJr=vR1^ZrCqTBgc=~>6 z-D*Yo(FT5|Tf^`7oP&~G-Tggn;r&P(`B;N&b`$T1nfuwhM1?syPs&rakn51j{imR< z*<;}UpzJGv>UzF3ahE`FC%C)2hTstF;qLCP!8N#RfZ&0LySqQ!U4pwjWckfZ{dZ?~ zW^1?V)UED5x4Le}oX#n8R<90rQ^a*WXg6X^;;obp_9w0K+F{Y`Ym7wKS( zQ$I_`H&V{edZ=Bpen&*E(qAwt4ZJJ9W=wIj=8)ekzZECxZiHz>PVtYE-!0DOo;mRA zt(bhCu}uQ;B}N#QgNo#o_Mb^++$gd72<{|DlcfHNeo3dyn;|@Ov%Seai{<~i!cp>2 z+(2lsByE}Hm++m)l51dl_mvOAAeVElRSqgQ6vRRoF=@L%%;-7S}|9VM>lmESm zA@=2oNvFnwLgb2oUSLt`et&s*b8`Kr*xP8`%*dbM+F4=1O*+Kc9!*ls7XjHs5W# z{efS1STn2RdU7TPrn?l+&I$Q}C`!IuxsSCcGn$0Wb^fO#ZYLOu)xQBj$7ltMx;L|N z9-S>Z#_fpov1H3ms(ipi^&)wPsn5~#d!BAPCO+AmUNtz%og|=AKQP(2#jacIfOn+? z%T#)_`;v<5ds{Hd(Dzs~PRLMCju+Pe4bJn^m@_6vB?3%mRG3h> zVDS)zf}WCT!|#@V=s1_K2yBrCbU%u@q!M02r_NTPsmzUgyF&ACPHM56?XMw3&j&Kh zQuZ#koUs`m%+W1NYZ?#XwHafR;Pe3m1FrtOcqILd1;>el<_i8tOkoJBuSuC!(JxPG z-as*^2Z5V#gA0#2UbLxS?L2&dZVvzLu>;j|v1IE{#RQUNa4P>+ zG`niNX6fMD`Pla?H4uFyU7xb&df_(U-7W14Uu57EJu|r^Uy`M@&*$05QlYWtAK!5> z{C+#T(A_&`u4d7G>1g}Se2<$|yVMt2@OK9!6;jIcsOeVQ@i=}leM9J9GvHy?7R}Q+ zE&^rU@_P=Nhiv20`igiRD=Qb3H!mP_@~StHhiJ5nr*WJ&8TPqajNDQg!5Ui3j_fz; zaPAP}iP7=EC?P+4;ghWcTKt3S0(SK&fy$h1g@jqPJCk0@Lrd8wgsrV6h4A{@W7E`{q4}^?T)*I0C zDG^x@!O?V%^k8M7`}UMkc+;jlhP_Ol27Q=U-Snv_U8OX&=BG7lHVUQ5u?c~X#k~Fp z3o+?D@XOf#Ey92|oW)CjS{0imBVwj5tU|TxMS}ka0pUN<@;xjcidkCy0zXtWu9v1ny;hDi&)Hm@8#noj3T^BMKzq+km*wEXkt?%B- zjC#MByTtAPwm-2J5K5@at>qbaq$?MY%x)_U5d`+UxE_4b66es*#+pKzMKGV9-;L|O zV=IClK>b3QXGFDqZ$juyD0Y~D~XZY_~9AI4sRYNkOrTFIRZ`2Tch z66jkUH5R1U^(~Jibo85<D{Fr4Cyue3|+GGNpNE&mf4|ko(`Ms zKHkpxZZX@H)AXDqR8j8d&0T#g40=j$9yflQv(GyDI$1$Os9523d&1UZx8|WnC6NB6 z?3Ne)W8%Q6^}Rlr=v{dZ$bC}b4PA9o;NG@9ZaUUfssZ*WsUg{%;YNQo z9sT}|OlL~UN6Aj{V{=@`)Zi^1b(j7dlWSRnpA_I{VgFa?!Szn9y%(x_ne$=3;EW{v z1WkwQdIV?gNv-WUjIia|oJH8Q^>f1pFNM}2Nx<#oYzgkbDp#KMiu*pQg?$*o1MCi< zDu->ArA_V(vUcUksNts1`h#nB61^gaR6{_d(%oO{?B&n@>d5N&-PVaI)mSeR8e{L` z6e)Nvu;)rx<`C~@zvsoJIojmQVG~M&y4Z8kGM`x29anMck^Q;xrN%?oqSSVpjKwwx zxN|Sxx7juL;EsEDqoa4$&Z8rUgcJ?3tG=o2SefM~Rd3i-YyvLaa9zaX6tz~M&vO(^ zfHS{mxZHG_v6ZuVxZh0fn>HSF3>vFt=?SD}ulaV1WB?KV1_XeYK=vc9gr-6+81h@4 zHUW0$nSHyiUrmHOFi?!z=Vt~uAW3^*I5ohY+*=e&-9S`1(kVD!7{bR#?6V>ThNlxi zGzJW}FSHM6bK!cdvMuVN$6>|F$`3X+r^TCd-hR=Zw;(m;i{3h0RZ9&zfw}9naPG`6 zj2P)zJ!{qFv%hEGH}@14NiIH^xDEwpJ*iVB)92DSWX@(AR*G#Jds^^*YvTTIY z|HCFTY$h`pQ0>5VAFH&FTtrku4Z`k9?m&PY1aJkyya96D8ajRc-yDs~A8WAbT!IQA zE)5@R+b4H@om_q~i_%B4H*N~K6aEFg)B}NIeGZY1_w9#+c&+`57%n@H?`K(EqgE}} zE~{HlV>lVE8!XHv?=KKNTm}tAt0Fi0&TRLZ0*r@6v-(p7^Y$$Ez& z>&>|NPT~qb0q#eh6#i`QNN^13js1khfAo|GkFOTD`(-mTfcvrZ*d2s4-epz>V)}hE zlXP#_&+wAEZ*em+Z;WaD1X?es$CGKH7&x{%#q|Prs%8@X^esk0b3mtQs4RDG2unj7&93JeHF*60d~WOVKfR`A3cwJX{Q&j~zfT zw8mxtvH!cDAw@7xw>7lO`n}Qs7{Ofl8n>siSaao1egCtJK-muvYQuey22302a-88r zczIiyS)Rk%ajaqHZuRifm3ESkooM|^;8CI^c}2qaVTNS-WV&PqHCi?LU!1Nr%g6da zP=|S`&xGPbX3ja60NDJ4Rx}b{hi%uRlUCYd>|^?94GNNyVtv-}WO3d<-ER%1N7w5U zDfNB5wb2`BInkh5y#i7=MhD2F3`Qo$f-V-b2A`HaOS1+KO$w3m(c-f&ZtsGiPq}hD z#D3&_sK_dXtSmqA*B8CO-5=$@de6N=vWW#$owc@v<(rXa`%|CCDXOBr-p)DYTLr(# zsI=yWHm*hiiFbZF&YruULEm0^Bc|da8#DiG@EmH++{C~8k0qO<3@~} zA8GY8{;ZZX96#^0W;+R5{#+Lo=n$rqw#In#bgLRsWlX-d}HeGopEBh$+Qh&S)a zygJ#O<+7C7^g8gHbJOt#aj49<mkTpe(Q)DdV%d=jqKcx+m^pKuQ`O*3dt3B5pT; zIc4nFf5+M%2-H+rnK`L1TD1CF1B%lxCGJoZ@Xm3+ z3TFQ>*}QeNF&Bsc$6Eb28sV=<9k$qO-uwg~7E1aqKpdkFGdHyKOD;YZ#<=LzG!6zz zP({NER8fcAT!BKAkp32b4$#SgI`}o>*QQV@cXjk&Vx29r`>R+J*D;~4d`S&TyCkdd z7d0N9rdFuL(A6I`79xn)J+fxs?oWam?cEODMM--chjP9%n&n1%c9ZsGe$}8zzbHA| z`&#R%VL;#WHl1lf!#|2ZE$l38vTmU7Z{^brx_2x++g7a6b@kpa1To<1l|>`l#k#E! zoYZqISlLv97PLw=S6cEpgJ-8JnzP+|dCz`0tsw3u{TIQc{_~&>P0XSqUQG_GzJO7? zd+%df4lBs&zSO-p`S6?q{86jH=PUROcl{U_ARzYp8HFvxyC&}RWL zk2wcF0BlA)S0UA|&$QEk+kZ0uMZZnF-N&V3v#nU?b-wuNSgMJ$2~s6(q1wMTLX(Pq zs)Xw-f?g>lk-sRdgSm!Rdo9HIE~}_Z;2dq@wK})LqWSJe>AHGplz5iG%h*BMB2ms# zSYqyk$}=~qT)bTDA?>NB46{zW%Sx)7F!ie*=nH}7$G5?GVx0Tk3uS4O@yVzNj@0UsrYqRE+CT%WG_rt8g>O4JD7!pJZHTuwj2E2TB>1Pufmwx_KQ40$pI2 zu6(M;#YZk$=qp_Ml1Ho!I6^P>dsoENR8r)3!~h79HK}6y-8}SDO5Y;bUgjh;b?Q8y z$M+dGB^r^%#ed;q%!+SASh@8Oc>8L=Ys`dKjuSWGKc|Fl$3f)PF=ha0>*~sXFal@y z#@W;WR>f95fys@qw|T&Ep61G1Y&i*?QDp>p8DueDQEjH+6Tu2+3|(A+x(v-C)o>=? zmV@igL4kPU-N4^ z)HUq&s`{)Mbh1yG6Y>CjYCUsLEYUHYbPa_WFZNx(z|=GT1ET*ta$7v&7>&Wmc$PsbYtV* z=kWDpz@Uk_F^49v5e~biG=|$jc_QPesL0n>1=F8=S;&Ck zN-s`^OE<;b%y9t{ap;Q6jXM66F<;s}*t{og{&FP1C!hRn)X;WA=VtYR%xw-cn zJtd_IMlEh_lda&!RFA9RrC&Xd@D5t6f^?D;tO**2;^Nw8fXs>Q)>#$ha%R&%wi)wg zJ-%1?(g|+e=?ka%WOf>awH%@{cEthP(G4aJL7{=k)Kef%x&SG76QfQlENT({gQm`^~)Q9?h3haZHMpKpsBK;_7@#H|& zP2qqc=uIz=xgadGIgk)CP%ni3RynK|5vD7T`~l8_s12nl;-UzoQig=9o7_VVWF4C# z$Iai)7dy0R?E4oCKT0GrBx#V(P5qld<=jx$K=&>reB-ZS=apu9*i3-vH z8g9RhBw<67DH&87D%Ej*Q8Xt+9*1P|9Zt*{2@O!-j8CJ|a!s{ch4MfW6+DsFh_&Am zF7xDO-1l>IX{e8u?m6T;QPSE$wxR&!uoO>?p!*GMb^rN`x>{PSaw)x{c(hmbdEK(r zEk-`I`Ki0pe1yPn;*$}+8&YmPlN?>K9(&QyMV%Y=^}6?;al>VXfk`Hvy0rW2&7~

    yM9y}3$YF@&f&rreAe@mW-TRn;XVHFm>P20DNxEN)eisVbzN_zz5+ z{)QM)0W4%#A%D*HA zM>)lFzC2?M@Z-rGeCt7j3)xq#4^d1$+=?8$;Z<#y7-b`lK)d!=5KFl%(5l#jV4co{5UkwV#PdAbFFWD(PgaC{SC}$s18QY1ue{jP=2V+~)!9h?$27QP|tt zJ72kGcD|xDt9|)Z`yrC_MYNIM5^814Wmt-AKf@ghY49)snJDRj9Cs#IFkF|y&b7OE z7Vn&)S;(?hOH*0q*fZFpyBPEH&wreahxyo+=kWa$_&ouJD=sM7QWv7Q1XdJ}I<=7S zYIIeR7owyLuPDvrnP;DaP+|WN;kP9QFDHz7FwM+5bpOUAX1oXy;SXCyuo=SYZ#)bX zq{0Kfb<wkW<#LKb~u8ahlk(fvgib$>-X>=tx3s@^a1=e0R%Z$aO* zKu;K#__As?8lC^v{aEhaEPMlw$uDA~dwi4^MO`#Yb|YNZp_mjU^dhx(EQsR^3e5}o zq7S}+gfo@5#Oc@ic872FOc&5afl$}N+Y$*|8)EzlGmOz1dIiai5Jg+Hvp8}maFI@Q zfeay!)WLGo`Wr)~TFU)zrH8>cXn3JpF}pBdJykVNSO4KO!d%mSr(aEi&ig~n2=Ck9+hnYFYi#Vfth=Bh7^PqfJ>ke`hlI1tAC_mEvK)% zwa9lyn@`}i+gD{)9mL-D(Fy2cnddicM}aTpSxNZ4?Qehl6k zdt;7f(B&#nCS>yqnEKfjy$z8o^PCbBJ}FP^?gy!0L#g_g z=40FIj|imVc2+8Vi{7fk%G@Z6xeV8}Sz;0N{Z+@l>1Bx3BO(IN^P^s{1i?=f`R)6y z;(E9974?z!$8gI-jl-t{_#)W^rBQ*5LsBF-`h0!75|(fl7=#_i2S9r?E z_JTqcjehUdQ8@4aI$d#O_ESA+O?enh$s;2&N7;1n82$;JLB0pa5bKkyYv3Z`kF$?q zMvg2R7Wj0{$~TTMRKjrIaF1D_C9eBPCxQT;+`xu!+>h0_U=j^e|ltmoFv0 zqm|dKxf<5_A;ZDCeHl@o3`YisiHHML26^uVMhycGypXD;_?pT5WM0f(vB_Y^_Q`xN ziI5eZnD?{+E-I6u;woOH)R}CM%t$Mvo&^>$*jeaDcHP{EpP(*B`?c60YDA+MC7fX^ zFgi^m40++jRglE>1~(wup_tCNl9?F2h=0KH9gQNOwlM#dcHikgp!0*zJVcYFF~%A& zzg*;D&)Rl9ZJ!mt9)SDan)zQqC4O%QtMl7S zw*Hm@{4Za?X7>|aZ}1v=?;_xX`1tIrLEQH%()XcFy>aV@PhQxIHw1I^H5Lu$&n$im zcEG;xS+C%x%~$4=&1VU)Z<-&&`%BZkP1}fVS(0l2Of+J0l<%3{7X8eumWL3fxf7VK~l;e>;=ti=k9H#T;kHFwe zC1&$fz7`aZA^3u#%N0$T$}#ZGY?J%M7TzaX9>gb}(v3mVT4T4DItgD6BTRNg{~`}1 zqQ$j3%(%{IjM*#};On(~MuCyh2JHOUKch`Ft`mbNR#~&YS{-NiQ#xWsvPP-=aPnM_M98v#`-9jXrpI3=#}l*! zBV4p)2$~?_9yoPELgQW^H~P#w(UwwtgP7G#qqwfw-0Et-h1uLAFt;XEa-7{m7VcXf4`HHW1ctMhX1+yrlb)+0{$t!R@JaHe|Q z$!A#K-S6vf4jI|4#3SiU5Rit^>AdcG$RJlzRyZg88HR*^&)6M2WWM@}yUWsgP}XL3A~0%H<@qNet?= z>h$V#X32H3Whh1LF{7;XedQ<>?3K=qqs#Ob71>q)Uie38&%M0kf75N*Ru9v}{}+Gz zzY1bzFew;n(#rV%sqnw5@cmC=KJNsvQg*m<<#M%h)lpir6lYnl;$T(!B4zy0QCc>)7goYX_`EuCw34}K##V!F z_OOKVfYy9`VpE4)+N2%sS`3$}T5WqB#34%~BfgzWL$!qn)_~qr+1zmYJ=- zfA#R19bQyY`DvT*E|S!^s>8C)jr4)cH?)oX$xEpv{{Tx%hs-}EUbX>3!rZQ*Xz#b6 zv63K_FXF2b(7_#+67!VT4VIYv`!L))LCTaL&E0u*V9ac^2v0 zpw^IUU+QGP8DTee(VpIYAK2L&6Y+IR8jpPZYZ8h{|6WsJEBjf8pxpQB5ldhZ}zj6W9-*XNRxRaFwfgpFv!mf;mE6BwU$V=GWY#n!{cYNg8*tNb%q^(T>8ja&SX>4)yVXbzalm#65lB^Pvcp9q-C|i3S;PmOhD!d?T*;p2V9;y-oWs;J@rkX^K`X5y-on? z%v*3!lL&KjST$bRs)v_`1_2or82K_=X-cluQHfu7$A{;Ow5cygEZ6%AIdV8sKTq_tN@tmf!dZ94bzj>1vyom8x{1$u5_wpC{==W+Fqs&*TdHPKj%j&Y=raF zEi_4t5HE=p^aQ^Oa)K>3&?R10zXKeZsn<8n?SS4p{QQa)${Q_wW1EbNy{j*&zkX(z z!^kzMD5F@Ax@S$Cr>HVKAVj+E{JjcwBCu$|tdR&QakA#FS%=;a$mBhd22bkKC9GFz zSW?m?VDMXay$gw-U>|x+IrELplj&Y$oq1(vw+$2I;*GP)dzEsQNixp+1^O2 z9@oje`x?hQr?(#E%a1FLn78A-&2-nUZ2H;u92+)NUtj~7kH~!CDhc}B#w!@u87fg7 zAT(L5D-=9$Y&mD`S26#rF(y=*>Z8T<@JIXHs9~+ox+Q$x8Qn&NvVStu@~|ZO{3D8; z-iL=#xKv)F6E7q%tf&AeZi!26Qpfm)9<9e|K+^!X>3t-j6kqJ-f&)I_k4mK2wUR5}?m6)C6=m zi63StkTtM8P1rHhz?oNNWNT3uJtQXcyQDg@@^D=U~k;cHW<0&WMR71%d+v zWpjdjX8T9uz*!`=3T$HyhW<*82td^*pfQ z*ti}eIo3+wy9lkx3+&ZBUk58-EJhQjaWWtNKqJ|=tXU|3>_5YOIN?=l%f2&s%Y2=3 za=u3*G>fT0(jK`ZUC?a5CY&tr+sRA2KR1S-muS_9F0aH<2QZb_X{(tv{2sMdNtrLC zuLyUwdt_bC=Bmv_zJI{%5G2mK8=kChnmXV&6)0Wz|PmQgz&G~;%_xSo+GSVV!^B&V= z?99sC*!(4DLDJ8CjyUR{*?!PHe1H%;1r2hA*Q+D@4sh+wS8-qJwBpTIgO}^4ThkNg z1G6D1(rEdbK%ECy5#46Qrge-TP@%4^QBhy1VFjp~t2~(5eIF9;yg3xPBC&8iRJahaZUCqP$f^r8 zt87l8!ipEnxYrdfhGIN| zXj$=TC~FqkLT`wl$l%vCJki8~Dl?-DL$&(pd=DOb})_!TLHX!z4 z<|=tEJrKoNuk8AmMI3mh(IByhIz+stN)#k!ZK=HRDAny}trpI9c~x4oFY=!FNo z@YJF$`=d*nUdMW(;~k3tagFYpW}ayGsoLZt&I63K-_E@YfIOA2y0gEW4;)!)P`>KA z#uo77_!8u(IIB2BhapS>i;q@`<}l%KbN{2XPF z!N=5^?QPEs5TeX!%C7bwpdrRp9dr363@XL%tld|-&rTKI>YAANdmwk;)l3G)zBq3= z%Iv~!P}pjf==N!1>)@(qOX5CQ(@-`^F>r_1P%UJ;PS3S6CU!2r$EL#1` zQ8VG@ZC4Ev7c33+R7AeY#@XRx>UYtOIIRCPWksI*kK=)vuft=+N<9`-PEe}OrC4E| ztufDARqk|t!}U8WZ{4b>?{--ZBv*3V*%N$X=Fv>3&e1$zJUEX+%JD`KRba$-4T$`8`3}O1ZdTHagI95ESnAd%v1T~rPkdQ*U0cp&vYTvk2WuO=P zrS)x0@1CV?@e!FJ#4ctOFBMstf?_qoqxOc2qYvnm(dVpE>VY_P;@l<-u3PW0U*XCr zif@5!J%PKzf^I`N2xZd60M^4cY66n}rk<7Oz{aA9(3>v2P&yL%3e3EP2Fm z6pe9;XSVp6LO=>?9FKq>tB?{R9@rWdeTedbj3{9*WE5(6sM*LX{#SwPn} z!&j$?j@9bj{T|ocU`)CzwEj*nd*J+P$?B|LX!1NiKqeiA8F)@YqTQOqe-aN4ztX?P z?ufI@HC{gTgGSk=*{qNFePJe%hpu_lPO~KsWssByT_lf{$KO*lbDe7ua znR+e_JBKdddiG56=i3w;_$HV$CCgu&h8mGuPG9DIIo)0_p|XwkNm03(SaeFU_Y79( z=DO~9M>f;w!PH?`G5GJk5GQ0N2Z~C^x0>2(@7R<@gQIJ0v0V{;I=7PN(^c8G3?DyT z{y*89piV!f@!XeDCqfphz1w-#s!_yir`jIp?Nejl!@W;Dt0R^+!i&JDkdK$*!kIej z(B;%QM`odNQLplcmng+!Z*dag?HZ@>IL;gY8Ui6`>cnZJcV2I=gxr|BBK?>jT6IN0 zptJpB840;RNQF9P*121pB^@Gnq3E1MKIf}Zdv+nF12gt6=x=r5A+l~3Fbng5IEb1Q zm34WTH$+i2aN`a8bCDsUU0-bP4(UscPNIGjWrzJY@AbsnR;uF)^itia=iiw=^eL4_ zGTCT394m2z?@^<^2XkZ2>fD>RkF(YMNYf9dx#4m4G%IH!FL6Fj9rB!eu?|g#C*hCR z-p@f#+qw1I%QgbGJ;{q>L9IsZm~h$j z5sctZ4muZ^{AzTb^_y-x?2mocXCGRNFWlrdv(O8!0d3=<1*#qOdepwvLxqWJ#sqZc z9D=J3ZS`i_DBE^dR)lA;xRtMQ&}hQ-x1v(WKK&$Z+lPB4P9}{J+k2;+j^m3O)Gb$U zE6!n9d(ioO@lcY*H+Rds_N6jHvGhQx;qz0^U0XhpjYDFGTqX+-cV-X1iU)JW=5wfy zQLCn`iSkvFmDiHcAoMmi5Xa@fGnM0~+P_zw9~663m+`r&a#PPf$cSs(r?!8cHM+bA zKhi{MG@y9$3F#joW{qk%b2!uxvx9I`b|*?txa79r(t`%ul*TepX&660b}ui0uoX^8 z!lBy`Pv31Xj-$R=FS=NZDb1@P5^dWe{~h&uKJ`7EFVBD>xzu4S`AA7SfYjBKbZ~pm$*P@b)$xbsB-6= zt->@;d3DEw;n96_$w^$cMjIboE?(up{+?_>ZGsoxvYa5VJEynz=ksq{+`gF-adyuB zF~QK2LV5BCebTIYPFK0#8xRF!1K`32P%RpG9F|K2h`6h=IU-Z4e*GYd>t3l3u)NdA zbAozbQ75RX8q9d9F!_`=siFt<)o=OYoJTEb&BE_tZHC2Y5K>r>+}2EBE84Hq-RF50 zut7j;3tGd2k=M4$)BUNm^i#d%p@4EdHx!6ao zkDlczWV>_s@0A+IJMYkn#n1Tq0ehtySts1vK|CJ+pt6d-Fu;Fiy&3wpWIed*ex_$*oyM~ZwmG`Cg-PV8S_;jsXCSImm zCQDZ|7p@msD;DN!|CY*JUSwr8e~J#af5l_Lo?Q=UA+UaEO_4JQC!HM4&DxeF;Ni;} zU)*Up$s6<$8*9lSj?$Zqa=$r3LFCZ|BM4#i0eBF>H)bec$0SRUJar`z$+uk=lE6~b z*G_VS7e8lqXJ?#rmSBI;XHUyx4N=$0v_`)I!Z;5WHuN1Di}2{7DW$?!Uk&dA56m_e zO&fN~w(iP8La8`J!K2gD6Kv@@K@^J;OwZ}%<+=UJ;Y^Aqm`?UnU8+k&emhQE=P*~a zPnf)-pEa$?bD)1nqJ3(iVtw0wRM|_nM?yp-G7QX5=!S-Cz)TlWvcwoO3Dlu+3^m$x zJ-#=do}I`q&JvU32}!kwV_HYiZpE*6nr1vnS`9(Ta^J2d5UmFi9Y4hhdhZOSxEts7x@80lqonhMN*7 zm~#+Gdl1MUoRmOX?D56Y<5uvX(g6Wn(7B5sOXpgBkR^K-_Pe!)DGw^`Ki}qPfdFEk z*Ro+>0vYD{%Hh&O&~kfNyW!8$o1iaBTQGiY^TKi#?^3zlkdIBi@czfX`NN-idluIZ z9t9!3e%uxEaTh}04+HtM6W!`1wT?-ucF%3$OSvt?_Ey{9qY3_d)-|QM#`F#itM_;% zuX^{dKkfIDULMHa*L>axPkQ4n{u}5UFBk9s6ZDOj{r?7i_zu-}zQEEQ&PM0ecu>_(Z6y=zX-cu5QIAa=d8zu<=J05vmzq-A~m_66+DT zB^Ub5Hig6Nv*u_G^N8~kmz=XEYYI(htvvQO6?OTPZ^!$Q^kuzVd5^(0d@ot4FOF82 zN!Tww$CydTE|?lxolQUB%&0Yw5h*@MB^jV(1y7|O*cEIuIeY&1{#n1L)LQ>uq&%9@YfOTL{Gcfsb;s#0h& z$(-BAzp=>o_!^Oga+WutQLctJvGy`L(k6Z3adv=J<<-i=n*B{urK@&5Xt`3D$m7mU z_kH_>NQQ;S^qZAz3%Qak!-IB0MtefY#G&mLiGmJ}?I=l!PHJfShk)M)f2MiT=rExL zn&|*h9b=g-Gtb^PDvcc=R5hJmdJ3YTMtV;T(lG=cNp^V35BYP#FA7kykG(gu^3|;{ z>%+cAp2D|;DCjlxJXn$FEHHUJuP@?`^rV$AGTURx4uPHqfCWhZtundDdNQFd!ez4Y zqyd$&UnR*p`L^_1y{J%|7|aO?=0SrwRwU=};q}8AG;U(r{2GDN6jl9i$LgS{pzhDf zzkbopG#mHx2?Zg(h93u8vLRZlnhcc76gtf^|7sc8>nQ6|VD^*lFbcMxb1N|$+~X@LsKB^&VkDRtlgigC*~sKVeO@2YK%v^0#a)!--IGPb3@kP9^9a3Zs| zBead*7{=LIGi~uq_QK@R3H6bwMt=}xZjS&%kwIrtLYZFHaLiHU=JWvYm}VXq`4}E} z3%?;*I=Tbs9=4*!IJ|J2gDjkhHFYya-4bR{?2PDM!*&14XfGst!3ZO|6=#8@VdRYCf|Tm1QX z!YO&R1z7HJWiBj0lQeyY~56+*1Z16-Br7 zVzKThWPBu<{q>u%3mKp-)~vuOG`4_1pedV#j2c{RGj1iNnm8iwH7u9G^6%| z6lCvaYk{{zCfoHGu_R;WXo(XTleG=>ZlScL$Yjhe4lG&V2)nSLzO0p0)6~sKvqMhDxCWN5L^g0i;_E#e%+W)#h zC}M@Ws@WsP6V<|L3LN`U62QUmkkBZ`(@Wb;c_L4OYPkDg98J&Eg{xylb=K!_HsBwq zf&(`>6&w;Oh8RmH^SAdkJtV(K3tljEBz6fUVMyGm1s?PXVb8~MA%rvoUBO3{Woova z#!Tr0(FK$LfjaA!sg__C4RR}LLC;Rwghen>xU|W9P1jvXDiL8-wzp6MR;fM{Geu^k zEx}bTCL*&bICl)gN(06gcC1_*K8<_#wlVo{Jp@zdGi*b*EbRBfJ6ApO4&r34V5|fl zSdox(SPnP90-{S*a4tt)<7#0!P1r3Hb!r2QY#}F#&@G4EPGR%O7x5{kybGW7SaSm# z*Ty(A4HYwx6>i4H4|-bj*}IVM*ex54t`Sc9OIhs$4{A|3M^Ibw6UTO8(H9o3A|j8m z_sn+;I8_ay)WP!dA%Tlcd>25^5CfJ7}FOoNn<14Y& zgD4GdhpiEYVS~*pf``qKlBtz+8PSCFA&Fdd? z`u%rpTO+O1&MhijrD{=~LVN~GW(O~JSu1>o9biyfEN%S_EO+F|7p-D(SV#BzIhHt>nx^%}!&9vs6%Uk7IPrMKibDxd;_iN> z5c~+1F?lxtBOlNj4YFvO@gA%xxt znQV>^e8|6%fBc-+I}A)fUP}NA&FDXh@(kU`yONzxdG^Dj0`OT(MI~^^f-ZRK@lyB@ z8@MfJP*wlP>^q@qp|u)rQp!QsIg&!wMV2gcStbq`!6@km@;Hr?=0fqx14wUqEm=fioAEu*-I_frD?%7 z;kv}Dx9Ad0GZ~rSP@SfliDBwCoR;2zZQer~bD=S0WtzdS2x^n$&;X$ulz0NIG|XgH0_j`q2?1ug3A}Ti!$1lGnDTT&zxJtH# z6mOrmSDe%H`uXQkb|LmGS~u;`k=RGQOH!iR!}#4&=(c_-;M>o*OXee|bdFt!So?f@ z9Rx2T9Cv3CA)Uw$3~4Wxd$^d5pB`NY$`Iq8C zJh@31LxKTzdCGln>H4%2zN5rijAlhP{6T3$K1&w&(A0hzGP}5d85d)YON7dffyL?a z45vaGR(cLGc%_~kUDF;4Rsobna$JS67ddZ@1q9BbzH#;29~v1Af*Uhg=B&O8kQzZ5 zJQMdquxhN@f8qs@C;_#kLq91qDtM8omZS3Au;l96WuZ_3%&1f1sYz@zD!e23_Bvm$ zC?i9IxFvlGuHqnYJ4rRt_>Mic_$~jr%;jyl2&-#GZHW5e zUP7}BjMpGM{0>1-Le|Jis10G{v3uk# zA_GQZ@IT?DoS7Nt%!G9BrwtjD>OM@X^+9@OC}^2MGi?bg znd&k1jrl8{C}9+>Y+H|b-RxyHsTSJG^%~+j%F5MCxcOtg*ChH2T+Y6;$X;*#zJHV| zj`Vo}t67hUkW>OIOfBgQLR?08yMvf>2S(W@9rx9#=#NXbIbUO*@KcwMQ@`{uk4 zRPFTuG78WodGlHqYzLcr@h+U8PfUf^q~5*#anSUbSR82+d8LsGebc-?A8LaE0(LIr z-yaR$&L;$uay5nXG*_v>B{uhYS?bPh>onou=Y-RI*EYfG6TiB0bT{Xv#jNwjWtuzl z;@;?|-n2V&pb#aGg7ayn`c!kwlK8x@{v5r>M9K2vNp3~n)E#k){TgasybO&VR`QtY!YsI7Y3+n2JO`smj_k4>shnO?Iit)NorzhE&9=m>u`D zsSbp>ut!?uCxRX66P@xh;rFzE-9wJzoINmSEnch;X1-6_o)_AA$+;Z;Ch($31AbfF z-MMek{h9%V1koPUC0GvKG-w3SlIs|mNWt|U5uMR}G`V@Ij0Q9vRmHp3YZI$28+kMk z(fDS}q;$3^uh7rsOEn%U(zPi^eA3b5foQ)S!q)+}$GwG*7r$M$k*6`?K;;{*H+egA z>r%PMS>VAk_y^58caloYw9FfdSdZ~b>r%ZNUGs@cJ#WK`OkL;P(jV_Q)+*;Wd9=#< zvCvW9C2tzYBT2+o#n`IZLK?OsNtrfkUAB!f@A{{7hhM28=fx<3BB|4aqeYA)5zyHfuE-7G}}j^%bg` zHf)Lh@i(ih`Pt{{UB#G&nOt&KwnX5b`s35NCp5%KEscd{D(}C=$u4cWc*2eZmY`wa z;k{U8&}h<=|U4%a~HZF$#54CSvYz zSSRdvdV+r&JOCe}+E|nkKvbREtIVg4mRhxJ+ge;?kyUZRw~v^y(~l@-^f^d3f*!8^ zIjB6WGi~!*B74E@9J3>J?R!};-0!}_@%&I8X!s^5LIt+;M(sm z6K4s9wo7~w`NE}UOhUw-jIOWB?IylA64G2Dw78w=_{{oq%KvtuXJzUqc{5j&8c!M(R*N5V4bK6P_m8o*dPpM0_`H)41Hy&_nqO4vFMiNBdV6tJ zr89aB4aV(^)3HY5&YT?(J${R-tB6VM$&L~%nc4GpUS`o*?sxFW(dSmV;8awxGfsrr zu0q#@yst~StJ1YRfFz_J1cPKP|7nUjSpk-=B5R}t9TVE>|fUkhB{YWA$1rF^gBr03tN#$UZ+d0(fumCHUAL>J$x>61RXF>nq`~i)4 z4Vxkrr;r480#b=7gc^Zoj7xv8!Pf+hu#wSzA{1`mdXbKL`N*tnskvaq{O`idY`6fM zA)}Kmm=Q_S&Xf&|K+l##M(ky^*CqDme)p((8utwg)X3&_Lv z&w0m}n(~Jz(rF9AG0sP6)otTSo-rrwiCfX^9YW_a*rCz;UihQ!C1npRFAKdW-$ zSKgZBPSPD<(rMH7=~H^F_i!VF=Jo&sK7B}RdsXQhxRjFgwL2_%bp*%yhqPr zk~-W|AEK^1j-_T&(exHI(h}#*Cv%LAOzmN(EjMxx4a$8`jCuSI%>4eTV|S9TS8fzC zGvx>VOc$6jn_0**lbZR2T|=na6L3&`(x_t#Gy&bc zkRb*iPoAQenM9gZAqpQ)%cAy)L@8|sI9^?@s2zebblLF6sM>-KZaA9R)jHDRL)%=! zSiPuykE{r%6AN?!`1)f6AtnbWn7yD>sXv!K7`vW7j4m53@(cEw)l~?P>&iH-k?)>G z)m_(z=ArX$V6wpp0ljleIw^yxnR5co$b*1MUjo9oT$phnrz26@cC9TkfwCoWp}hf) zW7gEl@OkL(ya5dlg<0tQomM@f-nXu9#Exx`Pxg}CG}uGC{-`v7<996AyC2~$B8S@R zb1ai8gMAf}O&UV%ymn>arl_qpQ2#;t=hCU3FXU1P6$lv)L!W!7IpE~a901R2`;arg z(v~Bec=ehaxd~lftaZMU5mNikFnB(>&X2k;piTMFm{$S;5eQnT!WkSNq|VtmVM%%eaea7UdawWVlQzkMpYTL(OHQ zs+%e*@5{b~^n=GlJ`2TB?G4fiCQJ+@pV+3pFo9k@Zkx^6NfT4`%AXEf`~s(4Pe~Sv zHvh2`DCTPXp$=P;n}1GV|0P#$ivu7_S63n?8@=zCkvhy$DwYfv#MFiQ?X7j%e za9S0E6^j&;6sh}v{X@?EzmSTNnrf4@$AKn`^OD$-?n>BN-IWt*vl&3XF{ z=YnlH%67lDJ=#vu-$&RwsFQ17I>kKTj_nJ|`NvsrbeXwO`d5+T`FY&SW7BTy_*YOSC=k4A7N85dC zmBBy}gT*+^`%ug1jP>#$d^#CKLzYGNTUwa_RiZ-@FZ-}j`*}eq~#6drm_b+yn!ga~$#IM%~LnPSW z$LPkdRZAOir(`nCXv42p3$rgr$xwZNc2Jy9W?Qv>yz>hqGM?Bg3|0F(2cBZoB4$e1 z+5DhyMf1^x2W(TJ%^#c-x0OMZ46Pe~=Kg`#J8Qb9W|hKKUl{!W;+?$S2^Y z&GJN`gtGBRs35{pMKG9c_kJ7_Nv}tpyEp9%%lo1Vy^$K!K{mwma{XxjPveBWKK}6l zA1^0f{ja|UQL_XOT&_ENEMQKW`BL{$UtMJI1?S~pm#DwC9PeH>6ok&z)am;wiC(Vh z6V-W^Kg{wrOwK9h@CJ}Im%E@hdAq*(7Ltms2939`7(gz=JYH()6BLxK@b?ng*)xzn zRweQvTA}ZkWA%cTLIOl#Z!jY^6S5+L7Dke;q`Sjes1cklZtlYMUrVfRsk6bA zQ3-MpeKmfwyio@nZE)NjH02noUPX3)o?zgLjq~RQgm?zrn-}>KmG`Hd5&Y>k*Uy+u z5TFn>F%Z%l0^6L!(OH<0l^6)?9r?Te-ra43=U2=D1Vz*)M*KC5IR*=b}F!$*&7&x9K!ANCbS9*lykjHPhQ7n}TkHeJpwO1%7cb@4uqZdJ%cJ z_gfCI8K_JRm6_##l@tMgH0Z>CWE%n~o-VrtyaDvZ+Md^Rzmh69xgyQSN2A>j* z!;dgmUX3;UVVOzqeJ96wAF!4yjg&Sq{%fN5k6GP6PxSsbPszX7O#azZ@-H?MW$FS& z+@z6T%3^;t$DcM6Nt3^2{j1&O-w<;j<{~1mzx!BBB=;mSC6gpoKAkm{a_pKp)(!ki z=00^(&&CCJ!(t~1QS+4e|5~7)WS|lO4-YVL`;QNoY>fZJ88Fk( zYM9qLY>JAGjwTykH3xIit!~CgV~xf@eY9`$$odtT47=s+7R-}gIuN8)tavPQ8W&gp zG-OpG#?l1ZjibK0Ck$t)V&A4MRPi^g+0eXfhNvvw7-tbUtB$4+KFX_BDfC(A%F(C6 ztoy!gc_eIHyomPH@V_|TS2r))o9(H%9~dY+c$0;0zAq#9*PV`PxOUR;=3shxl7}L- zywzgn3!9G^NIaa1)uDRhx7=Kn2kY&EWMH7ggE@};-niMo^7DDcTO{2x0YaLv<#2lK zj?;t$lF7i-Rp11_dt9Xfn`N+KdT8L9CRuJdg5_LWt_w>h#XzF<>-!b2pU&_>3CW*T ziGBNB4o7I9+s8%7v3`SF0y)7rd(@51mv656c%sVh25~mZ{41uWR%*J#-ZgUwbCNsq=NH5>NE1rE<*=VJ-TwJL(_yCV{l>u& z9MBF-`y^=PJ3TKo%pZa+_kc2uGQ^@7sf4SWsT#gIgwdx14tI!{ z`|tvLT5$-ig*Hy+8D2B&P31$PIidY;a0Dm(bz z@B+O{W%4-!J>1x%e7M$zpZxgMN>cEDLPoJ9Zc&AzY^ijqc4_@;e~p6m^B;3J{%`_2GqwO2 zJ!`%4R$7|Y3WcPxS>zIYuc)Nq2jn}Q_9^tOX1eeQW zL&)WEvx@HYt?V+VuirUyz-93vxNdx|9RN?7LG>oklzsem;x#v-w=A1ana}#xSTd#F z{3W!6V!a+AVc}10qBXAx7yjRC-m#AYaTjOG zF?-+jGKU?x%8eFsifu_Bdm<9l>CNT_KX%f(qV%5|jJ$E2{u&?IPcj??|72ELc zG^0j-Jaxpo&)boy3!G69h14C;VD&z~7%co@@x$(YJ=tCH%TL6Zhq1JO^~vMc-6VAv zrEsdky7|tH=`Saddx3KW87&)xj6lh8;<=O~kJbx#z9<&IBz&v#kJ#kv)J63^xa#8T zh`iCAxJc2%=BcvhKa_9;9&rtKT4P(uo-}3##({xz@od|f`Jv|ITn(h(uoHKKX*hx2 z4a@c8TzQv-Zk9J#TC@$^(vr2D%6R3L)nii%1U15{B740vZ}WSsDgn}s7q*Z1Ezx^t zxbO`_YKNB2vPA7?62k6Xt`UichN3Au3D96O`2C`jZG_-XE}4r0_BZme{KCQdhSBK5%RmDlx=Xy4g zhRi)Wlpk$A2sRGa^AbK@E3}ZOU49P-^&JDll%@4osQPtqQt$Ify}y^@+Lj(e8Po0} zl>FD1H`7b&KN0NJc~nwNl41;KfE0u4;&0TJ@O&Zg-iglL`8BzYPdx|+)xLV{A^!9T z!=e#$Cey6Lfz!ds7W5gUYk&07oF(mn+uFpVsXQaZvke*KevmRIMl3PrPw(&KSEC9rNZdcW_P{J8pNC1GF%l z++F_=`St7_V)yvF`0S6kFrba)YA9sIq=|{hgKA0NMul`P)Q`FVi8veJ{P-EGY z*O(qx0_gRMt(F`)(-X_Yb7A z`>XN~vGNSN1({Ag0VZi^CRBop-yY&A_4zswFDjhw(Ong*MA0dVQBSV6i|DYo-@TEpviFB^T6j^U(TozHccSxw6334 zRx$i7Ah6*xG*wrO$8kB1yJ;~q66th-QbXy0Z)HJ8(cEX>$T$yfG<7K`)W__aptF5~ z`}t}w&xwxj@FRl@I+d*Z{Wi1=|4^$}t7!x{9x6s!|gb$(b5- zT=klcrj5H}mcwat@hs*4Q9*O7=GwkxV?*!X?PfGZhy~+k5n&BSEk+11VZ z;xEIz`z%c~#12?~3A?MViqv@yNxI?);_~jJH4u$}9DVPA5EiDut{p5inSp6@<>Aiy zDHRS-wbwmi6w$s>lb0A}3-$J%i0&%3pQ&2uSGrc{sX=55ojz)y-LPduaizq^*{8YA zfArxW?VX?1$P$ivFST$rFWYU>@Ogcv)>wGq&qx7cUjc5y!*DaXD=hHtWY!mZD6g(T zTy(fUr%t^y=sXIQ9S<-UY&e8*uzyWY62x z_1ED1HReNCzo+X867p_WSu$x&doRY z=ZK44mL*LVSnH5J}>xzRUPAhq^e_MX8k8sosLw@ z&JV{f{7b*hUI1aPxXgY=)7EeId3LCft=@YOr_0Bl_(he0ANjPBYg+Gj(c;XKqI7fK zg~;vr<))$#WMrgdEM3xAMXnU1^7_l1QLhrNe^OSFlv_@VZ%1Vcl7A2@aSRD5wgGFHLHAS%*Anj`}KWsr{?_&+F) z_jm>14Lgj`-bvNB@#SO5x_Zs1ImQrlOXbHZ#u7)u(&h!BrmjN=cc+gf zhU7G+jqg;`*NZBk92w-^WDbE8QqDy%*DK&H&ZNgXgY>IQsdD6E$de<|n)%FPXCr2R zr^@g*9P2ffjZ=ua7K~rO#bgG&hZ(1FxpR@bWOGR&SvtpY4HER&^bm%EM;6~$vmknd zdxTAq&}k~Rk70+WJ&nrFHs?$GH+U$$!A| z8Gjaq2BF+=ec=AM;l0KxgbY_P64ES9qx~{5d=@q8Z#>sKJ{q6`_(6>+8sWfA!zLQx z??sp`YS^tFRlc zB1SyS7K7I1Wy>i`5(YB9E@m-M7dJWMIEc6Xa*(YukUItr+1#rfpYr-ARcaG?v3;{T zyzlDfQfiB@6XuUTYA2=++6Tb=(JrapfTR^CZ1j~l@mPE?%--;$L|9qz@{FX}F2A!H< zu~{rL(IC2fb3>x0<*65u21Nnst0z6SetGjFWj6||NHAQOj}D2M9AC6SINjRt%M6CT z{xsrDY#u8zt34xclDsZN{0G)9o-4T)iC+%O2bx84L^8C|%uRSPAl`5A=?i7M#;*QO zyOCslICD;Lg_8Q&qg$*M6K5<`>-_ZYXUJ=k-<rd`SQo`4}y z0?diTMoPw;M5i0pwWNd z9l}nT3&_9eD#$|}W-ZtnZApqRUsW-OmJnbl0Yb=BW zG|snNF8UgnfFR8LBn%4de70$Vz}Nx|D3&Rb<{DnHud3=y06`3@R26yXlmczwyI#I_ z%x}EcXkKs`KNMlX6xN7#0*_>jZlnrm1>+~&P1tZ|Ie_ypP@k)W_6C9w14xKO4kq({ zDkxH9{s$D#ihtCxHz&!F7Mr#LL$0_=@Lh<7I1%54Ce}})b=jW+t}osEk{(6MRnS%t zdK)>rlywD>C1aXm`cik<^Ia>poN%rRNle^=NC~muOeG3-LR2;S$nj z=g4;+K??uUkeorh1)RF>zzzr&-V<0430!Oo0P6~z7*>A7lhv-?pKi$3+8@rbDH!DL z@$lIQO1R@ZNW6MNb%kyKYjD$OAbw#flznW9pwzVi?N;I*_hN4-h>Scx;yZ<{ox^Dui=PAIyS*~#7Si!SE@;3fd-m+1nW39&fUlrFT5XAL(HpC z6>up#HYHK*6qylDkVS4tYQ&0&EEF#_|66e`5oEflVlLfu1MTOBCb}gq36f7{pM^xw z5--}u`u!~Sj?fe;-gJ0qp&Ire==D+weGhq}#NgFMagfKWsbu_skZGMV(1lar7!&ZP z4nm`G%GLO!y|qAnUAXnB3x6yTYqK$_yh80`#*f6-tqmbtjT!-?_issipBKU;QfN#- zxuw7+ZvSb*;QgD?sZkfT)X3JMzn~J5TZ`t1)5NDzpJtK21!;8WWm}jw<|2Zoc9rU# zFxjxxq}{*dXtfr3$B;JWevnOb;{Kt9U=;i*5U5})aTU5GIGB0l;Gl}3-0rEJh1n4j zRElkp{@^e_5BZV}J<+!L8=?hcGWoh2kXZmP&V^f_9}<@42i*z@tY-|~0b_Yba_mKv zkQ-8nHLa-w!&A$aWFn4*9}c#Njgas+k1hX|Pt%*^@A}HKOO|kxiRncXNmw zF$#6l3%+RkBfaXz4zgmb4M10vZG+D#=sEA zsT71R7NVCXxkcEe`sG}T zp#>8ndbm!I!=5yL&w)ln!P;|@AQ`^l=qJs$)Dg$`HIngHgYOM)i5tATRH0bmN*8fv zn@o39&C2BPyr9~F^X;+p4%I=9%%;}4-*SwK$^uO{kXmx`mzu$Ce_duqr~r_(zved@ zSQg=pi9}ELD*4_JJwcDZ3enHzzc%M02SIi+eEu$6x{TsUnGwAEY4J{|wm&VFiG=Xn z9BD`U2t?-~r->}m(fRgC>(HjDQXcARH0NXS38zF&bN7`$d!FHJMm`W7^!w&Gx5ew){ES&A> z-QR8PAg_!zE`KO~>|Fz#Tvi(a70b3KRipH0ZcBrHAJt=+A6Y)HPh9ZlC61-%?qZ1*y4{B43;8 z7oB;X^tY}9%XufCGKDjp`&K^jV)m@cHBZ&E17KX59`N*Kh?@Ko6z3IL!)3jTRWE&q zC3aA!$*Qfa{U>_niOow9fA@U|)dGBw!m+xfSKH^xNzAnGh5Aom0%E!xidFa9)}X-K zliF=*1($2eaZ9D&OZ2;)j!UP4cfb$pX#KM@DHrdm`s(q$uT~5U`tLSgoH|>2`MvjN zYLBJu#&Qd97oE2ds7pG_PIuaN@5(vHJ4hWQRx!9#u`c5u^BON{hbgWb{5j<_QuX7m zcPXY?^@<8n5MNfc+fHYFD~&*2oIy{~Aue0rbF7@IkngU*`lqy+CyeI!!+)U6>%ePZ zOM6DqDh0VAX2TnJFRN)0EDmuA5xAXo^|I>f9G}V)r#k#V>82~hN2t}gS=A=t``r4+ zr>bZF>jrzDu#k9WDF69`u*P$f8$7Q zi!`9~blmNm4JBQ~VEC`Fa12ZElM%UvnbP1$PmfZ14afvz!aIE6Tf)~{E+gIpIny~d z9;%=-v85p=-W8xqH39Gu#*$0x{Th$cB* z^nR6BO-^1fD;MPa{Q!>J?2tKwO12W4BXmdP&by+QupYj``L{ByOm#{KJJ5Dre(y?V z%yS)(Zas$>_4`g?#^krSs6bDdp_-ZE-lB2A#k>=>efPDKj^6XJGvBC>m-o7{fa=Sc zOAI76g87yE9Dk=mXwwDaGJ!WZ!VU8B0)2*3XPuiGx{;<9t8{`-S$Q7lys_p%wltIoXqW z7-AQycpyXX=VO*dIqZyv1>=YwKRX|#c)^6em1^%Mq7rnSD+(}yrnfKHgmCbsNm8~uq$jPvgfuE(K7j;Iao3W4f6kwT}2X1`B zmnoi>*|H?(?AF*PAxVqC`VM`ch&lqd7j!KQnfJ^F3l`@k$%!pV6{ipyDQt{ET7ZHZ zJVf<91Pxcxm?|#s*5=B^Ow+_P-IZL!XQg3;Uv)r6c^6>x(8&Mcqin`IEMvOZz2vT$ zF?Nh???@}jbfDZ|yk!|IX-}nBR)U4*qF{@1j&YJf<-?0En;e~g{Q3Ai%B*E68CT9U zLE{Z$1=5l?V_emWS4qb74zqY{MH0Mzw#r`uqaD)6#3eu&l6i0R4t9_cYkFtNvhLEb z|J)xDVmTfP^vu)=_Wdc+-(#f-M_*BcEc9X)$~jk8cSI>cMe^AJ7VM4e@U=NDMR4Ar=5 zY*@Q2`VN^P(_ZBgo6nuPumr@T+e38z7vjII!GLy#0xQKgUKu?X5w9XcH$x0r2P+WS zKo;}el!+G`u!|TaU*lAIFzW!AL(;mT;v2t|z7K{ql&gkM6Edj18tE_|xH^IqR0_SY zb^y#%Sh-d7R<>Qh4-dU|Lqy=pX1g@pqP26NFHEdtK9t%6he?Gs#ro;6ebfi&ONWTQ zNXR&^ps;x{$go2sS;J5-q0H8O`DG#^KN^yoEF3Gh%A7g`S%#+3_3usd>w@Zy>Z?nAXM`JiPIh*a3oaJrRsN{;*x&uqsBd z!NuHBmB_|f7AW7B6tC#E(|cKTI|^V>jKiNVx>TRsvEK~x!UM#al?lRY1al)aQc$^p`;&QY4 zkG09hPTcdH@TN*QmXLJ-gxX8##Oz}WxL|O=vr$xs%?^9+FWTOVyn1$75DGIy57m+W zUzB$P?By(xk=GH!2*}3T}ITS#wn!ucvx6fs-OshhMAq?3kS#XVEOk-+&vi z$?{Jy(KFlp3dW}bQxr5UjGOmYU-n^c*{~|1H+_*~!JLtTjQOn?4B1fWxk{od(+FtE ziYjAvSIT9}6eb+~BDSnl&}!M%Z~;I(+p92KUb(P~@5Ynint3u51y8eCT+^M9#}lz+ z9UUWnno4BO!bD;KX0j2u3I|qf@?qlaQ0&e%mcWhuG19 z_DqViJwd$BHDK`+g&|y~N%VtpdsJle>MQ=uDY~MTgBs^h-c#ezx&8yzkOS$k#=kRj ztCe5K0wI{meQ1Wp)}TKfS=y&Zm9y$f{ly_C6blji)*D@4dNdX*d6Smmt9%uHLw9_ zTKoriWyv!4G(5y}vc_QP^+(ge<^s|BvgL%?1H}1|$tObQJgr?n87P;G1qfbNpk%8Z zp~NuUIYd?<9Ntvf|8RT3@Ti}G(hHrgvuEvuh55|s;4C~r!255Q&;l-lTCFUF+O+5&aSi0^1|l3)0$%AomD=8~EGvSyl%mf8Gu zZGAFJmI~cO#h#HFof&tzW~RpI;DqLcsPg~Jd$dd~f!3|`DGD}8`AnDAD^TJtX@6lI*%y9&BoWeR2JJmb>$8Lo==^G&Wufad#o|e8l7v2 z!tu9}AARw&Qyz!tJq`T_^1@pY*O|j87J^4tHvQtBE%H*;(`zfgksHA7V|QK1O!37rMsKyi%)b|pciAal|EB^Kys=%dBm8(-CfaAS8Vzrf4@twW7ibw-mRQk&J?s;Mti(pYa|il zYZ6MJj+-ckm`orS?ZLu|Cpr9Qr65_i?-*3JC1gRZC+P<}D|FSO0Y4*c*X_j*=%71H z06c;lmOHTc1Mra*!Io%`#qG(W^JgwyDvZNxOmdOgeajGG(LMA zy;(B{-O^!i0Hu~z2pEGN>CAkUB5#e}Y_T2}_E&YD+f`=WsWnM2wb=**WuE&=ym~#% zVP3Qd2|PPgQ+JwyeQn9DSJpoF<7V!#_Wp21vw(rswkw2~ zij*&^s;+VlfO-FJUW?p33J12+Q=Q@zfnA8i^mEk2A(4m#35nC@lbU-&3M2E?Hwi`6W|BQS!qkCnZxPAdv=nEC1;ch?eSEuvuqwv! zi0xpK^M38*CqD7HcmADU_kuEI@p&5Dl(`K%FO+HHhi2!x0ptSyH=#|m5R7i?8j(bM zrx4DggLDp@Efg}&W_Aqs5cK~l66(igC)jx`Hh8#JP7C8MPTS8G+YRcJnvJd~%(Oq0 zEMQnj26#amNP}wH!}|EU7q`yVW{ME+xz+5C?Z^~|6Q@tOrf3&)>==hx>rc4WlHKUj z41M`ZN_xjZC@T@Lqet{8sQ$|!4f0mpEbrWg{=wpuUQpTbr zU8f^FrK;pekwlTWh3W_)K83H;A6dzfw?azkvMV97tzsLbDSUQP3bZMJV3$M*c7HW+ z4|0O!xs8N#qn~hgP@B2F68mNP{1Sr>acDni)^ohVR<_Eyvzv`e`7p-WYW8QCk45%8 z&A|~Enc!ubxyrJ$if>l>ZSUVN|6i-}*X{0-Ny%0jIb6qmr6ksH+;R*0jmnAg$NBbN8BlvrQC8 z2i%Kv^9aGQh?g(nX{rQO#V&#sL=t_2{XFI&UQ zj=ngZ|2Y}%e~LI$Ds6X)?rnnH;<^J!3uJB|y#-t7ET z!Pi;mkp94S8ud5F4DiFnLp@}AmUNur(PO!9(ZxTHD%QmZ^xobs2keC?uwnpuH4}?52{C(5R1etcjuu_<1>jun7^|d$GfA*YNk9 z*d5(;r1Huh+$+{M0vCL`F(S6nf)0+f{e%>n5vw_u@AQt(lBThU3o!&5kNOrVV0N?w z&UpCPg}mQ|b09p*TgiF!aWYSkKure^s2Fq(mm%_AJoG+_JS^{9ECAM<;MqiCN38PHiRHL$k>sNq-5{h0VX`TkiV`tNU~wB!!a6fxRi( zui8%NPNWN}=Ar{XGgw3nzKqq9W~I|c;_|`ZL|2u<_~qv449bqs!;^6LOKwsLB#ZAB3#3>;k^tykltQFgkv-Zzdr87cT19o`h2 zHpdV>T{ZA%*xTh4y%6hiU$65<)3C5rW%pnOQaoIiogR&a$eizGuG=1~49|WxG-ngz zDZMt6CwFxxT%Y?8w;D@6-DN^?2v#qYY6HWN21q_bmeYv}cgt-)I@Ukc%yjCuL%jP% zIM7au3dWH@`1U7n-AxiJNnpc@2FP>X17xQmMdC>Cwv#_#^W+`zsn^LC9u)mvY!^>; zE1U6P<6#qW5{toDZvF!}>P4o!7K_6K?k)Hh_{B-|A@@2mLIuG)77tysrkaiP=#4}oDt%|E(lG&@x z)jW}`np$9$z8PTezsIeuJ8^dRw5Q`I5@psj?EOMhG^n&}tZMfb^+j5r3&@k&9k)c5kl5>=RAZdVZNt}MdSGRjlCn9Vf;ejKK|){%&2={{W8rgX1mCu%V%v$ zj@8Xq33EOO|NnyS!M9ahOZxLc+N#379St|;>>Wa|-bk~;RuI(smO7N5p?+>L<9Z4f z*VL}Vrg6AHLnnqwrX3Ay=5oJtme-o!UApa89c*cHdEG@zk!Dp(o>2z{!xh=*o;&4p zbvuwf#;S`oCvuvL&`ca^jaL$W%;oR4kQoziHee5cuY%R;U_gO~Cb`XLx6VUMg;_~N z6LiiG9e_uasUFNQ(cl%b{cK3*)!wPR_fvbB8je~jnd*D#Pk|}qyZtavcOV(T^VTjGb9UT2Q zmyjUDzl$Y+hW>R*;l^^JFYl$9-?r!H(I=V55kKZ`DLiWM&RCVBQc{3u!HEriXNoIL zWupRNr!FA0XlK`9}x>yo+W15l=Z9+TfjxX+` z5DMtv#nLE@bErZ)wQR0!y1VnebiR_60DW?pl2Nr+?sV@x0Dhi&*gZ2E1db0veP?#F zy8~sD7kzEgl%IB@>w{lp(Z%LXawidN{!P)^#U;2LBC&sA;3P!+{zWMIt$egAhDRF? z{WXM8>4p6Lo=V@i6~*NGis$!#`84- z?4w~*lXv(IzX@HA@cnK{Tfd^@w0iH*Zrlmv+@!yK`uf_~di#(VEmF0=Vn$%ambD-k z=ye#*G&|RfZ4%Ik^lzb=Z0Lxt9Gj6FunK_*VS@j6>{9$9;ShrC&4Ox$r5=)z7y8L1 zWE%hnHU;}%!}(R++_+}YFw|hzQ|Eg3^dJT*lPt?0bZOGJPDf1X@C;BKx5wVPqdI{8 zY8r7JyyJ?nJMwhvXYjQ^HzesJ+2s$=>Rigye@CCi$-(lU(r0n}hf&X%*#1eMRq3PU zw9D;iD!|hxpucg+iJqr`&Jn+8@4j78jgGWJd7p_WaQf(9mfzN*qe7cNdo(1lzTN@y zEzHtY4U@@CIE%WLIYH1j4B`@XHm@p@Ix)mAE8wGGGl~A`(+@M6qcVc!U#PjX9FLJm zBT)vwXCoS~T69m2s{%C*rlw{h6R*^`W9N<;`K46(%ca|v87Q25&}bur1Vs51tTJ{d zjD6aSvk(XyeQXs-n-7T+XqSSjG0jhbB+AZDivNzcO#7($JiGv95{_1lD4G}9^fgQq zH&%j`B;Sif(>$w;Wa`^aCgl{(4xQD{eH!?Ad4HU4RT`zF3WSO|EITUm8mtB~1raUm zLLqzz%6rT(Sq&q^FkOxEr)9K(^y=XC?J8KjwoxtSiom*6u3iih#SBRB`Lg(1 zVZcwYY5g8e`@#jzJ-B|on~|e{a~!-Wi~BL<5nSNH{D_7K2ZTTt&0&Z&D5;C}&k%=f zZuTC;mgzX;d1^I_Yf#T+6@Tq!{mSAV*hxfs&aPa=F2>hJ&8I^o*8Bxbt|Jm0L=KGA zpUtcC`a^*ikuK8~lT#~tDK1W08G%825dRnE^N<9#dS{jbLEx98jlbugs1A=Y{ zGV=2nV!cL}UW)r)p5cMmAbqS`a6{$6O-1*IS<|{3NHJIS7XKatN_(s96-;w+E+~fgx3&p7ULM4hVvfoD5KFzf_tio z_yEuRrG>R;+1*%PIKwu;*Y-ppKU2bl71at5g%ha;2pu$EnN@ zHRlT)clPIy9v8@F@O=X{dmeqFpI-v2LEGt{LyX|b>8^1Dr$skVjz5#R!5zOBe(I>< zz0)tcOmRfQ0Td#bmn-?6j?BI5b}5Ayu)Skqy&3>SpI-*-{V^SFuV(|YeI3)AZ}Dzj zzWXOsY%4KSAD=OPd~X%BcY?J&BdtagXQ5UXk+@js_jre23;rfGTAJr>(m6#tzJsi) zp0-*8cCa5JxKcrN+g<~iD)ZhklVojbVxW%_*j*vz<%l+hw8 zoA(1_dPY_S2(DJ+?_|PO1rl<>buPOC`9#-fIx(T;gb$Ed|AV!+fXyUUwuHkBlL<33 zGc$8CVP@uJ!Z_gxGcz+YPnemRGhz7hg<*5|?z^k2_w@AdzbhTJkIOB!8(ihKU1fGx z>{{r~xYK8sAZPIwCh?{E-f#Kr$JR;Aw}wA|$vsP9Rzb(dV519;&>5{NPRbG+M>j+q zU=#p9eP1QX*oVe5#~^u6k-*Y*TVY1JD0t}kFGvp z8=@x$vu0cdClDbZ6=cTAf7!PI8{edeE8KOHo&U{`Bu=sxh-aod)G~{Txq%UXZryS; zYroslPjFkmLo6u_9C?q)@y56Nr8mP|eygMOJ6<6Qk7{TX!wZQz_XVx7@+56BT)^Nv z0lRg8QN#wJ-BK`VRY-m$OevQdQ!#OtbyU-Qox-ScNfY{4KsmR_q%{HSK*mIm&Bmksm zdm$^p1XicBqWv9Zi~WQ!df8^mPJeHZc$=}s%?{ogI%;fPnPDmXetl$ye*8kPfaO>S zksHqRig8q#seZ3TglzfOFz;w26DxHaBax)=#C%$r(_q*b=yO0dqA1>TEH-$Aqcx%J zuWu&EE@+G0Xvk@WdFtdk9xF=L34W8xzee1n>A!jStfUo!#a;*SWe_M2(^^)DT_Sw` zlH&(sku%84yCEB}g@yVI{F=HG7GNVwrBe9_W&>?_tU@)HV+yaY4gfIrqa1Q4o$4dV z&v6kTOwD;@4W-m)jA&I2(glRp-g0?1RzY8gFg4`BnT^+DaVzmC%Ue2DK;esj?CQ!N z93q-UvzU2QVeHn>%m*#7i_XEbOd}z-q92lEQ6~uApwci|TZ~#zEog#pBbd(k_3I5R zrL3bmqnV;EgLA`GSVYifdGw>j-hgoXlueCrNT{&h#(7S1vRa>yNGmHZZlXJ%9)vokbS7kvpXSiNwI^R$V520v;?UgO*Va-q88nZy;+v2ky~A5xvSmx#>lS>r?!hyBt8bPL5a2sr8rGY&BI z-y$yyA3s1NGIdkFi6E(H3IO=`*%|yWQ$Z>MUr@Rrx$FFH5Hr%RAny|B!K2;d2GEkW zRe6QCMbd{=M%9Q^hM}-+)rN0?d8G|Gw!$$D$p@=Yi>u?T95&jHRL?Gp2K5ecg?UXY zKGCA-PI`q~eeX!!w&#Jb_#_Y&YB-Tj!cd2OUVZjt2 z&{Y9)u8h-ZhBALXz{ly5&<{n0I@w!wggTiCz}APfxW^4S(H7lT?>Lm$!5SpeuZE0c z;6m5`d>xkoK%`V11OsHZ{I|oIKMZn*_+y5c$9um_>u|XQoP;Om`*BCp3N5ig&8qRH zyp%YIREi-Q#M6zj?oewklYF|N*LZq*Al9%`Xp_JVRDv$3p+L5Y09h!Fw5AySEQiEN z31-rvL;GapP=e zzKjotqmHMpG%c08(IJ;4Dc7js3icJI5V>k@Fy<&H5pN9P*U~RASjKRrI9SHC>?~T^ z9;l1{q}1L>cn|hH9A|{lrUzJ-bwu_F!xa}hkXF!!o{s6Z21-*NuB# zpf~V2_oKGqvqs?aP2A`=$j<9|@5e-MoA$ydkDx${sQX(1$5ecC2T;4v->95W&e8id?QgvyC%61-- z-&vRudd%gfvY3tx&I1lZ5cct{`6wu0IsjDYByU)y(F(NCdcE0tBii6v)n&7y)ZVs$ zIuVpek=a=eDj31UrS_v_?ZTKv9l1Kapu?Cd#iLt>^sH3Z035u~^t5_wuO-S9H^$d`wnnf6!=_w%>d zm;}T^o{9EY5aH_$^aSYI5Hu}A7mc3{cUk%jH-}LEnDzaMV=0~p2c~1St*EL>V`F4$|duPP$so)-Z$X(H)p-@W#Pm>nuisHR6dF|fP`Ej7ZiQ08X?WY za%3?Z+b|w)0tBwy&Id|KtaltCFWge;z_T$@n{}6nI}H?C9-)cF;4Kw<|8ojUesV&`ya%YX1Q}%lW;t5<_i_wsvT_Eo?^Df|HPuqag&4Tat7StH^c>dp zD1#E;aD{Cl{*6d+#F1u`(I=pcMI4IVktCC-kt~t?Mx^IxTAei(jr@1QWIPa#NXikl z`tO96qiVIzcpw7t--x5}z;8rKj;vKjQxjNCsoQR*#Lddw#ngQ6%Z{lsj;T7e87q`# zZ9E!=m0pz%drQtlFRq}M4;a8;`FX5+#QDaR(GG=<#i)OXYZuD0mtYeq|8Kxa)v z3*ilZtHd11nrKFR*TKsxvUWuC`POOY z?;|kR`q*TqCce;1!cjEIqn*%<^F&&3f6yBxxYSt&dWGsMt-M0TSe4)ovm~wXa zm=EF7>BoEhJK)-&6{N0nq|LcBLwIvEV8tX?gq=`H6e@2#FmpY}3~*eJO=%ycM+%Qi z3~p?q8iL>$4a3i|;2BV^`ze(p`HrnL?lR~Nbm`qGUHv=1KPELdNBGEyH5q%tZ}$7j zgGVuewSX3T7EzjDxC{J{91pPAl0E;|-IllQ9Q2xC-=|S!D~*M|<6Gow95G?h##kKk zc1#8FP8rT!bVdDj;kHk}(h!)jKi6%agxP==$~=0cDwV$w!Xmf>Ko|$tJwp z)Hy7$kjf0WU=l+++6n+-3r z=Zmne3J(zn!TCMa;i|ss6tFV@${9GDxK(HcySmcX{*r4iL86DL>Nk+#n@aPHCj5*e zKP8f#7fvY(qLYT!NZ1MOHNztI znN+ZI9O;)y{9=~6c?|EHNqleCA?qRq2DZ$X`ElRBv@OcZe^BzbDU@1=g%Pvlsd0DVDOdIfL*en3CwD0gz6rS8Q^2iLp_2-&v$WL$55!;bGJF>`zsY#r+ zAt6y6H%Ao>b_JE^ouIrvn$8*4HP9+RC-Rb>cOV{dy z?+=e)+_za|L*OD|E7wtO?H}S)s}=l%|Kk`Q}{Pq0sO_!C$@eI#ax~z-c304w-U#GB~&4}t#H3`N|h zcLKjx-~v+3$PDGYGlVDdr^1aDaxeAA`5Q_Y`j_L#F+dW3xt7dS$j=9-Mm#VO=XK;1 zd&T9T;jr&_4X^z)IN3?5+iLUF!^eOM3hlDygNc7>$B32!0J~u@ER3v1U$Vl?jFyc0 zP6{zQFrADvp(;e2pgezpF;O)_^*7m)O*S5s%*1_TTY54&4Z?Eb1J zZp~u@N52k?)k=?LUr% zPz+<`eeIdcVOJx-F1nH{32f%Iw{izgsa&uymEv^j*4Qz+>m}2IcGQsRyjq2qN@J7Gc4v^NG*lgt9}1s+IrtaP22}SJjez;A+~|N`<9?&vf`UwTbx6OL ze648UG)O1%MrLbef|_YI=ug52PK{m8p}BXTAeU}$>^ea0@0UzaenJi|2MyrMZog0I zKg3G2g43MbE6C{BOmQU`0QqU)v>8ZXla>HT8UjdMm(d+@pQ%v5VRYv+!->};3(>CY z0s|q0EfkC+KWgr|3?y{aswgge)-%T6%aZ*cr#iF%`8jkoZQdN@%M@!A{iq}I{MN?a z=bBSE+i|`IUi*g=J6ulsVc1M(+njkXNKVpAx53Vw>(-jfj>U?xr-Ki^t9jJ4EvKT| z{j>3M-e&KrksDk<^tu`%;q?vsAZs7DXBy~`1J18ws4h(MsoO4_Sa_#&(8pE2DJEgl z=~f$!)@Yr8Pe_20mRyCIQChsT(+xA`{dQ|=N;kt{eaHUq z0C7NTcdHrhxD6FoWwlriY0dR!iK!f8T;dD@_PKs<%{eRMWRoew--)BQ5 z^MF4Co<8Z<4qG*s$N>E64K;qwEr`PX#mj?29{_&Mh8nK;2!g86+BB zhPoU&M6KZeA>KO?N0GPoYqtoyT)!8kk2hRM`R0=fcKbe6Yfr0S(#pBFWR)_g1CcN5 z@#B=;AA5)tW;oO)exF;rr=qS5Rcv1sj5YC97)+aDRw|;to!Aq$MpPUXxdGo9DeEKE z=9DFsMon@CYx+-6UQIFy)Hcf=U}b8(f$qKRnqkGR5!T!jdbT{(ChWJ_Bq}b}*Kuv{ z&Ay(0Z;ON{E2U8FW7;;-2@F3SGqx(Ogx7DoUs9VQl^IvQVcN(`R+)huBZ!aV>{ZyRN|C&V}@W=)|82uZ$ zjzQ!re3o)sn)DAymi^HFPv}6yO@{Y`dY`R+B@XMyJSxJs~ z0%o+$lo1>E6I}ldcejll%?l>;*nK10(l+}W`LQ^brct}{c(ukjnYHo2e`ykO^+XVk z4KR^sa%65AVI}39N^@)7<}WW~CHg5E`TO1K3~9^1MaHHZUn~D7-s!C}om(q$Q5meY^TPlWv^*M0I6y^i) zBx62?`9UH2^tCL)fd#_fD^ovo*S}ZS_J69lzH{)U=l&gY7=KS=L4*4Zgt^aVJH@m7 zT(?txIXH8R*ZZvSDG(S_4N=4djx}2-!^w0kRyDyKcr}(>l>W{dQw)_cx{?$vIr>Jd zJ-v;QYx5+_c;7z;NQcP8ashwaiNuM>o>(EU$6=_ZDsd= zy7SF!vQj<94H`%Ah>*nX)hqTa5zoTjGLolk&dj$PzOM;oAbhrsa%KIka_7r__gS)oJ*)ZT>8n!cv)EkfQ_g@sWU9Xg1ts`}~8XIqS z-(TRy=-v*8$h>F7gtn_pp*>&SRiu0c8$MICDShee~xXJX{WxF})XuWgP~WVyByRFDyB8biH-EJYDoh3Dt|}ZJu01 z^Pt);AbBu^;%vY|Z{FL7S#vY$Z$V5v-$YP&*yqk$8D{3>P+3u}{)O4&9y1Oh_rt*h z+s_n==p1F87Z2qa5BT$B+!&VsxlVA9h&OYp=B@^X7js$uCpEu9C5-W+qflPS^IXNY zP1*GcEHS)h#pTjmHwxWe>UHJWP}?HFDk>=@H>;F`!c8Z`Fs$;!5qWk_+_vxS6GdPFA$(a+-dh}w3|5rHe~IZK7H5W( zc$fO9tVpxqV&nf3o6JH$;27GtElI*6{O< zY@W^WYR_=Kwf*${#OGbCS>Y^A-K;rXdA!L2O+1Tv;K~Wp{7s~QTE9HN-jk*K`HqliM?;jnl*S2vT_@G;#r9P z;*Kn=BUru&NodWL{3cBTl>#&hsULkz^F?8-$ijZG!mVZG zi)$u?L_d+EKQFB3u)~uMDhF8U7(AmO@C80F>v-+K^B+qy=B2aZsY8HfPt=A!z-M=& zKicnaM&{Vs{kYD4LFzYs=yOO1ZTANNKGPG4)ZP8kLEAheZryg~AIJoGiihRB2NNf* zJ+uf`jvl{_KC$HdT=#>DdlcpD_R%zDWHl6EpqWr9HS76RI~kzMhXXqxSVJ@%J>!7? zkn5mYg%RNMXTP!PL!;q^@xq?;{~9`IB>$;*3Dda&*SRt7>7YLC-Pq66@c$gw*b**j zt2WpYOVync5Bvjv3rRJYqO5`N0&SnIpKmJKV14*3Qqz=G#)~buj$tI-{PZz)F2m!O z1UKhrSB?mWE1HwsG_92%eah{6ON$6!5hV31ZtdyKi&7m%BY)ot?{e!MxfM2YE{op@ zlU_HAZo_4_#xV@iUqGA#{I1Un~eCckF$g~f%XuXV%5Jm*!ThaH!*lPoOba~T0 zu|{9(7!^SAk-O=f7if%-6VHo>!2GYZDFTY9Tc++fP(f#s;c6FB+AfCwE0iK@ar=(K z5s#0bimEFRHaNe;C2RPsoxKh@y1F9!PRe`|@`GO8|Cg(USeRHjIsZSc7Gmc3Z=yM| zax<}U{d+j4s%)KDld<@;9mJ<8!CgL?evb^#yBa-4rntip!&*8^^KK|Q!Me)TEnPKq z8|mdHsL;=j%kas!f{ry~_F%#Y6fllynRIXS~uSwh909q+_V0F|}GHrv*Hd zptnO`d!@8M7sLn^-DId@>XZljF_rQ66_%sbe4galj%}RF=<(5@o9Iccv=!MB4fw1&c$RvVp7fjDrs4S`|mAC;Qls)|SRhQzvaq7W#B)|1-1H z;}2C1TYFjjV=l&p$2{()-s?39p<&GBf=O1MY?uJjnVn9xpGl$~d>Vkw>P zA>vV0#9oIUxG#(Fm=fCOpBe{Q6F(Ac0Ljf2zwH; z2ty|qOqBjuu#wqyUi8c{;Dhkq`i4}m%k;b6Wh>i9xWa3-{HFMt?}>-CUHzz zEE@H8Wn4{Q z;AOBxHZ+1g`o>lun;e8tIgb`EF7v^Wb|z(RMoPcZ)I27m+u!N1SI9Om1VjxUF%zI@ zkodj!j9%#G=s6^!Up3LwQjE7szon_7gi(N-!a~IOzKKLLl+GGr=hwy9m?XAB*c%I) zU$)-+PDV7k;h-|Om@N%jpp6}xK6OX$QoE=qDVrwJ_5kvfLh4Km2=~PVB&(=w_Z0>d6 ztcI;7TI!N)!u|kM#a2@>P)yciclAxsv|CMpJd=}%GFbJ&R5XZt?#mN$?h%B1KnMld~M5t+h($#%}qe*=>ASy z>2WQRS>K?gRp%NL)Jc-3b?tI8%s-1e$^LqH*Jl8>ZF)FPKRJ>@b8@s0SBVCr>gXS- z=Mj9TF*ABW>~?(a1Nm#9#z)P}RG2f?88me7(|T^?@ydWrSB?4j$@1Dv8G`uAOTDAK z_KCM5UIypp?#k~!4y^~u@n{Mvr(`w0IBt-&QhcRdjCEESP>WUWksJjknImS)-twLgxzzLE~uii0bc08ep3W6~~D>JR@@7wj`VpV!5 z3kODe(UbF{dBuyCWqo(1;Nc)?)1+0SXz0Y60IK)K#S6X!)y%;f$%GoA zFPd~_ywWZm9gX$!QF82ee`^z4kJ~TJO@*|+poc1PaoN1H?m=IUP8__slk8^(*jTSn zO$u?ap=GgF$iEK3VJJVZGA}EUa0Q!zQ zDV267bJxrL>E>y#FEel8;{G#3Jib%Fj&;f;+g0)oD|57r+x@l1$ylLzVBJBXJNiNA z#mAN1`I4=wGRdaYsJLdndaEd-vTgcxT-fZYtG1D$CsxawI)ALSh)>6uFw;6~p2}sZ zO?1%B!C(;R`9j+G5-eqhY$j*_Stmd{fYz8J7vY~IPw`U}8 zUaTJ8Rn}XvqjSW6#J*?ruA?r=)m#z3 zlQYp_uEoE{mJcY%5u4RZmHu5?pHQy>6w9#7W05M;zl6GNfT*hQQpJJYs&FNxNDSzR zw??bztZMo7ttnvYFnwd8U^!srY6xD5RDM~)P4_aAXp%a!oJPgYe2sM};U&>F3@4`| z-E_$nfhOD(MU9^t|7f_ppm^!eoZUCAiaZUSvhY3(*Q#Sh6#el6JXKt7$R&5Qp&~=8 zl!myxSvz}yCN3`Hm2%Y;1~J>RfF!GvRkA$Hm+7eB7NvGlI^Sz!@rOSd`!FZX>f^ zVzwjMzJE`Jd_2>K-}3;rnq};3m7iB1(w)gchyq$=7mLw*RA(xl_Qgsf95B?rhgUQ7X#Fr?KAVT1s?4kr4y2K#u4&c&>?fKR zSB0#8BUWg+iS(ijw}j6ila+o^1P3!uQ@6%} z5SpGX9^FI^4!AXzG%4~KqE(UD`ph^xPKnZ=dg~c+d)Uoo%8GAtIJS<~VPyeI*V1O4 z{ad(dDs<&7=v;12d3G6=3hkb4#e3yvRy7q7>_)~wISm?oQ~#r_s}gf^g_W(|&2EL- zKbcjT4Dv}_4c6Fp`Z}t{SNe8ybz%T(v$>G?pZE|zP*#=~npb3W2${3Z_(Og<102~o z_Vh5#MOek{^j5N03y9H6?~ID~U{|{IjEd*0%-C1hg!1pCCK=Zv6EeR%ly9ipA;G(2 z#|_R-!>LJSl~iXU_=){W>(l@-K^ezturmC{`HCov>k?AJgSVT*aX;-U$1V17pH=&dlA^p3Z9re5pQrPu_#BYPKr*m!!74O&AAf?O-z8@oQd2iWlk{}z{ z-;8z=`{wmfky+%H9G-kM_-L;l#wS~~gFQ#sKvPfWhHuiRTx%v_D`)0uTc#iP zsgP;n=fgLKG@Mz-@5PYjEQrY-_=(%1YNzUeLDWa^R~*P5{Tv zD*~SVrqz~{bLPu!d7kmF8_q4=>iMM@Hbtg*y|MvqLVr}cZPwm1G2ry>_j=>!)w{#b zzxkne-gIqtUBEDc0q?kK#=KDO)Gza?{zT zwWoV4vIu>8qZ@Y=bC*BG3A9B=aRm7BejE;`DY(f&g3C|W=bsrikeI+kV@Wr*82nd{ zH2U;?LHmQ}>lw{mgKdtZVeIxFgaPXtw_n;Y?x28Rn_)C-$e}ryh66fBZ1^>^yMB*t zJ2kOV(GI1A0;5m=sOjJS+Uu5)+#YOx#=ayIqhm;q+kvZtpZ>xI}|7rGkT`$KMz z2@bsD-IP#sFpV$QmAkvUu}FE}n#0GX^u?km<@(0PV4H7l?r%g{Exqq;+wN12tKGaU zf=S58mviqA?_q@=-@~@B1}RN6g%CC`1Z*ENq37gC#<#~~!%i=cpPU*!JupVY9*z^g z$7vf&KKC;9VGV!<3Twi4D(WWmN=tTp=j4sw4;$GUsE#O^dk338NId=B?aBL%k%%Ab z0Ia}>Zf=L@E$BPE_bS~${=vI5&o(0wmB3Zbl|3)Mpe=`dNKc4CYGcE|_cPx{42D-K zq}6XZ!!Or44-N3%FWN#7wuJT%nLuH^#?zaHy|#7@-#3re=SnQ3oftn1LzhsWP#u4P z3#BZMG_O5EB_=AIB!qD&^dK4~J#5pobK5wea&@e=uj+ZBHsR7s*?s;kACbkZZ5$^R z78;@qlvz-O@N!tAKo)SX3faP!>wG|qaQemZpCV=*!baeK99+&1@YXEHkIYP6B*s7J z)-1{QG@zZW+NPcz&hKaZpWZ*QzcG~7w5+^+up*|G3omSx5(aNyxqn!Wb#S`Zc$Nlh!M zA=>o#*@QQ)QGkcKxE4DWLw>I&hdm2;bCOEA@?Kdu4Mf63a_H7B4uZK2u8$;olcK6muqTn4< zH2c8Uz`B-fPc1%jb389MPFr;_j6hpDJbx5E5BM$EJ`cdKpXQP-cY^e8S!OAluABP; z^1}onu-s&{12(YEuzNa$_R^N`OW3=u7BsY;kC98O#1-52z^lN#mcK-+Lh!&h28-@KCeGBKL(_E{+MnChdMv@HgY{(+y4&%mZB@tbA~(_B-3mZAV;2`T<{_ zUmJ{fxV0k^kdt6tL?wwzoB5dMS>_ZqN+Kk}I}S)R%E^W$8`T+>86ezOB^y&4rH}gp zzIUSt2y=1fee`|GXN|5Zw>RgZFKm?a=yz5E`_>t^@Q&+#TL{60bVv8KieWP;=Ag^x zx1o%8h%1V|pwq9>p>wUAHqqQ~N0DL!=&})n{vKW%qz^lC*Ev^L3IJpcMiI>bc4k2Z zR9FqEe8oW9!f~fwZ=NZJE`m>|*I6Y_htp$5oR#*SGOAUAE9BC-zvnAY2(j;7D|k~o zvB%evfXBk;*SWWMOXiS&!IU|~)R*j>REu!zMQT~HK_CGbUlnWWYkE$qL%8-bx-8iu z&;X3Dj1Dl&s+#u>K>g~-_yNOP-%pmsY zesR_86r(lc!>pIzzC`fT_?3yDfJ3phGjr?mc&2wzI~LVJ|8Vj|jeoBTqSxaaCk==g z#+P=w%3(Y4&-mrRZyiW>QVbj_6Z?}xfm=hk;wkLQT;cD%&bO8^1W0%uGT~+PWt1?B zk>+za=Bz7~WX83IsDMTWJ4h$`*lq#gt`K|tGHbG|O1^#MtB0-&3& z>|FYUoK2~D4 zAm@$0DjF1>qe-mY4*tzFLa|XAyCxsb#*>TKj+$>X!ivtM6U*S()(e z7(=UOqtdogYMRfpEEb-V2`Wg25~oFsP{;ZIQDAXy(jp*GJO33;<7xiFPJlGT&rj$0 zW$D)w<}pd>j#Y-&?9L+Xw@1b92H=(g@=3XWdyjW7s_f&ydw9S}qx1D0Xx(>c z;G-&&8LeExgkDD}2R~pX0YckW*M@-YYka=J``HxMsn%~VPh`9aFFP6@Z5n}>+77EZ zgEO6OPuA+vPCLQH*_htTT!L5})lEWgluz^S-i?TOreQzz0E6ulnCg^9us3H_8X@SG zM&p+wa9-K~MoFN3=L-AQj`4GHqr~KqRDSM1lCqEUW#^S6+6y0Qp2DwixwAR!R{8>a ztX=M)18L56XGxYz)N>Uo1!|>Yl?uPrv%gpKkcZYb>Un@}lt4#W{|b*&(FZ#_vy6YdkyVrSYknnwZvY+Iz{Jh0WlMK?5I5f+&5#7sqa#rG&o7~ zVRmKh063o*>qcMq@Y}|Whc5VM2sEzuERlZ#7{B}gdU>k}JB@EjfhLT4S`$s;6HP;S zy*&+>HUP#eEDwGL@~vwDWgcUStu6V^%*;|>UhbOn_vbS~l^g6oz=HCul#*_b>?x*t zIkUGnl59pO_vg6|#)>WdSlN|lqerKgwrzq9EzM*Y$rzj5RN+*EOyaXsPt4XG`=0lr z%#zNF!B5XIm**ukf8Wh3!TgZtuJpTo+HcdNk-4Ri6B3gDfss2`0XoK`f#4&$+vOr)*Af z28rgLcc*tp-QL!Lr<3&0p#k2R%~a&r;ABAuynm<|qv0D#e^cQd76 z)1$w0@F@6p>b~>7@VM}DDa_*leA#@c1HtuG4h9-S8pkv(rHFSL5w9b{zxaaw=RQ`k za^k=6S}`wsRUG-KeVMtb0q#4dhjT~r`?>TF&jP0D`n4-hwVAhXtk<8JJday&djVVf zkGmo1mlc!GC!>XmIpo-LH?^sz52x;K8`cfi4;+o7Q!EAeJ^h`_t+t;IoZU--{fsdi zy#$R&&vmCOC}U}g=Lh<+nr?>cJ>L3M%&)naHoDA@YgbvxzfvEq+=IsmUz>A&^!j^Y z4Uv|jyVYJFMT)-EO0t{YTX}8)O?Yj{yTVx%&d+NIG_(UCq22vmw2j^0cQFi0TNOtZ z&N|LVnn5i19FCn4;xG(BVp4KbR`mqiAh`?yc@1Zd+9vM6)jKdG8+Z0m7Mx~T2&FVmb6Jj z!mufMv=v9Laf`r(eVVEJ09j?D?Dk7tr%?iW5qT#r#o89rScOA^+74M|kF>gPV$} zvHxYmZ9`v&jkr_q`n_APH($AV8`y0d>t&vT(a9f0hkks{iJdA!)!3{>THU!ystTQG zo+e~Tn2pw+jWic##-8;7WyCBNR`S}&~myCSZAxZvEUs;jQicC4*aAh$+dAgdxA8V1&;XT1}11up-M;}2fP_t9g3 zk3Tbwy3k}wPcO)xzxhR$X*DL+9vI)v!>Qh@=Gw!Rg52x~N?vQg zi{C=l@$2Q@?3E1Hn2o{QZRf(^13N-ti_k07(7~~JXHRCvb>WcF6q2omu;A}MZ_lnZjV5Watm;yXoJk|C)? z6e}b|KthLHpB&~fYT|pRnaXlz5OL7!t8XTjJQ4mkWz_%mX`;^n>VqX%8XK(WE7B`( zt)i>y%A%8+5dI4IA_`_LDTcmeQM<$k?@g5Fl9Pod{`;~pv}^b@&u~5=J=6_2))425 zm3;tDz+9&vvo{DR->=pJ&@O#Tl|B_K)|RDqW(QCbA?!XP@e^~L*s0|ibZ|0|ZJc0A z5ExXC?}FspGDMRICRF6_wznW~4)s4{{>&70y5HvvV=7~`gbj$uCco;CUf#%;(MI@OsyfX^gO&Cf31MSKv$lI zRe=t}`0iH^>d-L~qB#3-kKVQujjZ_M<=#8_bdTH`;g3XrFfbw5pS$R0oV0aLJE3GB zY;$okxMbp`26Nd%Iq8D!w_Oi7HHRHsA&rFK$MACMn$ord`l3xb;BHW}`iXyV#>m1k zt*(k=SeyDojJ`qqM#3in>5NKpKj7*+EUR{b`6=l)6|d?t`crao3FW8j;17II)v#SR zDf=+sG1D3zNBV%2bGS?D+Bib*$y&gzmpR|sMj~?*-(ckg6FZphQ*!I-=HxNSAS6~d z=0j7R!4QB9iwQi60WMfiGo`-)&7%$havx5G!BIEFiVJfNn|xY~LFj;$JFVVvR83rBWWJ9K%zA>~)IX{DJ;u3)i|Yl$1$NjnYN z?iw3m05`1pZKkvXM<@1?xvPmfFU8+7URSgfp5r4a5#GD07&2CE39?6oKE=t2_*>T^ zPkdHaG6!WLuzPQIC*?F4HAR8I8X`=p?4N(VWOpS_FfovA zlKSg4eAW3ViVS5TRya!uP*@Ox;}D7reu3h!vx@=Ohi0Bvv25SeX#oifgS^I>>9yA5 z3vibQHSX7>EMLKC0UQg1h{mrCZaMcZL>)o3n`ib{TOBVoR?qdQ~%tw#f)FQBz2<=)`K*Hz9-F=2qz?G-wlE&J=AZnXlCn3>b zcAR5WFuBPp7rg$R2;>GeSar2Fkcz5GB7d@k=@@JcEv1-f4Q?^80hQqqq)WUX?Zljc zNm-Z+4U8d#f9N1xIUFo%IGMkCvXUhm?pdu3L{SPvzci=xN1aOi40^q8{=oQ88VId; z*e5x#0BB_;6i9SfrI9NSv`Wc(xNp$APgZi0Ag|>JhR3DY!6y2CN5X%fsb@Vjx4;4@ z?-ufSK%c08QO03~n(0%@0E;rp`*!pP zE`S3eD0a}R2j%=3rfS3nP$o6wuFdZ?3s6&=DOKekp9aLM#F7Af(xw3H9A9K}>Z)CT z%f+VSgyrXq?{SXJIR9guHv`V&CfU{PhmYapCeCR)#=Hx7(Mf}3EbdFQVfa?f!heqdxyzi8|-0OFX> zj48*mn{xLGcHFbTIj5~%il4Nnu}V1i#izveMt?46TP;H zkZA#v(3U;&3Mf-H_{P%akYkw5C<+N8A$84Y_w-_zC1v3T+o+3v$aa!h^&Y&bY zp*`0{>4!MwI~zWjh3#X?Lu88w!Oz#sGXe<`1NYMv+6s3%1NE?pvlN76&1ItZXa zk;Mr9O_{#JT8kOV98UIa0kwxPZL3Zi0?T7lQy&zHAW5Vz5spg z7f~>{zO>LGlt2sqld~I<0EQzQ7)LKiA#-jGj88Gijc`OCCMB4k@a{RvFGUH69wZc) z63Cv9Z{O-b{|8-f0T#vg{g3YgyCATXq)Q`$bV;YANGh$;-QC^NNGl!Ek|NF0NFyNK zDM*7f{0H9k{XEa_|J>!C*NJ=XIp@yI&ayi*U^q@W)g&4!CzNFqHrokse!OoMmuG#q zaRGQ~jVvq^I*bkehDdntH-n3OIss|?fFRHVn~|cGL3Vc^*+Bf7 z^!3Dguc@?4USNVuygin^5%?CQ1dWXz7O@ZL}gWsz=3(JuqZOY-8; zU@Yh={>+TcJ2iwbN@I>%%T)Y_qj4|iOduJ{ayPOe$Xow&y44}4Rd6|;uI?XkBndpm z5x`6HAz>QzK8;d#KAGd;L)0eu5$VKdTh^#F)2Oqmq7SU}%N`dD(+ z<9RGLRI(Wv{{g$CJqx=ibAhi+5iRvO4KVKFDAbIMW>joZx=kq-!-l3X5m4a;hpl)^ zL7*>e&)+@Hz^mxbF&bjF8Y~sXeJErv{=7pXde#m{85~=scqedwnvFzF7eU<}M$LB; zIznDCPBYFOo2)8vC@rf^{XyhaHD1NMfqx7~KzDldsu8s;RW?3KG8jn|DU+RZKqj|8 zeuNTfcycsZ5+R0zm}XOP=BpCkObeaI^=+j==g?;p$J_jzoV8CXhW6Fa(AaqG{Vz!_ zt;Yle%2u(dD!DzPAe>4&K0ln@!C@-e2eI*q#FUi%K$Fm4c_^tLfxAJEXBlCtk!68H zJcasV0sxqQx{t*-5GslP!dgm>28_Ubs60arO4g&!?!XOTSbmEEGIq_E zz-f~RjHg-Sh>b93V#6iW>Ua^IY>wnh@}$itI`A`1lxN46hqzeAwS@@zjtWRQ$5R|F zDuP*w5&?Y*V9w$i|4(7#@AQNTCd1_HI*RZ?{pAGC#*f3u*?DOQBw}mpLAt@Eq1Dp8 z5h%7GPG3|s5UwvZd+h^8br4&-8bJ+pFvWLkAd<$h$_bwO0^7*b@UX|j z5D=B6WHTk+cU(~aK2Zf3kcf}!83v~|V5>uLLk-3)9K{0#mJ`&ymoe4>;k4a(^}&65 z7WSBfdOi@Cza>;!@&g%=(+#x>!S?_OeYJbcNex2ND@K2VJ8?femIW+|=7W2QcQ=hD z@n6$~Cy2=u{$}7D!(9KTStc~=vi#R5bDt;GN@PEg2H~jPw^x%d z<9U@aJ{GLv>gqa|Cj^uh5XrAnLx5GI1RH{IwA?r?*K`@P8G+5KhI;<4fQ-~^?|ZW) zLIrT+UgSzZK=%ffdG8Uf*^|$3sT3c-x?jM;SJ{-@xC)Af@9tT?xV!B_W(56i<$GRH zD`gaT$>!Pt!>=Uud6bhF?>(#BPXnO@J}QU@wa}Nzj-3Jn&ceeMB%oq<%P-N6*NM0{ zQhIVf!uXyEPLz?t06m}VZB-kC(;bezACsa!OzgSa;wQrxjKI(~5^HMUo$TKw%LMt7 z)MRr2`{9fjaJ#cwoop_C<)*|?lqdGv?@{DG?ocAla<$7#U;x3-N)WuIj!8DIeIKe% zy+Q-*P>lYO?+ul*$=?7W$p@l5)I40Bs@2V>$&|zU`F>cF8Z`|qoVHE>{g}hDxc~b= z8EU4)zXaG)fcWY35@sz&Tfk!pyrAy)Z7UK@YShAs)VYJ#TTh=)qV(d`eURv2Ql3rd zNq-#HfM*sj@rc&0%5<53#E?$qcPKi^zCZKLYzwZ0R17>$kDX)%rciXI)yRm967Q zRPD;_)sQDCOo&w`8HtY-Apw8DB}(ZKlX_w(Ar_p($&_jm1&u}O8LKrmf6Hl9oWk+x z_m1xf8-A4{G~xg!l1O(k%ked6+Sc|dW>H_RDQC8*?+8>m=A64wNdhHPK1)7pV6hgn z+vtq3T_=Uu>2nxIyFdzK0|!%_UP}MYo0Psv4$KdQbl=~0d?+mL-&a`wZL&^j^29vt zXGiNkT~~9v~!4+%l&42c-SjZ z&q44Z1*HDuOTq&aqe+H`RlS`GsYd(da}tpp2N`nJbPtWcOQ=`cJsb&;E1_HwBNFWJ zr&G1fMAv@R8uZka7DAD`Xot^q<*%p1wvSGz)%xKn{e}M@rrafTQuUsX1n6|=MwUVC z(n03?%Wi3H@7vg^!ClE--~wH8tZhR=*V=hVT|DCsEae9xj#PQ71?Kdj;r_LMbz^+^AKnIW{C-a2Of{og`=m^1Ux_zJ1?OqdYni(j z{F7{@Lt?-Hh9oY)LYm&vhtbP?daf)%_3VipA5;;kn9>IwH6Gnx284~+?#CEW8D0WApOFDB9-gY;$LIJ0ylVkC-F$-YH{5G^+aZCX>=zd;)! z)GrSTwGd+?)Xxu+F{2{Y3UwotO`oK+fSu0^tHP5&K z&#_1%kzpxEw!8ojx2VIJ0h}ru{~0f=5Jj6|BtaG}509=8MVtXQT2>*hLbZ6~ywwKxKW$Y+DsXgBgFpW5 zN(niN2@LUJ!KK6qBne-3G8L=N-O>UruxQWH9~{$u$AagDDp_NQ7pDkimoNgx&aec1 z$ygL!mPXYxGy2Ui|1YG$MfiT|=J<@=ISlCa%kME3!O(TIzK9`K41^=^`J2L*g`R^| zuVOWnrxK7`66)i_26RT|2tKvEN_tf{m9;FZPP!4+^7bHV`!;{;t+UbhSjJ1n4Qvk6 znX6}()sG8Clwc|_Q%Y0d8s#F{0tOqJ1W3VcbDEteb9$=bbmT)rSJ&aW7c4U~$xAvV zL97vWtUr`l?0d@6Y{bl$gNoZbSI*jI*Yt~g^m^@=>g{8b><{*vWaJyMtkqUi-x?;r zm8oDM?&a;A{E^~(ndovvM z7(&{zI7j4o>2IV1+74g4?bKiOXVn>A#c=klz_tbZu%lD9Q zrt+?+ud8lcr1CcN&f12c9U}2+FLq)iyT%&$w4$<_E<%9s)>*kph>;sJokqnCXntTD zkm!R_jPYqaq{MHY=Rc$I5cb?D@6-z)9pV*2lIPZC=FAq6>5VWINL&h8KrUyW6SZ7A z)h+gtA{`%IGjLV;T58IZy-}G|Nt7PSx$HHq)VN%cX;q)o5t^eo^K#~=!AR6{6tDWh zY_|hNDvGw@(ohd!`hjbgrsH7f1Xro+*NwVsDJC(KRf~gX5!l*Io@&!KSBX2{##)y1 z{5oHvh9?mcvZlWo{n9xhNI1v13x?Bp{L<^-nI`yO-%_bkNz3YF!+!^D0F#3O4^joo!!!&v*E@@GYHc?;nyKiL2%Sr@rbvzV}@HdhhI{XCY9KwUlSDOAMIuQ>@P#1DpwUH>ysAl{4L_ zjdL=rKIiaRi;)=}3euxK8`aX>n^Z55?)-=l^lx7o{rN&Y)9-_sR1L4y(wW7O*=2)O zl*nE~AD^k^O(GNNt_)Gp0Hf}xx9m!Kg&P^TYB$uvr~D0fQ^{5FwHbo5@iuZ!$LDlH zMDQX|~cAD#Sedw%=D6Ox<6qzpT^q#scxZ?)ZW(dJ`ag8PP=NE?zeJkE=?`^5Vf)akg> z+>EPn&G5RkN69)*m)uozx#w`Zv$F>2KZFKD`CU57bRLh-;<|j)QZv+P>cOH;k9<^w z8L492Vd6TjcFD}6;{a7EG1!AYS;@nSUK{4$85WR_D>&qPrzL7u#ts|ijT83S-v5-5 zz7fY*J^3^(wv3&7>sX!F$$$sGoiy6QF?~`&{77>ao3u;p?MmQShrpv7)i=EMXeQOTofDPX4WX`&R`YabUwZKF z?+q8}Z>ri!zm>LQ#&3eOTW>~O6>HnC#>*!)41}h?19uX{C=iM>hLh|_-6U+&wC}zA zG4APjsoui(UQGOTn1H^-CKo%6yPqadcR#-lGCRp%w06?z#Xs~EA0iefx#;k#Ykkaf zxR*zV%LhVlmTh($AAjj`Osu6D^4qSgWeMM|?AQYjeu@>M_+#(tnJ>LaZ#|^ralBKu z)yqhEPI9g;o$UZkdu+UF;`#(vXy`jpcS))|6kspCeA zpS71Bzx#|ws%kubR&Rtm`2CIwXjc@_5R2hKhAj5b)WlldQC6_Th|&T|<%OUd_&~8nUOc;hXge zEj3IbM&pi(TD5JX?dd4A4@|}#Iy@~QpNn=LmGF2>sj>DGd$>rrvRbF9c$`kyPZZ64 zHFqg}q`*P5;4;ZsRo$hs5k<KOnZSv_8XWxM8 z$e$lf6Pg)c9=LQ6|CrOY)E0t^x|zzc9b+fQGFNYoyJ%tq|AY-4qE&UZ9IWPc@o;=V z{1K_2UaBG1%H>kP(&Ox-G^n18AFbb%=dkP%X@g>^I)d!r(t7n7wij}67npPTs?MOQ z&LD>!5wV$awN5gUaXB{Qr5|A$ezY3lX?4zM^QZ0F=1p*KpNt#dQ=3Q61umzZyC1($ zlDH1f6mAd)Z4eY#MO4R)LZde4V;)N?p&Ajo;!eFv2^{#*n36Q&U%QpofH#V5d<{&=hvF3- zWLC{Jr4uaF*KOZZ>T(<;y{GvJzckKyEPr`+{pI2H7lLc@=w5+|`I>$5nz#Ua=|^!5 z-VHf(J=!cD2PMBqS}Y#57#P{#Ld%krfkQ^~(1O*QFWdd(&Ky#o!4216ug{CEZ;A$0 z@!!e~IZFi=OMb-@H|rof=$J2Dx04n$aW>%)cqX*2#@XHI!Tpwp!9E%Hx-O(KF3(Q7 zpTpT|@^p0N$ht=0+oYoWMw;~Rjjc5;+>N1a&r^Q&9JaA1v#}XjM;E)ov)+7_$|?)7 z8QTmNE>=#IDCuvu*{4HmJt#P;k>$4{dV5X}s`+R8gl0GR4XsdnI|P=m$i6(ons^lA z^mMoLQnI7WomZW&H+@Yl#6t>|#Ofh#N9axNNl5jLEzC}X>^W^`1b0q*4`BmyvNY)~ zJ{d<@fMX5GdGqLmg2+mxNJ|J~Vmm?KQq!t!nte|t)~P?%1VzZiR>k?tH{X^fe_qy_ zkDK?0PRLBw(?sjKVD6;9E?a0v$3mUb;#|h8`{vENz&qQ(rxpvD8eE!P=DqrwyA)!1 zNm+Onb+vAYj!p2;WwpoI+K%@dZ|WOT?G4ms63)ubuhi4MHP}Bw_Ii$%UtjHi6wc+L z%RYXP+H2ZW^DwPu@lytCu|TbbZ9VY%$@{J;qsL~?v}&i0dckEX4OQ1 z-^YHvK0JQv_K`+^`I^j)Ntl)OJn!kD3%<_E*%v*hhab8_G#4HY9ICrivYdCXX7ZKC_^4#`7oGcc+XV9Rcm}%Oq3&1mi)uw#lY~Idh>^ zOI7{HD*~0%Ft_gZ++_j&>*5w%N&JwyHkVbKUxSlIQAu?OmsLI|qW<4=0*9PfEp;eM z{MRQ#s$SjNY8B)uEh+g`NJD+5P0l!)AJa+ZBYI7F>O+~_%nm*#jaz89%L=S&&Bf+% zr`!%y`xA`3)C|iP^sltC#C@}>fosK_d$|1ZX%*>SsQqKs?bya?bIF3mzPB9$mBToi z?>VzOYs_I*HwhmIj420Afzz{=wRN|7LHF&rM($!P&8*e0rTQ5LlAgN?a}PKPO1JCK zExz<77FVyfcnPYKx8OTniL`iL{ux?mxxHu?@wx5$=5ujy>n*5QqIrEVGqfk-Bgo@F zG##y|sB?4EU~_xDeS7og*7!Ou|JJ8g;OhLcY{C0%?rLb^_Nx0NS`%>yI6B2{x&0HO z<8#(+BXV;v!vMfR^G!!2T;pam+UIQTGRfz5NMzym`f#u1 z@>e%7Xf%n)`!*@#=4_|WLquf5dnUvC{Gd$as$CIyia6^+`rbuD%k@di&51DGJc-CK z#ZT1JL{GNc9qenE$Ry{CNU|3>1$w`*9I=lHvXAq%`-Z7^*GgK&DanMu#s=r00LD7! zt_w?-NuzvaKb|v(z?0HAtNj?Sz0V>%DW~&$oW8tx3G@qtgU{Ac*hhD)Q# zvjLO2qnn>kTr;4%8Ql&p6Q%M?$BaJnKJwxFL#MO(pRv6{KkuAu>^UtgJhD3zipGhU z<;<3UowXxgVz&@k^CMBe9$Dmo@0|;9_h8^xGUs*Ojpnx6#7BciQ@36lvoXW7?WW=k z4ht#VuX6U@{4mxV_j0!r-s2OVt!~bE1fRSX_JTj~JbgF0vwWF9r*Sx!lnAdmRhlVi zwJjs!d6qiE<>j&LRbM1z6>Y}yr&=hVb@J%qS>!kJrd|vFH$uHJ?4>#6b29R%w5!ge z*_&=YirAS$D~%aw0@{GMtG z(?RH`?FLDBvku{DjQT8+r!VCZ>}1?>!nYb8BB!!jrlS!$qo)4-{p@L!a;E8OFk^hh z*N`|nk)IdW&2OXnQ2M<-VkW=jXGWGANSz0*hG@S&v*S^2KiQnx*G&U)P%Vj^X=%tm1M? zd4lFuLeTD|*zk6Jp69E@n<>`UswN!Y@#{uTo*5Z_X7nscS@SY!5X?C(wUUiO*|Qmm z*1cjL!*y?g@I3$Z%{JJF%q9gL?c6i`xo_3Pn+Pf;uW$xYvK8hyocGO=4;ndbUifL) zM$u9&aihkexn$(L=(leioefgn<8mD76?pMR;!q)*(74~H?u7_Nsa)-6=1Dny<2Ty$<XyI$j-vQ<;D5dSLRDIE?rHsGiFTo9Qk<4=L;>YUpGA*o7Hls`&g%Wtnkp`jmb?P zbE0>?Y2CqrLV*6#ZMu8ycObaP$=Z}r?4;wy9K5KgOr=%q+Ctr zA5gKRFBHOA#1>u^y@n}*#?7dGp*NrCE#i7kLMvA@jebdp4O&(S{Q2C_1KyHY;4Ux zKQnMuJU`Pqboz20{_U!GVWw*6w9n&EcB$p}Ua7J>-~3EUhbX+E9K9Ua=tc(iZx1spYdXc9TkyPVJ$xGjuh>;BYB z`=l_`vhJmxb%Fl~cCvf~=HgLJw-MNDAljur@)r3fVEV0zhcTU|!Kbe77lMv-&kDu( zcEcllk?-NmiT1IG!6|vWgV@ltZX?nD(8k>9+^au45Bt|EPvU$6{@QWp2NVoqvmV z`|(12WB{v-M{cJaxnuZYw7^?I_JfZ0*HwsovM-L4&dby9&FIw9h#i;dLxt$bLz5kr z%|bfKu{ zq80YRyDXBM%)(AduMWE|uWpYXKcV`-(=VZvmg!)ce3<7sQF zXIzKumQBo-!U+tI3d?ID{brCgiBn+gw_;U?_=KY=?kSh|?)!qs@1OEJpi@Wjqm&`! zv>em)rg-&+gnO$KaSg3qxL-Ln@J)G7BINA%l{u=wnG^)xZ-#mvi)8eYOsndFgX)NP zO_+YOZ?t{Fc+EJw$rj-*RidrV>#{_15~0CdK5OcFaY-+IrYnR+Tb!cjw1MNeVEV=yS#_gZsF9VgCJeQ z6Gb1_7`>p7&PP$Mznd4CLyo2$JZSCqUd(tXWIxeUq>*A$;C^IZp*lKro3TS=8Ojzt z@@?BJ`n>l@rG=}_{i2;vTBjsmh+InJYk6r-)7Yp&QsKrCyL~GTTEoL{vFU#%8+1c+ zn?^?|6!dFb$`b-LdpBgR1>gS;@ylf?jZatDf9)o+> zF>9V}P5Y^>bNk(Qg)|f&Uu*_t#{2Mv4bKi3mEsaF_ZIbgs%aag=WsBNlNxAx)>_FA z)vMDV=&(>8m+ZA}X!s0Pd|)Eb?DZPhdDM68FFu^>3mZM?#0v2BK336?A|VYYM6G8w z<;x5JZfoU^!}c?22Hn7`R=w=0zxAUC%cGumvW$3@^DW9X75HG^d@ zI!Q2fj!tDQz-bWT5=$-E=&oHUY2Gite*epg^Rv%4OO||cU@l~(mvBz-*P7OA`}knw z2w8a>SyUu>I^u-sB^jBpHwOV!>7!*7$_qg#Ft3dn~9m_T3)qC7GfzJCIl1c-AQqv z>&-F*&h0Y0=ny|E{U_~R{|%pCQe!^Mk)`_s{P zYSDyS^ri7u#!X|^}x#$OwI!&FxoQm(T{pJY-%9a-nN$ylzr z$pAG8&o^`C$BvyJx|tKI4y_5sdmED~flo)NtR_foiJ3g&n5Nb zLv-SQqUh|gG4K)Cvq;u_FdnJ&w>{}ze`fWkeT12ei;P8xOS(I)xdkov!KRY5AFc6c zaigx6b4;h7#UT^?SoFSXxMc^vVWw;A8xtETTkM?%{&JFr>t7k4Sg>G5c4)aknbGCY zSKQec=X1}jvfR(=AI-Dcn;;c5$QqnC)vFnmno}noYaS2f7sHH)i>=#{-7Fbu2vj&a zG7JYdB&^ZZ#{V$5zw0&Ne}itw|C*vy)Y&ZVmlE@rLv^VdB=EHsw`BQCaXE))i} zENXbggPLX~y&6)bUu-@*d>UwC)?>?PHmv;o(sutP^QF^L6LTw*JV~sv8}iTKsrk&W zdR-$QB#QG@r3Q(|tBrNS)DIcPk{LQ)6BWuS8Ik8WKP4i77EQge*SJvLDy&ido^Rg9 zTB(K++d8N5CE%PiVy#HW}7Ng9k#tQYyYk#~DY}ha_X?6`4K&k!*)l)-6Oh zIW>gf@psj?J-&Vr|BiGEC&}@HxYDD-S4vAuL{79|)I2#g$?WXsPPywcg>}Xa1BbodP0cfeRU2trbfm8KULvHMG zJ7fAaM} zUbf=bq_@zGAJRXtlUfV=qx(eLu@b5`K5%{PkQTwb7?l&X-v5{_EE>BYrka~Q!O9?s z^bqM+z^8_6&op5L+JuOk*JiXiwMvx|7v`I5GHSdi1^`|}#d5bXOD(DN%S1{Sdx@eFI-RbMHV z6)o4*;bw`Z&xti#+^khBB-=?p1fW8?_HOeG8OPr)=s9n_8(y0B8fB*7FWPG!Zq0!jNRt@kXmg9$eH`@O8YdNzt-22fooMMl zzfeLcP>9y&5c}mwAyBCdKKfTFYQ(c&rm9IPS{u zRV7Y(KI?rT>zc*ODOVi9!Vp$YtL~(MEcZeQ72$mMsQy>H#;xoao|>$A(dL*0n1lo3 z#kP&;XyOhhua`z+gPY_wXdB|E$xmLPPo;urV_z=x9K^7lzuGV0w|zk<&-XbaPcN4O zPbkSy#fhe_h*dSJ7wd&2j`pEXnnsnoz?!XCm6eNHt!Uuy`)6^ZESTk9GknPpW-~M8R zn-!wbMo_Mf3d$&mDbtyLD-j09Qg*pV79&H4Kg5!$_X|OJg>NK)hz#&`g%&r=I;~mknt>%=LU&$DLusS!>QSy$=T9TxY5>e5{rdHw3t-;*t$4g zkaTIVbv@FVh=Az)HBYm~e&?enD>O$ztc0Ewi{TJ8rdhtQ51r5C5`CJ`pklaznGd-A z0~Q}=iU;SPuWZ$-K2wr!(v47kvgrVaa3_)+BD%7fq{PTDwltKw{rR_GI(fbEU<_}j zeFLf!242IgAVyw80&xvhe$mRs7)S^cuc03W#+AlmH<|D#Fcj(GUnf)3BZ&7UPy&d?}m4iG*nDJ6nka1BeonufAj} z#tMF>2B;H>UduZR6b1+(JQ{`sh82|ICo!ES8e#+($r{Sm?Zo4A;9!k_t06?sY>WJIo z@fgmuTTju6N|25TGRu^@fByO8MEI-|W7-AvxK$(M4?%SqzR>ea^lA0sX8$|R(cJHj zR9m<1R8o591wl5;@LBzD(3jPHn~{#kKQBjGAT6t_7}AFVmvgIp>|`<{n?TttBd2Ai zdzD6(UC`ZH?6>&e0>KW?6HJyVEf8FlE&2q3%7C!T&^rJEIbaOc`Ne^JM+!Y4cNGA6 zK{gphb#}~INroT6s73+JQ-$C&f;;m(SNvo3o>O$F2D%;vw5P1Q2YLxOc3#Vg_?-q9 zq$~&dLC}~`ydb#1wvhFUQNrtM@e3ov08}98#a1M2Lf3Lc0EC>WK$q%-aet9)m@0r= zLBqDq|6wAFqHWucod>wOzb*nX%PB)3d`vxhVptgV8Zqtuq=c)(TbdBQ>M%7itZk~0 zp&_(qOuBllXc$taI}&l?w6U)q z7!yPHo&yX(!Fj!;cbxx2!fCPX2m*%Z1LWv`P4zP?2v7aN>ae|0P-FQp5#e#UqcIW< zA#p`!2!x9%Qx6QoFx3!kf3=Fu#iXGpR1&j0LmxD`Zs7N+`UGBK9M<;xgPyI9)AU?e14qc>7lA|>$SuzSLRp4`M|g)J zJ&dB-t$XO+>YEQRsPw>x=rQWPjn5@ag<5{_!GhJ<8vU0DHou6vKq?qR-%&9#4Zove z0y@84bVn2RR{u#&mGbIh&=^7Hm*Yv_Ap*}q*}#h_jo5OK6QqFg?5*D#I+MC?9a0|w z*OyE?NF?J}ASAR{TJbF6iRoML5;~f?Xf0A30nV4|b(A(unF?Q9LKUXTH-57v%=C^o`J(8 zK)Y%z)Q^})vab>~*0lk6n69pkTg*&ICl|7FpS`!CO4|aGPu0HhqKz0Pv1lqSA983F zmx&StN^Md)D7wngJZKt#!!}$iy5j$PC@dY^2gIf~B)WnWS@pvNVXtRX?AhT+MwU#GiAc=mSDLF|C&>@Cg(MEE~~um}7w5tYA1*xw~fWSW|% zfEyO_w?j>Es(qE5B;U4?^=<4c%ukHKNo0A6fq;l-n3R z%=g^HyZeHy62`nKXePa@!(9OY4k$=CtY@II;$Qw>Aa+4C`L|)Berg*~snOX%>YDqi z|BnYX1WdohcNWPWqi2A+PV6_4=^L&xNJCzAjS*A89FjA}lQ&6KCT@y+8fHxs0I+Px2u2{4f$jeo$4obI>5glDG zv14h~O_S8;H%R9g{w=ONbuABuU zZv{Ns%z!i?UtVhAyjb6X*}pB!(dCek0-tY3LsK9w2pL5VPtw z0-y=wm}R&@bPuT-W0*y>0T|QBQgDS-i^0$$y6<0VLh0(a2=OOk5=L&tKw-sc6x~Pq z{{x_1VAvvyuRv;FMlmcw$JZG=y;h!!wnAn~r14JI$!{E@_79LC!g+^t26?9=>Ifcz z+;Nl+e*F+W9i;;Zflj7_SSJzNI{?c81mOrCg~+*}24tc=A4Y)#=eRhjD98P5sB4gb zVZ<@gCa=n2MHjPy2H1SJE9xl2ZLISAfU+b{_|&c zT(+&g$jDfj7cB?~G7;umHrv+BTMU4M2HdcUwt*f1WVlTf1DP4~Il>o)%#AsD=UxQ! zitQ{08bd6q4|*^5h&WWAGR%)23cP28gPEb##9aP<>{_l=Y+VTA06jC`NH8~ak~luV zj~}{4TwtJt1-&BPzav;AyoQT0e&WyvdWdMS$i4VqF#G_}?;9YZ#psCtHp3!82>{DL zTXiYp{N$h~#8Lq{22--aUNbN31)dT|c@Kgb*}q@1qUQ7~#2fQRi!TMTH|81p zVz($6vL$8&`(0ef1^}SHL9(>8l!H%BBDy}uc;%c~6c~t;!M7%rx}}g^QI9z{H%Qtd zvC3PcVcwSe1_2askx{4!_gO`2bmCJpUc+`OIM$SHj}*xy=sVC+_S7U2Gga!vMsk$n zqHrr!`h9@KTgLmr(kwF#Un4hW#Q|v3aK&s|w-@@FJGrto9`@Ptu|KTLvih#ON3KTg zjBxEKQwY#a#&b7TE+qge^OX~177%HMeb*-zV7Y?^Xn=HMd-q-bdJ|Wk(2X)^gDpnFn4wRe%HUol+W<3Yt_J47TTv zuZpGNuKlZPO^YP0SW1VTTGpDh3&Cfn0uHe5Fpab&$P`%=4w}|T=YCvGl_ek45gf?< zp#%D!J24*yx0*D~k++;QHE^32{Q>>($A;1j`33x)zW7VH)--T|pVss+@U0%VeFxB0 zS7+tHn5|6B?v-fx|0w@ges6y-dTd2$wh}#EJ_5{DQ*b|5yA+%o5J)9W-p$4xQ|*@v zdu)Xg_Mh9~A5w*ry061+o?TTh<-~Fzh40>?Ahq1HYQCE#oGw zSt^HxSjES|Vyt{ESDL3|rlg)vQBFfgxI=5is$nTsJ(kFZaLO6m$>4VGv#+gXFej@5 z3*PV(*4V(fDXPjec$!a12Zm8KwE$(aar>8 zeup-3Z+Cb7VF6a88gS?g+hlMnH%A}Px%WZ4xY=s_Dk71p>~w)3 z--Hoy%*0Fu_j4Q8ROnmbSOu8EB`i8yfsoZoV8vD%R_*3+wVCqi;BoGfnph|9;k)j* zChHrl$!gO|+y<`r6S@Kj{AwbbtL~+lR>)THXC^>*H%_W!s(gC4>_ttaZ`D#YEZwTW zGUtb7fu*<+T;3Sj08TuEn7oVWr+W-6!b;cjUbM*!MBT##cQOK4=u83QKW4jyksjtb z`Ig1vKppjr?u!YUg7$E8^a7peUH!eS?ib|5FhIxSQa1C^@wD$xXf=1{S8!>heI>XQ zW@;sBalf$pa{<84=x>Wq%s{gHQErQ8gnQq5z|ICh^@sn&A1_R|96oQ| zn|!?37|Fl4NX-Pqf6Gj_9x4cz{KG@m+KaG@h3|&Ny}BotTqg}AM)BC0!l?PeA1-9J z;*^C+*8b0&ibCgOb^AzP?aepyl_s$#_|(9xlTs@1?m3-42u6>A^S+T1jR!yx#NGqdydV74YA_Bk*B4F-ET|gw1|8Ty?;2-&Vp1A-)mAQ}X!6P8t++)78 z_PQ#>o$R~YF6Z4)^I+f#TSc1Mzo9Y z{JHDKN*cC&AumH6n_{{g}C{nhq3#V3Gb^yR&w!`LsHr0BQ8_UAoo z|AN;_!hz>F>*C4SdjAXVE#%k!R&*pp8wn?@1$@Ld7cMx@TzA<0mGeo_{+w;&wHA3i%O~jIx~|*bnjFBsVbJg6(*xDO$|prl0y+i0RQrNerSEz%?Ud3~ zd~EiiD>&WYNaRPTTv(>0B&)ieS?|RkhCIQNC;iCFWj7WbK!D1KrAAu9t6_H>lM_N( z&FkKy7tv0P%8aE2Fs63H%bA^a^uO4;?xZ2?SO%nByb*T9D>AgG+*p>Rlf312x+{n- zzer>_Ah^?-+L3DWo7xF$UG4Ig@V@%q8kUnn+RW=|cecFWxfI?`BrV97X(zf;Kp(=3 zWkp8V=1&`mm4z;y*bB=;-nIv zlT8}WW8bUi-hLeXEAor|;yhcEm|MUhbPd)EkLRJcQJU|08uAM^3jYi+X!AIY=O}xZ zp`#6c&d58^e>`i#APGyv$<+bM17*0(yYWRA5u2K<7KMh3hJnE=MS z&H-yU5$S4=nDcD_yCCmEXCp_IP-i2!>h$wt3ygR@Z<7=n7!25+86VCCDddlRTfQ~_-s*g4iVG)uOc_z(8kVwQyS=j-J2cGf!J)`t$O+$F#!MOh!q0;~)@50iCZSioX%Bg_rH$UXRwY>+$EigzXy zC!`8y0w3hgs5-}*^^xt!vKF5Uu7^3nP2OYuweZ5;N84u(_)U?>k|C{;+;+ONh+028 zSC6!zWHt>(E)8Ix734G=?q4*-Q=V@>$)wR(l#&+cl&?Fpm@9>X);P310r9K1O|=f2?b{v>!E zHUR&~!)4dfw+mf3KGjh_(QEe(xQ1TB z{=k3pxOXr4wBH8b!fxSBE53*k0W4fnYF-K3&_$e9Dt{W!)M%&|qi~3ZZTX^ZYpZ_% z1Qi2|5I8LFw*vbtJ*g6Jrmg6bZyT;O7CWgvZ-=cg`!U&>2NE2Mo79qb(iZK zmI!Iks&5DQJu)6}i2wgsdk;XWzyI+c5!Xm|A=x22ija^kJ6(G>kQGAq-Yc_25|vF> zlD(pkxG1?sLdf3he_r<@^?tuUpZDkY{r9*%@AEv*^X&6Fuh%);>xx~_2pcmlSA?Yf ziBaCB^{|g+Ba1Cmfjq|y53idMw2t3+o77|vmh2ZyfftWCCT~tH2GH%T!>uh6k-l`e zV#i!*mxLzlI!B*09~e}$X^#pM+MoC~VCXsK-7*|me>zb7m?!Oy(3aiW=v*^okd+js zU#NB;?p$4R5_MPdr8>Me(l@byJ+KMFKAVTpV(g> z^J>M6JHmmhi87qlbgjM=5@k<6cDdC%_6R$!`mtxUa>5+#YIYGJcQuob@Kc%PCEp!O z4n0qGhx_xu?%Mi=!4Q!IXYP4D+19-ByC*03JI$Z8UA{iH-Vy3L+*+-_UUqiZX$+aS z^(D^p&4SJKotakgU4(9=zux?KQ8$Grx2FE{k9qhGd{ZWf#+*>?>&GhWI~`?aNc&g{ zHXYLjzusLR&l)|@aMwQ6QU1aEbs-bh>{IStf zO(Hq>;IjpT#&7FWQ@@xuhlWv|%;$9MpD!9UZ*Gf7IK?Ak;8Z#7{1=1g_VR0-mbUN& z8lDRV)|Ks_^}*Lsy7Xiz#w0N3>o`U)FpkCLa_buO|7g`p;K{dglvwoWoYq}WXxki+ z{O?pZ;OGo6j>M z{dVrW8~3}lnE7ix+>C}UGeryLV`uZfBE_kmDz+yi^9UQ-S5beFo(S!vO3Uwa(%uNU zLzTs&Y51Zl>r2Me=H|`3q9FIjDwRR*B%6#Y($i;~^bu7#?%JCnc2pJlC+_>|wyuqFcNspbK0WEViqmVDTrD{;w$Zkn zFqN+nNi~TnfWQDEYe0|ye(mRH+#L5BAVlvG;UH9v{wE>*){?J)^2omsn_Nc+zF)GJ!Lnp7 z*cUVfrI?m`q7ErY3Ll=;A;>AI0ir&k*UIR_QN-^;3%_#Z$XOf%DIY;*IQyJ}cWm%+#9`$>uKj#6=W#7?8Zsg}h8Ti8T?*8P1SQ~Pen7C#dFcAhRuxFW zPtJX2$f0v4B1O;}197|EGN~XQ1_b`Fpc+s|(*ZmS%;k{wTA5I{`jF+1&n+k3a{#76dvc(N>CG&Tj zflF(_Vy`%6Ps?B3{z%&HWpFM)&=mfUV#=R_G5=&esLF8{PyttT1yF^Z3n(#wPx+(7 zFQ;58#4Y1+N`#hib*|6p9NkZ%#<`?g%9Txc*^CA>eiX#{H=J{iA$}Nz6Cs+6?hqo1 zjjj?Po*JFXs?5Z(R4X`k&ES)dAQ$|XxH@Oy(+hcNkyUQ?dY1H45EOt*`2yiTr$d{QR!dEt<6e7F--U<>(TPrbID_ot z2EUv&ov#vWaa1Z_H(2xqgDDlaO2h0X4$t;0Q2@g6$-=`kIX9eV$hFQ@J{%T=!#~XF zaOd1i&x6&61op-oH`ZHr7jTS}Si`3RfS{OvD4dg2j}ooHy>-T1w1@&@BAVZXW25D# zg7ZYbiA+K;sF{Rkc@546l$gLr{g>ss!B-jT&r|c}VAlU3IKq3KjN_Ut8wz9yH+w&+ z_j(!6P$H~<7p%rtNf6{{(b#C|UobKvC=0*qS0V{Ndq`Y6nU7g_(cOiM1c6~pbbMd1 zG+W*;IHqs##kWKZ{ud!A41eJJS0T6%64m?JD3=KFhiEYhCq(>3fcdLcploEY=~Kc3 zM~PQHf@k4>2uq(5Ryay9`A~4=Z`Z7JjE{KitXp3xC_Q2+0txcL^abBB zfMEPqf(;+2F88$Ct=snB3|*ia<0&_^tyUjqSvpH$pov0nh;` za4DMK)p*x?*E7^G)C|8Jew+3t?ajyDfboDqh@;@7E}-Z8tIwXESXgHD#`VTh`cnG! zGW9Z2l2VfOVoV7{3t@Waz0P@^69UZf&+)$iJVo%n3(}FIm!hwysi%>mlA@|7ttXW_ z#-v6hK*$I<4%i{sA(#ey4viAf!^W%R=6Md$O&|4$TT{&(mz|0F5{HIR6iN<;DRt@-qVTh$y4611CgsfFHA(CTgSScWy$BruFC`;?0 zg17GsX+j8;rfEWud^vg@2O#Z8V@&~pJ8{$q2Ou3t6GH)U%9{2G%`@=Ur{z#yt7B(v z?NE-X|8Y3L*&iV7L9+znPQZ75*rwNqAG<&&P0dKjNV$H8HjYMs5~Al$C%hA`S!Qgu zkAx{iG%_F>lme%Okgyig2KnCj#jshe=`Yeu(0m*|8tESbAszTAvU%(M*XApn$2y3p zLyukv!%c>p zzp8+FW7zCZpem7I1U~RT04K8X_M2Zdtu>9_3fPNmTz|8kJpJabvG$4oh+h@SM!*B< z2^u5H!wA~6Xm?ji-1-x>rGO0ozaZU1^MW#-(r=GbHgKK89M?In(*hbQq&){0C{Hu- zHeM&3extH}z2N$-J?O7v7klfWG1fKK{n&og9>?DQ&OXxoG^G@!6y8>ST4=5mk-Q7A zk!Ggpq=1OF3c7x!NW$=AQ-HHHDa{DQQA&BM6Yvx8?gF)X86p}{N(e!#?gFTfQ6{Li zkipx++X7{HPl-m_io8&*;b`R;fpN9&iF)Zx>I_R~J!iexJhh5|PXA8nNnl9Shv?2z zdm}SLolKQXRbttlhv|mthBADkinhFxXY6V0X-93dl$+)aK~fLFfTJ!`|&$_dD6FS*$JLr+myQ8@c# zQ-~6QE}F9fxt+z5pGJCH721s3jL)9U%_%l3H7hOZ0tSmV3zT806r!t3LGdgXS07hj zZgFu}*VDnLgDArm*A~h!GBh%TGSG7a^3H{w<;krqo-Us*U+8L8RD7WL0A+A{?e-dF zNLEZn8Nd$mvF486wcFP+Sh~Pp6^_%orX!%wcf0YKe~*6;#RDxR9XEY9lmX{6gkzr_ zP6kD6ykmmnbyXdS+mrVu@1640Gr#TP4B08esKQWoH5Z7IXXf9{zf;6%Y3hU+sNJ@6 zg>Za2_5)4h99uZc$*6vPYPK>wiGS zmP>|5CZF_`=-Yixyj`kXs_X>h0D=H!fXkH+TZ`r_I0zaE8r$k1LTf^66sr`g2r3CG z+g`W54lNTAS4rXc$ldZEspc--Coi*Tt74?pXZr70m@@f|t{;*=E(%r@0}Q<;nzL`w%2H`3XhQS`f0RZzIym$@_B%#9iY=BDedzk}<3ece?@Yn+8xe6%l)6;9 z30etSRO;7Tv~~1#^yT(AuYX=YP?}0T;p#n4y4RZA`m})l8+NG2qmrbIPXCVOB}CnL z-MGa$#WckAO|5T_nbaa}`ON$;w;iya?| zNn40C6xy~B;sh({POnCg>Ic*f{t5penx|jUkbWio+R7a2ghtT;g1{ak+n=)~_iiG1 z`^fb%7rW?I8EFg0={DytspF5Y@4$OZuSH%H)0&3Mo~7EQ1jKJ>jl#Ed!%c;4=WQSa zBgSg>p%9_OqdMUFGMrzJU$4iM#O|Ff8gE-~TVWJX(zwWLm*S5k96{%d7`-0q38Cn| z`EvH2;c=wTpwHmKR|pdnT>}u3dtCfbu6!;ptcbpBs}!OSMR93yY1ARCO82pUFZuc3 z;al8%Bk}{?BeC=MtyXqM$I}BM+vp<1XzvTHJWFdf2Z01J?)!Qx< zZCEwfQPIA!uzVijh)8Ju5;WD|IC62`W8|XUV`p*4#}(}zqn{$4)5(a1xh^ef=8QWG z*1rf;i%g*t6LWR#TzWDo@yRr!Ss^lxj#2E2YtYj1$>@(v>9R7!L3GE(==Tn|>r(^8 zi9P9-MSr+-FB*;4w);MA7K*f^8x<{fxwY8&o#X@4Lsh`=sto>f{tPnEzj*8X&pxK7fz_nxZkDFGW zl{9bsd{s5;G~^bzdTjPok4V-%%~_+t+DcRB=DCRBQ}rSN_a=UJeocELbuaK|MBk}G z5!HKG{Y*~JW5rDFHT(?vO7iB2Bkqr5-KSoNcuyY$QbjJ^bNg8^VEnq?UUoF1=~TGL zhpF2J#0{r{M2_F1|4E*QJ94byaozHz=(|+qmJ9C8HMOv7e+Yo?jCy zb&{Kj`Yf-hlH_VN)AzZs#MH7GaqQ(Ob&+RI%rkPIql=H+TE+Z)&#>l|lzCvQvYqRT zY1RI;BC>~c93t+Me6LJzJm(Q}cAA?W>aTs}dvmvPErF^dbeYj$jic<0sL1vNWX0_` zmh#wYX{i6DG;zvfxh1yG!BPh`84VZaSt z58Te5M~Y|Ty>B+Zk4QSjA)@K@Vj8bsUW_;n74QQAlA=#{=@>-B#?y+J%w${INiuMo zl{;Kwr-%A#3(1^PQWn|z)rx#gahs1toT3GOwS^!7i->}gEpQPYTN>(fDgB{Vlx{XY z@G0GFSG(sev%LMZ9QjxEvjZM|yG}Z;4Sofn?}oF?pR1lbb3r2oOp3a`}pdShSAHGbq7>++{OMvHsse(K;P( zp95Qm{Y{lp1n`)4SV1{;;Jnx~w;;%hmgl)Uh>`CQu09$khqO-KS1(G5 z-HW57x{r!JLpBv$=lZlD1v}>q=9GMmD``Q>Q1+R%AMf6{F65;oW}o@~BlxvzS8j?! z_L;A(yH_ihvlW(Kmi~B=qL47Lu$r>NvHcWT{Wb|Hdo}&G0M}K;PhG_V+xNXa^bMaRBi2ON4c5lZYbhtiUOBC0Cwr&EmUpfXvk5)CR&SVbI+6AB z=Cuv6Z462;93#v=Gmq-lld6xlX0Hn$ad_psmi?5Y=ebT+?!~NZSB{$)g0oIWfo%u)*)3%p}+-lZ`7HY?Q+i+uunUU3= z68*F3S})dA=hIA_s6DS~W}rKF<2iEcBbO$pCgwNUXxyqbhe(nn5Q1nU2Pah! znVndl_Tl%Z%z=n!FUBavC{;=`$LEUW(zrEzXZX%`{UdgPUZUNs7nh*Ptg+qpU;`=Z zbq5Xn4szps&H0=^VLi8V4RjI>iZ99F*LO49j`pp5xO2p6^LuF;0276A2}EsiZ81Z! z_bZ4FaeYw=+w;HBJ1_TdVU69nrnY^v>(sd)^ka!ixaXS9F0y{YY@c_ZxjX@psD`Tq z(Oi770L2c>5yJ_>Eki^V;*r%RbTQxpntLmcR703noua2(JN1hPKWk=dW)p32gCLsS zL@tYFe)j&x*K~)V=@6g{ha-99in_Ss90B(GT*~~?&;kTu25!tRZnBA$i;hl0 zC80T~Ni0b$*-`9jgyK8Gw+CV|G7q-^H~SYieZ;&_kWEX>KqMIvJ3ugTZ)Lpzw~u&> z?1&#(JBuu7cKrd@X$*+o1(+QvB+3dfqtPU4hjj10%U1N~kJAL1Ufkm7z->5ca>z#X zd+?66AoCY&OWX58G|5EmM{oMRs_h@L`WL}F8-hw-s6HIEI#P=H#p_PZc_W$vqQ2jQ za8}tw+N7yxp4VPp>QTSbqb3&D{!#d%?Dz!j@7eCHU+*=aaIEA|ZO(o^G z_ntGnskevd%|CdoTaCzHw%pc1y>$@2>#qZsW!$g3;kg=lF8LaHe%L!^%rjq^9UfpO zH-P`-v8t*I&z}$;oqk}eTqg`0XHNcK6ih1&MNxSSMF|)V3zU_lC~Tg&;lXhgNzr%H zVY?iU(-dW3Ct1v(r~@Cgf}M#<00mG9F2JB#MJY-0!%CRDV^kF-xnOC`eleYLl1#90 zW&uc{gSjw&i&mA9JP9*rj*Z@8XF76Bmzg%&_DUTg>>Ts^s5yx`To?f}XH>!EIxN^K z(?BHerMfk|QKm3RS;XsL5{)FMXQNAVerBYaNzu<_7O5&KIgi)KG!}Q}UydYdw9}mZ zMo3I#Cuq~|`ZbV{%F59)*zGB4)_xVy#MH>@+0u3QZgPsP@VN_hpYbf2a>CtS4B31; z=XB|oThp<}OsD07PBA$7^`Y`%u>0mq0Bm{(wxClW?{<=lppbR?E7L8wtRb>Nr`Bt( zK-E2+gPwZP#74!DotGpxTW|%jTnyXtyWnVc*XA3o))MF+|Ai&_;Xvv7tOruIh4f3=IBe zm|^6ke^qNkAS-1e01zo+pYGfi!c zkDvBr(hKJmsB6QcVWJJ=<*6&fYh&aLE#R!n#Y<-l3*qIcOUH|36b+eUt4nWLF8cx|i2(OY} zqa4=tvx%PhYHr}?Lh@a@v@Fq=}VoZgv|Mz zJ?nmSw76yc)SaW90+OHbt}+RP|Eb`Hn(+U)w-w55{mim}q zZZgQ!7Rt0GwS+I9*q8}7+%Nq2dC5LJV%SB#kDTzoHfBqkX7LBf z`Cq-!j>p0j8>Y%H`3{eS=}OpfK6`L;Y-(zeKFPyGWq#1;-g47herc$YfrcoWAe(>{ zJwAKmg|b%DP;M<7eP{HKAz_r^R%bhzX66?|q9DU|@^vDlMu(Ey%e0&XUusTmDiA*4 znaJ1lJ$})UVsCK=`-S!ia1Ex7rv<)?4y03-6*@KS0D|ig?|$OFVVaI85UD$)JZDOd zu)SC}iFZi(qmNq7ksMBuyWs*Gn&x%VEnK2Ww$H5q( zl)k^6Mt@8!x%&L!<{r8F$PzF;;WW3`<}Y;3ncDlkLrvS;Yl0J~X<7p2HBi9hMNM#& zve&6;B!`rpyS|OH=_{(~W`02=8`7X#4gEZTGC}pHB1grb@8>|$CH=c%L6RknN11lb z&w@lp`W6LHv<@pDIYPA5ibEJR$o-#wU$x)OdfvseAzeLjftMobX$!e@CL6zWG<%4W zni<+Bh)g<}ZR85cnGhkhhG0hJ?o^@qRV=ETPuEDs_`~c^%0x4_mYk4IV5_+Dnm#>< zY|JO@sVc}Dq=Rf9Swgtg9D<)JlIuU6AVs?gKyDjoH#TyR{olGBPPsFStj1kfRoi@i z+w$|n(#%}&Mpr53JSAF5p#f^r7|G^wWu7VIwAxnCh%CAIA=9dAW#EWdY@1+6x9YP1 zMqYC7v=)46Ew&=bk0c=xs@=X%>Bv7kxph>UolQYP4L{_P>XP?UeDZ5gZedCju<>7x z#tb1;9oZpWO^B)<86%xZ_`=e9m6YW(&qt5o`8ItLmP(#s_u%QaQ^#3e@JP7BekigT zT05|*xdo3Xt{7Nbu$A%0PQNvq9pes&d7I$y_Sw`}gn!J-1m?HQlPuyq>n`#i6fF$K zX7#iTiWXv`68tK^jj&MhoOFIyqqv}7G!t_tf%eU}K9*P9NsjVaZRXfO>m`bPR`INh zC62onFP5`Hk4`nU`u6Z$*uyqyOcs0YdHdi5#aO+k-$-c^`pO%cSU9`h4e#x&Hb7pe)jJ zcSpg4PAp~nhf1LEqT4cXR9>0dnB=|xv)5fTRd&_2YH%=B_QBLte}~1aWJm8}a;oem ztzq*$4;?+5(9vz=`240QvSNIEetgrVW9rV_OC*`Q%Bt&W%L7?gzjNET(DCKmYRBmImXfEz;_A!K`KvfvE?e%cRfE^Z7G0NJ1|5-H%a=Ufs`TrM zr#9!0e#P2++_A2g`nDMS*#wWPNUnQ3mE65mx=uI6JI3QyS3ke?*l<9mx}*++_77H! zcXS|o*GqcWUB2eu6kqOG^z^L0|J^V;RE$c>rQb(g`PRpUw9{q3vEm&9jnc0TRiJvQl<(y_TNIynAmeBI+* z^wjvOYsBiRt7mG*;(9Xp>%ScxQ#-C+TrRk1{jU5leH}^JoMRzWx+k|w0 zNnp4+^}*~?WVPK!MV0vG_|{G(W$)@4!`XIE&jr`ipB(VP+?bjt4Hh(p8r{S^@;M{@*I6Uk_h zW5dNw{W#NJl9kTSJeJitZwptNn!x|fpHI3PxwYSz&BT-_B7Q0TZPY7mpLu4%r5oNx zogg@!{WjN5x86Ya{>&oXysmcrj_#(-Om)c=B2V`Xx0C*KMW18glu2)6UZ_6R|7vLS zeDibHeS3Cy*@Z0Z1BEU}sftx1XMh6TMkmh<9>Pd~?jQuRo!zxQ%XiD|khB(xlALY16#SaBzd$ z#=UpFEOl{_)!But^4UmCh^4~{rHgzk3wy#dy}|Q5HjjFBq$0S5D=Om*1{HYvE6k=A z0*A-KS7OQ(2f1C|RIVEgy77228gx0!k1iu=7G}T9u_Px{V{m(7>Y2C(PqJh}0q?gB zOW;qaD)I0^O1q*EPjuy#1=4ql%xI-S@i>n}CH6ciq|Co*m?f=N+~S55$_m{H>$Eri zKY`M1t%m->p&aYN3jK@L;194Y+lGFgVYDac<1#Aq`fB1B+T;F%5mKQq`$5_E6gVo9 zORMa^CT8i>8)DnA)Pu<-v}fkWz0jV+S)BcPI0XNi=kTlK z-^$qykIVkdWEANohP8s%2NV#ph51#g5Y zD3bn?&)Q+d1Ioc;&k3dMHqPGraw%q2uAj*~GFD7|Wl!NYh^!P_SZVpfWb;e0>>t%x z!TX95Ob)0zfRIYN>=xbpeZQY16|xg_Y4@+pkd%z?d}*}#iNCUTWPzkawuTP#e#4LT z@E*6_CW|W1`X#OOkRR$_IrMi3+EYKw?PP0JQ)QKP{kR}zlQEgrW1~K(knjoic;j(J zaz(Q8Ot;Mv&9+SSNA%mWK(0qN@<)+JTaV4IlbzN_==o2mdV+R)Wb5f&hhOVb7BZu4 zY>QhTP(7Q`wp1R0~+eUNfwgJ^+p4X{#HL)U)ZSQm+XQ}0aRc`ry zO!I8UXzr4T1Bq|_cX6wo(ay1MX~JWCLO@;RpVzAB+iIRLX**rIWef>E&&cJ?TCF)o88nIp}-+ppSz+P+f-@D6SQ4-Ti!FAYfhg`e>s#4Ei{f< zG9_7A)^Q$;4k4YB3ikM)*p;4Jwz6wIhd(xPtf)xFa>DOpH+nwxp55a4i^q9F&pRJW z-m4f%9=TVslI-J*Kf)d~4zDj6CvVU=uF{*L!Q9cKBBU}b>c2?duJIRTvD$XW`lSZ9 z%1Kf4x!6jL1?6`lEVI){Ze?{y9h-?Q(->5C5y_a2Ez)Rh*QH|LQb&F6yT! zQ)Ot>C}WD?OuOx@Y>HKrWJAoC^#}Eo^M}Lt;0=es`;DP6h;kHBVNqdIi1$eDb`?Dt zxjh7A=m#D>7GJ&LSyuL?X!OQ(`AHG$rie#{HW@E3hg~k`60vTJIEbi?pvkw%2>l>_ zez&~uJv3!Vedn)#2EPn=Tg3WvRa`sP@XAcN`(_pE#n7gpfJbjezyH(~zRzIyXf@YE z#8b7_#_@%6&kL<@tod*~_&TjjC>$o$DoO!h{95J7xnWI@A zD*BT#@=c4)Sht?CmimAx-D)DTm70IFYO#EFOA8T2xB9gC?SviAMs5y+>=fy!@^ZPx zwid!R*lyKz;H}5m$BnJ-({`sfWgWJ(E)pb)d>)NhDNh&sc`NcSYNVLwPlNlf$3D#b zuzTY=@Qki3vpILt&eC<@Ay99cTcT*=nm%2;1a6x+Eq7+hHuRa)ziX|Q_lQ|LR`+B* ztUNd6#W`=@1TOmYdUR*Jd8}@g_LRofu?xg`W{dTiNrHx+g2ELbC!- z-#_C7JR$SE*2cRpV~n`UghKOR4xB>AfJqFOK*jS# zHC+Bz%H8cvq=j39DoMTMdluOQTK%pgR|t>t&DGZs9}%yNZ6G2&k=U;iq@+VO7Rgk@=n(k5Y%%MzTs`Cv&FAxCw$JEd{_as zuyQdm4)SN941k|NE8m?a%W<%D6Lsk^0x(Y;H9FyCT;N|zIjA81=rj~KWc8*vs+IU< z`G~Ib*==mB-y#pZAmux;(c0I+>AK@!W>m&yb}h;gH}aD2*KtpI11bwS5Eg>UqLn#l zFrd00*hRVdBG-%wQag|@l3&q3CG_PdY~^LEfAX7B!`oM9M6kG3?-u}fK1=j0Y`Dgiwy%gd3V4ZfJHocIgeVOkM|W)8)!!f3zs3J|mnG^wB2y=hn=$Loc;ucuA9v zX>K6`ZkZ#906{ntB1rJ*-K9`&N2^n6vfU((4P!xVBneF1vU(Bar|d*Vzy=`5b4SFy z`gEXJN@nweMQ4R+tBb}-er4dUFI@NY8}W(|t??PUmD84UT6>!SzdKHSH~eaKg`IZB z9WD8M{RvhI0(pHK2`RbZlW8q52!ki=hslMd%5=OyyP+L$>XfW_#z#zkY5 z;b&y=%J7TElYSXM0Tbj(j0RkJ7%aCj4RxdhikzI!3z&k?Y9&~}v!KT=%eARc6L<*Z zRUlfwKxku5@P5@k0DY#Um537_fCittbgq;J5EmRb;5P; z#5h+A2I}pJLCWE+#mOb)WuxO$zLScVbBHr}FbkomsnH3=%bb{n*bSt|(RPAD&V~)6 z4RsRW*(S?*y71D|s%H%`q>2ih?1g*E=|ZL$w}u50RQw-G4sip|f#bfC6WR8=aRa{1 zPu8YX87GJxodQ2yVF+G+CacI@dPa`;ba;e`aL5?jVa3&CGC1Q*dk36c1&$9yIoMN{DlI7I#l>mrCi2pbWI!KLTpYmq}IZ zk>;c*)ND{Ev0V8zy&j4c-cSL_5Ar!sSd}@s3xNp=H96G^uQXC~ z7J6Q3oc(@5-{eCqJki8B#Gf5CMhD$w7c3}`>a-P7)`Q+#WZD}@5Cd$uJOgGR&Me zz7}K<-vp-~&2>nre*E|qp54hd^PD77#alqMS#V|-<}?*i|AVqxU05eT*$x*n9S-w9 zx2J%dhr_@#Q8#aRqX6Y6xRNQ~zVaanZe;2mj&q)}@4qXEhG0QElb7K@tcQof7Gktu(Gz%aViZA&rE}v``cNNE{35oNLyBc_ zqa*{k3X__oKt1@0La@nUY^18pPvkB~doix>;2Qgrz=%odm_=K3Z#&*vruiDhz#t_V z;715MNy^V`CKuKS*6QSosenk2bmRnoyN$z1eVGJDXp3CdZsTY$UWR}_PB&Z%^+0Wu zC#c4g!7$#oiCY*RnGQ<*Ki|roFK+EUHbo{;UBxg*M9d=l7 zEvrhElZPjUNGe&6I%5|HtI)LZcC;mo!yAWpH))MK($+yJ>C8g&AN|iS=T1ORd8zhR_%Ld99TnJvAnA%uHhl&R2 zF+rNk@k3`16`ko0T&hTKv2-fzD6Ooy-+mW2$1!}ZF5B;Va2g%Mb(0seC*pZI`MQ|| z6mP|Ma;9lZzVf>P`#}1TML>~oBWRxPFU1@f44?ki5^SiJ&rscPsB*6^gIXT$26W|u zdDIR%?T~jA3#&i&?O=HZZ`}plvaO>&mU~q>5g&CH)Ge>!L=-QlF}$w8&lpxuii|_m z^F1!Cm-K<8iMrfSeBw#c_bi!#d&375ci0B$C#i!81uTK68C(TtF;Y?@hcS{}VGU9` znDWv8Y)F(LHLM}EV(n8ZNHRLdm&W|-8g9sm!4Rmu0hZMopd4J)Qc8Q*vDaQAl#9`W z0~hFm4g%NK5bwILkq44(Eg?~n^pl*=YcR>WNHt_oeOFAX$>OC@5L?6Mt>*ItTNGwZ z+QNKG-YW)!1yf-mFnVjJ3tPTC+LmcprY_u%26lnent4F(z(5IOB9&ndlRFX3%V>P1 zF368$@8;DKwRbneMc?D(oTF6f(=JPT`(eRwNb#A;<=CPUS4ilXGu;nvcn4|r?|_hD zSfb9?51k3QTwi3tX=Cv^55H}^&FJye$Z_mVylIj?rUF@`$Qn9tF|7O8D=mu`%&Vya z>%hm4@SVt~U%==EF`qfeUd4C`qi4CK;1;{aHb1WgZI|Jr1x?1`pQxV;DqAKagmUe4 zMvI$nqYO>drs0K6aMK(<#0kqVK`8jgRv84L&9Vu4&kVg?ypH;bnK<$#fj>D8JH9&k zGYq*8lo8Zrvru~_Ul>iEyd<=CUt=`$|>KNu{?61aZA7ghJxG=$l93KaB;+pn z_=}GmI3gCs3XtW%r665M)-Ga%wow>zVQW`Q1_p+Rr!>og-2OuNcHOt)sB_h0(q*z^ zC)qx!Wu`01CPnPr)F#KMs5)Y5j#ltjYV>3e=3!Yh=8`vA|zh!ngW6L#CQg zx~8vTJ z+{jdZtBnaYs_@lP^7e_-$@821_9rv=6ij2A*uvGQ(*_V$eEb>MF8NtnGH&VeE z^q&D^fdBg#><`nype(B5-zQ&Tn^x;f|DHy^$+n{QKn>rE9D{vDZ92VAwlLD zmWKU;y7jXGx!2M5Ok*;w;juI?y*XIqES@N6#}qM}%eThFval4DNgFBQmM zHCQ(1g_^u~b!R>z$A3A=+9-v;f4#GTdOoGcxeWRe-Ep3Mfm}l|V~-3DEKql&a=h^n zl?}!-^Z*FH+baX-GHPfr4hlsL&HgxC<$t-(a^NzZ4r87ga?v5!sEm-oe zSD9R|d3wRVXJ&HmqE?Uu$H~Exg>J&3RwaOPL2kYCfWo>W4`}c;OdHQNTX^DSTD-8Bu z9sNf&>*xe8WS|GfU+mD-fIc@28M=#O_pY1$!9ia&7S;-wQ4b%1zWkmECdg~{Mk!#D zhz6#+8bEsuX-GSb_-6snV>w>(af~Tigek~BpiWn>*RXI_NP0k>qP_t|O1&IrXHf?x zu*^SF2ba}La1?BR+gagV+~BSojoXK-FK@F8GG)*!evo7DQh$~qr+8I{{e$|Vs=}+- z8$fxOA)t6No}bek-dYfA{IdT{hPK6u{O$NI&J1nfV$5EzepeagTdy9FK?r;wTB8!7 zT`Vf(U&q^Xjp?+O#9FfV9>k!t1BuaT_VY&>G9Mk3d>hIwF9TR?*@uCmr}#Sl;cay= zgUY&<9++@9>fx7>TeA;ziIN@g3qc)OdPe2(wp_zHbtQh5;NdKfMNhFDJYMc558NxCwM7nBeR6-ksl58w zUTNnty3jNh-bRyvHoUdFKf2O16aM8x*{1dC*Pr(X z7PeM*u?XTxPq1Vv`eZaX$YaD|aA0bhR4`{MO1=bPwzx!gSVqil=;laOInPh2nZq&&}JrO+2!z;3Kjm+5yE_K5U% zLvU^kt!Gu(1uq37)Z>^*40C`SRywu}EDY3zchCBNGZ02M9G54I_PZL)5TnYGrYZT- zPaT#)s=yMfz!rCc5tdIX#msPBGS5#B_L|h5<(ooFT+~^#A=oYkJj*Mi9*X~Xns1V? zD`^mt$4fZQ&7db)?q>@d-WwJ4Fg0k&yP2yF#lyLRdtxwnFb(#$K-nx3h9)J<|7~Li z?WJ(QkgALsYGG10KMW2=i5BWc~nCR#2LNiiD)?qV~cgzh+K}pBYG2B>i2PR-OUdP1QH~-q825-l}xlqnf>DbXA z+{Xj9f14}}HzeQtCBo2K=f7+Xg_1hKE>^P6&l9#Blkd2`{m__OLg!%n(y5>t_k=^@ znokz?HCPtvnH(d|h`m@ z$=5vaIx{aVDxqrP!h9&nznMemOslZRRj{XFxMot#+^H~~fNcnR(2EneqxZHTegMSR>Va*_ zUD$W9-`d|L|Ba!cLEb9?nolMp%+T)ogh+7VgA*b!7}={c#wI2!;EZ4b92WfTHvYHc zgun3sL*)lDB4uS(l1n_H0L~ty*waiUoBbkSryh)Vc#cu+&zC|UQp4$klZm~o_ESdj zG8miSvq0wwkUApbzIT#=&KR;Cn3kPbR0adUjnbDwwbqz9}A z(9zEZ=@QHS8HrC7YH$6w2BowHas{xNm4q#W)1Pj|7YV97X$F#S{ak_J2g`pjG)&8b zbYgqM3U&6d;mCboXaIsSV-K}{|CniBUvhuU$u6=&vkAJ2>#D(3yo)Ldo>0JTtPhqv z=tcw`Yy4Un-(7#TwUgmhymxeQ_{1gnb=;vd9OO0`88~(@2}32{KY<9IT?fY#$VD>f z_BIXO>7a9yQtjVvdeHJ}lF#C&h+cY8>fw81Oups%HX{Sq!LbVZBndhcF?oVIOM(uH zfNHBa6ko&Tt&3H5a02z;4BvJC?uvY#FUgvJ2KW1B2zzjeNdoG`yK6@&cWW=%91uyfezsOOt=W;KFYHx7q3{h4-B>*67c(7{CKJ2(GEDy`L(<`HCe7SZ ze3_umlVPCnHrADWS^Y;w_g@V&6|tV|yXre2Zr8e;{4V=|dU=Me;&6N&m%naEG5Kxw z7WJr%HHEY|$+ObeLbAxOv1h4kX1q{Pi+jrPZw;XLCC~b6;pdX;uotV}%IHL8=dWck zkkO<(k98S-im(J~Z}us5L`IIHHl+S)h#;=UPR5qv zdcp$Fm|<%*XvnfU6K{rr#WB$I^#qUYHXi+@j2cD01QYJP#&iJ(e_iw==%I#MU}q*O zhSzj2DS9V7^kCmm|HR{OWU-NvX$XXp($#7ZBb)?c_%uH8pr_Hx$pm!)!EN=FOwp?@ z4;KW+Znu7leGaTKf-IIGRoK;&kND4>Kub z8b31!u3oKdH(RDPMPE}UWipAAJqEVc?mu{G@88d%S< zm0`*D7eJjr?QK96PAKywn+P@9h76O}vj=DpK0j=j+`dU%jII3ok^P0=sskA^?togC zqiQXiGT5 z@!P;v!KlHTX{1z}=);F&ir+*Y#(v`O4Ii4wqk;34%pfI_Bu0K|vyhg*cc#r0QnE`N z;dS5H+NCHt1{N6fL^s!Ot%!9*O_g{f3s`0q`HKlfcXrh~b>u$m*cy15$CVfoH!eLH z;cYYysYluB(P|+3F%8-^OL88Ey#(!DYG1wr!_l z+crD4ZQQTVoSA>l%>1}(-MhY(y(-UU?W(WdROMZ}{wW9lTf+YLl-ec>(EZR2?LhVf zOzGQ#YRQQHe@ew~9%MI@cf+(f=O15~e|(KT&_B{-*tpdGj}IP(#Sk zIUh-wp+8q)P{Ys}tX$24Y~1$ z?SG5y|9b%4lyS;9dz>%Lmj%cYbOpNZKLYz%ajdX_C-$RG^@h#BQg}JK8e^t0*SJgS z6;qz6$aHuvx-es?G4eQBs@(q@z@KTy%sAQ@^fz%C_wb$hZ{je{Hy#>~PQzyvv*-Fh}7qmBS zGLD|W%i!nmcK|(toauL3c-p=S|GMM%8#dUMSCS!}E z*D>&9EP4FzP3T4RN=8kSrhoAMFF*=?nlC4#F=K!U_#}L$FDH<*agQo36RQutvCc3KU$f&0LH_!;^t<0?z7CBSflW#IEz z!}#w|{Jo5)jUOj}ccIri@B{h-{dbuE2Hacy=RW=J-_uZgVqG0S zxs>@&fi{>}{Exu&UwS;&G7+4qwg~9pf9dU)Wr{qFO-#6CUGeOA4!ndvpkFYqo3u^- zH-NE|iLoZlvzPbF z*o0Wd6?>lDz;<{Sx-a7wleO`tG+WjkE8|pS;0eju@P70##;+z+6RPRxB~J&t#+^04 z+cj(kwg8p?V*by7PS_6a&kZemW=0i&i+%Hf?J>>q;`FEc!haOE`nPIVe*+|!!spOS z80Ag!0hO@i0D+3^g^!>oFjAN(0>VIGBeW6O{4;Xk3GlxHg=PRhOaMRsqz70e|5i-v zzx?Id0TxU?KwqK9(W7f=hn|eO0Le(9POV|9{q~0=KpOr-Ij(=U0Ep`Uk_%tPbK|vf z)3j-pJWG+)@CI~C#uekbe*l1B|CaoLU*WCj&i}ozfd$~XQG;&4IA9z;j+Ta=|1XCA z&GNqiV~flYe|PcQ=SkLwuJ_Qm2k$IenzwXiEYUw~*Zzy`vAKT)326R3E^fzf?d?WcXD5d}gI+pPyriw(ji1?FcP{@tJO8K6`0vB?KhMtpdYJy_9{i8P zbj-hR%KzMh|I4QQBw}&ADouyA)5`1DYs-`4&B^{_%FZ8$=^ERKA6{yg2efadj{I7( zys}#J-c{E?;~U4XruH|l(KiEa^wwjTHv?YQufP)r4s03HNwa6SZ0*vvrE#o=ZbP@x zNBFaXg+Y>VDL4$={{n0Sikyo5QSmgZ;VFHMbXYJphzL&nkK(6)!~Z7${3?0_zZ19l zw`QMUa1cBkK8^rafD8DQs1*;Xbsy$O*iF((&ZuBg5RLc1ewKokdeX?Pi3JZSZ<8)u zH;x}SusirY{3-sDV0F-6f#2c47R?^nwPfHFEs{$+UI*&RbZ7c;8@Y|##vhT-Dijt; z%A{m6{0SV*-Xfm@Nc=hZFNKyO2k*yy`|CglnWM}Z?gIC34}Zr2HvX>i7aPyJTp;cM z@)ucv@*6|Cj#rVnOhqOSkBsg6+W5h4(0_3M7h8V~@D1j~Lpo^o$btQrbkyt#auJ8C zU}2IZZHhLJ3T?NxUpufn#699E@sdP!lJ0*5-h9(1hcW*Tg8z%Dtoo$M6^TDc=kL~V z5-+&UxA)kzjZR3KZf%BkL$~4E*dyXuiND47@4%bX>A&}-QPMhPouS>xZS*$rhKsP*N+lXByt`m2uhc#mVhPS<4J$yYli|X|5I6uBgs#Mvj7|@7l z#IR;wH*cGF;r&=Y7*zTzR(7TQSKuX}-O&|5JbTu8^sKY08+CsZ2kWEtnf3yAiHF2< z>R%cy{{(zJrC!4A`0E_|Sbu#|@HBh|+@^a(I4fEhCHe0_R$-JbZZ{9Z+g94- zF!i=xM08|v9K`!c#L%Pc#Ldpc#Mqy<$;-zMGNmQg*^Z&|5e!I ze<jOR z6oYLWn-%~JPDDk*7YwY(Cq!>?6&md0R^^0N0wE_zGt={fA-P1ba_7v7zt4bVm*QRWRTVUmVqQ;tSCTadg+F{^E8H3G$-le zJe_**$=D+@!khw=*HL*R2o$@!l*vagX!M)JK zR7I+iDm6`C!*->O!?*sXSX^i94%p3erKSyg^WpMuTM~C(bvgl{Gt|Ti65r1&?Y>a0 zL+SErs?q0tI|o~OA8e?39yuyV7==~bej-)Qg|hx7!bIYn=Clrv=h-TzP&0d8LdRg^#ep*YNQ}2yiF&u-!a;vMu!l=^A8Q0vE){4|X~fPtC&9 zD3AeqyfXX`zmv%z=2z5e-*+ zEh*XEFq7;Lyr{ag%BA=~QvO$vQKWeNr8LRTJ9TD8GH+$QIsImwcj^&5KV?|m@Ztmw zJ}8bSrU4~4A#OBy7)Xrn0Mjpwx#(2E%HYCvz9`eMEJ9#x@EPzVS$=fjqR>I$ec-`D z;Q?fQWWkBvL8dnNEy(d*5r=_jeQd!AGMlH^LCK%65jX`%`=q0Tlfg3(I0aFkSjFV4 zp&W!yA%}y9g9qtbP6Cs^lmwSdVb`?!ac6_+%N&w_K(^;Zc|bq&>GXBjU~fA{2PT6q zj&^q4O~KXRx**J=+zNR2z0>i%ql1#M!GjVQ^O5M27~-#gVjbe`#zPB8uF!=wB-|H> z^gy->(Cq8BA>4*X3ui+P#Dn$Ex$e|sgBHa4+>0RE1KuYGxeiRYhpWf57Vc8($8(9l z&ySUBe$fVcyOE^!!~lVADdFQhAxFZ$QprnkS%_%nQRCfb|L-y(_2!!Qof_Pzr`K zctY}suM+k^Uch^oBLC3p9^90BGH}7d5!3|p7S*hZ&*I|(m+9f?E7IlO26g#jOUM=S z$izkL4LnKqNM*t2A>DnTm-kA0*=rwscS)u1FK?xI3F4y7^C_(>stFwfzOjp>k7S9; zI~WPP7gY~xTLRL`pQJBoOZdrq69uHPS0b2G7jv5zp4UfZ3#D%nc@2USUMI*K?_9tG zcil$?VM7sct#ah!*HZxx%=P$(UYwkClEG-K*C;OJ$q9dT`r3E~vJheiyyk zPg$3~ejh*|La*@m_&9uPP#=B|2)ur9@Ng85^jLha!0*JJU|Bt)!CBq>eUn=TeYBfM zPg;-i-Bp_kea4T_SKmQ8eQ9@{H{m^gJXZK^@G}C6Texq6Jz`wZdHA~sb>nVn^m+F> zU$5FA!xFv&i^6*=U!p$Bc|k>X%k}A?aKZB8zZY&oJgTk3kZz%EUqEL1?F1KXu|7$e z%F%ryg*O68X`;(iEt@X(m5*$F6>S6UbK*cLR!oiusV38n*?Cg-l=Zvb2vsKiGN=W? z>UjENPB<4dK|Nxqsl=!skJaC9KKF&Tsl>7fiJv-iuTY&Dv>83V47FXlw`o}C9U*DI zkWBxJh;0T&SQ{RlXLK6>(?!4T)R##ebXLbR2Q+_kA)x^&|Ke%jKh*O0gVuJde9}Ot z8fVS;^XAjH3Ptj`d&lp~3bTRt?D`Gmo5(f05r!thgrU@_3t6o6kFAMftF&;iF z#`XsCEA>~p#@QqOs=<7l+1%NzJ>wT81zA74X2en(g(d4(O% zHGyh4sZQ-Njz@WWd{5g{ZO*J12!1Z+JNZuon@9YFHvecKC*yC{w0%Wgt817|={97+ zXIu}UxOZ*51U2*VqWheyjE8aU|I|SVm0f@Rma>lmaUX0=&2Zz8b@ghh8A?v zroz{SfZ;b>gJum1@F`Z}fuDJoGX0}JC`Mp0o*X;ZQG5U?W$^kyf}exdhGNAiOfA2M zGl4*ulRs~|;bUnP_ZzZM!|ZamaU(v1m57F=)6{VSV}ti9a(uRCWs{bk%8(T5&l~-2 zv^m?zG$$}qm_NEs%tC@#r~V5NhlBr|G%Dx>9Vr2nh5^Vz_pCY&Ymbt_Z8 z43zxJ5h5N9KI}G%)%|TBu}^;vm4E*CxsmKwbR%6i$bi7Wh7aal+;1GWmPIFDLbNz` zEG7l~ZzD5WeVErKZn6J#zBab5TgzUl%bx-9wt6I=qhZeKk(sLInPgS687!a3f2JY@ zczEuO4B@|ZZGl5|z7m4=W;?a;Ox4QB99Fb_$BkX7g$ocLfqI@;EKT8mLi*NyCID!9(apaM{86F*dujQ2xa@D(= z#gOk~?43^b$?zcg8=U z;3g&+yId1}AQW(^lHb^lM1FQ&v*HpMaY!^0^OC1Bdaf-UHD?TCy!5!^IOCh_lDCvM zDPBCTF7A!x9aT`1`T2}cz*o!gvY-GDvqa$?NlG}IbvCRkb!lIdLcH_V8~DP&NU(O^ zmOtUeV3TfAj>`q~S}4>H3`&u7RR;PzKVp<`w}7omHSfGG*P1^JZ4icZx5K?70qNmY zNff2k8++K<9kiGb)(j9Axvf*b2m|(s@%JDJ z?i5J{F7fN{TtHk#^>LxL)ji+e=yC^s!DsILqVR@gPmw<(%NjhBiMrfPFcezQ$Z-;q zGH6g4>8K_tv2S0VIX-gBE2+q4P1hmg)+mZcwpZ>^&a!3JqrNsI6n>pygV5N%c{H=I z1}$jManiJA5*#KxoVL5wv8`yP#RSJ+IE^v)1|jl07}|njCbq;-Dt}VZ6&6XVjqiPC zH1gKZ?NlHgK)&O{Qnyg!HR=?VKQQ;MESjNuvY$4h8wyE_Y|ac*5EqqVy3QC+*5 z#6180D@oTv2*{Ie`SR#DqcxLQ)7l`67wWxEOX^~ zZEa;_Y@1QbJl2^i^3pwNHvz$+l(&8!^L@&fhsJNeKG767s9-H7b8YLXW8Kn!|G?mi z)V+<=-h*A}*6o^UgW%Ryvg^TyZ1FkF~ z@QOSlBRNOBVX~lvtX~DN=_-BT(*bNw2D@D|$R~RSZ|t7o3i(OcLiB+h0V&R&Q=s-;^v4SRVwkC;k+udW7U)fKa68tD!ywEr@YofeT!9l zi&bWeRbh)&Xp7a)7OS=v8XYa?+7^E_!f*O@Xyk!m($h5R{qWON7{cwvD8h2Y*91m7 z=w^0~js>k0nyXqLPS}nm&KAVHK=|*nG)eoBSbTPGoCEyls>9wM`9&e7K?g4&lb^QX zhJUQd0ll^(*{2DiZCxZJpP&Z4^{dDXF?di=%aat4AP(H4ic~+DQf4waZOwoujzT5v z@5N9g>&aNqO545T3}Y+T!a&eLyPpz}N0_UmV9YlY%4rmto+LL&PjlqGQre3?zhdTH zzmk{ZiSF$^XJL_}JT}A{>>iATSL~uD?mack`0t`kSQQt6+0Kkv5^(gxejBDW1xuh3 z2Zk73CH`2J#N{O#C|e$ zjJuOPG@@!=M8keSM8lsYA2H){R7^)Ok(1Ki78Iu!Ti=~{L|f3vOxZXE%s=nLLRs5J z%@~Drb!-z6S&S%2oBmG#kD-|<@)ur9Fn)QM`-f7$eU+z~(zVX980 zvdU+Cy~x@IcV7S0+Wa%ij#2BtX&vE;VDg zjf9)XlQjg|*rJuvvEqi!agWSKJrO0P`LSH|3QWlJaYI3-o3ZR@p-cM|BdI#F+OZ3* zaQ?5LG3JG6vxUJ|hMSUjOoI8<*!pVQ?Wa4B*Zh*>RB)0>X=>b~&C=I$dc4vhSwp;# zFL*-lQtvT19hZ}*d%W=JI2VtT5``?SMyi_kK_X$DIvqZLy&RFU^s1Fy0}({qL$FoCFym@U@0v z&`^`&K!3&^n_*>0V;-K|I!gEN*$6hjxE75}U1wv_@Zx*Z;0`F20dwb|Lige9ZKI0{ z^0>KESfMoi%!oMC2z*!f&Gbflnf*lD&Ef)f-}`cjg5@U&EC}4DZ_V(jiUUnU+lO15 z@4R>6g2XzeeHZU3@b#P2QY6lt1lUZ;Ql`ccXiE&s47CF*x8K=}4R#Y(Wo+8;yj_;< z#wNAlp6Jc&BgMPmmSBP?_gfvj800CW2lZqE+(?j(M^%ud#fdCdlE)gPZCY`8OVOs- zi1YsL)M;}i*311zNpIAQOk)&I+*Wt(X z;Rgmo+L}aSbiq=R*RjN$bq0s5Hg}Q{w6xb1}CNyf) z>L;2o%I$B>W@~O%Ko3+86c3Efp(Yz2i&40~t72XhojwmY7m#>t0c`k!X!vVHYm{@4 zQQ)nLIP(#uoyEpw%Eg)8XQGaQ&-8a9(8OH&w6;x65?q0A8ouStvK={WcKL9T6E><+ z4PBhOY!HN6HsNhUi@3ot<-*d>)8Cmdp_vFjc%oaoIhs(u95xEmA!+x5lcolHfVuET z5=9t(Q4`eHeFKfU@FmoWbl#0q&J=&@;^&VK>;Das{KkL9*x`5YwPVt5LmV3VGez3*%78}~Mcd;Uv02R;C zB9OWl2=lD<*=8$2NcGjE?3hN1jMozQT3q>>WP}Kg4bFgmq_XsRa9LJAEi#G8FgE7D zr3Us}4O@k+B8Krr+ZPdA4VqPbD&pIA-VDLqGyeHGs}L(0&zq7ZFOD^~Q>v`D093Si zFA&oX_zr_XCHp({@_qT=M-RO62Wh%-fS z`9*M65DpR~U!#bb`mJh9x#(@VTONoQFGj_&naEJ$raa6cbnae4_02Mf zx?+wis}}@LYr|A>0+;T8;-q!C!*Wj>l+%_iGeuH6oNsEX#M+x8Y-$uwpx)=6TNxuf zjOghWRpnG)ja`UcP#*Z|+N79hIwJHE%4e4131Urn0n!rlaCxK%k^PKwfWu9GJ0bQp z&T+0!3b`bX4Y);PtsoEMOxm|YYCX5r7Yg|HudX>?u8J!|T68}7 z%2tMa(}pT#QjbJc9AYGdEiLVYRS`AEfFW@F93&oO#4WxAyd0AXP4{!aMTZJ!Op!$Wn4_hkRpSv$Un^jFpmQ z3V;~RLDc!|)*9@j8Wc4>y(Y0eRX1j56EJ-kQ)jIfq{tH{Smw*##0^+ zhgzL^pnfv_>Ea1TWIMzc=NCS6|M?+)A6m#bhLpU*a}3U}okyVh4u5AHNDE^2jrF%G z1mD+`R%7K(de4DY6jIlV5^P$jOo2e0H(%%!p11K~#(U-QCDvN=MN3++rPXJ<+ZmQy zc{ZvW4(C9Gj77r>L3E;EK4=WXpT*X>5ZV>>0(N*rcOdv zJ@z;f5;b`BV2w`{$>2w?|d zTb&uw28BL|Exkm;mXJ>eY$(e)Uq^Her@lc&R+ge6vOOu{!Mp6s>3bWGoD*xx?K`12 zthH2`yWfvJ8BW1P!Oxa{jzc3X)Yst^7+@5!xF^vX0w4HNYLx!zk+;@&dTZzdNU}OQ zEctR8mx15(o%@=LWQv@cSE~yI(&#X&F&YXs{IiU!^*L~c3&uoH8J4+pzMc!Cy;|VK z8R;QJAV%;FpnKER`{<=I%&M^Y?cHCZ}uddJ+W67|m*WVbEdW znkq3q%jEn>*cWG(C2_>L^x{JC`C_!t231RA(a`Cj;^gX{el)QW0fzW66L$8};RTvw zPFXTF-J+?~CFCQ75JyU?rbn|VH)hlu)n+9L-{s>ei4+UMBIgHwlu|vx7sOq66aOf# zNH!z5u@y?zNzhA?nc$Hsk|Km9R61NJE7GuA!ai4i2z1KHM63TcPO9>tbvIJpb=CD^ z{}HJd{}y8I)&lkM#z=_U(T~Z#taIIno`)#z+Ib}LQ+#Xig8Tmb9gN3)FR7zHzw-JV z0m)uPUuO_Z@X!18Z74$s`&r&JZMF@Ic=An!V2D!|_@q z*~1(Ork7 zxqz9w`L{`&U#;*w_GSWAUDIb0JQ{YtO1(HQG~Smd1V&vCq&o(FEZqJbPsiCGXmQ?p&H`T{qg|(s;1*z;-t?sQ`6arE3Cs}=M z{9)qHS~dKgKsQ!$nB?4kRt~8@*-~9wPvSdDP#I&0#D3FcbDpNg{L^PGz`wY9^B&=8 z#(Fm)M-3Fq*QlRfA0s8|UDm}XhQ!WOW42UV6hXd~e76(%ly!Ov(E&V_@$t7u@CT`$N$u(CPBP$vn8)YQO!QmMiG)krbR7p&xE`no(zyv$B-?)8ajH<~$M$w4Y6@r*g!Y zfwcSWt0S@PZzNwQHP}2SGZPOEgAY)l)9&^ke5aX??h07P*Xl{5N7|ad`rt;cJM`?6 zaLjVzX}WwD!b75@$AsIajSt#xn1X^Xo}*X;Tn8|hsaC5qp<@ACR*~GQ@snn& zy-G=Wt>I!ev0bs9+H+*C$O`jaPj@9HWfSPuZ%fj`^wxJ}U*V)@#5Ejdw0=1Tl_#7w z7zVp0$CgPM*F@#V9unpK7Xv}66lhgDNDHSqya4+F5B&x78`?-1g(d4c{*)cJJBPk0 z`&rC_s7&=vhRc=V4WOzDP4DOCjiOF1&{81(hhT2E-5SX_v;Yq2hcAXr4NdV zrzPt>Jh^;ZFKbi4D@|>-7MWj1A9@No%r7{^g_67) zz+bGNGyFRLrMT`8Jxp1Va9FBsId=kr8CCVCVCX}}-M141yaa0LsQjIPHK&Wl86}fl z7k@eHdRx<0ExyGDSa#LyAY>TA%#hLcQP2nM=wIv{Clkq+duRW%NN8tFfa_X_>Dnr5JGwzlYdoN)6jC zI$pNtQDa`6VmUY9#0{Gz9HfA>hNEpYCLWDM=O>PrTk0VS9b#5(JjiQjtWT!s7kkqa zpSUlOh-^|*!HjnAU=x@!*4E`LI}TLD?tz2AwZ?^LY&Z73VEnaV$Pfd0`zaK>@;1H+ z4Gd=ou{F;!HTYtlLt|jD28J|Z4!MQ9)6PyrT%f0|zTMqYL;cpldSh2DuXJbUqPU%y3q7gHnB~b*?WOT=Xu`ApI=L3~X-WlkS&)lej}l0aNk!r>5BfV(t=k3E z?$8#$47RO9U6CC83kWqFTD%3WzqDj#{=Ag$^#hu!u~0^Rjh4m*%5=S^4_mp?z`E_d z?WMS*W&2w4wS=<-RS+Ai=nPiW-{H{hB=N}LHmb*#WB(|5b_Buw#4 zD6R!w_<{v@MjUvRb$Kr2Oj?~8{y<^_?Hd6+(7EXygG1iSG%w+(9H^v-szr;gtkArI z`!{oy(=bVT*p3;Ml7V+~4!;c1BXedPz2ml2&70ma&{>K%fM3LHu#_rP_MLLg?=TIU z?AO+l*BRD+v~X`pe8J4Ujp%J5io~}mD!!sYlP)K+;aUJ;t^Y*&X^p!kvnxdIQ9L;k z`XLyjGjS|KYV!nwHe?@0j?FW7^E0qFg0BL^D^s9Bu3=oxw6%URLp zEsX57#&`DdNc%5(#>`KVjH39*=xiB^)V^W9u~8nzRC@R$0;Vs+ z2|sKzb@9-yx;zN^o($99c;y8YF;XgYIX6p!Ab(u>(HZ+^A<7^n0D%b>^vnZ47C1 zPB-&uhigbtLO0RI5$O%X@L5Vv3!XXf5;oQr_K>M7fzU-Y3z`B7&+IHeMo*Dtx`A3p z2nF$pXd<|rQzlb_m)=uO8$_HJqN-y5i%ZwMV=rUP83I>ao&GRY_zr%i5}^ilig^GM zf>-Dnp*mS@Sx@S=HBr;&S`6tBKX5|CJ-n^RJ=hT(#P~NwBJEkB-2uBNqoYzi#ZRe_ zT5StFxs);;;&`#iUAL*5$Xm^*UKn|=brni31k?@s%vU^-F6l%?b%~)yHSfuc+Jin8 zTXbnt*hX_?W z?RpwhWqUk81YjnOKQ9WB*;yJd!dtR$x8p1a@*`$YO}F_@B_lEm=3vCz!(}RgVX1A4 zbFmZS#5U@^u=X(jI1IT%3IRd3gK zK%Fk#dB#^AG+{YXpQ$}-zkhT=un$OeTU@)x`J3vFPL>MiM6_Mrr{^tnuWYJ4JYq_1 zOHfg}y7)L`UJBxkw#^XQ?cjcd1SoVi7@JEyqAO&naqy<4jal#x)O<<*GH^Dk5I-hF zHD^Sb`=KV6M8CI_W+72BZGNL&>D-94N5ufRcb*s|3;9n)APhW#5_-rdnx7U47$Q5J zcYP=;v3_haE3<_T7bCfe0kLNo78F>lbQoV-{w&Wj#P2+q0oNc>4HfyKNwrmW>H5feiiSI1vIdlAt6|USua&yZ&c5TiK><`fPzq)b3^EJ)&VdaBK#FB8FkA$~Ng9 zH94h(5*3Fxlu%e%Iebf^>HLB`)^IU)doJODm`E|{6TC?eMKexy{U zqkPiToE~+)V`zDY;sZ#^_vk6x;raQyum!B)4l*MPa!xW2TWR}IS53T_NJbC5(!p;K z24K0b%ER}(CggBWFq}MX0`lb62!^d{3@Sr791mKW^36nu`Y5=orVs))-jpfNol?A5ZnUT}s1yt2$GfPO+6 z{q2B+4ePRdz5$;C?lpE^D5_xbWwC2o0>&;pm zv&K#omZn*-BK9JeIQF(1Cmyp<7WN7E0xU3&h7&~^sjFm)AI3i}2R>v#W0M0qlAn$N zjqYcT!BAk0#o;_-PFYuxKn>qQO*_u?vg+_H{tWIy^ugKAunfZ|%E`*4R$OvxGTUz7 zOfj4T!(UUKN=gYPW@oz*it^=11d9Y+$=~P-5no!2XklW?<`#nt$G?fyNhV~07aBWu!OyEWVKFWIKG#!?&ykQ zsF^>0kVu2vy=-G8l`2O02rFI-#N0$iv#2A{-E%mWhGCT21c?8fP8z`@Qw%NKty0YQ zsG2BF*tpq<)-Hw$Jq3k;ovJ`e)3%Q%bPp&W6r@)PZn$G4W`$KdlFRJ0@h2|nL5vuQ zeH?gvo1gUo3c(d*;*{`K2j5Yh%XK>3i=OD4+A=8DwCqni4Nh=5cF~ms;!#^F+hoX~ z%e1AP#S09tOt0OFR71|acckmYi0?NGfFJFMHnrK?g=D5iQ%GjQ%~YTzs-x#MAqGZW zU@@NF+PQ1%*9j-Pv8di$0{Qj~$Ko8|x7DLgtu-e%KUd&8<}Iq(R2vcD*+LpgQmyb?p@Mn&F`^8;;>d-mie(D*+PR5%dU83!_QVIPbKze? zk@B%dAi1RXKVlwrWi{MVT?p-|t}2*;Mx=GhUmk;2e;y|_IJKvkDOvj4S5wgIO+3Fn zWNF^*e^24eO6GJ|$j|{kiRYAvqdX$TJ4R;n+M7J(cGNbqU)s5R~pKD=S2t zzJv!Tf#Aj$cvH--;Jps3+MH zz+IP*jIunIXDQ5bP%>qdJ(~ z&f{gHif>e5nx&{dzQu@U$uqLF;D_5}a7SrIW~{lz(OvF&fs!p|t0RtgpDmfgYeqOe zE%qzReS@A(R_cd=MUm%+`&)p@y~q36DQc2MtMw+Tv(f++#pNjVgw-w_hPEDQan`T&*20rzMQ8Zwty?EO{6DljW8MP`D{=UPcQq zs0Iof8d*01-(aMFO%TuFsaQ4kKbVW+u+4kut-MU8yH_6N-f#CHIKE|}a~$sQ64}^$ zNj67ivTj|KYW-4X&RT6Ew%vIT9W3lqRCA{Kn2i~0t$&LIm1nN4D=QqlcVU~po`1sW z_nzl{6lyEF78TqlY~AO&s~PBY8PYoSugVH9bN9HLI4+$g{7Q3}^lsY8{_wFGiGDfm zi`(V>(3Mi~Em!;G{t;pHr~?&}!enAuiO&7Ki!mhb{e%6=zR=J(Td3(lCM?Gk!&ynn zJ0UpK6B_$;HsUB0_Yg_nf+q&*kuAndZKk^b*GH)duJsn<{`R@v{s492!^X3b^AQfl zS!H|?aG=o6^%4bwyJUx&&QaXzI=r$Mfzp+p+-JTH*4tgw|H9|YHXo{?6QQMA$?);-Ap?}uEV!qUS?J#U@$&EmG( zl&+o9ib#OtYSr~o*qGi!DtA6Z8qtxM zbq57-_Yk7rSO;SV{Sj8O}Zk{l-fdd;n46mxY@kaPcij$o|0`P+2WAgm&F0GCXx}F^r zu#mzIP7oFMU=_a1!ff;rp$*h_tAlgPU8ZcO(8QA8I!&CRcvvY|7oy_Gk&*}xiF&pa zb49g|*wfLO;|f@(lrYQ6#b~5EZm`N@`Ph2j#~Vq@ z(aCbYlHlE8R`@Y{5wI$8f!MNA@j&X?<(V8*Hz-PV!xg(qY^B?C)Zxt!w=Y@IBKjiz zaYD0_#MPK@It|8}pcrYI;Y7kxyS=w!nO8A-BS}evrS6jBVKQ=zvI>@T&i!zya?CUP zo)Z5u<~*7BEALL(^Nz3QbSo(8;TX|Fi`D!l`%LH5wb<$)@i-}w{pF3h()ii=fqC4u za^>>036}=sSv#HCcCfidtE1fT1@*$6e$kU^YnXGrV^L#n=Lx|Ld>5r+B`R6@Oz$TL zE0L)pbbp^-b(u(>vRM)Xb?p^)g_)VLG1H)o#_h7#Xu*nTCr-y|k~2%CW6B9HyjX?$ zyxSpUN{1>UjFis8tsx@%+LJIxD~@?WM~dG(D6+rEpwDHCoMagZCFGz_QG@w&uT55g ze_Gsk;c474DT$YqF4cw7^|_f+?Thj~|Dk>mp^jAN8iF7fM7pKrCcMbhPb%XeY*}Fv z{NO!CULYo82$Wwy~8VcQfp*Qh2qcJv*1vF)$ zBhAA|fuVg$@fx&dk>fK{;y#ZK3H)GMw5OA$3h*F+l2^La6ojP0A3-009;J)t9P>G_ zhTObB-6R0)>W0llVYQAh!9@&fRx*q=LiE~}tyq)TES14V8ri9YjWueRaZ@C&^Nwv| zDwaTvmQ1^rh#O*iGD z3=>_^R6ay9YEeN|tAP!RJPjExt4~fbIjBgG2O8bFWZoF=t06op&q-0uE^&GL#b>;D z44OfGBy7w{QQ3-?(ot0bJnpI?mq1bem?^vgkq|{~7+AtAq?e--E1)qYOkVd}$hcWq z^Z{89xWvdfGcrPIcO1GmWd_=7T0$>Oh_8>v> z!OOpX(MDtpbSqi=1nNSO*k#Oup=`(u_d={!8SWl{(XHC6!bm;2Bk9LPU6yQIsD{dF zwt(ig;H<1JGfPJ&h6iuZ9Y@ zq;D(TkBdvgNC*c(KgZIU{46#FM=s^uO$s{C-vaET{>0s;sG-`_RpANY>K+WVqXyA) zZ@JD&y}OPyE48Irnw6x4v(bHf^I~9cyu|%VbNh0D@a7$BF`e0aJ6Uu+HxLs`L)1v8 zgBO2U0tJ?nw{QrJt#zjzJ?Z*~*Udfe?c5be5pSnt`^`WKUsZ3|d1+djT1o1Lj+Nl{8Md)fPW;Tq!fTqn=&Ncy*I_M&efaD!rJB zfm(!PR|1uF>F!MDy?MCj$qbDu*>Z{PSKTvT*2SwD`b&q0!}Z$sj%eIqCU-OQ zel5_$ZHbq=>`W|{<(W_0!`JqdV%(=)Q7!j}6!z>%kB8Enm17gs4$fsKdV}qSkk+xV z&K3Dbxu@mEfex;GlZOH3GLv`{ub16v)J0S@XA&*grvHbscZ$*^=(Yg6Y}>YNyQ<5! zZQJUyyKI|Z*|u%lc1_>8>mS@T_hD9Mp2#>68If-pdE)H7<&S08GZ!ehj6a5N^tD=^ zx8zd+^B;K=8+e*H+2tvIaAA6Vaw`pIJ$?e-09#M(k*<_B@^$+IVumpbhmBj5>T;Ux z$h6-jkB`yOxXRBV@OBs8?)$mAP#Enkn_ds-@AZ1->pZG5&K484nf7m4Kb$9%a4gg5 zNzQ32XWJD=;V&4hLu`1fjJJKCD->tLF_vteEez?5c30tV%9khlf^nVBx07Yrad9y- zIavlb)!8lnH?F=(Cu%;-ZFi!%Xj)s_SS)YqJJ=3fg}nZ}0u9GyLYLqDBZa;{EIrL} zA7>)gnFw^+EtfH2AaOR_uUOySDnGyy3b=s(4f)B$^dB^&HX-{zY~_Dl|Ig!p`u@B9 zKi>b8|9RrSTmMu0zsvv4|Np1Qf4|#*M*9Dn`9E#{HR^xg|Fi!S{rO)&(f`Q0|L^Ee zW-c}+j{gh&$(dk-`P=^gv)bikOC*Yz5f?8NZ}49f8Dj5OvZB$_QiswC+tpo_%zyZs7r+ zpL*MWr_Fe8Cp}cR16InFOXb+M5K$B%0_3{x$z$-blmzl3P6tXOknyq7Qw4~}k-5_? z!~}>P=SKVQlJ;+W_h9F)0HT^G5^@>5yuD2a5C!Vbg$W=B6i=5Z*R8DrNQH3J*@+y@ zt?~z}tWBZ!NbWNk`f4eFGJQ@(Gt_k)e(O8o4P`^LKjs8v&^lbDR@a|lqd>lYywFk7 z`O~!3bj9GIg@1JCa$K*ER;E1x7KX5MqtpdO4AeB^W<4*Sy{4x#5uZRQl6AZ!h$o>Q zH(Ff&du&^BZXS@nL&!r@Z9aWf4I|j!yr~xS>v1%>`fjo*Po)OYaVrI#SCI{GXf3`D zij<01Zp1QvOF`$MIVy<{E2e%+s%WYk95vF!=Q}WOaHOW@=Zm)be6K=RGo2>CZ`z%lMmEVf+V5=m4(i!ic>=P1kp9c#0K$wMx^znKT=hjLD(L3 zJ#yiR$nkakhOoepnD=(c;u3YPD}v0BW_u(=TL7;p_AFDBC;Ky`giuj5 zwQFQmqRI;O@H<~PL+mCh{}F2$Eu(M4lFhVWn0b*?QidoT!xHAI*MfWgs0rK?FuEn- zK0m}7(lC3$=aP7XB-f2&N-W4`q5sSZMVDRZwfHcvMliPg=~5pzeGeMF@1->avsjj* z;elgbm@66W%CALT+R?W#3g?R_7`H%?HC@h%+E`vN^9FkCiNj_ba{dwpLr-PS&jKbI^m{fC`KwDgi2U#SoF!;|X4^i^ zIh^n(JYl!k@2{l1u-eOlRRSf)A4${*H4HI&X>?HzQF*j5X|m>6s7nMWxD+pKjk}a5(^jO11`wjfSs=g6EJx zNL?A+D}f|_*$5U5$NZF98bUV)xgImY9hD7JEDT!$%5`9@xDt>FeHAnyBCpr=gWN!uVauG*izSlqdvd@x|1h&^|9)<_cjY<9$y|+mC*3?GuC6 z0nT=ue*#$D>nc|&y2ZqwDil-q18VxaLaavE9tkvi4(R`fZ!z;jY5yX0FABFL(Vr@; zZ%@&Q0jvwt)nQN6|8UQF4E7Y}p8B5>d4=Yba9EP4d2%_q&kEFa>*sy)NegJluT;I- zihcj3F|eEgbm#!6v~8^8YKJ3jgvJ+$Q`McQ+>cgX*|hmb_})3?mx#LxQ9exh$r|EL_O3?g%B9C}pQcg!+qTigJl+4cFQzL~Wvg~n`_&GXG#y?yfyQxi-| zOdU~aRc)Ub#cfOa=Rehv8X$!)h3P3^>4HZ1z-~c?g7d;kO$PWI^mAVVb;T{ULbWxd zJ~id^94`1^e|X~yj0oJCXHlC8S=n7?;z}QpNWMk)9A{hHu}SU;J-QwWug|9NODn7`x4?qQJ37D zk$h7uU(k_Cc|&(ZFm1-^c0}#$qObO;=e12;_D=sflHrusmaeUQ$9Wa=OV(HO-pr@e zO_&q!APjuIIkDiB4&Ioa*_pVSiPhgXu9Lo>yv>F0NoC;WT7RHDa`(`T6?(p-ac!lL zn6qTGA-Z%%y*y=$JP90E)OR9c?ZC0Aebipqf!wGX zs15P6zSB0$pN1J<)>IEIt*$eQ(daR%0tZ9QXQ&*;;3M65(= z0P8;6!>RH{{6eK)O!Ap0dAb4WLBOryH8(dpr9vySI3Vzs3h;>QcCLEbjQ)}kyDFPY zbz_H9Wgt-`Ju@A#g%;zLnPT1o)4h;gS2eHiW|RsiMs-H@BQ`g3EAA$Aa$WR$(=t{W z%nsEo9_)b0xCcCl_7sLE)w}sE&@Wf{`LYGbKR;y_&0aU%ybrRd#WVlvZauB~#4|7P z%dPijYbCgo|7yxbCuVgga`W!&WR1X5o7yq_qK3C_0x{{O&G9^>wn$r0M(F7ga$IiM zlQmT@gn^3h(6&`oT+j*6cOEAi`M_bkD&I=%DSnn{7cbAZ)m+L8qA=;DkGv|p6XkgK ziqy`G#uA0q2BsCiOD|mS2sYyk+QSV%J+fbT-@KaCDk~mz>`d+sVLFe&35V5Wd@xwPHSg0Wml}-+DWV`p;wtT2#iud}U?b&nAqS;(xRWdOn*7+hldgb`)VCdl; z$@4G~G`r&#&4O2*Y077@^kFgQ-K{&!@XD@$z3t^lRO$qaU<5~V2VcYpN+IZfLz~}6 zvNwh70r%9WNw?xfwrmJ88j8~=oi*-s)Y;wu*DUMCHqb^qU|mmw;#e5ZH)QNe<{svMQ;=SU5t=@}&Sv2ZQ*?=% zPn?XI9P3s(j|*^CE+cR|wQ_YD>b*(jaDsWBQ@OPF4AutgzyVGyO@nHdzOQe7n2!Az zm~oAemeK~SwyZ@2@9WsvI+`slk`dMx-Ie}c<=hO=jA{i8P`F-P&ez`D&{S2uw6+mYa*<@+YP9~nQ0-7&>hhVhpSo)~zW08&lW-eYgb^2=*$ZM^} zcCXNL{6sz+ELLegg&=l(1}^?&$}*`JKOWXR&_vdQwa)JBYWTo@fok3m?K-^Xo!~9q zi28EF;9bX$JmBL}WR>HeAWh2vGJ?>L_uCDBBDxzZK`0+yG)@pL8cn~?l_VWr(1!#x ztD;{dp(R^aZ?ta{f|_)W9!JnczOCDJMbQuD7N}QriGgT6XXs&sD>7hnR6DB0b5tf| z>MaC*_ZhEmu5Os=+>IY1=k;HL#n&SpZ?U9CSd_=F4X(8B61O(-n?wk=4vb4z`*?8e z9C#dlQ1mS*Ik9WRCRe1#4_2$c3N*aVIC5>mt8bV^W69ghN1M{t?60aE57|C+=hMAi zw`52NJKO%XPXfVIsBV=ka-X8F+}R24Y1mA}5GKi6YSLasZ1X|=4|q_K3hZU*JnjT0 z4l&KZ7xBF~isLn%v{KgjfT=oD^#?8PkdEEbbG3Sm_FJUkx7>EIrk=`=&vBTWou2ch z556ZD;UC}6e($##Uh5tTzdhSvhwCoTWQuq0EO)Zm=OotHgI#Cj&&k5sZYtJat*|uM z+s{;9C+BAZd_kd}F z|8}D87sJruWC~s_6pwrpyGgjO-aELWOCc0M8gd%Vhpo`8m+;p=Y`A&C9~>T+>lI`- zp=8Lu|{KJaF`2+%s z0H%m|jfNiDhYA~_4-5;IQ_Khr7Dm&ggvl7xzvn7SeJQAR6e#+O2iU)#KN@sc0m6V* z4C|+WGZK85=64>Z0mZIBy}5B6z z7$=;*gb{}{xEsWGK=uw;nvk18Nk9JL(rb>F5_DZ^|8_F;rHJLQd!5Ht;MXY7CBi3q zMm7+xWO^@cXmDBh9h^NZKy%jWw4%QvZy{{v*M;IUZU-zSy{cs!d1*+iC3w-+f3d9HZsjw%}1ciS!@(6ny-`_LWI6Uzvun5Wf zJ2Ozi0_GOQYxp)bw~$WMYNaA!e32ICAOwDin$H?9fh6=B!youUi)6Qc|MQ)&-u z-PWeC5n9_4VOGQ*Q+rHdb-P46S+?cV-*RE$r|!&u^-;j){U=mb|07)XXQ1RqeeLll z_4BdwM>bRM_MfYT{cHSR4+6jU9>AIQPo)ia`OG<~`@JvAi{%Z6Q(J%K4uo&t&ZZ-;u05tyHqoo ztK4NWA^`>t$`(_Fh)D<=FD)zSDzXVYEaO>*!T4xP93`MlS!T77kWnR5Wn2c2sPU?Cq6DJ@(15%q5d!jc z+-Ce2H-_&ea+t(9%HA?JGjHi=M9(Uz*44FTbrXjIwPi;3uZB_(yMlUAG-WkTV2!%) zAFw2wQ(0ODDz^tG7t17;gvR^n+Ws5{Wod@Sw&2Tws}$GN6eG+1i%r(M^~2#;4|SH< z>sKFbUB!C7wd`yI2C9jRMMN=Il-e!alZK(}+@$n5gP2?BW+K{63@9jDf^v%qWhPaf zVQBJZslP{mE(`M!?KWs}#FcDDvKmNLOcb}OXi*20`^%1UV$j+a@shQ*%<*U!OnqCZ zhOAnavdJw1z7Ew-N|WD|fR(CBrNoPKn#!^7zZ_cz_O7>eD!$%4IwKgY(L0@YdD%5WL3*P({C-EmSVSQ9Ht3kT_>P358lN)JrZ zl`m#2EA_0x$?X+!Itw?l)}Jx#Vy@%$xmKsgjrF7AZD@3LdsQ z-!yGKyVE*E?CSp#`Y7jq6)m+mqMQaxRk2(mOO71!B@Y-n+hN0I$K zJlxSNAA1#FR_bfB>NaeL;Fbf1WH~gY zmUg2Z6^R2DwK=~>2B({#zG)LYrCMGDHQW`z zOnH`vEen=Ge)XK%?tW^K?mmq9d%r4V2Z_!VjQxrlE4&o+3{*eQ0#zOmdfLYOaKga{ zYvrh5X=K$yY1Kw_a#0qiEuT05zfdbqv3jIT4hXBdM&zBlsP>;p>5kQ4OWB-SNoHv1 zX5w8>4U&u%qnRt&rB5$H`{*xUPafu%;&GA&j9I*EhT;H-cd0{J&|=j!3Z1M~d;%jG z6z*)$W}b)0o^8UVpa;mTPykZ5Scy$g>zHWhR&T8o6qW~f^aGCT7HF|c;uW{VMI*$t zf^Cu^#;i|xgtn~P4~Vh-9k3EsB-js$UPmf>zU23y?@4E#m?HSY$q+vhpc;P*3NxtYYPooeC%@OpZh+ zA=y|&BDp5~{%s=ml+rQ1G*?GnH9e-Syg_qhC`eKheGD;WC)E8>-yRnT(aW8I zN#q96)CN(@MsXNqTZA_;#fG{O+N)-rlr^|3NO9o2kgnhuAr2%>nd|U*HF!1f7En80 z6LJ%9CDK!sak$9jWlx^J?2qaf$D@DqPwaQ#hA38xCv>D*af=E}^{$pbQ9`rvo&;rJUQuZ*VS-0-A#Hm>ixs600k@@;V z@@$+WJ83&+lGeEow|WjtVw7C@^AuhVs)5YJbGUZ$p0Yx)j7fQALLMEgzzz^|@OEGb zuxH3g*xv}hVVWSw!Nk)^rqrC%xCZ~F_D=zsZP8Ae#9A@Ow&LD5qoEn32nEn9sykMG zk|(yO)qheqXwG8(i)uoP_7&~v?AoP^fgQ2G#3fzI+VrJcH6WO~n*gDq!o|5FxS+Cv zVWVtm#sa%5>Op!sg#>5*A%jX2yaIR4gsVYUp{5a&a-w*&SG%T>dgZOt z2~H&G;Q>1_>B}^%f>~sSf#v0s*;zHct(!Tc<+oD@bD#vJW{MO%?|mb4w!cJrvOevC zTn5?z-p}!#8TJ4Kwo~e8rEoc453tU;UVSU`vaYsWBbzck!R;2YlFWp;>UdpMK?K4o z&HZNEGq3hQ8kxY1J43>n@ueqR6KPLbt6@YXajj#t_3!R3+FFx`(T3~H>5FT2e|Na8 z3BUY$<~0rXwUtrXp7<^)v$$km+rLto$W`@CQ#8Fa zDKX0xl``mwS_|i5q}tk)dpZZU^_G4+KH*0opk^07z8>;hP9(UdR=-8>WP?gZvJX{0R~rX~bBHx62l9a`j26hP!DoNWo$z^SRv2;I9~ zVHUnoDQBL5i2c0ObwSbzxp8Ryw1&LlWANYA_lCKRv^cG#@?`Y6CrlwaK1uI3QCKvd z=#54D3Yz$L;I2M+N);;J_6W)^3N4wbN93zQgi}nGaA)q7d+*L2(drJ~j_5h@w)%j3 zOI$Q1IwusaQ0GBb+l*!@p4IpClRtAAs^>4q5N9IqX>5i_Vnz+k5y%#0 z?_`hIqnARGP8@h&3}T0dCQ$_|y)7H3-EbVas% zpV7T%eFITh+U!Y=_7A(|j3#*?iPuNSJ68S~em9Mn5G!1*6J1{+v1$2Wfo;W30f~y)vjiGtNe{~8=oZYx!`+_ei(B@xzmT3 z@0u8QziO7bS8^+#$Z|_YY#78y(FKcw);0;fB8@9ADm+natq7BGs$dHUL$J{(mM*` zE=gvF@JyzxyRPf5qhHxx!E9BSpLRXgdzJfDcMpQ5(h{zJ97c z_0#c#W~2Y~19(L)3ZI6m3s4)|#5&L(+T?kP@D@V16>qBL=dB-CerH%VpXn`dFVHVs zlrVr)0bzXD406E)Nq8$?E{0pCE{Jc!vtG&E)i~TMIffBnM0BEbk?nV@QaTMGzKU<; z9X(J{JLn2J2{!y8wl*lY5!qy529$eMpbNts)d~)>IyO=kk9hE8BNgUZMgh8v3e(D~ z7j72A?}RoP+|Egb-z3KKaq+_d-f=a9(56;1=lAY;Cd}66vJYZqj!}M_q)qOI5aA88 z&$q1nW1+;@^Vr1Kggo2TKFE6(m%Rx2Z&MCDjgKVj(oEt$(M=O)^xF|!c7+o|?0%3J ziJ@N}Xc+fi)f{vOG(<5%F6phA?SH7}z}|V&yH9Z1NZhWms$|uf!d>W8CJVj_Kr6r5 zB_i=VVZFF>)g16l9cWr+GmYTjcA>9|8y&09f@X3K!EI65Zn1n@x^bpouq2t0GOWV} zzMx#Z@Vq=z5&gs5^rbSM)z9vJDu=Tk6|)sXklicJS~GL+ z!baMLvQ8l2>0^hiWanRX`y-ZV@3QD%D(B&{9OJFeInTk0Mx@?m8U4KB7Enbj(95=9 z&-0Y;e+u7_bMkNs1UaIqR2XuW5U`kV`^O$*1M!YMW3xAx>h4m+8RY}Q^of7uQGG+Z zb@Yq$;~LLktB$8!DEI{Zhb-?Qr>j{8Xm4`QEUkK>RDXHu1bI21G8d^IgtW*0HT>BTrZEJ$E*?3>yGvdT;JL2e zN2}M+ktUdvShvoIDxLNFV($tg--G83uh)VAyl-)>g~uJRYSR66Z)?B_J# zooh$9;v@GDL}$#B13gsYjyWNC@?h`l77BaUN%|~}6~vxHL|h#t(gsbEN=1sH1tJ&C zSz$#YK&4#BF^^=4>!Dv}ntl&!)iFATa~AXmLDo5N0BQa#lC?SK=h&2NCWvtBLoj~F!u>l1D}sdfcq8gim4q& z#vg30LI`1pQRv9+;ek8ET_rHh>G@dpbgDQ$&2Py!hLjL|yV8L%S%8jcaFdu(Qv7_w zk!PQK8mpMm|BFW{fmBZtjR`4%iCFBhX~SEkh${^Kf?lYn(KUmPf14aX3hsKoFnr5t znRhW^4|kn!f$ByA{jRlH*-5Q^alcOqA#y{9|;7$u0qWZKwE*NVkTyx8OnrdxH_e#d0 z5Z5|UaR|_~DyBI+ZukoWY#h`@Lt_nfVw%7FH48b_vw<41+Vtwq!>h{#S<6XklISo{ z`S6MTDFxYmU&JI3UZ&W8g2}0w#m4|LS{0ILELrl!p&??!V0w;YWa^;9Vqu|0ynFjM z6`HrA-VQb)WF~Q?X9*H~vK=C{NREBF9?=jleZOZk6%TN1TL_U*2`KjWUAgx2Mg!6; zDye=I0yG#cqB(HSi7EN-5~^=Md=9=8V_zu2tHQjuEeb;*VHKkI9Ry8&{D`M2k(lNZ z<_eB6BtC2bBeyo^$jISy*T;%+yyJz>22HaNGY?Kp-ZAofa(+=TeiT){g)h#~0q&DV-1!s9PFEeI7u48HP zK!*_6pg)_$9H6kIf{cg60j*U*7l2c0m{Dr#f(BY@VwgWnIWwl7dQqnZaZkIq^`rNL zuDT|kR*5gFProsP-nVa7cW!cv?p1wNb#-;Ww)EvoL@Ih>YB4!ue{Bxu8dfh*?|&V< zp78+}!nwKlhpt{bcNAvy=W_*Mxa5lLUHcQ_1OPq=K6r<53x`KtT=WG?u4~;+unG^O z3$AOG`h-(PPFcW1hOlcT1etKvuz-aMdzAjDRDh<8?^b}md3)Xb0~ zFQBQGTexz%|yErqTQjq#9=8tHV03V-~rym}QPy)2oq43Yth+9!W! zrIPU7hqfjVKT=d>Ry(9g<~8+9%~EcoSF3<#ar4cd0!&KlHL1`htH9-)-+)sYR0JKx z4q~8CPdsVd6;wlA5jrkj$2)gM5#^K90Fp?v?t4h9k5CW_xZyF zf*N?%+v*Rsr-d`}wpDH(XU1YNm`StF zUzd$%WF=TZj}k;f1<0b8w+6~5nka1gs^$@7%?N@e)6$0jwt;2!b2t2@N=XANs8Xl= z#3VjdBoh4^sS7K<(g7Ox?K<1j;7Z2j7cfi|E9Fa^Z7@624cegLB6X{6gQ(k<(`*)h zzI>r6@9gtrhBV&kW?(Z}uee%jG=?G|0SDHzf?ye%OH%CWi4Fn?+^(!>jwh)x zlU;Kj-mlL=8!#~ z;$4jTBeY=w=c!oLtl0t*$;i}3a?@%+zVbm)GD%2OCZJc3ulHc#bfjZyJtU#DLe9_| zzDCe6Zwkc#>nE$w?b~222bsIa@9!qJi`syC3wKH zowQB%*Iq^-o6LGUzhCm``AxgPQGfy@W>0B8aG_hB*4X^K(?joP<%2|Mm}(R8x7p7X z+Kc1G1c%yZyN~wJ!(aFh?Y}L90;>WIK1M&A_c2{OcH}2~G~fY_$8NiM5b?sYH8rih zlf#B2@I}yG@e4F)qev8WesQEw32vWJd`_nGkXMbuWCT^0E3t4?)qun&5AeF0z&m(@ zz;Mm4tZ6R7@_o2m_gz(Jl3rRPBVzd+Tyt))CIV|>y$pxK2U3@rpls6P#7N%H479>C zDw-JtO;I^CYM7EbF;m&SPbaQWF*!*h(YFR{zr6A?yK+G^y48|a#kLV4GMNt`eUnfU zDV{4udQ%rsLu6~qj=PKJu!VY^jxkdH*T?pqmCMTK_N?gyx(kl#taf@M=8MVLavFBN z`)8fw@&@yh@AF1Ys3ZfYLwe5|&&PG^2>LxX7V}y>9rEKb2ko}-!at%$5(GyiIl{@KeM4J(R>2zoqTk^IOJVr+M))Ibt$bCyWpde`*|Y&CX5bOWQo`}@}m-n|#SF~vZ4=}O|I6)7tdFR3zOHzo0!*@qjs^yc7Gx{V=D;d zjaAtzZ6mwnssKwP8AD{vW+QqX%{`_1M#;7+J?YQsM^TqB6ZQ|hh%4kWPGs)CNYsp* z);?0Dh3sp9>VxQ8TQne_Ri+S`~@K9c@%8~{nNM*aDtt@m;= z6Lj*-X=8~mM?qU<*M~)uuJ(I>acS{)KO*q_^I#w8cTD}!ktuL=ox~-2)RrC72G&w? zGaQ?;(mk3X0{fM%hgHxT^=c%=cfQ~8WkRFBp4W!CJMO+u@SnTSsF@=Nd2H^kRSY~1 z^TDPk2Dh(~OD?s{HT+yvJeN41^Hk+rt%x&;efZINy`$xPX!0!VSIccG1{UC$3J)~A zf4U(Oyf??$5+`?Wyta^`5B+*=`_wZkGj2Bz+XJVJ{8{ecxzjAaAB?(wl}nD_OVUqI zJsmN(h5p^t=}Rnq2_0>P0wV`a6U@hj3_0Z0@63WdmrnZX)p(hRx=?AVm2$$4iJVwy zs~$Ik&$_tf!j#BAb;3p`J3w~@`|fe=mE5xIsrOef9`c*+B`f6MbaVJn`tW{|MFUtUl$Lo3a)Q&n?|2Tq ztFuN1m|#;^rmG~^Xptu(vfMk5@Ftih^y#vOxOM^L5?YIdEY4iA=6J_Ijz=x+VC_po zLv-*9R2U}R<7H6HyK;#`feT^Kw|%VT!H^NGgn8~|MnmqOEq>C+0)K*!56SY?=Cht@q@r0O#*dWKrdC}4$(zNGny+LQ zbys99Lfj$p?&i%L-KWG5x~^#JwM@L^1)MOBbT^s?u{^^n22Vper!}n|kfM9wSN(xh zGvR!vR8>jGJ;2YclA~|gvg;Ula(>wY!EY7z2Cy1YKFI7CcTYS4MRZXQ=*`H+IhbRe|d3-w`44z0~SWbRbphy zY*w=HdrN?c&5i6pWfC3}dml02cBzZ*k5Nh&>=^XCrSp0Q4T_EcWvAhfaO3wJVrJZ0FT->X`oNHmHwhqz`^@4m?bAQ5!i1o z>XBPfmS3m&!>LI1FbO(ZHzD31jTGtX^_p);tP)k(j(bPga+A3^sSKL!IFAbPK8II= z@?(HmKM}KQ;&1c0cQm#T$T1FVSNF&)MqqyGAmdot(e=zTDBC}nc?yJjcEXzh*Pbx< zGr&BVWFyAuH%r3PPA$*e`*kGR4gH%3$rT4doBcro3C;~qzwh&vQGe`CXZQZ=Jx=V_ zEBALi_;juP+v@>coXPh;}@VW1d zos#WJFEw95Us2!5VmHf4H|ol^0(p}`O?bs79^m7CPOL>SGcw`?4`Kz2d1_|6-Y`ur z@L+Zd18T@ws(j6F1Jy}Ta*hNdGQn|j*VBv2PweA-pvOC|LPs0gb-jbXz$#|ka?VC5%G@fjag z4;$s`x}G<$)BYwtU<1>gOw@EYpPdv31j5PCE@x!%;F;sFo{qd;SC_@2(D<{8|%YnTzM?7wr9-KVA^J1FFv`urD0)>Kc%9!wWj!XXOv=zK>l;QngUd;d)!|JCSrH06QXb|VMj zo6K}>y-%llEbvu+k#W;~-nMX(?}o@_AHb6dtyTc+RP%lFaATeJ_2~!q&}zofqdm}E zre2=zd9Ec}o#{LKWu5aDVUMsqQHQ@nOc2Zn%B&ZYr*WcFIWw*y!C9>q&O-S3*P~G` z!GnZ7b{0E^+qSn#vJ)!wH&IFID$ckBrI9{&SZA}P z-e}52RYCQf+(vSrR(DjV8D(G%$dZNmwImm)KyHww5A-qXUG447?Z_L0C)!S|z}5k! zSNljsAQ9Jw=9Vz5^s(kW8Py8R=&~<-#Ma4khG?P1&FMF)X1lmkdj4KgQCCx#dgvr%h z}EPvo2^)L~OkaKl4oD_LRPEoUH2Fz4_1;lX#)Qf21ulpEj+g@~1Y}xhCSwfXb z>&oAGKFdPay@ZmnO>O54OVR6SVg<>$I9Z4~XgWBX$e+xk5ZMXS-t^SFoD1bv3O)59 ze2;BLC%Y~sRigDEeur&WWZ49&+y)(7Q_tpf1#{#cs44w)k@RZ~AV#hX3u}e^u#Hc5 zG!nILT210Ib(3p9ed-Q4Y(-IB^rbh|5K>dqe4U0)*%QF*0;d_zD(YBp5n!WT`bFnK zbKJ9kh2j|`W^u|t?YCBU-P+Qt*UbU7mOo{D<*IZn+jgBZty3O?FM+Ww)^!;UA;E+L z6?>cj@&H+**rhCMW|)HUat51`xYEgOp|`hlAxsjz8~5`;o;J5nQR!$=Lg4r>i1?Ai z1m39}bzW+Do`(}Y#aZM6A_|D4-Dh05y557N5Cu5xs&lbqH66M zkwJsNE@JU=Y;#I8bZ-b*`fKrV-W9A;7J37UxlV$av7_9lArKA zsfd*iTa_o|WFVde*90AAR45d|7^9^7so)7cOGYOJggHsnp3nkhmvwcCYV%TFV}w}6 zI#5;LggQj9O_S#6X%|E9yDW@nQc>~uhSmRq4od;BY#5D`}%iqhtW;6qcY$L5n&Z6FN7=vhh3MW9)T86kB2M1d%J z{WEz!xcz>!lUj^+he=5u2ef;*Z`IhiapuULqX+qpgt7=2KWcaoF=OX1=g<7V z-wz8ftW>VTefaRiinie7p79Ocwicc;saW&!X5y~z7TI1y?2Byi)dg zP=5jyeq7#6XMQdMf*=l__>Me3c^`;)!ez=9)BCl%TR!jZ3(nl@4;g=K*NXAvBkdxu z-O~#MY&@b-51xiEoX2L`y64s3rS;Efe2Z%zQ~vkLPj09mz=Kfa8zc69epGBcHReo4 z&8A7h0UXN_*mV1p3j{&xKxY~EKBn_yfisTRLmgYa5QNW}l`^oo_zpMi!3~>(G4u8; zhePAH1P4cUjp_0;=hSJO9$wjM$%)ee6hgWnKzd5ioU&vR!C6 zG@bnXAZJZyRBY;2;s2MDhLyCH-TH^Sfy0%4=t=WHJgCwal|sLQwc-G^KX5Kp|#`o)aGp&_g558=qU=k5U5L77Hka{o& zKO8M#Z`awxAGaphbFDNfH4W%5FK+65$65OD=A7wTAd5olmHt;F3+=fl#^sRXAN$Lw zs&z?qmFY~_F0a#sY{^rtUMmavHYbwk;WKDtU2j9L@Q2e_oU`upvrEjbS}?|SLHAW} z0TBOPdI%-zrhe%3uap5TGKEndgOUDIUe0yUk+HAld|}ojh-3Zs?uK{JR+4+YF@ZI7FME`ES$fv^i#z_h#q!6~!MY5^i3&0&>_WOSaU9CywWoWT zPSx*^&=2$0OLN@fJ3|{E(__)#k!Zc1p|i-InH$d4Hyi2)rq(|*U9X*s|sn^yD=y0RilrQt*wW)Iu4c$()02TJpYz{@dk8d7TN?m6t)r)zr zxqoC+sv8E|N?zn^SIk}2Qn(5}uDCDjAY0l1b>xQpTCsnd@q-D^dd)TA7(z z*6Zh)*v9j-Vc?cVUqPX^GDQ)tGUr;UOH0FHY;?BOwbm)aOLVE{sgi6;+SanRTl*Qy z7sVxS0u1~YkN&~ zb$*Sj*MgFz;}o>zSt9o;;%4t>$uN7lS;V5wN_%T}jE&tjbo&wwMI08igtldA8=&0n ztdrddX98n+Mmx=wzUe9E0sHTAf4Qx!lI>UGF?j2*O`I%M1eD{xs`laLG*VOg?ZwqP zVd^r5N+y;M?-tS0m1Pe4c&&@Szp9Us=FN0-kh!{+3EP8%yjrETw~YG3 zm%Uo8Y!~i_!%8wPqxws%ldFMayjSO%nyNi5GgY)(s{Hiu(i`h)^4nJM0+DPAs5L

    Jz>*2%AM%EL+rxzM>qlNKlyRcbYOn!Y4a5T;MiITz^eF&GgT+WA5YYE08!+%E z*cIs7Y%9Q917X+GMxghjk;LFw_0j?pYv3F7Hsw;@@blmAY_EF#$*-S`FZf%z*iJ-z z=fj&cP2@R8Eo6O1@IT2K)5B{(?hX!U(^H&MLN!pxN`s%*>22#>{x^*fGZJ zn30P?* z3Ah1q*!~!JOgUrEM6B%4bR6GAw3z^&t` z;&6;_t+lbCr?W0Kw-goGhRx=;Zw(R+61v6xsX{BJLTVXD?A}Bv`qz*uIw{V2_qh)J zcG})avWi>`U$T)g-+5*C3`aNCWZJ_~{utUFWs21a!#i+6tP$@*h^e4uM!^Z*+ketfAZDAD9~WiV z@S2hzVQCjSn68ziGBB?TuoSAo)W?|1fvlpDm>{P==VF}A1H~7lf|^$o!dVoBuvE16 zl%#T=d&lh==$-5x=*@R=6H^dVli4|U5XDm?AJd`Z=)KLmclw1=b!~g3ri~Xx9Q77@T?{G)sd&^VEG4a3v_}F}~7ovXVek38-=Qf4ddU(BA049ngfHW=$ z_4@Gs#vf3|E@YF@3BH;Pcmh}fTA}nXda?biPh4PnZTwu+&bS*vV7=>i zH|#J*9U7+C-)FJoCy2~m?qi_SsNhwQw_wUbPv{T&?K|3a40n|6;$>v`mLV6>C*cmF z$7X@9VO22Xfya^^8F*U=*=>Q|tpY<5C2siVnaU5zUO2Cpt=^gC6ZTHHC2uwdh;7Jj z2rp`FksXL>F1o+(SwR9sQ0*lz=+XE2pGmE#Sy0p1Phz$~ABS!Me;1cC?A3s;MxDAw zre3B-2|s#{tF6M$*q%rPut+4IVJ^7G#D6kAg3F1?bEj{nLLPzLU#sq%^%4u3%sCzn zI#UE1QtT3`d!79g|LYn28M)nF13pEq_y^N6hQ!b3>LoP?h_wG=&Fzbq`AIx|pTfSL z7$ObAIWWS2nzb!@X8^3#9|caBOl^rB)qt&#)p9oTAzffD7V1+Fu1Unw@1YF348#h3 zvY3%bwTS0k0C=4XjjtBiFMJSL?`B_@DRRUOLIHv!30o*MT1j6GVVJkn4$TC0T>KUr zsi$fE{yl&4=$r!d^;PM*&e&(7GL@Ko8l-yX`7>T`U$Oie>D-=jjOSPJ?`_hlBbxhW zdORKy@b|=N7=9n<*Z#cEZ#=}}GqcYxr7YF4HQ~PEM=AiLbF^(Z=+^>+1`Pb!*gH6? zNpWp^Z)NDxumZ?LX1Y5`pnxy<1WZ@tWHbUC&hY}>bcOS@F041)Ym3OI&oS2z-H?wB zd)xeg(>#d#X)upJBwZ{zg~!_D;I|#DKBNlp?TbwH0^MxwOq)y|sH@a_flS0OAnD;e z-x(ci@U}HkE7IVlZ4I0U6;iu2tMfOXuC0fcS-C@ZXYIiXwt=LHVqa=afJ@TaX{l z38!LG60H_m4{bM^l_#(k1#;*cMXpFw#6O7?Ma?D_AB^QlmUzf}YGD$y^vbYkNtOHi zCmqh5<-#V?VLV-SpbY4$32hrJ9o>*pecvf&8|yTaTUJyv$GIcE=6_nT^W z6F9oY<|MgE zbd{==O3I#TuZ4AxJFb%)5wbqF7-7+l zJJ1fi+@TV#Zc)Kf5QyO{Z7$31^;nQy@Uc=Pk|*lbUpV6*f~p0>${x3LgQz}`=|p1M zCF(?IxhCEWTytaEB4Ij!x&8gDGjeNjp$= zq7#J!!3jY5`;w7|1i%DzW3A!};QHRm|*ZmLjY+C zqEIEC-!mJ)-UzTe-dpd4aPwu1^k&sAGMbT9BMNQi^q{OVOn1Yctpm0J*WmjQn8Lqu z{F+I6_53mbHc)C1wb*(T`zoyR9;Eol?(^&;llY{O8o`UXNO2<8;vF-Q#{k|S+?S48 zs)?YTkA96ddMkKGzmo$;ec=yiW-&nw&N1D;oe262giTK>To z&|6EpX6(uB1DBV^H&y}H5-%}96!DJzs$2aR$=>dGu2GVa9B>yM9r_+RTgY?z7Z+|2 zsUCse1rh81;w?V6W63($GM#&#SI;`^GOIghX4gdyW5##yN&jc6kq-{`BToM)ShsIR zQXcdE5oovGPyoJbXEI9RO**ixZ`*Bo zE4lx<1Suc-NHSzSxy-K?QbY8SXh;VN|4a!|CiD?0+*_y@!d@;v5qN?_Fri653L1V0 zz-<`wK3W_o&yJoB*&0azZxw45$Ajfw#hCkLw`$mf<$*R-iXa=9X-GbNII8);u>6uNB~=H_4()5%L0K7gRvF zLo3eSiw1TeA7e%Q7mYhXj)z<+2J-{hi4N_p zF43kHG%sX5Eeco92UpMgzgpHWb;L!@zrfsXP5Lr@N_@<`C6eL11f{(2-MKFBxgYZX zt%NF!-x&^^uOqchL=!)dDQYo5Er8DIc=GvU(b~wTZyiSU9qSG-mN$j1kts^BPkM{Ts=RrmtC!a>bW9Vg&S>2oUp)KDIo&i1w{sh_FI}!d-CGSFuD(_RT+S#hZpdMM7)HDgrEr?X>%v^st z`y<QC6C4D&zh#k z6_BX+LMZz=&}UKHWSaP#K+7mkGBz)aO~%M|U>lk7LHJIupz1x+HkPlb)gvA!qvKReQo;xBl7+|JfR{ zE%>%BBmL8CBO)Htq6q98CT&KM!yxmXd%-Ha%PR=R)Q8ZWeIidEOW;;05$5;1<Y4LnJnhOY;vqnD}=GSPUt6Oud$nc)}@9n9<4jpyT5WW{Nutuk^B@sN2B+?iSF zBG=sY8m{?}qnX54U{UL1q2ji7_*pO+!MxO*iB+&@J~BF|T+1CQ#npFPsY$scioUE9 zaGMhftZwS;z9p2lOrpF{bylw0(5+emB1bVL-F;!I1R{^W=aUJL2?!4#;+^2}axzIV z&DObVYselY@Xhi`pd7+^&xqU$IscTK!NB{Qsc^+~Qv(#}JVt{<)JlEFM%C~)nPuaG zqF)Mj3q9`p(AGFfb<0G46`HzFzQKj~l&BL^b-#Th%VqJz~f1^Y0|p`?Jwy#b&tQrASf-nQGOKE4T+`gTs=kuvQgc3dofV5ncWfsP#(5q z81z5)Vp6O)8AxEhVUPlwwq*QY(!4_Q8F9yGUp53^>FqyTDRrf9jw~ioQ-T$9fd?aH zFkOtpgyJ)*)_W(bwq*Au7M)t|w)sTxYdt8czEQdh6+Kn&IUQrCPQomQ2hQav&8o+| zQSMj-CH{2Es}eSj%2DpWGdc_=Dpvnu8g{Qfe)8>pdK*6G?40yC4>+3f(fK!VpAi{J zJgtT})o7bC-A)5a&)os8O)Yay(5UVn-*k*h&@uj zenTT|-Rb0<2>PYplRjA81M^ojK;>E5nu=#o6%$tEM|HZtzOsk9#;dDksU}=#s4zJa zEj#7u$>~WwTNgbkgz(sY4ty4L;K{<#_hA0RRPM33d{xDZo5-G1w{7vkK;e9wV4Gka zgMR>yPSUPVoJDbdQ?QOJeyBwDT+WFDhm}kQ0o_uIgChQ^IwCshOhGnyKl(t9?u8=>@L$Sjsn9M_!R2oy2y*R z{9o$b!Tb?Xwtaq?nH0~A7Q48!sPqiF$49K%9#9jz*-;LL2Sjk#b)2y;@YM?(5gcZ{ zAl+p@A>HXfp6~a%g;n@y{DZaPOlsi1uMhc$_=vP*xqRwqg{7|5Up#I;+fc<}g-__& zPFoTZEuM3%o?ae(2}Q5G=qwiDyrGoroVEUlyYX9nY1Fz!|NTg%Uj^QiyaK=3u{LH} zbrm;}ZAiElc}I`vZ$B^Oobw1$J3eDc1zHn6tU`?1$pd~t>S!CCOPkNmIE|qtp>a(~ z#c@N=ak)LF`BB+((wQ-b7)nJdmcgg;x}N|ZEC<^MdhBAr;99``lNe7S*e9)$h`Wv< z_F{LWJcH>znb=1QbaK|;94%8j%hn@1AVU+?!AY5 zU4`(|cn26m``CF0liURfa*@@*dw;36ct&Vo)YP~0Q{;@rQsq&dvh+eNgP08(Lyap4 zLv%tuS5Xe}-^l5TAJ35F@7)X?w(wy!P!+paQ-B52l7Lznw!^AH5kfB zawbwDQG$BtT2*1-hb09$g?PY|0j3Dtqg!2D%$yN6AB+%=^87}0#3 z%mn(l89oik<&X`6zmk+knTmO|M1o4e9G*3Mz4(?$UG~7_Hm&&=JE(@^&1rG+IY4im z($citl$oz#x}x%+Nd8QKv_xd3c-)z0&B@IFj9qLf8t&SY*MtR)yvu8A6$ibF3zFtcRNHXFYP9i?>KPjRHn3-3 zMJ_+$7IO|Jv1rG7KR}Xbg+#DzzJBXZexHcfN|0^KKQa;Bf6?59j`5p>;-8FtikuQ@>i@{_M zTiaC7JjPvqRk7AIP#|=pU*Dw>OYD}`A<5&9XtRR(zafSybJ}@KF;aqxuy_04E2Lcg z_?uw&BDv#FYPE@F@Ox#UbVSoN%#yBlzvQ6s=;eMtq)7P>RtE9Ot;1iFZi##QabNzL zMbAC;#<1oag8tBZf!Y_8Ab@y@p4Y*; zd3=X9y`ipAtc2oZJHCbfCfsbA@bH~e4>4S31!SW9$_D%{s53%ILhcqY5n88;_zJ(M z(#zZx1ZkpBfq*#mr=%hGUv>FQ;5(wkbTImMe_gJr9~Z9nc3#6@2cqhLsVS=zxH3Xv z<3-b<3i}*{@uHh&X!pY1q)_!f_`d*xQchtZ<3zp=6ls$e&oN+FU&m?2j z@bqag{_c?wZ88!DjwH(>LQf_P6P_4se>NrqJ{98zed2#U+V|JMEg}CD8KnPRDn3j}xxEMSBi?h51UmMa%C01itc#gmGM6iCr#JBhfpw zXl5aiRbA#3$B2%m&}}}_-xZX8g`Zy9X*@DR6!4}*Z~_G*E?S@#cSA}Y5)_IoQYIoo z5jg!TE-f!UuZ{-6NcOn2)UFZUh&UQIsM&8FjTnnC<}rXD^44u2E>7MFdcO$w@Q!z6 zP2N?9*4@n%t?x8WGM{`wxlr_H1RXm4DtaG$qNMOoDJ;c|W+B6Q#HQC%&DdL~nTRRw z7f&x5nQn^%@KWWH9Q%kV#Fr8~*^e@P>Ag9*DvDdDRXHqMpiR=6CHT)+91{bJNI2wK zJvE0gNVd#b$zmf}1EpvYgWdnIH1?E2X_cyZ`@r=28eXv{Bnd0-6i4DFYKx&qv+OvVbqWa({ z6xidwZ{iS^z4#g!tNug1v0t?7)In54NC^1ASMUvm`lBgz#7UH@KB{F*O+9+6$P9g$ zb$IGMOn#`6-4i#e$=)aG)C>~RyP{eW1hf85WE+yUyTY$=SEB%Q(U3TKbm9CF z3D$@xD#HRRG<+shd4s2 z!F@^|X~u+#!l-rfrxA+VsJCA}WLKl6{up7ojAn36!Ms02^5XRv)!=0yE%VCssM&GO zgHr+$x9J+uR^i@*{Qv6dx0=x`;zSPsSmY7WLL+w!8gc1kurLO~4I;RIW-?A<9);Zv zezy<*Ltl-)6izV6u_sY*@w)W0gS0UWqs2 zyq1W3nRtx?tYv_~JcnwBl5#NdnzAs4dT&$$LT*qgKCEQN+=;z#rjbVpr+V5+D~ z9bu~fE)H2I2q$mV?JExBbi>+-c(Rl1_HB09@1ZxM(&wf z8O64LP2Rf`<4P3Cj*L-M>=_{F7j>im%eCnlS1<&BH}RR0bzXGDqX6RQfEYEm;UCb z%RtIoC2=9 zq^lQ&1XP*O&OVWQDESEWE!9>w$HB2C(Qw1Z zm<3ErnFUk9R|PN9NZMhn%hyk`kvJe}md&l68Fd&rH(+k&|A(=-Ab8ixo>PNz65}ZEyM25s>^lu3 zHco++eOfCb1GZ`e{S3N&RVy|F7FQU7e9Uv=GlmA04Mt0zmVHSp1_KVl;E%>1{G`M} z^eeup!W9GkyZY^yM<;@MkevO|bx0}t;#&T5*Myy@rh9~);5B`kH^`kZSHq!pER21o z*HB(iy2A(0j6M=Cx3tx9&l0cbsl)kuZj;=^XE$t zHEMtwHSijCXdQF#P6Mb;1Efv^h|XC|w?S-FTbM9g=rCL4uvD0S?dp*D=%bv*e?a2i`A8ds>K4zRH`z}Oo2*xHu?F~$cN;{zY#0~_Om80!FxeMJ;{ zAd*}myj)?to&a7?K^%U3qze$W%Lui{aBBD9`w!6j?hvNGZ_83Cdh0(4_AU^V1R;Hx2Et054pKfv_$oiGJ| z7T8f(g;&`-u7mdsv0Ynr0zSi1cMse+CWoQixHdykb_<>%1*50-#-H)K!>_hstdu1> zZ!E)G22iF*t0XmN1;EyevaqF)hyoMu0& z`^NJlCQ)ghuuW8I6v-iDojP{Z@iwB9j6~v_kB|leertR#JuW5KBUWl;#o)s(6^rh7RM$w*KtW@5!N)M_ z@S&GsZx-BkHQDwgrpbetpCFr1&KKeUeA*Q5*b?#B68yLU{9Ya6)e7J(1LocAC&(wH z%O<4jD3pUZZHjX22)J+Zds#$dUjCx6z%w61;yOViw)c9i;l~}rOE^JLHiJ<%Ls2$= z88DPC07@4~N*8cS7idZsFiICFN*@@Bb7+ZkWQlVyiE}s!r_h&0i0fs9>ti^#dvN|o zXnv3W%o7O5VkW%?6umi=+7+BbNnfGkC%`BzV zR>Y}MHu&2z{Zi^51be%0L8Vd*s&Oa|`;zKDM}mAxZim{CmB~ZZCFb z9eeIAMz}iirQlj;&EBIqD4PW43_ZKrrnIx@-kE^?@v`8}eT&csE4nRYN(BE+%!i_U z(Du;x2d|f457x=;+KaY??hQic>$$4;wl)vs7HNa)Rmu#)*~`QHkqSP^U9cA!Ki9-v z+O;*MXHCS#+(Ib|_*26KR!^x3Vo#CD5ASmkq@A@vm+K4iyDf;#1dAxb9UcFeW1%Am z-regx3mIlVw3&eHu42z;*07Rj>gkn0okZW=VY?;2i|=UXXguk+RO?JLKW}ZBzr8_d zD7wJp>^YL^{#a{$6@*_e*_IR1mP&f}Nfk&2Ulk~F>CwR0RX+z>Wt@WKBXp50doO8D z1F<;%kW1UdeJ@@6b;5a2g$3Y0npmMOaT(*Os?sQ{h{r^DKXV8!?% z5tIEXUP_|Xb=G(Fe0u3a;J#k=-iyXDAbsaBMFV8D#?>ch+nj1nD?R2@q=s$1rEJ2m zEr_l#RjH(W--`Q~m{_dRw^;nh$S7MpM&cesl4NCKOU{-cUafQ&v0FlQK`@G6f2KBd zJ??x}TBa94;N899L8vFz|CM~OmZN@e9K9gTXR;{ih_Na*P zUSvr!VPoOe^Y5?TyO1xdQCVH$(|k*XuF1& z=l4H=mOW1$snm?o+u+gRTbbcwneI_T-s@EAs`zhJN#71;7ugRfCDrQ-p)j0ZbHaVl z@EZm?UGqP%W7B?9rwqOwftX%&qwFVM?KVa1eP7R@LKt!`w!c?LmA&(lPV1kb--v3m z@;NWoEj|%+d91irUo>Z4MZoktJGBigG;OV_bmt{wqg})#S9S=akDbr0eP0MiUehi+ zGskWJoJ*5+wRmEC@ZxuSD|UW}$lz69y&$Up<=SpswS8dg9lgoL>ryspdDS^0i)@jT zc-Gy)^y zvanSb;Yb37qN)!Hzs>xJ>9zUHW43gv{MfR+s$`O=%juzwa17qR-sqgs6e`-}%u@HM z9A3@Kz?Y*0*O#6(x7F1p*Eb1_kOps=Z+b3e!H5|dH;EVR{#j7)(W8F#T(rDohRbaJ zdU`~^j-7xgjgzhXr0i>>E<7v(v5fK0&=uB%)}n+??Q8jxNXVbpAmm6R;LYhmS$~gF zaDY8f3qNja&^X#K+xx_GJY{3}{BzlEbcT^aH}m54`p0S?+T~^-J@yTZK(!N!t<8+f zNVn1yCX{-=4OYQT310{EgY|aXD`VLEKzs<^FWqaxN>o{iKiD zs)pC8?8(bD_N7`!Fr*~pk+{XCf8L;{Svs*xkz!Pi0VS%1=AL-fM4=|3IGll8sT*UG zk!Q{jo`eUgY8m)Y92Yi4q;YfR=6=EC#Q~$Iu{ls7ChU z1S{igi*nJ#=_6_CY=do>JXU--2UiD0pfW4tI{nIN|9L*aRq)$SX@vKD9cWjbH9UbF z-Av~hv(VPYY?Z?U#YCh69q|@CcLM=mn_)B+3WQA4IW8&x%GvX4~910vg) znoei>n%jT>A~jxSQV-W})-N+N^j!1)afsX3V)U@nPI#?m_-(6afG%9x2>&cn7x_f$ zYT+DGS{@>&$J=VwS{;Nx6cw*Mjh@PIE-clr>}k*BdF7r@eiff9gUPq;(dqh_ABbVG zUrjRHr5#38vHKM_O$nzWq4=8+ZH#RTbs@?wlXi}qdzp{B*=iJ4Tr8F3z7dKq`J2qE zFKq@kA|bESH{xua&4rnpE78x?btT*e=T(S;qQuytOIXC-s#POj9n2i#jRmW_(=x%S zY*%aVMj#rfnwDEuYO*8th0(*|qYHy8R6y;6r+IW;Uz$m7ILlaklX%U!vZ-#qromLZ zY!OuT5K_~?{3`J(>*Lg#szFh*ASb)RxwUy?L{a}b`+le59m^R8G~ST9*iR7r*5S+P zSwG|zoZ>t)%mxE$Lb7q3Z|>msS>I1BV-mx5IdwI4?_N9;Bw-l0&B*qR<6w3)M&PS} z^Cq~5n{zsTGca?Tmp(ej`Km-~Y>cqr)VP5tVjFw5@Vm#$bTw@TZN;5tt$L5C%k{nj zcg=XVzywal6@^=!X~aUrggUxkpQxgl$4X1z+NFPc#~tdaGx6K|t@GtefWciufz>(! zaMSW$zi>34*YvC#*l5A)lw;2j;sI~e6y8fPbcimJ);Cbo-^~^|Ek7%ENar|eKW5HT zGp&S*~OATg#N3j2=3Nnhai*kvv`|=T?V<8O&9#0 zWqb3ym$ELd8px;$fgd$3v^bq?hgJ8J@gyE=xN6$mpl$Jtxe$$`d$Gx8pHtQ6k6Hby z!;FySpKeZdkCBo$R<4W_t^M0gd*CMB{JbWwaGT{Ty9FyUR~L;6OrGuAk6q9A{=8*^ z<740g!`}(#iI#wHw58-OFHPm>dxVbpE-jMd`A~Qd zi{D^4-Je;C%0|^k!_!IKR91DsyHt@Ju9c3r6Zexm zBWLE3Fk79^MFA?=G~1W|I`#Eul)BunK4}`{BXDjqYFP9tJ*xj&F|E(^cKSQ;GaD3t z@VdIx&VC=08zi!&dEBo2pwCkFOgmm4cy-R(UF7%=5@8NTI_SF))BWc!@YJPn{VS}$u|Fix0mOn7tZ~Uur)i2+V zUMbT`oMg_&YBxU{Rke+qpSAnzu%bFbO4K~D(VjUs_q6_Kn`BpdIuzm+>z>#hCQ%K; zRm*}Lim%N}!Da^rZz{E=D&=srg|m^4myKlZb&^%~Z2q>k$!8KW?MEUT1s7N2g$jD| zMj8M4PS6mW_{a-YT0=gA!41by{D*C&ixZ^t;Cl!!q2^ywto$gC<%*4fte7)T*|a8V zkbSmgsI2(p$SEzx@g2Gh2GV|@SV3PY#;LsHxBCp^c~w}txq@bIg@C2YRkl>9GW%8Y z8SPo!s9OfB64~=|m;1*wtNRIKmp%vJjo7No60|Asuu4$nTIos*DZel;oWibJeaUo3 zlLCFh#YrINmbYtBr|F~$nP1WiQy_PImgh)HHu>MWuVv1^(V&uHPF}6d_B+w*JQY(U zf{VzGo%G}_z4-*OGSz0Ag$J365k~x3it%!Lm+gCvYVn7VsEcOMeTZb002j(*51Hrv z$l&v2qiPYORuakGA7p+1(I%g0 z1r2gIbBuyr8hp-nF13~i=j(lwMHP{OT}2aGI@AT}&h>Zt$*d1}==~poLp@(y6iNJI zA|%gY-K?kc;i;vP?DtXu>8l%FYWj^#8u zzF^;|F@l%+la(Nz64o(J;B6}qG^ zh@9eVXh*9d8?@eoGxR*940_i!q`&9Cn!9i;6N%N_I%&D1l#;C^uv^~s+}N<(jA`X>VtpTIZVf@2 z*zD|b_BxwH*77bv+Q>W<_oXqfTcxuOZ52?NDkt^5XCQc3W8=4Fv}(!iRp2_QlTEvM z&?YPKbZ={y`_!tLaM&AsQ6hQbce*+NAv?p^$-NeTpyK#4l{=K1Z?2y=R(c1X9?i$A zCmm5i|Kn_K7F-r6a4wOY%ie0`E}NKZE;aPd~Eb?V-U)w!W4;#D{(OH6GX@Okg;oVQvh`pOtq9=j(S{hHX$+n5$aFR zx`t&V(Q`^FGp7kG4ZcBAI7x(9{V?Ha@Mvg`%$Cl)^^9iuDq$$oRfe+fBXUeq-$zQ7 zh3G5P(sA+51?zH3TTD4u@44k4Ks%~rJ+d;$*{HX34#Qev)w&=C@*`=B#Z=#Hr+%Am zRjjQ^)AV5-=#=u7F6ZBjC*}LfDi*Etji6)9ybpCx<#o12BAn;=59?d11`)nfe5ssj zlzntVcb66G;m*(BHr|X(Dul2v->?NaJXaa0h8mB-?cyCgoax?;zP88+AZd!MIikWG z`&4NHCUx-37xFFnbg4A0HZ%AuRcUQmdU)kL9?O&VkM`VUXu!X5J^84_+5x8km=Q%U`vM3%`#FhHV= zn`x5V27u`6PrMk-BRH0;<}fOd5v+nI}psajmb?` z%cV?cj_Yc&c)W?$eMNfkmgYU`lD*k#GHIPt3Jd@QThzxnqiw$;6b4+OY2kp=NrFk#rE=y&*&f~c(Kc?P_2x8ow%%>DyMva{Q zJarUm&RgcxU??ux#$abx#;<}}ACWrds$Ciq`deC9I$O@af4qqny*+V8vo4i%{o(@R z-l=5MmZI`~+DcEa4QJLV8kcvds7T#vKW*4hs`Dh%EMEGc&98dJ0yIeZmfK0Q|hAk6|%S|M{IA%^Y zQ+A;AG|gxGhj?Q|M1VCG(bE5jCT07NP@OC+Y^?t$o6}om+-8pxa|1-*n8^s8_uNY9 z-|HtKDTM8vn^qm6&fT1VZ)VkRkNpBlIC4v<3mg+7C%hG$^p5Wwb$#Ek@wBk{;J#dX znk#c(zvLV~G5++>lKa-6rDpeQn&_qkB!@5~ro*-@hE= zy8|aL%WkKP_x}6w-NUCn6J8rvxqqoWx88kEHKF}Ib9aq=92Rw2oEax|xUbI6&(;t8 z99;4sj}}_c+T7Yw{vFxP#RW45e(Sfi2OqXBLY1?V)>Q$SNX_3q=|k@&c+v0NQ;M@inU5OW3>Ibwm&2U(4L)f%gC|FX{Wp19F?xgDQL1{4v}93N6M8& zff;n=aIEs8+7SKaG~YC%|53+psZ6gnS1wle+tMW30<${oUuccG)CRBA5kkRX;tXt^ zqDAg6N>K{=vb{=?Ls33a1@*~fWzU~=$czEdh=r{4cbW!YesP8>Y78VNy3IAD$5Tqa(VM5=0ATa>tZx9aIZAmy>^71A#1ej`qXp~ z(o`U+Yy}oKYsEL<67mJXf;YpA!H@9d>V!!+Gm94Ta_5V(Z$&H`&RWR`lL_+56GmoS z;RxVWOG2aK2{>Y-UM%Ril?p@^53~9rkUH4 zH|MQ7w)MmMXR!+Q(P;8Iggrv)Eej{_D&`NXMR+)jHz<%PG%5P*h@DVe>`j%1yg*Ch z#?tS`v$Vu^4B1u-UsB!gzb`sMMNWk`Qd~iF+WFH&Yf;Eh9I}{fRw|Yzzg~1XGTnpX z-e6jE#B8D!R#cyf#|`I@{HGgg<_lxAIj08;x8FePsubhiqkUT@0#4O_bv-He?XihW--GW7#PI{KXjn(_-Kb?v7XQpbF;TijDKxXIRl zX{CGyi#@cG<1n53=0)Ww(qX}QL98QMJic821R|>lV$&B;lw}4<{7rqy`GfHeTD6-? z-7q2+W;klc(jRFcWv(b^)acVPf}5$t*9MOptHd@MrX7LwE+w=kJ>?4dCh7FJfC;CY z=(47hnjYh;A2LGO;b;Y<30UHlrikyck}b~$8WZK!b3}M+i&q|W(BwU<14>EzmB+6i zQ5@tsb&X_U^%-0>eVQ z;|90Hb?w5{)iZ|z5?Lts{RDGEd@1@LT^IX*|Hce&z-4~_ z?R|XXD`(sExXC6k$L8w6=&A;e8R#lfkW$c^UoIkJFT?#E4hW|3}V+0b)0pFMPpm6yNuzA8z%4dK{?wCp1mkv zjJu_89EYGIo$Kd2-Iu)a`DzlKn!ls5z_WY=qfkQf82gejRgbsC9Q#X|sgJj)Kd$SH zWgdH-4O2b$mnd$-8OEm{OVS??a=@$1hRNP_EYU0cqZ zFLFJN4)=G0)iKW5h1DH5l1Ez4NvW+hJeuda+0+Kw@B1Z!mzA2azB`74jO89Sg70q) zj9uF+OX<1_dp$KnE!hr>FGpIAgLt+W9bM83Pkjj49y4=mB_rR;yK7t?b?tU|a4OC45Mo9wcBmSLGWi}@Dfo;{=aiK2 z9-P~Lb!|`Y)|HeC&Sm+dY^+&~+Rs`r9Wr*DA+tnF7vs}`3`}(o=QG#R;L^sre{3ER z9bwQN6@V%-+O?`BfzsV^)??KZp8FRsL=Iw?s<)N2s++72vhL9y{|dM0N{noi`ILYu z$@hb|ybtdQ_lc`p^6w;NI#;SS)a6U#^A-6zR|++e3uiN+wW-VdtEhjQ>8lH)b1&H+ z>HJ&VvL7rUleAHjgZ6WZ{c|mm>ha79o#C>l9{H=-gtKB|GVv0WRI%pb)eHyi4@U>> zOPJE{~(N49=wAa@4wY85rEsiG2nrz>htjb&S7HceL?X$3`bd_PUtpq$?f2W7VCV9NHg*_^|) z3c7P>)}q?8`KYuZYVnNZ5{Hch>91lIxTsZ$wjKNiSWll2_Nv~kPh0Y&7h4)^u0S#O zSPsUnd{0{Lzg<1YQc{UprMRdcIwrb!dyb+Qw$2WC3`g(S+D4aLD&Cs!@Wp)qqqqs( zsboqd>n#FBA9dN?C9fYvGY+yc7oR@H%DQhpO2FZ(y3Y=_{b!zshzA~}_u}ZuPjVKj zy@{ex0g}3&#?Ow1PtXdeXNssaJwe`CnYSkHz1z2;qIn26hEL#H2h^r`5v&l4rPx2# z3nGHJ0($4hU={e%isfibxfp&v)qUE24|zHW4#bGzGg)tn(oC3Ao$n%MKGb{QWjJZg zzA4flw&4t%N^1q880-_BHL#C5BlEdTcyiyE(uv9H%1CA-1Wp~=FS5weeNKkGcO<-> zZ+(B#Shp;C)%F0ySV!?4p>psW{~ZRp75D%nUlA=|Lq9GTKzw~-tKWO3V%E5QmNp{( ze#kI~tfLU?pM!y(dOzOoHjZr9Jx_!_K&<T| zI;}uk^Mv6oGdSPR24p>20+VIaLi`rb^(M0z`yE`zn@?)IKCsuV2;^f&L&csgv6WxI zJ7tF9q2mVg(?NfG(yPI~oC<;)_w<3QmFcrLTT8GD!NwKYNCBdJzXO-Sbzf7_;xeMY1 z7Tx=}7-|o8AI^V#lE;vJo3Ygi)kXi4?(6|Koa$+}AcNu{JZPIBtW7#lu1ox<(w*fqFHR-!4%u9(AjoTon6my$d1(>w~EaZ&T^xY ze127|bvejrpg!F&W zc9ubLu35CkT@u_0?(R--cXxujOQQ({cXtc!?(XjH?(Pnk%*;9GPTe!}>&~yQzwRoE zTD^O%XK#3W+eZd-8L2+c+ecCtHw=di+Xn_i4riU}4ieZW1_ulU2L}M`gu_kONdtzh zQ6nz4R(HfuU5XzhITXSbF3HuEFVE~9pV6x*@8vE{8}ozjBMp>V@>e8#4R+Q}GlpAt zcq!L3!>!(>&bE8s3GS6I5qA)m`Qi*(U3EERdii4c6fV7sT#IqY?iDX1cHSu0Wbrb$ zUUz?-W(-`~`vfCiY=Q26rA+@qR_9HP7|o}0DFg@%M!eg?A5Oocx%$K*-WxDPL3wt$ zC2nv2ENWkMqI`+MA>FIE>xgbd0zckZn zk)u_)By7xir2#Z)6>VhDwZ`w)jT($VK!Ew0_x)Av~K$os+vT0ncS>L8?&NBxW9^Ys-TU7IRz!PfJRu98Q4a7K)G zY_amo_m|?}_nltEA(qqQ-Hg@;x+{Iu_jV7Z-r`+@)A6Bpx6Ab%4b<|eh~fu=N15G8du;Mhwel8h%iof|@|?Yre*6)<9*tl4 zU`6L8YHg-V#WpOf^isA`v~|CePwA!WHTRbI!tb^AR`4R{l~w1x)2q3|I(udC7#GYJ zrCaP;yi&F$yBmHdx?^&Mjp|L?u6&7ZpC8;F+q{Gyzr5o@`6jVM`Nl~?xt1pY|+KU1wv>ydwo5iL>da%jnGqJAhvYLQcY zXlX6YX@wy&$9e@IN?;msB+aOnX0q9vZEi^$-)CA3H6%$;eK)##bmUB^yLwK(=3q(~ zHl9k-_X5J)eg&{XKfXNefei=J?HA}3)7>h}E4?-(+>k$BC5If?Txo<_*|v5UP}H`X zom#|p#s^_i=jN;EF6!KWr#@cXO4VYkEgdy0XYt1wn>(*_T6W%uU48-`B9`bJ( zdAgn=xkA^saE5n;DdKijlWoTRZ!W&zJOg)s9@?@JB-4lBfjo;-eNYfe1do7?|6a&e zBXlp=;@f|K`sTO*^*Q32*r1PM>n%|Et62Wd_+o()1K;4EZoM$`z=ind-T(8TgMD$; zNbV`#3`Tt$3fk3SS)0emVx$oFpB8Rst0kzAR!hvJPGsY5p`4snOL=WEWj4+15U!75 z%xjWdq&rqw(qgo8owtdx`thR3*nkP#EKf$=b=oeC!}DCx2z;YTF2^2I8jyjf-%x2K zlEIjAr@U?&3sp7YT%d(A)`zwk(B(0W@MSI-EVJDnXxR^$uW^uw$7U`9 zqL3!Av}9`!-(Nd%9no31S8dsLOzZ7>Mp5kG`h$`;-_oKIxF!eLf7tv=HbBFTv&-q! z-`?iC^^ZUB3Us-^Xzj{Cz$VzTOE(;07hb`yq=Y(*ec`~4que9I{8PmgEbsk4)AG+} z|9pT!mfs8~vCb$>V1YvbY-8PAoNpP%;Us{ruuMqCfMDIZ(yn4OMvudlHn>ybNL#w* zm^&SBw5v5uU+S?|#c83@RA;r|A5|}<>U2<|oD|cN^)~F>v_GBZM-yf#bE_n1pEM$8 zp{!xRI}J@gKX0^xWud$WOWAN#Ty1PLrs?OLQ%fm5DtuEee&|$H*LjPOoi}rvS6eO* zp-F075u2{zY2>~NT2*2a;$XizQ^uO#JcnV=uG+plYt{Ti@$3jv$gVc!jRJd%@pxP^ zVLu$#u#~3@O7BI&i}kBPF>_*hxN%>T8S{ zOz{2mSBp~4i9;t08HZqM$H$CMs-`QUq0unHx4Ak;$`|9}SVURDy7r6}I%s}N0sg1lf`2RH z;ACykG#^Styt!!|I9%LRnub)@ZO}VNmkI5e3&-x&$n4d=&uof448gqxm}l#lfJWpr zDTe>4%1S4+zEky5iUU}pVjU^VXGav81~MU8u!UApF&F^~i}8hH8qpu-0P8oV5hp{M zF?e65e8?UyUq`ddAl*N>N1eUX6mL=J;yhSYV%b)$lDnv0%xI#X6q-b#@nI4*aP#z` z1oK6XeI9wpdYTp~Dq{7c-PFZ$3c5$L>cvyIcBK-U9|~J2xTU53(}igO(@XQ@zBI^8 zY7(-gZB?yj!0L0=y&R3!N71Y%W~B@}wj{4-(bmlcH8s6Wd0J53(*A;=$he{-#iQ*gnIooaJ=)|6Zt6DuI*2?>uQRTTyCJmxyQdutnw&&OMXQ2$YYR)@sD#}o&MJe&IQZJiU?_x|C47l!{iuN5)9R1Ws@>GOB{Cuahof;DW7P-OhQE+`iF7o*dUOM=t#ZU+V3 zF{BWM1>374Ymd=fMgnbAdtjCEJb!-XkZ-_kO@iQmapq8a4s-u!7ZIH^Au`4PZS2K0 z4`<%cOruoweMY+2t7~=}noFFv|HC*mT#SmU;|<4bPwY8ThLikCqF7E?7A>>n=q<{& z(LO3u>9xeX@&E;QnYKZ>-`QS7Mye8bxbiwYW>jM_ID2Qb#Q>FN7{|VJsOz`JE4QeW zuT;#|A}ZQ`MNbNJ3_`(#i}F{E{}Neg0b-sjG{g<0#Te9OS$5lPznwCt|icKw89MJSmSUiiN}a|Ghsf`a5@?o$#Bf8xRi_^vr9pDIID#95r$J8x=CO)G8;)-Oyq zTCTfle4&qv>vR7VBd4aDFxf7!ZEQGRAgB79F{Eu!m!js1sAFHOgmpva3v&)X`f!R; z_y6b}O{^xKzrDlh^{mOd8IOI}>BBpm{te;e=RcNPpeO+@D$?)V{#yvjmy3?tzK;zI zryUp`{ZBC?-|fRM+KiF~=GX;5)>fQVWf!p=G!V7LtU^+I*qQf+7-!Wbrz(Q|+;~hd zoJmY}OS+P^$`lIOt9QQ@ZZ*Z3uTgR`)oN6#P@+)gid4!mQwv(!g~(Lup@cN1rlinP z=2@Yw7HxBijA7(e4gD@?3BOwDcbKr0D6V_~LC?a3raT4Y!LaD^oW?+--$GaYGYH}T zV-T)R>{*ul4#KSU)d?#$ko=ack08wYw;;s&D+t%1{#y`Yh5Z$TME}zuoH_WLAjJM7 z2=@e(r#@&IK3~h6`6};l=1OLbqI7WIqBfaJmqzudSD@5-VYh4L#u3n@KsiS_YF}u% zl*g_>jXoq?tu?kE^0-*_tx=^>jt)hO$p%4D-D<>y6F6>aDbL%lZ?vExQ$X<_QZv#- z~F1IXq=a0X&w38`IX(}9D)cQSL zQfzN7T(*Xg0VsYa$ux@5!+``G^1H5ABQp$kDJ%sj$BT zQFG#4XTpjOOy<$Yi$50p-%O-3^DmNy&C2$z22Vh?@#uKlKxhiVO1Eynwy#-c-!cwn z@9Pry)2xjN{-?EFGx0Zq)BdE*sS}p@hNA%~eXdzNMLAP+eeG|BjxEwjt~8G!l08XI zJ34a|Ceg@X(NsINwW4KJrc=nAmvA(je7d9%DN(9ayil8L7C(y1(o4Zvdu3UuRVD47 zIE3}PX(>>hXZ=Sm?)oa37XG8<-V+LyznW!1pKK@1oiD8Xr;pJ5XCIl`vn>7XBf0CV zQ&#mKK9c+4Be@?wqJHx8Rq&<_tVMx9-o3Vg#H zlzI+S4wi54RDR2S;}QhOj7;4mx*aVId}WB>oZ6_kOvW9IK$S_MJNWXC?q#^XauNh# z1!k?5ptlYLVMapkqy6sa5s$ucGCjolvn#CVts&L^oBICasgAm-qYqD6y0`YWkX*)u zuFWx-teo3963evlBwJluN0)3iCbkkcDIIci(kC!29h&<1YtM~kE+dyo+1ZtGWR(2hW@GLdBe~}=vtNnbPq05m)Q%L61hq8wNZS=22dRdf z7UG<_eK!ijj;Z$3)JeIAEP0ETtW=QWqa$PU=GU;!c6m(}{ozTtVQ!vYcN={-p^A<< z)U_hD@-Em+@ygW*$!5%0e)jr#O>(8mIK!BEReQfP(m4NL#a%0?tfr!SL_!jUOSnh= zXv~6cH#WcJWd2XIKCJrBn$PWN>HOBb%Im($+MVM=^V<*2|DCOk{x8iD{;TE**?($2 z{J+(F_wO|4_(OC1dhkKVxoAhK(ZyY(X1M}d4b)=B=DsR4baab=^TZUJQ7O8*RC|Y~ ziZrf$XIAX6-pB5gY6n02uSJa0C2ZKed#P6A3jrf6DWeLt%xtYn8D;1vUG#A@;g3>e zdS1>})4w5**?|pJWhTK*T-Y!_kVm2oFe}bkO9m;;QiM4RM zyKsFg68kRI#~@Fo=Kmgr^aTIa(v<)FZ?sKC^;crXb+E~gGK7Q=vHv!d%M3R`Nk_gO zF4s_f zU!%N*)}?4E6PiH*t1Q4mmQ1DEy4n1RhC`Uu`AVb1)4*KU*4OH=v}Tw%zrNM@g#82; z=6z>cyha1BEHxSlZDVv}v^@#f>u{!H9NzYd3c13Bee7mqEGFT6b}1vS-`QEg-d=a8 zn`Ot8tgWnH|Iy_X0*WU%vv)LSw0h#pdyi`z{z)n>{~5nmmr|R5w>kBi?e6u~?k*d# z=W~dGRhs|CDkpP)0r={F&CavczocLN-&XwU-%L>t&;HfvbRRK5ir0PglV(k|wmqM% z8@a1bq_}SVSi-AYT2>nRzlKOcvZV|MpjebPHsd=lZ0SqF!VYsqSf8p<&kr-wT$e7+ zc{J7@G)dnN^r{pqrxntU;WBcuX*v&gE7fs-Ojp0>;##DHAX5{y?J-c66qS!9$4wIk z1T}o9Kc&^<>p`QFzxyw6`OgEu;-%E$e}K#Exz4OrIfTq((FeFF|2w!8{RJ+?|5f~> zzq(9gmj5ldEd33*l==S?T%_`5s7iHMP!$Q2j!9w~HHdc$*Z_7r@>dv-OpQ`&#JW=L zY@SZVbIDdTHO_Si)}?19C#lPinQ8ktEKaelqIYWUD?C*0XLb8MJakLfSv+hfk9X-Q z_bc{OYcY7vQ$}R3tAqXNFM%=T+`GRNX*qTu^F8inRtjPB@tlw3^V<$*sP2c>*v?w@ z&t+w)QoYs=+0yK~FxvqS*kdE9fPtiOo@>R37n{2_Zr&oo<@O`%)_fbALq|?Y7fx(2 z(*v0RFc7nNq3DVjOk`GMs865blnsNRK?IP%G~h9I%;cQaIrSOzQEV}xw}oafQRPL^ zz`?iZ&jgyTWLD39Za8?I-@CW3Kew#o$E3I)uNAo$%Xl8Ao^#~;;KPzxr8&A+IagU< zWfq>yCrOnWf~*rZCv_#U+iYo5p`rDOc||_SA@1bUu2kb_f`I z-T3hWIX7yNo>3>JmilsW6yU9MSHG*Nvf_1fE#YL;*_2>jH-A$4_}n**&9|1bfO5#C zX;JuU>~I)wHcsuehe?RU15JiD6_FFwi5rX{E$S$0DGHfs2+AxWV9E@H1@ZKL0GE{& zGeHi{9J@M!aj7e?eg4j7I&$)0BhW1f;WWUdlxFIv^~1oa|0aAM&!T$8*cHcT(7v+p%VU5>M2RNEfH^ zTm4i9)Fq7 z#=G3}`Hp6J-bS~p?ZNXT{rS%D+*1qn27bHM8tZN~cKT`c?OpsG&8+OY>(NR~t!$pP>sgTIF`~+PNs~gY`)-nG zIgi`!YfuU)f_^r$J}&&Bhrl9+biDdj;zI`%O29 z%|H|gMHI|~pl??sT98=4%>WR!fxzZKC}AYHFd)w1V06g=+4 zWF(|LTw5n~3yBBh7C9tcIM$3<9~%L&^W=+TVH%}2IO01C(8Di8;iyK^x9j1k?uv1{ zaes}L<9Ry7PDk9RGz*$IaD})9tX*AGco`1dWA~%6ez9?j(iMBWrOJM_^m!=7<;63q zHF|CYdvMx`i+zgeM_a2eHf*xjC<;>l(VUau&{qM zwItw4;iSzKB$22`?{xCW;>)|PEI6qDX$b+#drQS2x@}(h)e4h!B_b4F%yTe8>ZUg3 za3F&5b&%pqAe4)O&A?%QpUvySMt`3Cm#bC5iE-MTcL<2ZTnC)R+-l&-sV%O=H8?`^ zdgbM!e)OXiTPo19`y671Jg0(w-j(z|G`J;eIiugleIxjTRpjpN2`6XwOBQ5 zIeQ_sPUBx}z^;AMd?Yz+jH1ZW*&Dt&k%ytuFy}RNG%uKRI-mQ%a*=Gjh^|t{$@Q%+ zj8{dqy!P?<>xy-$vl}=`AkbuSH7-4tV?2)uxi*r?e3kf8q9!Fxczs^aUU@1jS%Evr zG1u+74$adn-;%zQp67<-VfUxl++K%*0SAc#=IZ^0A`4?NMQu81_?y{}pTf>_7?AmT z;Bs->({;iqdY1;Qzh&D^`tqdNylNw!g|DJ&qWD=-;_Fl9z=W-+mpSqr3fvXkwL5GM z2&$L`5RsdtKe}I$0G(7$#$^1~rm*ncMpJjOmwJ~*TST{tw0kVcLm0)cS7}qO*=E(Z zhpv-N6|*}pW3|o9Iw%Y5mHmj*qQ1`;GPTvCSUu$4K1AMHa28;>^sW4!aYSY8oSeeD;}x~Fw5Mj|IY#x7acAMpoo-uBzNHvGVjM-xtG#j@hrE2V z!^8s$k-DWZ@n~D8w?@)V$I(<5kEE``xpOSmR-JhqTkxL4sbZRNop3idncaeql!gyA ztlgD!GXqhnc zxm*Z8%M6%gcD+yyr-I{Mo?x~hy5dySI}J7)E*wLfJ~x##GLf9$??q564t#-r50Y`2 z-#1Sav>CRQc#w3|JmOOZa(LlbrISUZsn=H{urLyE360Bj8A8b{mulw_ev14CLak22 zX?f21@+~+6U&VDOS=|uXiQfie4Kqrh6hiGryB|}k5gAjMZ|r8r(fE;vg(43(;QpRP|5hjWprla=;|N@v#R0+rWk?}64{o!YXi zPjO3A&2a6R6B#j6i?SDw=_nTW{h!;-$4)IFoXP8HvvqvF3S#59t~Nl>>9Fa4)31ht z?rZYt5`kdq4h}$L=@Hb_uZAZmR~nws&=AMByaC=dI4F&Zl04T;X@ydjC{0x&;E}cI z5-W;vnbT)(4T7EvN^^pnvFqe4S0V$4U$e{5g<=zZy#;Nc*8Oa)-PU!r_H6rpfz-fF zk4Wo)?=$?0=&yP1UGeSf&aYk(#hDTg+a!*UlkP*IbC^aJd!ia>{&d*Jm2`d%VpwCH zNuP-GHcsR?xRnrK;{*kFBjpa^Z!6e(;j^-Dc_KQvhWPW$`o zlSiyB{fteAoD9a1Oa2a9W!E3npUzfehW2y`W#Qv8LV>Mg>C$gzX_~mcg_jx?5QL^_>2BFJyf1gF%aL>5C+9 zI*YyC&1@U%9=MakUAps6L@(;}aqkoLK4WGl4_S|19Ihgd3*v8LklZyLf>_)IxPqUN zBnTwG`e0SXOw>Ljvf(kJJsdVrYPX-XkZJYbudW+lCD}|E+_)Qy_bb=M-yy&3jYWi> zz7aD!Q^Tzd3X-9Fl!!j(*jpX+isl>}Gw*vxdA|bnzdw#Y+t&R&GkfgQzIW0-XYn6G z7uXFssPvv95*s@cHE5o7?6{=N?nn39J~i3kKOn@ZHDyeO5v9Os&Ps5r0pWq4=i;09 zRqgYo%%X-BzX)~o{6xc#4MZ?QGXM0+yu;5F)E$oJMRw=){AKX#WP~&iKhgPU@Y0l-vO|CcHnz%$aB|dM;$mSFMP)VUjx4O(_X0N zSn{-C+PU%*O${es0F{GO6S?YihVhTFdiqzx2ba`&d9HFc({`3s#Gh$!0iu5;_>#Qm? zvqsNZ^&z7Dd`>?fAR2m;6FzdBkVIx`eZCK4EiE&Qd+5BoFZk}g>&D~`UP^2sTOPGt zJ_z>p#|2A#RHv8^e}L=sp}ny_=l8Z{dS~{_>bric2he4W=1dZX2PB@XdDoicXRMN$ z;BS*UHkBQWDzplDfnmWL)b>VFHA%3lWUoK}4^tV1 z_nkwmXjKb3WDg9N|GXwWzUwN-u_g<1 z0Db%Yt}Ps+Ea8GTSOg(96z}$q5lh}s`df>7fO!Rxlt1$3fmyMq{%uQJd7*{8n{x*wmC+jmFoK zIJ!f?%^J8bNKh-n!yX~t>hfjWMctX>*U12$u@yQtK_|$$ z5ur1px0~EYw*~8SYP~8}M%3Z6eddd?rO;8IqoC1>^_GT)nEl=m?Cq@~cu)9}j6P11u$%u6zguaU}x7wVqFTU)z-sabhQBinqyhR_v zHn!J_o*UD}RNJ)IY(H={*JYGs(;%*}IpEzt1i_v&x4!i1rl^+Pm+CzBDAs#DYS6Ez zyKP-9s)lR79UNrKayK4T9_8!3syb?cgGK9|y~>dmUG6nSj`(s<2AlfQE=I1fRdpE# z7tmW!xg~F6jNF&Xkxx;%2GGfbhEzWy!Eq+_$E0#s)XQ4gW*wOJ8L<{O{^0H7-yEw-|OB3Rs@6e#iM~hIw5(- zUsD7Pq2q>4d;|%{vn~{1*!#S!tL5E-cD&yHc7JcTSFK#$aP^S+@aJtOzorhEL_HxPE@Iyqe>Em*6je7 zTcVPN=dw-74e3fLCV$h+sW5H{tfKrSMRj=hihD?zT&YS6!Y=j2-HlWa{)0we)cH}cu6-1uOY`W9;+HH%54m%{$@mIFuOa(&Z8 z{wr3~Q|*S`PRCd7bex~o8OFboFkW!fQC!I`5Y)k2!wpz0fAHVC;a}aU7AuLB$(zT9 zemBA)L-Z|V3N45n27INM*2COWQz5zF2LD=vlgKup#yS`|kzulG38KkAmR7n_n}3CSrB4}NV;#{IwE_z&mieha zQqnIGx5A9JEr5AESFI+yzcHgBPHz*mMP34GMaRg9dc3+sWV9-gkKkj~U-+&)Ffh&N zytv~ITv?K$oBdQ=^)U`-b3a1WQe(zI0gWM?^@0x~)9ax$uJsp#12vvO^hlK#LbD%8 zOmIEkjuDs~nhfC0v%=DRz~s2H-e%pwx*+qI$R4#}L56L{SQ}KY3=crt$QR&hfjxm3 zB1UZpIL0CjQJM!BlALW_!xPFwYC5^LPy~qqx}OpHNTK0jm)fztsRxfU(i0LU`CL>q zblS*JxoOTGQXMOHqsi)Bl`Y|Ms~`+>Es&?!Fwk5BX%N+PjLTq>Guq?)e$b`O*!fX` z180dN002aja> z1CBPXMOMe4txNNA?2c;Zvw~0Y5K2m$$sEy07!PhjWj&_}y*JhkLh}-XgzE%W82p25G%V zp6v1Gg?+CAH>}DoT*I?(T%rxWE1*nAEUzj!HE$*w(+MElae^TRp@#ugM29^H`En>P z4_C}IVU!7$g6b%6$Y1&^2lHYhzGO|h6sCtoEM2&Ui`zPdc6N=TdLX5s-p@~R>A^$t z6@MTnZus(>{bR56c+2aY^i@8OO=SDZlOv6B!uzs$>H`R?Gxvg)t1}tkUPs2;_}U%U zl>4=wF;d^oDAPbmb*fb!rl45<2R!y|%lAi4VO^fNWA#!gJ>cmQl~1O;a>PJKAhxS# zk+U4c#ohdH&f4bQ0YEtlcXL7f_V0)ZdBg|r_gPmjvs2mERca%ZkTVKCp7Hywx+(CETd#`QileH$hUG+tPdo(&`m zyx6Ld$->dEew^2+7Cr{dlA07O5Aljw;z zvIchPipV^-+-)dnti&LnoV;^E*{Bthn))rU=v!g~man*3NM-K@w9~I%g?`9Gzdi`t zwM$(TNQ$*zv-HeAK`ses_P!LkhyjBk_(AS5k0c2UY#4m(hJTy!i17#~i!8UPMe-5d zvWnnQy4!K#i*dHM8(&i9QrjQG*LgaSz5O|3J3R0>-`3&?MpoWCJd8&{2k`8pwzryW z1f;O8c}JOhP>fKEZXrQd1PSW)_V*AADHRf6G0qbRrzsnQhgB06#}_iGpEzkOmNSP_ zYSGg}2*Mww=#UWuhY-n9+BfIqhDkCyYA)>!<*&a+>l?pqhavnV;%yJ+*doJkW%u@a zMILrO)zWO+e&yx{xz|seuYBsJvjr(6;Ti&&cyeA_o*ohY1G?rwr4ONx& z41W9A>B{Cu^-{7RejKfNfLC|a)SMKRUK0htGy|la`M0LC63%ZA4qMn9IC9e?-A3$A ziD{sm&stdf`Ub!d;rY}CJUoaaOMtw4&ou*EgsZC4u}M4 znGRwADf=V?6Il+i%D&@!y)00JcC*JEzTsuQzqov3XiyK9zDhXQ24lERF__*VhytXN z7s-UK2Z3WhRAm?{<^R|wP)RRafWC(63Z-UR1bvA@A}jt7d4ge>4;h!nfj6Lk&(Kcf zdAIF>sbl|TLPqtQbU(Z^v?iB8{TipG6o>NAY>Apgl3 z?X>iT;IKtl;UZ=y^ZF*|NPo=OMZ-HN@itwFUgr%ke+6D^2x>-J9#!ayix=Ui=>0`? z5D6*FX8YC^xJ_)}lGPvI{je}$SvEq4(q0X-f#tYOSyQHDWh@Rdxr^^97rcKP+>qSt zi?pH6q<`gu!3+6M2TI1^7AWSstJCHEaPNqKaLfT7oCnuKMYV?m1+EQa|AKOu2Q7$r z_6ZBc;UVxJ{hvVWxR0mJ0mYocqca7S8hQZRS^i7)QpLUL*(u=YK_ zm~CR4xH*&QR1-VOH7FywyYi>ih477Ocmwf$dJwz)a57N(fW97`e65Y%LLK|zghaQb zu1#NN)|vnQ(ptXNs5;mSyAxp0mbNn;pYnc(wCDpiioHy=e$sikzBYG-%onGYLHQxeE1LA;zmuI)AeYM+vdevyBos6FMfEACfPN&;hkY{ha*#uid^VSpf#e{2 zTF|aSh&T;vlQ9JecZ!C%Y$YrKiXdPR!~+KXb+rDN89H*i;XFe14nVfG>dNSaQE%AF zmUKdz5owXr7`jBA*@q84v-7Eb;-Q(FdL*-iAErZmF-TGM1^xgm0G!>2jpl)!w$GcO zbudp7-41Dt?0L|s!)N5Dd^$n)qj>$to3q8vz6A{4Bm#pGwkJL5Qw5?x{6#4+nPIK~ zmG`UM6)yxtp9>nfSf;g!smZXg;5Q1DXc?JFujnx769YF0Uc>mVjxZrhsF$BU1|O{K zQJcZ$^O@4n@k^3a-Y)}wxYL+w#vUb*t>fXqA;`f=5vyA>)4~#|P<_LhNOdh9? zm5vIAN_AhE6-J;vVNxru9USUw{nDt-nU<1tFMaIk3n}~B=4S_tTPM;wiEU%V2d$5S z@ah8%pdrkj9O$ay*U%`{ftEn-Q(%GqMWjzSxJ;=~W4I1a; z_#X{T3$2gcD~c-yLq3ueFmQ!LRUiE=u%sB5_YZl%z|ky9Da=TPme{3l6M-4$_p!Gr zuR6aZ_#saV(F@5WoVJ=@+~tEydS5pgITFjcHD&}g3avghlBQ0Z>d(r#qJD5@%8r}) zpn9n~fkoc0fVx2qrXIG=DrAAeNZ&Ei6(JUUNY4;$GPudBC=nlg!cblbx%3_l4^m|^ zNtVs~k40um%wxw+?yU6$jUH!Qr;J}6o?ogqu~)TL=+^xvtRT$b2f!blg)!z1rC?CU z?XF<_(!r&b4!RF=Gz(o_gt8B@lv`-+R+LhO5Xto%G@lWjv%h#BvtAn{M=Q4ZHDp_> z9*r%N=^t!?Tu-=_iIO{`ZFLBkfx(QB#vb3IIdM!qp+Lk$?0OlP5bPMhe

    &|I&zJ z(-(6=uO+<~?Yo1ae!&~w`ovThef(}pw4Zc-iSz=4DeW9tOAN`j)tIRPY0KI$uEQR1 z0)0hQPZ3*9>K;~QFV9?L2kz@(&XRpqNj=^BH4P0iS@JT0u`@@e-Ux-Lz+t4Kqu6I}IYc*-Zr9RK?|Q71-ES;;g(?)<$Vcy5e|M6T7c1P=p%Le?tM|gT z&!py2DV27rUom?ybF#8|y4euyKK3gZ6vGy9ZdrwI!kvg6o8?c2MkcnX@ZlXe0F)kO zpRX-^eri_=6Bcp=8X^yKw9egAmp{ zeH}xKs6hW816AbRVVge%rGHOok$EDnxYZA#lDNolu(Ea(>#}=;7hO)q(sbsyT9lv5T zbFC!(aISfO7?Ef@`rWnoaOtEq$F6WQ?!aO88C}O%pYD*c2OK6|F9GejasMRjIL7zdrEjuH&b7@ARI|N4<@A#h$w|dH}1G01@L)ulZ zNM2i_7@KwreP;L7P=~87tCTRS#r%zCtiQPB7&!KYmtuB$g17`WJ-CDBzoWUn+qgE1 z4-S{QmS;Zha(xLp8DUr?YB0ta435;Iusgh7Tl~BS#boR%<`W7bPi2lO(U+-&rRV5M zqfuH_h3&TwZk+iY5+>&7v)^u)mFqmvH)V4+vm_-NnOQVb$=Z;bbqheLg09(`p)qZu z9px(xeL^yNQajuAUgX9XPOW_t@ba`6C{nOe0`s#bhQC9FUHJ~;6j49joW7Ziv3VeJ%V(XpGWai?DPf01u zpTbWRQUIjkme1+>;#-IdMz!{z5l&vCg$VZW4Dz_yY*YOfh%e%psH{F2Kz%W{;+$Au zijL0(IKjxN#Rou1QC(oEbn4UB8br=reO=9U-nAZW;!5j?m1!p49Q(8fH|Z7Bzu~Ha z8wyi%7-nVVWGo2*pI0J@pTZ6)r=T-{xjlfRjYuQMtKP%?Xa2D>p%7_?{ zWQv=Um12S~D*4N*mDM(MdI>cdyQJ6Wt4$O1scdXh3}Z2F#+$gBKX-@Y z>d<~dCuVe1OtlHui&3D&`_LJpMXNWIm4?j>;}tv_A>Pc|#epq=PrWrS7Jmod{$XW? zUP?f1`qOXq#OLGNn-awNNvAF$qupM9FnhJAin>6`(dL49`E)QMSHw0tizs$Z`fg&r zeII$*!)}S2QHAwmxoOuVCR-fE)xqF8f%h(i%fK70oI9EDPh2DE>&q(>8g4XP&sn3;G-sXk{)iv`0a8^4TlQh)3s2Z z#tLORdU;Ulz(8s3kZtEr^V&YO?CAlluqO?XSk}*ZHS#diCQ?b|4vJLSM)P=T*iJD1 z%xsa7-N+-qdVhjVekSYgN!Er+K<(|KG=pH*s}zws}%akFLcHJGP( z_xnqGFVsmVem=NnFm%|VvcJKQ0kk~s=_00i?gP|fFu&h;@h^d0HYn#Z1{J%;431D) zC{v1n`ebT|aa$zWLRaghLt8*}iMce%ld@o*&1&Ouien7_&M`5efX64daK16a^MkplcRE;g&p={7*vRAPMqsKbb{x z1Bv}V{ff<&cy<3mn2Yx@+M>Wim6}z7UrboPA3YJc$#3V=cL|p=UTZnOee_tr=Q)7O zo?RYmR;$MRY9eG6$4r~|%@3_kd2>S{m~~}>&hkVHdq|=&PjKZlyT9#gIwGG|7p_}n zer@)9QXS+|Ql~+|_b=E@Iq2`!hFwM487)^U%ieC(v&k4Y{_qb=#3WyWM<|3aaBNh2bKuj`u!)QflfTw-5U2@m3(>MNjv(@0 zzGNf5x(9j$U9Gv_7Ylyu?$u0p&MMD%?Jnh+&-`XcU<&cLV>#P*mUdhKuEM`91Kkeh zUZB|bwHQOEeMpZXQ3|3MTG#vnV{;fNs$F|@O>^VO8G9;e2~cgA>4u_wD<+Jhmk$m; zIN6(1|J|4)4J%@lHmnGA&Dx_#3sZ<%yrsfs{aABP=;3u+hIf*MG3E4hY4JWe?M`{i zq73?J2$c7Nkc4f1*HGo~7Jx}rieus=Id4 zsMIrsdFjQ$_>yD*abY(2Cbt};r+CHMRUGhz=s>x_N@TA;H9Qo|W@{v` zHLoyO92CgT?G?3=c{yuJoDTN{b!pu(bgUowlIu*~w)hT2Al`qyPpZz7&TZWu`1qa% z={h*^l&{#G1{m5`sU9oK#?RRG18@`G+BwU3Rt9I=A5K?gyO_KI#(I3dHeVC)J=pE= zRqOXhW!GfghD|mxDfU{T8TaEt!O|xey}T~=xE*8|x+=THRI{Ow+Q30vLp=o70FQ_f zHpi!{cRj$|2sk&yR}kdG^otRcpS(AEmdjh75K4=W38>ki#$VgF@_%~rVepN&uD*Zf zi+E4*c$>_yk?9+U%s3a*A{ybmpar-mdWfXs^82;>JTxBH61C@g0$G_!)RFvh?N=Xz zq&Kq!x_;#c3Ngh^ zoP@KrMONj#zl_?CXkE-2OgCyM?!1mA?+7&Xt&sTGPE(ZET^YDMs**-*4&=+ZEk@~R z)~OeY(4d}|(`E$fIGjp^zE@$j=)IQ2J~aFKN(G%h4Y#l<;d&3GSiGZI+7^eCU<3u0 zN(Fx(jNM}$h023xiBJPd(S7QbFk@Z2v@vOr^-}^I>+N2T4N7RY&S-tVZo~X4nT`8( zyf*@F?dG)OwSN6^;bk|0?`DN(y{#tu_8Oa&GC_IF^&&YSgJzMf`K+susfc#Tb~`Vf zVq)&sWW*)xi*aBk`t&46_2LPmL@_%q85GX+Sp^7V4bA zU-b2qi;cIjzDT-4WCGFRr|TQ`Q6YRT<(7>gQSs3`kzzbQSIE3Bi5ezj(jg4{Sl*Y{ zfjt!&;g42ky)z9ylp3N=$NgijLbZV9IDz~tVi%u407)k;CJ7Ls_0!0_B1H@Sm#R5p zCfV@)i7eb&n!6d5f-j%}vTE$%PN{&P8~it_3nv-Q>SqJr%>FqSQ&@HF976A_0q?Bb z0Ef6Mq&mZ$+SEcnWy``o?ZxuUUgtcKLE)-U8q%-y)e8s&$XqnW)t#mSJ|mUJ&4%%z zGAa%+8k?p?j#9%%MZG?;eMgs%cjoXSC#pNZj@yHLgP1s!famiA)~kU*wi8~2q{gP6 z45ag{z8gw?)`})L91=vsxGdEyE8`AD`C2Pl#uO^(OP9UBkU*^673^Rwb}i z(rB7F+_G@hhD9Rp2Ey#%G=W-XY&Q%18ZksEz7W*If_glU^7==me90%|*qsXzwD15RsvCqy!L_;+Jp&A!=P&{>gj1z&bZ7$IuwzH! zYaPwM*T5Uqq~6F?BT0H2yo(-0%GT)h-ZT?a>rw2y2i{1aZ^Sb;cq97{ypfuyOpa`+ zDOaa#sv0`6zFbH}&_@DTHr2|8ZS8L@!{;G;Jf%%Z?@oPc>Ga4d^-MiH(z z81#ZDW&kjxqyMyIlD0}Moef8|(iruunwHnjBr9V?1fdF*BjiThv8Cie^6*l$jI=F9 zH=<=XkOz@#DQY4Q(!(Zt*tC>91hI9&=qbJ!;!7Hn10JTp>tc*th9G!Wrlq9?foHhA zaKcP^hDQ=qrop!BT$F2^%b-@PgX)?ar9noka6d=Q*le7cp!dSsLt?EVml0lY_nHCpI>5W@c!Pmqtq{8yEq2jLzslJMNMu{C3eYiFb#Rp9XH#P#?L+S^bGFB7uVmsqT{6;ruLe{cO^dONn+vZ#|Adx zn@5hlc^Pd7gS}jxTCb|+koJEkhonWQWUVS(sq6l+D|OvpUkSfWR<&}|(PKgguJkJw z^eF^NTCdFEUFzsh$^=iVHPm+W*p@Z72qCS88uMmux_F=Zw;~f(JnW}%!y1giP(I~2 zzD5x6E|H`6sXnRV&}5m)x!YyKN4)QrXSW=Ik9OPS>=9@c{!jAG6BKubmXr-*!QJHjaMApJqN)aep?=y$e0GXbTveDc9fQ@%3i9XaQHUGWZ+|NGdI; z!I%g9*gkirHd=bgjI#20;@Bl+G1x1ck$9`7Gh)$F z#~BPr&+0IG(7@>))00w zzmR=~z5mAkkpm;0v?d&vb6?}5KVEUI)o0=IN9fz!4Jd9OuvQ&tms>>dV9e=2#|#3; z)$+WkW7GkTX!ZH(nA2mh9L>TaZXcOl%(5)*r|DQG zS%D?f$w%r~kT$_cosJbvs(UhSQQN0QS=nV2*HiNcNcRILd0E%_>sz*6Kc!uGRD9xB zKVP@*;;A$7W;nV0scSFPPTu_MwhO1sWI0<`UrWvJyL;y^xaOy%x@*_ZFW&ojU&F#1 z=Fqo03%&PWz6dPH$kZz_p!N)-(;>ZHKs>_SL3W76xR_Pc;=DGtlkH_cV0COSrdlI1 zhW&cz+{6)@v1$l6ENF-VLOq|rms9m_v{uhfC3j@xa`x%Obo_jXiV(@@%}def4st(7 zb`xdO`4$zcEn1>X0ziPEInXGU8X`tK&=K*VFeG3c5Kyt8s5N(OLZ{Fxd?4rqS^^`A z0!lRvS{7~&H#9G3fTpP-Ia!PM-4@cHR14LryrX(3z(c@$l}gFJ>7l1{W5=i?IeYjQ*4 zy~IDr4PbRoMn7=h&lz_8)dha%c-vQzhA7w(r2$U-3kpO#!xmMMlr<=M@q2Tw9fBKs*R_A8ladko6Fgs!xeV)M1wMGbo%~?Ito4 z^=(3ZxRUN{M15rDM*8NZ57E=!fZ8*53&gG4}-%%Q2_NcoYv-0aM%BC z-7mh;DnIeN*vIGLUidqCfY}e%^9;3SMpb(73vj_q>}zkQBm<*5p0NF@SI<-=cmp2( zLGfCK zfBS(4w;g)%qopgBOrKj?TU#2fy#x)^U-z3ib?Y0hYJKqVb+12p^Zx5DUp$ZeeMV_n zO}xCU2E12nv`IW7tOSWIPz-`#FaokPa=JmZL^07)E-P-sLzu;bqX!j7dLUkn-^aKS zFT<_)Af~fB_oB%R#~9J%XTfsAk<&E7H8d17CxbGD8Jd!pYr<9Qv0|)sfGrz&l|BB= z5=po@@nq-7>Q1md6Zx0;G5HODk6Y4Z=+^7ltvZCmx@z4l-2z>cZiP>CO0m&Bm#|{H}4?ZNpWN{UXJb=nZ_MK%h8oB zWHI>*|8>WcC(nAhZDbyKD;`H{@m-|i!^gJK@fu3 zEQ{(fu-QR0RdG>27tph_^{x63=%!IWq-XV1JxvoL@fCVBOW&i%l>amrK%bmxpuGU! z5FQE&3K|x)s7V1W^hGHFiAJJo?KSj>U1WFxc$C&LZ1=#x2|t>KmC1#PesYYwlX$YQ z3NJ&&>?>#U#nnZLPfsnX11@@sM98LiJ(>spk7c%j4mS! zX4eabH-rUhk-L3aag5#Y_x)To1=Qh&2$&zdcXPA4fq+lv%BYb(qRxk)UMm`nL;ysp z_DF|;sq1xSqfB``mNU1pcn53fPHf(h=;{_6iOqP)a2uYV=;FRc{iJqe+0ujuf4mfr z5Duxe;;vCiJT5#1tRkH``Wz#V4k>0?4-D1C+gYc@3U7LKpCmEV4j43lx6|nX(;Y;M z6g|d%pUdU3dZ05DDNV9i($YLmhLLA`kO$sxS8gayw~F4A9iIi~JT7_{7phPUKFliP z0&$jwzMZ1z^?IK67Y|CJ4A|+eT?XJ&a5_>zL1DN6l%N7U6R3a&4XIf`uT(@->o{FO zF&P}d4kg9vB^5M$+QncVCkkR-SviB;49dw3=H;q;LH;7}Ix^$mTjtl@(Si+V z?M_mb_zK;E4?pt2@KIcdmUWYx0G{Am$vxzb#aH~a+-Y(3MwVhLzH4q`PU62(@ayB( zqMf*MJ%{>tRmA;6vyhz z5-*d#lD88Bg;Q}YN+;JH=-7eQqQS)Chc+$gxI-8hNFY-F#XU>n&wcUb(HV6+|Aii7 z9TO8iawB>Te>;7YIWY&(gewymmBkan9wr~WZj>oURf?38&&q{TQBHoy(JmQ0uJRHu?QDY>3bQ7kuaY(FgWfWrp8>%Npvir~ zU>2xhf6h3cFCQYvZl;)trm-}Z7`QSQXEGSLQjs2J6ghN75{G7S3%DMRJz9jCiV(vU z6>$tJ=hzGBH5OS4Sc)x;mR3ufg||>~d2>Q>i-nODdA7VvZk0P_p;taA56P^Yv>I8J z5vYB$I!9HTo}iUbs8bhI{Z6hwpiW}7o>A$^nTks4Cft>(lb|6cN+m`Qz*UCTF@Q>~ z;FMOm0b)~s1TYfD?iR#MrHHk0l+Ge_{+k?m}KqHkt> zOhGq)xTP?{hF(X{A}=}BK^XGIJ$(kp&O0|ImUI3@>B1KLRv~5xqkYh0Lu)>}IDS!8 z1#+O}MKu?%J4x29A!T)h4CC4JEO_HF?#f%66OJKt^Jj2&71jQCay3TTG|W-EwBG(zke;KC5UandV;EGR5m(5w#aht)ya@R>+54yCdVG#F{+ zLD5v*I_I2v)%eQoxIVFcd!jqB5#?{+K6_sGO?L#?KjOoQSm)UjT+X$q15Yge z_?6*HxSL++sHYy<3LfPv@X#g9Bs5(ymBYaHDH{r7TxhPK-n<22o>wWmS1;goa`B#G<0&(&7oYWI_pBs4lNmkneFj zJdOhU#Da+h_R7jUdN#+GH7Bq*&>dh;1<>&T+8B5;fbR{U`T&XrrUh^y;4|teDhimN zhKMiuSeMJE*h6t&X^dftW3yw8vDR2`jE^PV72WQ3WyE7K`}62RhJ~Z_P#gjZ6Rs$r zejXf>+P~Ux6`eLpd9V6UvjsWnsm`rCaL!p-&uEhewah34%gWOfMx9YDfJPCph~%^l zKnuATqJm0|^IUFMIpxIJGA}!#nGrZ}fy{(VS|cgu(P8Oah$O&>G%<2VJFw28GZdGt zo!n@$)z>fO3>?|qL3*FWg#%u%ZBCsx5FsZMo5|n$y+=CG?6V3g>+D+8vT5NBe&Jyv z-Ably@2i-`)y_3=Lf5vD%SP^F>t>F;vu$IC4t48c;66pS(O=%56IENF96I^{cz@ z+yT;AOg<5ZgeL%dXE3=4DHeyr&*}BpNa>Lu!1qY7%tN0ch6>lJJgpe9H zs=@=mc%~wJMnhrLV%6}K950iTd6Vzr300n_E7bp*v~21cBAR(xSwIjV911GzHWsF%r|$Df0Ez6G`aR;6hVb}Uc!3ML~?9qQQ4L`%lVszufLbS@;G^CE{Ywe zNW)7K;%&lX&<%d*cF=A`ue0hbSynKJDJC=@OfLueCkM@AvY9M&6$sCX7WTV>t~5rq zt?Uf1#ggwGXSb(Oyd3ssx8@wo!GRoy#L|81Mo+1}7*|MkpSZx5`+~$nbgv4zBAn7=WZq-c1QH){>&EYG#>z?VT8|mWr4^I_ibB{MJx{a-N}rC3Ch zi1j9e%?)ANpG`wtPEMXbL#@MbS^4w~)@4ZpdWjkpKy5`Kx6R=w$jr>6C(=XXg9SM` z*S|+a6PJ>^tEQoa__8*%iCo*3c<3#(4f_j6KNw!fwLHGQ z4(!MVvFbzNw+ye&g$Xjlioxo#Iye{a)q!tY&=<_*=!Vw;7hJd}$on0Rke`~&Zm&1f z?H)&=jK!~IYgj%bJ=4q5RnAPgJUc6QL2gqno9o_-6ebgFu}U${VwylxGF?^+fWpa& z&1Bl@M}EZ{j{6mpGw%1MQR#wg($e%U8pZl3p9k=pHI|3{Ejt#*j z_ym43@o8HHKe?9-&HupU*xt5j#FDrP?u$}P$6RVhN)T~(3v$8xn5W<6o zfZy+wRnTY#Lhq@xOY9__i7lfs=K=oxFk|EH2@{ItawoMV%LPJ- z%QdAWCm4+7=NCgv>Mx0eC*;%qx5i?I@mZBwI4cwiFeN2HY7RkE*_V?O0NYX|e-0aC zF`J(5XBrVggQFiP7NaGOkSE|Oc43zbTv}Csby;=gWGZ&QXcw#D$LLp#Ak7JdW^-HN z!9pA;M1_Sm1HHs3%{KNL4;qJzoG}SJ)vY%p8LsGRB;jO)DoCzXmYfm}v^YYOFX}=? z4c0Re)ljuEDr%KuO)(|ssA`AW{|e1EY2`?Ah+qs^2H#j#uC5V~vPO+-Q7o#lXn_X2 z^59swNvGL5#4`8`{MPC#*2R5^|KjvSD{kG4S10bk-c97jjCs&;W$3es5i*Yav4=cU zRD~{jykq18WZJNyZqWnvjeg#W){wh81#%}^KVx(Awg1Gym)0N)`C`pWiF@nGC--Bt z+h0HXf>$2BU75aQ^-6RWZN#xc^5v=F8@QXcte#^--kFCN>?NmY@tcRdE50iHj*%E2 zc;W)Zn46KFH-5b25;CZ{_N8;W{lG^Mu&jk}kquy{-R=^yGcygUj!7t;bsLREZm*SQ zX&V)vTapUstn1v2jJ$M@)0>X5-9E>**oJLXbmJp&bsNZ4w|m_FxXs47AvjLml#}dRb<@fC8A;78 zj%qM8lg_VAR)fRmJCcrClWL&ho-%wG9q+`gAZ%U7$nQH6wMgmcT(o@CqU-(K+KwVL z8OCm!9T!fQk3}{dPWkiUCzJg>dIe zCPbI`g-DQ#DwSo}f{P1V3-Rp2-a_0~h{7Q>D+vFaf^P)h4}Kox13@GO(dWU@AU+yI z>w`VP13|VixGadnLDY!REH%5@gaM%CDxlA847-D_|N2FpU5-S+BYcl|w=#Xi)Pn z$pru@KW#2(ZfR-JxN38A3;hdi=@)Gs$g$2N8$>DzD>1QB*o5=g(r^2IP^Ang#W)d?E zy{e>731&=jRRg>dEEno@4#(vDpeJKojIU-{=<5ZF9(lapNmiQ;qQQb)lXCutu5W>F z>blcB=iaNUr=_d+Te6wrrPKpn zN?AfEcxZl^beav+HpT-1yjhw_DGfB6&1Pr{44Yrt$pGT`n?4L}g;!Ta` zaCT1v8(z#dm_BVu_xhacnZl@wU1G$Ei*~G6&R%pQl?s>uPh!HrW+LWKOaRCVjQd?msB%FlUp5(->>e9*S?1# ziv&+UA`t5II?0*+{ziCho#W-<*An6L+ehB2&3!aH?2o4Wi8qSZ-$Sp$`~jFpug;>{ z(b7f8Y&*dB?Cx9Vpl8uEEKq0Ar!tx4sjcjDmh&&{EWL`3yt}Kr`_Sb#&$M)2ej9Rd z&APr^CtQzK!@axVF0^eo+FbJPq1V8plp*-R<+&JhaQr~87lj$dVnS=sJJ3raoEk^C z>o{KpK@9Mq6BNKwD$YBTNtZ`K2XhJyrkIO^9*@uGYHLoXb1e-9-8r~UB)~XMeSL~- z5F(SP&cXq*1>^keWcxxhjdNZ9gsX?^X_v!(oPF9bD{KLa@P`(`uTCu0aGGhj`ZUKa zZZH%&Frw|}RZCl3uu9Tsv-LKH$V?B1n`&wrFk<3ujg5^io9&A0hHKtMM_g?#%Eg=Q z1((Z#V`mz)gCt%CalGo%U?J#&>2b3$IE|B=9OzVFaZeAOBabw#oo%o!KHCnjw%=^0 z+6h%`^92jb+6US;c$r4XqXU&%c1H} zG(<;3y`jO-$fvz-U^ok)3#<4GPP z`i~fC%dxhf5L#WPU))klRKtZxdzTYyXaczV59=aM0&c9LJBV;CmKMZ8g4ogmS{HM5 zq^u5K^LMPPB1BF&9kbe8%&c8ejHz51KHz@0FRKI)Z32zu0e~VTXw?k&=K=Ml?%hx*SNme%g!pi)4 z*s~L^gm0mG@p5l&YxnNXO^gKEh9QN}Fna$z=;*lfo8f`46jQtR&`n+K`TW7xPr^%- z!g2Fgb5`bi6GxY#v7yc_&kVN=Qg`#snYz zT;>uwd0dp=@1?!f)lQiLSZIrr22RsC_%%{G1X^H+L*o)oLFT#w&ws5%<RgCJLmTVdT|k1dS`t>z8>4yc@k&yo^^>RV6p* z^;SW6)SEaUkIIKh1^J}>rhHb;2qojVFpmWHScF7V!5A4!3nea6ExfNi5mPN5Yy!~) zoG#cLbQPa1o=s^y*k(Ur%_%bC!5SiKcv8*cfOF(ZN01tg*>#xu^`7Ey=pLpNgg0M$ zddo|DhvDy@+xo(uEpV=+qUmoIPy8#jXL(2I(C>ay;uAaO;f+Ie@XLqJee3bVBb$GF zVfD$qhtRcEhmid2ilwvg7OF>o=p@JPbD@8czRNBVyi8&}+KDOd4|%KAVv)JrZn?~1 zx3f5C5mm;h)zAz9*K)Z$C^c|uT1E;4P^iylCai9bq`PsK3x`9-SRrslUA$||b<#ECV#(cJe20m+ATc{oQ2-+Vx(#iH0mB8u z6@w&V*l5^c*k`z5_|PzKkh(b-;dXHQxMSQ6?n7>#lX5)HfH%}|ObwT*2hZOLMQEH5mZYmep8Nu=*57ZXggx%nPD1ec`KsNoldq0>6)%H4}L0JH9_*@2T zIHKTl8lNEv5^+&>iA5q6Y5Bg!EL7u#!dc)8m<%>E5~&}Wh2p3S8YG7y_h|=wnOS%5 z@E0%CwH0s;e0(XIE$$jbW9YD}9wy*I2|G78I{FHIW*WUZjTFgs(2>jq8uQFJzI=f0`w(C52Iy^cF%>sj7%PXLt)9EAHir;E5 z=$vGwIbn9(Zm-nlPnpeLwOTBsDg1tgOs3#N^#uiQ_TnqGv7pd-3EKk9ApWH(@prbn z3KVss>|wyaX-q^kVzG>nXh9hkE6svxf1f=IJ?(cyRjW*s%hm=%O}RLe)Zz#WaJ&?0 zQF98v!FQRu^*nWbZr2QJIlp~-X-4LUYp*^1HhOP2+PT63yPg{4N*9kyvTzl8aqb;N zF`er%_7b0-=I9QlG zi=RjV7Ot64b#NW+YK#urfegPcgMB~&GH?)NK^uPD2ERI9%ObsPy;N^+7031TENO1dx3%Kak|k9EUpCX;;mdS%WPEf;(%^JP z>~@<4FB_Z9L^#oDJr3EkRj^8cv%x}@P&>e@If9=9kw`UBdeotif|#%<8L8^XNDVt4 z7dI^+P6LwUW08kF2D&oZ6;BaShtY}+4#W{EkS$@i{U`6Q%1n71W1P#iWP9nzU1I;h z)ckHGrN@N3W$Y_FsVx_UbmA5s5`t?zT8`+VTCFFTj2p}(p6hj(T+w1RP^=CSiLR{4 z8GRC+-h^Kl1#V(^q{%`T9x)v^URxd zCgt$f53TH3oEZIC9$khP(af&mJKJ{l6#5Qs-!?L`=Xv`2D=)&kNJo3u4fSna{@fIT zIcg`ON=MM{rPZ*P3R2tu_Sr+ncOxhI9fYtgh6d1UlLJdPZ2fyL_tu`H6g9MI?=Syr zik>V@Zu7#WaMtfY=aE`+edY1#q1*TF!WHNxnjNNI>>V2FdmPY!L%)~KvOmX+&I>}I zbPA7pt=vh7nj^pkFe;r2204%0&5(SqaoDP=>TGg@$z-eb`8ZPK;B3L_Ks3-B7z|tu zNCE-h837++iv8PK7^=ME9!Oku^mNI0k%>Q)_W-F{dfbLbr8oOiRc`H)smRPU_n#ICso|x z^RF8?S?O8Jw+^Ahi9GDt|8)-SpmU?|Qnh`xrE7Dq!z0kY7hOdw!*m?IgkC{=C`z?r zrHNwhz5{J&{4)A@e`m+G+Y``Czm+fj0e!Nj1?Fd&)RZtpq$#aFckHd2Ul2#^bC#8a0^=1}TvUcz%^q zE+-DVHsvzqfO4a9hmsT|MZ4V%<%i07rACpe@R{DsU}jThI5V0V%bd*2WUgjz zW@a;*FFqm5WXObUz@7bp{+Yj)v)>|x;E0i{6M$lvwI()8MKFC z*R0gB*I*0%6xudhI*7LBJK#FX2IX+z)zJeNp3i%|yY{Wv_M=5TPjCND@f5v%=Z2+g zZoy&rM%UIOJ!68B{3q!X><&;38bA}2^JbYs;Q%2WjX_LRmEB@CH`!?rik`*EvI$3) zwY<8?(a;cgxB=h{63R|Uw4fMlkC z%|O_^!QeELDQ$+$Qx;YuYiuNla$Kd7k=biecs!#D2ozBTub^iY@R;I+f>P))Ny+}4 z!=yDXIHie@!`&4cgUa=6l9Pn8US0p@inb$^^K?S$B3`Wf0^l7%lev#0D`QO%WwTha z6GkpT$RuH$&6NSA=pc-14V6hic2W0PplTX*!JBVfQrqB7_;Kx9SK(`@Wz{QZo__k; zp_lHa*ds^h!SZR9?)ZuWD0t}59UNg^-Vf^yy6&Yx{*cXtF78JkuNa%&w)bjr9sLKi z4PDZn7KZTC2#k~FWCj$l@30rf-&guDI$7%(-o8)P!MJY1X92Hf>( zZ7VRbEMuux*Cqowf?ZnO49Ady5>;k?{Sy|a&4XW>2vfR0* zn_LhOLxKVU#H7G3z!{+cEPQ~#n!6AaQt`6{;bbZ(hSVVL#LLId5T{I}B1@FLt|mR1 zER3Wkh4BmN2KJCjLa+vw6=ZFy*ow|0qk|Pl@B*)Qg~)WMlBs3&_+$lUv$c*q zV*_H*kX9-I<^h9%#*9W#cY!dlF(UbwO_XJkmOxTcE-X7}`-K{?(3_hPgejpqD1cIJ z#Th6FMbML~i5sL}4CHJ%kmKG@N+$|svSM%o9);dkxlaIz--gIqku*Ks_G|35RG5&-(-3N0HiyG{9*1QY2;yr$@M5CV;!h|9u;FUa>G*J69bVQ$6A3O+OSE%+ zTY6cVN)sD$npc_%>2#`&Fb8GW9}2=?FdSCqg|W%D^m<{?3%y=PB8s0GZ!&qrIvtC% zKoihriJ;Ec=xPfz?aoHB1KEw)9ob{q6WI&d8`%%D^I0W%K<+YG2bm5I96oHeDq`*A zn47^mNx3Mn_Jgs|Z9qWa4Oi5G1IyQQ6_6gJQdB{+9waqlUZNFN#XY&Ep z#iCslr9h2o9v;_G6nf|K_VugsYBl;R^p9PuQft?vm+99~;%DD|AIcYWqiWh)ye^Uc z@_+v3S0xpSq4ybf#cCb(0-U9|l`D$3QM$7eZlT^=zM}L#nnfQ69l@aAe>}G77cg?* z09tul>-hVh(6m-Q;Yqu%@5svw_l+rSz$u0CKi&8DHZRiEvWQl!l+5VeKp-npQLALh8Ftj z@wnm@8@qpqs{a0dLFef&i#ntiTzKX#6a))Auw;Zq>-7ChjW62F{lWWzL_yYzr3t=-u9EFJ&n%kK74V)V7IgD;83{Wc(D>c;oh({MKfnH!shyLU znyAsgO5bBcLi}t3X}pqm@N$n_snqD2>dfsbyhbe`BVhPGkOy`gS_6QFk4@@1z210+ zl=^H}34<4lV6t|WyBYR%GnOJ&> zeit&+&&=FrelxhPbQ4A2z5Q`#*Bh^&hkK~E_dn5Byj+X;BE|HqHEe+|*1kLg`SYa@ z`q0hm^{F#3{mGk8eS90oSqDbue_+1^YE26MbCE<_Vj!_G!9)_v@SS~$V~HyX)}4-|+tLH+ zjp+;NE9v>P>=?eek*2E?>2#u+mNY1pb>*&^952`KRoa54PHsPgzgjv!a~k6}sWj_k zNLs1}lBk52^h$;$qmmiPRmn|>e3Rs)WL83xEX>bEtaJ`j#aA<(r?l0x7<1h<>`*MuU8-_80<${b6F#%d8u%j8#V_gG$Ab0IpN> zHQ|DqKn68Wx-&%2UWhpKHVVEyiRjxq!CqiLWanAw=e>It{N1Mjuh0|#QHw+;ACaaB zX^Zri1w}#K7et{uqWR*!QxC6S$%B`JGVG)G$TcbiFZ@g zq%=}pMO<(myVWTef$L;h86}fh%^dO5RhxQEgC^Q!B0n?FE45k$(NrjSE8Ju~ zX`QvwRzcdwsWgH|g|e1QC`3&Yxr*pnCE@b=9kMVgT^qt?Xa6socf9Od5csZ|lS3<`@^cFfpJw`q9{lEF--XzmQ zeXqD>*DiX5wxM^?8(Y_WHE5SvUh?Lk1#Vc4#?W28^+$hGoI(yk@%%UGTkJRSD3GJZ zzQHSuCKIJnt934dYsaN-k!I*<+9R_P`k@0R*fws|OGs#Pkzxq|c#v|u)(TvltJ+1o zfEC}R)fOTo`Q7@6en7ubze9gae?osje?$MFeqOJP2oK2viC#^@k{S<@StXTXI-@$K zqE4tTsII7J6%i;@<@PTkEf7>%K0ZxZ0_Wbo^SOS|n6|8JKA;yg&N*~B=lBDd^l8c7 zP+AYQnwc3Z4v$Tz(^HN7-dK!&f;uu-yja@GM$wDKVS1SQPI24r-OOvHYcubnPdW>i zE?11nSn%Jd~Cww zSxz+Q@nCl=xye5gI*Z>P1}^v*FDF~dG3~mgX0ke}!ueo}BgJ-DEGrhZlXrE1TG-5M z9(iO5#;%rdxQ_In+NNRi_>!JpvK^EU)5_LygCTN8DA=^{IG@!yeID)}h9uK4Jq4;* z(ye?JMu7sP@t3K;^rc1ld`C-5M=I6PArKH{m8DQaH`5eN^Z2{@C5tWs_=y7kUIEuB zwkn=cyr5wGiiDz5L7NqjRzM2BcuN7N6t5|$PQ@<8^9p)k5!|?F$0EuH6pI!qfRD*u zU2=2D>=Js3(4Xe3EiBe>u<)agpE9vF3y3u0_u!6891O<T%FRrJ~lNTg!ki#bzhfp7Ufj;`s{r<&|8dh|*r2CdGjqbXoT3%ozr5|s2 zf?B@>YVU3;9eiQ~HK^xQH zfDVV5SZS0N5;MsB)?<#`a*AtHL6w3e$;M3rqM^qymZ2mhGf1S;V>Bea`xMRBMM&?y zyWG34mXnW|0pP(Xprc?0P_v}MPj)e&MFC`U=?%fXwi&~gI-Ezv{`7v*xYvL8KGbG#pc zJJFU@f|A*gg{}Ma((o`lHn*8B!d`T8q__JfDsKPaHo7>|o%;Ze3tc>zpYCG+4p=cO zN`Mwpv{0)PvATdOrcX;uw52Z5*jVGTWw=bc9InQ^iNZmj@Y-3Bf~&@p0SgHb7Pw{- zr0E*D5N(pcq1Wde4K|yvnlzJ4Ivh2&y0fqiL**FEPelP{G(i{1!c}gcI}~-hqaj)= zu@ObGA(={<8VLo`CK~WbX-c^kGk)uomgi~TH{RVj7Kzg~2j;%huN_ty z*wKOW@gk=wqll02x&LFMrLj=sY??y#@EflL{5ui&pK~@bdAUhv~nB zo8VOR`#bL4`Ut%$>+LhW0$0-N_h*8o`sNgE-wQuRs=XywCNtFW^nU0aJF}+a$SZVo z?bz7nlf=8~m>-b7&;AV5fu!JFZHH(0YKht=p~7mT)@IQMML%F;2s;@fysTV+o5^uW!k_X-7(MLt8wa zK__%N+k<%6)01{aohWZkk{Q=(%UwYkUKgQ=MP(zkgOX4bJ4+goafGi*G-?u{{$@QD zt%vmj64U4k_4SEZ7*C1xX>+Q^Jez?T{NBly*;d#}KC~#$n|sYvn;E)WjfOMVVM!NFkyRx{Paxa2lzU@XOX{AzO&9==%-q{^qjhCMg`h%2?j3#uUJJgEfSA z!%Dw9K1tv22u~kIURwRxQd*51$NpQe11A0%LAV#4guMspzJnyBz}=;f{<)+-2rup1 zTKcE&eh*$n$LYS}3zf@_<@rDViw#$I?!5ZVzh0Xv9DIK#b#&)D_}|Xb+MPGYUj5d- zy<6a}z1v2%jQ}~YVeC1=o(2Zs08t!`(jbSUZ5QaFlDwW}rH!>7DTJv)qodXk&gIPn z3t1GzOgAv0?BcrG)vyn=g9UizEP$&(C#JSTB4+?`xMm`?m|NVg#4mJ%0)DapSAvCr z!S@(UDd=pgo%mE7Nj+&9HFUQt>2QLSf_bCCK`%_nIxR9c$1U}^qfTegM~M5R+a0cV z5>#6ijiw!rh^5U!VHDM_!1$>Z@c23l8etyP(1`W&S#kcLCd9Y zWTD2m(YV8S!Fa`Z!^mzj!iW)SlI|oGN$yKh*?MEr>rEQ#vl6hZb)a=)>xI@Ut&&#W z>MgXAw)bY<4rqo^`29+Nf6HpcO~tH2GO9SKm{HIQvamR%xhFAicJ{y;};IgZhpB9XAc=5QDk3}J1ABs_Ww0G)#L8$5a{YzT#7>e7i{ z-npcy(s575K(6SkZ0x1n91!D4e?#J9nl4q9xNzyC+?=S;EeAsdjU>ycSVX;EtP-%j}QdL1gq0Q&B`1R1& z7Rsbjj~GdONQhEs*bc%BdM{WU7QYv!2v1*-6jD^!|2hm&3Q=rE^Mo+BHUVFMP95;wVKN6`m{!4H{k&u-VY)`P_n(7>rsvOX-es?xP*Me=zbU z_j_LoFfUL3WEJ~4(EA*xU0!f$$xAZ4Lb2~I$waDiiR*_VjXRSDsM zi@y{u`}$WbOC>3aF7%>QFlO<1ma(X90~emv6{bo`lCfCSY>q~a^~J?CH3sP=eo?28 z9mrG$gkCVhle+30kw})KAC^O7k=G*FmB;HPaEsQQB;dow7@fw5aoR{{jRVG;=#8=9 zb!;#xLWMX~7x<(STS<{MBNC}lDeD~-P@yWV3WGoSIzwRVr+gVJzL1@u@lYw$Bgfw& zO?8}j%uAXJVi76aZ^1~<1?%A7ea%SnI0zwc`EwRS&9j<#i-|9?7;WJ~88V4bpvbIH z84{FWJEDfDt7Ey%W9*J^eQWfAKla=29Xixp{fpl@Z6}J0!S?VE_ETrBj~?@vb62^) zNOiO{#ZH_$J#`)oKU%SRGPju=ow@eM+L~{z-;e5RLkGdi!7b1I@^Ve%KmF^z-Oy1i zKYZHVLL=04_r^KJTLm;&8s=~-7;Cbkayn?3`(liW$}UXk+nk=aqsO0VKB{Hk*$ zFk4`d6ilr6As5EQw*aoQ>l>t&t$nfiqcP+q1fPL6imi>5d_we}l; z!DO|X3{*K02$ZvSSEd|svdd+9~#kx{0=HoRl<>leflad+5RIpOJ03$$Oip=6c(--V8c(H5H{Z_zaR%E3pl2qYRjswQJU;w6*aMPyWEmqQMg$KpbS9B6BYxHHb2o{0QNVIWL!Y4G!1z;2JzR|uDVqbuj zcX-hQK_#w=m&+ECIGE1m(>VT2g-fIrX)wG27Y_J9#YvG8Z(%;H<@c=_v?flQqCW&p zvwf1yv)9RsxePc6Zn;dHfg9nL-rDx_P&M_$lKQc>wQDH+9=m0*R*wtm7tIN(<^P_7dlq1T+<)n20yw7-L7j+1$R*ubZkiH#myS6Rxc${ zl_FkJNr@A_b?I~>fT-&i6biq#z<|`ooGwAiAbGyD)GRSw1htcPJIwX#&RI*Cl8Ycd z>DIgO{!weyaQi6Ks#ifZ0V7%%W?E_1opq19C)`)ucihu%N!H!t?#CBIZsb)%26M*i zPP$1XB1(qoTDqM+PS4SzL-dC<=~kn8-D)>2wX%#pW0j!%$$-RA$%vGd4oI&^Z%RcI z(rI1?W@{HbmKT+T13aEyvk2;v!WwdTZ^3v|khnl_#V{%h&&w$ZsmHu_99|?zKH*-x zt@ym+UD3#+%cA;6`^35c?n&aP7*1l7YEC;E0wpd7$Gr-5s9h!7J>qKKF}uh$n*QvQ4_02|P#6a+Al z6p0iU!G`ldF1JuLE3UluKdKhx=YgTb860NVr--(W*iX zV!jxRdc6@r%#DO90#CH8ik@db`1sRptAHF5m_%EoQB3Azcb&{%v5->hCV3Ku*x z1tg3JHy67vXyN@T67E+I%ZRj2O+=H`P#TShqErG1zlII#yGP49l~Ac2o=bxCREcZN+ocgRRZ@}g|#=}ecLK{`@C{n7tV5oxM2R~<$H&D z5h9_*1rKL@3ke`05ONWogD4Cn{ z%|x-&DIx4Oo0K#ejS@nB2|NQoJw3_jC0J^Yp`}tcO^~EUhXAd#kukz8dCp{GY)HPu zE|*F*Xpg#e-KH%j@-Y+8+1>U=I~9Rh;;?<(e#I^_*>yU*i4vLX7*I=C2om0R5(ZoZ zp@i?0ppH-r@?SM}-!ij#R}+#)n{T&U*77ro#` zMJ}Hw+aPbj=TOCDA^cV#$l=$)WDQbfJ<9#`T~bt^%KhR-uFFwEkIigufhi=B<*eN2 z8IT69Rooo~TMzb<8NlTtSuXsOj!sZO>T}miExSz3)&?XP<6hjNb0jAx3BYDaeyrjFzo=x9cLY6 z)&U%13~y8_?p}I9wSyfq-e@tvp|jCpyPJ<)ff^N(;skqk~xB zv{VU|0lZmIAC6};3xHG1q??EOY6R7hd{|VmC|D|{nQ?N{wZ!L2-P^u>Tw`;C=cZx$C*s`(%BOk{$rFvtH_h*~^sv-04gW7yxI6 zhYz!Vxpny8{x|a6JGu8Icc5?55pE(0HnLiOIP8te%Y#9SBN~sp3oKq#nDi9cP*uIw z&~6|N@bv7Ss&%)!Nw*kLkC?McP^)ZLk_75)(MVi+-6UgiAk>kK#Ha&{9s#zJ_?t1tr3ZhR2VVYF#bh_Q;xN}w} z)#)7N;quz@_VVuXE#-U450xJ;mn~f2btR&i;Tt|G}55G2q5-sPf>pnO%yRj z&Z0;Wx-d{QTr^%ZQFNt9QAC8dZtLP_IouB;HfsDnKdqS81P}Af5%iMR&%2*O7?rO3 ze6z6gco+)H&~Q#|5kbZu8Mtfg|lde&N;(d)5FP@n+*CGbCbPr=!OHwr#1cvvu3AdVpAQ%6C#fI5pW zQCWCFc?o;$YL+=J2fQR4B}WAmxgfuc!)jDs?uzFH3j|JVSDc^aB7hFVdDvmTPP`CZ z=h^G|Hi-~q2t9R7aMU7#BPhnqMtH4|k38@zH8s-F_B0jv@)PcMq;Z;RtIHjtesuKg zORs((JoxhM&11W_zql6k9NCzis1`rp);EwE;|#epccMN6l;nPLU*DIr;1GEslH1G; zjM04wZj?(Wzz``7a${V52n?a!-v=X;M)7gDx`@60Z?8}iN}>?~HC)nXhyuhLA#`93 z+=oi=%sH8rv7+rRv&i%XlnI}qT^B4`twSTIQ+Bcelf`P)>r6#jBdg28r~_`kA{`|~ z#eKxpxgr;3%tLmY#`U~1BZ^A`jUTO6Cj z`MaOWLz#?K3$hV$+<-+g0Rs50VqTGbab3Mn9C_3tntCLs#HYyVQ(xW`U;5>(o!4(X z%Jjc?Y2RrT=sXBk9wa}?nP(C^#hX8SbMr-RL+`cQ+is(-rFX6z#&!P$@138BV&>ln zt0_=W1#v}%hSG`*1QC(MU&pR)4G|-X(OH&e(uj(@L;(A)K9`cIP#}m7J2S40i$InS zE{FhhpvoZW*QR2kh$P7*;SGN!xTJ)tsHhF0HfAtVk&MN!#BavQEpb4^o$*MVx)TTQ z!~q)z$#^o(L_)zp2tEux%*eNv6c<~Uq{Aj)a%zEAn@Uxs;ls+j4wE01K>bNh8VpLb zDmIx@1qx){vUgAKOy@2B~Tns~l43AdsUxAWBEl(5x!Q15(O<^g6C3l&N&#($$+ zh$xKXGj#rehK@=wLu-+oa7nSNLcsTWcn&dsh56%&Gm$FM<1@FZk=Z`#$n1vk-~8iS zF>vYFE--q)Y%sK}GMhv9IPW9w*CQL(v#a_=!@F*7+c!3QZ2P`#0~=`x2!b8`n}*(o zkHb{WgSXSUd))8~8#|8Lt)uI%%z~2%?)puu^X8q-+0*Oq0dwc@XzyXvkDQCcoT?pW z1!k;q>YcZ;!AY-`gj*C9CF9t-RzvI3fTzzDV(9nUb4xrv3;uv}9#fto#aP!J&>RJg`q^y1=+w3s-r6@fyM4#r1A{xo?K4+eTYI?^2Z!4BnO#R)u5siP2y(Ze(M@pg z0pHAY?2AvVu8+q%2S49*33PNEnAk8$K2tYT?3MPaj|g&y`AqM7i6^%sJPbQL8*hDE^Bzvn`n(W&GX zB*$bWSOmAIv&M;LTD0sj<}t)?4%W4_tWq>w!oBit*0`+YvB#p~Wev^QOi!jiLxnRS zlM!dp&$FO{m93~_i;G#-BSpX*mBYCLG^}tqRy0tlC^U~(JV6*mE@zfs1TnU>t=qZ> zmCV|@=`Hjgnxg48o;pksM4QoR>PKDjMCGdhH3Movigi~Nq-)dUp7cBEhv~UAT~GxM zRUNOItD>rqBnonmDa3C)pD^omHiYxj7b*}0!>44F&bDk@Pg{Q*y(Iyh37}_KC1^|N zl}df0jRqcs4fLdN=^hBVpjr(>BADfZX+YJ2c0dAwn25VG`7%&S=*YyF`?#Ek?KqH^ zTHpaih}A4S`EJgK?Uqc-=_83 zhxeYgg4<(z!GR%@R@b=3YEFE>g+3=qa(`}T{yBU3@VbqOwcABkcdnZpdSP~B&w+#e z`@t{h9W%RYB6p`j%^-+zHwG`)^l#p@V{Pu&q?!Btt&Wel6T7#y9JD$Qc1%4Y`!AC_ zUwe&{zBcCKP2lva5h!w<>;D@X~U0K;!LAJFu z(QdETXpt8>I-YnU^7zV?iHNVPEUYSYSQ7Gz7+oHD6$ZXxq7g~|G&NO+jfpCz3MEGB z>gv^5f-qYwL2a&C#gV&^O>2$Wbx~h^SiK?m!kpma~ow1-(%1E7$ zKkk%LzIl|BJj*J4nXD^N29v#-Nw>QU{TW5RaG3C2@sYe}{Irj5_Z|10^}%1{4Hlo` z$S?zWZM>8KGx~~>h@ik54s6xn-(dueMgDLXX7=)G@jU%HKQ;#UoG^6{U*}nSi%J*s z4BJH`Peg7kAHtiTMkkrq@x@V}=4-5NFBR9KnN3>6$R|YxG=MK}y6{uHz6g)NxDD*T zd%P(3r?+m=>g8Zy$VWXZ^-c zyt+HP$4T<~>YXS4x=n0H^7W^9ZHnJP%Y6pM_+EJEGv{4yw+YLHdP}893s|Pp>#Zo5 z)Ouwao2^_!Qk1HoKoJmAB9!QBoH8JjDUdu>z~VqSdlOLxst7Tm2k8rFvXah-BSCGz zB-U!hrT|U2-@tf{6bxGma9=XXT2(5S&E{~0U58x9UG)5%0T@}hMES~lnzbXq9sF+` zp6)k^I|Lm@YF1~7hXk4BdsBQT`%~P?=4TP$8klgdBjf7gDPtJd!>dDmMG!cKHI4Y% z7>o}E2tHQ&MX-Dg$b<6v;Z3{}4g8*wTnDXSQ-XVsyFn_4xSho>CsP-xwcs|`m*kY( zH09^69p+AOC#q8*&7J24fLJ4LJsdP}*K+nwF3J6&J~d0S)EaHmSc>8556rwxr}}dT zxUohSG?M0BU@!N}P9B5sN4RFk2?bFOPvq0)+sG__k4Mi&=c4qICi(yp3vD4xg-QcmXJmhvWRG3qOcIU zrv#ITB-lhYF_0KeTuIza$k5Alf;yW3*#t zVPy}YUBZ02ph}2y2)-t|XgtN&CRHFG!}l%`AUeL_W;FBe201MOB@a_g<6y0tJ3KynJ-6+L-{(F7hNB;SwDozqZ_}GCgGy%o z+LedCOQo-Uu<70FZ@xRxKY0!O*G=^4na#n&q1;|_(*`gY^n=%iz;14Eh}&LL(%M$y z%KhDYVv_7^Q?4T;kqFti@5KHy2g&sZhxQ&Fh6`hYnf^WT%Y=idgK-{G&-&5N2<_Z7 z4EF3Mlfe;)I^0g#a!I>Er_(s#O?Osns#TZZ;gy}6KA+7l%OHsRd5NTuK&wKb!I(uR18SO@973gzhsn^mP*h(QBo|9$iRebT1Fn? z$9d!ME6$&23repjaS$x(7fAd60qV6eyk;TJCV1#<_?vdL*xOX`?k4qVoNtWt?IxJJ z3Pz@#=QZbBuvjo6u9+YEgwTWWc}YVai2qFh;WuoqSSKkXlN3K5DoL(q8`+C+S6c4> zNWKvNn6MB@nA3F-T|_UjiTn<`T>8Wl^2c>*wbI}X1l;A()vKQhKfbg*`S^;4`ueQf zu+(HSo3l+yxt!5zpDgqF#80}`u5~_H0S}&dd$Ogawe{KZaQIo#3M_xT{v24xtb+;7 zQ$#oM6jn)i8d}fOp!32rOKMYXO(>yhCLGY*ozF4Py$(OO5r%)yp`@FY$2U6N-9WTK zg!TJm@#bf!XS3PO8;}8R0ION`v#Y&c-;+-UqS3Oo?j*_qTvnI0)|~lO281(PGGt~M z`^?i%Z+!0A4bQ;`QDa~X?RY9#<&w&X6*$=*c7UZ=lxvszGw3U;H(D|*^I;{hvXzyr zm7<=|=~ig#6uibVOp@hTBe{l9B69X*dASdr#6IZV%ZOI^t`(ld1qChO!xqre(%^J7 zJDTg!C+eXOZ^&ynvnG?WtifbzC{xnR3bj-!X3#Osh*w|%ZmN6TI;yHl(B5dL08iZS ziYI%MnKv@~ zF4rsG!5xq*-6zK%T|IdCKpWQ+BI|ee^@VCS=Cs?^^z7~w|Jd~A>HWF$+^O3`olVC+ zxOcj`@!}_ltJ%U(c|O<=tUaq7bH%FLMGsxQ>>iV)}>r7>#I28HiGI&olGhWN0CYk;XzwH#h|;w z;PBatrYf)%!ExP$j)YP9I5WYJVP*?MI=#RV+!H($d>EvU2Z4_927_Kk2ct}ti7hP6 zm;&f@EI=hT@+)PvbH$|@3=Fe6=7C|nPU~Wd3GPJaZ?K@$Ab{!@1VtD3q4U!hFgC0z zz5qPRF9tgG38(UcHo)YcvZ+MKAwtI@j%lBN6rr(T%^9m%a!K+ch%QK zo+{_(H;5M`qj{$d{qmw8>-Zl0BBx$FKi?rG8X1`x86iIa9cr381=j8d2e|E|61>TE z90V;XKX-Mwhx?@~1kA16%~?OVuB5pR@Zx@u;@(n%EO$gKeeuPP!$)4=u0T^AuHjaK zgLL1_2>&GiW_HKse$hnB=7G209@yA6z|F3ysow>(r}tG?Z#y!uvKL+NT{&PiuiMqP zYu#H%6RoH2%)I>;$XrErUOSBY?}}F}Vl^%0IpL&-b*fY$KN|ew52e#pL7Ug@cG@D0 zjvz={LZ@Oz#Q!!C)u0RIh^#!~4+dp5nMO2Fr0blfGHSv0Yqe@3CYqE~A%uX_*-AGw z*S!vZha`fycV9W>_j?iP9MOGb3bL+7Mk@&RRglEim}FHAX_w1gUF{2nyiOZT9naaD zq%{0GC9;~VCXpF^G)g4kN1v|Tt^i9E&nrj;V`&aFFKK?h`PJrsY5vdVnP%zp&7hgp z=rgs=fDAW-a6@fFd&8cFLk-6p-e`EILE7HX-LM6I^G<`v*#HPqMs2*f_u>AV_EySLlB z#Y=gUNPg2o=ox(kmE`mT`eFUJUfQpp&|lF{>uLRb&qCj>C-r&xYk`EcP|V4n)K7B>FUP%n=C`iu|2X+SnsZOm*_jFcxsO{@JM_KTyIlR#dhS1?HR6wRFN8u|V*B<#Uih23R`!YSA6cKSfAYKMX2`yQ z`vbG5{@2jRgYW*cg$#{zSl?2|%}8#E&k1vNe#gpeGFver6KiojR;|`680kf@VXCl@ z&;~q4EYw|GTn=cl*zYD@#Y2>eK>7ka3jjbJw_3smy>K1w{44UkBzdaRjNXa5|%OlkFPI*YU@hV zeD}Sl1+7m)LLfG62uXl;gakr*h{Xb9wh-cAW3c0LyBrSK9){y`I}XRixZNIxP%g?t zC7#%w_HnxsckIG8p`DFna#A^|RLWE3Nhdk!*puVVc&Z%iak(-nmdo6Gp9FZSdV-cG zJczvTgUi{HTR|p*WZ24d4fr#^*!^`ejY>73o$p?ErmFZ^p9$(^^`{_Ge zWaU3Q)zA!T!QW0k^F#aK)04w{7jJw=*Y&B6jUT%YhUYQj%LM-*`CR;uR3UYa&z4}D zz$}zX12BGv&dE`8YL;WOh%131 zGtJnoyiC(l(z@0vpOpb#24pf(tFl))sAQDF?v%nw&xhahw3Ft;#Qje(IfiD+e|IvgkEU6b8p zQUgBF7NChasR}KU>OjI|N(9s_RU?F{R&+v#PFSs&j@p_%n0-3?VzxLtEig8nk&$*> zay8-+H z^e#bWXo@+!^bM$mN9a!{VcX>5m%t>R6oGeS3PojbGTNuo4f8`XP7HsgfzP8q3l+e- z;$5%}T*~igco}?u`8j$Bo|ua^zW~a{ZrvJ#zYtwry1T2NzPYnKPAa8EA}O^Lq1e=z$(eDx)p=9Tc0 zHk5NnGVpLaDF>P(Y<@w3EQi2n_1Y|L+zFhlR?9jWO2%h9k}^gp?(0&ztUBFaRIl*k zK~G1E>&Ki#iR6JqfvLjm{GW;S3QL`!`lbo2qoV^tVj!|^I9}7PH7+&l8<)6Y&+KBm zm!eF|$>k*T)#9cwq4}HVR+;atGZ4i9&cDTJf%n|AF+|gTT$_(t#3>%@Nef@qyT9 zb68ldBv&pK2U$E?65t0=D&VFjykX0xXj7g)<;a+&>YH~b&KG`X;_x$EFS$L`6$`Wqv2 z360kr;NdA|C!9pjbKv9>FD{!{h)&Q4KnplJhIQA*;5+S`$9D}JSe#}(@X|Y7DiAyd zOvjGFTgTu>$Izl{L?b&d{s~(AEF{9Nq8{Ss48{4ISxhIn_p8`l^YpdAP6w&Djphs1hy8d1KdacRTMfAJt1L=U!WFfQWn&y2|{2v z5dqalYUuuyVIwZ3lSl{?5YyD`^i;|Gx3BLs%o0dedBP|L!gI?&AeTiI*ty#H)=u~U zn8tttKHS{jG0?DMY}a@;y`Ns_AA0HNE^uJsJNi504R9M0saYi!O2l@0uGGAPx;ZIuHlizE9_Qy>Z{H00I-4g+^`$o9hX!( z;K-e5Gthx9czA{4W55Wemi=S>7I+ulwS&r+9ACn@QsBZ~X(poWbs6pcHsTdqMb&`Y zyxXVHmlgUH5?N&=Vy_5=tad%m$K#gjXf)SSY&P311pv@_L6<8}QszN{YKM@Vq4CCv zS}u5sm*Ghv5lI~;8D_MV(s@>EZK0(G%YWsij8M?rP65g)(L8q%EBZ>_8ob2=H4pak z6Z{;{c=$L^@2#AuoU3H`%3!dPXEOb0l1zJ)7?3?<$(LCOPOb8CwONWgJu_6XhzfBb zyi=fz3Vq}rT5a)I;+9^^v!q`vnXrK8EWlG8ukNirUCrWy!RqI#FIK-@EyiooAjNPM z;9|ww6*NbKn>4UgQx!B_K~-BsdQWMo$1~`8)^pMGw&$Kld=Wj+Z61INf_USaHW)Df zVrD`Q2K4~vWBcaQ5dXFQVoFaXZ9n<|G!bC6PK>{r;cWq&(A!rgkXPj2?8aB`ZShEtcmT>@=Ak57Dg zzOsM!@XiAV;ShQno9#v%VZj9UY^P7$kb?*!9YOi^Lmw5 zo6RF;rNEwrHL6Wz9Jb+`DKUA(Xd}bz#uBBn!r^?A*vWRI&yua&;xjpYQl?f*mEK%S zQt3snlnPwHL#I9;eeTA>i696ua9wDQCxYH9J#4xA}n2h^dD& zc?Ed=EJ*dPds5}oaCj}y`4#s~gdi3Lq5-j?6lM@=+^9&FNPnU zfp^Y#H2^nQShhgOcJygafP)X-Kl?6F(BT6wz88$nT%-5wIk4lvZuA}ESm_HCE7?#^ z?ni6Q_xX$*ttuy9Y++4=rfE2ZgRnUeJne6{jmMR@|##y%pXHX`r~M z$VO470+b_FT~X(%jap(ZpJ~V(ECuS)%*;|XV+!2V-_z52ePI9uTuxr4O*)-4%PX`= zmKEbXDa&9<-VlQ=;-|%QqZq`*pbTxD(rbXHEa;6M#fLchzYd(h_HbYA+bko3f75- z;bH)T1wf0i(NeKC)d9;)HNx`dzHDm_hnDxkv8KZZM)xiMfgW0(r?qEj*YYRyvE|B} z{~bI7p82bu#S2ZH<9jZQCQg3X=PN-Ub7#-FPWOkM^udv{4WXGsD6Zz8nvMVJLyD3$1 z?9+?%db^I(&7y@Y*Q5d}RiVcox3}6Ew7+`ob9VZ^9oTboFo;l|A1Cna!9unW*GTSS z<(2(C#5spB+weTW9yuQ3t=%I`H_Se~OX9thH6uQU-tqg>?oPmSx5w|s3Dd(owkM*wIjOx}QGzr|z%Wrf${#OCoo0kz;o*o?>Pp2d~e5 zaOU9Dd*8mn^A~NBvJk7N z-m6uG#l>+mmXkV&$%zUZ@Ssm^3&xP%Wsym?s9jz z!dDe7wx5pPi_)j}lss=RGHL z(YfNJah)P|mE%+ZpdCJbRgjbxSeF9jk~lN(TXXfsvX}eP8u4fz?Mp3$DeS3mEXW{h zRv`tej4e`TpHCMJ)^Uf{g<|XIvZQdD;_a=@#I;JPNLUsD*Iy!Oq+JaG794}4H)%ic&OS3~;KRNauToDb^ zq2&)35B*Nu)U6nJYvMa_^xLzhJh&eo4}zWSk>{@sOkVS*m&OAZ8bZJ6lWy&Nu=`sf zcVHwRi2spv*>i{^LR$Drno+BjxQd}gAzu~Gp_q)lsC`>YYk4`B z)JpIvmZFJFFqfq1R`yxJ?l>S6HOQiu?okpwDm)`f_6c($l@VaQ)m1e)0g;lLTC1vY z;dO~?YJLe_$W^LmT5(l?2a0G6R4yXN!Xq%zL+6>pOGoJU&MxjgD~c}eW~chk^iAy? zUpz21bo|IM@cGudmtt-7Kwry?;XQ1G9=bpAaGCAwr2F9kIRD_?j*lK>!TD1=F5H)X zcq{z*jl}z(#;)AQTG2AND0x6C@gb~@L^V>a)Z^f7zD$>sBUfs*xpGk+QxGaEDXH`Y z0!{UtT5V1gP*lQ@m6e;wkjoQ}POh^?4xXSMqr$|AdMjGy+R;%vczn9eW5ZH~R;#nz z{uYrrJNm*IIM-_B-wmU;OMr$$IP2K`ufyq?hW32V{8#ji- zrs}?G8f|t;t(w#2WEps_jqBr>3@wK_ikvn>#EV*5_+*l=s^W39&bPOV2Ccwq#fEt^ zBAV_K_-p|IWQ;?ml2xtd)f_QB7vAT7)q_#0evfb}gwxyvBZnKtj)om06N&M|i99%R@&h;XDoBW@#NYw6?FUnQ z6uxw%A4VNfaHkG_NOz4)?HS*_&^*yRR@XcUCPugQO(wzk=0Y81C^3q$e55%tSL;bt=W5@ZGILbk*DFezI zQ|u=&%ol2zGHbCR&!o*#lo^1vIK!D|%Fg1vm5ivon$h8z447z8iVrffs(cFKZ3Qxn zN@b1Flbv0J&8zyZ7>&*n>}+twg9bN_iyAVF44N*%{&bbR45c5KN6D%&^Yu#58+72h z$d&w}{6Gahh*m~zCj6UQ%!NU4KM3vx!I>by)*5tM5VQsXh2L8V(r1E~f^;0e-x}?W z(q>*=kSt+?`BAeunjb_dJSAAvFS;S z+b)E5iT~Tbnry5~J64rx=7lWe5#`Laa);22BrUZR+BLE!T`bf!(xqFyb*)HGYP~6d zt5DN@L?v@QxsE<`8GnaTob(UXht;V8vpYIoD+~Vwfpq$y1WvF(oq?%4n;d zabi0ISq_b7i8a?+V8^SL)oFHe);24xwt@-kob|euX|?uRpR+P^PGEIfotbj+HPTkD z8Vv{#Y!g{lBLV!9F;jNY38+6pYay{^`Scabl-p z80=jhWfWkTX5rZK0vLXmejkp3Av6N}SM-ue@jsxf4}bf(rqnuf&;r`@K!?pZtW*|? zQ55F9Oq<4@XO;%JV2ujxF#(iU?W8nVJDT#LSldh4N%?!*WtGP%#jX7eiUB*PQ5KI; z@L=UWuR*DXLE%+p09I)>SGXMtJg7QlAn5h=`Of(0pfBjNsqA(vJQ01(Xe`XgP%3j! zx*0|Ls~kN#P{b9b+-NnxhIV4=j01D4n7A7_+!VSonS+I(kf=DCGBq5>?qx=p3FZuQ ziJ4=>4D(#dw&K^BqD%pFi{VySsv_-%gtaMzA7Uhc5-y=6l$I<&Ihj9Y6+nhOOavm_W{V&lx8i;btoyr@Epc8mQVHhIs-tH8t=7(2t5 z-Z*kTP)?6#b1L}W%o|u{{M?KxOSXLHiJs7MDR>vgggY2G_~q#2xM<7FN$*d8_@@s} zz06(!F7SryKmUitCHO6TU$L_#Zw|N^`#V3jFU^7ldKenJyWzsz?mI;7@lTRt;ulDt z&4#{jlw|P=t(>&h%+6v)yso+Sn!%83aXA<|)m>v4_iA?y#TQrd4B6Q>q0w225t7CE zMx##0wCaIg&j?+$QU#|_J6loq!nC54<~b)*NSMtxi&^|Yn-suVtWXp?88dFTRpWM> zJueSar7^pza>k4s;AXqfBgO4DtsJ-8{tU{x)@l>tjkR_gQ9DjogL(wa8%~D;k91Sg zGTy0zp~1g~23Z@|f4ei9zBB!7-qf;gYH9jk2H>wi=mfCAFWQa|^$!f4=zqR|d4s;Y ztXqE<=YRLbv19ayAP6Rof^oS2C_LM7^w6Fof4z8*)xdwg-UVL-qb%C$mzTf@?v&yY zyeWeih?z6Eo(h|u8TRE&&T6wQODED?uA9tRuaTjEd0nT_$k zj=vuNWt^2$V37i6DewyQI`vD6$+dv97VwJYb;~a;Oq{Yr zqg1v^vB3}{6&4DVItzM^^I?p#?A=!qNCS!XqeXu|QOsU-Tk{KHu0NFoqvU73n3N$h zPo(6--=x##l*1ZYO@t#dNms4A(FC*Vb%DToS}@ur1S*RaXFnu16(E2m z)$-D@N;e(+u2ak|KF{uAo>-n}hNC;0&KAs_W0Pnxm$v(ywr$(CZQHhO+qP}n#%bHO zZTH*H%>043YCcRVweuyF%Gx_gRrXrzz7D%rox$b&0_y>#r?m5m)7kIbtnWj)0YODF zG!QyNM9w|VMR!$iL;U`JtBz6M2{*M8m77#VpBII?7f%(5trv_%m6eli_@~Q-B!8qr zCnM8aN&1PPILQT5zLY|?Z?b~xwPuc&3Yf{?EiJFu_OV1VYeA<0%l3poeQe#g=MZRj#^?VMr@kfQ!O%!&3tdp^?CmuSqizdX^mwOW) zjEwl@WSkS_AduJ^kA8T(rta;8rmq0@LVfb|CAkgw17LV9dv-!4>8}Jzw{GxZ@|g8* zfphEt>01zjkSU_$c_|aLZvkb{O?`bR`PkHq<`Lon4Z=KbM4N zo~p9=XJ>}6%xz2eh1gs|dX$RqPX6?6jvHZN}W3*bJgCgPNQdaX;F zJ@-Veya85NMOSIWfie= z97P+Oo*pZ=RO(dAkXc+DGOUk)QZ?jBy@uuX=OG2G{%n{$pX``#U?A{rNKRE%Vye7g zWnx*jcYbxY@#a=NsVX&5;05lEOD5SANTLNZ%(NEpYyL? z+-(H!&2Jv6E`k@6{=M;;x$K0Q-c+S4&iwjwbH*+rE-#gxuGX=l1;>MXnPhCMP0sM7yW=94qtMEm) zK@RlCSNJ_eEa|I}w>T6h`#-H_Hx^1uOw7!T%j%6*EAwh8e9~4KQUo+C&TK^LUXr$6 z9qwx8YdzhlsgjMYXJdJ!;^Y z5QseUiPaZYx5Zcya@C|8>g!zqQ+R7+<&sdPzbhuVa4GxuYA25bQo}d(S@#qEEv+W5 zum(=Kp%g~yKbY7$Lc%mfaCUNldgffY*My$!fn4x&uPEYPTt+Ru)ZNECyXej;!HiE- zb^$q{`7FE6wC)>r@z~9QuFDaKd{C`~NjMt)YAwzKF|?-~9CppeCn1)Z^YKZ0DnP^t zz*;s}U|xg26j?fxzq}|FBELZQ;8%Wo0hLY>lSQqI=B)r__EMzB9uRISMHi`s#Up{T z@#RSgNZ-I~Kk}({=A?;@_MIi@P)MLc9nz1vdSe+k?^1@R6lv(D?>`PF!4we*5D8Zu z3nHI3m7)Ep(9g;L>ov=pQzlt1lx({BEDk~G?K~;J#^zMQ%3K{We=wo(>UjZJX~p%C z(*UR9>>!p=RaMkhy*(teDuK1F!nWRCmbBIFptrk*di@i|vO+sDO+{7&c}u;(h+1P? zulsIxzQxG<>BD4y&q4(}r5B2n69l{Z^N8Gab<4X=fI{txyXme+RlB>?ql$W}I;7Bc zHh_v)e|Wno%g-w)*<5NhH_?mz<0|W7#fgCVvh5*Q&hgrPq}q6C?VPeZ1V&f+3y|@n zEwFP6E?6tg74Q3+kngc>Eu;>&KbCJJOGor1gLHn1P#LgrybabDa{sEKRFI)a?hc;^ z^kasoXV`P{2fCh({oEsF8_C;+)X?c2xXOj2U!->e+#ap_VQ&YPgmyLXk!ig>WLlh-E!k zd5uz`IrSAaHkGl2<%J3G!d?f5JipI58!xXvDALiFJ^XFhtr|=OO@xpe*GpoDNjM%> z%Kp`373p;n80F|cZZzZ+g6=P26B!9?hNA*JI!6`n5W9OEe1F4rJ+VB&T4mVcS2c)TMJJt? zaK+FZkm@=m0aTiwyHY(a^pKw;QNUZZN!V{2z$kBs-q*WWdwhVMv-o^K&lsm~t$d>$ z9868F+BqjBlk?rgUE9E=$IozCQc^yZ1x{KipXWkxv-U)*KN7cyuV|mV)ckmVeh_>9 zI_x>YxFN2_{Mi7-{-<-!Bg0U~i|_;i8j+H)NODg98xf0k|2BYQ?buiYPN|lbrOshR zPZYzU`6^UU9Iv+4$QMki4!GXlqVIfMNs_sxW7{vsAWDSwOE{OE1PXL6#?}Hw>9Bqg zvX9TtNH8dtOs`tkx6sqP?YPzOB>xvS9NW4-Rg9dS+}u`KVZejr6M%AU+w8 zfu1uXp`u!P+k~8I-BP7=kJDqtWHL<0DRp2jG9jX2#}Xjdx}b>a+yOHPn*P@J2}|1nI-<3 z<9GA33|N@5=Ej2O^?2&r@yztL<&S6g%DUy3ug$d)uTwDc0}3*HWwsLgGkNuFU8{#i z`|>gyxrB=yL`8bmZkQlzf~Y9N@nzk76?r7H!1*@)dRugw5IFgARQLja$81iMI{0}c z@zYmH;IWDYb(G1HoS9R&%_#3V(e-PYtTM6`Sl;`tPahnl z4(;CZOwu0~wJ>QxT)PX}UgC`n<@P$8y`%mk9 zVz(uy#Y$>8Yuu){;SGi9d_eI1{n7o)&x2^HNNLsU+4NC!ys>LHiJ7oFV325NWw zBLcS^B5uO9Fvz>jx3BOdNuYSDk&KvFlQ;`tl&)BhQvnf|t7-wGdi^t_t!ja0sbnKD zFF!S_Q(s+Q`nik@@G5(vykTA~P@G>v)Myxj)#8r-&bEcF)rsh{GJg%@g_E{XX`4Gm zDElbq_#y0LY|_<3@j0e{V~SjmplS7P=|Lc4NX%E^m}F+TP^X|Dk0^Y#eFU;4+onp* zwSJAg+sfde?4^H*?~VU8M_5{E%Y@@j)`siB;3w&3-|ga4=|?c|Z%o`GcdxzmaI@F^ zd;UQ1e*Iu%%^HiC$8!By2I0Iloaqq9og#c^zn)YIQTLqDz*x$VP^RFGUFMdoz{MfK zvNCRCdzJ^kq?T$w0GcUVR&U;s1BsS2%dbibjcdjN^e$GBzPK2}-#ES~h3s9o7{Zhg zSBP*FNOV9L63hG|;K1=dZnh4(^H(#jbY2`p#X@*yk{khWeCHG@$4QP(p<#fvcKBzy z?*$hc+gH5Sy+z20>g8_0y@6jh(ctUa)BRk|iwbYE2x&FWcB6S&VXkD(PFn}9Tqj3I z%ElV6)5%}^x2~5OUu)v@sr%7fGoIuWK31`Bp z#27Ixb#-|lkbYrd^6#iiQY1$rPOqLIJo61jgsE`*vBPT8dBSSG<9^|!&->aUiJ)BmwotY|L$P6Q-EInp+u(a}<-m+_X}AI7T)IN&3S7>q>}dh=5_rT3 z49v#H%5QhzMx30mdA@eQ7g~j4EX6 zNeoe>SLuxXLicV_+PQNGLdkcsWS^5x$~8ebTn=YZ+@|&TD`J`I&)k81LJj^|smgf7 z4=WUK0NjKTp&Y$E*!%c2ET!h0i92^DhGQO`ZvkKUY|ax!`z6PjGI_8Ri&$D{{~ zk+7I%Fv719b{JYRlxPpKeYBZ5@RJ2OI5;w^Slr-BA9aL}vGX_E!z;050V`MP9;B9u z7>zaf5_cA;~E~MBu5pyxdm!h~lh~;jBCKsiVn+u)8 z%$7P`AY6t&Dr2am#M@82#(dmDz4_0mTCZrfKI_atTYn&?PCA!%hPaN2`Rw-G@@;50 z@(fh^I1M)2(p+@=x*eQPDOP%@MxeinxG#m|n;NHQGVE;r%ajp)TrO8^64|rc_6N1G z-NRAmb$Y@3Q3&;Ny7*N5T=)w8>%W47*DS0+<$uj8PINOb2j{_F9ACv{s-fW!GP8%4 znr`|O8;d)$R<&&J(>gJ%z8~ljh(DT~COPUeV4xIsatSuK^x%l|U}|JyL0vQw200Ae zZf{hrR?o}cF7@QPjD80cDJkI~kUya3)6-r@_wUSZZQjeKa>+#UId<^iG!o5Q#zZ7! z!a986pW;c3iErP{h>atjp3B4jB372+?D-QG$qy3*=+NL$_=th=T}(&h`y`9SQX54x zlM#+jI){d8=yvhq(2p7E@#Hy;F;10Jky+I*$?;iFbAxA&{Y8V&5G&t3{7u66K$=a%5sjQ%er_uckw>O!qe zC#IVyH|e8+!cJDoN6|+#DrcRLm(BO~`2*!v_EDv|+O~#C!;+(UKK{6S1IOagdHVfwYr@rP2P-vK@lDMsBusOe4Y{SwDU3^s0b6Jap@ecrbBl zfs$Fdz-~v7%*wnSkGmT2_v4Ye>?T_HEh6Y+&8=%l4Dl8-qQv^@IdNyiN`m8%BcgGHuf@*yx`UN|eLJZNU!DQP(nw^14g362R2{{FC(N&U44(rmh zqU0q$X=81*=r^13MX(uSy2FP1%^KJ#D17xO++wkkfyi%&BuYjk>6N7S&e2Bx=P)ye zAVNL%>FrTQ&GUD{izFs|sHi7Y)MHVLYGuHPurRmCGABt0^O#ir(+0p9ewD6=zr+$n zReG0xi)II$3lYkH>eV<=+(QlSZl;4nA?qe^E>bl)SVY(ZaAOmDVt-DS3=)^A(%a`5 zTmfrr)HdxJ{A+WfD%){vh+WeVxu6pTwbBO?{4toNw6eVMKI~X>wdb@0BqgAVZ_PaI z_=gTtD~eIfTbk4mirLOTIRk4B`9T`_*MRG`@qqb=F1%pShW-qSFA=`ZuL<@GHpa>$ z&f)JD85MCBIvFQr*tEQ<&3au%nIaks8=@Y%?SCAiJ>x1i-qgpvZ%5GVl12~hxQ z(CSNVD=+^n;6Oit!Tr^xAqmlQl9C);6FpNt9A2Dl;%BR` zJ2zq5bDermeI}}%JHyFyWq{u#p^3AuIFpN5kG*M%9V-s>E&4VDdUmfll;jOFda zRnSM9fus#=d^~v4YVQ%}mGrIrrs-BIt6g%O;A)!uTOs-*(?N-d@^{-sW|a^>o&9wz0h4-$ZN=;9SZ55W8k<|8U$HOXg}{YLDM6Z{KR? zZcl&%yWrl|dXfxu7!6$4NbE>hCgvwJmD%@5cqYk~+E2tf{K^@WP}wIFIb6y2oMklQ z^#Co1zfQ*Q6qre(R5kD}ej;103Xq_k&+qBSR_9>f-!oWb?l)VL?-3TB-iw&;`Yg$BeREpt zRJl~6>~y=C#rIh_k=G(rsp9sw`~C51a&fZt3XXsC$bUq5vPalp*@lao&@E7SIUp)? zLvTM?44H!B@DO@K1*9K8!roQe#^wr7qxCp2u<$GV(R_Y8o?OHdN`G=OYdhP=)(!S6 z!M2> zuZj1%C}19EsNs{rS1(OY-=qt#*_$`EaEgO}Tc%4tp%qS~Z{EF$tqH4%>_Oy)Pu3{Z zo*SMsE%+!jXrkK^KDROrLpxnd#~!tj$|spkX!^c0@|MNX-cgLnnw7P~+%HsYyaTtE znvk9vRGg@1)XY@X$tj(3iC`YtCoMZV9LJ6i!_Q`t9eI3YbXgfibJ4PtR-M|%=qX{c zst=MTk#)03gACQg8mfDSsa#W&on~+%+=OVq#2y|PNI3A>KXr7%iB&on4+)Cnt$M}A z)OM9|fsBa}7d+qBQb47}S3%u13hf|ZE$V1cJ%T5lKBpOgb5>{R$k$P?=UkSx&9HEB zk;iv!IWrB8@G;N_Y|ZA#u%_pA zE@uB2w}TonShyYhkjd__f9(z}wH_ZGTsX2^_h@*X+Jqb&K0jgZ65AfV!lBS?k$T0# zTrr6CO7mJC&#l4U-j=6dHKgw8v0&d`dU4179B-C<6Uhqt;J&cA_B^OR&R>VU?RshB ztcMrj=)O0fVmK=mQ*yN`E>v|HlDr$D*oh$;!;iD2pUM)^adwM?MA!^Ay%u{aigT@p z*RDt#;zUI3CNE;VKoZ_Q3o5F{k`fE^L{QClv)Ix)L1Udh5SL6WJGdcgn)b(@)iT>3 zlPP^ItMA8+Jy0xH^%%9ILA4ia-KZ^JZnAKLCh&IenN} zN3O1_wtiCFz%WkMx9BX{G+@$rdUxQhcT}qH)H9HlZsH-%Tu+w~2nclfmaKlk!?JzS zY)4#1yGu8(7Y0<(@~cu&>hqL$FUrCs<5izlPHjzbJZerPWo2dYm>B1x;h4WIDfJw? zCa68%>~xY#kdh5B8(12R`m6{h9A+*zph=Zsj(%^(sC^Y7K&%<;*QMl16C}(zP6A%* zug8o!E#L%`_pYz9dZZ>!yg$cNV)k@0A;(FQHQwhck~d8i@gmHA@|iQ0ml97!dRW9| zZ4QDBT#UyiSd0X9Me?P25y@U;wrVa#xqwuU?OV@-img;SM&sV(fPTrYkGhFfWW*tsX;rh0b5zrN7X@2 z`N+*xe4&RT3dy;`JWrg$wR7G&#`$z8?IO`*(PpC-w;a&g;fb<))~#Std_N2ng&9?L z1l2ivL(?q0FJEbdd?7G@gtq%@PZFkZ*0am%Y7qq0nmj36l;w^pRpq$9I)=@b-3ETW zzBjvidZ8BxSb7U?Z_m?jL!yWZE?01{pF5&&BwF4_lLSKO_c%FZUu2pC@fDz=ni^Rt z^RLD!XkNQ3&8j(3xyR+`s}3+6-o9cq_l5aR;XQ|q$%L5|Tam&fPGHgJO3hH!soB_W zF=2$$GQdcssw8xiU26aOt*n%e~@7W{iqA+EV6eALG>rxR*c{ z?G23g{AR7~E}~P0`cj=jLlzfat29>cOX59}?J(ZA)?Fn7>@G80C+NH4ALBG-n}T9= zhP@GVx3|^jH1Qp07_-w_*IbNw5s4c$3L|$M0JlOdAq@>azK*l2&QsdTg#+6t)r`!k zO?dgC9YvDPa7F+^E(wvrK1nj?i8ZIQ7ox(X71_6CvZ`E#;k110Y9ak8uO;lgI=uJ` zdSdmkFn*@;)2P_8)~*#zRu<1=-tl{W&nDG%>`QjfH_w|R)eX0kF8()`Z8~jjQnfR; z=n+J%-A%v;tIg&nBU146GQYdz%opd~{UC04-P`QkuLMCyi?tm;^jhIr5^s>bEo2m) z*Q~!eKWd)Kr@)Xhv$=wBH}8zu^4nFg`^dbLqqn!9VEJ%x+>FjE6O*`b+21zc=uizt zJs6+>qXK1h4D6BYP7O(Wb5F`B4Ng$Tu*j)t{%AxF5^Q)x)x`wGjQl+&o)4jiA#OJj zp&L>d?!V&=fzn0 zNMSODjr90Y-_^sD$k9%R~+U}wTT(kSWbH-Tl6Ds^}mlU`~9_L z^M5A184sr{FY!w};OQ2rp8({8mN+9@n;UdsG)cbHD_B%oaa&_o z+SH<|50Q2qOGLCsv17w1oI0HzuYDGq^&FU}Hfl{zWpiy>JY4w@q|_Qt@8(^iF%-FL zy(5}6H|mb~sXZ1;{mS?C2L0FNXH7(ycR_?3$7W4}4$83(asfd0^*|D#Ag5v{S zu~a6-=O`K$;yG?9XWsC;hDMM?R{+z?l%|IXASd^II1fq~4YQxd%XIZsI8f2N`v9B57U1v_3EFiYQ48tR8+S|+J zAm9$8YgE(HJjF-B2l5w52#jNR8gH$gdW=KORu-wvNh&H~6`WiAa++f0wKluEt>*^R zTfJ}6ae3-)QgLZC=zDEMdYTc|zX8=l>!A)~iO@l*!~s#pjsyS`uHxOc2=B~nbPrWKeH+MaQxHP~*4-XdgBjyR>gL%Z$bS5=n zjn&y>%rhv6LOYqq@?udGXFGR*X}t-5d-ul`HsR$RFwm*^FsqJAzt(>olDpN(+Kh1C zBxBy%oaN+a;q(4*e*4CHA=(;e9`Qn&SJbEqJrbDCLIebr;RvZhZ@EcJFA{8pF@OaI z8Ia-%Cg6Y{+Pl~n9mO2vD&+uySM=mrmpy*IlZ1rTa2-v(>|;Ajbi19vUJrL3g?Qf8 zI5r2*PuZrzf}u+MhC^E89?~uDrC#=_kuO@fPm6(pqi*~5dhulfG>5{bGuzrt@bBzz zC6Jx-S?5%ZE$B0nB$|Pt50fUlLWwEptYN_tz9Xb4O#u5#K}$U7>C)F7M{gW<9^N_d z_1vcbX2D~&g%ms9JvbQ6fm0=T-q}UCL2g#srIPUjowGYoR&Q6S+7fe%vl>nF@26?< zBl6t@?V*gS3fPpo3--9X$$dZEl786sU))x{GIPmJV({vP_h*f2f~EKG>8T0p#VXt zD^cRZSWL53?-W=O`KiFgmrzJm)yFk)m3$(A7l0fPL?Mz{KEnowK)il^N)qne?BkA7 z+6+=2RvyWCMS2ZdzkV?f z+k;Wtrre`%U;C-uwa@YfQkMPW2Q+2;3OH(y0c7Y9J)}+)xn6~qr;Z=2M2eIAJo~!j z7xH~8$INYkXU96H`Fwu|GMyb8p5}R73H}E6nRVAJ@^=PB8=&{$Dptv~xPy z!ijh|8gP`5L%7UuBwf*$(xP%{Xi)B_o7)67T)v>--#5OesD|kQCMU#}U0es0Yx--~ zO}Lu_)jQ8u)wjX`{#$VE0gpZDdW9~2FaGf)j3Vs}A!(&Xtw!yK3>KMAA=FaK<*nim zxtsacGu!1}6FM{sC`hOun^j9Ew2dfNw3mO#Lt98MG2%?fX~&r{!=VSY5kKOek#{$l z&H$*pEDx37;o#Wd8wfHQ8dQ{2GejDQh2h-cGekZHQ>{A2U%^j^n%!3}8#)`L&mx|^ zUoSABhW>`d2d+Ouddx;yyYcmIAP1Xw7ln2~pPUG>uM&E}2?Je6c z)ddAU(+%LwjhrP03Qi7A7EbliMlwY)O_Ac@9ay);EcSoMuR4;v^LW$tg+^BXfl|Uz zkb5eu6?wweg^g#O4$Y|iXE47>yZhs%z4&bb{V*PeA7=`Og==Hur z_poIfqTZZc>(ayFxrIvq@2Rz*@(`+{Sr;((i+OVDLv$};qX+7t#S78v+$scg8Oto4szzfm?HM^p`$q<5fL zZ$3QhJ=WB`cHWNE96161zsn#m^ak4hx$-T1hlN+N3%Z50A$sRKGqQf(%xj|en%Y03 z+~p~Guikc?l8W9#{pip=>=*e>LUnm%_?inMS4CqkH=nu4Gd~yS= z3s{;~W@LrI%pXw$+5)X<@E>egS4IHPUGkAa1|k4e0#-ZZ`Ft+0QovP-HPnkgFnwDO za^#zou+y=iHL=#9Hork1c#|+murD6)ZGWf7LQUOK1zPzwLGZ8!c-%Swph*E%27LD_ zpvwUE8By7y9b_(XerNH=iT>9bNitP_DkQ$mct%XTpub{@1JX&FWUY!hGVO%V2zI1H zUVnye9s9K5F!{wqVeLpZxy2L-!Ct(v*m4~JsQ=PLTmjMKYoTZYYTv6&*+R zEx{%7XJq`RwxNPMvOt$Y?vsL*gP-tO&;YdbHw(j&x&msFf9zHegeA`SO`OJ4gcTEj zy?N^;=m^6}x)vH3#kcXM?54>ul2!X$GzE&wr|R5M|easPMx$t zE*r{5ew+oT0lrikbexV*Aq&1#6l9_BH^U1=iitd*ofL|k8IcXtfDnoU4u)?$2!ABz zZ>k(k;3~{ubL}5ix%PMm#Y^>Fl<}+j?!JXRH}awFgT8FLR0Rzb3H)cB056eyNAyz_ z;LC7tuq=@^(6?$Rr{7G2U*qS?pq%g=z>gRbKIDNj0JOx9DptvNEk;j_;UrylL(&l2 z;vEo=j@5YWAd_h$g#9+iS{Dcx%DA$}8Oyj5Ef^xliJm6-d<({~DjZRhJI565z=c4k zMb^N7s6$KHz~0pj(EFCtU{*odi~i@m0>h~SAaTaf`lp}M5u0-N&0(=U~U{)NM*5C(|%*3k{zysKKsFU2( zr8>~917uUQDbyb9zN|=51C)P1MbzJ z=>^-eanePO&XjWakJ9CClm#dKpk$L^i@5+~;qzU?`NnxdN# z&qC7#vnp-~b-*|R8BIr>U4Qx;#!c{FCqg#PO;|P`Dxb%ckoDu)`sqS*pcl9umN5e3 z6&zzNU}Bni==&{&p5>*!9_Hx6Df|D_-7Mrjm9RZ?yJ-j}Y^NTCDL*tzP??}#C?Y)y zFbT&@-fyKIfU0X8fV03HsPxh@#8dSYb2k^7wst9 zlpgR`>qcLVzyWTNUjyfG^5e1eW8~j}sSpHR$_I2}`?D#~o_+5$?wI$T4qX4pW`|`* zN^PIb1nVSV)djN&aMX=B!2V}b=y!o!C5f|TGfA5cHopKQqPVbVIYYF;-|tzqMc6@d z&&#-TunTN#vfCYl?~087_c=ha=gPk`4vi(6-gKaCmwNNpRKVvt0FDRnzm^WV2fQ{M zO#JUQEZy`cS_FRiqG>u&oYRDhh5OY}Vt8Ee1Ee2CFJb|%iLC~;2|EI0oj>@W^983f z==n5+VREc(_`!voGk4$~0(($-!m_X5G?`O21Bbb?=p!uqGnF6W_ zdGg+MG&xq9FA->BgBLhYB1TqEATCOx&hLg<>UwaYAXXwz8diB--b|> zCqfZUu$B1R4pD$W)S*Pi?5DL;;zdSW9$6v~HlzfW*k5JrkbZTzI%H*{A}2|n-XUQXSDM6*mGT&*W9GCsg2FshuyR_ZVG zFL_(h#d?)>N*YbR$xIS0I?C&!aJU}%MYpR!!ajvzX??w1q_2DmFHLJWL8G{hN8p0C zR*2Ppq~1t5xc&u>dN?Vjg1jD&RQ6&mN-z&C|^Vc!|%(@a`WIp?X|7rc_9E3|$;d%)~qXe}sZ9bQ8u0mb(#X#5}L zrGzPbAxdI!*(bh;F0!H1sVF_?V<8yzh}m*Lvngl&)f$QrNBpwCk;y=YaN>tXB8nQa z>fevrj6UfN#*^35_`y<(n~wZU5RiW?X9vhS`WdONMX_=0;YjWYZ^^+yO|t~);>Z$> zWnqWr2>9BD;=w4&N-xTs)ept#IS@XnD8zG12%1Q^=W!RIMAQqU))|Qo*bZ8RqWtwS z=W1TFV~2TTcUh+^@qt>3;D>DjF&l?%r4*<>3Ox#5Vl9b>m| z*W?%P+oaCtPb9fosY@+#%&GhX?QYwX&-;x;si!Vp4%^}}+=qCE#nW-g-1!uchP-7Q zK`x;Yuw#4smg3sVTWheO&H~OPU#Qg-XFtB+_fi+1r}O}QzJG`9^UPLMTavxnkpVtC z%X;oEc;?P3QuOhBLK4#j1Tev0PKWV z)Ydn)Nx3c;6qPoUEq~^&8C8^9nI`{3^Z(Hv<=oHb$bHLv`A8@{R&7D{(XD&s{PG&n zNxpAT4!JVXEvtPPThnk(@vWuzh8%Dk)qhZZGCr*u&)<2^)#CIj+2XyvpdFDrNxV3e zt;wFKr+s5>Vf{#9DY`7@Nd2&-8Y-zi*ZPsP=54>}3-pbW=KWP)taFt?L2y)_PuhISbA>UK~Y$FrM#wPy#7KQzhAJ;Qhaj25N-D! zHTD+Yxcl)^`pK#d|Eh4=IoP77vCZ@~glge!^QClv`bvF&FTpyHbO$QO zy^RHVWPO{Px%9ki?s@GU(Y*p6?|-iFwY$mn$)u76`XLZasQ6Ug;h&dz=KlAX&NK1Z zlCr|Om;3sV`aJX#{+XS1qWL1YWA@Scsrk0jgS(SJ`%U^l-SbBH`Rd7cOn+y)&03lj zs-S{<^sM6>`}y*NxI?(|gZk{nzq9arWsjP;6^+dgs=Yh7dAs`i&G?$*nJW7Ndf2h_ zaxPg7`*X7edh6_EAKRd8X~2si-1ZEpaI^5gk|B}Xh)D9=s~@zY}Q4KD-0D~s559xWE}k#DW; zI~V(@Zzb=puF$B6^Qe3x7SpgCQbQ@x=pW_+v_&*p;-{(#<@&`Ef^ujiM0m97yeLo* z5m1FGLcruXC0GfOIUnpUqa=S_P+W9l0eNBJ;g@jJ@N+3dNQBts9ENeZVtpl3mCN!r zs$!;szju5UF=W<4XL#c#1y0Du8?hqK?CJ*U1VpO{*x~f#XL8D;Vr1(f1w|f81lH0U z^U>y}9mrcT*HW&9o^xNPa2^2Br)(VIGR2~E2WR9?Al#`sQnh)$#jNuir(2Kw?`Ym3 zJwm#LwsWwjrjM2(225z!BXRWlRA`hXJcOnh3{&YWQlKM=cgCM-03=c2Ms$o}=;Kj( zB8-UX=95$=Jc+|q2FvuB>DZGxB(d!MS%&xNJL#O0gvY=SDaGp4DzVHam5mH7FqVTH zbyI4pEz}qN9`)R6zARzUdWGu5nWH3)=9g+N44i@4gEU5|^_Hv9mntr(ow?itIR>9=HiS(lQQ(BzwCv_Nt6!4*5%7( z*cPJBe21~;VO(*bNB$gz(@La=;aug@qmb_M+H$Om(f2~!ag!mau^f!74y8!ax+h$uNW!z2RfsDsUADh?j7O3+t5tFk(sM?9D(j z_@M_R!(oZ!5Tf}ntqG#ndW2*WVGx<5xZ+uhn!}?^zVRGT8)HV{M#4rBtpMw#Y2rbP zp2D%lT!2aYL=f1cnu+HVoe3Rk z7ZXNv(~N~=OkKfUPGy7|s(9lq*RF(e;@9EWQ;|o!Ql;_ly*@rm5$5GT=5&&7ZOK>Muf5UoCrYcNW&)$cHrBEB$gFB6w$~C@T zOql0h85PCf8k)%CONA#yi(JDVO;8clm9b(Qn=scySIHYXtn-SMXoE+c0w>zvx_5(eJI zYU`-Q*J84T4{7%;x{^d7EBkXu@5_|Zw8<_6i`v;1A{9&>R=Ag=k}&HhhSA#*+qKrS zPHP(ng{Gk7r-?GCtANQJ1B}nSMcm%Khgyr_9R;iqg>zD=Es0ZF!92{7B?e^l8il1P z`x!O@n&whrkT1a~tJL{11dN!?Yt%erMm;9=3gEl4gkq2V-38V=`|qT{X;5<@|l#0GlVu0#B8hP>6L($!-<`V=WGC~WE1IqBd?P7;{g60?I=O5&fjuSFU3d`E7O3sKZ?w6Rfg{G3{w>wgvkF_=K9SUQJhrx&(ZnS`58HgE1hRE7o=-XgYmQ|94#N#aBqo3{&?Jny5 z!|U5A4{PExiF+~Z)iCXGNdf68IcxmRwDhshamg)bo4FRq3#3O-PX5WKj12-SdbjY+ ztmPv}x2Q1Ju;eVI(kLxI?X08?2W#L@^v>|j@yo6HC+?@hH%M<(?-=cg?H%Ro#wYeS zjc;u44DT-PeeCPMPsDHXpD5qy-d)}M+E;;Z=ARJXiQa>q2jR2<1RvrO`apH6VGK1y z%RLA+Ow}O{HPj1zZ!14aeL<_BXnSKVVAWxgHjJ7W>3VdQe<*DrDR-51#Jw0Rhby}p zs}L(A&UV^XQ?rzu)^Ao;|7r&ve7IXq zSoJ_R!B|J6?G!J=GWAI>qqOZDH~)6-r?es4L~rP^ZlZJ^xU_L!`*9k;@_@PyWZR=& zhIbm!UPgE7<6cH~9^kZ*UI%*`@@|5D9Q3r|UdIC3;lT{_vjK(f(_zIB)&=Z@f!=1` z^7$~v49T-$IHKw2>;%xq^h;nx9@ug9CeI8H-hpu;lqaBF6Ly&TS49BUKyqJ4$ z`lB7rQbM^<(u96h>>;>6N`s#jt_PBkEr68RN5mj*)EdYCtJXCNqfePQF?D=w2iY#X zX2|^Qk_>|i2bq%4)$MuBan*EXe5$JXy zyS?hQSH$?(Q;ZaEF@^+&#FvyAJLI zcTcdv-3R$5_w&Br_nu$pd47EB{5We?&#vCPx~jVRs$P5V)w^q7WHT>1G+2Q8`99qp zDzlju*vGN)vD2G&`znM&UkWqJR7#-A9}tUE`o+7BVw$|8B={=SUS0T`Jwe_`AE=B1 zGj2&kqHySa3MHy4!f*_AX{Z%BgDT;ikV`DEH25G7aR?D8+BhV?`0Ab4r&&B8x&E=F z>nnLv^k3gn^KeXYCovj?E0hSg#oJdi?%cgLfA{ETW1gQd_cgU)Ox)1;9*e*ebe->K z_T_nD`khd2zZ8?6@BXzpDnxaQE|l~6eIRC*diJZnB1$E!bMOc9%^AG@k6BS-4yL%Q z@2Imy0eI9o1#_k>-xGunAh*2*e3BE6pPH~lNtHJ4 zb_N7&jGk`YULxHEJdz7Uiw03XDm~-*r3-dvZ7ZA?-E%x@Jrnt5c6J$Tvz#a2dq1NX zbQ$ba#qMdR`(Z)J6Tq*z332V`miL(1Evm16MET^*`4rLq3bO4X0pA`YfHq|$W5zv&-` zndTyD22#i|it6nBj`>{>h4co%AyA$J6*g4PkYBiNJ)S=_)9D{rAG_l8o6OyJHe+ zJSPtn@LgWi9oME~r8U`UOgFyhD+KJ1s{=~+2Xfw&zl&BJDGxXtKFUZZGSkEA{t^DW zCxIQb8Ps@#<5;&jkSJLB`)jsxx7do#i1oYch}hxa2RovogoY?J@voXWvN1ZUb1sev zD=VWrQI6jX)bx;AAR?4rb35pGN~QUQ54Do{Sn>2zMIriW@`;kg1RKMI|n~ zS3*zQZo4eR8f`CSw<^3WLmq-&9Y2A?Ue7F-v~RfH^R3fR{q7EHMu6X7u4W{fbCNrW zYTjh}hYB@}{{T%aB+GUHZEtUajPUV4xtNnUH7-@wb!cQ{9NSs7ai*Eq%`Vd&>JR?5 zt&E=Bi2Jm}|5eOty8Z8<^9=h5UEIyM-)81jW@hOvSG)O$G$8Gt?>Il~QKO9k+>Vl- z;p-0RuJmW(SK8VvUJ}~Z?lVuZCe%)osKn{`HPW`_$_#C#uG8_dzphRXE7o~L=L*>4 z1c9xY1Y)Q2puK3!Po?>Nb-4OAI*EMOPpQ4V5q zmO<@zsLHk5bm7}{m%~=6GK&fCJw~of0|W*qMc!trjCvKHSLqGl5~l{gJVBEm0^QO- zW&WPk!FG%A;#tcdeu~5>b2?AVd_dwd9WQYoQsV*7en%JwF=p`{+}Djgv>e|e@q2r5 zPW1QNgH;Ury~>J{kS>yTWa61uqOD`We*e}kB)@&WKH612Cn(CdtLDPqnFFzwj zH4xgNW>|2wHu|1@OYSfaOhOu0^5h+H@m?W zPf@7QfQ*8jJg2s{Uz_V?Sy;22p5BwyZ*|yp5YDsB2Z>=$@J*+(I&WR^j}qJZDm?Nt zJbSL{9xVQy#H#=x)|*^rEgSx8<`r(5F2C6TJa78=$*uJ)V{3Sdonq9@V-2kWtkK48 zu^eXXJT=Mv1YP_|O}iUc{E& zVZ1*g9x{uMGmIecx!I!f^3CNoU6u)tYUFqpEvSe2Q|}nkdWZCuL-md>+n;WanmH7)sR+9m|fm zuwwDp!B7LReh5j9e$MpAOO#>`OT?5U1)Xt$V^I3`?l z+ePLoPGxiW&R^C|78R%u!&M56>iMUl3z^JXzEmw}Q8ScmG_id@$kZZ`XN%J)%q6uC ze5t4%c9A?AK7LJ&mE8JecskiEg-QNvNa{g|nGiYoBH{OjnN90vxQM=9ql`wC9raks z1mMN^Xt@8-w-ubk?U?0g^0(kjeSf(d2PVfsLs^TtRO`eO(sBCjb*%bPN}`ius#~p- zw-ODW6$hfR1R};-(8xL)wy3!M&cy>%DHeb)o888wASM?N0FqJ0*SpL^6-i- zDlFKFYm=}!;;iSkq0@8R9gsTAgvnw?&svvyxXa(RGeujkkCiqkHmz5J27ND`(Clg^ z3QhXEktsqDU$mh;>B_C1efus`065<$^ zc9Z$aHf)}^TwAls+&58&R;>n_$hYIMR09pbUEm>6>2x|t6J#{F#Nl8dt!_H_MqCj-p>Rt*y;&#Iq=Rzk-fNAftx%!7kr9{;&+ke}ph;wb9x0ew z>cv?Wu2Z&%d7r#R8g)ZjoJGQJw6>c*HrngMw3h54x_WgC8dwzco`i%xM|4wFEUDM- zH&rSoPEPk2u#ITB*lL(#r;27RQ9VR3h6)_F+2Z7(!?tpxt0?FJ;`n%hvv~?CE%81o zEk5z$o9n?x0pDeiTE5aLXg%r;sB7rAaXn~?w$Ukyeokt*c1Ui4x$`vH&M%kb=m^02~3f1~ckr}MeTYn#2nE;z@|iTLOs-<>aKYx9;=^TDdatSYCqB@R{H zYon=x%65}+xOJ;+ZHVTnZ*qRAi9X$+?)c&7a(L5xhu_WiUHF7!t}L&5yTe7()gIFE zhxc9tF1Nd1!x^(&nb5V-AhFI}yK>)Z8embCa!3!V260I~m&bD%DeKtGc6#+YPu*82 zERIB_wrvN$AuiU_C}|}Kg@dcz{LnFic-NEUReAeE&Sm_a>Rhs(ZQc4hTe+sKK+F@t zLaJ7B-Vcn;b71s1=Y#mB* zwlA-%y8Uky$_RI&hah>ZhlvTc50`2ct#l`Z@;Lzm+)tp}cAwG>HrysNZYGfKJEC4v ze&F`iuf5~L4-dn%dM()%P1#cB$6qFhKa3!T5~#2?^q9I93GMS^V%_ zeZjL&jR+tEdRFfzOS9JTSwmZ-@GQQ|iy&qP-K&n5ma~Op9^z>DF}}BYf*T{Rq+5O-vZmkZPw@Z?^yTCf@@X=jz)PP(*8Y&b7*Z11mGUKY*O#;2** zM^TMD8v(#b9a3zJo!?&P7h8|VDZRJU2Ke(SuIU%vgG3c1IG>Kuvo=0K?Lnp@wyt{7 z0ti39g~!FG3UZCjpKM}P%^tOlzUZdr$sN6d@Z!j0$8D}pL`BFmHbZ%g9*Fd-{GKXl zgkF7cl7D||qc|hj<0IR#_70)S0Chow6!$*+hDE&U1AaPj$t@$0xp05IB=qv~HQ+>Q zAs;y60W6pZnWyxOOviu}2FV5U*(K?8|E<$|5J9R*WUov;Zz@(kax?i7cKpL%{Oufi zZX$ILNw{)&rWxX(RRIWZraUT;b4R3KStx;U?qRE9IqSy5>`gJ99{tj(^u@9=__6(q zTKb zHnT;Ow6b-f$6hOEva1=z(`1*CbGm3z=Njl~s=1JY&8od&mZh|p{Xr+HlIUdBMNYBG z`~G-Ees{so(-FQK+vJd=jih5WBJZ&j;;}iXd98R%?CO3}IQkJa;sR;|u9sgwl9~6H zA|}zuP#dnrw@vC0HkkkfNBY$-AI?y??JL|j^$-p6mvP&wucx{j*h4v*kEb`(#-(ou z!gR%kC@Sf9GCp_(`KGG&^f3ot0+qu&T;eJOU`lE5*0i2Zi_VM z%ARR@dSHfEK~c~~hD+}i`yXD%#S3}*5=<~xCikr-lZD&}9|M1o?(_NdZ!wGcZTme(#g+LZBkPJ3 z9Q9>#IkUDh(i2=*b5S99P`x!4GO?nk=SIOu86>z>U6FaS*JnU0Z_5E28}CL9UOk5# zW#R%nT`fk-_;nwuRApy;v@Bcgo(VRT%CM?T8A=h=4ZKVXDCT^+{DIbW5(?AunqAPJ zjXI7)+5V98N`Bs`3g^20qU3HV_Du1?F{@ana-~uVyEs@v9 z6tA)F>43Wh)4=VL`u$+qJfke>!;gvcozKR;55y0aIyohtY|xv;wBk-bY{5sJnD19w z+LR3ow4|D}Ede4XF!K-ck!cT4``2Yj`;f---)}+Dq@^aYvmTBE_)jp1K&WaD14f&R zOtQMfMrsuIAyDp6{P~Q2l&iB^Jwpf5Nx9Pa^#lNuak1AD19BTK@?2KL z4vW)PtuGkpWpLAX1aGW0+SvvToQ%5Ht9Z?vnUGWg)1Cc{D$SxZtv*_Sz?+bgB5h9L zJb4``F{gvpb$v#il($5FiaTH~9~vcpBSmg~yCX*AJ74S?IVSV7$^1bJo_4{n6uS4U zQdfkduh$7VC`O}GVoTe+mEyBm)81 z$=CsGa9)mo9oa$u@c(J^zbk**{V9KB{C5FxagedGa==fr0?0Vw!ZO8^Qg@Kp+_qZY(zlM8*X_a>2XC$;SS#Banj(2tN;m^Me3zSs+fh za5gS7P5_+g9|f%c>IvIFy@Ge0lUs)j0O0!L1U4PGQVzHRZZaS%8@vtN08S7Y#~(p( zniJlJo%2tDD}WpIhvw#hUxT0L{Ks?L|L}2ev6BHfImkHRM*O)3WQThV?oA*oyd66? z8GxJp9~;==9ff-qu9*$UuJgwsa1yQzK00v8oUCkrsz5GymHm%-Kz0D!KDZrhtX!;r z>Kt%R00;LU5&$1kxG(-8{|qV+2q)qF{UZwQ&3`0w{%H?l{iB2v@Q=Pf{G5OE{nuFN zk?H*lKmRXiIR8J;z=86ACr02dfa3%H|9=D<|7#F~{^xl7vFU&QIN%ujQ~vb>z>&!g zk4eBkDEx!c|9$zx|ED#GlkLBxfPn09it|r6!BGnY{RzuIdb!xy;Mn~$r@;LJj}JCh zb}llmf84|g{9`32H$3QA+2Ppz7t?>6^*@h55S#}Nb>JV@1uu$=J9#*tq|z0-u1`0o>f|0s?3# z|2?m|rys3(coVxoUd|_eYC(@=$gXNxaH=%16U%OwXL|b8#mXqcwMA92V&&8mh2IMGabCiHZUYJls%~!wt2$xL z(F+{j8yhM2zEEBm)lSwY5z$g$`!t7Jf6sjmF?xf{UNmm_c4cbkX8sc4^x-o_4k&

    >fTae2^Oy!a`6Lbq+Vc?OT@Paa*@Vq?B5ccmKV4m~0S?Qf3 zPOPk-N}tW8H9U=$F~d_iH#A?OV|X{o^tD6l(Tb|t%x=YFf30(Vvfkf^Ky70P@L6&e zca{Ym9<)e#j<=B+GUI>whn!4QSU=3`J)T37?QyndTQHhk_fzK}J_!3w&IXZn^)GDJ z&O%nMzt}Q5RiK0AT5iw%B{Fr$YxA#Tag#Dz9#*f>(oikJ8eS|vO=wK;c&VM8C@dWl(yX zXRidWej0gqQ`N$GhhL7J;52kC|9Jd7sztHsC5%n5@{%{>INYi7sUt|QMY}XWrxJeH9J%l$%+k+D+_s zKXin4d{x(Nr^v#6sxi2<=R32g`G%XKMGWZ%UoziHWN?rNotn3-tc?fo?2d@IjX(N( z59`F)5542d+hJzf7fJFFwFBWwXFTU>1AN}6ChhR8ZZP06-2uhvYtmp6Kc0XNje0ED)$=^3Lu#a9PPA7MRk&@Wlj#bV! z9q>etfCWSdBzU4;!frX(M;GWo9)xBo_9={)>(rxsgEDm-$7!EIDMcfJyV|h#x4Ieh z3y7HsY2I?$=GJYM(9C#&mf_1n-90UOYFpTk+YjgWq>1^rWf&K}(N<|F6)$q}YlxoI4Ddd5h*wDGoADBYvDBgf8F{E>5b)O8T9t#|VeChm0|+y& z{)IwH3jV|5%2H zHD_~5viR6HtYbl`yof2r5zR3+c6q0A+3JlX2fL_bN&xMU=on?bX(u(NS*^KL)NFeG zy+jJ5T&lzf)))`&v>Q^1|fgTsttTV{>BvMDB=G`8#-PDyEXW|_N0DfXv{Ewx|j^l+@k z2u)=!`_cWw{#sXNu`yE-ldzi?qoc5yF{o}zEssJxEIp0 z?WE#&Of|1cuWV7Cx2&A@R(de4*tOzTxdd>_Tsmu6bdp({LU!>T8+WyrvONmZO$1pi zhFek(xB14d9sPHg9CMxsmwxSXJI3y|IM(cXIEFr@r?ZgGw|`-tYgc5RX_pi*7G%I3 z6(2(%rWupj&PydtiU#Y`C$CHlnK=gTN&vEwA}^3L)~PfOt|WG>#-gPX(xtJzB|pk;*-pkw^5d5hMi!8XpA^^Q7B!!cvE&;w#K z)h=Y$lodm`)-EMb;8EwTeTmc3`&_U}pEcDk*J$OdEKt@WCSdU*Y?nM??JIpU`>1jM zZMSGA{ASBBc(vdjAJjC`3HDX*uzArHpf#9!Y<~>i&A3n-V;SOvSzL&LI;qUY+DwCY zJBQb96m~`(qjxp71%WSmCv%VJ_o+`mywzN-?BexO&F))wUGIaQFqg=0!Rt&L)9n(M zO7{x)jZY>m8XbA}!28Nw`TNXW=9Ud#(TnKdOyH{?v_l2>|?Sduv|MM~GWY^@jC7a< zcsqod8a-46@MBc45}@yFBu&a1Qb~;-o=Fu>8!G|YD)6)T4OpPcqqCjNZ_-<7 zebQTMeb!rRy|$CKYG@_3Gf@!yJU+HD%6sFsqvoOERP`u!30$hWZzZbkq=cD%xkNX% z?l213O$#zQO%fz1+|>z^xU}spx~CnpALR`)xSSCGa;eul{TTkKwv!qbS-ty;GMD>T zp>5w0>J>W;6Y>!a=aFqPLy8!SnUu->FMRCw>+zxez{ z-;360^XkK#pX-BOzI|*Bd#Qdoc>QnBJa|%67+Agct|mLYQ36?*BroI}4)uL>-HK#n zE)#fBAa%#XnmR@9b@Wew90Ax1pl9uK{az*%`6Kzpds>Py}Fw<~ulr`xUx& z%Jl$w{sr$&s0t&LH_8ZJrm8iK-=g0~nM|UOI+xwVHH1Xm-PjuEAuBW{YvRV; zh$wT;&isXq7>H25_rk03zq?Plf<$T*hj<>5-u~N4Sid=|smgZBzY#uZhY=5EPlUZc z5AExtn{wx3L_Q`gm6jCE{XKN%-!r8MAc_!w^gS=4j4ggsVMvw!E?6Y^CHvpj^p|){ zNt8y%7M?3KKnQJ=H0;CFz;`a{qzF+e{Ex^QMN~BBmdAqev1FLs=p|Q<_h{TXOS6p$AfzbVhsV@qJ$i+ z<5(y|$V9QYVl$f%9?$)JGjQa{mmyVF8GXBr}rzqGe&6gzq zee<88vSdvnz`!cODN>D;rlBIlkcy~`tyiU1=|z$*+}by#%4lS)IhfwB7d4J`uBAzd zApOtcs6&w{Ge-V<{E0VJ?x(suGais9H5N9^3fiwwIY9_)k9Lgk-|R2K?W;+#6R z7By+-*h}d-ytAEMYI%q&#=i?=j98SGQ746%O}p$nU;Ib+TJHv;%CPM-AD0ys00 z-{bS(v75nFP$&=|JM}5H)B!Cnarr>07inZR-@0@Qxb#)C$E0?NKq`N8Nw$M0Uf@5A zuFwL{b8#06{o`?341y9#wd0r&N&R$XjiIPGV_8#GaKx;XkKFN@f33@$%aW-cGe+Xj zrsuSbms4fwiKk>YUIpFQ!|_=0g{|6zS>*8)3yE2?pxob>V$2EABxt_XDAc_ zOHG!7$k}2YHWLPruU#BPm8llkl~9z4)>yr>AB1glI!a@r2dRBkI}~GN!F`_>R0R^WH$)B=%iN_nC6+Kc`Bjo5OMGqZuW@L+Br>h44uhnM=w z&#h={pNWY5nJ9kqgDIvl2{|y2<3;{-A0JSWmuFC zC-S?9I_s}n5B@^(_y`+r-l8%e+ZJcHksONVzH0#t)7Pi^M?LS)Z9y0xF>7`Gsz7*EOgLGa5?{l>0s29EW~aFCc7m{kftA> zkbr63_O&*iKy593dkQwuvp-XGl1h&;?&Y-D<(qtF@);|3kg3;VzstAeNvSLqd*<2X zkBXbuoLuCjeO32zU4946hS=NIKu+KD=lSsa@fX1mCoIV~vQ7=w6K$rX+=_Td(3uXG zNj{9xjcXB4zujbxInJqOM&?Cz4nbRq96Ar;H4XEalDALI*=iV)p$kFr!gygw0rj&Rhm*Qy-0&#G_pyBz;sencns z5at6pJ*D^ZZ0L18kFYhE(W*Su^sHr`hOficig#na)di)8C)*pupDuV5*+-i@R60$e zYppk#yF@#s;qmvkESrz*x7JqkYRH4v^yQOAl#X#A+kxpto%~+n>nX>lo$LndrER?B z4Zrf&-)OB}Ki}lq$st)A@UFB_$%!P7K?regT5yYwQ`fycW=0wUZuL)h18)6t1eK!N z^(M>m79#!+YIEV8x&5g z3{-4h4iT@rTzWWe>=&4@Mu5~7{m;*?7Pww8=Tjs%EyCy4mvN3*2K-h*rxDu>JL-%R z;3QMy_4simW2rOOlGYe_KV@Z253l`Xxq%4JtKf9VxMKsKjkQr%O&aTDp>Zvq)`juZ zDl5$?y2ECCpU2Lgvq__fH|YZtXYF!PC)nQZjL4<@vnwNEJL)`9MCN!m(jrmo#d5>+ z2C<6*XOlz`6dQVdbvwbZ3%$O*x;?1}RWR;i_CFuTO1=c$WVXY$30ofz7q&+(Io*;m z`K=@HJ!3Oqw(A#Bj-E?&<2xzI1~a^OwLi2NWk<2&){tO~PZDE^P}x&=$Y}<&T=2Z~ zL`QT(vgMHvdR?osfzsk=oO=1=ATxcoV4|D;Hq%8UD;k@}ecVHtZ_Q+7LQ{!b$P=V) zhX;i;mDj2w(P5=q?4@Sd)+$?!q$RnajYG}M?1JloiC4?lTug1~ji&OB+ML8T_}Y-7 z;syGZn$4`$nch?Avng9**7|m)->u7v#`;>x;N5t=<5%q+$0De+hoiaK$WM>-5=AKk zgNhv`YBw=x`kqcLJc*v5HY2UH*+zQhTFd;2H$_PmNCd|CnOh;ULyVM3z=rEozC=19 za=upSm=V_}xwXo9q8Pl)<$Srl0`sDGTR7`2eSE#A!*Njq&JtBZX8|n=rqJ_9+)>^p zs(YwJXF&O6T6zql#nyIyTnaD`>br>Cfb)8-nnUtibY>3IIeDkATARPvRgyiB zk{en^R|N@{llU)fu8Uil9_!}mTAod;JB_q2Cvi8fRpt^ zXEwcx+k#SJa||0gJD;xMGn}5<6I}l@)wX>?v(m3RG2&MJAin;_YE7#| zL-oKc(Gy&tZ^!5e%hD`KRz2uXe0Wol;Y*z8X;h%ESOP_#zp*o!in26*D7P}nWE<40 zgD6i_%+@hnmv?I~{HUX>wEoGl3ZLfPyffy&zR zpz1*$gNsao9cN+lwNhehi885H39ZI~)IdVx!r1g^)sKSY`qhqpgJnmV;;Vf^?}D_3 z)#9B(!uA3}yYplLk2I}RzwjpK;G~Ks{Dlp3o7SbO;6keHSbd@PR)u<5=iCf^g^UhQq+_WF506Fi zOwc@od9sc)F)#o9&d#o~#FDnB^nz<|bE8gj(pcG5;VGk6)ikVKpKB5N6F}8ucG6Z4Gv2#Q!J8po&t&)>K6tNst@|YK?ofLhYliox zBO;p-qW7cstc~j*r8!5L90PS(a7R&>FKFTKPMBapkt)tk?Jc4wv!Q7XK40Gq$}j2f zH_DyF2G=u>x-7#!-$Rbr30$s7Uo*qwwIj88SC{$1zP6g zt1GL{vsk?nLBWPw5-q8a`U&vFPr>aa|=d4uFi5RTYLKsaVz*L`=@b%9_{bhp(-H$JrQU$Gm! z8Y^RKWU>7>IH(^wCunqfWxehd$-r%D=etKj#DL zsVQ{60YjNG|2{p?WF&5V_+<;6fmBQ*({0Dg5e4`rkEMv*`_m2OXH8ZLZ_mVE-mHxuHP#4Sx>6oq-goW+JAMP( z;m_}H*S}DFWPP8tr*K9PO-tlBezTsmTSx{ES|EWj#W3X zjDez-qH_TpzDp|o!VU(`8ysIo)`;s7N6vH3Ys)d6j5i0LtkZCy^RYTr!K$f9CQ%jF zrpwy^m73|xaiksA9kLDU#OuOacf11EThSZO4J=pofI`S~70A|Sb3xqdmqZrqq{|1v zzQZ@K=IHTqLf7v(i`45EfUQBI}$^G5C<7yy$5H<#M{YHR$|En3M1pSu{w@fi;B zc_nTs80s1a8GTSz#C6mSWhg$4SeLcHUo&`m4UrCuPrn57v%Cu5T)e3|I9amz5r~f% zYxY+^vG~_lk>3cgadp3j5-7%sDVdrZ_BB({hoy=|qS#F}_cz>+5g{{B%b>4Pd!lo?X=^8aMc+^}}UR!B3undxHA42N@c4oZ1!n1apWV%Z{Z}Q*{y6+xL zj)x_~Z#8`U@=XcD zP>1GG$WgbjtW~S9EM!b#IoqWSu^h=7$tz$!C%gwoKYwzdYGTgJ*T{pVsm7@`%R4_MImJ@-7H(vuFtQBLKx+LO_6knh0|i_E&u>5 zV*nJ;oc!fN@>{pq{L#d93@P9J(mhmvqpk`5OB9F#SdiWSd>>VkwkKM^cX~rTPa66S^`xz>pK zMG$Avya`lBq>hfM*-b%*DZQW3bg zS>BiQ<)X zXDH%F290qbv^W0kB&6rSN@h{rYOGS8?)?d?L_2@?ZQ@@6+RHz_&R_v`Fy7@U%WN+B zzQGXyk7gKte;bpgq`tev=0uasJhKjyyHcjN{Plk4QVAu2netl2`L**a=Ptlk$hVet zMW*8xYa49k6WoA6y{^&Q$5VRqp0;bFC{e9?Q7|hq>f!lvptyi!4%We3nV=k)jOwT1 zwB^+dnhh??eRqN?Qy@%5s$3zyVC}8yZ=E#qn_eR1TFL7vGHc8_yueEq)5R|!_H z-Q}4<4$%aG%7L7DDS+=OZ`(>G@j|?~Q5UpyS)OamUPa=te9g%uHEc)H!|aTAS`e#% zy7~FE8Dz%n2IC@ZH;tu#PfmZ8-l*}ptG*d;%1 z(57pIT&ql}^FG(CtzQe|6=@TX5G49l?xu=R;{g6PYobkJZ_`4BKdmb%LZdSpn}7(~ z7M=m!mX@%NLn@mEgcx$h)maqA(cgM>N=m6B<;(x2Hw?j(6$wZ~a*8q}AhLavhPR^DO^~#2FvQN0Vv}@l$g*7QU!#WLN0y*& zSZ43g2&8w1EvL7<6%50!N18Lxt-1KQEyjKN+^ok(<4d`|E)371wM4nZ?f5qGelz)I z6Uij_#*@PB>&?RGxB)(+7A|#$hn7u*G}{>sJwx!$Uz%-QKOS?~1nz~%J~4-^^)>aK z^ayo(MWg5w{Pi@8{D?sl5j;d9L{orw<%u#l5c19>hV858N3>-qfBG~t7b8&8K7{pa z@Ox6iaYFY1az&$(PE}0jp^KWG_Q0n6;;WLRu7dXN-1nf1fv@+nffUmid>ntZ#)+l8 zDYN&nMD)VNo2Yj2M?fuCoTf-zoIXLZnW5=6|E|WWt!-|!HLbYZF_X$Kb4Uz1K`SWg zSNV20s;1_Q(vanDPfOhCq-b^HEVNOdhzA|k@-m!2S*}rwr<@3ZRygt8_=05(z;E^5 zGvLxr1S*jt(q<@*3;)D^B~%-OQs7Ukjt?X#`APqkK&~%fYGRidRsR|kp5k$s`p_liD6SiHVLNRB6urrP73K%FKAqq1TErIj_<1iS-tvwL}Xf*H>}KQ%=|U6QcB zAEkLdJzEJJK0KD5GW}G@Z0j*PQ+Wp#Z9SoNJW~;o`%=34S8Ulg^f_ShB+}fBPA|i+ zY-=>TzIgV?!ca!Xq+!y6#n}M61ZIW8?eHKQC)+DIrumu*AL5k<1!6BvU4|0~tM$tG zv4k0>FP~Gib_a}A;oujgSqt^V1cAX_kLkIHg8~W=dgRBH7smM%pbcI=&@y)aJ^cjF zG_lH#+und@JV%4)BR);lFRX@Bp_l_IM*gnHv}4qQ>TTJAK! z@~E>*wPC|6kwvZ>>eexO`TA$=iG=9>1_L!~P3cZ=!Kp~K0pQ@O)JEU>cW8%f*}{m# zr~UZUs~Vam#f--@9KnzDbi)dyT;?{OFE!oub>+1dyCJhAT6xc!pYu0Xgt+WGpv;i| zkq zE-N?nL{>L68|US-&7lP3OR|ynfzL=3WE1;@kRv262zGD2eIt5q(bKFt*qv zMc`f3jg~_$q~G{BS;jakJW{N#RhT;qdjEdz+@^{a?ahW8&Ay0s1@`opMRF3JIJT5I z{(X8E4CX8=TrR!|)crR3uux#^4$o|%KRya=@ZTtEF(t3nnJR=Bl98V7x4Sx4YA(Yf z6$6~ZLL%aBi`Gg~tSouY$-bWwZYnjSXSciCFY{Ht0#1vbC+zuO{uEkHMGUc@^1m7; zp0=XAVEd0l#*o8+X>F4d9^bmQrPx3}e2Xlx~ zjywoTqoansBz_T8StH*c3JQV-W?eW%i~Ns~aK;TAZeReqbo);Y;2Nj}`O}pcaf06K zvc-+;hEJwn!qiF3>yZlHI0y-8QydfI&IYOWL{9Qv&0Mvw4>6&kvNO*STzz_oS^D-7 z-zc-9v@OzjBOo7s1Ct#wEv~yP++a8NnI_0*sapHdfW3!%@r%ESw7!9lcdrCP@x@WC z2Zz2C;q!D{flt46^17~k+IG}oMog{M&BGH@-@2{NRaCe_7Qd;r8iwZTd&UpR6@kRf z{M1~N@5-3_tRvfnbAm-Ob!itqUz>e%4vCFoW3>B502Q1Q@BJVawt^O^{2nA@{nb6% z(P3;IQa|$qV`%^vS<8lVU00^xwn5TtgogWbJ=4~T2iL4; z;B4)KSB)YR!^qR`Ldvvk3f5v&B?uRxsAYX3wI$F#sAaNS?P@*dl6Qn}4`egN!=f@R zjcV>SOu&eGHaGSr0A)P)RkFy?FYZ9$A~Vw6tu3D-q8p)an5$XM!OT3_Wez657P zV404DL>K%`oA0F@KK&52^Fi87q&`MNXz5z&6e~^FWar9C=IKkMz+yHa<*GZq;aRpU zQxeK>%ouqU{VGztA{b>0qpZM>uZx`F1Pg{ie$PQpevkFNo60=!g`p3@+RArFZPUmB zhC`|L`89q2+GbllRJ%c!9MwH~;8gu{qIVa{u)LjCvYpS0yJOdS@h+GjvzdiI_BVb- zo8wU@h1J$3-I3$=0&zD&W zxYl@#;G`ZJTGpR8;d(r4p`P}^m^Sq`P>WjQyEj7AKDGIG#g5-sfx?0cRoem;NKT2r zq7_5lfVxqzr98rArrs3N=Yt6lQ3e|^W^+S67Aum^pvI`=OumyQNG2oR!CVBd$9qV( zrUEa0o}z7t!T!wc9-YVw;@fwLnc?Y?Kesy|9n-zfZqmjin}+OpAKYT>pW5Gc1CpY0 zt0wK6-&B6*{h4-8d)NC-Y_D5$4@%gTW%jA|xfc4j{Rp#kg33MFz40%pdnvJO<67hE z&RpdxqC(x`NA1LX$I}0Yx_6H4?TP-q>z?}5wr$%zwQZi-wr$(CZQHi(_EWdd`TlP5 zB=?V-+<$MflSy`F_Fl7QGRa>1yiEk$|}iKXA(UoVY7Dku2`?x4Goh&Km)?poh{}ZzN0LsR6+zBB(jMoTDJyLyEuj zgD%8+OpxEz4KGjwJ7a>|ST0Zl)Dj*7X`29iVAj3-Ayr)Z4pkg+mu(!|5RGI*yerb! zOEn^h#>Bd{NV=yb05OL z)+COYz>K>Ne}|mSmUT>QF2zOu@+TNL-uBQBR;HHqu)ZWV+N#XASS z-VpFWBpurkkz*2oVA8pW-x(4M@slP+B>4D^JmypY!U;=r3)fDXK7M2eZ~}YM!+P@h zt^Kuk?O$~yNKopZWPuOX>6=c1Vj~V%X-}|eS^N#L5*~B2Ov$c;yQ|@0KK<_HO zbi|r`bG4Iy2=Mn;QHx+F_MuSSD9HWfUM@kxj}O!70-ad-w`*aFDESl49VAkPo9@p+ z!uR#`J3tnaKmWK|N~j5SCU@^ILCj}-`<;?|ulceQ?Ecoug*pU5_+*nu2cP)h zPN0DG-aIDDr6yt+dq=A2_muv1?9=n&jXf-W{Ed`&3$;99A=n0f#eVJ98`yYEt*c@CjE zL(xl@d^m|u@uuNERU4R_T+6sUb=7{b+K+b(Dcvg2p@Dj}3cetDZYdR)q_@0TE9{dz zoBOQ(J??}1P#MD5-LrICf$M|&uEUypZcw!=_u*V8-W+?5NYi_^TKu`o)a}1?dol7~ zhiYRF7|LFcJiXA*3)O@g6n$8V<-sqHYYcfwUK>U3fByB5$=P58`gaGYyJc5U4Y9Ff zsdg2>eb)R{Q?=MT!Sz*Hb;xdl+iQM#w7N20TfKO@k>Rrod(V`!<{zF{9PgDN6A>1O zGMFsB6}JN3M08-x1f%#MNKI0ISAyr3hCU(TJ6i15u-kw2IkC8Bs#Gt-87O->J9z{ zEKMsGYg*+=0sg4QhVBwE!7t!6-}1bh@AMv1rWi-Vt@H_*X4ZZK=b6-Z8mg8a z^>g%s$8gb(0X|lzKgo_`wyh#tH<7K)-_}KA48?OcSB4dioKQ^KA8~{0TV%n(TM9ns zjkbnc3bqcm3KF)m)V27<`y%bf*KP z3m+r5+eO3w|mACAW4@mUo~5Q|)7jvl)t zLMcP?J}w$Lp49XCYS-9Q>AoC3+&jKe{X>xbI=UH6S;tg_!P9b${2|*dbci-^;WDVj zgv=VlfMGtKy&Y+o=f-tKw6BOlD?lj ziKwcvjft;bcBVd<29A=|t-Kb*fEvSl-UN~NpuL***4B9WLal!{IHX6Jd_CKB7N3Gk zTf*A18r0kJG*ykW1kFRM zXzZ1>WF?Zv9~&8+P+p_jzP&?RMCS_S2E)pNGlmz8xBQT z;Bkk&xA{Nm8i;C}5eL0EwGTgvT^Dc;uv@8pO6So~vEGH;jg@KB^z4trhem61d*${= zY)cQwN1oc>{Z&V!$CBXPK$24*Qtcmayj;Lym9{*x@2xyp4PA+zK@p+HuWq_=*P*MNRwV)vleKag=%?vemol0Q{@`OuGII`A8snm@Tv%# zDVs0*fG+36+CN53s-i?(i|ZFHgQIfi~g$JVSrpQoA5mDcffJ z%=jNxL0_JNei9zCpHl57T;&QsXJ>fZ#!44%do9sH`~?Vp{{s7exf%K~mv37t0uCp4 zl2$d~Q;;;*QT$jVNOtSK;-np?b@<4slk$@)NU$>oKR@p}={nii)4cVVZeD4g(YRKh ziQW@)(ActDy^MiAg*%lQovaa#5sev+aac7NMH+=3rJm8tZq4d#kKsV$LgT#U=AGfs zddVtSydf*lRrwmntVe0(D%mf-l`wFE#GGt1s*z&ij9(unvSB4s*bXax{S(> z(v8}TV#n~tu;bct0=TGf^|*VSwe7raU;7EU2D91Bk{ZJsvmc?%#LXfa8<__=m*27+ zI~-#idmQ~61Kwiaza%cu2W!_?5uZobr7mMrDl=wev%flHsM=VIpF;%}1Y#CausS8_ zEYbQL37HIM6Dl*u%LSx19hB9X`*wDBx@0BKI_}Q%kDx|+j8Q1b;1vZN!m(>&kgnsM zp^>LX3s2~N_Tl726-Ul|Y0i3{2H_BOh*y#zSesL!$vi1vMzL}VaZ1U>$0Fr;7+2j& z4zI6rXG_T0y=8-KPjXFHPVY^pjK?xnKV)MVjy=s#C= z@!rN{@=(27ts(DToEJ<=#o$`Rw;B$or5%@Uvho*sTS`pD+wfO#lhK*?wA(L~Tov<5AE>N`ZAY(Mfl{?Cc{ltvj4QzY25L1(M+<%;t);h;I6QF z&)j1BQl9Qq{+#Cv>b&sqf5~^|LZ-Z|@2_*XcXiIr>>;Ff)vE0Ap!Ih6+KfDc+b)-y8nJ~Vm~vkC`f4Yh6#%>s4alBW z$e@!mjy$lR&&+J=W>$5x>X19Ig#%Hj08FV;>>R%9PtxAA<+JbE^j(R}Ti*7Tvmf7| zWIofVM@4*9?G>CHS4VTZ%M0(Oestt$c4o1WS|1h1hC-|TEpYOUFXD%hS~*&q@0E<3 zQSmQ_pRLgAO@z%YQ~jPbeXoabgjO%=%y*edcU)EMETdgC8SlVHgkApa;{yA%>f2-m zB^R4=*Dj6C5L)qiEg!RK@ISN7bV=8w!nIi1Ptd!P5dZrg`wnVr$rt;^tccWg5uWhsZ0ffvB=+RJ8610-w3o(_7 z)$XVBQ_#fDY-rr4jz?;xPR>a3s-4$ytT1Te>tZikJ!Sb(8&;p~+06Qjqy3CDBtR}S z1j8-zA@FbD144|ZsL;(7)_#4Y$UOOf9CU1!Jd?a_ifszeWjPbn*l~KC>ktcA01%}D zVwuG3H0CYER5_#cS1oL0i}*V%O!)^7`6?TMuM=UC#pP=8qEVsHago`q>CJgYQ~7dB zir$X(TF2ooD)Tm-FJNKbzI#!8TXP0i=A%GdP&+WDJ(Mq^W~oS3HuFwq zwSpD~wJtwCosv^|Hgjem3m56fh);d%bR0ad?|@2cRd#Oz0`M zaG;<2ek5yPPoFX=)^TKwk%(>8@X2G;x^x)2#V{bKYH#T`w zn{L?&UJJXv6I-lu9lh*Emy5qn&?a13`Iw3YRXlVISo?T9v1lt?iKXTHK z{HH&|kE0_Q&U)pi-k&q^c(qv@3pLAtv;4yzDh>=x6%C)yi5THqZ@fp@uKQt7JyW*c zRa3jR;`JEt4%?|q80?oEw4^Qb&90>F?URddzCy1L#kcd)9GdYqhcBPLm&L71WZ4e- zF9$};Yez2=wcAr%ncUlV{kEH@BNnxw6sZ>KJ-4yor^~Z~l z?fH8<_pnY1D+V@a&1jA2=#GR(?_8RP#cCXuYw8p%6Z!aUMt*e}? zigc+ib>kHA$69Ra4o|hcY&RQ)^#S>WASv@_{Yi}Xi0#yifrt6X07(*l4YPr*SjklG z#?MTj?j)VYY;^XzBpSBk+kF0?2xNnKp*r5b?}epriHae=@&miOdCg@uS*y*+qwG7g zuMZ9yBB2dOSDy^5T8zB19JPCruuf?lj-gC=V zV7DG~EA(&+M>beYHTmO-W?C8o&TZrty(%%$$}BlW>#hRNb+_!puc=^D%Z?in~Y-6Wezp2JxsmqYxC7u&~!a=C|W@E zJ>ZOmx(d%eVq>~UmM)VyM&DGwCHfE9BY-IoQtBgcQZ)rxM4RL@$@XZw4e4%)#3e>QPeSJ5OkA8Kq^J5@950Uw4 z0ETtS5Xv&%3Z>QpHK+@ERS~M$2M+LTY0<-&TeF8jq6}w9$x35`dc432W)f@k+RR$O z9WWIk{^k*3Ayz|Srb;R2Ms`7o~%b;^P_nSv$jT&8x$m4W!|LO{?)@@Zq!HM}0e#a5>&2^ku zWcY<_MT>iFg^sx2DV)1dM|emkO8m905qSwvTpV^GXQ7^Pg*ogZQODA0Z@-&P4soF? zLuoA#`F6VYaXpg!q(DPp7SHlL$SZr-8ZpU(zelGmqwyX#AWqC$hEC<|XhURJb$;YA zYAO!y<%bp^?=_~L`t!@PXDj@HIpE=2U_TcKI-iQ$bHnK`e5v7;%ZGOIeVDK@zv39n zKp`2IR`#;1l-&1&hV?}WsBjq&`dU-ctANNehwezcCXKg!ni}g;*AsBNXu%cGw!u~Z z_lMY67E_{Piquqm3#2~+XjpTrCO~Kwq2V={*-0r`#|qPKV&rhken$Y0Wc}pN#0Ymt zT9+kcXX6eD_{$c7v=y)PEjE&tTQWW^M(lCuWKNR_Q((jIRdfU=Pa5^LCgc?paF->& z)RJ(6#rdSoS8`P25;(d*@t2X6q%Z-{g90$MW)#W%Ar9vaIkFZ$#&rV>{4jhtThPQM zRBFVHkR6!Vyvv!>+5DoMNCb=>N=F;oZ#4Nzcqr|9BcO@)g66MAB5O>#%RFdgKz!ZyLm>88;f zrH$60J+ZoxCx9H>Qb`DV2rPsxB=LbMCS@0(At$Ap7go=#x=+z$MhhStYFsBpxHO%J zz?tld@v7hkSmt&WCuE6XgNdEQx>N8*PwWmG4b{aM)s^HV@)FpFKk)dSvw&#RsW893 z$YUx%ZHRhtvWZmAteZIT=it#*%~=$BHt6mBjCBZK&J6F!r(Xr7SceV&6vY5-9|KdI zCSkG8FyZf5zHnhbl^Md%a3(_>)<-18*JseT-de57mRBxzUZo&DFKS&t4uA0BMlB3U zUsgcFClD3~bQ_37-<6gnAh7lWD(}E0Y~Z52Ome=_oQ{WJf6@4nnckaWnaoQ&L+Y4& zKAs5~;&hVf3LHW<73)uH@8qNf-G_H+082PJzEc{p&b;BkC7OSQy3IT$qqkU5$Z3-9 z_G2F71K;Bk4DOWYml@_@^F{R%`ZV}m=sc=xee3fEA#`&Os95;$0v{zbd57&b(qL9j z{_NrV}!`dQ(rVhCoFv}K_31k&{2r1z+hdqfv^uC50e&5yaBjf%G zu0!dV!(dpAV+f6lckwSPnU|;f23f&Y62}381b_cN(L0&!x(y%Wy)4V4DIZw&EDJE; z!MmKSCGu75IWoO*=m$`5UR-U{h=VW~oK4Ix&pl7Va2i-evr^rro^fQd^#F#xKMp$= zi)@_a!UVTfX%K_fg&EbBg_rzrf$;i3c7V>6%yw||fFAnr`oQZz?5+^q^(RYxy0tPS z!xnZ^)Fv32#s@cpuiT}-xx4H`{DE#J%m4hN7GgT?T-XD?6RpDn7~i_%PWpE@POqOp zYZKZ!FB-%#K1iu$P-%!KK>M1RBx~~S-do%Whsa=Eo;6P^W&~cn(_c&*&%N=t;(zsq zIKt_MsVn4=SEU;_@$b%OW|{bBTyFjyt=4hqhmZr&*I`y~~rQ|4VMSQg-pdqBpHs z^JJD=V48c5Ln>=jvw9?ZtF- z<~sFGfjFv9vyv|_)~jxIn|qAD5|pW}%468piECsKdfn;?>t3iPL}o<~8iu`N4R$+r z=6A9$e$w$SD54kk^=Mur`imc2D7$0r_hkv5W*qhI!`dRH{#sr}|Emt{*X9KQy_Hl9 z#`zq;g2^($UatlsRx*E@^SV-dtD980KI=IDlkJZ^366F4nq$G4OP|pWbLa;2@a`Lh zNB7I3WlBUeDid0aTsrYp<{NQ6gK?XmpPlInuZ7dEE_334U$Cu;bUf=cP$)&!BrOVM z9&k2%!roS<`34Gb;De%(utF?7-E18e&GvFVUFw{cUlzv1uS>>L z&4xR(tf}v-g(Qm`E6@UK?v{uyoSj2k8{@9*iJIG34jG59w)^P|>yUj$0*Y$6WzQ~2 zaF(nm4O0;PYKe2+dqZ~MlasF{%#P0c3ws12REh;{eJ-e?yh!tJPLXEt(f2twYZPG8 z&V`@P*wwi_($jZV`+J8sNhh6DZe0*6f!J-}Bf~H(ec}}I_o}Vv|H)lU|1sG9FCb_P zOzaH*KLm}5k%O7-e|O(fCZvG!=!)O$R`pYHpr5XK#?p2%jZOzhfdpcmh^jg;DxqID zaD4!HbjIH+(v-j2BE%_WjA&7Ykz``glqqZSMlyk4v)!E-3>YLoooj$6 zoI)7K<@8BAV!o9IqNfJ(*U**iZ}Z%(MgX6q24_G&p+w~z73zcCY#9Fje5~vH8<+?D zSf1uOMNNT=zBBd)_ZSOQHb@N9h|1do{|Iq6_&v4*GTcUl3xgMZZciZ>tB67|9{b|c zS7KxI6D=A{T702D*8iq!co7+qEL!gx`~j48j~Ro<(9wSGDeuiC5*;N|&eX@5eKc|Vtj+V1rw>U{UQE%+z< zP|P*?HxE&5PK67OJE>1`i}gKr(@ShI_i5TVqta5SUXaCB7Kk(Rncwen7%mCkl=r++ z8xYWxki1wH5YVDuu<;{f{C!|iaU}#o8UBn5IMQ)w`W4@}G#Z-zmxRvV91-7`C*qB3DoojsV1gPYHsjBDfp0(;B{~ymKla9e zr!nmSEG_>71YS+{_KC5hqUV2I_p97c12i(8TR;4rX=%W7Ec=en0i8zFXTX8|pZW#V`3~3t zfkyl$78pr0UXTCw*ra@D7l>z^ngRWT))8kL#7Eq2zt7Rj9pwWghuX0N)Bkx~l#G*|57`K=R78{g zN6BEDHH>W?zGl1c;ivSp(>z96x(khYLG&g&Zi%&)8>n})Lw2KBw!;aUhnqUh6n6^C z;>dL-{9_Akmw%6D`;11REGM<4HxJEdGsc~rmCuSgKb`|YK`McnbOS2%5S-p zYU_XVqWayu8zYlhrP3)>>zK`5o$cVF6Ma|bbiGk;JPEeJuj%4q-Jrw8`b(j-i2L}j zCn6r2=SK{wma_hW4XsS;_(n7J6W6Lw;t!J9t$tL>V1K^RxXnhJ_u_p-$hXnS>5&yQ z+TPVxeUUnLt1VYn)`sz}K7Z5yGT-LrQMN-#pLl$otLRghSZ>KjXX?5mA=NE;q{s=du%Sk|HDQ z1tt-Zx9g1&tgjY)xD~7$2(z(7POB73wS@|p@lu9{Vn{tL4goUOLPD8V%Ky2`1~>9k zDRp5N&UlW$+Gw0_L;c@CO6!K~51o9qQKFwEIIM$Ua?3F-T&WUEqh7!!<`P-v)<0wX zpTA4#$kjqZNnO?dTZ3N~t!(6_GHi`Xfo`CeU%hrofY!gJeFj7Uwps(e$E_|))Uyo1 z+Pb6Gt=b+W*DE6ct3>ZW;f0OvMb3L!ZaQucz7eo8aV5O33RO^^0{rCiWwF(0pU+3v z*!>5f_S#r!s(Zl0lb!43&Bejh5rs=#X{U2@WAnM8wTZcbjj4qp4HFZ~-0I>oBJ?W{ zT`18WB5}-gfy#^qRZU}?8oeADy{f){fr?;C#7Mzf3lkMrBx>QNWJuvrof^p?Yr;M~ zX?PgC|8F@2E-~p!tb$=DT+BIo&_&0>;q<18cp35r^BU$%#=fX-UOvH5^<&XRssz!| zGQ-g$l@Vi6BTrV34uu1X;+j&(rLzkIMFgb^b$bQ4a5!SCfQV?QihO~;euav|k%dOe z_6L7We^JFKMG=W7FVPc|ZH(;jEiMX+7BmbCs}RH}W41RE{T_BHJP{8P+Bt3nttW;dcA%r(Z z6Nfp}v?M;Oe+CGfRCR|#q9iK4#B@N?9#$!VHB0q8jCj~Rd9cy0zC*c!z`g4$hVFjf zb7@!D3sxsRgRn*Ixen2cs=(TEDv!zC%UYWQceQ0?u~5voYThY2Kb|e$c8)9GOJlS| z-JUGC&_;pPK#U}2khY+p(H!Sbh`J~zID!oL1pWGGb3rFjU0iOhYjy4Q?ow1c^RHz(an}KQ4VS-XqX&ksc@1b zr;?$?8k(3?rNvZFRVXi&PliO8$G0_*5#~2gkX4#UI$sE@uvOe~rn`eBS3J!B6*j?2 z?yt{hVx^o2Nj!(zfB%ggDa^=-7V z@+uIOYvdNP&ls|ZA*;U@oR0=dtQKg19P*5Trk@sVz!g%CF=B)fOb9vn8#a&;wlA)~ zrB`fm+CrvD2-!O?{E9^ZUB1L8S>^OECotP&v(;WC*vv7yP1u5n_b9=ULGAPW2-kuh6H{#l^`Vr>pqbbFzC3$D?K_#lii zMlIfE0+QMVLrGoMu?VylL5_4r+W{{-_NG?&@qHY4Bn2mHnze=uX+Bs#LbTfyZGcfx zg7SX0Oo(fd&f2QNYhYW#q;hqOIHu1o(_wmSL!>^!O1omSGVP|AD@Fh-vH`>jPO#Zs zmJ=y(QG{ecioJbQ7o->RlZusX|8K}IdlG3aWPP^H4WgFb!aI!ICh{4QgdwIv!QNbL zlISyhc^&(s<0Tdg_-5D->w}Pdx#Q%Ai~4Ooc|RYN7=5BD|3EvqO?y&zW1N#ZHD?r&bhs2$*L>U%P#1K|M)l$j#*KSY$q7~|xFyqPHnKOfX0L5ni0)(JAjw@PuwyJ{t#PdZt=Xjm z8krA}g|&uXqJk0nMfxH7`T8(M6?*R!>ak`fgVduA+{TIjGR1q}>}#PF^|9T7)&t_( z*k1-=;2{AHM0?iX`gHyC?!OA%mOuL$G9C8BVP@VU`+#@3oVI-W|g>sy@({`|P9^MxVU>cw$#y@QRLwjez={aTsbbBJEK>Xz9mn7*G zbse336@5L)F{dmh$b5I<3qx_Z!mP)9e?c8k+6AePfam7mIpAmKxY55z9d$_txxq-n zltIss0O8clNrK4N``SZ>$umZ;)#Rjad&X_)=na_3UnNr@-49CqC7x=- z(@oueA5e?*USx4xpIJ*VaUK)@xS$pX_cr8f(#zB-XZ*4Kjg5JDStNdUd5JPX4_HL; zC)tBxf7uOvS+2t6Jb$Qo#%KGRL}`eTbR38tU9!~LLbFo>mxqM0w9?syQu+^Oea>}G_o>|Z57|dk(65un zeKo+r?`U^AVA}P8k$!SVeRN=m>c6rWV=G=#AG37aD2U@a_bSpd83VqjBwKVUwL9Ab z!@bdwngq{iSa?LC(ZFZgo3A8-s(n(Mr*{EOS3aLyuKJkkOaqP%jyGqI9SPv%eCi5E zzMf8wwo02Pu}(P79&}_qJ$xX8FAoo3DLgL>p_G@w{qqVQrbd<7+N#=Bb}e!I8CC^m zmc(;ke(E-1&^A6?HsuI}rb<3e?pLza_>vwoPuX)`M2$byI^mNebkgcgZhdSWlaM1& zC4~_|e0)qdn=vUUx!m-{FL8hTJE zG9q9vCG2R|H2QQUK|mxmEs0s!Dhsa$pQF(=fo-f{I&DBIy^UGU5;X+e*$Z|=*>kWU z4>Y&>hV_LG7s(C<%7>B^I%5mB5=N=!gF`>?fA*2`;B~Te=sf?$pTS0Z2m=p#II*_8 z2#UizJlz|92L4*q87|CA~+CUu)HB-nVK zVWU4(gux8Niv>C#Imgat5)m!4293NF5Su%d%LqDC2FC)D0W4o=x8Nstq{ zn5%?zW5pX`H=wUDcBPV#l7eE)P~dDKex6iUu(v$iV;{_@7mSIMn?eJSU;FlXwccDx z3}Gw^PNpVx!<^ACLgvn;sQ>dprOac(!9jVZQlYiEwnL^PKZS zd1>jX>m1_AE!~_&kahR>_JB1Rh$mK*MvE*mUm?Hh`BeER?dhqJd4E~z;fDj9rPqj%Q_J1t$^Gp+3;HGgyMzvyC=bN>w;pRWcyo=kjD81<`xvOTb@c z9J1di*-~S#_jFQZVA6ipMX%PI;Mo{MC7R7a(C8OYFcfnw7PC~3w^YsX)D_5^&H2(4 zR-4U%kL2msn&=kk%?L7AjNXrr8qL2{kBLg?tv65>$+GUPHlmWt4HsQ}Ctzs5r7v48 z^z=4OJ-*Afa9~NBqGl9Qj!t6~Pv(S27vtaBC*p&R%*{H~_Qya>pm5o#HY4#=)uqW# z%+S$OP~fSH3!x(;p(9a?-+~Lf7?fCgIQZFXlXj$~KtWAv6QvZBh>{8&y3WGliO-Es z;*q#CGzw_&3WtOM=HcW*lBF^9iVKv%#Ny1cDQdHz3PEj7E{(|dhR*fm3QACK(1rO>nOMLp#1Q~wDDfdZ8^vB|ZryLPP#-GQb8H;OwTWsbwuI^B>me9TBn z$mUFp=|hYi;&?NmC$)-64@BC;7@XOtl-WhPTPi71Pl+(15u(I$o|y7|n$(rLD=cWn z+i9XNAwl279Iuh_ZK)C2Bx8o4zZ3phMNMq(jCi9##gNp^ALU zAONv&4sOPX>`(}jfFphP$}b5yxEDwmUUfc2rYnzA3hLz2NFcfYt76#MVwp}GRM$gq zbB5%ypTyxr8pNn8)M_0|4ZUT|2pEbe4Hq5{xrC{ioT;f{fQeI<|6t@$C~&)c1a_oi zvSx>+!!+c3z2FM(pR_+v!I{wzVUBeucG%HaN2 zdGSyd;v6%p72;ePM0x0tj1uAZjYQCQFwW0nY6fggi^~pmculc+<@AO@6KVjI(#LOV}lRt_)dAb zS!_J7M|$X;7rLo;e!r~Ek?3`+h<@eHI%Zn9zncR3@81HD=$8#wC(NR?*mCi=@;-tk z%)ZYzu4|-XqHg)D_f?*Ew#IbvRU>V1ls_AIj!!yIB|SbDnhI{4s?AR`q8b}XQMDx% z`!VY?gb^c(t_~Bg4qwdNfB9Io z*&1~u^27vEDro3Q47Ka?q>`EnlBdZjXvtvziW1{;uUNwJO=+`tHNe1hMMk1b36Lt5 zM-GL%rx|TL-G|c0v~#d0X_M95tK{!){?|60hXt#3jjbC&|7S zp{5g_oZlugdz8pRLTVgl%v8Z%28wAaO0y11$xvsJvI19!NI_tvp;<&I5XroB!byl^ zn)AwJ#(d<|D`|-SA`*MJrPLrwece~*a!MLgHHZB(X$NhrT@Vs)$z0gvvHYj-wL0)D zxp!kDPZb@%Ba39sa<5t^zw5h!{o$v2AhQk<0#7=Nf67?ZLj~GRBCYq6jZPisu8ASW zaOgAO-x^)udHDhCHmG4Y`Tcs{;e z_iM5IiOM^RI!_2VKHWJ-bY`^ej%&Agx{Gv3Td)isU2cmMJ^!#z_t(8Q=F|f@4V^7? z4IF$f+;6BQDpSReHJ56V#ZPz@ZCw2fhH-HfWtPkFQ+J?#w#7zYR=kXKleTxdJZhH` z_Oj65blbQ-Ml?IE75P~5t8?>9u^ng|&%8ovjb=%7f4=TKV75G}$zZE`It>NoAJkq6 z)nLX4x2EE7o^e{OH}ISmn+5W~U))aEebWHQx9j{!@iKKl*|%do$sgWX3cV-AN+?@Y zr8N_eIa^+`i@6;GQc2e(gJVo9*?yY(wl7rAKGsb&FnNxtxZNM7(6RC93S!p1+!<&~ zTwK=s^FGQW-@Ue)?1co)bFWoPQ_k8pggu;9d%W}l!U>9cJ@#%dL(if4dGvPpzxK)d zZ8ef&k9B=~_6{3{rz=9r^{lTHc_@w|zhZ+faY&j!&&e&$>q{1U7x5Nk5+2|Z9-60a z$hRI$5*}VAL_lZJc&F%fDR0<^t;7#_p2t~-e_k%t=KJwZ87$jgTXyo><#rk$EUSoP zQb(}(F_TEOniX%2F>L#*-pB)N*7<#szW+&Rmw7Kk`92RXmHPWZ**;27*tyZ3IP5JZ zS$B$Tiyej4!XrX%E!oa3lYP%(8x>ZgA?Lhr;j`PkBb~cDx5gj+9HRdeCn^9s(92tT zH*#MmKL0$dC@3%qDg__Yvpb4oJ$Zhg&yJ7sf1QUNA!t@GPukeO(1oL4m%;I;de5$N z-kDFgKA$5b*^eeF@vo2D|BEvEK1*=;N^X0K^Cx(2rP&!Ru3Z|b4zBO>@^Rnly?F8#fpRlA8i1^!I$DMVAhTIHU)!piyTBYHU1rJGnl)YJ-! zuaPHBl{opCzUeQ0Fly|bR#oIR{qrnRRJ*yAwaNl$ZQXK53r?l&?ZRtx8(pEd&4~}$ zz)f$jm80LORrv>JpPj0S?V;f`X!+S`qw|d2`l`q97={1X+n8HZpw<()?e2b=+K-$K zNPVihd|7F)Z-+-#+Tyw8n#x@gm$`1Z*YI||=dJ8E@=?ix>=xDN>9=R*vFJ^IsYyKz zE4eh+x@d`d?>Rf)py_EnSyGF$YYHT+%D9U9rC_=1dEJG{d6hgl6KC1a>Zy+FQ+@lw z*36g+mK84Li)+n0RU294#jHesl2Y5>X_l_>BIs$wXMX2iXKQkDgwEc3$7Q&8*-_&3 z^%Dw?2)C-W@$y@H)6i>Q@wGt)(&o=WdcMn{*dHPiUe(YV_#DKtZhvF+*e9yL=Aqpb zDA8kPlHHLJFpgqosVQ#ETFL9>ZBpHJ2g%4I8N@a><;=T1*=vt?U9Jx@VpX^eLmGCT z-^KK5@NEYPwq`FZB-5SYpir~cs{>UtbuQiZG>hjGR zneaAA+g>BbgF0ysrzA2&En}CRl-!eDG?Mo5p0D?*(o!-nWaFY8M4fcqUC|ukx-6c04#Je)5$8OP z?#sIpaCpA`Q!(Lae`;&HBsqjYWpZ|}ycZ`yyYxaHHHdfoVZQHhOyL+{5+wRr2ZDX}<+dBQc`|Nwp ze#hNof4Sq<7&B*P&Bz&1m9sunX8hylHer^z?5=ELbxXoPs+n^5*_7FLMRONFcUeO| z0Q{`=C8wUtT*k=22n@{utM6W>Pq!E%94YoY8krN5bR0t0HCp+X5tSJ%@h+xtn!5Wz zD|!HiBt`;y76x|w8(Wku*vAenYT1Svi$0j*%9?65Vyr30-|zH}?ZQrPotY`K1hIh@ z@ypG6rW}iWs}z%ind~zsXP@IwjfcU42||&v(=S<{Klkf3b=jVOn!f#&t)?#d;nwLS zQ){qCFU?V0!sRSvIqDrFWS1`?k90rQ^|U1}=%~Ehl^%N}Cl+;6YU3!NO{y+3o;tPG zwulz?bufCC?$xpsN|L=t*A%zkDP~};ZA;zTKfZi~hs$qPfwY$0R$=a!*)KOqS~)Jp zt}7!OG3`d*X`nVsxAbbQ6=EK~o6iZ)=v+ko!QPl9C+BG^ZC~+o>F6F2v8XlLyh3=F5=#Z@<;LH^=AT9oSOC zYqR%o+m-tPj?WpU{n3?3$xlNhHdad+4?d#gXQKN@ncIGwh60hHwiwD!lq{{(R)_wD zeK|hf@ORqgo0M;ceVj`Ryf2$=`>SI8OWky6rk?`0L)Ot32I_yN+iJtdz*q2|`9YvF z4UiK_D{^1T^JV@l^8vGm@RZz*M442D@k-#k8^b3yp6*rub^NXF{dPZJ=4cK{hQd=ykzOOs{I;(%Rw0Iw`0W8-A{B~?s$uj?gSEg|@9Dk=tEH{#DC3XQuxCV80aaB4?DVO`+50dDGifjd%2l z_54ZUwZ-%vKjZX-JC;Z>$9{vNC{a5bc zzcAD+^#72I8JPY>UjBi#{u{29@gMf<|F&jj_)jM7|BaCShsyiIs{Ub7v;I(-|Le&A z`_YffA3NqBe)B(j|MdT1$M^%I{b%o=p6$o+KcoL)`(MZZb<9X78#mJ0fG5zKO{c?{ z6;yawCpj~FHf6NznA0WK(x0w6=Hb97#uFC{I<}a&-^XJhc{p@P!wri*W*B2cZ+Sa7 zy5@%pp1|3PKNy0lmxFn}lxgO+G%)DV2RJg5ddPx4bk89(%~*qA=3lHp=%w0ncupnX zcBAJ}9F1|pECZ1au&bAV;cHwFm1w5MzLlK4QFT2ye=7^1mOhugm0vr5jZxRr zQT^F456k^xk+HPS*dxC!9|u;?LZrq;=-ePw-y%@2*7x~(^hx7e>C7B3v+AO2g=QvJ zCqTV8XIukOVc*_QNW}hcSIs{c>3{xy8JL*ang375>JJ9^->AI@-jLo(Do@{Sb`tZ> zQtfn&J{Bk4#Wckzltqmub@C}c1*e)UGMfEun=Pz{Kpg<77;zl1)P^18`A3pOfN1j= zW2SeaVkYc1KrveKWnI*j&9}ZcGEov5=_9`QzPFF>dt#q`jC)Izq#R3ifovf_RK>j%FvNJk#TRH0usL1MEBm3e(`^_EmF<)$QZ{>Mj z!vloR8+okYR9Rlg^72IjaEoc})YLXYT8zi;!o+_@T7`zmm7z(O`34FfSBv`^nklV= zg8=JWb}fr7x3Dyx6+p?wAgh(8jGBu*A{6TUd9DAVsbv%P zJhe6?y$ck-y@Qxns!R?9`(JIWKi4OX&eWTC?-&3QWwF;lm{f?pZ!4h(5j%VP73|nh z6W2%FT+zCEX*$H?^-k?)PRV@rUsXIb?kcZbr9`wYwp`hN-hPt6%soORo(51%IbHaH zIey0n)eME|7(vv;11?r0T_fMe%Q))1%FK=igWME|vll4a7tEU(m*wbD`a7s&e#vTI zXNlaYd>srenOO!e=Y=EkwYu9>9FKzROtZ|M=u|hqF@)k9LE$!y}!C< zRo;x`eF(ng%l*|`OZnc0I?@Nj15znG4vy*z9mRS@xMK9gDfR40Kxmy{8Gr&M_dZAM zPLLW=W7-?qbRtN}sevK)hPgd}yb(U|(0&iHp-{MZktGR)Qm#jSR^=0BDMS41!(#vd zIzWU7;vd9^5QGWQe`UU6!xW}5M-;*bVL%Z?9KeTRz$QFULg=GMB1BY({Q$n&nhRq< z2t<1z%}GOm>AJVk`` zjYOvn846R84_1d=3X{?==LV75MH-aK1FHkGM6|-74K%q^ke-o3OdW6>oY?tkyHKxs z_MnRde}5{j2fd&!3h~UF(i5@r14~lRhOI!zgvrcO`$10Wi!}B_4WfqW^-8LTuK>}6 z{h1~8gR_~9aprQyckN9kZ$siloLS*|}Z!EXBMjyZdz&AQUZvaPp8xRYTtv@@= zjnEgytxqS+ZGb22xwq?1%%1K8?3T?QZ_me`Z}0Qw_Xh#@?-xXF2zbIz1n#JZfm+B@ znH#Oa?S7)L*xu^{_#L+!^1Z?vufc7m4VYL0Ua(jqUN|j;mR{9YpB1QegqA+!JFgoM z?(m1iu$pv$mz1Moe#mGD+%*Rk*4IycOF3$<7dZ0ra)wcrl$ z7s=n?PyI|`GrbLAGyP6?TsPRgLSwuuu@AoPfVZITh_@o{624fv0l;D4JF#JY zL8*r=J0>s4d$~KPNu5A7grAfj7`HPwXoEey0)v4!n0r@=fnN}A zgf?sIUVD#PLGp5cz_M$T@(%g+Iu=LtZev33|YC2U5fI=s%FU z6X5&RZs-R6`V4Vro^oV?62g1HX><)T*>AfQ#*kF2szw-|G>|t)vu~WZcMs`8w z$#%GkOTw z1zr%{XdYi$Q0F4C?iXL2A{F`fxg){xcJBISXz(hvPpMx0_5*XtJ*{qbfPs}?;=Yu; zA<*^0TfmlrS3J5MdGP`QKW4%Ew*N6cq=LlM3wLAcfv&sv7V*g;!{1ME(yy-j|}4SffdtQ|Kq@Vrq#6gUZ#JWmnIvpeS3lNfxF?9{yCmut;4A?1*N6397EGqNn0i?l}-j-?kq9LY7(-*bb6xYIz- z>Y;p&&d0bPZ-RDMjEbn0xu9A@`ny&Ky~!0OTRbC+ikLYhiA;xdB2pj ze4Jae=gsNZ+=-BUmKI!mcQ%m^$=H9ymbo09${sz_|FF}rK)Q498W+RR3NG^Q8uOG~ z6kRj8e#S8Hu|XL8LfizNn~ECCYpxAhuoScDV(3Gn@iW7g<4m20j{96_NQfsS_sf_E z60e<=5_({Dv_{=+cS7e+6k0wp!G60WB;4E^QagQeG_!^91l1q{%f#E$2{lFf-XzGK ztwFiAhp$qe!a@H8T8vh_SLo*+qs99a_(H5VCbCZz-`N_DrhnuSNkB?E{4Oa>(rVD{Wf^)| z$)e9>;KV_BbESPqUW}?4+!>PT24I@eG9@GP+Scy!nEt#pM&}JOB4||&1LE$P3}V>U zQ`EKhsolY&e=-UH857fI4>i%y%DsMc2YJPc2hfx@Q0M0oW57@vQ&{$*#8*9 zIOW`IN#f~%vm>r5mx(O$&J!6*8aIHmBd9=Q>*wArjuoj#3$x*bXl{zAn$&)JiZBtX zkb`Q8a&_uOP4X!TxJGjs*y;MjLsNoJ*xWq^4KWib0!B8Rm-wN=lu1JNqQv2o2G7*z zp^FLoR$foLvYw@anMr@%8lHq-(M9}Qi~tfxHhn-ObuQ%$OZ_Tl83x#O2 zKQV?jG%@}@ZABU`pAGVlo>7J($w6zanO0U82d2st3UXy?wBOWkj+Es;OqkCHrpKvI zsxy0A!?(-YR=E})(&1LIFA&4=;;%Ezu4!H(RXr=pOnP*%&&YRIVXR{F>x`;Nf#|=e zD}8zTY={Ua66({73=u4)%0qih@g$3cpW2fU9mXlM379-@%)tRBP!E}<`b^YKAYQ9j z_M%m;J@f6pQ_7U3pO=OSqI8dV;FAEKvkG?MV>AlH7BRpi7CBjCELC{LmlzmN56&5c z?hoEgg~G8vEtas*~(}q*qHy*x2b&ku986y0%wQQ#P+g zt*QERb78s7NK4^zsO_vYtK3QHX?7lyH^oUwn|j8Qfg&~6LOMMIpd&8m8-=$3V0#7+l5Mv1cy_#^Yyq}1g7nH| zR)osX6$4O}X%i4K{niD3uW^L}24F*=(-^aK2njS;rI2KQD++f)o3S|=;>s0N2sHY% zewtAH#A;4r+|EvYi(NtLHPFc>O{WXX`d4L0E?~=@%tQR?T<* zEdgHkvnkxWa8pxWT4@z%f%c+z$;RU(k2a=2Vqq*Cg^B(S!kv)$A_c}}spYfxRWnk{+h%3o5E zFRi;zYV%Lw*%Lo!IUZL*;Nk+oLizAG?7sO1eW6^3%( zq^9=8MSI}A+P?PU(3z!~s5xgzLwI^gWIL^E4Zq*Rajcgfz?K;BZIOxwi3Uj{6mp0v z=$uwn2jyA2Mf*zEfrDEz*pTL@^yta-4TVl4zj9ksRF3%=t_NR>r*z*d{!7k;pBd&h!Ldj#b6810B#M&zytddRT^Cw0*0 z-MM}UW;NP2GHr-npX~}f-Y%66aEUui8yN8`pgR1R{g1$bkU(?`^nM3ggr{Cj z4hkZa)IH1_!0DER61rrU=={%Ycq_yq*%(R{!3(1+hGh@(Rp{|<%?*R_e%R^_oI*n4 z9g}=S4XvpRaWldRv_GrX0{8ef!$#SAkw8v z;!llfHKct-YzcbEwjD4w-ZvodoE%f~gj|VBMhip4bcw#N2L#j!4}Qct?zw-GE|~U?a|nu}F*z{2pO8 zBt}alIe?lMZXe}2u-L<&N#skY8D+3VVoRsm`(+>5Mp_-%-~gPJjdk$kAlCYwm#p;a z*d5uEvpw81+SC7??FZlRW!Oio9&EcS+eh0;0|Pw*QVLOk8*4pBY>VkJsIl9rESpj~ z_IP)B2Y{=mAGLp4lZ_AhsS(y-S<=%kP{X++$^9clVJj4K8xTV0!t5&T1Dr8T=@$1h z0<0PEI@u1jPy31h2o(B+CgV&Hab)O5i(hr89`HCLSdLpV25y7j=N5O}t;_ZPt;;z# zP^?Yk#<4u0Gq5?mIj}i%x%=dFy(2x^31mhXkvpY!lX{bSmwM0oW&5T3#r7feG0+*f zJ<~&N->+!$D&;NvA&poNrXsDVOo1&KTp{<^ zHV(;l1rX%2_}VctiY}h4!+vAy6H1f4oIt71R@tlI3p*veLU#v8{|D>6KJ~9 z`v-fKa5(|Lj4;+QlfkGu*ianVa0jPad_^dsmDx7;4t@}DAsMPWEVlwm^h)7NiMc@% zRk|Ap*$;hrhCo{@vTdrB%v+RKo|gYy^rf_Rs);_E`)slQS6xaa5pt{8SZ6$z*jL{+ z9iMbT+W-L3T0BxU3X^SkXR;UW7wj{84;rukaoe*2aq zzfTeHa|x;Ur*;3c74E%R27_)s^Zt4cd76hjl`zwc6N9g0pESN^GAjvXp`ufj`v5ERryOu?>O*@9^OdzFJ4#9>U00t?Ys+>~YYFh=pLe<}rGD*Ic!Siw;s3YJI zUoS}1gXO3OmQ4Zn+<2v=;z;ttzeK*F-JfwMtpX7mTrk3*Z#T)U>EfT)##31xRQ+{<4+yJl2!bzMuVAbqj|*Wn=%$vg6Wo5!4c0RjA)Pj16-ceZ&Yu2t1#` zySvSgJzpEE+y~(UUp84JU-E`k81%0nrsL$7G{NBcMwbOO#p=k@ls>f2R;^h=JsAys zJn%77>{62BMKR0@CpAOz*gp75iulHKA>RQjbd6va+bG!K$RQU-fEeLuT@v*J<$ZGO z1ae2iBZM+YqblePhr;KsLE6!n4tbIp_{CbNDG#YeRglctqCmv_8A|_7WFc6kVqyld zYzeo+5`oB{3YtSL)(`nbwbR4<$?SJ~ia<~@W#OpX9N?WfQ=dZ&!LT9G+)swJZ{M@O z&mW~k4#6v{ z%UNB9?Y^AG!gZXGm6N6A7^Lshsb^>yz{|_Yz#xT}n~*kox~~%M8O_Vftw49WpNRYj z_qyCcL?9M1K0W3T=UT|XNcp35qy!p~4v*qzBm|O~37-s4AZ%#85bqZg6A5zSpcwN_ z8{x1MQZcqQHjYZlPENqbfg#1l5&i=i!+LO6A~t%KB}FPKEv}iyRKIN>&TDwkI_vUQVTC-Om_RC# z?Hz05Fkk)*I-GUIN2Kd_rn(I08K&oNMF|U)zmD$b!YMJ4_PabW29xZz&i_^8w2s@p zfWV=EcSXQ?xHpU&mEqv@Gm>5$5UyY&yGNEY2RoZ=?>uRakBW@LQ*zEh$CC+q@gv_z zC?h_fD4AKB-so#KDxW~cp)Mtud_LJ|jAE=BC)HOtY@M#2V(d*=#9dw37$n)>N-77t zJg@$PyAehULCo@LxY+IcstuLNDUq;ou9EZ!-Ks|Y_Te}ZjjPA|E1Ztb?`aAg*E`Q) z$%Z4G;RBW8jY&+=;SahblMIIw9-G&~7WNgx33%QqD;2_~rDB$uT z%AONua7fGz{^zc}S0S-kl_|srJRP&{2AtZ)nKX>t0yrsIC|OBqI2lMHU_g_lwBm@Et1Oxy90|6_!6GXwo?13KKc!&|$ z3lPVleE=s!L&e{~F>aP62Lv_)L3Yq0B~p9)JI3@2Sh_;YA;!bidxyqckukT4#^4x* zv-m-r$k35`l0#hw5Dir~jW9;p+7WJUfoS_tHg}=6rI?8;B$=L&o5vhu3hMw8fVRu? zfQ8WVY1<}36NzlX<2k{{q=A}QSD31-kAl$J9kBA+sj4W5IG^=}f57mvjlC-S&5RZ- zkQoWd$UMveR~(ppJW5h+70yQk`Z_5y5wJu2tnTqXk}J3fA}^M9?`2qvu+2C}(VJm} z@}(eII11rxpx9?&8u{#L)ubMIt)uJYh_G^?2DI;XCaIqo~sM_P6|%B(u1f6K=A(Q9e7fXg+pbzR`zLQU*>DCpa2m7ajN2GkYCpvvJqkT#O9$HW zAm9%(YZU+PR~$8M3>YhA(i}TLW6~TtF^MYak#UBg+F#h{IBx%Rl+GdJFAv_ zaY~!(3-fE{)v8MyMX^W@ElQ?U>0>M9`FTQ`W>%K<_M)K_S}C*GVuQxb#ft8~Z%@B0 zEMtosBAchCXqm;!@BbW4KUF`8YONVcDY-?KJC%2|Vbkg;8h&EUG!~`T_;F-uRaq=m(fA-4xvnK+Gk+l) zX;N&=>Y{E_l0rMS_!qya@mZ5|s)SamWYOH_?5eSK@UeupQseBzmTA3RCueOfle*bd zs&vt+iA9R^=K~lrG*mo^{`?4CAXFR5Mb7G3o7zGw>KipU73Sxn3C`=qq5mYbtu9(H zuFsxYOK1kv4?oG4PZ%~RxOIl)13RbxNny=I%}(-M=Tc)#FOMv@yEGSgp4HLn2)O^smc7o?{+~}&a zi%FU(>1Q0PD+Mh{Qzdm6aMspvl#-%6qa#m2lSVR?simo_p+cKHsjIEa+tXRkDUVb3 zr4Vho-ob$FqsSIA>S}5^_Y5axR=H%_utWUFFfx%kNoAl=BrRsnc5|Wm<2tT z)OhP1HaMHC<$d!)YCz2v&5h14?#^53McT5^uD0inGK9*4T+V`2X?dV)dGKwXCq(}4 zH5&dOsSnqvGmC2eur)TbzgHk(KYTv$zp;DZXLvqzzaYzozi=L|3G23^)F7L8G+?Kq za$|xnfr)`c$k&eY9z6sCSxo=q@gX_ztcA8owx!uChbJT8j9qfvyw2toy6YH3fPxa*U{qeor&W6xE0DxS6U4cCrNI}@r=7O(2n zVur+x_LDNRd)uFAHiewa9U{{Z%$0aSYuAIQ&(-Z9M#`d7n1|c z2Utl>F%H{zpy;gXXBt0ETxMac9a@^)&C3T-6=9tWe&rOmYRP*7N}i6V2eZeHO(-Y^ zi$5o>wt|h{rp**BAwXEV{;fxEKWc=p*}Q6NiEj9--LTZ>Se$%V9epXp{Qb^-RF2JL zj(f(PDNx9QW8GuHPh-kjVD;BCot8-pa{tujR<*f8v(_$9tCETfQ{4xAz2S}9G4ibb z?Ega+DvUdtMnF;A!z9Qw14mMqxT7w zKz9_CGHA75Bur#wEr@siL}5bmhi9NNi6{F7Nvh6c?HvuwwE&N`_mEU6#p9??DG|yW3s$bnIE{803i85`x=^5^=5! z%hpKrP6|YXyrqhmZ396PL&dz8B{ZZBM6tX$u`8e!Eq?<<2>Z{G5Yfic1RlrUz5q_t2us$<*TbNRhsOsLA_ z&!dzq;?rE>Y>zANQOW6DbF$a{d5XmqJJp79Z2@?5v81)D*pOw7H?BSsdvRZ%>L_qj6RuGO;+jPPt})zoB;Y6ow%@mkcgp|v~J&4FVymI`oB zcbUc`&naVWXmh;8JGiS^!nE9aQJE%^L)reu@k8{^^uqwBq5X!z00pcoDmu`oS4Kww z0qJf8v`1gBRL8k%MC$5ib!|=W-Ju}|i@ktF?V(R<=k`tr}`u)q9PJ-^T(#h5%2drfU=$`U_O8^k?TC75u#s=jA$A`{uBTS z{yiL5?KN~afiyGN8zo2{!O-qr{k)$6C`ce+w!N;G(R|S`XzYU&QgqG8FMse>S(jwd z^wuav?8$obkr7520fols21E!J4j6*$;9TkT8cHQ(7gj;C?9!F zft{OQze&>94jC?^P6W3j0}-tii;{kgZ6W#{-fs6tU7teWKhMv-^uSO;hFyc8eyGUr zrDeagvDA+q%|&ELg$3tSJOHFy z8J`0BO3b)yr@-?->lMU+M7psM13KZ4&NKITg$OZ=xSne$9QWErPs#(Z)oYcR8Z13K zJ35xF`qLjY2X1gP5Q$TwT1nC{RZBCB6il_ zPIiQCIdYwhj0=MF5gW0xw45H%nwwXoI4lZn0*yC|trEl0nL4SO^ffEr1(B70Kyu@F zQF`7-tIb%4mOU82$@6OwR-suUSeUsX8M0i5lMM* zlbqoiv_WsV^R>T;FwfuLj{{#o`|A}5Y%-hnE*$JHhY+_36pVo|uowBJ)^? z3^-z>9;v^gjD{%f&n{W-bN#N961funMtdEUb~+Ws%=#cKcBo!df}K6tN;70caacz} zW_T)$V9fM6?W}<$p;p#K5WxrWR!!(daq@)BeCT&H_b<`)HAGR{$|U3M^q+mQ*u7#@ zuYEQNEB@G4V7$NtDv8>U;2g}J9g~jf!uZ0r*|jS^RcyLX%QnE8$a~-sPPV%E==&3r zmT~46BM`7&@#P#d9Yq5_vQx0|@}WFx1~bV04PUTOe~RU$J#pV+Fn`CeD2n`r_D2R) z~YWb|6Zu->7TeDR&>D;wCK)JL!THOmOr#v zzkb3*fTsm#(U2fOKsKApHhKg!@+ZN0BXXGS+}K*VZgI)# zV_mu&WblA%{knqEK_mx+KC-@uu zQH=8**!gTAlO%?W1{#U|Ie{tSBz5_0mKvnQPDZbiiYvKCFl8uc%7OwI0q@}l?s&r* zrA;!dM)vCy16Ol&0sof~mYt}%&`exL6A#WP-pBqPtX}@(JMAii@jR)?FG3h+fE48n ze3L9LMo|+V?n}s9J9U27Z58q_nuJ@Kcen}h32ef45)cA5LS~b2ncjOlkC;t|QMqBi zbR-vpz4#&1bgAeInM^bjp3`JX^aNUXOu*SPN5| zWWFZDp`i|U->VpJEvEqsrs94_c#A%4tf(fvkf{hMVeQz7%I1e-w+HmjKX~mTt0sdD zqDL7nZHUK z(Mw3YWMpWl6*O@7R$1~#%xh{J-1+j+C8r&X(*I_-Y|!@{W8Yup;R0c7dTJ*grq~*u zMhs+ci;>f1JxbrV_4}-H>`o;e)=e)bqM7IB3)BJL3|cKdr=N{`9sLsXIKKN+b&OB} z73-!*Nc}>(8?F65-QkTK*d}o7P4`Kg%^_>!%9vBN9xP{P^CA3t7vA+DFAerv0{SaI z!q|Q5mF(gA-FWvP=2i(f256jJB+Gjxo@e;_BlX>yi;vAr%&_L*ilHr(I37Uw1G$#2 z$p*sZiCsa3A5{MBOjx47=sAbT&rgr*`P%N}l}IiOJ1bVGB#neI6RVXyCZSO-x#pSG zVl$b#)0m%&SmkxjBEdB~bwG?^z3zTM&Mx4Y5A`@A8-Bu>D++FD(qL z&~&i09^0~heRhr@=Z+QG4O6$&93-I9RV@xO=})al%rC53w5T=Y15tJ~JfD_FBDQp)kA;fr)PDCojtlDY;qgW)NV|A1LcNdVYZq z%hpH{pn*3J6IbsMx!zBe;*^J66d4&S81as1osMJvg}-In16sBv$V((7xD4vUmTII* z_9$I|4{j8*dXa?hm`N9RIVnHFD!0@Pr}sk{OQ25vxL`go_)0m z7fU%7Y!+zRb-{$T!$&JQ4$PybPEHcUqg(*m#<=qYdryv@hRl;cS(0VD9z~xFzEs?Q z7Bw->!cY^?^am{W{6WXShRz{zmO5!xFvB zgH$2MI;qq6mbi^$(@mphLVV&iKHj`jGq5QG5lsQu1rG;zTW#zfm zYyty!OOuIl)OA39FE7HVHuv3aO?i5GWNBjxLhdbkrRksAMPA4@j*v;d8dB1#7Hf&= z(a4`%Hz!=*Nl33;V#y;6=CH|~p4N=6s2JG_t|U{Fg5{2Q3SZIto9 zRYI}+rxNO4`Z?DB(9iwI=a_yZa6j!IH661SJ_|F)&)&aeb<7<9`-p*+RSTbu;m3@f z`9Iq~=Il&AXaC=C{kyo0_5ZkJWcd$)+<%JW{=5EP(z$=1=O2CEzeHR=@%>AL_aDN# z|8KVcXU6{>)4zNFN8R^7OURfRIavN51sMYy2P6BxN%k(6;hnXXe86wEyRKu2wLCpj zzQvo7wVIJ707o1zh)DgBP6E8m^DAPB*=_x4o{t zB5BdM#ha7BJeg{SyJ8ZRINI|xE4bBdX8WUmfu=0 z&#Gk#6=tw6Q-%4CAMfz~7JGs7Jx_6M6LUUc+u@yDZp4*X-o?8+U@Tv5`O};i&^%WY zdpe=UUwirRWN*^N@*?jWP3=5Fzqbk`s82pdw((Zl**iB#I5RD86M3@m&~Gexg>r&>>H2+-(h&Q4$BlM!^K=?8du4rie+6mgPCpby z-@@s-U7#=HZ44eG*F-G1e^R=1%XoJS(%OG=y6`F*8M%MiF1USvo~war`H2Kq_@C@% zo7pK%km2%_^1KT?k=WuKSL6~OmQ4*e>@!QrKLNK;o(QXzr97B0^Yz4P<`!{ipP*=M z{)%o6$1#peZI0*6%+|Brzt0*fq>-KvDrlCmc6(-6S~jUnrOkJ($p6!3h5tBruSPEk zUlxzg;3BYIl5+ooT)+pizM&A`<2xYd^RZL9F_WpchG+47TYA%=`wQUz-&Z2>h6$ua z1u^A|(Vf_lG_723bP-n1Ek!~!nH}GC&>D#|gD+5noweTk>+8<3% zSreJ5vo>wMNh3(%=N%B8!ybC1aF9c`5?;E%k? zozV-ej{x(Mg_C#hPFKr(rk62`;@gXfkG0k~sPeVXjWMh0Ln`b%g9t~;m#8z$MY0p# z?faM2&bX(Wzo?Dgu`Y`!N4#}udhVWHE~nL_`|(2Yg@`;z?)b!lR(~3s2Hrx7wf-vP z-b9Bl;9bqCn%h}rq)6k)(MXm&mFjNg78tJ!pCx-l(=^qA-lMUyB`2q(-Ojgz-t$#8 zr|Z^?;hjFBmxw(>v<&BxfPyB|0M^v>BAf44LeIHs%FW3Crlk0Lb^iF6GCB_=g@s(o zqpXVp8HXVrgV#8shg;hG_x7(xG~tqC$!Ina`Q%DKip3DqkhX;-uCLNG;+o@tb87Ou zx8QPD26HQE<3g#VO#5_U?|#ue)+HeC_v591THAWd_H*YoY%U@##{?On^0*yqHdNa| zJ`irh9#xlE=Utdu>v#P|pZ+SZ!nha|H##*9I&$&lp{u>fjl4V;3d#o^&IaPf(2^cl zv_2yXV}eKNQjYrwu9}GDI^WzHYy7#Y#H!(3V=c)=xz_AP;|&Z0pKFEsthT0_RSGFg z*E}Tyj9$&itjoYpWe%dEa}fdzHW#0c>dela?i(M;WhXsM;l?fo3z9&I4u&mUwt|Ml z@a}@I7qttmeXh&w+tMoFC-{B72EC{ri*Kn9p7Tw81!`W>aW}07BS_sVNRTS`3x(a| z4)^Ap7PVift0J!YuGBdJU9wAUbvvt_J(X-92Bv7*FvRa$b1l)^t5_<4gK>?V>Ivo@R)Gf>B7@`rm0;P18a{jbd7H*Ggg;^itz1y6404@@B4m#eQSMd zxqQw(d!Mt*d4Bt;JGh0 zO?4_b$@5DBuhKJ~brm1uayvgrwh7#lEZ4L-&A}BNYVyd<2w(B+4Nt&5^9Jg$%D3Mv zB#u~P^wgTJ;@n@~(ayQwL$y8g_?M?$Z%j<~&I+6A2T5KyHS)&tbBtNHL0P1~V{ zEa9OR@4oNar^sx|Cys5g*mUc~{Ch6l2?I)GZ^``Y$I8NK^pvrJ$9n?`d(3wgT+2si z(X1jYlOI-QfWJ^tPUSe9WQswxcaZEx$fvALRlE8+MnolT?9^VNHnoGIy%sZ#p->nGX6t+AyftURiY6 zb|LV75arMDH*|V=bkX>cLke@2_lh-q>92^4Da)<_xjQH3t^D@O%hP7Kil1#^FQ{4m zQ^;ex%F8{Q8M(q+gtL9v{Q?}mKRuxz!fupr>+9z%n~*TG*UDOoS1MmiN^=jJJNjw2 zjjy7jtwUAmS+AL0H*X!7PBsu&d6;)gK7TJJNj^~D=w5jSLn@^D}PW#mvcC$_b*EdhU}V}i`?rfmJs-x z+?^QbxmOpPdnChp77k6~zFqIO@AOfbHo;e;=IkS-+b*WZTc+EGqF?u&`z&E@p*CpY zxG#!$G|?U3V_WpKvrCSr#A@ZyVoUbk4_V{y{$*A0n0PA3Nq2tERBMGI{(O>e|L-0e zpVJ;K9NTl#88bPjH+yAKZ(a#ipUtUy^>=!T_O<(0Z#*kah_NVH_;a&$!t;Y_3c4fh zem!y&9=EekXxIKl+DnZ+;Qo>O_3m$>Z9;z?*nYr~I(TJ6(f!}BvFOJh$1X(d8hn)Z zMt~>wURHCD&S7;d-Qt10b;x1YS$Ri7$l==f(hz+SVHwJ97k$6`ch%E2lJ1+?aomq9 z6D<@Scdi(apDRfm*ymp!+!@}xkab|Xe2RCO=VEW@Gl_x=c46>M(jR0+Z>U$a1VQ|th_w2Z=Xum zqx6yVv6&D0;ZJYnhKjWc?Qa_$^|d7#2#zS^P2DUrdNWP`k``y}UG>J;cCT(#L}Ky8 z_A0~Im%PqzO5#4Orgu^;YQVB8lxVy%?ZNSxA^pLT{(VsHOO)9&r>E94qxVH8O15o| z`v2hHGfyrBOSkxqADic|@8wc`n!fkMmp6Ukwi%tpW_u++Je;5ZOS`E5@VEAsFwTB* z*~bI_QsNp|9{l2dT;#iTU7?9j*Tb7UiJJrJd`*4k-t6IjeT(f&1YX;@{L}VYtDCht z*>fkJ&YZRVS8>|NON-Hue`ypsW?Nvq5X0G&|%aJ@pj8pR%6Sng-^7qH0@t6ex1x(E?A5U9XlwLyX%0lSI=+a z;}4z2bIkp#lhe&PTAMGF-f0x2uRmZIFv zs(j8+YiI=7n$*L9Z))CoR;k9jgCwo5kZVON!FSZDC{3vtyuF2h?hFZI_t`C+UX0bJ?uS)&e z{8y^x6RZ2qeXXVjrG)i6E?xYh|6$y_S5Y7Q4F$vM{`sX(#~$gi_nnNY$R?anpSAv2 zviN~4v%r0Eh4!g`{%OJ&8NHghM+g6UeCug7<+L7FcX6e*nAkRQ?Ay++Tjq1&ULnQ@ z`>CS~J+Jq7RqDwGPgj^#3F??(zsZCI$Ic8sb^7>1@@aZ-ea=*e|BKcyAJFaTY&FE) z{fBv`)E=jv)7honRp}LYwDe`IphjhDbkE7p+Ru8E&!(N?3+vau)t=+HY0ec#5Pe_Fvl^dhv+od4oe6+A9x|7E7!b^LMr+4#ZmVxV2m9@I`5ynAi? z&cAHGWqnAePo8+1{im;0e7pmX56miTi;Gvt*4;y+9;16(_P10pUZlTWd9(52ueNo@zdVqC?QD5!>DNh7v&4tZ z>*=M8ds^~Y{44vigyWjin$w78&F3f&DHrH!Xq)6D>*g@k?R!6P+wLBHX7SPC_Klej zo6gos-5{L3UgH#TNMCO5f6_47K!f{Sj*Z{n1>vDAPVmV}^^$TSa2Cz36r0Q^q~f*U^Vn-rvzG!7kl!OYBV& zpK44aCP#{VUm`Ax;au&pFxkh1jZ` zy-C4;OB~hMn>AR2L1j>0yAtE9g=FVDoFzL0l80L7MC)~Jm(*}#j)NNg>R-It$*&n4 z!h)F=#uoxqI;cmoA12kQPv7>Mb{iQ!vLcc7O4~?fP?ES5Ad7ou>SXxD}Mi8%%TZ$$r$lFAAz$EoUK z%!li4AG(QZq?z}liPou#PT$aj1@B)iR){!DG?s|$iD3N6pi?PxPm;{b+u; zD{PTiU%kytk=wD0_2oQ+3L2sH-AiBGk_r-~)0Ki9@ZCk}UcFNfMG3NdX7lg%1i$Gb zHtLV^ONt)#x6Z_Pqfe&T_TE;{_uJw9zSObwB%1C|v@8*_u6OFwaztM@yXd)pxV$=5 zUZ&?B1~W=Q6Be$7V2Z&jXVipBr0iv)h=JAnW_-lvX4}7H9&Udj@vtl#@XlI8+#w-v*lmxv6KIN zF;?Vag}ld{sEPMtpZfIYnRZOMQspW9A9r0ovrX(f<6KjIaZxoW6#RDn!&8edz(vVl zek!L4Zl86+dNdzh4H$f6?(2l=uRHQNbJj0yU-`lE?tIhO*}IYQv0YEw>sr-_uIEJJ zTJ1Aw?&y;N=-{HP*@C*g#j^#|nK_jO9#t1VBoI+$=X#tUQS-kO?_|z~XzUp%a&KGq zy6gElyJOjBrLNOVQzlzg-T&jXks!m>24)(%Jw{$yy z>6!N6aco81VX~XLv@{#vzVxDs&o)!@Za4XoEDiQ|76obR+WJi1*QLqI}=>XJPS}vm=z4JIkq}J_`p2 zXwm~;rS)mQ=ogMnGu(c{84cqJ-$Ck2~2f1b{~mxq7i%wy%b68hy+X8oQX?LYNxNB@*)ZQ1qxubbYzA;k{I zr=(P^@Us|V-naD!<2t>1o*wr5vqjokY1XMi%&9tO=3hNW@5Xfso*c!KMadmwofnLV z)icKRA2W46=(Nrli59IWISM-28=rEYx|28Z$fu{r!SP9!1fs|GZ8x8OZgb8_$f5E8q?)@p(Hh_*zEh=>@MSw zi}gq8hovcwIo^+}mLGwX|0h`!Rn^|IL235&PkPIzv+g^qxPMNa(l6_)TI@VKF=cJ$ z*#Er%)z3EGJ>@i1?v@amIw^B%)PFAV+xIH#$8FVNWjaRH)+JxhmstAT4?8COD9obX z{fyq#pn!Ti{NcO){b5}e?sK#~$LAV@3WH=%9v-+D{uw_d=(M-x3;O7(164}J7L|+F zS`#P611Ib1vOKD$^T$5bRXpz3dv{6KYW+mS{0Qadi}1O{f#GPo=zizWoRV?=hl`Qw z-}~#^btaa{yCog73*UB^e#-Z(AZ`4X*@4An%}cbk7aqtfBEG@qW_^=<(uLDl=)h@= z6k;?-#x3@pqQ19zAonNU`12U)ua0)oxNsdw;#cq<6|^?{^}X*z9(ZT zaj^5cC2BA!>HA~tl*8kT>bw{4Y1I{J-HWY3Tlp=L;_dQMPD~uiN87&sol5HAOUW3& zfJ3)lA=U<+(vT?{EhIgY$R*8`y_HmIHwuV9Mb*omR4dV+8(-AfEn$$nXIW$0N;2bC zR?CURDZ3&)!FKP@w{d&g)aaXtJ1t*i9rvMsGY)oEp}HO!)L9DiD8^6S&dq!5In^_* zH`Cc>+)62P4NHF{{7$V>?x819XLo34aoqK+pz(sQ+(mK8S+6Hf%uU)@^gXdKLAMSB zHP3RF=zo>6^E}q-T1E{uEsTCL`oQiNJZx1S zqAVYVk&8{|OeZ^bI+X2gHPRNTJLt+mfVR{`Sk0-s<#P>$#LwVZ<4^D?<0}4#6$(% z!i_J1+%h@RPOQ*%*R#f#6;ycgNMErcZLS)xE{VV8xTqrNLE48EK8FhadN}gcWo;GF z5u&cm<{8%r?uHFETiNh9ZM)|MM{x2Y1OXeV6Rum+o4?pdymYZG?QBl8Ss#txV>ex= zYSlPy!!zN^QDjqn+C*EFXEJD3CmjRIH=n)lMe^V^Ad)&zES#W%TusKqf#(alWb3j9T}>0@QHZmCFjZY8%SWs5^X zL7;kZV_79{7nQv)IU{hle`8T4PX(2?FQu9$G}Q=xTw#v7UN=6DrYzH#BbGp>X=OEB z$FQ4GgLHjxcJ^Pjb<;#?+nt(TVm6gf)N2wnX(|Ivy%>&Zie60`AK1H_9x^u8Q`N## zm1w)_nz|V5gOr2eX|l8(5lt&JwTQ+NM$xeXha4Kmab?8;9<&J(lgIemEXnv zk}Gq2KWItqxO(;3vC}(M1;i7Q4UL3yaBnM*oj$L|EN+LjXS{c+ZV*XGb}<6Qx37OR zMr!4KSD!_S$4(on2;7cQX~1}!-cbEr+$2TbXnPLo>a%#SovKktADYZAC-4e;Byy7t zm*=kT{OjhwIypOgGFFNEGij(+Jy zdZJGJT|77Wq>(Xr{cCym8>&5Gxyhs>pf~ewhYj$3M|NE0CmuWfRcUpd!ufkvU;p?B zSPA~N)gtWtsyRXT^hW!|Lkc-5<}c#y;mW`cZo>|Axe}7WBJI%l@gXKb5&NTvyyEJ! z&3>y($Xsg2Iu(y1!eKb97jTp->3^Z}tEfTkk>}nozL`ZO4_k`%yh-If?`C{H=d#RK zH>usmFuu;Jh9s$LjniD$PWZw1iAt+zW|!P`j;wUN#EflY40NcRSNx4ph8emKE$^^Bs{ zzog5_yxsiOE}oN@9r2REYjCb7+|s@}|nIqQiSi6(fpr(F%%$kChv& zDIN|fK7sp-3EwK$Yf|L;66^!_`V&4^vdvKx`%=Pa@>7KGn00)VUv!g?(he9=RCH5! z({^SxC1FVNWx*{^FubkQO*N^vXsS+)1sI-b3QtW!1x;h1aS;Q49EL4C-i)RaL3qgE z&ZcsOCzR0C>l!NHsS-9K4bRpOS_cIi3DL#GZfApsFWfarY;!2HvL zc2W@AcbS-jjT4gn;cJ;tuUf?{|EouKJ5{URhgO|&&ky1)*9i5wy{uUUn=f||OSIP) zWh($Cd?z8z1SaIk2W5&8CaXiU$U*VHV(uREu>YJ4I6Ao13@5F1_@G!Z;`P-tJZS*j zJF?+BKBz?jtSm$HU2TO}hede^eSRY3`uhNzCymZ9L12gn9SW_XvIy)uZaY<*L|GFy z?|x+GxO9imV^_@tpat`>QwQLNcoHl%0wuUVnuDUkj%f22$9%vOk6hs_#FO)WEQ~j@ zu^@~3V{Ze?#!swb1nqxcbsR2Ar%c!?I+-0JVC^K9Or^ZmT$rQGeKriD`_yegV0xdc z|BQ?AS2qC&Q(gd5FF25VcJXJ}#*V|s;r6Qe2=Em}0d?Tvn z>hUVdRz=d|sKHmV6ojeMtLRNEn8x6@rZ+*Dx^5F8BQWJoCw;LIdFiTb)vyP`)V(my zGG*$I5-Y;E4V#bKe(uH|8;%J#w!*a6_+K=dNZlKYj{DUvdUOleyJ(d+b#}88aQn*% zv)$_ty6v7!cEs%sCQNm+g}EtBrd-7H@is?v%W@1UOPxM%?>y-u6?GWBk(az#Dj_HE zKx!jiiGXPP}Q_CUyJ7ejH6gR6tx z-VeV!#1x=;iits$GMYn1eOEHjT>eDAO6iax)4rH$G~X2YB4#7s&>`I`aVi376mi{H zGJRuKvjs+C`u;b*Wjmkcf5_2PO`052j#Bl)6A(E{W^62~ycpX`-&9AkW^fJ;*@wqX z)7c`LThCa2R$7X3rb|YU<(DoS(D{}X-z8Gcln6ZneELgBk0JP=K`TD@nOpE*Q;{Y& zRI8A^9r@CbL4UWMtC#y-{C!IM5zSR*aI*vV?gI`YL18h*wgyPZuGat{nfQEC#jbI* z3oqSYxaceh*<4{qp81PU9#DQjel1}3d!-!odtME4SU3Pi22&jDVfEpAck=49sulHB zdGh`zk^0xSzP2srCoVmTexhCFEmpJ;u&W}r=9?PQ*Xm%`I2W1dTavsx*h8dqlPQQq zeDUZ^|H>Ui?!$=+h^r&uj)mQ|gB>j)SptH_oKUr0Bd$!{gE?|ZfaVE#_a8`0goEy< z-`VU37f_tF09Aj}Hu&p)6xk8g1an%MsZ>B5zCCstZlPfD0KgL94wG+Qa|Tta(j(@V ztYNfrA1FU(P+ygpMIIoEM}8DRZBdeQsfxFaX5GjOZ@r|qS3Xh`R*VCM`Ykz$uBSzq9Kr0~j3{1z*sosG1Qi-~XiFE)}B zE{=t9-|*{W-FEmUo8T16n?1Uv>|K0(V?N_SR8M_ludDUsC2721FiEjnu-Y|b@-iDv zbe0r28GR8iJ4?Q&wUuKS;B^ODjF-%>C3AH6j8rrVEoIussl~s0_;hB11zN(CEMB?J z({*DDNt=n+SQcJ|tf^-~oc^fw5WwpM6R+Y3UVE8%l|=Bm7|1t8WUG|r8$P5P8%N(P zLp-J%gW&ZEMxu3SlWyE7UBIci03%*9#8VU7Mdux8w#P`yQXOmJ=IHD7n~yR!WDi+{ z1E})UHCrdr=h>VdH41`5CJG zvuJaxdPG+%IVbil>5*%x%7Um$iiVNo4o{}S4SCMLQ@SKU9_ZK^{KQYr@cluFptvI& z_HL*W1qK6)$Y2ar+$7ACPagrrx36LCeX&MFO9Zu@=MGIY`1xH~89BsiUAhO36-;dP zVP?@77U4XrSg*!1ds?stJg(z&QAIdK!bma){bPt4M*469Rq^T&DiAp)a27cTa!wt9 zb0&c?t&Lb5bV}XMdM%tlWrsRWJE}q6B>t_v3C~9qcH0jTbI&4u*!QSoskj3ZnTQboHE!>0JCA z+mGj%Z65BHB;fgGiHJ!3Rq9GKdNVK4RZINn&@ri)95i<-5v?V&d&n^J3cuE-hm-@E zscM08Elt{5lDCE&GUH~@>lK@iREpiAo^*&Uc(d>@wCI<5fv#b1hiD(PU@@7elD~rD z(HC_vP|}~=Unv+e?9>;{5hy!FK8)GAgRTtBQj9>_Fk1KWL%L8FSq&r7I;>uENfbbo zv=<{hO$n;GtVtIcART0EogTgze#wl^uTR>|5Xc@r7k+t~E?h^_WQYvj>v(KMj%YR$ zi$V0>0ETo9or7tT3h~!fQPr*QN;_3F%Jl$nGMTMD-U~_walk9eIgw0OTo8q%k_jvG(lMM##4(LG7DPO9XB^!kJv(BQK8jhq9_Y)<~2-w@uvdp=U|wP z?*raL!1%Tv)&j;aT(r3!RH(+8u!e_K!7>PyzRfnw?S%Od3$o_E(xjr%EUPOykt=?; zJ2l_cKl5bn92AIb*wty}`(iP0Tse`jctwc`-6N|=hLEE%8$WYl?h3RH=@RX>BJ+0u zVeQJynOnduZL^2tw>!+qz`3#SNW9t@@3s-_ddR+(6ANrl=44pA9@rCi0tFgQ6>J?> ztC)?UIm+0c$p7lcaH#k1udWNg_z~9Vm~WO?-FChrV?WuMi+dVsw|Gp_eh#2gsmCUy z1~2lMtIy_J{RhpF*m^Mf`8{V2^Xa@vPy}`<`Yp?8gxyMY1x}*`6@ED~;xzJxP9xzw z;sGpwn``K+OShR$BQkIrJ#poQPNNuY6{Qi9uGpn{Wdo5W;515dapi6Xf-@SYmENFg zBXGjiYhRrE{u{NmcKk1)2g}=rb1zD`FtH4$)7fyxhVL*=qqDI}ED;D!jb@{6u?!ar z-W4*_5)EhvjfRz$Y#&(G)YJKl7H?}9@c^EwdF0xz>@Aj`Bw?fpiiBg1 zu-FgOy7BvfqnN@@9SBYZSo_HJt5Q+q-NxfWkc|M7L#|pCUwurjGDPevLm(X!nec+< zmb}sUcHotPjeoc8dJ42-Xy!qbyn-9Ox0pKU5sn9R(XLDpVHR(|;sZr*ARS>XhFO~^ z3MCs--0ab=oG6Mk-VqLJ&wN$#79)bWDCfi$krUy&n!0p&SL~w~Kr32DQSnn_`^aDQ znQ#;WEIki~v3-ZuLV>2P%bf z0F>af5S8Je7Hl5OT5aUnyr2Rfu&!WQP+froXTTyes43P4p>8>q6MqM7m%D+5*8RH(|LL|!GnS5{hJ zsAl}($+iLI)#B8~TLB-nr|+rUy~U2ONoeZ+6DA|^7?7}J5Ev3ng8jW&D}C=1{C z6r74iW4c%(g2v$PEi5z&Lo~)CXdLL~sYdZlCe#LQi|tii3@hWCJ>nx<77@ITmvBf+ zENt|k6($T%~$4b9#{wiUbl1kKw*yimDWbm+I5 zs3bI}BGJ843ejT=&^-Tx-ATL%^w^<8x>s0wZ0xGt**ava8#hYd;M9Bq=&@AGn%EE; zJ5!GxcC3j*^jJ@Z7}R4gw3Oo;!KqcPnxFb*-0<*8{F%_uSv@v4&1c8 zOzjZwA7r9)kA($qF($Xit~?y8qn4C#tfZ~TXo&yvygKB1u=vgNM~3%GPwnB)-FfPc zki>(S$@JOw&UA6R146d8H}g~%_UvDf9*tO-hzOflaOj#3Z&}PFU+7nC?Y$|H7n|?u zjZMsp^+I`LStSX%YQFmz@DE<*qWDMCQ9T-2!jn zbgsHBk%yFARy)G9QTsl0cEuU0m>V+$h=wY{ChUU58>+05xiS6SYgi{_QDdkwZ!9E8 zJfH|lg2Yn?0J&ZT>+~N!tm0g_B_S8|4`|8t+>IN{_iq`irz(@L_L`XmT`!;s=?a?>+UVT6f~|xnbg5UDM=857 z`c9X$>A%%%Rw6lwsc1SSUZAORV?}3B`un0b;kJo1HDR=!F6ZLqMF6aOydQ%C{3|OFvInn@8u%gu7Q*}7oQr@X~H6&r<_o(#Vy+~%K(4BCXVJB zzVV4cj=RSoBRknf`tX;GS-hc^No}SvIV_ zmMlQN!xaSi6=?Cg=xItALqFn@EamLdW&@I0;HKhcONMxHqZwKcZU_9DL!*pc{!L0W zpT1=0;SdH-L{j~b$dXKCgDidbl6VD0qc1fqkYlRZu~IUUP|Mg0W%t%dvVk&JHyd`- zq%%WPriqH_u00U8UN7n>z59j-dXaBAY4?&Q!o-Fu#1nv*4bh>GGyYtUFiu{^8vj5h z$@{64D3^Hr^bZ!xyZ`q(SmFlE<#Zp5gFZuctH*@mSQfEB71ow2>f3G4dW( zJ(s4`s+$`t2ok?4L3F)<%mJ3#AjOh@n5P*$_ zD#OSWR*5(OC-U4X@l?QGTsqG1C>h(Gt+fVgc7WOEg8GXah$kf5Na5#?f&afM`56P! zydNQ@DlC!$TfkEB!?;Cz5^WcPN$!zzhrnZbH((VB z-a}SM!Cm4O>*h(YUe3q@KlfykA+UN+=RGQL+<7Tps4urQAnCzMnDT`v+4%-rWk^rG zBaIDD9chcK2~2&daaU$a&U#oIvnMO|AyphBmlfklC1TlHi8HkDnsw(WF&O@lMh6V% z20T!W$XdvM=O~&_f zi0g?O$!Vfhx5zZ1vzvXId=w|Gf9W&*(Bvh)VL~_OLAQ`qRMI#nFYD1&!llAo1HvV; zn;+s!DkDNgz6tO)zI{;3fPUDlGyJ{0)_v$!&pqTAIcfaxD$F86qvg zw^}0vMxp7N6sWJ*5X0bwzF?t2k~`yO`(dWwHvbeKv~JCNUz1U3f8 zazf;xhC4^b59wZsq`K+?M2g9fBWcdM!*izy?ST-5=(e_@5Bf7FnM`eN+#%40a5_LK zWcW7x0RS6_S(Sb!0SFN!wksevH>$DffKU=7?gUZ{wA`q+e)VPGCVY!BB;QW}!fMj) z6-vqYlPO}>gf1r{y}->VL?%H=EGPKf7K)#%$4@WZ(#X3Hw}aGNAW;QwC(4CCcM-U4 zD0el%ODBCfHSrE>v0Wk(|Hm+_#NJ-sTpV^W}unx970!zauz%F$K zh(8`r&xb(XojuwzwG|Qk%nhfN^)=2jml|2|hYuT{ie%pHfSXe8|(D4Wr~cxYTUyu@!6 zAyF`!pfbz-(uET^WpM|bQmiSKHXQlql>nd3z+v~;=zOI)V&5+l>!bjcF%?}(z;oQ|O){{&R(QX=gGNzWU5H7HYlATQY zPO}BLY+R4+SK_D&)1MySCIvGY>f+y*ECE1TGsPr7L!vH~fEGd&_ub}U~ppj!3C_R>ZB zE+0gTPLcE~MI)gu{p8Yj>6Hcpy3&%Y3@IA3c_r)JKF_ovbKO`nU3N*-jG<$0ymFEt z1h@dO>6L)c%#0d|+DZW;>!Ta0tVtP`bV2|*49*?`4j@8c0Y-r0>VO@zS8cU8rL(ogCgQ2kgsv4T5jk{7GseiC30X+qhB zg)M3DEkG*3n+uwHRFf&Q=>;fBT-F2%r}LgW04Gp51CoE{Bot$u9`~_^OflxNssJiO zQ4P4it@1s&$W}8uys;%h@%P&lsDS=NQeeL%=IBAcV?eb=ns{T|Vaq*tSb91j&pn|w zi-uY-GVH`#C*eSmxd5UGaO?l-y_pkO!v{>=8bT}yuHl-vMpSciV=7DR!@9LkAY@U- z!XzM>cgOQaUq2naEBz9*ja&!`y1p`7UtbXRVa0?mgsHK%HZnEVrY9=9M;gx2b#-Nx z8cwh@Rwx@^D)WgnT)Lp*rvEA}2578}h{j5U8tXNnv7!`e1YQnxU~VqXoG4Bw`rvfa z30r{H+LUOsX)nsMhBu&L0ZyKq#4?NRyGo`a^o#Zugs8nu_7Ua<`~X5Namv*c1V>SCNnsT8*Wx^v8GBa2^kQ| zDtWuYPCvcFxc!L$c-cvMndGS^Z33fD${%z=(nUDGVdofE(!tf@e;=h zQPARD`vG+m6N`tQ1kO8m^78V%yzksuV3Iu3)*IJ3K5e?Od3VuqpLcgkU&&0d2isHEQq5i0$6&_glsrxl+zGa!^m%^p9>KjTl&)_NqGY z=lV7_>c$uoSBq|;;82&$ZEzMkp#AdK>khC7Fjj@huV=Im*VN=F*|6 zYp{;hdm((hkbwT|NZ?%jj)rR2L12n^cMw@F|p*)PvL3>=wrVohyrhIyHPBHx+$xpnj`OaW7H z-U5>kE;VK@LTRQaeMNeI_F|^x!=o>sTVl3kB|aP;!?0&1cn-hE@FF__AC23(mCU8Q z+bLdjn26<4YV5-(j5NMfc5zC^^39-_5mTqB^;u)%!ZsU|+*J0Z?!xU%Z+d6LUea7Z z^R8ik>88DJ+RWf(hv}LjD_p~i7_ms=LqPK#jNm|W8BSv$in%2~BX$C{X2c@uGV}{q zGmY52(1=CU~TxuQ!T#1CYDn<890^eQeM)e!$k)Pb9A<)t+xQqp|yG1pi#I|z`& zOb~drQLPauwSOZIM@gKBh6oi!jl3IU&Z{MDy-<@sULeY+1tggOX2*b*3RG99to|Ee znPmTwu;giiTa%{Vc2j@$fL+i$Th2wSG#Zm=$c4k3z;xquMu-;*D$k(F-w5^VlI9_3 ziv}KNNxT1vtUyT!L?ASPejRI7gFD}A*7yiwk- zW)XXzNZPHuL(JU{CSe)BfV3<78PmUL`-CoMYy;NomIHb#CJ|qr90gPHW=-tB5Q)4L z1*f$-#Fk~v9gZ*(ERU8RgD2^A3yO8w_I>c2=%8CDO>%ODJ-V@RIRLi;FBj|AE~#~E zm*8$YXpo_c7Of2Z#VJ-4rC77^95olqQPRW`<3AivM{q!lQ-Bx;j;9ppcw#RhO+d%f zm!I&;{}Wyt*YFx!LFoq~{C~h}Ibm{INa=i;@Ica>tB-H=9LnA|jn73ieCxrX!whBp zC?qT5DE;`qIi6aXj;B?;Iye8#@5D=Z%MhqbE*UCdD%2ZOo6WU0{Vb*hOi53FDAbuT zK%rJ_yZ{yI$y(1~Psb}s^urE`0aVp+jzQua?c&lp>mjDsiKfO%AU30)bC`%x4$DMr z+>F2-{v;*Zi6wS`Ry0>c(%^6!K&x`@`-1Ub`civohyzLySh+*IOTu%|)U|!O9J?53 zupDFCuzWd^vR?PoaLbmj@-mI}bmirwl_3?~sh93JTcPa0+#Anb?qh-d2HdYM%35NZ zc#SScix0W@YT7LE%tl6=54i|wtzBCDxcuoZa+w#yY>QpbOA3xA4!W#pGGF#TUzW%J z+?LrfKkvVFjC8>s{g2`NZ498};Gmyh_EX2`L6_UCxq(X_t?m^bFwOiQm%_tfyx?wL z1iU-0iCy4fH;l&jyL4!FGkDUYuFuH?q{2-J*we>P|CWIeRtd4L$Nb=im3Z82;TD!@ zm9vcVHBt&qb)eP4?vR|JePN$NmwN&0mebwIB-8@X;)Y1s1a`rrEn zB?Vsr;N3HXu4&K?IQg_#%MO+jd;ul+Il)2*v1Y%zCh1YpenT$qaGtS|(dt8BP5#%* zeHsTFc11sTQPt9;r*O5T`a+NWcqI90SxKhMsF6keTUx+@DM_9cquvH^SxSeOg!;D{ zfd`gYmp|Pl1sqt)P!=75a-F?~n+x?ruG6;ENS#3O?@J`&Kmh5Q%@!Xw@fv}WrUJSI zl5*jcB#hf`)%3z z!mj>(e=unN$l(9s{{miVx|&1MM4+C3Lo0fRe^UUx{;Y}9?2~|x9!p#5NddI5CEki5 zGX~poygl7>X;Z~;@Dei@s~XKWMRZ2XPLT&`A+KJ1Gt<2Uc8C}b`kNab7cPkXYe#pfRu<*JtrG4a>jYeE*E$A{ulh(fKLp^u#Rn$u-^iJ6RXo{uzq`jyx}ipPpno(ep3~Zu*YKY3FjJ2hZ?m*)64r zMCK6TWe)>fUiS>0&4JcFhyz@I20sz-rkqx0dI`{>q>M=H-E_o7kSRS1C=6?a_pg`+ z9qiKrUWQ_(GtP|6a>kuwIpZ+vN1D0lsx@NgSk5?%Hv6k2esDZ!-zDbZ1I#9;gd=z{ zkk`yi^oX{mT4MB^qTQi0&IiB2s`+>5hznvl;;K=M02X)1HotLj5~-p7u zna()QaDnV5{ccfkU;#Mei0eV9in3KIxpnxD@b%ebQM6cHveIw?V@q9X$?#^W)SSQv zy`RI1>n`V@H?T6KcV@;1P)=t?S)e6M$xpS|JSqD!Q_cmdv=Ax~zj)>5LFgAZAi6Vn zJxcKJ=azxy@NYXq8ACiG;m6LPMC0oN@v1HuuNoZwN4yI0j8_M0PBqqo2$gOGzC~T!R;OJ zeqH@3CIfDIc?0iP-rm38L`r!TkeoC%3ld~`kk`of6G$uz`jd;HcNi6V;@Ij?)&|B3 z5hHsDj8_3~BIHWj-~X*;xYThLCxa@$$&)K>=evf>Ek6=s>&d@y^5@!1T=oAK?|Owz z8*_8358mKipL_M%zl;

    Fk%-x$!~uk%(Zg1rYr8%1B<##>C5KU|km0or ztkwkSA%O{*|4gJz!`XJKsvAqL`omvAU7zJ7=V^PrHhmDoV>PiLd_cVFWy7a5wFZDY zF;zzQDBs4MurH(54WDjST|<_A?URV?{#)!2d=jsD?RRP{>K7{r~N715PO-)!7AzdbYrAYZ+gcohciERHp$i z_fe{tR1!5%*R(02QmnoaN&GsHnL0?}hG!f!BI3>kDz2q|r4An4RAKvl{jH&s4zYXD zo0j7<0)>~a{FHK%>~E$?jY#Gl`E>WYcx0n9G`r#H!2~8uEBW|_WHCa>8Kp=HfAWiO zVon4qW`h|;K{v&dwl9k?gITXc*;SKlP1~~^-Sv6!6ZHaH0A&!vm2;_9?}7QbfyQcv z(g0zRu}+qNl4#T&x57H37mt&s27VYpQshGI{Hl4M)`hfu9Po;N>$ z^c}{t)*{9GO_8853uHg)t~a)80@K*y;c%d)mb~JhfA8q$k_DkuMep|?hVIXGGY%STHpvsrdOG`%V5tdQ+$3 zSrxhlp1T0^ST5QNwI$=9H~-ChkO;g7Z3OXvOY(A91TwsyHH{kM<~>-%vIZtKd=XMo zn3R8$!!iH%uzjLt)qG@xS6a)vPqk-IO-u(w4|Wn5?}#5_bs#xtMPU=L5B&EPX`>7$ zYyJwp03fe1InVvHs5~0}Ulme%7?Dn2R@id}gyZ;*);5kOF*ejiDGk3A6GTC~l|-@; z6QvGKFy!hk)0Kazi=nHmmm?WsrLMc2G>*+z-jvr|ij^27ttMgGDuZLYAELjn$o29&c7mkZFlikq?n^^k+SnpF0_ z_zaq7Uz9ys(#X2cnP$Dj6G?Uy^P}Ib9*2Cag9HIX_iVrO0isY0`ra#y>t%kY8*qobg(S?&! zN+>=6jKh**9M0rQh6stEsqzbnyb&uTsBJiBXOeted9NJd19pRj+aBYT0F(qcN2ILh z(U1%>FR2Hyn+A!QSRQaj_f^tUWzCVsD5gg|3Y;OTi`&I?tFa?)wU79Hb!i`Q0#*%t zxa|?~H}PAnh-vg4g|Q%IBq9-n#3G`;;WR)xG()_z;`^Mf-z6Trf<_amd!xOJns4f7 z+^+H_;PLKt6ZK7##_b4hYU!4)cGH}UW*(sLmR+Qb0z?uTwbpS^wn?Rez%wsM1lg6^ za8(O&R~;~Iz-#f6si!mJuhS$mQxR9TEn`1O{?L;3q=sm5fV1=t$y`#gi$(C@!p3^C zY~U_dK4w~_g!QmlrA*h5okLu2Ae(=)Nu_wjkQG262>=mzyr{u_m*3u%pB+yF@th&vYw zj0`9+T%cz;N;{Lzz8M620oSphGKM4(1+6hANHBsN`63e#1*a&$*Pz5AKiCu+cz|13 zM16rTyZCV_$TC6P!D|E&&fibyLm7=EXCcBePHkY_PZ~OsfTh67!?LLPz|56^(Oo11 zCD#}Ul$#r`s&#FzkqEiqD`o)r72I`r1Q7xfFQNRFn974-@n`zd!D@^FUpgSi9T9>6 z37Dpz0!fn4H;v2+ra7{5ufqS1NH|BN4%)JmT}U7t>>n^5E}uHJkYn!p^_fd1T9x&FPG6o3P$bNR}%Yi|{oh8GI8c50*F38FEKS1Rft#1@v z(WKT^Q^4p7n?#k_>#itK_lj{j6T6h<>QeD4ymgn+^!>?k0dBt~r*SHC!UOXgkOTAi zD!cNUN*Nk?jUmd?$T?g!SC3b*wlv3AiBDCk2{at@)KCO+YDlDcgAF$#7?Xftj3MGT zuKtomxa><;kijMEa-{G|2M)lI55N&-a784SK{#f+=@mu?;n#PP<+`^T5Wx8adshqA zFIpf6hwLXWea5Q=H=B0zz?_g6QGVS$k!{61+7~FsOID)q zgsRk!dq>fJi9w%HYuHB@yHZ(G!pt-E6BRT2Iif+=`sZJrT&SNSdZ|I9xt~ z7FQ%^(~deM6abk>Qm+*08usYBB#9PWjzUf>WTQEj6M^kmDLpr2+80wz=j%%X&S#k> zHq6!>)C}(M*Z2;qSP=jtLo};d5W^3TFGPFNrQq>}P4M_asx@6=IYpBuH$ZxXT4@Yh z6^e)9Z(eZfH<1rZ#7qOBn1t{M`1nBKN*r?rz{!h*PyzY0ki~%i6$*=P?zrm4<@b*_ zssWu<@D)>sd<}^*#7ZO7XPGxi%?JEo;*(GS5S&|qG-bg80T80rvbJ`nLCFdO0j#ia z^i>I@elh(Yh+TD z8-@<4ks&5J*nk0wY7D2!dJ&=_Rt(WmpQ`{J^%PS_6={P9g~U}59hGZMM-@bL)C=%T z{05t?EGVM)#R}s96cHWO7DDktVZ5x1d*PKbT;kn`CU487tp-ir;DqfE*+$TSXolnL zBI~mK)zn|fF-HOJoyei}$S=<O4%t@_{4xu}5Om!QxF105-I(N(lP}hOHpGHBo&G&EB~h8L69Q`9;c0rh`?&9 zo=`V7q}~W8g#; zB%a^J?DpJ&KBiEVm&=CJ2C2wQ4VZaYAn!)XeR)I~zOwTN%+~B)2s+A{264UbsaXm7 z^{r{e__rhR;59#ka$#=XIZ%HACaQtW<+gz&j~m!3z&^k}xHym_7Nn(YvOkF|()0@LBh!QYP%tsChVP!0+y;$}(H=S3>;8?9kQz39v zHioF|_8?4R*=F1nU!`2Yts5aM0XL4D`M%_7SAHNEeOJy|C80oSr919GM65gg;=VX= zOeo?K$Z)nI?XeLyXimdPSdk?dDxJ-C49oM8I-=! zet7A%L$y(kc*$V0Ot&CNU7n1-NIy53_B~L7x8brD^B@5s5Za4@J5!rVfYF$O3f#AP zbbw_qJ_)RvnXl;xfYNa~P(!gBu+ix+I7MT_T6kx|es;g|bL$7?B+NH)kF?HzZ*= zm8ilsiCr|EfrbpRO_TeVI08^YxDcA+a?%`4U%w%av00XS{g)JP`t8+&J4osw*wY#8 zk5&^AVbUKsCYR)d|~dNX687%blEte)(ye9sma6<6dcZ;37PscF{Cgd%j}tNn{iA_5A? z6c9y)A_(t12tr${)QV6`Rjeq4sE8sYQWb?V4>GsKYFbghO2t|yC|0RbB!ncjQv47H zR0NXLc}fb^s0jb(-1p6N_7A_V_5arTR?2bjd*A1tv+r~EKIc5Q=FrN^3x3}R2l>Z7 z&omz34;J2B8$-43eZLaVoI1fhhRL-@j&u&m{cD;d$Zox7xc`>jI$7nmPX5I0@pO&B zzq-}_t8PDK{kf;$DZV#qj;oDk4?zSk#%u!lgMx#9SoNJ1_rEyw$g9J?czfqxdSza3 zD|$Z@yu|bhDM-Eg&wm$P|HGUSx6FI>$l7Pytn8})b*bt$cZRF3ozZ+$g{#|a8{H*G zb(=a)bak6;4LEW0@<&2(cX+mmleT%G7NJscy5o_4K@+A1{5NvU8?xbLV;ap|)ke zPn|HV;-1v8&zD}(c3;2Cp5I#Fj_r+JJ-@Qx*b&=4sA)5zG}xVdTP9=Fe#aTB=S?j* zWk6~3jVE?4|A{++b7sxynFF`C#SI6q?6fETa@84;qT#(({r%_B#lw5;`lqX3Az{-UiYUYXUD90zqn^{@B=z*SDyOa(5fPr z0Jvif{Tmy*e`V@F!|of=ci5<+_rL64l5^%q2L|nY|E`sXA3E}S=@t8WET6Qd>%g13 z@0d34vu~!94!Y)L!5O_^V5M8)Sn}k!6P7K0vizeiFZLPw)vINtslwwLFLSgCi1CFC zF&-r(CT^nDhJnXK<=i1+}QD+@|(xZ z8CsDI$jYY?=dXcNexuQt6Y`Um&&+MI3uBf_o)D!OYt5X4WQ%M=^ zdd2bJeYMM6js9DQlBaZVE!gV!D{l$$)&^H;lA}?xa?eh8lx=>ZVaUYdT4@>Ic%|i) zr!)b~8TF4bpY^>uIF;7-+VQ{pp*w5v>~FKDY#RSbtR0cvvsL$J$^GtFj$R6r-8#mH zcQtls{4dYF_gBFzbM1pKjLV+m3EUsK{zt!69n0<6^xS)2BL=A!HM=jMYO2mkKT_S?(#4wff7ZJk@#v-P-e|0N67A9wfGUrV@j z+{DKgoxE=F-6c1>g9zt8=A!kok{d?1ywRQ%7D)E??L4^Rm|qPTjn)X;quC>np&%6I_-p@&U(pT@87!1t$5s1bMpPgPgW1UddrlmD>tS7u=A2H zJI!2lc~#`<;+|D!&0LwRI_L16;?Qj^r?s3_^j1yu@WM;}u(xD-O`pSap0E7Hp%-uL za_tBEYPx8d@=)goDu&if&B zExl#`Y`y2Qhc0y+*EHFE@6h}A{kZrK19V1c)VqHeuvqEYKMoEoxJa*cM^XCI{i?-3 z?X|jF@8CpFb_y7rtohk*UO98dh1bme?Z>@!n$A^d=V_d8Y4PLYr_L(BDB#ZE&%uQL zxWZF>_}%R{2TP|Nfqdj8<4Gpp~UtV!cPM{!uYc2?i3 zhx|la`mTr+p3vLv>~nuUIIs3!sc!p!owInQqc*vd>D52kzvHanK zMVEGIT3NnS>ma$4mdz^&_jL;(Cw9mkKYZ@QP}kbs0o(7K81A8ZYfby>inKdmL*8ZE z7k(LP`R=wcg*gYtUEP27m+OxU=CS>hR4xn(t(odhkVqzq+Ej1}ynLXW zy?(Q9=;pavyGb3hZ_Dciy|g2srgz(-XR2=MzC_8STl6@-Zb!hD+wvnLww9!t*NZg0 zk!tx~#d9^M>~MSZvyA!B#%8aVN5-t^mw)CHTVLJS;`NGQHNRgTIp>~Jw)|&flcA-{ zH?~SvcG|P#t*Q=(IyTx1@I__#&dZN~Uro%@q%~9E$*vHnnCloxer_iFiYsci$a(x%*|~FeM}M%s z9<0}YF!tE{^xxbng#Ij^=>E)7SgJ&!h=6+clnKX+?D;U!BS>$ zPTf_!)#xhTda5t%S7O`0^8JnX6sc(2eZ+*O*=cVujb8OqaIkn-@!R=781wO8cK%D7 z0)O?*)X=McoBCDN`l4r+Ef2NWJbU2y;mfoMplxZ%w89fQjQhURA8pmU4!REXdb0_wnckTMf z-DSs*KB-^srH{>xukWTh&hBGwe4}v9f-7s|uxp(J(_$BgrI+n)`_{NCKVJOF`lfD) zqpMruSg0kAw%e}Woio<0{C}-2cFnb5lYh+SgJ@d2g!OzOCh{j*H4u-RGQM z&~Zg+IMr!iQFW@x!~1`*dj2YHsr$>zYo;Ft3Gb#qB6zdmP{O4BD_;^cy-s8 z|Jd%yiZ5!KiVLgzY|4t0iUQ}Vw6nK(Z1}_7`>Kbyjr(J>`*dbi4y|eSK*jSlJ%=24 z@GqLQs$3IHTBrWbEhJ9f)PGhGMs8i~ybD&kf=1!m5iWJq%3Om#TGDs_a_eH5-7b%e za{qdyt}*-H>i$$cl-WYyf;d>baCzwDwu_$2EJ^g;>Z-~py!lhg(AS4z+5_v(nCr7>px%cZh1(*kY#Cs!5R)>pk-JAbP&X@(^s^-g{xNr)5pG19nBQ0pRp$-B*@(o_6fWic1GC2$nds4mx??QYO`p~-eVSLx>={ySN+{h|8k}-{KKWUkNxv)uJiRz zR}Bd^cQ%`vdbQ1u|KVQFI-Guu^i;GEe@%X>rp;?p4&LSJ8bM`LG6|#p;ije8FbW#8 z|Ly31vhpdfgmOo=a8X$9^3gA*KJ{{Nrp_^5aq9OM_v*0yjED*~F5^1tpO2J%sfZJ- z`T2h;9K)FS?x=)UMHlzq^lR&W%1zr_IhwAEMHiB9W-DFFbluj82Fny{d)LkZ7LN~( z%QvFM$FrmzFkr3aJiYt!%a*UWetKd3?$zP*GV@dI%o!ivzU+!n zzeZ&px889~P}Vtr-FNOTt+@5I_fI~1jw|exM0TIx)*ok|oL%a8H20Rr=4eY!gB8d1 zCnZXI@9uczxTzn{JA3`fPnRZkcX}&#`p2_-tnYAZ>44oQKbL#k$8*kI-}&>>d)D{- zyyT?aJp)Cg?z5X#-!h}Ab^y%iuxS64H3=sn+PzQt52ufR>TfHz?o73iSYh{-9jbb) zzH?VWB+%uaP;tWQMH})H+80+dVDq8_)!JX)x%}8McV3hqo?Q0O#uM(TJl^dAhzI-P zv@YY$pR9D}PyV^Fxdf07H5*!T-^Ql5RSp+z96bAh^7n$3j=^(> zIgyotRc8z-&6INvwSRu=)S9@q0l59?ovYhlUD~gv$JK6OWz)i;6(h9`pv|Vm&r~H2 zFMED}k02D?-n*}BT3*oO>&|ZZzKpN%2ux%trR6o~s16+j`w_#|+!+8zWoiYRbGB>+?t;;7rtml>}ShKUnzaUwHC{+^*Z_q{E%xEgf9gxxp!t(H-m8JN`8KwY@$5_TW2C$#==eyoR|JcWjiPXslEtD&Qf;#8_=>_Ws;(Nm;($9Jn%$%t9#Hh(Md2rk z!V0$~E-0u@J7#F{OVy{IvbA_xvy~O$!HZvNn%MZsus*wA401)9qlT8e5(HvT7l^M* z;LNRiY+CS)J4~h`>!w9g+^%W4x97{>8MtQlH?4c_KX1nTmDN)Ywbbgzq0UE&C(NAJ zt?k3lHgPMDT0yImEgEuy2JwR*UQ!nn!EUhNUs;_kg~+eZe)atevs}=Qf7;4>r zN1IP8cHVb-b{nhSJKgG%&{_tor@Y3XkN&T^?G!f@jy%QXyer(gW%j8De_W|HyL|ao zu$Y! zs45Ay=v~w~+`Dw^?k+7W#}9V<<4)85xSIC9thSR0y>9!RzYXPFSM*q6(+zo7Y+oGg zQ{9x=r+Vjtb)i60DOmV_XkF~?qCbsp`(j@6?E1!sQc%im(|X7qpWHb9qF|4BX037j z>4An>a8T>5+v@q40ovGu? zD!aJz8@)zksx^ee`#-BZ!1Vsnn$ zHT0j2O_Jpo44$KHIFe9sTNU2YYU8%6Hs*Y>|LQ%GP&j7h!UrmqB`&Hy&TUNXKC=A6 zL&v_ff8wV36CVHM{Zqd_bLN8gs%~^2fRc{cz=|)NApULBPJXho-Ly8dwmncaVbh#s zb*H_hW2-xFns;0EDTfzm)7`Y5YgT{L;YexAniG4L=N)R7;lds-f9IA5{+fA<2U|=| z^Oh?*?s_ZB6Lo)D9?Jhy&d04JvbXB(?96V`gR46%zH-g1^BYe6-2C-nXV9=wntTl= ziSgm1M*Zcko3%UOMD3o=Qm-~j*X~38AHCE^uh=8YYQ}F*km(Z1O)o zs?s5o*DG5rJLlHgnd!qdt@ajwJ14H_Q+3A7IS*IG?l0O<Rty>nWAm*|S&2sd5f4ndRc}$QJEgEp2)0-`~Ifu#3eRbB~-b@#i1T%4CVpT%Xxt zr9YWsM8iKXOop1_#Jum{8yt8FR8w54wE7_}?%hzhVQ})=ynNi(u4VVS zglk80(D=Cjs;To+e!Hj5-Y<9Vy>wIE`y)Pl=cXpru1 zU$n}t&$dz7=0_`+UGTKh#*JUiD4qV{Ywmv?uX*Y2W_dqbv|!Bg1&!h{D4?2nbOqFC z?scw!n$w_w8txesP}kfxF*jSWX+OICMVSife^aqpc=7tP>$kvtvcAWTvbMWVl5F6| zbFZpCa{aR(UH{fUv`|{vyV(z#O>Ew3>D_mAJmdUsA9Q>uSTohq>7d_qZ2p@cH~HQf z2S-Otcpq<95Av z$?SS9(2C?G!N#8Mi-L_k7pA1g{nOP8cNMhQv9)uPoJl9HiO9ug?!14-nE9;> zS`8?@c4NoO_uo8bLBE1FPn5RV*y;81JNC@ass2uOW8JNL<_s$69B2s!d1kiell^#w zJDe$Q9lUbFRkL?aKG5B*L$-2%i(U!I98*iWV zqwI$CEWw;#D=oUtaqbmsqE9v?&47Bl)gD0%{uL~$xO(Wp+IpyQX!z=KFQmkEZ#AxY zZr`aWfijPaH6{ko2BQS0;gF-n2^nYB+(EgOSztK&5|8IusYoA}m^&5k&A^VG;@AB#4f*rb8_XXilZ%uG(+Lsn> z?9y&*X-&=Xy|-K*);#z1P`G!|9|})cm3RE`#aeeL)UKX;3tMQr>+qFR%HOJKd)<~V zLVd2==GG|3UABGUXL+}7pR*w3a?hG3@0QGPTLiw>e{Robn_kfKnb6%^SFZa`R{dz= zp)N00^cmfvUtYTZ%0SuV&6>X2b~n0B=5sPt(QJLTboVhs%LcAHEwS~9-OcK@3~YUE zcZ;{i531iXFg;gW22`XSQMz|`%ODeNczD^%J@b2w*qTl?uU(^@xmXpT0o(qXYUh&9 zWlQ^iw)30(rZ(|>y|VY7J0}!G-L8N(-l}91Z%=^q2c{iAva;*omG4yzI@DsIO0TU3 zRy{*ERR)P>t05(` z7RAnbVM0y!BL{}qIP&)FG>FC)dX4Yj+V{eG&8zEP3d)5AVy6EM_LDWHgxt)mw(`%N zuW6IG<9s*r*SUbNJ9!aAW33){?Wqhk{=3mJhzAR6E1C^dy?vAVD9((~7Z#7dHBb+z zog~%~X#+&{g`1#pSC#+YCf1U3a?z-_RiO4#REhugix0m(Q=hK?Z^7bzFd^vn_Buze z!M+3i!n%~RLTjP{cvY=x&xfkor9iy!UrHph8phh}voHToS61xUIqt6DC3(la-Bzr9 zwsGRE^u6Yed5=uq`ohRA+V#37)FRmFI(DlTK`P7pb?G^_q*b%X3jr*HMr>)cF!I})Gk0u#Bh^xeBafbSj#-(Le|l~CbghN1!F7w)`|qwv=JRv589;F$mxfg%-Z(iwB}D%#1FNaRr;NAIuv=R^{kRB zr%A_tP|YB1$(h!(=l-5GeJ*J7^@&GH`_znIowH}xn#cbgB%8CU`wl67@4S~cHW+r9 zVqf-(Bn7zv@TdPh%xHE2hqiJ~_gb z__GV=^|d0mCUDcB%b(qO&&ffk2OO7nO1=$#MaK;{@!s)Z&^C^>_p_F3?{!6#ESVqe zPpi=l3oJporFXEeeAsL^ta~|G4sB%1C|U1N4DD~*Eu+<*^Z2)?`60Ax9&v|~M;$bmQa@evcC6d}%{3#p_ZhRlrhMoA<$0$qn-f-c zS$a>%eLA=%A`T3lw0TJ@Eh@BWu(P%1lnZ8^{pIPyoQOw$7d7ZW|d86tV+gGGRecmnF)8!Yh58bkp z2ad8VIcDicZVhC4?GBy%L7#5(Ufk7I4W>x|%#hU@9Z zf&ukh5xd1LKWd<6qt0!-d{%+E@lbmWo#&`LavC)*={xDcU;Sp!`zQM&Fq@INO<@HW z-duMy({aT}_un4)zXDCtcCL2$C%5eJVb}YvsgIw`fvZ~C&CI`=HdwHzxBS+iGLwY! zt$dIk;r2XFR;xbO^k?FlrKh^TtYyrt_h%=)RqogS`;?nb$xh$3*7WJ!n$M4NYRS=UXQ zcQTs=7TvPG>1$;#t!v_>an74GfBE`WiIVFwMW=r9MyILHdV4S>t?6-i_B~@3?Yz5c`le+=E8?5xUS8c+y7f9_*)Tgi ztu{NXo07Jhc-KSP5iq1kUyqovuTE_eOWj_%dIz>hM>f-Pnh z9Uct0bAwrW&lXNT{`if>FR!>@->hAP-dNd z=Ih0mIEkCa#5q9`yspay50A-i^vTYfhi9~c`fi9n+&FJsSHIY=&EG0y1zF?^?*%Db z?dwBQRDLGc_^wOb%OvkxedI4f_Jjm3)5C-fWF zN?)7E#^SO8uj>5Ajd|x^KK0<{->i$wRAKh4%Zfi#VRro4k1e`o-GBjGzN+b(*rEf+ zlkQx;E}SS@yZeND^IA_@{P?=Kt;{}`*Y4xRe_NN#Zs0kl`<+^zY+LmE)Cr3!kL$j8 zO@6H5iH}JAy5!i3{@Od9KVV1kmm8aP{@E34-nsRp0j0m$*fBWn@o2%Tzr5g?Z{nFs z&Fq|l4&Pp>IXW%YR%yg{*SbLL<~|@XFmojNz&0NP-Jk_1!j{Rf(B3WVPj9+%P=e}RIN9oI+8@~GGcQUzJuQP%zVE*{e zjLWr~EiEe5YqWl^ow8f+x#~|lyN)@@%p5Z}|Jw}F@qj(=e`E(T-?naaf15)_d?d3^ z*XcP1f#Y6AoBY?$?T2*QFj(E!S2lt$=&;>wX3IoG<}(zdmg>okzGcljXL}L(Z9gIV z-;^=`b?LCYAJo6r0vUk+hN+!WK{ocq z*pm5$$BixCR@iLS_{i`TeU%<=O;+6`;T)G2eh@l$^MdB#uO9BxZ_rn@x#4z~8z$;< z!{oa~?Lq^Cy*fj;eSdfJ)_Er#I<8(*e&zbu%(>UD>n~~Q(M|e|KXciRH~x#MbNCiitg z&r`NFoz|sYxeh&+Rd>06TZfwX%mojN5lf=ePI;-k`Lc69AEYJ7^79WhdA{sL)k;hH z)pWSJc&uB3e7*W|?a8UWyF>cTK6{Jy=`8Y!FSHgpr(M;QLmjjhdE*b)-296>Jw{xW zdEILR&A%J7l3feQo|?>P?1)0zM?_qgmppyn4|+dd{QLabjYtjL170c5kd5xwxVY&K zC3I`RZN08}{qMh<^7CIy0ne@lG+2kolABfy=4U=l_EdOeATa-K?1swsU%kPJX4P?8 zgX=f^u@4r0_u=K4{kDynvEjdJcdhn5_b6AXtG)KQGuZu;`Q%Er%B%f8Zly-E;b3(r z^RH}UFKxFuY8ke+MC(dh!6$H>V#q?B-q@J4XUv*&|F!f7Pvjqd|Mr_5J&9 zcK>T@mo6RhawpAb73|3wm^Wia^A7tTEj+P9`Hh8L>UBC^uWmDZ!6Vfd51%(N++%H- zPD=#J=b@%vu{0fQ)M-#Go!@hOt}3Rvm-JtlIoDAWzPt2-(JfvaUt1{sN25aNx`c$R zMz{N@azbsPw4`)*v#)cbnX^@?mu#@x<)BwCjMflQ*_M+PrkyaX}PL&(2F%?#~@O zC)gSHWc3+A6ds;8q5r)*uli5_`-=}8y;3taPz9*zw|DDXH8~2z>H&L;zpgn(`*AwB zJvm287ddeNw@J0_#JheO%u9c96#BtRhZ3+yMjhQ@;+7*vPCo0_&yiz~-neMj{)X~Y zO2S$>>4Csu!`ttDe$&rC^qtQiDTAywN8NvOn+fi%`&VNkY14DX)LJqN>Pj6pm#31W zf3@a7-(W8Oct+>rDBg{)_22w!d*u)7iOn(d@9HIP16fENddb#AT}pfFAG|#}jd$$8 zzuK1l^*1}ZTs*mW?C<}*^Mm3wMLA{PE$or^Y|qzjiFI;CnzliarnKzxP!knt!Y6HB z*kJi_Mvr}4ek!S)ZLz{mk_DL2dS7YqX`tx13%1YyZMgMyC6j7~Xmh~m_EU2wZ=ct5 z+|}C`eYyS^ovErhty5{Qnv*(}j8}a|f;j&;|EiaE?)X2;q!+w+)4?`Rtb8E1>7nk= zZ&|qexSYHWla}Dlx#w~_f4pSX`az#>x&PaVu#4NJlOaNv8qRU4;Z_w%2NZcl(r)!z zb8gvKdREWJ@4vAinoSK8E;a1qQp3(c2)4|GV8?dlxr6^b>+C%ju2}KCV`i7nY&ZM0 zCvtNq_H935UGIu!mpwN6;E0Oez259`{f5a;-F|;r;lrgzUfuQRgv-DD$s?~^dFj%2 zoeMg>w{F>ijk6!!IRC|sb1xlJeEj77=UiRc`?dVm&lI%0t)Sf()yK4}K5k`ovys&& zyi|Sc!0O|tRA2YM7xdg)e(sR{rzg(&ywwBM&Bs=^*;n0kXm#u7t6P3lvvk(x^R*}Z zjGOm-cwK|(YBp9cTYlx+lm7DNzp(DHYVrRuwzseE)o^oYL+k8kOmCC!Z7BJ!4${q!-5(+&;eiU%x7Pr25gh3wAx%;-X=Fp8n@2J)TMx zzI)yHmanyV>$bL^e^T1@v38%owD54d6S`ltz0L90PQGU9&u_jq_om=~P8okgo8zb5 zeC>5@jt`w02_>T;{g2H5#goxf6Y+R7eyaPA+5ZbCPYwRpsgvv4!jXjE7Ky||rY#JJoMpFsn8&8C7TiW`jq7lD8qN#Yw@3)xZ(Z60Slur4- zPsmJP#-b4uTd{c3^lvPlPWjkksYu$~Hx-Zh_f=SieH`&nGU?-pM^aJ0&*RaM8Tavc zEM%^y_%+u{CKIMD6*BJ}g|vD0>PgdYiHL&L8$$^_0)Jd3;-Qp3#uM>q%pa$TWISZs zk_jJMG878?*Gq;XY5zGVBZ;W7i=@pxlCgB$@5^L7Y@U5G9yKwTj3;6~-lXWjw#5_v zJ0h75CH&{7x43zJscL;+e*rqT!eF$DcyRjK5SY67lbw(ks#b&8bAn^jk^~ z+8;w|Gh;24j2M1P>ACoQnM%fE=C>qM=C`B;)wfemhWtKHM`9@-M>^uzxbd?}NAy(v zHubsxoYQf=@O`^fC~EHO9)4rHa7c0PJu9^-2z@*8zOjqM{V^WaUBlje!=YF>Y3{24 zHg*b3W0wm1F&z$RaCpxz97?DCSPh3m5uXFXVG*JCoYkft@$iaQ{n!#QhWzQQXcBz=pdFslf zAHV8Kv6**YadN^R_pwOS^m$C2;`duDmhj(`;aDsk@!o%$n5F#ruHKSi)0eSy%8cE3 zD3tK=#x)N7{tzeobIfo&mNL&EE{gYmpD5XXKLoJgn5xEIZscVaRU zHRC`WZ{kRyWu9L$mNL&TnF#xH3(aE9d{;pkF)^LgQ1i#Lrc(YKGn~>3)$gNJB^fLD2Qf*1UE}*nlZ+!p0iG=@7RH_^E<5F!&gIAs8=N}P0 zX!H9b?#|wQ^-A>fo`~4ouY5-nWY;{Wy=rV)}u;ol;`|x5Sc~T=;f+ zw|nnNF;B$LyT!C2f8MD!&4|3~Dc3b|8ILEdT{4~WpMlud^hYA3Nr-okL@4IJ|00Qq z-hjSc)Q&5$k)Lm={9?Gwm0u#>J6ml^M7?_`jWXjtsg%zD&B|BJvsY?mVlXM<^2eIe zE&rXZ*>1$I6{s!l^SR!BQGb4_wzzo@i3R=lcqA1M`Sp^B%Q^kumrCkw;yo9Y|4i(s z^g8wXC>=`q^C1l?C1Kw6Ts~-g6@;cu)Z_P2T93sar)f=xY+J&wJE~}5-*pNZ|6QVj zLfo$bsLjl&qajWCy_i;;nd_;*qe*q+XP`FCru?>K)W@c$VsZ#qmGW~nwZ;7RMpU%w zk7u=+d6Nh<>c5NCmhfX$rARX-)t2B&Oq+^=ew!}m#dI{1P|E7tDb?`a_tA&~#Bb9>@beVWha%X!UNmNSL6l;6 zA*x63*9z38%)-B(UX%WBj;1vY@$5vaeoX79DBJMuTtU{fCH(rDE*9~*QEgg=@qIOq z^?$Q6cJut)GKt?uaj}5kzv3Q0rlWB?uZyaC&A3wK+Kel8Ikd(6`m?%2S+<8Qp-}bl zx}^yphq7rCm#V$`+!Iy)W_?p>6EmthnrEuFr2kAc1<@O!@o!d}$>}sLN%`|N%|OiB zL@cCa(iqn+dlW*gj(qf?hTheCk zt%ZCu_g3Z0zGG9Ngr6sChHmx&sLj;%VtP9p9I1p^*NAC~?$;RAmh|UHF_oMA`jx7? zO3*#Lx}14Gr{kK{_;yL#=V|r1_fFK0Q@A$vRa?@}lXX|kMt!@esS|2~p7P(%DukOl zu(BS*0cunB=iNiK7C%qc8!Y0_|MZ41aqhA~|JldGsf5piYBL-kSCPl(Znc>@gGkWy zn<^uw-!yG9wN14p&9hfx=D*kCn$`KSud;w%vfi`OWAQmkE6iq3f);jSel1*Wigf>a ziKzcBiEF-Vo{O@)h&TS?QT4Ak7ZB@fG0?k*NY8(l=nWR~YvF3st_j~)3BNyfW2!g# zZ5q%%r^Lkp{<~9Ils1!i_i&wL+Tv;RTeN+{f1k$V`Ypy+FCxE9L)V`-#kH>C*RT3J33cdhPo47iASnL43QY7GnK2E$e#xrh-h;&o33dX3bh{rq<$K2mZK9 zsKDWm1HB@mrZ1zKbNSCj`^fzInvy{?r%_LuoJ4IVCrK#E{W-PTRFL(ai~?ASYY7Rh&6<0-wOK!=wGQk5 zW;bI{-P_;892d{nwgj{#p)Cb%Y1^j$F!xYj+O`O^*?rgUy;A7Gv!IhxpC66BR<6#o^+^`dS>W7G&)#4pAo9SsV#* zqrD#B&|KNrDPOQ{fJ1q_wF4aDL2Cy%R70_LfJ5&CW2bkRZ37(Q4{HZF6#Lc=a44U# zc7Q{#r0Egy2#!fk`Z37(2*{vPmP+i{I z0S?s#tR3J`9nRVT4()|7cH$4)1~@c-wswF+dr7Pv;Lse-+5ryb3&u|SMr<44&{~$Y z103RbYX>+~2ex*AL-TfP2RM|+8$0ELwheG--f8Us2k^P3I^YX9RHLx>035*Q+H7il zmG7JDDJQXQfJ3>4wF4Z=g{&Rm(45QI0iU})C)N&d0H3>BpY;VCs%2O^z@gm0+F2Yr zIbrNv?aTMo?n`L1{h?|&*jXH!%!8f9kpw1z~^pl*4hCMt!r32z@Zw2wF4Z=>5LulxeCT$2mJwj zuAOAy3pi9~wZ8>$sBU5H00;27c5Pc<;B#g2U-h&t3h``T`Ef&)vGS^#vTj=Q??3 zeSy!DS~E2F06us7%&i^Z06uqnuCR8%=kA=6`F)U|yS<#&4sZaUyZxfp7jQs+o&rACX%Bln;B&X{#@rY3 zb5#_<4*CQ5T$M-gh5i6OcY9*Y?*l$hL4NMe`&nPW0er3<2;d7iAV1e>8S4vtt|C0x zL4QDg?#_c5Y>=O)fY068AnObL0etRi$i`P|i?$7L0H3EIKX>Qp?De2OfX}tMXnldt zwJ8DY00;27+b3v#AMkk!_*^?yz!&-h_}uODHTMNRcjt<%9rOqAxlTrdFZ2iSc?$A# zcecg+7U1&~@OcXIbFDKX>a)_P0QPK)qc%|E(|Zxzh(R_W(Xm0iV0G9@ZE7 z1Nhu&q*z}VSHS056gIwYUDv-}8u;Al8dy7v!|gG&cD6s#I)7yCEDo(SSv%l!iJyR- zjSp=C0z1F~^>(-BZ+M`DAMcIDpU7ke_RF09+4nKz^=O zKI;p7?#^18-wb@720l+iy*&+lo(4X5dy4FRp+A7nwK>`P0-rk#19K1HbEgqt?OcH1N3&^T0g-2k^Pue`S6j@VQQUfgRugK6hs$?L8pQfzP$0)%pUTJG~5Z58(4O z@Oc{Yb9esDUJr0Ue(ug>T3^5ce4YkAcYAux^?=W{^9$?%2k^O0WuzW7H7xUg# zo9Pd=860Xe<4SD?huRDdwVD1;Q+&&t(TVET78`a9BRqnIynr`8+tgJHTQ2Ty}s1_*~>@o}b7E+AI#e0Kv}ohk_OCEDjAKu(LP> z&e{Q=OR5d*00;27(>3+`L#O(o4R8RTOTG;PWu>xi-jxFW>+^cUr9G z833Q_RF|~_K6htT&GmrK-Pts22RMMwo!+7K1suTVP8Y}c0-sAU7wlkM0iR1*2z;SG zfX|&~i2Z#qu7J-awqbpN&%?myVVj>T6aWYCx#ZyBzJLSxJPds9^v%pO06up*kk$^y z74W$`pKN^r2k^O0n}aWmE8uf?e%jm@_}rbrw|3AUz~@p80bl43;B$9w%-k3FJPdrU zQ##-aIDpT?z~?&o3D*N0z~^D$^DywaI~QZ{0-rk#D{BY+0emiX7Vrfez~@fW&cCnI z<+N=UhtoQ>b{2=!$H30Uhtu=5*Rwcu;tK2l2k^PmJvaA&{M_kXTRXr3d@f-%@C6*e z=Q>DbeSy#286xxhfY05zHfsksAU}6n#nu;a0G~U3F5?S)?({dT9gHjBbDgpSUl>=w z=Ml)yoo=oDEr0{~+?_!+zL1|g9Y|{jI3PcF`f%14`UCQFcgD&10-sA@8SJ1xfX}7! z3%<}Fz~@d2&i+2=58(3%@VQi??Dc@pC58@mfCKnk5_aGVIDpS3YiE6d&z**vc`m@` z?p&p{102BT5#V!o*4JJS`UCh}l6ck^_*{~BUo$i*kgZ=IZ=n?EJ4tEyRUeET2#B9ON;)nvD zI}Lkt58!jj+iH{s2CAI$8D}&>z6(PV>k5LVrMhF3~va3w$nd8?b}^fc!iP zd@j{_xE|mDK92&QOVH9@5BS_^xR_@Jd@jKZu!H^pK9_n7_(FdGpX&oT)))BPX)hWa zz~@fq$=U%9;B(3SfiK_yK6iSN=Dxt^QQ&h4Oo1=p06vcbpF6z=`&$49@VV3HGQPm) zQQ&j;-6iV_IDpTc29EUw9Kh#J$Hw>qpF2HTYX{>B_*{xc;0xml_}pod+xtR)0H3?> z8u`9Xug|tw9Fma)JB!2VXxi)9{*Xuo*jXHsmb7-j=T5K6+!yk5DW!rP-~c|CoFn)G z4&ZaAM`3;+@VV2&vv$xQz~@f;#QH*i0G~Te9qSAI0el_z6(PRrZ;X5e$lSAre%2k^Pm;-vv$xQz~@qH0$=D4;B%=ufiLt2@VUgj ztS|7nWHZ4I`UCjf>BpMq0(|biZ)NQO2k^Pm1ND95z~}D!lGe`Rh(mrZu`BSkY13q^;ROWiX=aTRQJ3Fr8z~@o{17E-ad@i*x>kE7?sZX$j{s2CA8gJ$q zKz=S|Nw5PPke^Eu)cOLSOBfdH00;27q(i|M#uf0n1n0mP#uf0n#Hg(=@VVqf!4Ae1 z@VV25G<^j5xs;v34sZaUOL5Nn0-sBE6zl*8@VP|O!579A@VUg*!579A@VV4ZtuOGo zBul{##uf0n(-k*;1bptkM`i5*2k^O6x~(tpIegFB3DJWu^at>{lwrXaZ~&h>y*`5% z_}qPG&)PwM0G~VEed`PT0el{Z{M>!p#9R;f-01{bJHP??x%1^9J zzyW-ofO@<89=N?8-~c{P0H3?>wwUVypF53sYX>-h&l8ZJOSK=a2RMMw-8YBKZ-M+= zGO%C=I3PcF-;1{QfN=%u=kD7?#uxb9eJ|SDL4Uydxjx(izR(}Q=T7t1-WU1<_*`G` zw!XmU?%S>A9+02A?}l4DzybNW)1$S%fCKV#3AtKd;B%>ngB^@3$j{w3kj?J{K9`&= z*Z~fxx4Z8_ntK4BJNiM2mJwj?!MD%e1XqFA5L3!0SEB8`?j&Y2aGG=bA8Yd ze4#&p&)qjm%zc5+laQZ-K3v#+JKbInZ~&htfzRFd^*?b z_3=HhgK-6X?!G~5Z~&htfzLr7&VBsRUJvqfeGD7y00;0n=)>v0fCKp4eYeHn1wMD* zRIzr@AHe5H;B)tV1A9G)58(48+!x{l z_}qP0!8|MA^Ca*&=)>uF0N?;V2YopC0uIQ}K_5=v0JS(kA5M05T!B7Z@J&(wxqv>L z?CiJ#eK^_K{s4VA9h(3gz~?F8bI^y=^=yBnfX_i6PQJE3Qc!OPeK;NU035*QpbsZs z`@RBwIN8}aci*iy{RVuV0zOXxpMyS}J`rx?9Q5I22RI-<*T*mHZw5Y3L4NMOU1RV9 zpQnJ&K_5;Z&4Ks;J_mg``2r5$bI^yAFW>+^2Yoml4TAmvK6l?IHqQ$99Q5II4;WX# z=b#U#V@j3>K_5uJ3E~|1JO%6L`cSa#58(3@ z@VP!>1ipX+_&f!C4*GEVh#>R_@VWcepcz-d=b#U#djJmLbI^y=5jGfCz~}n2YopC+HnQ?aI&-SU41Oij2F;{3+rp9Uul72a2{ex3$C2YonQ4{!jVry)O21D}IFobCbr0elYna60l0{Q-Oq`f&1v z_y9f!eK`374_ZFg@pIE3YBTR$wHX|0Gw)rsnf_3l!J#(uzEYbRS86jj)Mol40{U=j z100smWd}GcpUVz#*!)~}fWzkJvI88J&vo=4a9BQ<9pJEhE<3;IRAQWCu7bpUVz# zSU%UsbODE5KbIZguzW5%z+w5^eZ<%28MVQhvjqGL3~&~mmS21 z&Cg{AI4qyb4scjLkA!S~t~Tfo%jdF#{;+&5JLnI~=dy!wW%F~%gTT15d@eh{Ve@m@ z0S?ROvI88J&t(TVET2a}A5LvBt}LI+4#t(;4<|bqSC-FZ2jj}}x$Nw?0)4m$=)3Yx~z~^D$bI^yAln>wlJ`V$*hk?&QA5QnM z@eu|-2Yt9m82B9Y;baFmfX_i6PQEa%fX_i6PQK6|z~`V37YPHOgFc+>?E4Dz;baFm zfY04G@4Z}7zJLSxT$^F7FYr0&!%6xHZ~&i!KAe2veFc0D`f&1vI0rrleYl7=%2>R> z=h~P7cF-Td=V9P;Ej+{Z00;0n=)*-oA5Lx1AHe6J4<}#f58!hZ;Vll}a}|QE9q_q| zmS6`sfX`Kg0$;!Ze6FGb_}czZvTN*=I6|Anp(F?F?6}fI8|*9&O$x!z;?Tsz+5w-7 zFu@LR0H5nc3BG^>_*_8D;UrZD zD z;nd~OAHe4k$j>9d=b#U#dq95xpMyS}e4#&p&p{tfLl@#4@^jFKlP|D;p7Ya0elYnaC+52oI`#d0X~lapMyS}?g9M)d=C0>dhObA6$L&AeK`5r{s4VA z+1WS;eK^@!9H0*;JKG;o;B(N2ljNxFk0|gt=)=huZ~&i2fzLr7PS*n*z~`V3CmIAC zz~@om^C<8+=)>tA&>z6(pbr;`0-u9Eoa_Jx@Hyzi$rt(q_#E`%~J_mg`O?@EFfzLr7PQHKx>g`eB^C<8+=)>tA&>z6( zpbr;`0-u9Eoa_Jx@Oc#YJPP?a=)>tAfCKV#(1+8s6UG(rIq1X5*YbH3_#E`%#cp2YonAl>rCT+d&^rzJLSj?Vt}QU%&zRIq1VhqQK{%4<|c#Ujd(kKAe2veFgP) z(1(*Rj4P4<}z3SHS0>52sQF^ateUG2rtU@Hyzi=^oG@ke`D- zoP41_AU_9vIF)3eKcLkr>q5K_5j!2Yon|xnNuYpT~gDW00SNKAi3W;|lWg81Q)v_#E`%RGPCmKp#$acD@Vx zaI&-g5eGg8eK`5r{s4VAeOkiuAn3!%&W!|5K-AHe5v$j{@z=b#U#5-s2WK92*R$00uleK_3%a6o!^sZf9P)F}hm)`6LC}Yj9pC^y2Yom#O~CsK_#E`%*t^kCtrvU$j?C^PD>{+u7Jg@^O^91lY=)>tAfCKXL z1n@cN!)Zy=zOO(ZPIk~Az~`V3Ctny>z~`V3r{z^UF9Cfx*#QpVbI^yAFT@A%Iq1X5 z7y1ME9Q5HL3E*?khm#$QE8uhOaWi{562Rx652t$o4&ZaphttwF#5wSJ0`l_&@Hyzi z=^mCpKp#$afCKm(^x?D|4>*9&6Ts&Q$j?C^PWJ#Dke`D-oP6QE3;8+d!$m+JPHix* zfX@?k-5U@Hyzi$=A+HlECLl;B(N2)Aaxc@OcvI?Vt}A0ev{NL7W4hgFc*m z?fWVT`8nvr$rs`r@^jFK)3z@dSHS0>4<}z3SHS0>4<}#f58!jqhtsw+7+1jON#OG& z@Hyzi=^oG@z~@QG&p{t90{U=j100Z_CxOpFA5PbU{($@(^x@!T68IeS;j|?X z#ue~6=)=huZ~&i!KAe032k<%Q!)eQ+?GMn0lbwxo(1(+q#R2+ova@^+`f#$daSr-$ z+GYtjfX_i6PQLcN3;J-fv+)7?aI&-g0s3&-25NZ_^x!T3iurK;dDJ1 zS5R*UeK`3-d;p(=KAg4^0}kMG(1(*R#5wRe=)=hu;sf{`^x?GC8R8uHJOz9X`f$1) z-~c`ceK`374&Zaphl_wdoZ6s2fX_i6PQHKx_#E`%p{Z7GNT06tFvpMyS} zt_L`P&p{tfzJLSx9Q5I|4IXd+pQnJ&K_5=n102BTpbsZszyW*?`fw4@hf|v!SD+6k zJIf!S4<|eOz5;zX*;yQ*52vFAmOnrrPIiC;@^jFKldtU$(1(+q?cJ_mg`T@T_M_#E`%;Q-5bJ+n7%jY_h2{>$iE<3;Q-5bJ+n7%jdEK9G1^z2RLlKT}NL5hvjqG0S>!;IMoyJHTP{bJ@&z2g=b#TKUpp@WeK;MDxAQB|hm#%P z06qtOIQaq&;B(N2lP}-^J_mg`9rK5A1$-U`J_mg`T@P>opMyS}d;tgWIq1VlngDPB zpNE0ZK_5=n102BTpbsZszyW*?`f!p{035*QpbsZsJ8uVlIN8~GJLtp74sZaUgFaj| z415myaI%B<74SLe!^szL0H1?CoP6PZ1$++raFVisI0rrleK`5TxB@;0eK`3-e*mBB z<5>P4NJ(wLxB@;0eK`5TxB@;0eK`37e*mBB<0keu1E1>y8(;_h0er5HD1a~Y2k^NB z^}!eV1Na>D;i8}qr#6cN^x}-61KAh|<4$y~_{4(V85$j?C^PVz_)=fLMWAOQD(_y9f!eK_40 z`UCh}n|keiAwLIwILSQ0xPts#8w%kb&>xVWYaLVp0CYqOB`1wIFTxTrRNfG^;H z{9KFv;0ri_&$X~?eSyzGA5L;w&>z6(pbsZs=nvp?EhfP4v;CpswXstn7}{)ns6YvJ zwm(!j13QaD1s$-nI8lAU_9vIQas9KzdKKIF0DU;w*>M#EJ_mg` z`2r5$bI^wqE!c4t13m|RIQc?<0H1?CoP6!L0)05y**K3ueh&I@l0SuU1@(5&hm$Y# z2ju6V4<}#f52&|;KAh+m`UCRw81Omh!|8f}1M2Od4<}#10r@%T!)eL@IDpSVA5Ok7 zu7J-$A5Ok7u7J-$A5Ojy=fLNn52xu6#5wRe=)=hu-dDiqG2nC1htu@{2k<%Q!%5;7 zZ~&i!KAe032k<%Q!^s!o9QYjc;WWjBI0rtD!TLGq!|8f}1M+jwhm$YhfczZv;WRA< z9I$>4`f&1vIEVFf(1(*R#5v^WpbsZmXB+3B4<|c2u0S77b`}Td!^zIZIq1X5&h`iB z!ztAO9Izh_^x@=d-&ddyCp$Z?Kp#$awm(20PIflVK_5;jlO0!}4<|do0elYnaPo!z zfczZv;p7WAAU}@-pMyS}(m}uhd=C0>@&z2g=b#TKU%&x;4*GD>IPf{>!^sZf1Na>D z;p7YB3iurK;gmW9Q5H-YKCzI`FR5P9Q5II zJ-`8c4*GEN1suTVpbw|=IN$(22YopC!utyHbI^yAFT^?I=b#U#&$~c;Kz%Lva@jx`fyq|zA5Ok7t{^`LeK`3- zd_aDl1U?6SI4!RN4&Zaphm$YhfczZv;p7WAAU_9vIDH-r;sf$?(1(*RysscX2YopC zLYzZ>4*GENh4&TY=b#U#rEQ3F$j?C^PQDNyke?@k&p{tf*8?2D=b#U#<#@mWd=C0> z@&z2g=b#TKUx;(a&p{tfpOb_5fc!iOd=C0>x*p&FJ_mg``2r5$bI^y=76*$1^x8)035*QpbsZs`@RBwIN8}a2Yoo%+5P~1xG3nu zsSR)dpMyS}d;tgWIq1X57y1ME9Q5I|)y2MdQ^4n-4<}z3SCF5BKAe1^KOjF(0iT0D zoVMox4&Zaphm$Yh06qtOIQaq&;B(N2lP|;v+^2YopC z0uJDF(1+7@Da#+A4<|c_56I6`z~`V3r|UtS1D}IFoVIg8oCBYyfX_i6PS=C?F7P?% z!^szL0H1?CTom--)CT@&z2g=b#TKU%&x;4*GD~HV6Fyd=C0>@`Z5)e4YY6 z2YonQ4{!jVgFc+L`dJ*H4<|bt=b#TKJKGI~(Vq52w%90uJEwG_0S4 zKAf%xIDpSVA5OluKR_Q&+b1o5fIgh;Y z+8PQtfX_i6PQK6|z~`V3Ctv6f$j?C^PMp{`9honpMyS}d|_MxpMyS}d|_MxpMyS}whhC$g8Urx;p7YB3iurK;p7YB z3iurK;q=*S7+1jOY2b6vhtu@{2k<%Q!^szL0H1?CoVH>E4&Zaphm$YF2k<%Q!^s!o z1Na>D;j~p8;sf{`^x@A!A8Io=)Mola zZDw4l&EQa*=?}G;aiuoEVe9SMrVltQpUVz#*!)~}fWz{+>;Q-5bJ+n7%jY^;05~k4 z%MNf@K9?QfuzW5%z+v-q*#QpA=Q<7nI4qyb4sh7~Ty}uN^118)hvjqG0S=p=>j($n zuzW5%z+w4Zc7Vg?=duGFmd|AeI4qy**a_gU^>*0-4$J4V100smWd}HHy*ulq9G1^z2RJOB%MNhZ zdb^G!0S?ROvI88J&t(TVYc9Q5IIJ-`8c4*GC9$_O}s&p{tfzJLSxJPdpe`f$1)-~c`ceK;Mz1RTKUpbsZs zzyW*?`f&2K?<>%UlO4RTfX_i6PRB?g&VkRvz~`V3r|ZG{3iurK;p7WAfX_i6PDft> z2k<%Q!^s!o9QYjc;p7YB3iurK;bNc@&z2g=b#TKU%&x;9tJ)KeK;L4 z1{}cWpbsZszyW*?`f&0E9Kh$G52qv0HqJpGPIi_*Kp#$a76<6V$DV^l06vcZpMyS}t_L`P&p{tfzP3Liz~`V3r=#Y81M+jwhm)^;?}9#@?Ckpr^xP0SE9o=)=huZ~&i!KAe1ETmhehK3oj+;nW5=fX_i6PQHKx_#E`%z6(`XGzx5738`6o|#4 zk8Xgk<#T-u0_<#m=pzMSXK_e8AM5}J zF^R?6X8?RI!BVh;{($@(^x<@0=nvp?i5A-XLcJaI;Upac{Q>oMiJrkdpg$l#m)I5f zLVrMhF7YDk3w$o29k7G`06v#+3iv{N0H1?CoTPW4KY-6AfMD+nd=C0>F&%~nU%&zN zb{%8}U+53W&vjVU`a->3hb_Sl`UCQF(1(*u5%dS-=b#TKU+53W&vgjK{$}8F9nJtd z=nvp?ZMFwr=nvp?ZL+q$z~|ch3wF>Sz~|b`3BE9{fX_i6E~X7}a6P~Q`MEYdSzq9D z(1(*W72p6q2YopCLVp0CYXRN-J}pK=o5i6;Qn0h*N{e@3XZu5oN?>PkXt4wA00;27 zirm&0_#E`%VxSMFHoyUV4*GEN1suTVDn8iX41BIc8SJ1xAU_9vILVtqe*m9@KAe1^ zKY-6cA5Ic#fCKm(^x@@`d;SJ_mg``9gdEpMyS}!raCO=)=j*_6O+0$ceK^_K z_yB!4+1dU8eK@_E00;0n=)=j^#yRN2$p{(Hr1^ z{cxZUCtny>uzn8uaPo!tfczZv;Uv!r;|lm3^x@9Q5Jj3-JMb4*GENh4=tIk3)VA`f!?J0uER|2YopC0uER|2YopC0uIQ} zK_5<2U>oP44<|c2u0S77b`}Td!^zIZIq1X5&WMd?C)E-ktzH2YonQ4{!jVgFc+nX}|$|4*GEN1suTVpbsZsh;zu#K_5D;Z%kL9FU)bKAe032ju6V z4<}#f56I6!A1(&^aB2e_ke`D-oO}TXJBSa+&p{tfz7QXfpC^IOK_5=#dx&%3 zbI^yAFW>+^2YopC0uJDF(1(kGKAhSB2k<%Q!^szL0H1?CoO}TX@HyziX-UM!2k67e z&WNhZ#sM6_=b#TKUmNG34<|c2F9Cfx+1dU8eK;*M z**FJ%IN8~81^RHZ102BTpbsZs=nvp?(1+8flK==ApMyS}e4#%eKL>p{ z`NFt@{5%DG4*GCf3IrU$=b#TKU%&x;4*GEN1suTVpbw{|N*Gs=pQnJ&K_5=n102BT zpbsZszybL==)=W8A5Lx1AHe4+;B(N2)Ab-efX`EqpMyS}mVe=W1^GGX!^s!o9QZs1 zd=C0>x*oi*fX_i6PD|E+1Nb}zd=C0>x*qTc@Hyzi$ro?{pMySJ4D{jD2Jr!W4*GEN z1suTVpbsZszyW*?`f%DBVEY5~;bdpy1N7l!XK{c&oa}6TfIgh;Y@CBWoVGIn4&Zap zhm)_35738`oqb<{KAh}qe}Fz*4D{jD1~`DvK_5=OfCKm(^x@7(f&PH}9Q5Jj3;hB4Iq1X57y1M8bI^y2 zfj*qt00;0n=)=hua6o!MK9_9Q5Jj3-2r7bI^yAFT^?UIq1X5 z7v5KppMyS}wnjmGKzICO*_=aH!4n zhuTbhsLkL|o9Pd=nfTBaKEPr5Ty}uN*4t$VIBdOLc7Vgy+hqqhY`tCE4grVdbJ+n7 zTW^;g;IMoyJHTQ2Ty}uN*4wpJ5^&i3Ty}uN^118)hvjqG0S=p=%MNf@KG*h6z+w4Z zc7Vg?=duGFmd|AeI4qyb4sh7~Tw78BhvjqG0S=p=%MNf@K9?QfuzW5%z+v-qZKDMo zmd|AeI4qyb4sh7~Ty}uN^118)hvjo^{RJF0KbIZguzW5%z+w4Zc7VgKpUVz#SU%Tw zWx!$cbJ+n7%jdEK9G1^z2RQ8dx$FRk<#TPZ1{{{pWd}HHel9z}VfkEkfWz{+>;Q+& z&$TVw;sAX(*;yQ*4<|c|1N7l!XK{c&oa`(P(1(kIKAhU@yae>&WM}6kpbsZIJ1+r! zIN8~G3FyPg&dy6fA5L5H0SE9o=)=hu`UChp415myaJnA!2k<%Q!|50S-~c`ceK`37 z4&Zaphm$Y#2k?0q_#E`%bo2pm0H1?CoO}TX@Hyzi$ro?{pNE0ZK_5=XH2??jIq1X5 z7jOWdgFc*m0SE9o=)>ta3B0d>&p{tfz7XfY=b#TKUwB^upMyS}d?C((&p{tfM`hr> z3w#dxaPo!s74SLe!^s!o9QYjc;dGP-#ue~+82B9Y;dDK~0elYnaPkElz~`V3r{hO} z1Nb})d=C0>x*p&FJ_mg``2r5$bI^y=ktvG<^x}-61 zKAetu0S?H|K_5=O_I(BVaI&*;4*GDiv;6`3a5{!&-&ddyCp#PGpbsZIzyW*?`f&1v z{s2CY0H1?CoQ~5$e*m9@KAe032k<%Q!^szL0H1?CTpaY_)CM?!&p{tfzJLSx9Q5Jj z3pjw!K_5;>6k%KepMyS}d?C((&m+L+pbw|(0S@4E(1+8pO27ep4*GEN1suTVpbsZs zh;!g`(1(*Rysv=IK_4z20X_$PIN8Ct0zLgFc+@Vc%C#;B(N2lP|;v@Hyzi=}15H2k<%Q!^s!=1M2Od z4<}#f52&|;KAa>6pg*AA9tA#+0-u9EobCbr0elYnaPo!z06qtOxOf!!9Q5I22XPL3 z4*GENg*XR32YoonI9NUheK^^{xB@;0eK`5TxB@;0eK`5TxcYx=-9eV4NDu_kGYLtE zy8p%6a@+oA$qT32Co*vb0u%DQAMN~~&lQdW>k4@eK3rP#19=WUTw3%4c@93@7ks#m ztq<_ws@u<3;KNn7{Qw`Xy7d7*Ty?uY`r(%82l#NIKz3b$4_Dpx1AMsZcAtX}SKY3w zAp84o#(W<99d+=5@6UsNLyLaketTeNXyF6%dC=h1Vm=2S?i&OaTKGVo2iJraK9J{u z7FUb!&%uWa6N7#r&%uXFi+&)_!G}wWejv{SGp#qw=fQzchkjr_4-^9}`hod8@CUT$ z2j=tO4y#3;gAe!ZWqoMj19{$y+t9)X^1K&uSBvk@!G{Yggnl5;!G}wWejv}mhf9lo zAkTZ5XT2fMd!Yn%=m+w=mkXdpKal4=EWTRgc@Ht84*LW1Irwnj9t6et-~)NygMHAh zj~*_Yx*p!bvGvhIMX1|;^so!+)<+Lvpl*HiaKP3f&-;QJb?|}t9DKNNTJV892Olmi zd?3&JGGYJC$n$Q7qYnK*o`Vnf?WQ2khkjr_2Oln^7rpMwvV z6#c+_4nAB6I_z`gIrwmCalOLxaNxtG#s0waaNxuBzX0nBc@92YTC6MNIrwmCv96Hk z;KQZGx^c@92YctWfz%;#U^Irwm$4?b|e9elX7 z@PYg7;KQ|L)(7}-)$O_hAFjIf0X|%HyFb8(t8Uj7_;77=_`rM)K3v+}=itLtxBCNp zxazha;KPNf+^c@92YTI>(xIrwm)PO+|#=itMo#Xd)#gAbP$ z`vZA?V?GBTuK0xy+;0aTE-iduJ_jEzEqq`;2OqAF2e@A0emnSZX|d07za4zIwAdfG z-wr-pcw6ib%;(_4rN#ch_vhflrN#ch_vhflrN#chd=5TbAI-3?kmon*|O5?cl?uML#f~gAbP$K5)Mse7MF6tSfwf{zIOF57+tN z1M@lfaB1NK^Evo%U-02NhJGN=!G}u=AINj?;nKnf@*I4)#y9i>c@92Y+Rcw2@*I4) zwAkm!bMWCBFR?!`pZ}2O;KOx3_&}b650@4`kmumTeZhz882W)c2Olmid?3$%n9sq7 z>wH*On9sq7YqWGRfDc#Q z?sM?rs@u;c;KOyRYS$I`aMkVS67b=wgAe36_;6{_59B%caNh@c4nACU@PYXpe7Lk& zSD4Sihf9loU_J*QuG@rISIF}R^Evo%oew@RpMwvV7CtbagAdoOP58ik4nAC3tSijt z5Aqy*xXuS3$aC=FzTm@k4C@Mc4nAC3^aFYRAkV>v>((%?SIF}Rc@92Y=fiauc@92Y zT3oM?=MVB6e7J6L<9dZW2Olmid?3#s zxU^VTn9sq7`+^VGG5ElI4nAC3_`rM)K3rP(K%RpS*H@(2AINj?;nHG%AkV>vON;%1 zJO>{xE%pcQw}TJY*T2{wn9sq7ON;%1JO>{xE%pcU9DKMh_;4MA59B%caB1NKc@92Y zTKL#J@9XnfR~?)E(XsK-u~}CgoBh$T@zJqaR~?)E(XsK-u~}DrfmGZygK;U`Mf&#*gWqM7WmjauMR$TKCccw zHqWbrkInPy;A7|W9=m~$&GYKuW9Reg;A8W=I{4T;uMR%;`|}fmGZygK;U z`Mf&#*gUTeJ~q#Lya_&bKCccwHqWbrkInPy;A6i(uMR#o&wC^bKK6clb?~uyULAaF zo>vDS`~7)!@PRxBAFfBsHqXI_t8Vige7Nd1&%uYQZu1;`xau~~!H4T{IQT%GgAbQ> z`vE>&b=wc{;i}tyfDd}H^T7x5 z9DKO6@PRxBAFjtw;RAUNK3rN{uaM{9!==SON1lTZmloG6}HM}M);k>}vUrNz2Jp1+Xi;KOx3_&}b657*<$@PRxBA1*C?AkSaO zbMWChAABIs!H4S+>-7OXTy?uYz=x}D*A@71)$Kk9AFjIHAK=6FSU7wj&%uXFyZrzk zuDadl;KNn7{Qw`X$JY0H1wLGLyU)Rgs}4Sp=itMoML&?|;KTK(J$xX~FXTD+aGei6 zkmumTrG*dVIrwnB9snPh&oAUT_;8&MK9J|&!=;4}vON;9j@*I4)wAkm!bMWEP;(CQV2Oq9iOt8<9=itMo#dQ~X z4nAC3T(6Ml;KSV)@*I4)>d+75IrwmC(GSe$;KQXwKQNzz57(>-DX@UV#r+9em(^JNR&E;RE;E!G}u=ADGX}vUrN#9Mc@92YuUeuX$aC=F z(xM;8bMWEPq94d}@ZoOo;W`E%$aC=F(!vMw9DKO6@PRxBAFkI|v96Hk;KQZGxh&%@$uGecfKfs5pZu}{{$M@_AFev|19=WUTw3%4c@92YTJ!^X4nAD3y`vw<^AGa;gZUhM zxc&zAIp%Zl;nHG%U_J*QuGja`56tJ_!=*((kmumTrA0rG=itNLAAElfK3sLUUg7(5 z@Zr*;ANc+pe7Lme2fjZCA1+J+`hh(EU_SpK&%uZ5Z(yGz&%uWa*?=5Gp7$ft|MQm8 zq94d}@Zr*;AINj?;nHqDz=ylRhwIq-03WWpz3ze!SKaymAFjIHAK=4<#@K#<4_6(0 zAkV>vOS}8LA5WV8f)AG#K9J|&!-e?R>n`|k)$O|KM?Ci5hdc)#uJi5w=*J9jKCCO; zZx3R>TI4zSaCcC0XweVM=K-prg%8Z<;KPMdfe++4_;6|A19=WUTw3@*o`Vk;>IM4) zc@92YTC6MNIrwmCu|JUK;KQZGx^^Evo%X|b-5=itMo-E{>%Tqwfr2l#N+tq<_ws@ru1K3sL%5AfltgAe36 z_;78R-5=n?Rk!O3e7NeiAK=4PxBCNpxVG=EEAZi}gAdH-;KQXwKXAVte7Lmmf&1;? z!-c8Dx!SeH74jT>xU}$rJpW-n2OqBUVSivg2OloH zEqvg9JNR&E;RD~F|B&b4!*xFRK%RpS*GI1P0X|%HyFb8(t8TAX;KNn7>k53h>Nd~8 zhwEb^d?3#s%;(_4bw2n&o`VmUcKZQ7T$tnC=itLtw|NdeTy?vyz=x}DKbL?HR~>vH z&%uZ5<2d?(JO>{xEqox)!G}wWb%i_!AFgo${Xm|B50@7E1M@lfaB0yG%;(_4rN#ch zd=5TbsBEk&%;(_4rN#chd=5TbTC6L~=MVB6e7MFp_&}aN$aC=FIv@IhJO>{xE&72x ze~{<{EQ_;B5NSRdfSRk!O3e7Ne?2l#N+Z9l+=t8VuP z_;BI$;RAUNK3v*eSKz}{xBDD?xazha;KOx0XZHvAaMkVg3VgWg-~;nH_;6{_59B%c zaNUA}59B%caA~owkmumTrA0rG=itMo#kxYCgAdowFQ6aDbMWEPVt*jd!G}wWb%i_! zAFkVf*dNGq@Zr*8T_MlGhf9lfg**ozuG^DXSD4TLkmumTbw2pOd=5TbTKK?x4nEus zK3vDp56tJ_!=;4}fmGZygK;U`Mj^`;A8W=I{4W6ygK;UJg*KuHqWbr zkDbr^Y7ss*&#QxvozJU-kInPy;A8W=I{4W8?R^~zADidZ!N=x#b?~wCd3Eryd0riS zY@YWOE`02KULAaFo>vDSo9ETR$9{ia9eg0q!H4^S57)8v0X|%H>jQka>edJNaMi63 z@ZtKZeER`DTy^k)JO>{x?S3u+AFjInTmn8^b^Eyle7L^y-_KVs}H$3d{JkmumTrA0rG=itMoML&?|;KTK}3i^RO2Olmi`hh$LA1*DfSIBel z;nHHCBhSHy>rotBuaM{9!==T#LY{*Umlo>^c@92Yj|yR3A{xE!GwC z{DnLRAFjun-~)LMK3rP(K%RpSmli&d=P%?r_;5XvwLZXyt8VuP_;A(jx&j}ry7d7* zTy?uYz=!KGGWbBAgAbQ>`vE>&b-T~OhpTS)2l#M3=C;=>@ZqZ4eGWccb?|{a2Olmi z`hh$LAFiKng%9L8_;6{lu8`*!@*I4)&IcdJbMWDMoDe>c=itMog%8Z<7xEl@xXuS3 z$aC=FdISsK*D>sK%;(_4rG*d7=itMog%8Z< z;KTK(Df)r=9DKO6SXY?O!G}wW>lNm6@Zr+px{LW7e7GK?#q|pF`Gq_OAFlJk2l5ANX(`LqCw`;KQYb59B%caB0^E_;5X%y!!)uxa!si_;A&2o`Vlp-R=+Y z;i`iV%;(_4^@#PZEAZi}+kFl`Ty@(I@ZqZ4JO>}{2R>ZK&=2JK8~5A6hwFUk2ky6n z50@4`aK9aVxE@=F56tJ_!=*((kmqmQZwDW)^T7x1w}TJYqxSHD`|WS!Irwm$5B}HS1vZs!H263*DK^X_;6{_59B%caB0yGNd~8hwHT>_&}b650`fLIrwnZZJvV*A@71)$Kk9AFjIX2l#N+?dKBk;eO!5bqxJLo`VmU7Cw;Y z;KQXwKal5N+;0aTu2-Ak1NYm(hf50|n9sq7OA8;E&%uZ5)$85oU*tLXaA~nWkmumT zrNz2Jo`Vnf10Swq@PRxBA1*C?AkV>vOA8;!bMWDMogMoe^Z6Hf4nADxgAe5S7kLgo zT<62OLY{*U*K7Ic2lD)j`TUDK2OqA#f$J{v9DKO6xLzU8!H4^OF`t7ER~`C+`5b(> zv{+ZjbMWCp9bjD{&%em?FXnUb;rbi6USU4(hvxt1D5b@^!h8-sT*!u9SN-ty{0;Eo z(r!P%hpTSa75H$~tq<_we*K8*{`-*U{Rk-PHqXI_>u+p7`Y}YDZ~Fm0T<6>U0X|%4 z4D^c@93@ zFYx~Ue@32z50@7E19=WUTw1IvcRvCmDd$n#z@UM=#x7hO?@b%i_!A1?e5))n#` ze7G=0+mBwZntxv}D&g4r=mi?oZ9jUk19j`87Y0Y^1O%D_U|Ik!H4T_pdZNd9)Q8$KtGV@J>;-j+;8s-dDLND;eLBx zx{xEqox) z!G}u=ADGX<{EQ_;6{lt}vg250@7E19=WU+z))Xj==}!bMWEP z!Uyske7LmmfjkEvuFL&?E_pDYgAbP$*DKs_e~{wL%$b4)?!~MXA>ll0>&%uXF3m?dH@Zr*;AINj?;rhtB`{NIJ4nAC3tSjU> z_;6{_59B%caA~owkmumT^>KW!yMM@Y@Zr*8e<07nhf9lfg**oz?gu_x$KV5b4nAC3 z_&}b650@4`kmumTHR51@AkV>vON;%1JO>{xE%pcIbMWCB!LUCtpZ_7x!H4U7@PYXp ze7LmmfjkEvF043wAkV>vON)JuJO>{xE%rI`9DKO6*yqS|@ZlPXu|IIX9elX7*dNGq z@Zr*8e{7yNo=-nIHv6Mve%?`*z}`gv#vTeJ~}r2=#~O}Y@SyKANxF9 zb?~wG+pB|*eIBkl_}K5yyA1*#o9ETR$KG$R4n8)|tAmft^XlMZ=kspez{lo!b?~uy zULAbwd|n-VY@SyKADidhZi0`U&#Qxv&GYKuWAnT^_}KZpI{4T;?-m(+?EUuY;A8W= zI{4T;uMR$TKCccwHqX1Q2Opc~)xpQk=heZ-=6QASv3Xt{eC&MQtw{LTJg*Kuc0R8T zJ~q#*gOAPg>fmGVw|Dy#J~q#*gOAPg>fmGN^XlMZ^SnCv*gWr+FMRBLULAaFo>vDS zo9ETR$KG$R4nC0Q;KOz6dh;B7xau~~!H27E^BjD*>Nd~8hpTS$9DKNLx5EeW{DnLR zAFlJk2l5_;7uFgmr~H2Olmi z))n#`e7Lme2l5{xE!GwC9DKO6SXan%@ZtLE6YC0j{z9IE57+tN z19=WUTw3@*o`Vn9*R|^de7Nd%U4ai*-TD9@uDabH;KNn7>k53hzG8+C2OqBU z!3Xjje7LmR5Aflt+kFl`TtB6@`vZKq>h^jCK3sLX&%uYQ4nC0Q;KTJ*KKg+?2Olmi zd?3%khf9lfg*?BI=itNj_yK$%&%uXF3m?dH@Zr+J2j=q&c@92YKdA>F$aC=F(!vMw z{6e0C57+syu8`;8!}XX4d?3#+%;(_4bw2EK%;(_4rG*d7=itNjXbOB_J_jEzE&74^ z9DKO6SXY?O!G}wW>lNm6@ZtJdM&t+PbMWEP;(CSo{6e0C57+tN19=WUT#pLD2j=q& zc@92Y=R-e`=itMoT_51X^(fQk2l#N+?YaUVuDb09_;A(j{s14YI`}}IgAdmuS=$fr z;i}tx4nACU+Yj*Js@u;c;KM!O!*vY(zk4`P#{G8i;d(R=K5)Mse7Lmmf&1-m}H^T7x59DKMQ_eMXE z=itMog%9L8_;6{lu8`;8!#&``bqqd`=itMog%9NU2YC)YT<1eSkmumT^;kW8AkV>v zOA8;!bMWEPq94d}@ZoywANvD&4nAC3T(6Ml;KQZGK1ZH|50@6#E95!&aL)&M4nACU z=m+u~e7Lme2l5GI z1wLGL+Yj*Js@wemK3sLXuE2-u6{5ZFf)7_6d?3%khf9lo;C?&!aB1NK_uIjT>s2VM zE8K4fA1*EWf&1;?!==T#!h8-s+yg#b$KV6^+rfuR3m?dH@Zr+J2l5v{+Y|&%elX@ZmZi_Brw#e7Igmgb(C7_;6|A19=WUTw3f8{x z?e25%;i}tp1wLGLyU)Rgt8VuP_;9^SyX)%4d=5TbTJ!_+IrwmC;REwI_;9`Ii+*4} z2Olmi`hocze7Lk&SD4Sihf9lo;QMp%;d+f3`vZ9nK3rO?E95!&aA~nWkmumT^_n%- z74rPX{dVx-Iv;%CemnSZY2gF++rfu>z=!J?`hh$LA1*C?U_J*QE-ic@&u`4<;KTKb zJA5F|!G}u=AINj?;nKnf@*I4)Uctw@LZ08q^BeOy_;CFV>~qZL;KQZGKF53xK3pgP z^aJzxjXb}R=itNjH*noWo`VnffDhNP?Faa9)$Mf`e7Nd%U4ai*-TD9@uDb09_;BGF z-~;#D!G}w`IoJ<3|Ib^hZr4>m9*nx(AK=4fi(Oc|UpuEqq`;2Olm>2l|2g?fp>A{$1ob_;CFVtSjVs zKb(QTfpvvE?}rdpi#!j|k2>@N^Evo%Ax+Q^%;(_4rNz3!d=5Tb*cA9co`VmU7X3h; zgAbP${Xm|B5BCJ6-2eB;bMWEPVt*jdgWBP5U|k{41DCB9^Lg+r)S(~9^I%BOq94d} z@ZrMZpdZNdpeFlwF`ozJKppl6@;t}_wCD%&yqD&o-G1~k_tf>WG>)y0UgAaF_M?|e zQMW#N=@E77qnGiv4)Zzqa8EB`K?@(qbMWChAABIs!G}u=AIS3_gx`NN@*I4)uuSL& z@*I4)wCD%&9DKOYPgqyT^B%BUZ^(1-;rbg`SIF}o?!n){y25aecx z{WPhYy?eDHz!9DKMCTkwHA@5__@yO__r(T_Ux19{$!TWHY_eu8`;8!==T#LY_a!bMWChAABIsAKY&TA1-U#5Aflt zTOZ)VRk!O3e7Ne?2l#N+?fw8C?g1aJW4k}VhpTSa75H$~?LG$|uDZQmfe+Vm*>wdz zTy^k)`5b(>wCD%sbMWEP!UyJa@ZnnaSXan%@Zr*;AINj?;nHGVVLk^RE^H(EfjkEv zE-m&4@*I4)v{+Y|&%uXFi~WH-2Oq9W4%QX&9DKO6SXan%@Zr*8T_MlGhwGAvb%psH ze7Lk&SD4Sihf9lfh4~zOxGvdPSD4Sihf9lfh57ssc@92Y=YtRAIrwm4NZ|u{4nAC3 z_&}b650@7E19=WUTw3f8?2nF(kB&`0IyUR7W80@ZqZ4JO>}Hy3KR&;Tq5319=WUT-xmi_;A&2Kfs5pZu}vU zrN#b0o`VmU7W)Hv4nADB@UX6s=itMo#kxYCgAbP$>k4@eK3rO?E95!&aNVB7x^c@92YTC6MNIrwnh-raQtK3sL%5Aflt zTOZ)VRk!O3e7NeiAK=4vOBz0q=itMo-TeVRTy?vyz=x}D`vE>&b-O>nhwIk*t}F22 zs)G;YIrwmC(GTSLg**ozuAhcMKal6(!=;4}#Gj< zK%RpSmli&d=itMog%9NUh4~zOxW1l&56tJ_!=;4}%;y*K9DKNbHVEqqc@92YTKGVo zU&wRt;W{7oIr1EQxW3AQ59B%caB1NKc@92YTJ!^X4nAC3tSjU>_;7tqhx|aEgAbP$ z*Inc}_;6{FAIS3y^Evo%{qz)kU_J*QE-iduKEIIX;KOyk?Faa9ef7Ed0X|%HyRN{8 zt8RUO4_Dpp5AfltgAe36_;7uNyZrzkuDbnP0zO=IyFb8(t8Uj7_;CH)*zR-i;i`iV zajYxcZwDVPE&74`?cl?u#k#_L4nAC8+oK=I^Ed9d zgAdpF-~;#D!G}u=AGqKCMxKKY*U!_TADGYI$aC=FIv>^*@*I4)v{+Y|&%uZ5kqP*~ zd=5TbTJ!^X4nAC3^aFVgK3tDn`#fe7Ll@ULnuHhf9m=F7h0FxPHzM z*DK^X_;6{lu8`;8!==T#LY{*U*W)x;SIBel;nHGVA&b-O>nhpTSa75H%dtR;LP&%uXFyZrzkuDabH;KNn7{Qw`X$EtRp zgAZ5T?ho+cs)G;YIrwmC(GTSL2YC)YT#tvLAINj?;nKnf@*I4)wD5sE2OqAVH$^{? z=O5%b_;8&MK9J|&!=;4}&u$aC=F(&D;{JO>{xEv{F{bMWDML=)=@ zc@92YTC6MNIrwmCv96Hk;KTI@Db^M89DKO6SXan%@Zr*8U12^4A1>{#EAZj^spRbk z_;A&&5Aflt+jRv#Ty@(I@ZqY156tJ_!}Un-?ho+cs@ru1K3sL%5Aflt+x-DPT#py; zx&j}rI{3hR{zaaH57+tN19=WUTw3%4_uIjT`vV`YV^~+nbMWEP!Uyske7LmmfjkEv zuE)L658Q7DA1*ES2ky6n50@6}3V9AbT#ut;pCiw~hf9n7fjkEvE-m&s@*I4)Kk(r? z1|OKu!G}u=ADGXk)tKbIj-9!==Ukzk53h>edJNaMkVp03WWpU02}4^%@I&AkV>vOS}6Ve7Nd%e}E5H-Sz`~xIggW zItCxebMWEP!UyJa@Zr*;ADGX zxL$kOeSRa)!G}wW{ee6OA1*D{74jT>xIggWItCxO-wr-pTKK^IcJSfS!UyiRgAdoM zWY{0b^BeOy_;8&MJ}{qy50@4`FrR}D*XwWaf%*JKo`Vn9`QQV24nAC3_&}b65BCQ? zT*t7kkmumTrG*dV`HlG;e7MeseUAAYe7Igcgb(C7_;6|A19=WUTw3%4c@92YuSV{= z0w1orz3ze!SKaymAFjI15Aflt+kSu#_Xj>)$F?8f!&SFA2tHhOn;+oARk!^BAFkI; zHwVFos}4RepZ}2OKjb<1aQzMR19=WUT(8K&2lD)f`|aSvbw2b1_uIjTOA8;k-wr<9 zANX(`!~Q^?|B&b4!*xFF59B%caA~owkmumT^?EXVAkTlu^B?AO@ZtI!*dLhB!G}wW zeUAI>;KTKrHTDPc9DKO6=m+u~e7Lme2l5#JotZ>RCVxyJnu(iSBpIF2ToCkb%i|d zM=YU*59E12O1N6g=lxh7>d+75c|V8-E&72x?}xCUML&?|;KPOKfDhz(KVGwc7kS) z$IuVtc@O5|@1h^b^B%rjE%LmF2T_N9AkV>v3onI!AkV>vON)NseYGCy*nczfyax+V zhy8&(?@MNA(GTQ#UmC6!dES>2OsnP`Kg1C$@5bOACu?RO`e})=*P_Grw;v?JU?~l z$K?5`LqBFdKXvHGd=qL^HYa@OrBRad47(eA2XkyI`m`m{M4Zzljo-n{h061tD8JO z$Iy?-^HYa@OrD=Q^kee;)S(|UpPxGPWAePZna|HL^kee;)S(~q{rRawKPJyl9r}Sh zukydoP0`_y=gWsXb=!~S!=1YA$MWG$-S%VoaHnqjv3$7dkmoPt`SRh8cKfk>xKp?N zSU%jT+kPw`t~%uT^5IV1uB+w4ojUkHo-ZHnXweVk`SRh?BF|sQ^X0=GE!GwCeED!k zi+&)_mk)QeSXapN7xH}haGei%{z9HFAMR-319`rDxTA#+ek>oZI?U%6@_hMlN4xuD z`EaLh*VXdjPTlrn`EaLh_s8<#s>6J~e7I8wAIS6N!yPU9fjnP6+|j}Z^87-cFCVV+ zAxI_wY3=gWsXTC6L~=gWsni#%UG+^NGp zN1k7p&zBE(&WCek>pE)a~_Z`EaKWK9J|j zhf9n3eED#vZm+w`hdXuKkLAOix?NYxhpP_r`SRgT-ClR!$n)jH9WDBSJYPQC(ZUDv zeED!`k>_vRZ(ly#(V`!?-@bgfqs6+y{r2U_vB=gWsXTC6L~=gWsXTC6L~=Wpcs^5ME;jyzvJ+^O4jwS2f!xBXZ? z+^Jh1%ZEF4yRMcGR~_c_5AuBZa7VlSSU%jT+x@Y8xKp?5YWZ;0ADkmt*XJK9}W%ZKaRZshs$ z;ZEK9SU%jT+jX^kxKp?NSU%jTgAdH-%ZE#gJYPQCsoQn6e7IA${a8NSsoVXre7Ne6 z=gWsXb$i|YVm@C!+|i;Rn9sk+^X0>x^PwNe^X0>(MV>Do?$n_l$n)jH9WB-s@_hMl zX)&KKAMVtlAIS6N!yPU9f$z_k4|lX!SNQ&X`EY6RJlq#~zI?c&ML&?|%ZEE!^aFXm ze7Ll@-@bgfQ-^*a&zBE(wCD%&eED!ki+&)_mk*Z~^ZD}OP93hhn9r9FceJ=(VLo3z z+|gp6V?JL#Tw3J$7xVe@;f@ylzxI`}}IFCQ)~@_hMlr*5xT%ZEF4 z+mGeLow{9D%ZIBDdA@wOQ@7Wv<-?si_&}a7AMR+;56tJwhf9k*Uq0NagAdH-H}ZV> zaOZsJ2l9ORa7T-Eg*;zATw3J$^5IS$`hh&Zk>|^YJLki?LY^-lE-mtW`EaKW`y6?` ze7K`UKal6khdWyI19`rDxU|Ug<-?si^aFW*BhQx)cg}}?AkUW%mlk<`V?JL#+|j}Z z=JOkQzI?cIKKMYMFCQ)~=JVylojR;5%;(F8J6fzO%;(F8J6fzO%;(F8ON;yMH}ZV> za7T+AM4m4n?r6~uek>oZI^_BC;ZEJ=;1Bcp^5Kpa{lI*_e7K{956tJwhf9m^ z&zBE(>d+75`498?^5M?;u&ywlFCQ)~@_hMlrw;wVe7=0RqeVZE=gWsXTJ!^XzI?c} z$nziO^X0=G?dHew;Z7a)2l9ORa7T-MjyzvJTw3J$5A*r*;f@ylK%Orj?r6~uN^ZD}OP94@2@_hMlM~i+S&zBFE7J0sWxKoF9g*;zA+|i;R$n)jH z9WDBSJYPOsTIBhIJYPQC(V`!?-~J%aALRM+;m+T{{y?5DA1*EOeED#v4nC0Q%ZEE! ztSjXC^5N3r`|}5RzI?c&-OsxZ@_hMlM~mw&@_hMlM~i+S&zBFE7J2?4&zBE(wAdfW z^X0=GE%pcUeED!`k>|^YJ9X#>@_hMlM~i+S&zBE(wA+v6!}WGI=JP+~`SRh8cK65f z;ZEK9SU%jT+kPw`t~%uT^5IV1UU&bH=gWsX+Fe(Fn9r9FceL<*^2p+m{b_wCD%!w=W;=XtA!4=gWsni#%UG+^NI5LZ0`d)WcI)KHNDU`holH%ZE#g z=i!zQck0j&+;3k#+|j}Zo`+jL+|i;Rcph%~aA}d}%ZEF4=m+wA`EW@ z4lULd@;s3BYLVxE$n)jH_5U7uzI?b-hkhW>mk*Z~_uH2bck0j&rUbMWE9$;`Uy*z}`g#Ae3u6hX{KK6clb?~uy zULAaFo>vDSJD*nvADidBR0toN=heZ-=6QASvGaL#@UeMb9eiw__tFJ??EUuY;A8K% zR|g-P=heZ-&ga#^$L4ttk;2F3d3Eryd0riS?0jAwd~BXq2Opc~Jv;*+JD*nvAN&1z zb?~uyULAaFo>vDSo9BIz2p^m0)xpQ!Z?6tMc0R8TJ~q#*gOAPgZmPn^=6QASvGaL# z@UeMb9eiw_R|g+EpEo4J$L4u;@UeMb9eiw_R|g-P=heZ-&gX?FeC&K)9eiw_R|g-P z=heZ-=6QASvGaLYwe?Z>{Quday7kdT5q0aM&5FA9(IP_K`pDeYAkxb(&%uXF z3m?dH@Zr+J2l5xRwjn74jT>xU^VT$nzKS9DKOW2Or3D@ZnnG@PRxBA1*C?AkV>v zON;%1JO>{xL?iYG@*I4)wAdfWbMWEPVt*jd!H4S-f&GCz2Olmi_6PDDe7LmOAINj? z;nHGVA+*+ng**ozE-ls-@*I4)v{+ZjbMWE9cVb;3&%uXFi*{xEqox)!H4T32-X$y9DKO6=m+xrLY{*U z*ZJTBc@92YADiFvYwX6lLY{*Umlpj%o`VmU7V8T4+rfu> zfe+U)_&}b650@4`kmumTrG*dVIrwnh%D}q9{dVx-(qfn`|k)$RTOAFew1K%RpSmlpj%o`Vn9Pm#a}@*I4)v{+ZjbMWEPq94d}@Zr*8U12^4 zAFkWz=m+NW5Aqy*xXuS3$ny{K9DKNL>7yUWbMWEPq94d}@Zr+J2l5f zxb7m)!G}wW>lN}Ge7Ll@ULnuHhwCdU><{EQ_;6{lKal6(!==T#LY{*Umv+|`_;4@q z;X1bK3VgWgwjbcbRkuFChpTSa75H#{6}bHXAFew1!2Ndc;nME@03WWpU02}4Rfm2c z&%uZ5YfkvUd=5TbTKK?x4nAC3^aJzx7kLgoTtD3fAINj?;nKnf@*I4)wD5sE2OqAl zj`w;6K3sLX&%uYQ4nC0Q;KQYb58Q7DAFi*v;RE;E!G}wWeUAI>;KQZG{=oO=;KRMZ zhwB)8AkV+ZbMWChANqkj2Olmi`hh$LAFjs=-~)LMK3rP#19=WUTw3%4c@92Yk3HbJ zi#!J(E-m&4@*I4)w8#(SIrwmCaot6pgAextAFgBYfjkEvE-ic@&%uXFyFS2&>yeXP zSKz}{w?4p!t8VuP_;A(jx&j}rI`}}IgAdoEGn*ga!&SHY1AMsZwjbcbRk!;be7Jt* zarX!KaMi&F@*I4)wCD%&9DKO6@PRxBAFjudu&$8jH}V{OxXuS3$nzWXIrwm$59k4@eK3tDB!Uyske7Lk&SIBel;nHGVA(R?y zSKz}{w|NdeTy^UMe7Nd%U4ai*-Sz`~xPDF;K9J|&!=>H*0X|%HyRN{8t8V)NK3tEp zZk~e=SKY2F@ZqY159Ij|c@92Y=YtRAIrwlrmWzHM&%uXF3m?dH@Zr*8U12^4AMOP{ zT*u%8dHzG5gAdpFus@LJ;KQXwKal6(!}a(xd?3%khf9loU_J*QE-m_j`5b(>9?!=9 zz{xE&72x2Oq9S{Bhkyo`VmU7W*7|4nAC3>~rKf_;4@q z;X1ZHz=x}D_c{1*)onk(hpTRVfDhLz61%RzhpP@gaK9aVxU}03@ZqZ4{Q*8)b-S*> zhwD|3z3ze!SKaOp@ZqY159B%caB0yG}H^T7x59DKO6@PRxBAFkJc-~)LMK3rPtbL2VraA~nWkmumTy&mK_ z_;A%>T_MlGhf9loAkV>vON)LW&%uZ5bu07(^Evo%Y0(elIrwmC(GTP~_;9@rhU+f! z9DKO6xLzU8!G}wW{6LlI$~19|?3JpaRd4nACe1N$8F`5*H94|xtgT(2F&2lD(6^Z6h09DKO`27DmT!G}wW zeU3Z_AMW*s`|aSvRfqk7=i$JION;%1=i$JI>s9XkTmn8^b=V)sbMWEPVt*jd!G}wW z{jqu8>*wR6W3#S0HafmGZJTw7(?EUuY;A8W=I{4T; zuMR%;etUKBv3VX&0X{a*tAmf7&#Qxv&GYKuWAnT^_}KY81O$BSd|n-VY@SyKADidZ z!N<<$)xpQ+dDsg0*gUTeJ~q#*gO8ohtAmft^XlMZ^E}iBd~BXq2Opc~)xpQk=heZ- z=6QASv3VXI1U_~?uMR$TKCccwHqWbrkInPy;A7|WkS6f4&$m|xADidZ!N=x#b?~wC zd3ErCJO>{xG|T2W_;A&2o(G`(pWRm7=6PU5)NP&z>_gq=c>ueuL!N^VcLfH67Cw;Y zL873A59E0upw%MJ1J9st*A@71;d9^vc@92YTKGVogAW&?2mL^v_d@%6L!S5YHR`ag zkmtQP3oX_a^1PQLSBpIF3vD2Ubvrejv}m zhYNLuejv~Lk{N#&{Xm}gMdE6a=Y8pgI;<}l1MIHKqJO>{x zd>Gah@*I4)wCD%&ykU3#%?*<{wmuqiP`CZ)!#C=-AAKl9-TLUm%GM#z3u@HC2l5 zxU^VT$aC=F(qdg9&%uZ5e>2t<=5z4j(qdgn`|k)vXWk;i}vG03WV8_&}b64;OND`vE>&b(@3W!&SHI z3VgWgcAtX}SG;Wwf)7_6eBgdN_;6{_58Q7DA1*C?;C?&!aK$v%73OpB;nJcXxZe&w zTw1Iv+;0aTF3c?Yf%*K6JO>}H^T7x59DKO6@PRxBAFhu+@PRxBA1*ESIqtWE50@7E z1NYm(hf9lnjywk+u8(!tAINj?;nHG%AkV>vON;%1JO>{xEHU;6^8AfF2OqBU!3XmE zjXVb*uJfTE$aC=F`uL1~AkV>vOA8;!bMWEPq94d}@ZtJ+kA5J}!G}wWeU3Z_A1*ES zIp%Zl;V$suI<`K*hpTS)IrwnZZ9l+=t8RUO4_Do;EAZhOqu>L14nADk?Faa9)$R2P ze7Nd%U4akRn77wm@ZqZ4{Q*8)b?|{a2Olmi`hh$LAMOGlu4Cv2@*I4)wD5sE2Olmi zd?3%khijZhKal6(!==UkK%RpSmlo>^c@92YTI>(x`3HFpK3umC-~)LMK3rP(K%RpS zmli&d=itL#;KOwcKJfiH_;6|A1K*#650@4`FrR}D*DV?BbL2VraA|SfMV^BXmloG6 z;HN1lTZmlpdRc@92YTI_S=IrwlF_;4MA59B%caB1NKc@92YTKGVogAdnj zxV>J14_Do;EAZi}TOZ)VRk!;Ce7Nd%U4akRtwZ=go`VmUcK12>aMkVp03WWp?Faa9 z)$Kn2BG18x>t{3256tJ_!=;4}%;(_4rA0q5pMwwAZQI=+;KNmiejv}mhf9lfg**oz zE-m_jJO>}H+t26+@*I4)wCD%&9DKO6=m+u~e7J6pqaV264nAC3>~q|22Olmi_6P2_ zgAaFs57#mHzh^jCK3sLXuE2+@4nC0Q;KTJ*+x7!|xaxL)fDc#Q_5*yl z>UN)l57*azyFb8(s}4Sp=itMoML&?|;KQYb59B%ca2NP+9fJ?#IrwmC;RAUNK3rP( zK%U>obMWE%8Wui~=itMog%9L8_;6|A19=WUTwfDopCiw2%;(_4bw2pOe10R(!H4U7 z*yotf!H2uRhwB)wSIBel;nHHCV?GBTE-iduJ_jGJujsL^FrR}DmlplNd=5TbTC6L~ z=itNj2m#g==JOkQ4nADxgAe5SjXVb*uJfTE$aC=F`l&qh19=WUTw3@*o`VmU7X3h; zgAdoE8ru)>;i}vH0X|%H>jQka>Nd~8hpTS;0X|%hm%s<|9DKO6o9E!eRk!;be7Nei zAK=6F_{-)w_;A(jx&j}rI`}}IgAbP${Xm}okmumTUEsrY3_g(O;KQZGx}H^T7x59DKO6 z@PRxBAFfAm;RAUNK3rPt59IlSJO>}H^T7x59DKMQ8-@?$IrwmC;RAUNK3rPt59B%c za6Q(HeU3Z_A1*ES2l5}{dXVSf!&QfVU_J*QE-m_jJO>}H z$H&nRxa!~oc@92YTKGVo zgAdoMD4TI{4T;uMR$TKCccwHqWbrkInO5BZZHh&#Qxv&GYKu zWAnT^_}KZpI{4T;@6}iM*gUTeJ~q#*gO8ohtAmft^XlMZ^Ssw};bZf>I{4T;uMR%; zetUKBv3Xt{d~BZgiZOib_vh8Y$L4u;@UioGb?~uyULAaFp7&ZbeC&K)9eiw_R|g-P z=heZ--fypNeSi=51|P0to9E!eRkwK#K3sL1=P%?r_;6|A19|>Jo`Vn9YwFvN7xMgt zJO>}H^KCy~$aC=FdOdzWUx5!-9r}Sh2Olo&t}F22s)G;YIrwnB(hncVbMWEPq94ff z7xMgtJO>}Hzp& z$d&B}_;A(12l5j0zB^j7vwqkaGekRK%RpS7fuE~kmumTrG*d7=itMoML#f~ z2mRUqFUa!%HK^PD5ljVj@PRxJgaIvlAkTvxtQL9R%lfE;59B%caAAP3u8`;8!==T# zLZ0`+I`jj1-V0pNq92&gdm(4F$n#zvK^^*m`5b(>H~4TJgAe36_;6|A z19{#ZU5{)<-w{P`CZ)CKT${ zM>j`M2Or3D@Zmy~!3XlZA!q+C@*I4){sw$tJ_jG}-3OTcySU#DK3rP#1NYm(hf9lo zU_J*QE-m_jJO>{x6dd}2`5b(>v{+ZjbMWEPVqGE6!H4Ugg8hL!2Olmi))nrzgAbP$ z>k9YV!H4UA71kB<9DKO6SXY?O!G}wWb%psHe7Lk&SIBel;lc!BT_MlGhf9lfg**oz zE-ls-@*I4)mMPX1@*I4)v{+Zj^EdJwe7MdBADGYI$aC=F+HU9v@*I4)wCD%&9DKO6 z@PRxBA1+)Z`hocze7Lme2l5`vE>&b$h)6A1;*Wt}F22s@v->_;A(j{s14Y zI{3hR4nAB_hJIi^{~*u7hwFUk2l5}H_=OMTIrwmC(GTP~_;6{lKal6( z!==T#LY{*U_XZ!XWAK4I2Olmid?3%khf50|$aC=F`Z$E^74rOp`|aSvbw2pOd=5Tb zTKK?x4nABT;ot-FIrwmCvCom`;KQZGbr*RKKHM98xQ@XG@*I4)wD5sE2Olmid?3%k zhwEc8uDi%{@Zr+pdWAd(A1*DfSIBel;riIV*DLVhs@r~m4_Dp#03WWp-5=n?Rk!^B zAMOo4T*tN_;KNn7*DLVhs@ru1K3sL%5Aflt+kFl`Tq6^F;C}lTc@92Y=YtQ-=itMo zML#f~gAdo32Or3D@Zr*8T_MlGhf9loAkV>vdw-GV;KNmi{ee6OA1*EWfjkEvE-m_j zJO>}HaT@)={dVx-(xM-@-wr-pTJ!_=+rfux+{g6__uIe7bMWChAADdw2Olmid|*ER zBG18xdxH_;6{_59B%caB0yG{xEpiZf4nADk%@6S5-r&P^Y}Xa|aMf);z=x}DeSi;F-L5O} z;kxCv{Qw`XI`}}IgAbQ>_XqfJ)$O_hAFev|1NYm(hwFACd?3%khf50|$aC=F(xM;8 zbMWEb;KOwcK5)Mse7Lmmf&1;?!=;4}+;0aTu3NNwy#gPuy4~mC!&L_#$aC=F(!vMw z9DKNLE5ir!9DKO6*yqUe8}m8%aGei6FrVMZbMWEb;KOwc{Xm|B50@6#E95!&aA~p6 zF`t7E*DZbQ59B%caA~owkmumTrA0rG=itNjl>^ol@*I4)v{+Zj^BeOy_;8&MJ}{r( z$aC=F-r&P^4C@Mc4nAC3tSjU>_;6`=U4akRS3BDe@ZqXkAK=4Px9bXgxau|s!H263 zK9J|&!}S%_?ho+cs@ru1K3sL%5Aflt+jRv#+#7tjj-emObMWEP!Uyske7Lme2l5{1$v5&%uXF3m?dH@Zr+J2l5}HM;vyagAZ5T_5*yl>edJNaMkTT2OqAw z?Faa9J(d9<$nyvDIrwm$4?d9R;KQZeet-|xV|k9X&%uXFi+&)_!G}wW{ee7x zkmumT^_UTSAkV>vOA8;!bMWEP!Uyske7HCGa2}H^T7w^bMWDM+zdXD=itMog%9L8_;6`)y+WRY50@7C zfjkEv?hQU%$KV6=IrwmC;REwI_;6|02l#M3>bLs?e7Ne?2l#N+?R6J?xaxLYfe%+5 zd|*BYAFf9hH$VQ6=itMog%9L8_;6`AKfs53{~^!8hpP@gaK9aVxU}$rJO>{xEqox) z!H4THP^>HDIrwmC_qq!{Ty?uYz=x|2K9J|&!}aJYd?3%khf50|$aC=F(qexg&%uX# zgAdm+_&}b650@4`kmumTrG*dVIrwlr4!rpRK3sL!ADGX#=6^1M@lfaB0yG94MuMR#o&#Qxv&GYKuWAnT^_}J&+dW8c%HqWbrkInPy;A6i(uMR#o z&#QxveZIZdPT*tnygK;UJg*Ku_WSed;A8W=I{4T;?^PN2*gUTeK6XB@4n8)|tAmft z^XlMZ=ks3gfsf7e>fmGZygK;U`|Z`i$L4u;@UioGuOz|8=6QASv3Xt{eC&K)9eiw_ zR|g-P=e}HzX2b} zbMWEPq94d}@Zoy(73&Il{z9I=kmumT^*396pfe;KQYb z59B%caA|jcT*!0q;d(tD>k4^(VLk^RuJgeM@*I4)wD5sE2Oq9i`r!k4ej(2<edJNaMi63@ZqZ4egt)&{|Dg1eZYt77<^zp4^WN2vFi$axcpog!3XAZ@ZtKm zzz62@KtTI}vUg~i!@9ykT(gAe3+zzk^N19=_*VYSF}@Zmna_zo?6U_S5VW@zC9 z^La0@t`_q-_;8_yu&ywlgAbP${Xm|B50@7GK%Vy!%z8tf_i_g6&=2H!58FeFejv|# z$a=NNbMWClJxB{Jd|*BYAFlJk2ky7`@EiUvd?3%khYL9cAINj?;nHG%AkV>vOA8;! z^S+E8zkRWbW9y?Y98tIHsxQJ&xBch~8q}?izBt%A(xIrwlb zN$d~g`3Lhk_;8&MK9J|&!=;4}%;(_4wT<8dc@92YTI_S=IrwmCk%P!{@Zmn-!*y(Z zfDc#QUU$KVt8V)NK3sL{1AMsZc7K2m*X0U6aK9aVxU}03@ZqZ4>lOHL)$O_hAFj*k z<{k4@eK3rPtbL2VraA~owkmumT^^pPV z3V9AbTw1Iv}vUrQLlFKHLXze&%uXFi+zqf2Olmi_6PF(MxKKY7g`-YkmumT zrG*dVIrwmC;RAUNK3tlN;|gAdnj3+!{u z=itMo#s0v24nAC3><`T6;KOxW1?vj)IrwmCv92(mgAbP$>k9KZ_;4Ta;X1ZHz=x}D z*A@71)onk(hpTRVfDc#QuB#vN9DKNLY3;fKAFjIX2l#N+?fw8CuDV@U;KOx$ZTC6& zaMi&F@*I4)wCD%&9DKO6@PRxBAMOJ_T*u%8c@92YTKGVogAbP$K9J|&!*y#D`vdpe z!G}wWb%i_!A1*ES2l5&%uXF3m?dH@Zmn-!*vY(K%RpS zmli&d=itMog%9L8_;B6gMn90};KQZGbr*RKK3rN{uaM{9!==S_7kLgoT(|GB&ynXp z%;(_4bw2pOd=5TbTKK?x4nEume7KIGAINj?;nKnf@*I4)wCe+WxV{qE{Q*8)b?XCs zxa#)03qD+RyFb8(s}4Sp=itNj)y}Rf@ZqZ4eGWccb=wc{;i}vG03WWOA=-ToK3sM1 zfjkEvE-m_jJO>{xEqox)!H4VXGOR1)`GY(MAFlJk2l5}HulV2tc@92Y zTC6MNIrwmCu|JUK;KTJ5B-R!3{6U_B57+tN19=WUTw3@*o`Vnf0Uxeo=m+u~e7Lmm zfjkEvE-ic@&%uZ5t6ua2c@92YT3mOL=itMo#q|n#{@{K)_;7ta4IjAQ4nAC3_&}b6 z50@4`kmumTrA2-q&%uZLfDhL(_&}b650@4`kmumTrClH3!}Vyu?ho+cs#_o6!&SG} zUGU+m+x-DPTy^k)JO>}HM;tZ>!H27E_c{1*)onk(hpTS$1AMp-_;4LVKal5t$aC=F zIv@IhJO>{xEqox)!H4Uy5%@r!gAbP${Xm|B50@6}3VHsAJO>}HM_u3pc@92YTKGVo zgAbP$K9J|&!+pSq>ll0>&%uXF3m?dH@Zr+J2l5$c`~V-WI_z^i4+lP6TI>%z z4+lP6TI>%z4+lP6k3pdy`2HMxxU}d8zCQ;aE-m_jJO>}{13p~G-~;nH_;6|A1NYm( zhf50|xZe&wT#uGvUEzK^_;6{FgUEC6;nE^MkmumTrJWq?ak%l(vFS(0W`A^Sd~|I3 z(XrVd9UC7Vn|^d`_D9FyWAnVn`ru>pygK;UJg*KuHqWbrkInPy;A7|W9z}$Y&GYKu zW1oks4n8)|tAmft^XlMZpKtH+N%+`2uMR#o&#QxvozJU-kInPy;A5YM>yc0R*gUTe zJ~q#*gOC0GygK;UJg*Kuc0TVhRruIEuMR#o&#QxveIBkl_}DzJ4n8)|d$bllHqWbr zkDbq}gOAPg>fmGZygK;U`Mk%0;bZf>I{4T;uMR$TKCccwHqWbrkDbqZgc&|I&#Qxv z&GYKuW9Reg;A8W=I{4T;@3Cw6*zeD)gOAPg>fmGZygK;U@6W4SAK=6FsQCH-AFjIf z0X|%H>jQka>edJNaMi&F@*I4)9(mu-CE&wVx1USEhpTQsmw*pf-F_|sAFiKg-_KXz z!&L_#$aC=F(xM;8bMWEP!Uyske7IgCz`8=7gAbP${Xm|B50@6}3V9AbT(3ExAINj? z;nHG%AkV>vON(`dJO>{xE%pcU9DKN5;lR2=p1+Xi;KOx3_&}b650@4`kmumT_1X!1 zAkV>vON;%1Jbxk2!H4U7@PRxBAFfwr-~)LMK3rP(K%RpSmlpdRc@92Yukv7@BhSHy zON)JuJO>{xE%rI`{DnLRAFkJr-~)LMK3rP(K%RpSmli&d=itNjdemO8z=x}D*A@71 z)vXWk;i}tx4nACUyRN{8>y<9}zvc8s z19=WUTw3@*o`VmU7Cw;Y;KTJg-R_SIc@92YTC6MN`Gxr$e7MdBADGX?lYbMWDMtrGhj^Evo% zY2gF&IrwmC;RAUNK3rP#19^U7J_jGJ*GS<5^Evo%Y2gF&IrwmC;REwI_;9`Eit82T z^9y+nK3wO659IlUJO>}{dtp8YAFew1zlOHL)$O_hAFkJ(;REwI_;6|Wx(hyBb-S*>hpTS;0Y2Ope7KIm z2l5{xE&72x2Oq9i$oIMnK3sLXKfs5p4nC0QZ{+zK^Evo%{SB-u%;(_4 z^?E#fU_J*QE-m&4=JPl59DKOW2Or3D@Zr91%;(_4Rfm4ye)}7F4nADx!~Vd04nADS z0qhUV=itMog%8Z<;KQZG{=ofq@ZmxtV1FRb-Z$DoB zKOd=M=m+w=A1#I!J}{qy57*y?59E12G`fEmc@92Yhzjg;kWAxcolV6SIG0= zn$V&jn9qYMt`>O?KHN8O9<=a*`8*IBwD5sE2Oq9~3w$8YgB{x zd=C17JP(+$e;4z4AP3Z;ADGX3fgf7*1M_(=WJ8PV73T9^x?L^uyca}KhkhW>!H4_y zk{!+mAIS4wkXkMByq9@U2Or3D@Zmxxp&yvf!G}wWejv|#$a??HxZmCb!Kgz&aKF6= zL7_!IaKF8W{h-}`^l;hK^`I7xt&bicLf!6<9&AC~`sjfR)UA&m1lT&{d0$$i4nA}vUh4w-}kmub5#os_bkmumT^>@(^vL*D>@1dEN)g{kPzLJNR%R)Zhbo4nAC3^aFVgK3rP#19=WUT!=U9 z59B%caA~owFrR}DmlXX#o`Vnf1s|?s@PRxBA1*C?AkV>vOA8;!bMWE%--7*tJO>{x zE%pcI^Dpuoe7MdBAINj?;c6v(U_J*QE-ic@&%uXFi~K;IgAexwAFgBYfjkEvE-ic@ z&%uXF3m?dH@Zs7Xd%Xf5uDabH;KNn7KEQ{oZm(D1!&SHI3VgV>G<+b>!G}w`*In@8 zs@r`IK3sL%5Aflt+w1O)JO>}H%N6>8JO>{xEqq`;2Olmi`hh$LA1>VH?ho+cszX0; zza4zIv{+ZT-wr-pTJ!^X4nAD5fPNs)!G}wWejv}mhf9loAkV>vE4I)N}Hj{@+4JO>{xE%pcU9DKO6 z*dMsx4nAC3><`T6;KTJX2m1r_IrwmCu|F`MgAbP$`vdbi_;6u*u|JUK;KQZGx;KOwc{Xm|B50@4`kmumTrA0rG=itLNR_y)&AFev|19=WU zTw1Iv(xIrwm2@ZmZJAINj?;nKnf@*I4)wD5sE z2OqB6teb=2!&QfUj{EK4!==Uk!2Ndc;nHGV;eI>#aNRCOKXAVte7Lme2ky6n50@7G z!2Ndc;lAL*bqqd`=itMog%9L8_;6|A1M@lfaNUB(y25-8K3rPlAo3i1xU|R*wNHmJO>{xEqox)!H4Uoo!|p`{)apVAFlJk2l5}HuN&b5^Evo%X>q;6d=5TbTI_So=itMo#q|pFIrwmWm5P0i`5b(>wAkmE&%uXF zi+zsy9DKNb3Jm)kc@92YTC6MNIrwmCv96Hk;KTJ*G}aaJ9DKO6SXan%@Zr*8T_MlG zhf6!_s;|$-N5`fg9h-I4vGLKd=|{(AU3F}HbZq+3u~}CggOAPg9s_`n&GYKuWAnT^ z_}DzJ4n8)|tAmft^B!G*kInPy;A5YMs}4Rk&#Qxv&GYKuW1olXaSQm^Jg*KuHqWbr zkDbq}gOAPg>fmGZyhlXfWAnT^_}DzJ4nFpNdv)-!d0riSY@YX63w&&zR|g-P=heZ- zJ`YzNd~BXq2Opc~J&FS#JD*nvADidZ!N=x#b?~wCd3ErydEVng@UeMb9enJ3ULAaF zo>vDSo9ETR$KG%6ktg`rJg*KuHqWbrkGvDS`~7)!>jQka9xYoR;KNn7KEQ{oZhe3cSKaymAFew1K%RpS*H5VK=MwPYs@u;c z;KNn7pG&}pt8PD+fDhN>di(hbe7Nf119=WUTw3%4c@92YTKGVogAdnZhget0bMWEP zq94d}@Zr*8T_MlGhwHIO^aFVgK3rPt59B%caA~owkmumTrN#b0o`Vn9BcE7T$aC=F z(qdg9&tJ%M@ZmZid?3%khwCv__&}b650@4`kmumTrN#b0p1+Xi;KTK3Eqox)!G}u= zAINj?;nKnf@*I4)9{t5WN1lTZmlpdRc@92YTI_S=Irwlrnv8vpJbxk2!H4U7@PRxB zA1*C?AkV>v>v8J!0X|%HyRN{8t8RUO4_Dn@ufT_^Zr2s~a6JMJADGXlOHLJ<7iO1AMsZ-~;nH_;6{_56tJ_!=;4}%;(_4-QdG@3_g(O z7xEl@xXy=uAkQz%=itNj$^iO-`5b(>wAde*&%uXF3m=%z!H4UW2J8>a=itMoML#f~ zgAbP$>k4@eK3rO?E9Ciw`5b(>8+^Eqp&!U|@Zr*;AINj?;d*rh{lI(xciOy9DKOy&=1V#;KQXwKQNzz50@7Gz{x zEqox)!G}u=AINj?;d(_8>k9KZ_;6{lu8`;8!==T#LY{*UcY_btG5ElI4nAC3_`rM) zK3rP(zwD5uX9DKO@gFFWxt~#tM zwCD%sbMWEPq92&g!G}wWejv}mhwD{%>~rM#2lM#{ zc@92Ye*^tMo`Vl}e=whe4_6(0;C?&!aA~p6alajWxU{%l;eI>#aNz|u&%uYQ4(kee z4nAC3tSjXC2YC)YT=)a*59Ij=dH%tC4nACe1O32!4nAC3^aJxb_;7bWa{WI`s$*DJ z$n$>O7+Uy1p7#T`(834u9DKOY6YHZN#hkwZK3v-M0X|%HyRN{8t8RUO4;LC^*A@71 z)xihy9DKO6+mC)cY5%_<&%uZ5e0$vmAMWnQT=s8ZJ_jEzE&74^ydRCg-`I5pK3snT zK9J|&!-XnAKXAW2DEa>TkmumT^*7)Hc^)Kr{|53rP%rA>19={d6@Zmyxp&!U|@Zr*8T_MlAfwliWv>oSCOg**ozF6~}-!H263{Xm|B50@6}3V9Ab+zmcl$FQ!D=itMo zg%8Z<;KQYb59B%ca76&t73OpB;nHGVVLk^RE-ls-=5z4jiYTlrNIrwlPrr`t6!+{T%7C!Ji9Qbf) z;REwI_;8Il*dLhBALKdsaGei6kmumTrG*dVIrwmmW$=MK2Olo&<_Gw2)nR|&emnSZ zX|X?Wza4zI@Z(rl$aC=F(xM;8bMWEPq94d}@ZlPN(GTSLgYVD5hx=FD-Ly!ST~`>M zH`ITqiN>wU%8y780)2uzYp4nG>MbzqjPORJ~ z?tP=zgAbgygAbP$K5*U+K3pR^eBitte7Lk&SIBel;nE@pk>}vUrQQ4hA1*Zgt}F22 zs#_o6!&SHY1AMsZwjbcbRR~oyAgAdoyF!nj} z9DKO6*yqS|@Zr*8T_MlGhwG zHtVWue~3|+VrDqv#z=ZADicWZ2%ve=heZ-=6QASv3Xt{d~BXq2Opc~ zeboUUo9ETR$9{ia9eiw_R|g-P=heZ-et+KAGw`u_ULAaFo>vDSd){6hd~BXq2Opc~ zeWe5+JD*nvADidZ!N;DrR|g-P=heZ-=6PR(!N<<$)xpQ+d3Ery=k3+O$L4u;@UeN` zS9kES=k3+O$L4u;@UeMb9enJ3ULAaFp7(Vld~BXq2Om41R|g-P=heZ-=6QASvGaLf zk;2F3d3Eryd0riS?0I{2@UeMb9eiw__q8s3?0I{2@UeMb9eiw_R|g;a{dslk1AMr? zie4Y!!&SFFz=x}DeSi;F-TD9@t~&TYo`Vn9SL*wB3HWf;?cXKf!&SF`mw*pf-Tqwy zK3re#@84J8!&L_#$aC=F(xM;8bMWEP!Uyske7J5?z`8=7gAbP${Xm|B50@6}3V9Ab zTtBmiejv}mhf9n7fjkEvE-ls-@*I4)wAdfWbMWE1MFi^#c@92YTC6MNIrwmCv96Hk zUy$eE!*yE=d?3%khf50|$aC=F(!vMw9DKNLyTSfIo_|4}gAdp1!3Xjje7LmmfjkEv zu3LrR19=WUTw3gNjQka>UMvC4_Dpx1AMsZ_VWsSxaxLYfe+U$WAK6b9DKO6+Yj*Js@wemK3sL%5Aflt z+s|F_;kxy0_XqfJ)xihmbMWEPq92&g!G}u=ADGXl%DuK7T@vOA8;!bMWE1Z5`_hc@92YTJ!_+IrwmC zv96Hk;KOxWJ^Fz>2Olmi_6PDDe7Lk&SIBel;nHG%AkV>v>yZJhE95!&aA~owkmumT zrNz2Jo`Vn9BMn$rn9sq7ON(`dJO>{xE!GwC9DKMp@Zq`!ADGX}Hy4~l`$aC=F(xM;8bMWEPq94d}@ZoxN4*fu$gAbP${Xm|B z50@7GK%RpS*Q0%#gW$tehkhW>!G}wWejv}mhf9loU_J*Q?hSmnuE7WL9DKO6@PRxB zA1*C?AkUwX=itNjs3m+L&%uXF3m?dH@Zr+J2l5wD5uX9DKO97vwqkaMht7$aC=F(xM;8bMWEP zVqGE6UofA857*<^@PRxBA1*C?AkV>vOA8;!bMWDMTpa5Pc@92YTC6MN`3v$Ke7If@ zK9J|&!@a#A&%uYQZu8>>c@92YT6|t1&%uXFi+zqf2Oq9S^|7vy=P$_f7tH72!}T86 z=a|pIhYK5k&t2sC3(nhLkmumT^&Z$C$nzKEIrwnB9{Pbi2OsY31$hoWTy@wV$aC=F z(xM;8bMWE9Gi*QlVeXH-rRsKF^@Gu;=m+w=AB%z({Xm|B4;Q)v>k8-X{lLq< zFY+9GxZVT(K%RpSmlpj%p7#R+`|rbi9>gAX=m+NWfacJmADGXaebm=fO^)#kxYC2hCh9zCQ;aF8mAnfjkEvE-m_jJP(+Le;?Kr@;oTkYH{8k zzzKEe2l5p}K%NKISS|7#e7LtD3(%q;$nzeghZa7N=RE|yTAa7{ zz%J_059B%caG{3K59B%caA~(6J^VHQz8<8)we`^hKB(J%^xz5V)<+LSpl{xE&72x z?|^8%Aj)@c@92Y7&NRajQka>UMvC4_Dpx1AMsZ-~)LMK3q#} z_xS^P4nAC3_&}b650`fLIrwm45qDjI4_6(0U_J*QE-iduJ_jEzEqox)!G~-6VqIZA z2Olo&?(+xcbMWEPVqIZA2OqAF7xV*p{=j?=K3uN{ADGXv zON)Ju^Y#bw9DKN54?d9R;KTJX4j(vg2OlmieBitte7LmxxeGpAb=V)sbMWDcBkT|4 zIrwmCv96Hk;KQXwKal6(!-Z2tKal6(!=*((kmnENIrwnB9(*9r!G|m2;RAUNK3rP( zK%RpSmlo>^c@92Y+RZ`m;rdf$*A@71)vXWk;i}vG03WWp?Faa9)xihy9DKNtyqkmI z!&SHY1AMsZwjbcbRk!;be7OGn+jRv#Ty^k)JO>{xE&72x2Olmid?3%khwIN+tSjU> z_;6{_59B%caA~owkmumTg<(cNkmumTrN#b0o`VmU7V8Rm{*F8cAFj~=K9J|&!=;4} z10Swy@PRxB zA1*C?AkV>vOA8;!bMWCBFY$SWJO>{xEk3Vs-VQ!oT6|vNyd8YF#$SA1;k+GuxU~4Z z!uRLk!==UN6}~?QAFlCy_D9#oN7tqwU7K~)weiul=||UQU3G1IbZz?4wOLmkDZs~` zw^s)rJD*nvA3L8{2OoPsTy^lV=j|PXz{j4qR|g-P=heZ-=6QASvFGj8!N=x#M>p`X zd0riS?0I{2@UeMb9eiw_R|g+^-rjK&d~BXq2Opc~)xpQk=heZ-=6QASvFGg_k-^92 zd3Eryd0riS?0jAwd~BXq2OoRh-mxBhY@SyKADidZ!N;DrR|g-P=heZ-=6Oev@UioG zb?~uyULAbwd|n-VY@SyKADibLpTft^=heZ-=6QASv3Xt{eC&K)9eiw_cjOBno9ETR z$Ij=~!N=x#b?~uyULAbweBRHPtPk+vs#_o6!&SFFz=x}DeSi;F-TD9@uA_DMK%RpS zmv;Xy0Uxfq{ksHwxa#)r67b=w+rLY|hwCeX{rd`hxa!~oc@92YTJ!^X4nAC3_&}b6 z57$>6SXan%@Zr*;AINj?;nHGVA#G>_19=WUTw3f8k4@eK3rO?E95!&aD7dO zb%i_!A1*D{74rNG@*I4)UJpKy=itNj)gpW#&%uXF3m?dH@Zr*8e<07nhwH0P><{EQ z_;6{lKal6(!==UkK%Rd=o`Vn9*R|^de7Nd%e}E5H-Sz`~xa!si_;A(jx&j}rubANj zc@92Y+U*DUaMkTT2OqAwU02}4Rkxp4;KTJ*`R)(!;i`iVl%DuJ_jEzEqq`;e?p#v57(_J=m+u~ ze7LmmfjkEvE-iduJ_jEzE&74^9DKNLyFq?nJ_jEzEk1WKpMwvV7Wsks9DKM3_;6i= z59Ik1@*I4)UJw01o`VmUcKZQ7T(>f9et-{G-L5O};i}tyfDc#QeqMnOR~>vH&%uZ5 zmaOdu_;A(j-zDI~Rk!^BAFjGxSK!0-^P#)X!H263K9J|&!=*((kmumTrG*dVIrwnh z=7x2J`5b(>wCD%sbMWEPVqIZA2OqB6@X!zBIrwmCu|JUK;KQZGxvOA8;!bMWEP!Uyske7J6fML#f~ zgAbP$`y6==K3rPtbL2VraA|j+gAdm&z1t7);i_97;KNn7`y70@>b4)?!&L_#$aC=F z`swQZyn4ob4nAC3_&}b650`d7ufT`vHtAhg;KNl1AINj?;nKnf^86X|IrwnB9{Pd# z9DKNL0f!IF=itMog%8Z<;KQXwKQNzz57#Z~*dI7=2Olo&eqMnOSKaROXXH8faB0yG zF{y25<^j64S)uGfPPtuHLNS-IrwmC;RAUNK3rP(K%RpS z*P|oo2l5{xE&72x2Oq9SJa>P94_Dpx;}zeZgAbQ>`vE>&b?XCsxW_Bz zbMWD++kU(v&%uXFyZv}Yo`VmU7C!L(IrwlrN{fCV&%uXFyPsFD$aC=F((dQgEAkwC zxE}T0&#PDDIrwmCv95654nAC3tSg+igAbQ>_c{1*k5|m+;KNl1ADGXxU~4(MV^BX zmlmJ9$aC=F9jQka>b4)?!-ZnleGWccb-S+mf$WbQs_NhadEO5mL%W|>;KTJE=m+NWepq$i19{$$ zg`y5VkmvpQBed{=JO>{xoD4@=K` z^x!eBZ9jS-7IoW?9@IqL`se{d)NMa{ux;ybe|ryCp$c=fjsXJ z6z_|EAkRDeS}pRt0~pkyAINj?;X;&Qe<05rr1pJr-rgVhs6#(6pMwt}HWsh}*JO>{x?e6n;%;(_4rNz2J zo`Vnf03WVv@PRxBA1*C?;QMp%;nKnf@*I4)K1#5zkmumTrN#b0o`VmU7W)Hv4nAC3 z>~rM#JMtWSxIQ}J1Ly7F!=;4}HtVWuQ4~(*!jFV_}DzJ4nB51uMR$T zKCccw_Po76o8V*T^XlMZ^SnCv*gUTeK6XB@4n8)|`%@1-HqWbrk3Da%4n8)|tAmft z^XlMZ&)fU+6h1c3tAmd{Z?6tMHqWbrkInPy;A7|W{-lPF&GYKuWAnT^_}KII>fmGZ zygK;UJZ}tukInPy;A8W=I{4W6ygK;UJg*KuHqRSf;A7|W>fmGZygK;U`Mf&#*gUTe zJ~q!Ax8P&v^XlMZ^SnCv*gUTeK6XB@4n8)|8xi4S^SnCv*!jFV_}DzJ4n8)|tAmf7 z&)>m^>)Pfy_;A&2o`Vlp-R3#?aMf*|gAZ5T<~jIqjpy)zJO>{x?e+tFxazha;KNn7 z{Qw`Xk53h>fi%;4nAC3^aFVgK3qp0@PRxBA1*D{74jT>xU}d8@*I4) zv{+ZjbMWE9{i7eqbMWEPVt*jd!G}wWb%i_!AFksj><{EQ_;6{lu8`;8!==T#LY{*U z*Kruu74jT>xU^VT$aC=F(qdg9&%uXFi*!=j#3V9AbTw1Iv% zTt~j!5AfltTOZ)VRk!O3e7NeiAK=4P2OpTvpOEL^!*!euAINj?;nHqDz=x}D_XqfJ z9i#8M0w1or-RI!LRk!;Ce7Nf119=WUTw3%4c@92YUlG6u^85*T4nAD32Or3D@Zr+J z2l5NW?#hpTS)2l#N+?YaUV z?j3x%uAv{u^RGB>2OqB2LqCw`;KQYb59B%caD6QfAINj?;nJcXIBy3ZE-ls-&fCF< z>udYX!LK-P2Olmi_6PDDe7Lk&SIBel;nHG%AkV>v>y`xU59B%caA~owkmumTrNz2J zo`Vn9EfZK*IBy3ZE-ls-&fCFvOA8;!bMWE1bq4*wd=5TbTJ!_+IrwmCvCom`;KQZe zeGWccw*YNFz=x}DeSi;F-R^Vn;i}tyfDcz4d?3%khwE0R{k#GnuDV@U;KNn7{Qw`X zy8XNYAFiL>+;s&$Ty^k)JO>{xE&72x2Olmid?3%CF`t7E*DYi4f%zPKxU}$r`5b(> zwD5uX9DKNLX~X`&d=5TbTC6Law}TIt7W)J9Irwn>BrDbx=5z4j(qf-uJ_jEzE%pcI zbMWEPVxMC^2OqB639+s)pMwvV7V8T0`7`nye7If@K9J|&!*vTJd?3%khf50|n9rY) z=itNjdhmhy9DKNb))zi7pFbnd!H4Vhu&$8j;KQYb59B%caNTMOAINj?;nJcX$aC=F z(&F<9c@92Yx5Dn{75H$~?LG$|uDbOBK3sMCc?CXPb=wc{;oiZA>)Q4Me7NfNa~FKL z>ULd$4_Dpx1AMq{FW%2x@ZqY159B%caB0yG3h&%uXFi+&)_ zUy$eE!}WUbfjkEv?j3x%uAv{8&%uXFi+*4}2Olmid|*BYAFkWc(GSe$;KQXwKQNzz z50@7E9P>H&aNRDC{ek)X1$hoWT(1Wo$aC=F(!vMkbMWEb!H4S_`hh$LA1*C?AkV>v zOA8;E&tH(|;KTK(0(>CP!G}u=ADGXro1k9KZ_;6{l zt}vg250@6}3iCPma6L+fb%i_!A1*CEuaM{9!==SON1lTZ_YOW>*Wd$r4nAC3_&}b6 z50@4`kmumT^>`jWcai7d!==UkK%RpSmlpd2c@92YTI>(xIrwlrdWijjJby)=gAdp1 z!3Xjje7Lmh1AMr5@Zq|)KEQ{oZr2s~aMi63@ZqZ4eGWcck8bX|0w1nA_&}b650`d7 zufT_^ZubZHaMht7$aC=FdMp(_kmql>AMOo#4nAD(0UyY7@Zowy7X3h;gAbQ>_c{1* z)uA8A^Ec%A8|HKH;ojen=itLthkhW>!G}u=AINj?;nHG%AkV>v>+$5x5AfltLq9N| zgAbP$`yBH*_;6{lKQNzz57%SX=m*Z*!G}wWe&D4?ZxT_hWvm#rNm^$Q;iZ1M_*n zaA@HJ-=BjI7a|2dkmumTrG*dVIrwmC;RAUNK3oVFtSjU>_;6{lu5jKCK3rO?E1b6n zR@)vS&x3%W4*fu$2Y-SV{Xm`v?tvElzfi%;-h;i+!Uyske7I0U@PRz4bhD&%60@-xqn_je@8{Kal6$_ysNcfjsZ# z9%#`I+(Qc=n9uvd7Fzf~p7#aZYH{8UK3pg+^aFVgK3rP#19{$I zF8+P!2lBiFyVWAk!G{YehJGN=!G}wWejv}mhkI|h+J7_h9DKO6=m+u~e7Lme2l5X7Hlhr7DnAIpckI`}}IFCXr-=m+wA`EY5G=U3-WyVaHqw(LY^-l z?zC7}$n)jHrA3~9L7p!k?zC7}$n)jHofhi~dA@wO(_&pA&zBFE7J2>!dA@wO(_&pA z&zBE(TC6MN`SRh?BG11d&zBE(TC6MN`SRgTi*tQ~BLY^-l?zGq+$n)jHofbZj=gWsni}`%{a94-@f%$y-aHqxozoZlt!K}AMWbb$MWH>Zu_x(xU1X!v3$6zgAe5S z^5N1V&zBE(b-T}(4|jFjkLAN%-L9+U!&QeoUq0N`?LJ>V+||Jc^872#+m{b_uLmDE zZ(lxKTFmEPk>|^YJ1u-5&zBE(TC6Law=W+qE%JQ%a94+ZAkV+zynXp__j*`Y$n)jH zofi88dA@wOw3yGoBF~o(cUtUo|^YJ1y1~@_hMlr^UKL zo-ZFRE%N*;&fAv{cUpYzBF~o(cUr6~&;w=W+qE%N*s^ZD}OPK$nEK3_iEY0(eN=gWsXE&74^eED!`k>}4iZ(ly# zX|X?W-oAXe(_(+%y!{z@zI?b|5A*pm@_hMlr-cvX`SRgT3m=%zpONRwhwJr_=g-LV z<-?s8K9J|nIB#D*+`S&w70%n250@6-pFbndmk)PZ_&}a7AMUj12l9ORaGj1L&zBE( zb-T}(4|jFzWBG7bx1U$bhr7D%$MWH-!~Jl}hr2rXK%Orj?zH=PwS2g%+kPw`t~%uT z^5L#-^ZW&QzI?dTq94ff<-?s8K9J|jhf9k*f5Cjde7MtY4!&SMUq0Mvv92(mzaY<- z57+DAez@hsT^-gH@_hMlr^UKLo-ZHnwAdfW^X0>(#eBYexT`}yFrP0U?zHF!=JVyl zrA3~%j-|eED!`k>|^YyE^Q1uULMSGWCGKHSy82j=tT!==T1{)#+bKHO>H19`rD zxYO?bSUy~J$n)jHUES{USLFHf;Z6%5$n)jHofbZj=gWsni#%UG+|^-SA|^YJ1zQw zJby)=FCXq+Z+$EuuDjBZ=gWt?y6wmE;jV6dEFbRbc7H4%uE*6r+{4#zpLZ+C^XI?) zFMs*;P5;MT3-tcsT6cW*`SRns?(Xcr>5s2!?my#j~`#_X0!X7`bTyB80p<( z{qfq(jUTTaSL4UmI_;d->lCx^#(rGaFi+*|)#{(|>*Uxn6($>9@c8>tBEP z+<(5$zx(zd{_@Aae!f}cfBv6e|I5!_f7$m#KmFCqZ+=l1U!Q;di(fxpf7u_n@9)2T z{qn0{y#4(DuK3r_KmYlsZ~py Date: Sun, 12 May 2019 21:25:33 +0800 Subject: [PATCH 21/55] Adjust format of c, cpp source files: astyle --style=linux -n -U -H -p -f --- README.md | 65 ++- .../10B.cc" | 246 +++++---- .../10C.cc" | 77 +-- .../10b2.cc" | 249 ++++----- .../10br.cc" | 226 ++++---- .../1A.cc" | 41 +- .../1B.cc" | 83 +-- .../4B.cc" | 26 +- .../5A.cc" | 78 +-- .../5A0.cc" | 86 +-- .../5A1.cc" | 46 +- .../5B.cc" | 99 ++-- .../7A.cc" | 24 +- .../8A.cc" | 47 +- .../8A0.cc" | 45 +- .../8B.cc" | 65 ++- .../9A0.cc" | 92 ++-- .../9B.cc" | 151 +++--- .../9B1.cc" | 128 +++-- .../9B2.cc" | 83 +-- .../AStar.cc" | 141 +++-- .../_10b.cc" | 363 ++++++++----- .../ab1046.cc" | 98 ++-- .../arrangement.cc" | 94 ++-- .../count24.cc" | 79 +-- .../div.cc" | 121 +++-- .../negatuveWeight.cc" | 48 +- .../sub.cc" | 124 +++-- .../test.cc" | 64 ++- images/course.png | Bin 0 -> 48650 bytes images/logo.png | Bin 0 -> 4393 bytes utils/config.py | 7 +- .../codes/mbinary/graph/graph.cc" | 189 ++++--- .../codes/mbinary/huffman/huffman.cc" | 493 +++++++++++------- .../codes/mbinary/map.cc" | 145 +++--- .../codes/mbinary/polynomial.cpp" | 345 ++++++------ 36 files changed, 2495 insertions(+), 1773 deletions(-) create mode 100644 images/course.png create mode 100644 images/logo.png diff --git a/README.md b/README.md index e4ad47d..7c55c08 100644 --- a/README.md +++ b/README.md @@ -1,5 +1,8 @@ +![](images/logo.png) + # 中国科学技术大学课程资源 + [![Stars](https://img.shields.io/github/stars/USTC-Resource/USTC-Course.svg?label=Stars&style=social)](https://github.com/USTC-Resource/USTC-Course/stargazers) [![Forks](https://img.shields.io/github/forks/USTC-Resource/USTC-Course.svg?label=Forks&style=social)](https://github.com/USTC-Resource/USTC-Course/network/members) [![Build](https://travis-ci.org/USTC-Resource/USTC-Course.svg?branch=master)](https://travis-ci.org/USTC-Resource/USTC-Course?branch=master) @@ -31,6 +34,37 @@ # 资料下载 [戳我(●'◡'●)](https://ustc-resource.github.io/USTC-Course) + + # 课程结构 每门课程大致结构如下,有些栏目可能没有,也可以自己添加认为合理的栏目 ``` @@ -45,7 +79,7 @@ course └ README.md ``` # 课程关系 -![](https://user-images.githubusercontent.com/29198767/53245024-851b1280-36e7-11e9-9d22-7ee65446c68a.png) +![](images/course.png) 更多信息可以下载[官网的培养方案](https://www.teach.ustc.edu.cn/education/241.html/attachment/14-215%E8%AE%A1%E7%AE%97%E6%9C%BA%E5%AD%A6%E9%99%A2-2013) @@ -58,6 +92,7 @@ course * [c程序设计](./c程序设计) * [代数结构](./代数结构) * [光学与原子物理](./光学与原子物理) + * [images](./images) * [计算机网络](./计算机网络) * [计算机系统详解](./计算机系统详解) * [计算机与信息类](./计算机与信息类) @@ -93,3 +128,31 @@ course * github 上不能直接上传大于 100mb 的文件。对于超过 100 mb 的文件,可以存在网盘,然后在 README 文件中贴上链接 * 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改. + + diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10B.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10B.cc" index a904aa1..7164581 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10B.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10B.cc" @@ -8,15 +8,15 @@ #define MAX 6 using namespace std; bool mp[MAXLENGTH][MAXLENGTH]; -int n,m,time,leftTime,hormoneMap[MAXLENGTH][MAXLENGTH]; -int dx[4] = {0,1,0,-1},dy[4] = {1,0,-1,0}; +int n, m, time, leftTime, hormoneMap[MAXLENGTH][MAXLENGTH]; +int dx[4] = {0, 1, 0, -1}, dy[4] = {1, 0, -1, 0}; class ant { friend class cannon; - int hp,curHp,x,y,num,dir,act ; + int hp, curHp, x, y, num, dir, act ; public: - static int curN,getCake,total; + static int curN, getCake, total; ant(); void born(int); void move(); @@ -26,8 +26,11 @@ class ant bool isDead(); bool isHome(); void grow(); - bool operator<(const ant &a)const{return a.act>act;}; - bool isIntersect(int,int,int,int); + bool operator<(const ant &a)const + { + return a.act > act; + }; + bool isIntersect(int, int, int, int); } ants[MAX]; int ant::curN = 0 ; int ant::total = 0; @@ -44,7 +47,7 @@ void ant::die() } bool ant::isHome() { - return x == 0&&y == 0; + return x == 0 && y == 0; } bool ant::isDead() { @@ -58,105 +61,115 @@ ant::ant() } void ant::born(int j) { - if(curN == 6 || mp[0][0]) return ; + if (curN == 6 || mp[0][0]) return ; + ++ curN; mp[0][0] = true; num = total ++; - curHp = hp = (int)(4*pow(1.1,num/6+1)); + curHp = hp = (int)(4 * pow(1.1, num / 6 + 1)); } void ant::info() { - printf("%d %d %d %d %d\n",act - 1,num/6+1,curHp,x,y); + printf("%d %d %d %d %d\n", act - 1, num / 6 + 1, curHp, x, y); } void ant::hormone() { - if(getCake == num) - hormoneMap[x][y] +=5; + if (getCake == num) + hormoneMap[x][y] += 5; else - hormoneMap[x][y] +=2; + hormoneMap[x][y] += 2; } void ant::move() { - bool canMove[4] = {true,true,true,true}; - if(dir != -1) - { - canMove[(dir+2)%4] = false; + bool canMove[4] = {true, true, true, true}; + + if (dir != -1) { + canMove[(dir + 2) % 4] = false; } + int hormone = -1; bool stay = true; - for(int i = 0; i<4; ++i) - { - if(canMove[i]) - { - int tx = x + dx[i],ty = y + dy[i]; //wrongly type dx ,and waste a lot of time - if(tx<0||tx>n||ty<0||ty>m||mp[tx][ty] ) - { + + for (int i = 0; i < 4; ++i) { + if (canMove[i]) { + int tx = x + dx[i], ty = y + dy[i]; //wrongly type dx ,and waste a lot of time + + if (tx < 0 || tx > n || ty < 0 || ty > m || mp[tx][ty]) { canMove[i] = false; continue; } - if(hormoneMap[tx][ty]>hormone) - { + + if (hormoneMap[tx][ty] > hormone) { hormone = hormoneMap[tx][ty]; stay = false; dir = i; } } } - if(stay) - { + + if (stay) { dir = -1; - if(getCake == -1&&x==n&&y==m) - { + + if (getCake == -1 && x == n && y == m) { getCake = num; - curHp += hp/2; - if(curHp>hp)curHp = hp; + curHp += hp / 2; + + if (curHp > hp)curHp = hp; } + return; } - if(act%5 == 0) - { - dir = (dir+3)%4; - while(!canMove[dir]) - dir = (dir+3)%4; + + if (act % 5 == 0) { + dir = (dir + 3) % 4; + + while (!canMove[dir]) + dir = (dir + 3) % 4; } - mp[x][y]=false; - x+=dx[dir],y+=dy[dir]; + + mp[x][y] = false; + x += dx[dir], y += dy[dir]; mp[x][y] = true; - if(getCake == -1&&x==n&&y==m) - { + + if (getCake == -1 && x == n && y == m) { getCake = num; - curHp += hp/2; - if(curHp>hp)curHp = hp; + curHp += hp / 2; + + if (curHp > hp)curHp = hp; } } void show() { //ants[0].sort(); - printf("%d\n",ant::curN); - for(int i =0; i 0 || (y - c1)*(y - c2) >0) + if ((x - r1) * (x - r2) > 0 || (y - c1) * (y - c2) > 0) return false; - float slope = (r1- r2)/(float)(c1-c2); - float sum = slope*(y - c1 ) + r1 - x; + + float slope = (r1 - r2) / (float)(c1 - c2); + float sum = slope * (y - c1) + r1 - x; sum = sum > 0 ? sum : -sum; - if(sum / sqrt(1 + pow(slope,2))>0.5) return false; + + if (sum / sqrt(1 + pow(slope, 2)) > 0.5) return false; + return true; } class cannon { - int x,y; + int x, y; public: - static int num,power,span; + static int num, power, span; void attack(int *); void focus(int *); void pos(); - float dis(int,int); + float dis(int, int); } cannons[MAXCANNON]; int cannon::num = 0; @@ -164,121 +177,124 @@ int cannon::power = 0; int cannon::span = 0; void cannon::pos() { - scanf("%d%d",&x,&y); + scanf("%d%d", &x, &y); mp[x][y] = true; } -float cannon::dis(int r,int c) +float cannon::dis(int r, int c) { - return sqrt(pow(r-x,2)+pow(c-y,2)); + return sqrt(pow(r - x, 2) + pow(c - y, 2)); } void cannon::attack(int *p) { int target = -1; - float minD = MAXLENGTH *2; - for(int i = 0; i span) this->attack(alive); - else - { - for(int i = 0; i < ant::curN; ++i) - { - if(ants[alive[i]].isIntersect(x,y,tx,ty)) + float d = dis(tx, ty); + + if (d > span) this->attack(alive); + else { + for (int i = 0; i < ant::curN; ++i) { + if (ants[alive[i]].isIntersect(x, y, tx, ty)) ants[alive[i]].curHp -= power; } } } int init() { - scanf("%d%d",&n,&m); - scanf("%d%d%d",&cannon::num,&cannon::power,&cannon::span); - memset(mp,0,sizeof(mp)); - memset(hormoneMap,0,sizeof(hormoneMap)); - for(int i=0; i 0) --hormoneMap[i][j]; - for(int i = 0; i< cannon::num; ++i) - { - if(ant::getCake !=-1) cannons[i].focus(alive); + + for (int i = 0; i <= n; ++i) + for (int j = 0; j <= m; ++j) + if (hormoneMap[i][j] > 0) --hormoneMap[i][j]; + + for (int i = 0; i < cannon::num; ++i) { + if (ant::getCake != -1) cannons[i].focus(alive); else cannons[i].attack(alive); } - if(ant::getCake != -1) - { - if( ants[ant::getCake].isDead() ) + + if (ant::getCake != -1) { + if (ants[ant::getCake].isDead()) ant::getCake = -1; - else if(ants[ant::getCake].isHome()) + else if (ants[ant::getCake].isHome()) return 1; } - for(int i = 0; i=0) - { - if(debug)printf("%dth s\n",time - leftTime); - if(_1s() == 1) - { - printf("Game over after %d seconds\n",time - leftTime); + + while (--leftTime >= 0) { + if (debug)printf("%dth s\n", time - leftTime); + + if (_1s() == 1) { + printf("Game over after %d seconds\n", time - leftTime); show(); return 0; } } + printf("The game is going on\n"); show(); return 0; diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10C.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10C.cc" index 30bc10c..1576df6 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10C.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10C.cc" @@ -1,49 +1,60 @@ #include #define MAX 2000 int a[MAX][MAX]; -int n ,m; -int check(int row,int col,int p,int q) +int n, m; +int check(int row, int col, int p, int q) { - int r = p + row,c = q +col; - for(int i=row;i0;--i) - for(int j=m;j>0;--j) - if(hasSubMatrix(i,j)){ - if(i*j>maxRectangle) - maxRectangle=i*j; + scanf("%d%d", &n, &m); + + for (int i = 0; i < n; ++i) + for (int j = 0; j < m; ++j) + scanf("%d", &a[i][j]); + + int maxRectangle = 0, maxSquare = 0; + + for (int i = n; i > 0; --i) + for (int j = m; j > 0; --j) + if (hasSubMatrix(i, j)) { + if (i * j > maxRectangle) + maxRectangle = i * j; } - int up = n>m?m:n; - for( int i = up;i>0;--i) - if(hasSubMatrix(i,i)){ - maxSquare=i*i; - break; + + int up = n > m ? m : n; + + for (int i = up; i > 0; --i) + if (hasSubMatrix(i, i)) { + maxSquare = i * i; + break; } - printf("%d\n%d\n",maxSquare,maxRectangle); + + printf("%d\n%d\n", maxSquare, maxRectangle); // printf("%d",check(0,0,a,n,m)); - return 0; + return 0; } diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10b2.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10b2.cc" index 33456a5..2f76273 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10b2.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10b2.cc" @@ -8,16 +8,16 @@ #define MAX 6 bool mp[MAXLENGTH][MAXLENGTH]; -int n,m,time,leftTime,hormoneMap[MAXLENGTH][MAXLENGTH]; -int dx[4] = {0,1,0,-1},dy[4] = {1,0,-1,0}; -int alive[MAX] = {-1,-1,-1,-1,-1,-1}; +int n, m, time, leftTime, hormoneMap[MAXLENGTH][MAXLENGTH]; +int dx[4] = {0, 1, 0, -1}, dy[4] = {1, 0, -1, 0}; +int alive[MAX] = {-1, -1, -1, -1, -1, -1}; class ant { friend class cannon; - int hp,curHp,x,y,num,dir,act ; + int hp, curHp, x, y, num, dir, act ; public: - static int curN,getCake,total; + static int curN, getCake, total; void born(); void move(); void info(); @@ -28,7 +28,7 @@ class ant void grow(); //void sort(); // bool operator<(const ant &a)const{return a.act>act;}; - bool isIntersect(int,int,int,int); + bool isIntersect(int, int, int, int); } ants[MAXANT]; int ant::curN = 0 ; int ant::total = 0; @@ -45,7 +45,7 @@ void ant::die() } bool ant::isHome() { - return x == 0&&y == 0; + return x == 0 && y == 0; } bool ant::isDead() { @@ -53,114 +53,125 @@ bool ant::isDead() } void ant::born() { - if(curN == 6 || mp[0][0]) return ; + if (curN == 6 || mp[0][0]) return ; + ++ curN; num = total ++; - for(int i = 0; in||ty<0||ty>m||mp[tx][ty] ) - { + + for (int i = 0; i < 4; ++i) { + if (canMove[i]) { + int tx = x + dx[i], ty = y + dy[i]; //wrongly type dx ,and waste a lot of time + + if (tx < 0 || tx > n || ty < 0 || ty > m || mp[tx][ty]) { canMove[i] = false; continue; } - if(hormoneMap[tx][ty]>hormone) - { + + if (hormoneMap[tx][ty] > hormone) { hormone = hormoneMap[tx][ty]; stay = false; dir = i; } } } - if(stay) - { + + if (stay) { dir = -1; - if(getCake == -1&&x==n&&y==m) - { + + if (getCake == -1 && x == n && y == m) { getCake = num; - curHp += hp/2; - if(curHp>hp)curHp = hp; + curHp += hp / 2; + + if (curHp > hp)curHp = hp; } + return; } - if(act%5 == 0) - { - dir = (dir+3)%4; - while(!canMove[dir]) - dir = (dir+3)%4; + + if (act % 5 == 0) { + dir = (dir + 3) % 4; + + while (!canMove[dir]) + dir = (dir + 3) % 4; } - mp[x][y]=false; - x+=dx[dir],y+=dy[dir]; + + mp[x][y] = false; + x += dx[dir], y += dy[dir]; mp[x][y] = true; - if(getCake == -1&&x==n&&y==m) - { + + if (getCake == -1 && x == n && y == m) { getCake = num; - curHp += hp/2; - if(curHp>hp)curHp = hp; + curHp += hp / 2; + + if (curHp > hp)curHp = hp; } } void show() { //ants[0].sort(); - printf("%d\n",ant::curN); - for(int i =0; i 0 || (y - c1)*(y - c2) >0) + if ((x - r1) * (x - r2) > 0 || (y - c1) * (y - c2) > 0) return false; - float slope = (r1- r2)/(float)(c1-c2); - float sum = slope*(y - c1 ) + r1 - x; + + float slope = (r1 - r2) / (float)(c1 - c2); + float sum = slope * (y - c1) + r1 - x; sum = sum > 0 ? sum : -sum; - if(sum / sqrt(1 + pow(slope,2))>0.5) return false; + + if (sum / sqrt(1 + pow(slope, 2)) > 0.5) return false; + return true; } class cannon { - int x,y; + int x, y; public: - static int num,power,span; + static int num, power, span; void attack(); void focus(); void pos(); - float dis(int,int); + float dis(int, int); } cannons[MAXCANNON]; int cannon::num = 0; @@ -168,50 +179,50 @@ int cannon::power = 0; int cannon::span = 0; void cannon::pos() { - scanf("%d%d",&x,&y); + scanf("%d%d", &x, &y); mp[x][y] = true; } -float cannon::dis(int r,int c) +float cannon::dis(int r, int c) { - return sqrt(pow(r-x,2)+pow(c-y,2)); + return sqrt(pow(r - x, 2) + pow(c - y, 2)); } bool in(int i) { - for(int k = 0; k span) this -> attack(); - else - { - for(int i = 0; i < MAX; ++i) - { - if(alive[i] != -1) - { - if(ants[alive[i]].isIntersect(x,y,tx,ty)) + float d = dis(tx, ty); + + if (d > span) this -> attack(); + else { + for (int i = 0; i < MAX; ++i) { + if (alive[i] != -1) { + if (ants[alive[i]].isIntersect(x, y, tx, ty)) ants[alive[i]].curHp -= power; } } @@ -219,78 +230,78 @@ void cannon::focus() } int init() { - scanf("%d%d",&n,&m); - scanf("%d%d%d",&cannon::num,&cannon::power,&cannon::span); - memset(mp,0,sizeof(mp)); - memset(hormoneMap,0,sizeof(hormoneMap)); - for(int i=0; i 0) --hormoneMap[i][j]; + + for (int i = 0; i <= n; ++i) + for (int j = 0; j <= m; ++j) + if (hormoneMap[i][j] > 0) --hormoneMap[i][j]; + return 0; } int main(void) { init(); - while(--leftTime >=0) - { - if(debug)printf("%dth s\n",time - leftTime); - if(_1s() == 1) - { - printf("Game over after %d seconds\n",time - leftTime); + + while (--leftTime >= 0) { + if (debug)printf("%dth s\n", time - leftTime); + + if (_1s() == 1) { + printf("Game over after %d seconds\n", time - leftTime); show(); return 0; } } + printf("The game is going on\n"); show(); return 0; diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10br.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10br.cc" index d640849..cbb06d7 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10br.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/10br.cc" @@ -8,14 +8,14 @@ #define MAX 6 using namespace std; bool mp[MAXLENGTH][MAXLENGTH]; -int n,m,time,leftTime,hormoneMap[MAXLENGTH][MAXLENGTH]; -int dx[4] = {0,1,0,-1},dy[4] = {1,0,-1,0}; +int n, m, time, leftTime, hormoneMap[MAXLENGTH][MAXLENGTH]; +int dx[4] = {0, 1, 0, -1}, dy[4] = {1, 0, -1, 0}; class ant { friend class cannon; - int hp,curHp,x,y,num,dir,act ; + int hp, curHp, x, y, num, dir, act ; public: - static int curN,getCake,total; + static int curN, getCake, total; ant(); void born(int i); void move(); @@ -27,9 +27,9 @@ class ant void grow(); bool operator<(const ant &a)const { - return a.act>act; + return a.act > act; }; - bool isIntersect(int,int,int,int); + bool isIntersect(int, int, int, int); } ants[MAX]; int ant::curN = 0 ; int ant::total = 0; @@ -46,7 +46,7 @@ void ant::die() } bool ant::isHome() { - return x == 0&&y == 0; + return x == 0 && y == 0; } bool ant::isDead() { @@ -60,99 +60,107 @@ ant::ant() } void ant::born(int j) { - if(curN == 6 || mp[0][0]) return ; + if (curN == 6 || mp[0][0]) return ; + ++ curN; mp[0][0] = true; num = total ++; - curHp = hp = (int)(4*pow(1.1,num/6+1)); + curHp = hp = (int)(4 * pow(1.1, num / 6 + 1)); } void ant::info() { - printf("%d %d %d %d %d\n",act - 1,num/6+1,curHp,x,y); + printf("%d %d %d %d %d\n", act - 1, num / 6 + 1, curHp, x, y); } void ant::hormone() { - if(getCake == num) - hormoneMap[x][y] +=5; + if (getCake == num) + hormoneMap[x][y] += 5; else - hormoneMap[x][y] +=2; + hormoneMap[x][y] += 2; } void ant::move() { - bool canMove[4] = {true,true,true,true}; - if(dir != -1) - { - canMove[(dir+2)%4] = false; + bool canMove[4] = {true, true, true, true}; + + if (dir != -1) { + canMove[(dir + 2) % 4] = false; } + int hormone = -1; bool stay = true; - for(int i = 0; i<4; ++i) - { - if(canMove[i]) - { - int tx = x + dx[i],ty = y + dy[i]; //wrongly type dx ,and waste a lot of time - if(tx<0||tx>n||ty<0||ty>m||mp[tx][ty] ) - { + + for (int i = 0; i < 4; ++i) { + if (canMove[i]) { + int tx = x + dx[i], ty = y + dy[i]; //wrongly type dx ,and waste a lot of time + + if (tx < 0 || tx > n || ty < 0 || ty > m || mp[tx][ty]) { canMove[i] = false; continue; } - if(hormoneMap[tx][ty]>hormone) - { + + if (hormoneMap[tx][ty] > hormone) { hormone = hormoneMap[tx][ty]; stay = false; dir = i; } } } - if(stay) - { + + if (stay) { dir = -1; return; } - if(act%5 == 0) - { - dir = (dir+3)%4; - while(!canMove[dir]) - dir = (dir+3)%4; + + if (act % 5 == 0) { + dir = (dir + 3) % 4; + + while (!canMove[dir]) + dir = (dir + 3) % 4; } - mp[x][y]=false; - x+=dx[dir],y+=dy[dir]; + + mp[x][y] = false; + x += dx[dir], y += dy[dir]; mp[x][y] = true; - if(getCake == -1&&x==n&&y==m) - { + + if (getCake == -1 && x == n && y == m) { getCake = num; - curHp += hp/2; - if(curHp>hp)curHp = hp; + curHp += hp / 2; + + if (curHp > hp)curHp = hp; } } void show() { - sort(ants,ants+MAX); - printf("%d\n",ant::curN); - for(int i =0; i 0 || (y - c1)*(y - c2) >0) + if ((x - r1) * (x - r2) > 0 || (y - c1) * (y - c2) > 0) return false; - float slope = (r1- r2)/(float)(c1-c2); - float sum = slope*(y - c1 ) + r1 - x; + + float slope = (r1 - r2) / (float)(c1 - c2); + float sum = slope * (y - c1) + r1 - x; sum = sum > 0 ? sum : -sum; - if(sum / sqrt(1 + pow(slope,2))>0.5) return false; + + if (sum / sqrt(1 + pow(slope, 2)) > 0.5) return false; + return true; } class cannon { - int x,y; + int x, y; public: - static int num,power,span; + static int num, power, span; void attack(int *); void focus(int *); void pos(); - float dis(int,int); + float dis(int, int); } cannons[MAXCANNON]; int cannon::num = 0; @@ -160,112 +168,118 @@ int cannon::power = 0; int cannon::span = 0; void cannon::pos() { - scanf("%d%d",&x,&y); + scanf("%d%d", &x, &y); mp[x][y] = true; } -float cannon::dis(int r,int c) +float cannon::dis(int r, int c) { - return sqrt(pow(r-x,2)+pow(c-y,2)); + return sqrt(pow(r - x, 2) + pow(c - y, 2)); } void cannon::attack(int *p) { int target = -1; - float minD = MAXLENGTH *2; - for(int i = 0; i span) this->attack(alive); - else - { - for(int i = 0; i < ant::curN; ++i) - { - if(ants[alive[i]].isIntersect(x,y,tx,ty)) + float d = dis(tx, ty); + + if (d > span) this->attack(alive); + else { + for (int i = 0; i < ant::curN; ++i) { + if (ants[alive[i]].isIntersect(x, y, tx, ty)) ants[alive[i]].curHp -= power; } } } int init() { - scanf("%d%d",&n,&m); - scanf("%d%d%d",&cannon::num,&cannon::power,&cannon::span); - memset(mp,0,sizeof(mp)); - memset(hormoneMap,0,sizeof(hormoneMap)); - for(int i=0; i 0) --hormoneMap[i][j]; - for(int i = 0; i< cannon::num; ++i) - { - if(ant::getCake !=-1)cannons[i].focus(alive); + + for (int i = 0; i <= n; ++i) + for (int j = 0; j <= m; ++j) + if (hormoneMap[i][j] > 0) --hormoneMap[i][j]; + + for (int i = 0; i < cannon::num; ++i) { + if (ant::getCake != -1)cannons[i].focus(alive); else cannons[i].attack(alive); } - for(int i = 0; i=0) - { - if(debug)printf("%dth s\n",time - leftTime); - if(_1s() == 1) - { - printf("Game over after %d seconds\n",time - leftTime); + + while (--leftTime >= 0) { + if (debug)printf("%dth s\n", time - leftTime); + + if (_1s() == 1) { + printf("Game over after %d seconds\n", time - leftTime); show(); return 0; } } + printf("The game is going on\n"); show(); return 0; diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1A.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1A.cc" index a0bafe6..b7f5425 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1A.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1A.cc" @@ -1,20 +1,25 @@ #include #include -bool isIntersect(int x,int y) - { - int r1 = 2,c1 =0,r2=1,c2 = 3; - if((x - r1)*(x - r2) > 0 || (y - c1)*(y - c2) >0) - return false; - float slope = (r1- r2)/(float)(c1-c2); - float sum = slope*(y - c1 ) + r1 - x; - sum = sum > 0 ? sum : -sum; - if(sum / sqrt(1 + pow(slope,2))>0.5) return false; - return true; - } - int main() - { - for(int i = 0;i<4;++i) - for(int j = 0;j<4;++j) - printf("i:%d,j:%d rst:%d\n",i,j,isIntersect(i,j)); - return 0; - } +bool isIntersect(int x, int y) +{ + int r1 = 2, c1 = 0, r2 = 1, c2 = 3; + + if ((x - r1) * (x - r2) > 0 || (y - c1) * (y - c2) > 0) + return false; + + float slope = (r1 - r2) / (float)(c1 - c2); + float sum = slope * (y - c1) + r1 - x; + sum = sum > 0 ? sum : -sum; + + if (sum / sqrt(1 + pow(slope, 2)) > 0.5) return false; + + return true; +} +int main() +{ + for (int i = 0; i < 4; ++i) + for (int j = 0; j < 4; ++j) + printf("i:%d,j:%d rst:%d\n", i, j, isIntersect(i, j)); + + return 0; +} diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1B.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1B.cc" index 808b404..99ba689 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1B.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/1B.cc" @@ -1,57 +1,72 @@ #include #include #define length 30 -int a[length+1],b[length+1],product[2*length+1]; +int a[length + 1], b[length + 1], product[2 * length + 1]; -void jinwei(int p[],int n) +void jinwei(int p[], int n) { - int tmp = p[n],i = 0; - p[n] = tmp%10; - while((tmp/=10)!=0){ - p[n+(++i)]+= tmp%10; + int tmp = p[n], i = 0; + p[n] = tmp % 10; + + while ((tmp /= 10) != 0) { + p[n + (++i)] += tmp % 10; } - p[n+(++i)]+= tmp%10; + + p[n + (++i)] += tmp % 10; } -void mul(int a[],int b[],int product[]) +void mul(int a[], int b[], int product[]) { - int i,j; - for(i = length;a[i]==0&&i>=0;--i); - for(j = length;b[j]==0&&j>=0;--j); - memset(product,0,sizeof(int)*2*length); - for(int k = 0;k<=j;++k){ - for(int p = 0;p<=i;++p){ - product[p+k]+=b[k]*a[p]; + int i, j; + + for (i = length; a[i] == 0 && i >= 0; --i); + + for (j = length; b[j] == 0 && j >= 0; --j); + + memset(product, 0, sizeof(int) * 2 * length); + + for (int k = 0; k <= j; ++k) { + for (int p = 0; p <= i; ++p) { + product[p + k] += b[k] * a[p]; } } - for(i = 2*length;product[i]==0&&i>=0;--i); - for(j = 0;j<=i;++j) - jinwei(product,j); + + for (i = 2 * length; product[i] == 0 && i >= 0; --i); + + for (j = 0; j <= i; ++j) + jinwei(product, j); } void getData(char *s) { - int k,i; - for(k = 0,i = strlen(s)-1;i>0&&s[i]!=' ';++k,--i) - a[k] = s[i]-'0'; - for(k = 0,i--;i>=0;++k,--i) - b[k] = s[i]-'0'; + int k, i; + + for (k = 0, i = strlen(s) - 1; i > 0 && s[i] != ' '; ++k, --i) + a[k] = s[i] - '0'; + + for (k = 0, i--; i >= 0; ++k, --i) + b[k] = s[i] - '0'; } -void print(int p[],int maxLength) +void print(int p[], int maxLength) { int i; - for(i = maxLength;p[i]==0&&i>=0;--i); - for(int j = i;j>=0;--j) printf("%d",p[j]); - if(p[i]==0)printf("0"); + + for (i = maxLength; p[i] == 0 && i >= 0; --i); + + for (int j = i; j >= 0; --j) printf("%d", p[j]); + + if (p[i] == 0)printf("0"); } int main(void) { char s[61]; - memset(s,0,sizeof(s)); - while(scanf("%s",s)!=EOF){ - getData(s); - memset(s,0,sizeof(s)); - mul(a,b,product); - print(product,2*length); - printf("\n"); + memset(s, 0, sizeof(s)); + + while (scanf("%s", s) != EOF) { + getData(s); + memset(s, 0, sizeof(s)); + mul(a, b, product); + print(product, 2 * length); + printf("\n"); } + return 0; } diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/4B.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/4B.cc" index a22e63d..72789ec 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/4B.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/4B.cc" @@ -1,14 +1,18 @@ #include -int mth[12]={31,28,31,30,31,30,31,31,30,31,30,31}; -int main(){ - int a,b,c,d,diff=0; - scanf("%d%d%d%d",&a,&b,&c,&d); - if(a==c) diff=d-b; - else{ - while(a -struct fuck{ +struct fuck { int val; - int x,y; + int x, y; }; int main(void) { - int row,col,time; - scanf("%d %d %d",&row,&col,&time); - fuck pnt[row*col]; - int num=0; - for(int i=1;i<=row;++i) - for(int j=1;j<=col;++j){ + int row, col, time; + scanf("%d %d %d", &row, &col, &time); + fuck pnt[row * col]; + int num = 0; + + for (int i = 1; i <= row; ++i) + for (int j = 1; j <= col; ++j) { int tmp; - scanf("%d",&tmp); - if(tmp>0){ - pnt[num].val=tmp; - pnt[num].x=i; - pnt[num++].y=j; + scanf("%d", &tmp); + + if (tmp > 0) { + pnt[num].val = tmp; + pnt[num].x = i; + pnt[num++].y = j; } } - int total=0, max,p,q,p2=0,q2; - while(1){ - max=0; - for(int i=1;ip2?p-p2:p2-p; - if(p2==0) q2=q; - time-=(1+(q>q2?q-q2:q2-q)+tmp); - if(time>=tmp&&max!=0){ - total+=max; - p2=p,q2=q; - } - else{ - printf("%d\n",total); + + int total = 0, max, p, q, p2 = 0, q2; + + while (1) { + max = 0; + + for (int i = 1; i < num; ++i) + if (max < pnt[i].val) { + max = pnt[i].val; + p = pnt[i].x; + q = pnt[i].y; + pnt[i].val = 0; + } + + int tmp = p > p2 ? p - p2 : p2 - p; + + if (p2 == 0) q2 = q; + + time -= (1 + (q > q2 ? q - q2 : q2 - q) + tmp); + + if (time >= tmp && max != 0) { + total += max; + p2 = p, q2 = q; + } else { + printf("%d\n", total); return 0; } } @@ -66,7 +74,7 @@ int main(void) int total=0, max,p,q,p2=0,q2; while(1){ max=0; - for(int i=1;ip2?p-p2:p2-p; if(p2==0) q2=q; - time-=(1+(q>q2?q-q2:q2-q)+tmp); + time-=(1+(q>q2?q-q2:q2-q)+tmp); if(time>=tmp&&max!=0){ total+=max; - p2=p,q2=q; + p2=p,q2=q; } else{ printf("%d\n",total); diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A0.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A0.cc" index 634a3bc..71dec2c 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A0.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A0.cc" @@ -3,55 +3,61 @@ #include using namespace std; -struct peanut -{ - int x,y,value; +struct peanut { + int x, y, value; }; -int m,n,k,t; -int mov(int now,peanut p[]) +int m, n, k, t; +int mov(int now, peanut p[]) { int diff; - diff=abs(p[now-1].x-p[now].x)+abs(p[now-1].y-p[now].y); + diff = abs(p[now - 1].x - p[now].x) + abs(p[now - 1].y - p[now].y); return diff; } void sort(peanut p[]) { - for (int i=1;i>m>>n>>k; - t=0; - struct peanut p[(m+1)*(n+1)]; - int i,j; - for (i=1;i<=m;i++) - for (j=1;j<=n;j++) - { - cin>>p[++t].value; - p[t].x=j; p[t].y=i; - if (p[t].value==0) {t--;} - } - sort(p); - int now=1,total=0; - p[0].x=p[1].x;p[0].y=0; p[0].value=0; - while ((k>0)&&((k-mov(now,p))>p[now].y)) - { - k-=mov(now,p); - k--; - total+=p[now].value; - now++; - } - cout<> m >> n >> k; + t = 0; + struct peanut p[(m + 1) * (n + 1)]; + int i, j; + + for (i = 1; i <= m; i++) + for (j = 1; j <= n; j++) { + cin >> p[++t].value; + p[t].x = j; + p[t].y = i; + + if (p[t].value == 0) { + t--; + } + } + + sort(p); + int now = 1, total = 0; + p[0].x = p[1].x; + p[0].y = 0; + p[0].value = 0; + + while ((k > 0) && ((k - mov(now, p)) > p[now].y)) { + k -= mov(now, p); + k--; + total += p[now].value; + now++; + } + + cout << total; + return 0; } /*#include struct fuck{ @@ -77,7 +83,7 @@ int main(void) int total=0, max,p,q,p2=0,q2; while(1){ max=0; - for(int i=1;ip2?p-p2:p2-p; if(p2==0) q2=q; - time-=(1+(q>q2?q-q2:q2-q)+tmp); + time-=(1+(q>q2?q-q2:q2-q)+tmp); if(time>=tmp&&max!=0){ total+=max; - p2=p,q2=q; + p2=p,q2=q; } else{ printf("%d\n",total); diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A1.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A1.cc" index 2013700..c49a026 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A1.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5A1.cc" @@ -6,14 +6,17 @@ using namespace std; -struct Peanut -{ +struct Peanut { int x, y, amount; - Peanut(int _x, int _y, int _amount) { - x = _x; y = _y; amount = _amount; + Peanut(int _x, int _y, int _amount) + { + x = _x; + y = _y; + amount = _amount; } - bool operator<(const Peanut& that) const { - return (amount>that.amount); + bool operator<(const Peanut& that) const + { + return (amount > that.amount); } }; @@ -22,25 +25,33 @@ int main() // 输入 int M, N, K; int tempAmount, tempX, tempY, dist, rlt; - while(~scanf("%d %d %d", &M, &N, &K)) { + + while (~scanf("%d %d %d", &M, &N, &K)) { vector peanut; - for(int i=1; i<=M; i++) { - for(int j=1; j<=N; j++) { + + for (int i = 1; i <= M; i++) { + for (int j = 1; j <= N; j++) { scanf("%d", &tempAmount); - if(tempAmount) { + + if (tempAmount) { peanut.push_back(Peanut(i, j, tempAmount)); - } + } } } + sort(peanut.begin(), peanut.end()); // 计算 rlt = 0; - if(peanut.size()) { - tempY = peanut[0].y; tempX = 0; - for(int i=0; i= dist + peanut[i].x) { + + if (peanut.size()) { + tempY = peanut[0].y; + tempX = 0; + + for (int i = 0; i < peanut.size(); i++) { + dist = abs(tempX - peanut[i].x) + abs(tempY - peanut[i].y) + 1; + + if (K >= dist + peanut[i].x) { K -= dist; tempX = peanut[i].x; tempY = peanut[i].y; @@ -54,5 +65,6 @@ int main() // 输出 printf("%d\n", rlt); } + return 0; -} \ No newline at end of file +} \ No newline at end of file diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5B.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5B.cc" index 1a6ac60..1ccfe6c 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5B.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/5B.cc" @@ -1,59 +1,64 @@ #include int data[5][4]; -void analysis(int p,int q,int i,int j) +void analysis(int p, int q, int i, int j) { - data[i][2]+=p; - data[i][3]+=q; - data[j][2]+=q; - data[j][3]+=p; - if(p>q) - data[i][1]+=3; - else if(p==q){ - data[i][1]+=1; - data[j][1]+=1; - } - else - data[j][1]+=3; + data[i][2] += p; + data[i][3] += q; + data[j][2] += q; + data[j][3] += p; + + if (p > q) + data[i][1] += 3; + else if (p == q) { + data[i][1] += 1; + data[j][1] += 1; + } else + data[j][1] += 3; } -void cmp(int i,int j) +void cmp(int i, int j) { - if(data[i][1]data[j][1]) - data[j][0]+=1; - else{ - int tmp=data[i][2]-data[i][3]-data[j][2]+data[j][3]; - if(tmp<0) data[i][0]+=1; - else if(tmp>0) data[j][0]+=1; - else{ - if(data[i][2]data[j][2]) data[j][0]+=1; + if (data[i][1] < data[j][1]) + data[i][0] += 1; + else if (data[i][1] > data[j][1]) + data[j][0] += 1; + else { + int tmp = data[i][2] - data[i][3] - data[j][2] + data[j][3]; + + if (tmp < 0) data[i][0] += 1; + else if (tmp > 0) data[j][0] += 1; + else { + if (data[i][2] < data[j][2]) data[i][0] += 1; + else if (data[i][2] > data[j][2]) data[j][0] += 1; } } - } +} int main() { - for(int i=1;i!=5;++i) - for(int j=1;j!=5;++j) - data[i][j]=0;//initialize - int p,q; - scanf("%d:%d",&p,&q); - analysis(p,q,1,2); - scanf("%d:%d",&p,&q); - analysis(p,q,3,4); - scanf("%d:%d",&p,&q); - analysis(p,q,1,3); - scanf("%d:%d",&p,&q); - analysis(p,q,4,2); - scanf("%d:%d",&p,&q); - analysis(p,q,2,3); - scanf("%d:%d",&p,&q); - analysis(p,q,4,1); - for(int i=1;i!=4;++i) - for(int j=i+1;j!=5;++j) - cmp(i,j); - for(int i=1;i!=5;++i){ - printf("%d %d %+d %d %d %c\n",data[i][0]+1,data[i][1],data[i][2]-data[i][3],data[i][2],data[i][3],data[i][0]<2?'Y':'N'); + for (int i = 1; i != 5; ++i) + for (int j = 1; j != 5; ++j) + data[i][j] = 0; //initialize + + int p, q; + scanf("%d:%d", &p, &q); + analysis(p, q, 1, 2); + scanf("%d:%d", &p, &q); + analysis(p, q, 3, 4); + scanf("%d:%d", &p, &q); + analysis(p, q, 1, 3); + scanf("%d:%d", &p, &q); + analysis(p, q, 4, 2); + scanf("%d:%d", &p, &q); + analysis(p, q, 2, 3); + scanf("%d:%d", &p, &q); + analysis(p, q, 4, 1); + + for (int i = 1; i != 4; ++i) + for (int j = i + 1; j != 5; ++j) + cmp(i, j); + + for (int i = 1; i != 5; ++i) { + printf("%d %d %+d %d %d %c\n", data[i][0] + 1, data[i][1], data[i][2] - data[i][3], data[i][2], data[i][3], data[i][0] < 2 ? 'Y' : 'N'); } + return 0; } \ No newline at end of file diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/7A.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/7A.cc" index e3b1ee5..04e0357 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/7A.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/7A.cc" @@ -2,18 +2,20 @@ int main() { int n; - scanf("%d",&n); - int i,j,k,sum=0; - for(i=0;i<=n;++i) - for(j=0;j<=n;++j){ - if((i+j)%2==0){ - for(k=0;k<=n;++k){ - if((j+k)%3==0&&(i+j+k)%5==0){ - if(i+j+k>sum) sum=i+k+j; + scanf("%d", &n); + int i, j, k, sum = 0; + + for (i = 0; i <= n; ++i) + for (j = 0; j <= n; ++j) { + if ((i + j) % 2 == 0) { + for (k = 0; k <= n; ++k) { + if ((j + k) % 3 == 0 && (i + j + k) % 5 == 0) { + if (i + j + k > sum) sum = i + k + j; } } } - } - printf("%d\n",sum); - return 0; + } + + printf("%d\n", sum); + return 0; } \ No newline at end of file diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8A.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8A.cc" index 002bae3..d4a05bd 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8A.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8A.cc" @@ -1,33 +1,38 @@ #include #include char map[1000][1000]; -void findone(int n,int i,int j) +void findone(int n, int i, int j) { - if(i<0||j<0||i>n-1||j>n-1) + if (i < 0 || j < 0 || i > n - 1 || j > n - 1) return ; - if(map[i][j]=='.') return ; - else{ - map[i][j]='.'; - findone(n,i-1,j); - findone(n,i,1+j); - findone(n,i,j-1); - findone(n,i+1,j); + + if (map[i][j] == '.') return ; + else { + map[i][j] = '.'; + findone(n, i - 1, j); + findone(n, i, 1 + j); + findone(n, i, j - 1); + findone(n, i + 1, j); } } int main() { gets(map[0]); - int n=strlen(map[0]); - for(int i=1;i>n){ - if(n<6||n>11) break; - switch(n){ - case 6:total=4;break; - case 7:total=40;break; - case 8:total=92;break; - case 9:total=352;break; - case 10:total=724;break; - case 11:total=2680;break; - } - cout<> n) { + if (n < 6 || n > 11) break; + + switch (n) { + case 6: + total = 4; + break; + + case 7: + total = 40; + break; + + case 8: + total = 92; + break; + + case 9: + total = 352; + break; + + case 10: + total = 724; + break; + + case 11: + total = 2680; + break; + } + + cout << total << endl; } + return 0; } \ No newline at end of file diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8B.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8B.cc" index 50e816c..c8595db 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8B.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/8B.cc" @@ -1,40 +1,55 @@ #include using namespace std; -int nextArrangement(int a[],int n) //n个皇后 +int nextArrangement(int a[], int n) //n个皇后 { int i; - for(i=n;i>1&&a[i] 1 && a[i] < a[i - 1]; --i); + + if (i == 1) return 0; + int j; - for(j=n;a[i-1]>a[j];--j); - int tmp=a[i-1]; - a[i-1]=a[j]; - a[j]=tmp; - for(int p=i,q=n;p a[j]; --j); + + int tmp = a[i - 1]; + a[i - 1] = a[j]; + a[j] = tmp; + + for (int p = i, q = n; p < q; ++p, --q) { + int tmp = a[p]; + a[p] = a[q]; + a[q] = tmp; } + return 1; } -int isOk(int a[],int n) +int isOk(int a[], int n) { - for(int i=1;i>n){ - int total=0; - if(n<6||n>11) break; - int a[n+1]; - for(int i=1;i<=n;++i) a[i]=i; - while(nextArrangement(a,n)) - if(isOk(a,n)) ++total; - cout<> n) { + int total = 0; + + if (n < 6 || n > 11) break; + + int a[n + 1]; + + for (int i = 1; i <= n; ++i) a[i] = i; + + while (nextArrangement(a, n)) + if (isOk(a, n)) ++total; + + cout << total << endl; } + return 0; -} \ No newline at end of file +} diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9A0.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9A0.cc" index c22edff..04b5c13 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9A0.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9A0.cc" @@ -3,55 +3,75 @@ //曾因EPS 0.00001,精度太高,而比较失败,得注意 int isZero(float n) { - if(n #define ALL 362880 -int fac[9]={1,1,2,6,24,120,720,5040,40320}; +int fac[9] = {1, 1, 2, 6, 24, 120, 720, 5040, 40320}; //0 for not appeared, 1 for appeared in future, 2 for passed char flag[ALL]; // if a num 's position of the target state is row & cur state col , // then the "distance" --h is table[row][col] -char table[9][9]={ - 0,1,2,1,2,3,2,3,4, - 1,0,1,2,1,2,3,2,3, - 2,1,0,3,2,1,4,3,2, - 1,2,3,0,1,2,1,2,3, - 2,1,2,1,0,1,2,1,2, - 3,2,1,2,1,0,3,2,1, - 2,3,4,1,2,3,0,1,2, - 3,2,3,2,1,2,1,0,1, - 4,3,2,3,2,1,2,1,0, +char table[9][9] = { + 0, 1, 2, 1, 2, 3, 2, 3, 4, + 1, 0, 1, 2, 1, 2, 3, 2, 3, + 2, 1, 0, 3, 2, 1, 4, 3, 2, + 1, 2, 3, 0, 1, 2, 1, 2, 3, + 2, 1, 2, 1, 0, 1, 2, 1, 2, + 3, 2, 1, 2, 1, 0, 3, 2, 1, + 2, 3, 4, 1, 2, 3, 0, 1, 2, + 3, 2, 3, 2, 1, 2, 1, 0, 1, + 4, 3, 2, 3, 2, 1, 2, 1, 0, }; class node { - public: - //f = g + h is the evaluation func +public: + //f = g + h is the evaluation func int g; //steps int h; // the evaluated distance int zero; @@ -41,33 +41,41 @@ node *tail; int cantor(char *s) { int sum = 1; - for(int i = 0;i<9;++i){ + + for (int i = 0; i < 9; ++i) { int n = 0; - for(int j= i+1;j < 9 ;++j){ - if(s[j] < s[i]){ + + for (int j = i + 1; j < 9 ; ++j) { + if (s[j] < s[i]) { ++ n; } } - sum += n * fac[8-i]; + + sum += n * fac[8 - i]; } + return sum; } void init(char *s) { - memset(states,0,sizeof(node)*ALL); - memset(flag,0,sizeof(flag)); + memset(states, 0, sizeof(node)*ALL); + memset(flag, 0, sizeof(flag)); flag[cantor(s)] = 1; - tail = head+1; + tail = head + 1; head -> g = 0; head -> h = 0; - for(int i = 0; i<9;++i){ - head->h += table[8 - (s[i]-'0')][i]; + + for (int i = 0; i < 9; ++i) { + head->h += table[8 - (s[i] - '0')][i]; } + head ->last = -1; - for(int i = 0; i<9;++i){ - if(s[i] == '0'){ + + for (int i = 0; i < 9; ++i) { + if (s[i] == '0') { head -> zero = i; } + head->s[i] = s[i]; } } @@ -77,14 +85,18 @@ void putIn(node *n) { int f = n -> g + n -> h; node *tmp = head; - for(;tmp g+ tmp -> h) + + for (; tmp < tail; ++tmp) { + if (f < tmp -> g + tmp -> h) break; } + node *p ; - for(p = tail;p>tmp;--p){ - *p = *(p-1); + + for (p = tail; p > tmp; --p) { + *p = *(p - 1); } + *p = *n; ++tail; } @@ -93,84 +105,101 @@ void putIn(node *n) void updt(node *n) { node *tmp ; - for(tmp = head; tmp < tail ;++tmp){ - if(strcmp(tmp -> s,n -> s) == 0){ + + for (tmp = head; tmp < tail ; ++tmp) { + if (strcmp(tmp -> s, n -> s) == 0) { tmp -> g = n -> g; tmp -> last = n-> last; break; } } + node *mid = new node(); //new return a pointer ! Remember! node * i; - for(i = tmp; i> head && (i->g +i->h < (i-1)->g + (i-1)->h); --i){ + + for (i = tmp; i > head && (i->g + i->h < (i - 1)->g + (i - 1)->h); --i) { *mid = *i; - *i = * (i-1); - *(i-1) = *mid; + *i = * (i - 1); + *(i - 1) = *mid; } - if(i>head&&i->g +i->h < (i-1)->g + (i-1)->h && i->g <(i-1)->g){ + + if (i > head && i->g + i->h < (i - 1)->g + (i - 1)->h && i->g < (i - 1)->g) { *mid = *i; - *i = * (i-1); - *(i-1) = *mid; + *i = * (i - 1); + *(i - 1) = *mid; } + delete mid; } int move() { - while(head!=tail){ + while (head != tail) { node *tmp = head; ++head; - if(cantor(tmp->s) == ALL) + + if (cantor(tmp->s) == ALL) return tmp -> g; + flag[cantor(tmp -> s)] = 2; - int dir[4] = {-3,1,3,-1}; - if(tmp -> last!= -1) + int dir[4] = {-3, 1, 3, -1}; + + if (tmp -> last != -1) dir[tmp-> last] = 0; - if(tmp -> zero < 3) dir[0] = 0; - if(tmp -> zero > 5) dir[2] = 0; - if((tmp -> zero) %3 == 0) dir[3] = 0; - if((tmp -> zero) %3 == 2) dir[1] = 0; - for(int i = 0; i < 4;++i){ - if(dir[i] != 0){ + + if (tmp -> zero < 3) dir[0] = 0; + + if (tmp -> zero > 5) dir[2] = 0; + + if ((tmp -> zero) % 3 == 0) dir[3] = 0; + + if ((tmp -> zero) % 3 == 2) dir[1] = 0; + + for (int i = 0; i < 4; ++i) { + if (dir[i] != 0) { node *cur = new node(); *cur = *tmp; int p = cur ->zero; cur -> zero = p + dir[i]; - cur -> s[p] = cur -> s[p+dir[i]]; - cur -> s[p+dir[i]] = '0'; + cur -> s[p] = cur -> s[p + dir[i]]; + cur -> s[p + dir[i]] = '0'; int pn = cantor(cur -> s); - if(flag[pn] != 2){ + + if (flag[pn] != 2) { cur -> g += 1; - cur->last = (2+i)%4; //һβܷ,ƶ2+i%4 + cur->last = (2 + i) % 4; //һβܷ,ƶ2+i%4 int delta = table[8 - cur -> s[p] + '0'][p] + table[8][cur -> zero] \ - table[8 - cur -> s[p] + '0'][cur -> zero] - table[8][p]; cur -> h += delta; - if(flag[pn] == 0) { + + if (flag[pn] == 0) { flag[pn] = 1; putIn(cur); - } - else updt(cur); - } - else delete cur; + } else updt(cur); + } else delete cur; } } } } char readChar() { - char c =' '; - while (c==' ' || c== '\n') - c=getchar(); + char c = ' '; + + while (c == ' ' || c == '\n') + c = getchar(); + return c; } int main(void) { - // char s[10] = "876543210"; + // char s[10] = "876543210"; char s[9]; - for(int i = 0 ;i<9;++i){ + + for (int i = 0 ; i < 9; ++i) { s[i] = readChar(); } + init(s); - printf("%d\n",move()); + printf("%d\n", move()); return 0; } diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9B1.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9B1.cc" index 082862e..5c73752 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9B1.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/9B1.cc" @@ -2,117 +2,143 @@ #include const int MAXSIZE = 1e6; const int HASHSIZE = 1e6 + 3; -const int mask = 1|2|4; +const int mask = 1 | 2 | 4; int hashTable[HASHSIZE]; -int front,rear,cur; -void inline lookbit(int x){ - for(unsigned i = 1<<31;i;i>>=1) - printf("%d",i&x?1:0); +int front, rear, cur; +void inline lookbit(int x) +{ + for (unsigned i = 1 << 31; i; i >>= 1) + printf("%d", i & x ? 1 : 0); + printf("\n"); } -struct A{ - int state,p; +struct A { + int state, p; char dir; -}Q[MAXSIZE]; -struct B{ - int state,nx; -}next[MAXSIZE]; -inline int swap(int x, int dist){ - int l=x>>27; - int t=0; - t|=(((x>>(8-(l+dist))*3))&mask)<<(8-l)*3; - x&=~(mask<<(8-l-dist)*3); - t|=x; - t&=~0U>>5; - return t|((l+dist)<<27); +} Q[MAXSIZE]; +struct B { + int state, nx; +} next[MAXSIZE]; +inline int swap(int x, int dist) +{ + int l = x >> 27; + int t = 0; + t |= (((x >> (8 - (l + dist)) * 3))&mask) << (8 - l) * 3; + x &= ~(mask << (8 - l - dist) * 3); + t |= x; + t &= ~0U >> 5; + return t | ((l + dist) << 27); } -void printAns(int c,int s){ - if(Q[c].state != s){ - printAns(Q[c].p,s); +void printAns(int c, int s) +{ + if (Q[c].state != s) { + printAns(Q[c].p, s); putchar(Q[c].dir); } } -inline int getHashNum(int x){ +inline int getHashNum(int x) +{ return x % HASHSIZE; } -bool trytoInsert(int x){ +bool trytoInsert(int x) +{ int i = getHashNum(x); int l = hashTable[i]; - while(l != -1){ - if(next[l].state == x) + + while (l != -1) { + if (next[l].state == x) return false; + l = next[l].nx; } + next[cur].nx = hashTable[i]; next[cur].state = x; hashTable[i] = cur++; return true; } -void bfs(int s,int t){ +void bfs(int s, int t) +{ register int temp; Q[rear++].state = s; - while(front < rear){ + + while (front < rear) { int x = Q[front++].state; - if(x==t){ - printAns(front-1,s); + + if (x == t) { + printAns(front - 1, s); return; } - int z = x>>27; - if(z/3>0){ + + int z = x >> 27; + + if (z / 3 > 0) { temp = swap(x, -3); - if(trytoInsert(temp)){ + + if (trytoInsert(temp)) { Q[rear].state = temp; Q[rear].dir = 'u'; Q[rear++].p = front - 1; } } - if(z/3<2){ + + if (z / 3 < 2) { temp = swap(x, 3); - if(trytoInsert(temp)){ + + if (trytoInsert(temp)) { Q[rear].state = temp; Q[rear].dir = 'd'; Q[rear++].p = front - 1; } } - if(z%3>0){ + + if (z % 3 > 0) { temp = swap(x, -1); - if(trytoInsert(temp)){ + + if (trytoInsert(temp)) { Q[rear].state = temp; Q[rear].dir = 'l'; Q[rear++].p = front - 1; } } - if(z%3<2){ + + if (z % 3 < 2) { temp = swap(x, 1); - if(trytoInsert(temp)){ + + if (trytoInsert(temp)) { Q[rear].state = temp; Q[rear].dir = 'r'; Q[rear++].p = front - 1; } } } + printf("unsolvable\n"); } -int main(){ - memset(hashTable,-1,sizeof(hashTable)); +int main() +{ + memset(hashTable, -1, sizeof(hashTable)); char str[2]; int s = 0, t = 0, j = 24; - for(int i = 0; i < 9; i++, j -= 3){ - scanf("%s",str); - if(*str!='x'){ - s|=*str-'1'< #define ALL 362880 -int fac[9]={1,1,2,6,24,120,720,5040,40320}; +int fac[9] = {1, 1, 2, 6, 24, 120, 720, 5040, 40320}; //0 for not appeared, 1 for appeared in future, 2 for passed char flag[ALL]; @@ -11,8 +11,8 @@ char flag[ALL]; class node { - public: - //f = g + h is the evaluation func +public: + //f = g + h is the evaluation func int g; //steps int zero; char s[9]; @@ -26,59 +26,74 @@ node *tail; int cantor(char *s) { int sum = 1; - for(int i = 0;i<9;++i){ + + for (int i = 0; i < 9; ++i) { int n = 0; - for(int j= i+1;j < 9 ;++j){ - if(s[j] < s[i]){ + + for (int j = i + 1; j < 9 ; ++j) { + if (s[j] < s[i]) { ++ n; } } - sum += n * fac[8-i]; + + sum += n * fac[8 - i]; } + return sum; } void init(char *s) { - memset(states,0,sizeof(node)*ALL); - memset(flag,0,sizeof(flag)); + memset(states, 0, sizeof(node)*ALL); + memset(flag, 0, sizeof(flag)); flag[cantor(s)] = 1; - tail = head+1; + tail = head + 1; head -> g = 0; head ->last = -1; - for(int i = 0; i<9;++i){ - if(s[i] == '0'){ + + for (int i = 0; i < 9; ++i) { + if (s[i] == '0') { head -> zero = i; } + head->s[i] = s[i]; } } int move() { - while(head!=tail){ + while (head != tail) { node *tmp = head; ++head; - if(cantor(tmp->s) == ALL) + + if (cantor(tmp->s) == ALL) return tmp -> g; + flag[cantor(tmp -> s)] = 1; - int dir[4] = {-3,1,3,-1}; - if(tmp -> last!= -1) + int dir[4] = {-3, 1, 3, -1}; + + if (tmp -> last != -1) dir[tmp-> last] = 0; - if(tmp -> zero < 3) dir[0] = 0; - if(tmp -> zero > 5) dir[2] = 0; - if((tmp -> zero) %3 == 0) dir[3] = 0; - if((tmp -> zero) %3 == 2) dir[1] = 0; - for(int i = 0; i < 4;++i){ - if(dir[i] != 0){ + + if (tmp -> zero < 3) dir[0] = 0; + + if (tmp -> zero > 5) dir[2] = 0; + + if ((tmp -> zero) % 3 == 0) dir[3] = 0; + + if ((tmp -> zero) % 3 == 2) dir[1] = 0; + + for (int i = 0; i < 4; ++i) { + if (dir[i] != 0) { *tail = * tmp; int p = tail ->zero; tail -> zero = p + dir[i]; - tail -> s[p] = tmp -> s[p+dir[i]]; - tail -> s[p+dir[i]] = '0'; + tail -> s[p] = tmp -> s[p + dir[i]]; + tail -> s[p + dir[i]] = '0'; int pn = cantor(tail -> s); - if(flag[pn] == 0){ + + if (flag[pn] == 0) { tail -> g += 1; - tail->last = (2+i)%4; //һβܷ,ƶ2+i%4 + tail->last = (2 + i) % 4; //һβܷ,ƶ2+i%4 ++tail; flag[pn] = 1; } @@ -88,19 +103,23 @@ int move() } char readChar() { - char c =' '; - while (c==' ' || c== '\n') - c=getchar(); + char c = ' '; + + while (c == ' ' || c == '\n') + c = getchar(); + return c; } int main(void) { - // char s[10] = "876543210"; + // char s[10] = "876543210"; char s[9]; - for(int i = 0 ;i<9;++i){ + + for (int i = 0 ; i < 9; ++i) { s[i] = readChar(); } + init(s); - printf("%d\n",move()); + printf("%d\n", move()); return 0; } diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/AStar.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/AStar.cc" index 80417c2..9cff87d 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/AStar.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/AStar.cc" @@ -5,28 +5,28 @@ #include #define ALL 362880 -int fac[9]={1,1,2,6,24,120,720,5040,40320}; +int fac[9] = {1, 1, 2, 6, 24, 120, 720, 5040, 40320}; //0 for not appeared, 1 for appeared in future, 2 for passed char flag[ALL]; // if a num 's position of the target state is row & cur state col , // then the "distance" --h is table[row][col] -char table[9][9]={ - 0,1,2,1,2,3,2,3,4, - 1,0,1,2,1,2,3,2,3, - 2,1,0,3,2,1,4,3,2, - 1,2,3,0,1,2,1,2,3, - 2,1,2,1,0,1,2,1,2, - 3,2,1,2,1,0,3,2,1, - 2,3,4,1,2,3,0,1,2, - 3,2,3,2,1,2,1,0,1, - 4,3,2,3,2,1,2,1,0, +char table[9][9] = { + 0, 1, 2, 1, 2, 3, 2, 3, 4, + 1, 0, 1, 2, 1, 2, 3, 2, 3, + 2, 1, 0, 3, 2, 1, 4, 3, 2, + 1, 2, 3, 0, 1, 2, 1, 2, 3, + 2, 1, 2, 1, 0, 1, 2, 1, 2, + 3, 2, 1, 2, 1, 0, 3, 2, 1, + 2, 3, 4, 1, 2, 3, 0, 1, 2, + 3, 2, 3, 2, 1, 2, 1, 0, 1, + 4, 3, 2, 3, 2, 1, 2, 1, 0, }; class node { - public: - //f = g + h is the evaluation func +public: + //f = g + h is the evaluation func int g; //steps int h; // the evaluated distance int zero; @@ -41,33 +41,41 @@ node *tail; int cantor(char *s) { int sum = 1; - for(int i = 0;i<9;++i){ + + for (int i = 0; i < 9; ++i) { int n = 0; - for(int j= i+1;j < 9 ;++j){ - if(s[j] < s[i]){ + + for (int j = i + 1; j < 9 ; ++j) { + if (s[j] < s[i]) { ++ n; } } - sum += n * fac[8-i]; + + sum += n * fac[8 - i]; } + return sum; } void init(char *s) { - memset(states,0,sizeof(node)*ALL); - memset(flag,0,sizeof(flag)); + memset(states, 0, sizeof(node)*ALL); + memset(flag, 0, sizeof(flag)); flag[cantor(s)] = 1; - tail = head+1; + tail = head + 1; head -> g = 0; head -> h = 0; - for(int i = 0; i<9;++i){ - head->h += table[8 - (s[i]-'0')][i]; + + for (int i = 0; i < 9; ++i) { + head->h += table[8 - (s[i] - '0')][i]; } + head ->last = -1; - for(int i = 0; i<9;++i){ - if(s[i] == '0'){ + + for (int i = 0; i < 9; ++i) { + if (s[i] == '0') { head -> zero = i; } + head->s[i] = s[i]; } } @@ -77,14 +85,18 @@ void putIn(node *n) { int f = n -> g + n -> h; node *tmp = head; - for(;tmp<=tail; ++tmp){ - if(f < tmp -> g+ tmp -> h) + + for (; tmp <= tail; ++tmp) { + if (f < tmp -> g + tmp -> h) break; } + node *p ; - for(p = tail;p>tmp;--p){ - *p = *(p-1); + + for (p = tail; p > tmp; --p) { + *p = *(p - 1); } + *p = *n; ++tail; } @@ -93,57 +105,70 @@ void putIn(node *n) void updt(node *n) { node *tmp ; - for(tmp = head; tmp < tail ;++tmp){ - if(strcmp(tmp -> s,n -> s) == 0){ + + for (tmp = head; tmp < tail ; ++tmp) { + if (strcmp(tmp -> s, n -> s) == 0) { tmp -> g = n -> g; tmp -> last = n-> last; break; } } + node *mid = new node(); //new return a pointer ! Remember! - for(node * i = tmp; i> head && (i->g +i->h < (i-1)->g + (i-1)->h); --i){ + + for (node * i = tmp; i > head && (i->g + i->h < (i - 1)->g + (i - 1)->h); --i) { *mid = *i; - *i = * (i-1); - *(i-1) = *mid; + *i = * (i - 1); + *(i - 1) = *mid; } + delete mid; } int move() { - while(head!=tail){ + while (head != tail) { node *tmp = head; ++head; - if(cantor(tmp->s) == ALL) + + if (cantor(tmp->s) == ALL) return tmp -> g; + flag[cantor(tmp -> s)] = 2; - int dir[4] = {-3,1,3,-1}; - if(tmp -> last!= -1) + int dir[4] = {-3, 1, 3, -1}; + + if (tmp -> last != -1) dir[tmp-> last] = 0; - if(tmp -> zero < 3) dir[0] = 0; - if(tmp -> zero > 5) dir[2] = 0; - if((tmp -> zero) %3 == 0) dir[3] = 0; - if((tmp -> zero) %3 == 2) dir[1] = 0; - for(int i = 0; i < 4;++i){ - if(dir[i] != 0){ + + if (tmp -> zero < 3) dir[0] = 0; + + if (tmp -> zero > 5) dir[2] = 0; + + if ((tmp -> zero) % 3 == 0) dir[3] = 0; + + if ((tmp -> zero) % 3 == 2) dir[1] = 0; + + for (int i = 0; i < 4; ++i) { + if (dir[i] != 0) { node *cur = new node(); *cur = *tmp; int p = cur ->zero; cur -> zero = p + dir[i]; - cur -> s[p] = cur -> s[p+dir[i]]; - cur -> s[p+dir[i]] = '0'; + cur -> s[p] = cur -> s[p + dir[i]]; + cur -> s[p + dir[i]] = '0'; int pn = cantor(cur -> s); - if(flag[pn] < 2){ + + if (flag[pn] < 2) { cur -> g += 1; - cur->last = (2+i)%4; //һβܷ,ƶ2+i%4 + cur->last = (2 + i) % 4; //һβܷ,ƶ2+i%4 int delta = table[8 - cur -> s[p] + '0'][p] + table[8][cur -> zero] \ - table[8 - cur -> s[p] + '0'][cur -> zero] - table[8][p]; cur -> h += delta; - if(flag[pn] == 0) { + + if (flag[pn] == 0) { flag[pn] = 1; putIn(cur); - } - else updt(cur); + } else updt(cur); } } } @@ -151,19 +176,23 @@ int move() } char readChar() { - char c =' '; - while (c==' ' || c== '\n') - c=getchar(); + char c = ' '; + + while (c == ' ' || c == '\n') + c = getchar(); + return c; } int main(void) { - // char s[10] = "876543210"; + // char s[10] = "876543210"; char s[9]; - for(int i = 0 ;i<9;++i){ + + for (int i = 0 ; i < 9; ++i) { s[i] = readChar(); } + init(s); - printf("%d\n",move()); + printf("%d\n", move()); return 0; } diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/_10b.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/_10b.cc" index 1eeed13..abdebde 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/_10b.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/_10b.cc" @@ -4,185 +4,270 @@ #include #include using namespace std; -int n,m,s,tur_d,r,T,born; +int n, m, s, tur_d, r, T, born; int in[11][11]; -int xx[4]={0,1,0,-1},yy[4]={1,0,-1,0}; -bool getcake,mp[11][11]; -struct tur{int x,y;}tur[31]; -struct ant{int lev,hp,age,x,y,lx,ly,mx;bool live,cake;}a[11]; -struct point{int x,y;}; -struct line{point a,b;}l; -point sub(point a,point b){point t;t.x=a.x-b.x;t.y=a.y-b.y;return t;} -int cmul(point a,point b){return a.x*b.y-a.y*b.x;} -int turn(point a,point b,point c){return cmul(sub(b,a),sub(c,a));} -int sqr(int x){return x*x;} -double caldis(int x1,int y1,int x2,int y2) -{return sqrt(sqr(x1-x2)+sqr(y1-y2));} -int cdis(int x,int y) -{return sqr(tur[x].x-a[y].x)+sqr(tur[x].y-a[y].y);} -double getdis(int x,int y) -{return sqrt(cdis(x,y));} -bool cmp(ant a,ant b){return a.age>b.age;} +int xx[4] = {0, 1, 0, -1}, yy[4] = {1, 0, -1, 0}; +bool getcake, mp[11][11]; +struct tur { + int x, y; +} tur[31]; +struct ant { + int lev, hp, age, x, y, lx, ly, mx; + bool live, cake; +} a[11]; +struct point { + int x, y; +}; +struct line { + point a, b; +} l; +point sub(point a, point b) +{ + point t; + t.x = a.x - b.x; + t.y = a.y - b.y; + return t; +} +int cmul(point a, point b) +{ + return a.x * b.y - a.y * b.x; +} +int turn(point a, point b, point c) +{ + return cmul(sub(b, a), sub(c, a)); +} +int sqr(int x) +{ + return x * x; +} +double caldis(int x1, int y1, int x2, int y2) +{ + return sqrt(sqr(x1 - x2) + sqr(y1 - y2)); +} +int cdis(int x, int y) +{ + return sqr(tur[x].x - a[y].x) + sqr(tur[x].y - a[y].y); +} +double getdis(int x, int y) +{ + return sqrt(cdis(x, y)); +} +bool cmp(ant a, ant b) +{ + return a.age > b.age; +} void bornint(int k) { - int l=born/6+1; - a[k].lev=l; - a[k].hp=a[k].mx=int(4*pow(1.1,l)); - a[k].age=0;a[k].live=1; - a[k].x=a[k].y=a[k].lx=a[k].ly=0; - mp[0][0]=1; + int l = born / 6 + 1; + a[k].lev = l; + a[k].hp = a[k].mx = int(4 * pow(1.1, l)); + a[k].age = 0; + a[k].live = 1; + a[k].x = a[k].y = a[k].lx = a[k].ly = 0; + mp[0][0] = 1; born++; } -bool jud(int x,int y,int lx,int ly) +bool jud(int x, int y, int lx, int ly) { - if(mp[x][y]||x<0||y<0||x>n||y>m)return 0; - if(x==lx&&y==ly)return 0; - return 1; + if (mp[x][y] || x < 0 || y < 0 || x > n || y > m)return 0; + + if (x == lx && y == ly)return 0; + + return 1; } -void move(int k,int dir) +void move(int k, int dir) { - int x=a[k].x,y=a[k].y; - if(dir==-1){a[k].lx=x;a[k].ly=y;return;} - int nowx=x+xx[dir],nowy=y+yy[dir]; - mp[x][y]=0;mp[nowx][nowy]=1; - a[k].lx=x;a[k].ly=y;a[k].x=nowx;a[k].y=nowy; + int x = a[k].x, y = a[k].y; + + if (dir == -1) { + a[k].lx = x; + a[k].ly = y; + return; + } + + int nowx = x + xx[dir], nowy = y + yy[dir]; + mp[x][y] = 0; + mp[nowx][nowy] = 1; + a[k].lx = x; + a[k].ly = y; + a[k].x = nowx; + a[k].y = nowy; } -void spmove(int k,int dir) +void spmove(int k, int dir) { - int x=a[k].x,y=a[k].y,lx=a[k].lx,ly=a[k].ly; - for(int i=(dir-1+4)%4;;i=(i-1+4)%4) - { - int nowx=x+xx[i],nowy=y+yy[i]; - if(jud(nowx,nowy,lx,ly)) - {move(k,i);return;} + int x = a[k].x, y = a[k].y, lx = a[k].lx, ly = a[k].ly; + + for (int i = (dir - 1 + 4) % 4;; i = (i - 1 + 4) % 4) { + int nowx = x + xx[i], nowy = y + yy[i]; + + if (jud(nowx, nowy, lx, ly)) { + move(k, i); + return; + } } } void premove(int k) { - int x=a[k].x,y=a[k].y,lx=a[k].lx,ly=a[k].ly; - int mx=-0x7fffffff,dir=-1; - for(int i=0;i<4;i++) - { - int nowx=x+xx[i],nowy=y+yy[i]; - if(jud(nowx,nowy,lx,ly)&&mxx2|yy2)return 0; - point p;p.x=x;p.y=y; - if(fabs(turn(l.a,l.b,p))/d<=0.5)return 1; + + if ((a[k].age + 1) % 5 != 0 || dir == -1)move(k, dir); + else spmove(k, dir); +} +bool cross(int x, int y) +{ + double d = caldis(l.a.x, l.a.y, l.b.x, l.b.y); + + if (x == l.a.x && y == l.a.y || x == l.b.x && y == l.b.y)return 1; + + int x1 = min(l.a.x, l.b.x), x2 = max(l.a.x, l.b.x); + int y1 = min(l.a.y, l.b.y), y2 = max(l.a.y, l.b.y); + + if (x < x1 || x > x2 | y < y1 || y > y2)return 0; + + point p; + p.x = x; + p.y = y; + + if (fabs(turn(l.a, l.b, p)) / d <= 0.5)return 1; + return 0; } void print(); void attack(int k) { print(); - int tmp=-1,dis=0x7fffffff; - for(int i=1;i<=6;i++)if(a[i].live) - { - int d=cdis(k,i); - if(d<=r*r) - { - if(a[i].cake)tmp=i; - else if(!a[tmp].cake&&d0)in[i][j]--; - for(int i=1;i<=6;i++)if(a[i].live) - a[i].age++; + if (!mp[0][0]) + for (int i = 1; i <= 6; i++) + if (!a[i].live) { + bornint(i); + break; + } + + sort(a + 1, a + 7, cmp); + + for (int i = 1; i <= 6; i++)if (a[i].live) { + int x = a[i].x, y = a[i].y; + + if (a[i].cake)in[x][y] += 5; + else in[x][y] += 2; + } + + for (int i = 1; i <= 6; i++)if (a[i].live) + premove(i); + + if (!getcake) + for (int i = 1; i <= 6; i++)if (a[i].live) + if (a[i].x == n && a[i].y == m) { + a[i].cake = 1; + getcake = 1; + a[i].hp = min(a[i].mx, a[i].hp + a[i].mx / 2); + } + + for (int i = 1; i <= s; i++)attack(i); + + for (int i = 1; i <= 6; i++)if (a[i].live) + if (a[i].hp < 0) { + mp[a[i].x][a[i].y] = 0; + a[i].live = 0; + + if (a[i].cake)a[i].cake = getcake = 0; + } + + if (getcake) + for (int i = 1; i <= 6; i++)if (a[i].live) + if (a[i].x == 0 && a[i].y == 0 && a[i].cake)return 1; + + for (int i = 0; i <= n; i++) + for (int j = 0; j <= m; j++) + if (in[i][j] > 0)in[i][j]--; + + for (int i = 1; i <= 6; i++)if (a[i].live) + a[i].age++; + return 0; } void ini() { - scanf("%d%d",&n,&m); - scanf("%d%d%d",&s,&tur_d,&r); - for(int i=1;i<=s;i++) - { - scanf("%d%d",&tur[i].x,&tur[i].y); - mp[tur[i].x][tur[i].y]=1; + scanf("%d%d", &n, &m); + scanf("%d%d%d", &s, &tur_d, &r); + + for (int i = 1; i <= s; i++) { + scanf("%d%d", &tur[i].x, &tur[i].y); + mp[tur[i].x][tur[i].y] = 1; } - scanf("%d",&T); + + scanf("%d", &T); } void print() { - int cot=0; - sort(a+1,a+7,cmp); - for(int i=1;i<=6;i++)if(a[i].live)cot++; - printf("%d\n",cot); - for(int i=1;i<=6;i++) - if(a[i].live) - printf("%d %d %d %d %d\n",a[i].age,a[i].lev,a[i].hp,a[i].x,a[i].y); - } + int cot = 0; + sort(a + 1, a + 7, cmp); + + for (int i = 1; i <= 6; i++)if (a[i].live)cot++; + + printf("%d\n", cot); + + for (int i = 1; i <= 6; i++) + if (a[i].live) + printf("%d %d %d %d %d\n", a[i].age, a[i].lev, a[i].hp, a[i].x, a[i].y); +} int main() { ini(); - for(int i=1;i<=T;i++) - if(solve(i)) - { - printf("Game over after %d seconds\n",i); + + for (int i = 1; i <= T; i++) + if (solve(i)) { + printf("Game over after %d seconds\n", i); print(); return 0; } + printf("The game is going on\n"); print(); return 0; diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/ab1046.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/ab1046.cc" index e2b614d..7f48da9 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/ab1046.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/ab1046.cc" @@ -4,59 +4,77 @@ void jinwei(int a[]) { int i; - for(i=LENGTH*2;a[i]==0&&i!=0;--i); - for(int j=0;j<=i;++j){ - int sum=a[j]; - int delta=0; - a[j]=sum%10; - while(sum!=0){ - sum/=10; + + for (i = LENGTH * 2; a[i] == 0 && i != 0; --i); + + for (int j = 0; j <= i; ++j) { + int sum = a[j]; + int delta = 0; + a[j] = sum % 10; + + while (sum != 0) { + sum /= 10; ++delta; - a[j+delta]+=sum%10; + a[j + delta] += sum % 10; } } } -void mul(int a[],int b[],int rst[]) +void mul(int a[], int b[], int rst[]) { - int i,j; - for(i=LENGTH;a[i]==0&&i!=0;--i); - for(j=LENGTH;b[j]==0&&j!=0;--j); - for(int k=0;k<=i;++k){ - for(int p=0;p<=j;++p) - rst[k+p]+=a[k]*b[p]; + int i, j; + + for (i = LENGTH; a[i] == 0 && i != 0; --i); + + for (j = LENGTH; b[j] == 0 && j != 0; --j); + + for (int k = 0; k <= i; ++k) { + for (int p = 0; p <= j; ++p) + rst[k + p] += a[k] * b[p]; } + jinwei(rst); } -void print(int a[],int n=LENGTH) +void print(int a[], int n = LENGTH) { int i; - for(i=n;a[i]==0&&i>=0;--i); - for(int j=i;j>=0;--j) - printf("%d",a[j]); + + for (i = n; a[i] == 0 && i >= 0; --i); + + for (int j = i; j >= 0; --j) + printf("%d", a[j]); + printf("\n"); } int main() { - int a[LENGTH+1],b[LENGTH+1],rst[LENGTH*2+1]; - char sa[LENGTH+1],sb[LENGTH+1]; - while( scanf("%s %s",sa,sb)!=EOF){ - int enda,endb; - if(sa[0]=='-')enda=1; - else enda=0; - if(sb[0]=='-') endb=1; - else endb=0; - for(int i=strlen(sa)-1,k=0;i>=enda;++k,--i) - a[k]=sa[i]-'0'; - for(int i=strlen(sb)-1,k=0;i>=endb;++k,--i) - b[k]=sb[i]-'0'; - if(enda!=endb) printf("-"); - memset(a,0,sizeof(a)); - memset(b,0,sizeof(b)); - memset(rst,0,sizeof(rst)); - mul(a,b,rst); - print(a); - print(b); - print(rst,2*LENGTH); - } + int a[LENGTH + 1], b[LENGTH + 1], rst[LENGTH * 2 + 1]; + char sa[LENGTH + 1], sb[LENGTH + 1]; + + while (scanf("%s %s", sa, sb) != EOF) { + int enda, endb; + + if (sa[0] == '-')enda = 1; + else enda = 0; + + if (sb[0] == '-') endb = 1; + else endb = 0; + + for (int i = strlen(sa) - 1, k = 0; i >= enda; ++k, --i) + a[k] = sa[i] - '0'; + + for (int i = strlen(sb) - 1, k = 0; i >= endb; ++k, --i) + b[k] = sb[i] - '0'; + + if (enda != endb) printf("-"); + + memset(a, 0, sizeof(a)); + memset(b, 0, sizeof(b)); + memset(rst, 0, sizeof(rst)); + mul(a, b, rst); + print(a); + print(b); + print(rst, 2 * LENGTH); + } + return 0; } \ No newline at end of file diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/arrangement.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/arrangement.cc" index 7b75c89..2fbe4ef 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/arrangement.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/arrangement.cc" @@ -1,71 +1,87 @@ #include #define type float -void sort(type *array,int end) +void sort(type *array, int end) { int index; - for(index=end-1;index!=0;--index) - if(array[index-1] #include #define LENGTH 100 -int a[LENGTH+1],b[LENGTH+1],rst[LENGTH+1]; - +int a[LENGTH + 1], b[LENGTH + 1], rst[LENGTH + 1]; + void getData(int a[]) { - char s[LENGTH+1]; - scanf("%s",s); - for(int i=strlen(s)-1,k=0;i>=0;++k,--i) - a[k]=s[i]-'0'; + char s[LENGTH + 1]; + scanf("%s", s); + + for (int i = strlen(s) - 1, k = 0; i >= 0; ++k, --i) + a[k] = s[i] - '0'; } -void print(int a[],int n=LENGTH) +void print(int a[], int n = LENGTH) { int i; - for(i=n;a[i]==0&&i>=0;--i); - for(int j=i;j>=0;--j) - printf("%d",a[j]); + + for (i = n; a[i] == 0 && i >= 0; --i); + + for (int j = i; j >= 0; --j) + printf("%d", a[j]); + printf("\n"); } -int noLessThan(int a[],int b[],int n) +int noLessThan(int a[], int b[], int n) { - int i,j; - for(int i=LENGTH;a[i]==0&&i!=0;--i); - for(int j=LENGTH;b[j]==0&&j!=0;--j); - printf("%d@%d\n",i,j+n); - if(i=0&&a[j+n]==b[j]) --j; - if(a[j+n]= 0 && a[j + n] == b[j]) --j; + + if (a[j + n] < b[j]) return 0; } + return 1; } -void sub(int a[],int b[],int n) +void sub(int a[], int b[], int n) { int j; - for(int j=LENGTH;b[j]==0&&j!=0;--j); - for(int i=0;i<=j;++i) - a[i+n]-=b[i]; - for(int i=0;i<=j;++i){ - int tmp=a[i],delta=0; - while(tmp<0){ - a[i+delta]=tmp+10; + + for (int j = LENGTH; b[j] == 0 && j != 0; --j); + + for (int i = 0; i <= j; ++i) + a[i + n] -= b[i]; + + for (int i = 0; i <= j; ++i) { + int tmp = a[i], delta = 0; + + while (tmp < 0) { + a[i + delta] = tmp + 10; ++delta; - tmp=a[i+delta]-=1; + tmp = a[i + delta] -= 1; } } } -int div(int a[],int b[],int rst[]) +int div(int a[], int b[], int rst[]) { int j; - for( j=LENGTH;b[j]==0&&j!=0;--j); - while(noLessThan(a,b,0)){ - int i; - for( i=LENGTH;a[i]==0&&i!=0;--i); - int n=i-j, delta=0; - while(a[i+delta]==b[i+delta])--delta; - if(a[i+delta] #include #define length 100 -int a[length+1],b[length+1],diff[length+1]; -void add(int a[],int b[],int sum[]) +int a[length + 1], b[length + 1], diff[length + 1]; +void add(int a[], int b[], int sum[]) { - memset(sum,0,length); - int i,j,k; - for(i = length;a[i]==0&&i>=0;--i); - for(j = length;b[j]==0&&j>=0;--j); - int max = i>j?i:j; - for(k = 0;k<=max;++k){ - int tmp = a[k]+b[k]; - if(tmp>10){ - sum[k+1] = tmp/10; - sum[k] = tmp%10; - } - else sum[k] = tmp; + memset(sum, 0, length); + int i, j, k; + + for (i = length; a[i] == 0 && i >= 0; --i); + + for (j = length; b[j] == 0 && j >= 0; --j); + + int max = i > j ? i : j; + + for (k = 0; k <= max; ++k) { + int tmp = a[k] + b[k]; + + if (tmp > 10) { + sum[k + 1] = tmp / 10; + sum[k] = tmp % 10; + } else sum[k] = tmp; } } -int noLessThan(int a[],int b[]) +int noLessThan(int a[], int b[]) { - int i,j; - for(i = length;a[i]==0&&i>=0;--i); - for(j = length;b[j]==0&&j>=0;--j); - if(i=0&&a[i]>=b[i])--i; - if(i<0) return 0; + int i, j; + + for (i = length; a[i] == 0 && i >= 0; --i); + + for (j = length; b[j] == 0 && j >= 0; --j); + + if (i < j) return 0; + else if (i == j) { + while (i >= 0 && a[i] >= b[i])--i; + + if (i < 0) return 0; } + return 1; } void jiewei(int a[]) { int i; - for(i = length;a[i]==0&&i>=0;--i); - for(int j=0;j<=i;++j) - if(a[j]<0){ - a[j]+=10; - a[j+1]-=1; + + for (i = length; a[i] == 0 && i >= 0; --i); + + for (int j = 0; j <= i; ++j) + if (a[j] < 0) { + a[j] += 10; + a[j + 1] -= 1; } } -void sub(int a[], int b[],int diff[]) +void sub(int a[], int b[], int diff[]) { - int i,j,k; - for(i = length;a[i]==0&&i>=0;--i); - for(j = length;b[j]==0&&j>=0;--j); - for(k = 0;k<=i;++k) - diff[k]=a[k]-b[k]; + int i, j, k; + + for (i = length; a[i] == 0 && i >= 0; --i); + + for (j = length; b[j] == 0 && j >= 0; --j); + + for (k = 0; k <= i; ++k) + diff[k] = a[k] - b[k]; + jiewei(diff); } void getData(int p[]) { - char c[length+1]; - int i,k; + char c[length + 1]; + int i, k; gets(c); - for(k = 0,i = strlen(c)-1;i>=0;++k,--i) - p[k] = c[i]-'0'; + + for (k = 0, i = strlen(c) - 1; i >= 0; ++k, --i) + p[k] = c[i] - '0'; } -void print(int p[],int maxLength) +void print(int p[], int maxLength) { int i; - for(i = maxLength;p[i]==0&&i>=0;--i); - for(int j = i;j>=0;--j) printf("%d",p[j]); - if(p[i]==0)printf("0"); + + for (i = maxLength; p[i] == 0 && i >= 0; --i); + + for (int j = i; j >= 0; --j) printf("%d", p[j]); + + if (p[i] == 0)printf("0"); + printf("\n"); } int main(void) { getData(a); getData(b); - if(noLessThan(a,b)) - sub(a,b,diff); - else{ - int i; - sub(b,a,diff); - for( i=length;i>=0&&diff[i]==0;--i); - diff[i]=-diff[i]; + + if (noLessThan(a, b)) + sub(a, b, diff); + else { + int i; + sub(b, a, diff); + + for (i = length; i >= 0 && diff[i] == 0; --i); + + diff[i] = -diff[i]; } - print(diff,length); + + print(diff, length); return 0; -} \ No newline at end of file +} diff --git "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/test.cc" "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/test.cc" index 8b0a7b6..400cefd 100644 --- "a/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/test.cc" +++ "b/c\347\250\213\345\272\217\350\256\276\350\256\241/\347\250\213\345\272\217\350\256\276\350\256\2412-lab/test.cc" @@ -4,58 +4,70 @@ #include #define ALL 362880 #define type char -int fac[9]={1,1,2,6,24,120,720,5040,40320}; +int fac[9] = {1, 1, 2, 6, 24, 120, 720, 5040, 40320}; int cantor(char *s) { int sum = 1; - for(int i = 0;i<9;++i){ - int tmp = s[i]-'0'; + + for (int i = 0; i < 9; ++i) { + int tmp = s[i] - '0'; int n = 0; - for(int j= i+1;j < 9 ;++j){ - if(s[j]-'0' < tmp){ + + for (int j = i + 1; j < 9 ; ++j) { + if (s[j] - '0' < tmp) { ++ n; } } - sum += n * fac[8-i]; + + sum += n * fac[8 - i]; } + return sum; } -void sort(type *array,int end) +void sort(type *array, int end) { int index; - for(index=end-1;index!=0;--index) - if(array[index-1]lxkXuB=jivIbAR3ccZ>`NCK=g#uRT|L<}-JszOLqRS~glTGP2_rwbZVX zkx?3xkx>XjslYp7X}eG|G9I#vYRcDeZ%soGoASC z6Q7kXJ*B#nC~&FxT6p59fa+->wbRcoCY(^`zxn(n;n!@{s8{;0+Lnqw&dv*7nQnoL zn-{!RizD8DJ6d_a>K(A?{cSMJb7i=qH&IOq@}Jj+j#VsXHjDm0Z-f7Gkkg2qhrnUv z|9TM+y&tILTHzVE8Of_7oIIVyAT{k$1=Fy!tJy? z_+EQc_zW^x+U>iJ?|3lv(y&|C86-t{=css|2PO@_|hr%wBWyQK-?wJ zd{^fkU*-S%zEETffSa%|^z`H3Km4a@!4YWku2q`8e)I3ppX@bcca=O*Olo^&!XNmjh;| zViim6GX1{=z9083Roq{a9IEyAy_sprdj4*r|K3dU(Y@#GKNkCjKKwemS8Uf+T7+#G ze0}{*v_tRn%f%?w@S8pvWAze>2Wz3fDu-s;#Xi_e-s))GDhKVUwte`c&(bkH88a66 z>o9)##>LAN^`2E#!mVvZRqfjQ>c0cuQ%{NF-cGc;8i9t#=Co1%5~Mp($Y6NmaA&Mc zPhqdIcJDj;;z(85;m^f_c;Vgs)ejDv-#@MFyLHLixy^RI*W~hU^w-Su-<^nv#~#vB zT;9s~Erh!poYU>4IAvgY z(w@?Itm0AO1-I`@S2ud~6dl;59fxh@*poy|Y*@_QPtl~0gS>JFe4PScNopJAg!|zX=O5fk>8*Ge3V7at?54L*s zumRzW+>W8tjN2y5?}iJlRl^<3D7%6m{u3g2(CfsnYk0temzerX?j%^-qmp1sNR!!g zuZ)_X3vWD|S?y#dx=ZdDEDpYZ)BX@Eu^_Ip&NPCXdQj@~f-u&(; zms0>*+OXEoTae7sZ(Ut(y%E8?Cw1>z2Mt=vd1-6DH~rM0?`qA61TxbiZ{h(Fswory zTsQr0*{IK(M?wb8{=YW66qmmk=$-HMUwm7ZrxlOZ)sJD7Y`)JT=Ex|&6(@hRJGEkb z*v3u4ubt%Xu=q4q;oZH08mX%^gX*zd^60TpVM2i_qx_eQz$0(L@vaNA9JA-&-gy7o ze`mxi-z{4&Q^Byt$HR*)owoLnr<~=?jp;#{!yCi5H=2v;@pg%riwOdkT^*t~w7iC0 zA|7xnj=sM3YO=QF=VqteYX6AWm;;6qW7orFun-%!ac66;$MaylWe63)Ve|F%b+;$* zNQ@;2NJ&}IIW3bi$Mi)dFz`h6M7nk>Q(uUc>~eEwRd71)D@dc!N7rCC6lGZvP?#$fA-& z&F@lk`#Dd`7(xs9&)@E@Tl4G5z$HCCcW1H`AVMs+iR~L{#YLByHRRC zztHJ+*+Svqt8KpGKZ7WY0t}Ll^KZaB87R)HEK_X2R zJxsUy=vU|YpP2sGI`#{$&)T^eA^U97j!V(*82Tb2%Dnk!f)F`z2ztmNZWV;!O65uk z(oO+FU9sdv=>Sw?I`f+Dl-7n zs-vHah)E&u%}>(iPO=uf0%diC2A<6j>Zj*!!_JQ4*G2JIxa?f)<_?9PK}qt*Sd;pf zQM&jMcv6@V!OhZl`8>;W!n!7sJKG3MA~gtYWDkxWDTmPcvQFTQaee|LL_Z)delK&e z=#~k4%c2q8lx?=bvsYxR5C~zL#lA!@tXdu>$xSVjSGRvV_3|1rwZ3RC-*k6?^8MR8OY3Ch(r_|1tu^wK(5yLh02>NgL5cBD!kzBZkF^dl$oC#+dpMtq_M)6Rb> z(Rs8iGvH^NuIxOY;JbH~&*@Vaqy8E4`ACiZP>H3{Mm&zocS%BebTWia)VbL&PeQlC zwxJ^cPbU#hGnHWBPK-wKhFpn|mN9w&8Ag-XAN6D$xpCV zGC0Xa$uv_KGogK(8FhKu_j{^cW#nn(8+HF`%?Ceuw#AYDqfp6GI7M^0c=VuP296je z`%_;u+GKTENd%>H;HBmu4!@zU#XQxy76;E)AE9F4m{Tc!Id-OO*rsg6)AZ(&@!G*s zegky<Igup}F zlg_wdnP?0eP1`T5Wph#`6ezaO-BUf_J#lgAg+a(KUW_0?9b#ER-5#q{)qIz+?;0rL?25zD&*5wV?~U@D-+@` zcFAIiGE}jYSoJl1h&rShopIX9{;DXNQ1~J%JLU?9PGaOZFDfnN?I-q>Xy&KMW3!RS z241gz>xc`CCtFaX2YjqL&+6Pa0&IeBpJsnrC6%iX&u5oJOL5@KXjd04>I6DfxS38A!o42R4{zt`I>Tu?oLF5xNMDInc)!C;!Hund* z#DBtMM(Y}sRIT>#69kv-=>)yOEalMQA{~bqW!+IIkJHkgoAFPJ9p9A-LDe~4tR9T^ z{M|3kHQP{z4dt!Ebmy~~uD;x`7ZyPi!L+)rhgk_I3{oO=c*bdt zZK3Jlp;_Bg4BomaUm$wy2u%pWraq$m^ATLfzTpj(A|#Gc@ke&ZkzYn8B_pQWUwzyl zP=&?Y5q25(Oe;-qL~B%1!#}lcCH+{Cj&-OWLiqOO{kvCr(^xduyGUK-ZvpV#@|@)A)=Q ziTl#96Ftk@Vj_bBnRaZy)~r z;bs$6h@tN@o}@)-7M!m+K;kU&b}?{iyqfj1vk7e31f5c46R6qPCg-`F3aZ-TVRMzO zV+P&hGiOpDdO!8DYEa9}AoZK3ed){omJv|Fzk(<8Mv{`Qs)^B|%NQvl~t zgs?25Wpty?!bAz8_~TvlEliWM1&Dxv$DV`6;#cgNHzW?_Z0@fqRo~`_C}Y+WM@KL6 z-i&h~@GWS(V4MQWTMeWLnw?P?vwr(*Ev>RP=Q?uzLns3x|CkdSqGIi$XHIAJ)nHwA z{(puC0%_BBS#4}eBdGfvM)j>}*{DN-pCesU%9Lu#$pi(SX>s@UvptIs%9WPj?;^6B z@$4Nw8Y=com0CD;vH@1`t6?h-o^2w&HLo|TD>B?80y(kjiWnHcPU(&PsMg!gXc?4#Mjxdl!=C$ ztSpv(MPo}zxS(BUE-vBfi-`?#<#QtAw!=Mp;T#sE@hY&rYo0>6RPG6Np+Z3UY9 z?I?t=(9_G#mABAl=As=TlOA23=@N=h*I;j~m(Z=BGHFo_ACLSx0Qa}ot(~&nq!1IF z&21=RGM;y4@iy>ZL*EmLJ? zqYCR>x4(G~?MXltLOedGy1l3Gx4ZA0`$e#>>i)mT(~-6kh4vZLJOo))U*~m8#Nd)Y zMaNO|m#s^rWBz{KVluva?4n>@v}HZ(?n4W5=2QRo8Tb+nx==G@<9g|z@Bj0M{y4NX z^!m7Cg{Uz^jVB(0dzC*L5U)kt%Pb2_HaqH5JO4M)g7Sj%6dz^&3R;kdpJuK0m32dS z?#+?w^Gk?v`M}gqTPcTT?$7>O1SwTA#noNvlY6tsL=M-I4Q8>xq2_I0EBz*8!Ork* zWS;W~pa|E!Jw`JBfLLk2$AR2riyKP$hRLa>6o5CD0AMFp_F{I( zn^V@k5NttaP4`aA*g=6^Ng)|HKL)~kFLeW z!EXa3}FkmsgLp%oO|iVy9OA|V2*m!I}u9?VQtKy(CCwAepB{(h%D&cYg)V{3FzU^f&ey#!ukez1L#OWNo{NHoxOJN+l6JaDGk56? zlW-*l|Be$S$U8v9g!l9Q3HASBjW;iX5B~R%zkB9@AP6CUL)okUub<)rAMkT6pZIrZ z!Gf0%adjURggO75eVh^n;8!|UD<5w_He$&fd}lk;_l(FNrCkH@f3fK;@lTka<#nz< z#$vp)GP3+4GvMPA%z-61k#BAAUV%wN`0-_MR~~g=YLiPTzNBq66P+A$^7pZ|V8H+d z_BBVdV!@Wb&^%yo2C#(>{4bw%6koOzQ0JWryHZBQ1Mzw0 zsUyv@;JMZMOSbRDaAxRl#ipKyE$XXLM;Qd4eGGpghUPb6QG%!_TLI>`-H6cZC#UAH z>az^2d23QEVD!W1*Wu0s#`&6^(PHT_zuvdl+vfg1zJ_N->5^cXLw{kt!x5l$=&D}_ zn_aDymU|0t3hX~73X#x;xdI7!N_Js-!CA8e;==hoi~&>%Cvl{AU@dT~f4fWZS3d~H z$|&o!i7cdLc_}~<1K|1`z(zCqP5X5t^Y`uXUSs}V;mOa@6dC^81LiU9o#Dn9Nx4-3 z5(#aJ#Z$d^Q&caWD70abV=pS}NoLyIr-(0v z)3e&>$^S?MTv6!x6&8#_c}$U_H4$QW?E%My&m_Rtbf3Anh0C`CKnFYMbNQWNN!)$Y zUjQ$@b)Wz2c=*lK>HX6f*^mB#YxUF)bKTjVjc`r1PLoRaS8t7rh@FbR{K`l;xKj!2 zEaXOZ5V|t;_fH5-ev`OH;(Yn!*5SeO_Wk0suY3V=HRl4{>%D>Qg{Dr0{Ut3{Br$rd zt+T|c1%wJ^X>v$SY%so0gtQrnp62iIHCVecUJHwn&~y*;+AYJ zY3`pd9wq7|)14G?Zjo{v?&l1};UDPjFBQjreR-u}yMO9~|Gs+E$@jAvzMV85?lTMj zY}hDioKxHxc5^7G+5Tvt5Giw^(!rqF)Tw4h0gPAu>N-pL3^N}e6~lQo`az)B0g8NO z+8LslBV-t|)1%4lP$IqJyT856OAMdIg7lGR?`3I|fp@ke^CdL^cJ{s9r0-dG0o-vw zTdZb!@RZ7_6mXrAJ9%-*dL<*qJE5LU>+ZL#C^37}3HfJuT4A0=gK{db@Ac&?+$(#g z%Y6Ep^CI;9xHov?{aM?OYTK7H6-M%Fwg+4HdRjC+8?DtTgc)Fu!k`4DQK;4QT7xgx zlFpoY`m&gWu^Y&)g2|Y0Imk}*c3h*vX>U_3Yj&cp{NmR)v-IzlzEKsOwW=c%)Kxg# zGPpNX`c`rpCW~wClwA~=W&XK0n>q4}J5!8;>?vaxf=X`c*4?kKXM8#V0M-voF?AJD z2_E&LH;guiuyWBhq9~pMs=LxJ>#@)eKGooVk`IzDZtw zf&r}8+_xNLXVR0I>YF0AN1tjk_Hosa2^f5Xo0L2thS3cH{ywL|vDdkYOmC%gDe>Fe zXcetC_nS3Q={I-#bbcjO$mHF#^LIWs%7>PystH)vC+=nbI%s*Ho~8eEDs*u&ko?19 zrr$>7qIfnUs5G=JYCA0XK4#87NV?_9(L@CI%HRkXjmH->_N#v#?H|{Z>^r%7;upu1 zx#|qaXT@zpc9rY;QE37hG*h+!ey?|2^^R1!0k0EAv?JRKqMjKvMyy|n`2Ok15DE5t zdVJn3{4l6YaPJ<3OomFlUX&SHCSs#kcMvGJ6tke*81JP{(D`dq?-ZheFt6;0R3cMm#;pfYq{aBu1^Zg*f zS>K+yMS>A|efUmPp@af*FF{Z*hM>D^;o8P8gFuNeCy219!f#i;{k@}N}qVKmd~~8Yz7|;^yI&K7{-Z(#Lqrp zU>Kz6d$8;KbN&SkvIz)^!_@+ijvr;ttrY_crveP##t8x&v~= z>3t`awW_i=C2uHc_94ha2|4C23Zupuf-2-RaJ6bp!G)+@R9<3f$5M7QLd3GxkIE$s zr`pK-Z7pw-=IKg_M|H6u(n)LoF3m^#z~3$a$dUP4j0Y=CSMYI^U49~!KSiFcJxiH! zL2N5$kvVpi$#^~MCFaipX+wuhl|cgLfS(n>Rx9hU1-nE9hf73UX?{5BNPqTQXwDSK zM0#MQ#J4lNzq8r}9edC{=fg7yGZKNzMrUr&V7v5Sby6QUT6*PT?Z`u!u4u$6YB%et z(+Q}tQr*dV1`rNS<3 zw%J#BJ-~NW#8y90mI_yEO^lF;b$=Nbl2KxnKKWiWm|5)MN6p_WS{^;f0WKF~yYV3b zgx77}iDNeOun?-AAehZ_OmvHt4Mz{q1XcyUWc6hVO-UR{YU4RR8^jQ>oV;<7;z&DU zzfd)^f<%7?qx=DK2>B3q7REt9`h1dGiqf02X)o-LS3 zUW4>0s0^2w=9+BIrdGr%bWohH(R}L}f)F>yx(}Uc42{$zxY(3L%sHzC%Io+kXSnOf zK@U}j3)9txrbgYyzy!8bx=uZzffPd|i0d-NN}>|n&vq+^7)8UbGA&2o*Hof;pW~YS zsA(24neEm?FQW6bdA=#N@JP}+u$^V^8f0LGSltVn59 z8g(7%Tn|&L<-G~5Lig}?A&)mdgdlP{VvpBrH@V=3*A!4$;@^>*VJt%5)X1j8cIdkp zZD?IoWKi1GT6jqpt^}^`DsBgQH<1K-o}`=|YfLetM4PM)!&8=Z73g@m%M==`e~Ox% z_1t6lZ5(|_n=fC;P{^WAVf?_kfg_oXx;6F2E16k!lRh@Aheu{-y!6Nz-|kG`^f+f> zAd7^SH5f$JS=n=Bpw$w07M%6UHA{G9DQ-`da6zjY8oBAZ(m6cA`XO}i zT>5bgV|3TkGrXONrc0rgEjiz7?8yp-0vu=ouVu_%cW`=h`-jAA)lVY zXa7+DdS~nkW__j!D-_Jkc>km7pAPE|sSb{fZ`lt_>8IdjT4j{q#W|-8vZ~V8tEz0p zAz4&bYxR)cxJKkoi1H;FCdteR31X1#Ntx$(4YfF!Ci1b4iqa$ph8!E+SToq;U(h98>&pL!O4fCQr3S(@f^( zBkQ6K=7%eahhkB>M43@@G-EkDd#fLyLd%i4wVs*Zn9m@Lhu!#L4A*4*O>~0varF<${(NdLabx^ zSm7-ZvqVMd5?dyZS=Ag-3^k?!vpAh_eYZePh%xXSl`!L)`|Cfr0!L$ICLt9%ii?(x zmQ$lfhZYLZ`$b<;i4gDCLT2f3D7QY$ba=2_os}pqU;>QmUEo z`Ske9Tyv&pXy#Li&hTU^-jfhIMs<31MY&o%w#k+HaYZCgN-%>HtC-Gqd7kl*=(z>GB@=`Qzxy5DO{1xV8QB7+be2~z z!D-whX1nfK*QZ6F>~xEjne&3_+;=Gsu(=ZNt}z7{!n2GX1m^Wfa?QNYIFdIOc?72D zvrKinby=~t_?wus9AnT>;hJ8LW#_kMH=rq6_qyG#E(oJRHT}}80$Kl zRBq$z7wY(dXb#UpxAFD^@k6UFwkXvS)JdV^N)O`3FIp;e97N}-0ycmGrc3B zrYBkMDZgXy_sK5B1PN61bE202&`Rhr^3fVMuq%$p5q+(;3l(29KmCH7lB)d97lS=E zT9uL1SD!yz!xS$*s{7YvgC*(e5D7J?7;s?kE{@r4vKa`-r`w1HtcuD)8BhM=<0jd?dpK{h{9q^t(00SPK z{c&BrSThUIZ$N?GFL9yhV*bIW2HW=zL*D@3co@O)�hungqg|s*;Ev7R9$7 zm;*HT4eWhS)Y4v;*RldL-gdVtBQq{Ix0KVK`9`L=bqL^G$`sm{|FSJ8gdPsKJ9AFl~4a^NN@y*;r$Wu z>qh?$S)OVVMfY{OCi$NqI>8G<+(ugGEAzjP3>5>HxHkHP!lW3GorZ4(mfRKFU>Q0D z7?}O%XBSIdrkYzlKWu;{`2c`42YvMI08&afc>Vgzjszfhub|32V53cN8#sOgo#}ET zMVbEFsb|b9&$Vv?sKo6H=plOcruW(}Pw;7J3La}eOirP{JPy#nvJF!M2TD}~3|r6AHxW;@

    0Iq9To1G)8FZ$l_9l7ejzg{S zyvO6W0Dv2)3#Q~9Iw@*4_w9lgpiik8mO!?Zn@w}GSph_KY#A^__dU1tMAe*HlIzj2 z_#pMlW2dwWZqG-&XVWj7B&J%MRJi8u?azAeV#fNRT#acr=0%47^-C>`H52l_aDJVP2S&;)j7L3M|fm;Yv}pqCrNMbnE};~ zWSGX=13fUd)h90|#h8;VEg}6EV|?^`^gkou6%Z{sL38&;Y5af;_^k-5DYxB^P0wDD zR~%@oxZRVZ%V(8hJzZc@+x@_9XYkk(>M=>Sj+~&V`cb)%_$H%nXC|=86dj~TouFIsNVv6V^iR+EO$tDxo4az zNAH53ZsYXfuoU!qsnDXBZVm;%@}ZW1=sj%A1%Zup2O~3=Fzoegm1A#!?fA98a*VYj zg2R;{>;qKQZ-69CWi&VFGg#=I&hOoZr2((B6gay`3PG_*-;l!XS9V<)@!M`dsA)R4 z1;0s3pOBo{FRu*D*=^(v(Z2xd=<*H%Bf-673!hgbfG}!kQgzWf0Ey(*NfBe%Ku57F zFg7bayPC5iQl-WUEI-gYEC6Wk+4onHWM?dJRUy9Ps4S$<1GE{5R#v!qcixT_&;6wS z0+4ZeZPaY+3%BrJ@}pBefF{e5UmC?o1N!(QqLM#^q%XFwDb4^!^d0~u@dlTlzi;aW zl)j80^`bGnm4+N6gFOF$UD{K_91wa*dCu%0>rD_BLjZx^%+*dVy_$RJg7THzOU!~? z;!CXsH`^X|K2O!oDh%Z{jo5sfVUzgBuI zepkB$3{kTHJ;lQklEK)CY}9(@2N?nI%n@AUhl!eo-56bD0-jmn#}|W6@mq=%izJDI z>h#z;$M^%Vn@dAjBR2s1iZs*gCN$p*nxOA}eEy!SSi^u_=JLWsdjJrw&<9YHJcAc8 z!cV3^!pUm(kO)Xo$DoGCdIc{QKe9ntn^F8 z<#bPiv1v7~2r=&t=`LOP`o_*(Ck{66ZpE`DT0TzE*9_g4pNf_omh7(ba@q$V-`tem zkk(pHkakA>#Xzwgd)J%Q6eX*4pM_VtyRHt-xuQ#fKo~SvsK=Cx9x1MKYzBrlp6@v# zt<^{`o-&AyX;#Ij%d=rgWX%kyTZA~Z7d=lk?M{VAVE&?wj5d6RDp@>a$VD|IJH;YM z1h|EBv`k45V$@oAq^JJi%?d#z){4=E}Rm) z2~hHMXC}t`-H3C;akw6H%@Q&cDjAK^ivImy#3r31URr`8Frg&fFN84hC@J=@T0*JS}z4 zhXLR(U!3~c7PUMa5y9ni%I2Kg*&&HWiCVWOHV+Eg9V~igQL;G$YTOAUt2o2Z$ZD|< z2d<=|hvBouQY@I30^aBAYvb{3vhFVt_9aeg6g%`z2o*Llp`A2*OSFp@M$BD&Je~uC zE3Ase4DBzMWg^ahX`pjm=>pdL?21rG^fG}%g^qPRt?!RI40al&=7mT?w^o_YH#Ioz|PB)RwiK>wpRj@@IC) zs?Tw(QABO#S8rVMlBJ(J7YslHmEs`V^01)nl@K9|U%V50_0-&IyHxGne1p<}wMNES6~2{Kl}5@R~E zRNMx(!Q5BnIwvyU`yv(*q!5_ouEq1wTftA|1l5QAWs&t~D0D@@AhU<{MbnMtZtkw4 zk^!rs?fnq#g-B!dbK9$9V%zV;wUh48tp zJxF`U-Sk*7+%5ce5OAxGhvURX3LK`K zD*ucy7olrDlfR3j1u2P!RPjVBXOu zKK(FY7(KPoM5k%0215f2D`LCz@cV__<1Y3Q44lJmO1FhGBv<5@8CC^^PKCn^Y7>I} z6IqA5Qj{``h+f`Ou!Ryi0poS;#uI%UI`~zI9;!ZMI5PO=NIv-Uh0$g-_ztoY>d zX8~I)z1pjGHfjX)V{v^6&-ouMYvB?am?=|OJ|6CBxt0OvdbMRq0X4!iV*|wdCGQQT zuB&iNsn@&pWhqnI9bmh8WndHpW;KT83^h~hB_^&@Vp;y9I4>MSP7S7n5`RI`2Au|H zihe)Es%nO?Y4v|jU!9Fl%kUh$lV8&tQ?4*bZNu$Eot;#|pE=j41S^{UDHL&t!HQ?o zXCejLsCy>lIB!RpvDg-?B|@m!U`7K)|Y{n9rGj3PRj$kU!5KN&{Z%+Y*ul&_K8 zAP4a+oH{(i^SO@T7wXWs_0#o5=Lsl!6^vLkzcSK#Nx~wmP^no-!X;d~@Zh?Y{Um1= z$9BRRn>ZD{Q!iMmFR4*y8zK{szR@U}n3imMg?hDEnIC*xLWaaRRVAONGCuStXLo-V zbLB}>Nm21py;kJzO53Ts(v@#sb?a2p!9d`!ON%<54;9Y`IWc9qW;H&MGupui4P2q@ z{fyn6WIi<)xqnekb?!U=gpkTLo@uxMF6edxPOyR+Lw#gowQ*u)XN8GKKe1%j_Kee+ zyP^PkK_E5jGODtIL5+?}hl%3B#_fH)n5(GDlUW+;?*@hG2&(qYP-hkKt4;!z*`W~f zQ0nu=jkr@PJQvO6(1`3+c~63>EJ_QLj7!q`5b??WM90XroH3he za_rBFq6dswOqa{azUvj74G|J-i(OQ9?|vFBQ&$D@6`06w*;}w_gwB@kz8V41Ylc-j zs~fg{8VX%#NSrtEwiG|nCVa}Km1XrfmI&h}&_!RZz7yT6k_gLS*}Ab;dDAYEd|}Lv zc@c+4^gU3HDvI=Cm}1AmYYCF8kyCz7(aj&}^k|wzMr9X18KaHi8yZAOq7KeXF^8F4 z6UlGGq<4I(`anMN)`>UO?jz0e*45WA4|fVb!^Z_<%7IH@=9?o)UO;P|+>@PL@4K=% zp$dW9*SgiMsxGZ@bT`90`S2IGE@p^{HLnfADmCTMB8{s=nzr&W63+%H)yt)tpP0Co27h!vaGLU0jtVFu? zko9~{dOwxp>wMN26l4vzw*#G!;1!8+lNc9Yz;z)scqoJoiISlpg+q@$<3}VknKp|! z5#p*=D%)wKF*JA)iEi$RmR)u{N6o5&HInIdi}oGXCy68YAXWXni)UX_QpCooRo_Ey ze$X85XWSyO4#-a+MP0M~ST5PZkI1@CgcH?kkws7g0c9&sS%C{Yka&F! zBBOP1{{{*>dWtdWI_%r#=Tfhh2;W#9kT471WJ9|`FXk@yj{4Jjo-TZ_3jJU_N$$kx z5Le`l_nkCHyI@9z2gSM=(T_n!Y*ZqfE(GEdmc>}=(5arbXF}GN>352Rk6jP*I+X-Z>Y%%sMwMVD zK5i}~4;3GMpjYyOUp`-S-zdJf^wa(`7$@=<^cY6gFD05H_;aPlJ;4B+;T&ddlnvq{ zj$tke&DzNhsBLx^8TW4T4HKV6Le631Q$)hl`cF}WMoK`>Y$ar^6$d#BGHy>?#>%?k z;pxa~Pcpp|HryaR6wPqF`YH4b5e8qLZb;Euh_;LVrN|LMW)rT@%|J+bF%>cex9+G- zHo-}Z3gsUQ$kWs_R@Efult|RJqqd3HrCW#&;!``xfTP*VgerD%2)Msq)2*7jcnj0F zB)Sfr45srWfa(#>zYA^S20=%@x_@L_wasKappi@Irlmx&_@U1AbfFAgaM-NCZTyr( z&VPZ z$b}f{-kvumVJR&!5@+;Kl&oR<%Qw{I0@CRriR;9SGFYZM)E z%_-EsZS{Y!nuk zV`H%LBGsC<36}&VoxAgyZ_15GBX~leqT++SccE*SuHFAtdEb#JO8@Nw1VQ;y>i}GXeOU~(W8UuFN_J4O0CSO!jL=VJkq zbM_%fa=W~K_>P7NHyJ5-PW~2*@a^C2-3XaP7g_`!diau*Ie;o@)^nd*eD&E`aR6xV zV$Jx9f?Vmt)LEnv}!ix0W9iTsuGKYV$4@8P@Kv$kA~X1Exm;rZ$|9 z=SzY0*zdX2A3qTpVI+ zQ2?o2z2ud_i=PGCB=8O%-;k#DM8?JDrmu6DTS$Wr)p53)Pox~)eK`6lj7#~3AeGuH zTRnRFKVhuY2_3(4;b5%+3>S{NdDBZvd9mPxKfDETmC9A(I&*2iEAR+w@LZ<|6q^qT z=w;Xgpzpfq*J2R1(@G~$tq-b`3Q5jlhVROS#i6o->u+y-weX%{mIFoV!*&4Qmx3w3 z@+@3h$3n_=TI-Ej#XAyb(B*q1YCZ_ICW2KUe_{_bwD_MBoW20Y*@Mdd&QSH5T^+9ioJb)EX-^S0gmy&HN$5?$jdxlrQ{)u!i7EbQQV-A z!T*dD!4&FNKm^_fjV@Q@)}zic03@$TytW03C+kw;srWlm03p2t*jsa(w^ic-o2^}% zi^k0-mdf3*=Mix#Yipbm_%$I=x%^1PWg?^0v-0ktskxGv@{$O(!?`QnVysGrQ{lM9VQj)p~a8@3vsvC?% zWviKiM=tI1+q(sN$AT{oT#Doe8!z(W!so|8hi1FQMY8sw96ph>`(%y~fih6_8)gWK|e9sRn-Y zfCufG5b*2JOE6&VVEniW{ml96K!AFXi^j(m8AAW6<8#psV7i}`G9il$JnuZNWOQ!o z1uj_xTLv?H24qT;sFP4WN^gy+yb+j4@r(NPB!~=X*^0t6H)C*^^uj0Uu@aI-jg<2Q7CFerSGv1!iK;NMKAAyS zNP-ICDz(YOB3#m1$3$*J!#1#9D~hfL9;`<^5eaoM(8-tZ|LHDGi5?T$$qHp~ohm}M zlj36bt&I&Ggc3J zuKCe#kgRWz34CpE2z(vr?a{vUNdN<`u|kuAIQ1x}kW zyDiaRDQ?{`nQO!5qoCjyHvsK>Yib7^#xjH+rpLQNhSBNdR2A$BL8cLo3eq_qD;VXL z0;Tj#aWN-IZ`@pBYb|y3# zb?fDp^ZZZ&wG)ig#TB~|;RD7#+e@q$mcWx2yyQhNt(a~w^v5i<&)<)I`^h2pav^5n z?fM{E?{`Sj+yT5hGTiYIJNKuTzXB`U7~~>`rR=X;oQ^iSf8fmK z%=M!oT-}%is#c6k5fp?o%ZMx1c-6B58hQ7K%|D2pnNMy13Wv#uNN1!yq~2qDswZc? z`}SOu(ww*!?5pmSdeJ6@6eJ;YHFJ}o-sIcFUU-3lpW7avI`PbpO)ArhXsW?^dpux4 zXFJnt)LYcjhi- zUuey`q_g1!#P7vn@N|*Qk@kq9ug$UCtFqlSBVj?xYwIgQOhkNP6R0ha2(2T2!-hm= zl@VO7^l<**(9A*nY7@+KHz?XnkMV_YW1knA%pYiO!J7$Z7FB6dbwX1ihcFg2m><3&tR+ zYu-i2b;{@5Zl^DeUy2Q1@krj6Jd@rZDma!cM}{s}>0ct_3%~B%^e*)Lvj7Exh=4&| zj8&>GjcHuxN(Vaq^Zbp?{Ts+%VJ)4$!y8o!udW5u`V>|NWw($e|3B=#cR1H?_&yvV ztFlKjv-cZC$cm7WvS+rGk&+Q*%O2U;$(F2a8QD~JDP(7FA?tU&^r=sMe$VsY^T%@> z-|rteI^Mir>%L$2bzSFmp6AWxwIdglJl+nW)2XYOSJ9V<+b;~4h8gTtxuMl`_un@3&$OzqZ5WUn`PNdpiC)R*2 zkIgzF?(QVzPX8Di&$P%NI`$RI)udFm+9kS_*L^jE(4sn9rD7Q(EJwrF=pRhBG?FQX z)jwHFyJYFjb?@w$ryqN@=3h$2)pHV`(r7=B)apg43ZS7vn4j)1rxI!@2EQK_Ygp#P zo6s$}6(0ZmX_$E9$>k2?6gq$MB2@D%H1F+Got@%heK4=fWYK^qbX-1-c=;v6+3>af zz;6@+e!M0+jzrG`%Wm;=x!6B{gP&r0TM2{Ad1nx(Eq;ssUph7{my^NAN=H(k&^#+s zw09`Qkrp8?dSr|y&P#$Gs3I(NfOVQ?!REwBsun|Fn8b))u%FQoaq*8GI~}K@y@wz= z(i02vV%X8vqX<2shFc$;W(fA@h|S@r5N;JIinaF1{z|ABcalhEc-`1ox)0TIcB0va z{yTIA)&{JY`&Ird2wN|q86@R0q8qUg;Cje7(W)lydea3k6<~jar8V89mc+K$oc(Gt z!PRaY`YXow@C>?q9Xo4l0~V`W+_f>Cv+r)~lEtP^gR%%UVp4~y#^4^<&7!z}{^7<< z>u$c&EbsETRAhMUY;&z+hjkb2ANeIx+>|hdz3MH=`**nZlR^32Xv;O=0XoT2!P&*V1;q?FJ9{$rhoWg0e#wT0$4@f!?otm9o z$HZXiX#JLx<@EOvJgf-(0_$$W%A}Mie4eUlHY|bQ)<|^)YS8!3@P^QMZWADdShig@ zW>s`&U2EjpK~o!Myl=k2KLt@wJg}qWkg66>5ZuCWxAw0u{=~+*@_6IlJd~$(+hWH_u*Dc7^o6 z1AHC^INPB`?dE?5xC>!lExx?o^m}&x{LhVGn4>wvsQ&-5uS#H!O1a!oL;dGKY6$!4 zwxiYI)C_!= z-pAY-Dl~cW2y`tD;8^qpXh_!I7Y2b}CExSjL!TBP{qh7P(eC^k)LIRL7-5Hbi(cfN~IkiJAvinkXY{i*ww zvqm1+qeCfem&R6LeB6P!Uw|axZ$Q!XqMvw`|G}IZ;*yi6U*+HczIub6n0N@9Gyt8Z zt+A;}alk=dC@VyB79KHf{6Bz<)e!g@jyo&+{wzs%J-b~>!EEXlXpc%^R4YXh2nAG! zWr)HIak}9EX$qsQ1{e;}a$JAzJ?y&vj~vR6bP4h*ysAhvu4Oy&;ExDwDk z_5%5BTKbgKlT9GZ$jo_KSVCgt(1~ghvh$X>4U*_^O-QrxB`=tFQn2*w$s-v$lqBV^ z5R?U%l)Zct$U{4q`8WXzu?D`7rLXm5Jh&`oKP7g=ZZ>>WEG)bG=PX-`kr>q zK!+e`%8`Z24Z8iA*JvlUL4C^kl-A5el8p1?tHZSp9w<=GANF$*`XU~Yz@=o~ctcOK zGifmg_{`=^_c`(0l%juERyuBYCB{8b=lL!o#%*D8_J|!C(`7&DOi5Tk|&H9-?=H^S;Lo(=9~+AkDEtpV4GA=Wx^_O3fZ>u~@G?nqA! zCH4av9yi{JTx@!2r;`-Cbs5)^moP}bg+Yp7g)QW5iU22L4L+r}x*0Cp|3GQ_U2KF> zy4fo{>zrPe1uxTOf?jSg5F!Nrm}ii3Ez5w#$b%&-y;hR8D-#P(V=zMl$pl02G^S7TdAe+b_5C)vlZp_V_e|*pr0Ik;v*zv#x#N#*p3ha!zW^P)}gu+vj^LD!thu`L*P(!a)ZGNt-Sh8pm7WaI%D z*8$dW#$aD!R}`q>%s_yP?#(tam301&2J2kJQj`#|0fR%%AElLYB(V5MhpWGF=#gg` zgo=JKx~*{)_-U1Qi;Le2cQ}WxB!m+fV6y}{OEK3t`k~YiiW~xFl^U&#YD;cc19&m; zTn6u)6>ltsLk5ga?kA>tJ*N zT}Jsb_vzqFES>?&%*1H>)cSI^M#71Fg6mF;6fr)2KVY^6Yh%UvCKjs3=sUz!T~=fX zbzk%3_)&`59ff-5Y|Y>l%5Gemr2s4n#+qXnL1&x4pnvM%D^|PkO5Jh(FE1DWeDT?o zVc?o<4JmRFGM;NLneiM#L<57O0=dU}1HLDz7-;egF$r&K`zLAfZ;uUE0{WqMaq_`J zVN0c*=GRAC`n>b4!VkuVb-l-Tid^>@PBdwyo)!BmdCr!kn|FS$I&nr#fzXfO*5{J! zc)bQZVslQ@0dX;>(!DJlV&-yfbjmH2R|YkHTe#hCx4FD;Xc#e`zcWyBu)kY%k21a~ zFzDiGJ7)w?1d63)y`$#!Q#``VR^6Gy?=o6DJ%gxCZUh?|9Ju}~>xadoK~{n$Z)KRc zpY=2bF8P6NggGCM7_9vjc&AOHC8P;0Av`SA+;A#!(&pu1JeBb-UQh(pSLL`oI*mW? zmy5v7Vmp(>f({+EdK6>L3Vt}3ClhtWP;Igs3O;d#yt$1fi`YNs&d(H!z@v{|<(7rR zc;t<557l}Vv3bu6(IgDl3|)xmov7TINee9n3j|@yV%+A}YnIWJW1&v%Yc6DxBjU|g zo>v)E2yj-OoiH!e1$Ruz>b(~?`4jaaCfF6XGuNyzlF6|!PzN4~5}qnZ(0jH`z3lmO zg@ph2AYLM8zUt{e`=_Xej-Nf9!p7EcVsh`k|9kPw&F)oX0ua~&GEZvzyq!lE!$~}v zwk+}`vAv2EHc)KO)9yuN^yKmK4I%Q zc+HQA@>^eAn$*nGDr}XOxaqe48ll0~gct)ZYjcB^8RHDUd60{e(IP)QwRSp){LPV0hy*iP&eddByEP|R} zK!P@)c|)j!)BL%7;a!jy%r}eDkIQw=wU_g+0T?W}fS?Rt2poclr_(!VA8mxYOkqWk zt{PnSrrFJ+dNtygB+wd@ebj*U_JCX;`>np56m^3@n+y@RrpyZhH0H7{Msha$w`%=* zgsiF=LDctJ=`Vop{SN5#u~JDt$a5phx3tRUT)(642f*3dfq!Ou$>n%Ha!{0T+xcd zMa=;XJ}RWKLv>sDm)!E6+_6`RP-O7fm`o!nPUxA2$VrPjrn4xX@piL}Bg4)xL!_0- zYiRaM+#-n%cC$W-0M}@g+2~iTHd7_Gu$GGp&chm6HC8jO@2Y9wobGb{A!G#lS=3Xg zX@4-a6P5EGgQiO>fmn6^m^ZO<0V3r`Y*)XfO9@$n2?P%F&E(9ahwa!nrivQ&Qeo5& zXHsqU$j~{3Q6s&zyqC70K4^9wBRzNBO^O6@sAL&k+2+zIX&rgIYc0s!$>Tib=l0FW z{^AdAq(y6l2upmcQiOjZ{f)uLCVW<+=@=TG8rKA3UsDDXm6xDxd7l;;Qwc4C`bzs* zV?`-DV0!9+myBK#pz3z?4zAyP=DYn@q^tF?1*)Y%@|-Gyahjag;F$psQdw>R;51!r zw(pw9>W&r~w#T5@P0fe&94#of3ckPV{-}&wc(C4vU{F_Ev=;J0A&t%~%q) z`}Peaaw6BSnEUa**9BVYi&IV|6g)ne?^qfr+d@_3j$%GG2dp#&Bb5CLM>Q9nCdSI$ z(V8_+I?cGo9mctFOfpX&O?5g}Ij8XP#Mu7OF!A=f3GX@=SiXk6N&Kj)uQ?^ioec~# z`tcw+HV>V!8Q&euc`r!q5LhY7O=POGcoP_H0CT^pcl-Sd%Ak4UE0-Io8p1_wsunLG zSlMRXxtUDcLAN;J= z=NO(y&;xc{Efw(!x$Bvk4Qm?3`dUt%*X9FH>b?FWQWr{va{sF70_wv4pZcsE1I zT~J#nOVd9iun($0oN*Fk+OJuSt(*HheNXkRU(`YLT^_uM$yM*LivG{Q)bq3sv3B4! z!sN}+w5TSXqBC`Bp$2v^(Q{1677juJF>gG+Qhz3s)E`^R%dw|vL_gI`1Z5De7D=R5ahTc-UbB3AiKwg|kbR3TPq0%od0QP=Igh^Dc^-%=ILVwtOmtskZXs#}l zyXJAB6!%k=>Hq={MVuL#w{g$AL-dI13@w<2#m_r)7t4RPTy^cf+rysNfH^Dk_NTv? z93gUufFV}(|5!rN?IF;RTd3OQKZ<5#cu43|P+DyF($tiWe&MmfRQKt*$MF*x%b311 z?R)k+^f^y+Kr|6%4hQI2@SVH&a~y93N`Nyy1OHCC#yi#G(&Je8pQiS8vYnZ7Uc-B@ zg}nEh@3Rbkrk0qV$I$0N2gF72F((i)lJfABkT5C0ZO|V${~MzIxxO*_K)!N=H<#c) z*9l)h&!rnO(@*=iIdIf5x&crX6J{~)pT#$%UaZ(~z5gGbVCIsRe0`o2-1rTD2`{XZ zRv<;mR-1~k*W{!(P7AjGbE>^91I%@h#};nhVoZxJzp zv!-eVEQ>GDJYdpNiL(PaUdbyL=Ofb)beRwefp6}OoM3o-?F3o?KX7Rk)FB;mz6Z+v z0Z9)Aw2>aS+RMjp26WLmZm#Cuh38m6l%y30AZ-NmjtZ; ziiZkBZamP3c-2V$G=u3DzQ(f=E&b^KJi|2@E~ey)tN-!%l2Zi?!}6iY1MQ!~i2Mw@ zje)ptL0R((VjWw5PX3Po32zuP3?Gf3GvnvawTNR8_`~+SN*ZZbPF8IW=vqT7a7UXu ziVG|U0jlA!68_2m{s(Biezf63r*J@5B>*JWK(g>>zq%73F@$rAtiJr5y6+#CaENgL zD2)hcMAm8I(o_!sjPkpx%9{k}4H$y{ZRDPF07vM$*C;5I68gQtQ`heY1=|0&9Uaaw!i~3)$BP z5BvFa40qbec?^ai>@C$!ciaO60m`aExj}%9UhN*@e4E*TY@p(Bb1zg$`g6to%+*!1 z;-svv;nIEL!|RcCSGPp+=3%kM#`Hh{r>jxyW(fNP1O-iqDnRoUq}4-jzzGT13tils z34*ps$gTtq@6Lbx1cv^JDpEl^8_@s$1X@Gt9S^3jEwpY!OBLUeT2FI1SMM%WIY8@t zx0j&LlYau&Q=L6$=0gH{YH1 z1mU4j^FhuR57L>Fu--qia0X(M4x+{CkopoKXQ>UFmo^ka;{<^nJ45~_ zUk{l<#^jh?<7sI%eT&t+?g&`##GRnbKm_B2v>yU>*kmut34=ku%H&tO+h zgoq>v`Lhr-%0X_#Z|bkr-ie1`W0i-m5KSJccMP#=^Al+HLupt+xs%V|VbOYMz~hVE zztENHh15s@PFX{luI+pHa{lA1GQ>k}pLwNI1;+X8MGcNN#HG)5n*BPIJIOfJYwa8ZU<|nB6^V}~NeTMfGGJJLhHT9S z*-&GiX{sfGvS?-%`oy%05ab1`Z|qSZQYmB~i$Oq_hZS|aGdjW3Vn1vB?Rys1Sc({l z5vOJXlRd3-u?Rwj)Ni{+7UuaNDt#YpB=KoX>D=Mt{T~&=cFM2_4i{3OBXtMN`Af;m z(E$+fS>-SYm}^|N9FkIjpI--sMod`8E5PtB0k%cCmhqN7x=sg#m+Qh%zI6B}Gb=qS z58=p&upO>^O~|eVWgV0`>ADuojiAUoljzJ-v+;D@W-QTIoQApDwEiCUImQN$JDCR9 zR~t!2L`BW_#JVV_-=0Kv9ub*}RMmSUExuBFw!Zbyt=ejjhX>}L@15S5NuupTt%%9! z+@tcC?j{bM)V7i6xZgR1N#sxyc+kQzLOSszlJ*OTxU|Y_hV`~_#EblL5p5q1(?i)E zw2W_0SE`XM4G_I9xw0Guoe^p;g-D~p-B#*`r;+Q%3j^2HrX{BaG2Yr91ZJQw)|)SM}F&Q+_gUSE;gAb zH+V5+P8%>)-`W$`E5DnHr#mS)0XUDN!TI->X9&*7J^5r^HauO#aJpfCJJ^W15%Gfd z!)j%>J4GwpO`yG}K_DEG^-Szhm@vsn%Wp5QSb>36>Wj=s-bfIpwffNUF}tsjK2%!`3qR4q zOLNTR*eep8G&G)m$Z_?u#-PSd)C>)agUviTHY1Hmcn2ho0>e`oI*RWJetbJOpFBD7 zieMfQ#1dldy_#@iKD6DTtpTVqS3NhHm;+I6s!Q%kvv?Cq1vB~o==G4H5K7_^y*s$c z3~1bYM4b06+}Mg@B4@@4@8Rn9*AKQhQ!7;}0Mb@%^e7}!m|Br1S_f6Bx8H<#x6^Sm z9l~eqMbzE*7r!wEV;&DpBd+oBJ1xaOLmy<(;39MSTv9s19fXFc3HHT?bpj{9RDjgq zof26nkSpx-pp}2Tu)8rk@mK)o_?T=0?e#{&O9gwQ>k}b@0Vu8GCr;Umx9%0Fa;LJo z%6W$o`$&pq)@RcfJvG3eNNb~H1o%|p^TFTRp+M97WR`PsDU{{- zRFoFF{q2=%0V7BBF|bLg($?C3Q+v78=7yE9RJ4-O`NJUzOdya9FkK zgfco|^hrB${_tB*wu~0tM8%5o>LGcmte+Dv{oXchfM9Ur&R%JO=~-(~>nAB}rQFRQ#uMhXAoIl60rVH2xA1MX@f8t#8j@bvi9MZeu(y7Bi4m4~q>^-`E)P z9goD>pLntkE}8cWe|V>bZFeIQ#_o9eJaHp0Keq9C)G6MD?bVy`vcIs1sNSK^I9tlU za>nBdHlshSO|=%b9Fga8Uh(@PXf{-7H_vc2_4?RJw$jo2`IBgQ7i=3CY0~c#dXO;G z1vv!SLR3wSCwr2!<`#Px=#9ys7pvw;a`tqGqp0a#$X(8f>Y2KZ+rARwWU+(BgjW?^ zrL!1o)%Q+GJCb!%wjMeOC?LJnPE@hELfifjGfYs1BDyr21 zJ(Ivk-&kmx;|j97rFQ`^X?Cx==Vec~A4s1R*H~2!S~SLDlUk-&egK>i;nO5w7bY7^ zNU;3$+D6E^SZqxrf5$*!2C3P^Nm-K9WJU~)3vW-aHg0oD6MLoOoNSDksNg%vJLWD{ zSPMK6n+&#wbISlJAkFidl?FU+(P@MYHvS<{%*~)W`f+rg(^RIb^yuN|s?)q|0UN6m zJj^O6SrW|aBr>Qcs@GB968I_J2d#EmOddF9@FzSBxCmBYGC{GV=a_@CVo}GRGd$l* zw%yO4HYX=Tb2Mjhop+9oo1M+hKiJ@eD5l;&MKb!_Km7v6jJd44s;It;s>>By^n;t} zj>ir&Z-OBo+l2Hoh9}$OwZmFq9LXJC<9{uB`1Rguj$LXd+8483Ju4GMZVw86Qa%nk z{X^`LPs(T=zIwZ=T2^|k3vz84upZ=n=s0KSu1;f7_S_wvl(kM> z>;T)kbkLj$CojM;PTYGh6)>D;eUzDq=4Hy`b@*PvCm6rYKFanQJT|*jUs83^(D$hu7EPO+sJiE@%>Xgz6TEvMw8 zJXcBAgDLt^cFTsDg`Iov@DS`)UmON%hyI8|3u|B`$I#)NcrtRz{^ARQyWL;h<^8ZX zc50IzoQWBi4?9!)ZN3MO!Z2#&6BlcWgbz+vOCnk;tQ?o=CD>$%aSCSG8))q-G5NSe zG|yD5IRWM8#j4iEM+*u5;n0~kE$T`KU29L`mss=S6_%2u97*#tZirKIS4I+)griM_ z^7`aayLGXd&bHFoZAPX9HAJc6N|FyNIVI=&rnke-{$J79d;d&pdC}GO(!+Kdj9>*gJe=7w>8y26Wps z?J6crk}LwWO7!UBuykVwadQ`AGRcEjqLr>h@4>@uldE;IG2;0Ti40LYjkSmS%K}U6 zhpXn!c36REgCsv@`AY-2Ju6n8Bj%13dkmL`um6kw}e}t0H+`T@6t(%^(cPB>I^~8^eGIT;EtV+=sRAz++N2t zmAB14XsWaq$P1>{G2-yFjy(> zzkaBgp<`*OJJea_|M~>^om#%TNdf!Tr%!yCB)23z#1D5qSXK^`SxG6`JfmXZuke$B zM-qDjjT!S^bTwOl{Kf}Zm|Y^?6y3Q%z*~2_ZVV5TM1`*B9gvb5diKy2#jV^9rX62j z!JLzE2jS}1Ph|$*Yle2)8qeTym8et*>Joq9ugmd&JEIKq_df2%!eH^m++uDxh=hkZ z(I>DziO*)vy`Ex^9#YSq`0_l*zc-K~k%&WK*guHo=m@VJT-MJY@TXW>>BX=b zDvKZHjIhY)(qA_lsa$s~*S>Th@ApH2qd#DVwZr1X(6IWy$CU8sfm21jA9(iHncidI z7tAfVS5^J>T!rCOJ%c*Me?Hc}ICnISMC!Yan!m3Tj((K|P9>~+N8`^k2@~_yW20Iyj9jjIMuAxOYJ|;G^Yr6`u zpZ!oX*!24c^6IwH5rPChji}R}pk5CHI{!NXReLZrmMLAl@@vFBbVy)en$mRYcLku_ z)pDMSGHGLq$s5%eknOz^a+VS-ll{PEs;}i#Rm`tplAwffYj^>ySoDjG1KitbgR{v6~iGx$(Pta2&- z&si3!4x^kmWTW`!(BIaE52Hi#cQbyS2U!HnNMYzX%BWQToO(KBaEDWAUp#leFBE^c z0^YX9z*ph=ge&;+-|tE|W5O-X>kH~4tQQk7Q3;-k*5$UT*&NsgC+hanWhfUfBRd5~ z)YKrg9J09}v-rpI_v52>Qpp^T#We#iU*uYIc%=6G+^nH6XPpz~9XOyuyI}=2c;Vzz zg=Q!$FLfWA-lBU1XIfo(d%6X(WMVK~aKWeGrGuoZ1W}+qcRM_o{RYsAQpdVOa|m^O zaz+b4`qJPCh}QuEpx$jL%Wz-a1ZN*1*jW+p)fW7%8qXJK*2(Sxv%zP}Nh(a93aV*+ zEiq_PX@M5u-2$n!D`XEp2cj>!PT=)xk)?Ny7|^mNgY+kx z|;h{TWyE?Olt+m0L^FbcM?K(Q1hW1*rNmkhE!I-y<6CQg)05y;dYC-aZdIb zgI6;EQ+2|b?Es=_;jUVEIuH-CaFdKU^8nzBkj`1|O=f2%UEM8gWe9|*f0ht2uKt{v z9<9TEN@&!*IrlLCqv@_q^=k81^_J!Rl~}M{!c%s$O?+(dYx3M;gE@TML0kJ@*K7D77GcV<~?h$;o&(ji5YC{*0LGHilR1I4AKucje4; z$Q|!D!#0gX2rqrmJf5q69mqe;Ac`W9rGB91H9d5%gKPG@WIaBAr;5`ny9=DP-C%i* zHJIa%sjd_qR)sR6+oN92QRXfP5HSG(>RNHXAQNeKRFTl# z#HYf0LBHMz6*gENqwX=bcZC3BM+gLG`o3H;VV6EbrAcLV$A?tI@A9dOj9^VwZhkUf zNU|0ho~onGlV>oVVo2Tn=dy=ItU7QvV{#>sgNvMa`r^)+EAMM26zQc-xA)m ze%-IJ3U)#*pzAToub2+#*U;q>c3e6OTby!Qc`iK+%5B$2kF)Z$(&4tJ)w8YH90wXK zn`u6FWCp-^TIO+PJ(VCmQx8jww`-=H05TZ|#@*@<4)--BD#?5HL-M^$UtpJ*Cq5XU z>)nK^y#?ZXyyqo8^E}x{WWSdnk2!K!Dh^Dv3SA1IqBt=~!>0`%&=15a16-JD(7e2TCQyb9BvTGP4!mO+^(O~QMY(gJ?Xn7$|BJ%grqxR@y-4tK^~w7Ts|*dW>WxyV#jgKeLb9k~ zwtl`CP5PQ;KCf8W3YMe#7pJCJ?THyhuBgb6`H%Xos*^Npp+@cin#LB^zDOU@nCIa< zMA{MOA6B|f$y`r&t7CX~?OSVXIG3)mKhrp{(E{OIVh`9%pPmf-HLX%49^nZje9wEs zHMDC^LMOqdc~_>G7A&g;P#7Mtw?rJLXBsR~B1#(dTAY09LB;Jp4+6b%t3}0$TuHB) zhR3L~L_7#fbpf%>1VjO)xXQi96S7Omn_!(HXs~eS-)DS=gx5>m>%?msM}G-F7wf6P zy;eTdbf8p9ll@#%9IIy%CYhFDQ5HqMVbApcLJeI)Or|8tBhER$fQVUjheuJ231|&t8nH ze*1f|WmTy_f7!f0FtyQcUBwlTkLGz?c`2}1C}MThTsI1b5qOnJ%?jsr(=`NS(1+?` z-R~A9sYsMy&JXL#}@h zg4_2T$pcoiDzE+VA!`={TrF3_((i->S*KITAQ=Bo|I>`@ro?;6|D^x0Al$w-3b{fk zM6|w<5cO7?MKEq#eImY>Dy5@Z^A6Un5fh7!-)UVXQ90xq5*F;yP z@k*aRzEIPE%hC9%_CQBmM9<8ny)f=JyNH(hpiyx}FyyIcJhpEfU8l!AJVi*Z3TK`N zM!OV0sHlNdqLO>7O2^>`WG;TNAMeGGi_@`1hsr@TmxMpVUd}1)9@{UlT#+nVQE=G4 z8)&Lh)J@l#0Ztup!HR5>dc8iRfbsf1=P)tyu6f{+Zo?2E(I>ZSV2~4&U3L^YmLVLk zs`-1URZGd^v=b*>kF5$l;4M5)Z${ z%!Y4*A>Ia7N5D;2$T;o5;gEzU;AQD&c4#pYTe;n6hiFC%fN4Ucx_%}mW~bq6x;ODh zrB~Kz4!o`WVB1skxmpyP28#M@L_=2%rsMF$$x zJZ!2x*nHKO9-c=U2aadjrq#i)WxOmK#}+7h8iCs#HusL>5zI2SFoZ`m0TJB4V)_{e z>>x3=4dkHlh-+fVWNm?PNgT*ks?}8!wP}e*{!U)N{fF0|nfbM34}xDqJusD%%I$c<5FfyaycH z+OT1e0^ehs?Uk{52QU~sEQfW?1QL-zuo_@COM|^~V$kD&T`Y)gewT^Pc!6;+6A{h5 z6ogbM7Ld1b0CIwnt+0gcXTs3=J^APMK!+Ytbl;h!;!_daVPpF%_Ez+a_I z2hDqG*9*6U_2j|7OHJWb4et#v~p5t~S}1u3|;R+}S1m zd`dHIU43bM>!X%QFtYIvRe}mx?ecxhIdyk#XXGK7z`~PYII4;pBY$$F{K*+&yA2q9 zv+!1Xl*=fzxoGjY%MEe8V@bRMFJ&e==&bC1&|v&VBMYG04tQ=S)1wM=)*eN?-(-@GfBZ5`XU z`Pg=M&(#vMm27{1+3gzT^KrIbg{uC;+{9%9N+!O`_ATe!#~k@B;sU*C1jpV4ajDZ{j7@D=}NG)YQRq0ey zyT53wG!hw0`A+EK9@K;5z(=xNd0S4HA3#o?@hpSO{?hHex1&E2q2BXkiRnWD7$Hz& zZ}%ujwvEL*IHou8wv@@8fR7UwCk)HmlkxPMW7~p>Klw+&lVt(^NT@*gG5$R5994G{ zC$JU0P)@5}@qIGXZO>#bKfdKUY6o)|3nwA=Y9j2{a$0mnf_GMWbzA=N&Dhy6Zm*y( z?A#cdKwNfumgTiseoXp8;F4G8l~xDnS+@$HVbzLv3O#cRy|-obSFx@98oHB(wlmOWB4^hC$tgU4v`<2~W_5G+*P z75BdhL<#q%@SmgWm|bdd4Ck(akYMmbf6~#X!RoQAMVxX{!w=W5J2XUes9}*}%(be{ zPIpE7%hLgIgcTGL7w$mvYDw+M%I9eMZhOCzTqdiyeC5#xNv+j;$(nyJ-EYzH`;v#G z$O2I0LA7)#4}m${Q@1t#&<1t`8;@age+Xyp!TEfZ<7Ff|ttar`tDzs+TOK@qdNQKa z?Qr)ioBNn_xSdhLC)9w~?DO@SCo=|ub{r-pOJqG!^GW34qPrlz@ zlXqdoAF-&k#2rq;?p%{+Wr-OIisGb}WRoO4!c|I6R%&q-ck=lV5304f9k!uS%pcYs zZXNcBA)AV^5tzowfbHhWa4Xa*)Z90C+pub>^dv@iJM!F{e5~fcogs+~Y2;fzT1su& zFQTAoTISkJ09LUjJ{jdFJu+b4K!MHEv#j}MR5ChRg8ML}fO~P=F@P?llaknE#pQ}& zGv=vELg6+UjhEH3$Mf1nm`ml3(&G1+GYqoded5}|XQX=jE$u;A<-VP#y57A}3HJsZ zT1jm?P2v2kUQ&c6er+&q`~#V|8x&-{PGO?v-dp87DyMXu63e2*TX1F|M~ROClxl8~HdEy&X}vwY^MTk=j#`pLbdr%Y*gYek_m zvzQXdQ?GqMJ?fbBU58y2a6i7#z?V0WG&AcynsURB&G{JR??T!gl2=d)VmssBp`+d? zWlYOV zmcoR->4r8oL0j`m>!CIITXuD^A2+XiG^0Z>b)*ke-y4AhK!2}jC1LsIfz3Nm=vj7Z zjlDY*qu>6ZkA;)LEEt&Rg~~B;!O(2-`Fg+dBi!Vu+hM%A`R>ov1<-pIi5OvX{BuIa zqoR_>Dd{s@nPce+QacFZ&K2ol=zzNi4)a3_l(FT}h1#bvY#R-cZ1y~QC6v|v!FlqrY)P=cMETl> zSQ1Z3J~Rw%dw#|`&Qo2Vu(vLm?3O%v*eXmA7LHkrAjn+whFG~weZv{ZvhI#fOi1Tv z*o;Ca_B>m3VaWE$Y;v0psx^_@#XkOyUaK%Fmv(q}#zEFMAUlKdOSpLQiI2rW4`R>k z7Q8*B;I%PGL&;)uul=0?6?u`R^Of`HXDaERqKxtJC|PYzDQq#@R9;PKq#zqOH{X1k z7UJyxlJ#TXci^9@sTen`;(66qWJ|Ro1=B)o zcfeefg!KPdU^`4wpEJAl5Mz$bx0X_`Es?>J0iwMxmS6Le{eUvd7uDTOnZ zMCDmNVp@|@WLrm)lDi)`&G}!@pwsdxn6_cxnz_&_#PPUQ3RQtg$y#)^b5eFR0&lmO zulo!PBF?GyA72q9q^B^Fpsz~ZW?w|I2D23_$$ zD$LgMFyG`X>o}w9X%uYrD z4wWaSpPm>eRwHb*<5z1A=(Y|U4UiIS9G`vB7X1>+li5p4$0PCLcuV7pbur8oFJJQw zaDaXu|EY_UVUcYnJ*S#)+g<*=@Ra3k>n33sA3wcv^k+iWH-fs03sEjN?m$NR+Ch4l z_NYG0A*Z`#s7Rza*Y=Ty*Cm@rZQ@1g0M1WNBTgv6r1hoe--w&(q@GX>O}# zri#Xsq?7E%qOuC(GaK^qv&>!pfnkN=q-bgf(IAsk{eUwRL(BACKw!Okp)YjzQ}h6v zTCGh7CVbMyjp;obF#=eFvTHCQ z0{FlLCt%l}|JmI%B*MThh$R|6Lf=%VpvjsOJTYT{ywLxwMJW=P*pPkKNfzMz`ULqM z{4I=^-PvKJ-}@WK$U27Bg8Xe(CiC6m3B;d1$)V!;)@!I(P#;9s)$FNsbL@upAT+pH zXTSS6>;UbXCD`9sX?U?rDm!KWzbYc2kLG88cq~=Yw?x0*>WQ~OO@L61B`8h5K8`x zf(gVP%Il+#;NzBv=CAMc)F+m|fi{f__M~pL6?C%73Blsn`Gtr*br(GIJ+Ny5Js}AA zusMVC`2Ok8Q!p&%I}T zz9Q~>B9Q9TOlQ3pCZV|I{-3Ulr%WO4bY9GxA$ zBbX+oMFQ&7rH!uG`|mGy6nFbu`E>RIa7F_?EE64$o59ON7570qOH){nMb!uw7&oG8 z8ZKiD-5h_|wwN7i(j+IO@c_Ms&_M1=RuJJe;Mh!s%hLFR)+(*uC1HrWq)W<@vYxzN zk2|63JyhUq^G!Hw5OgRr6YgCdGmWDQbs$L={r2IMOT>4j{9?P$Lh8OSzAm4`u`{NJAIt`r3i>b2^>v zYZ$H2jWYMja?aCtzNj%nv(U4RuqDOPm(Ad)ArpqW|Km=t9BU9(gvr?X*_^IZz*v zBW!DAk6g=3=m8(KP%*5@E*6lHtHp&^UK8<#b1{@NM94?`D9!<*^;Fpx3!fsaGE58{ zAdx022`i!NB~WG8Z~2xc!K*ggF7Tkce;ENhxR@!p|Or2G0K@%GZgm)AUQV6SYs8xR6atjd;S z8!fz}>k2!!oLA7-fLId2GD?xxwtjE1jbM~9m@ZK96dKm>QoFv;YW1im-uTP1k*h3m z3Du`4`54XcXF{a6M3tp2Iat#43X!SO>(9jUD#5BJD$xCQTJVJc5ZyNG+<6(LjDb^% zJ%Sh!ltaU?r;UxJ0qL6~ZAJu<-Js+%>_frmoL~vJda`7Qm_D?JCBmDM&B3s_etF=- zXpZPt63-w6S?C7NEr~Zjb55TR{-Z{qQ=X#wutt?44PgJ1FvU{bg54<8bMC2Sb(S^C$Im<^qeS)~ZD)4Cmja`w& z#8uO7)aAX)URc!h)(nE;44u9C4}VGG9DpLh61O**Sj?a%k!RUTACYYqBOyl*SKzK- zO#IZ%#eVI=^=jqpB;<({f|`Q7@*ZblBhjqsv!%xvQY3sh6=6z-dwl@SpV8YtcPt*( z(+KK$0>kd%fCd~+N;E#rh5NurP<03prg$qA?ag`2LuWPi47$ji>B2kq+`Okn`c_X+ z+*8~MvGf$DuEIKjr$>2zYpGm@+`USJo$;ebcf<@5sT#f0aOb%rRzb zN4c9RZ1fJ4Fri?oh{C+$dKx;){pBFF>HXn)ICHx-HUlgb`5(}_0wg{TZTisj16t>T zDN{rC_F1q^_GoK~^?02C@m6*J;PV7_S`zD*FOB+Y#AouW!yH@gjyBNv==R(&poz6z zO8Jo@SI9GPNcn^l3Zm|)@D4wlc?fdDT|i zHAQAR@L&N$=^`C{uXI^Hf~(EWiN&#bY89!va+52E-A|=9#cquZuK-Eylb$v%lbcz| zR+1O-hR0hY`*bbmM6Mo_a_L$SgM|0{F?6So5{1(I=}edn;nZ1!OOe{*AFot7f9jS{b_UfsNdj$7W*oYRraZ5p;!1#j zdnxi<89MxVSu%nuj1$N_sEBWekv_je?~`|&& zO1GjLHtLD?FAsPx%hZsf>o$LxlETV@wnnzDo`FV=>>C~oaaX}@{jnztER?L~1g1R^ zXZ6na`y%^61<$6Pdh=w@2Bobq(ZF?V`#>KUQHvjnZo2bw-v>V%%)t$xs)C5e-A|QBy{qX z`p`XzFs#hB8&_JfU<*AaDd0ic5;&n9(qPKcd9THkIp_(4h_vQ)K55ggSfj9GS$1PC z?G&u$GmNd7^K65>d|FW51V{?@iY^K#CtGI3;i|qw`u~J4(X}lQ$L`F9v^v@w*J@TM)Bcl?T0lbjQ0xcOXUFF7uzKUQCkcye-5Jc;I?9Sv zejGRCxwS_6D8nW5jVqsWObe2%LNn-1AEupgJt510 z{Yg`N4Vouccw6q_npCwuKcBRAsb*IE9lONJwF1SDRrgFEu=F`qGVomqv&q=YC*Vb8 zgf1V`?$g_Tj2woIE>o92LVfZnzm}`T{W7&T$)<)l`K^6ikTcd(aK5>3D!1+^_))U% z%0R$YY>=;Y@5?Z$Xj0lrJU-v^}E zUiT;kYVWn?hpQWBh~o}pwGk1p+7;ihVQwRJ!)3`$@Fv0z-!v4(LpwvPb+<@4MLMMk z1KqTmHAt|7AAQ;|qVhgnv=@iDHDV;K8tvX0onC^@Mcv5f5U@;XGK$?rd9z7~4W0T8 zKZbBnt}s*&2tQ9z-)h#gealx-{Ztni9Lo7DGwYwMkgJpC9=hs2NLZnLL7U5ytC?L(t*1^U#=Jws&?LPhK5nCmCfI z&}`M6yZwlH!aI!n!4yqkRN3;xA%+kei^>b#=Vq>Go}_%z;J-Am&6SnRWIs5?AbV#x?`=}UB1-e7mm9l=c1FH92|y!IG4Sa5;G{CLZ}%5ZAw?n(*r%^4YN-Aqis~Rl z(bwnZ4*n_=0&#@eWCFWCF8ju%2gRS#)zNUDt%wU4=_xt36+NC&8{LCj4GVSpu>0$u zu}I|#Ohi88s%$y_xJ%G3x}oNGte8!QnX|5BQW4*TEmUH4V|{Ayx$e)GG(`X^j&!@% z1I!qR^2#RXbK@6ojTB=H4J{*|0L#FWzux6J!n^nyn`b$6bAHuD$aRu(!*Yc3B77gyMIkvc|&lL z2?D}t?)6l3FnV`Q0K0tB&y{QEJC5bL4%o>50}_y^j5=Z?0`BfI+pn>Zv%AT1JuV?Z zhs41Z|Ey2}2~?DcK-+h0wJP}aR;bjXgJ+R5LP&Xx#%})s8m^z6W><0jjgB3oj*^#_ zR7;OxFL^L!9c==<-Nk`F@EVmAUxYujJzDwKf}>&pOTya2-)Pej;E-hmAD$5_U;2v} z1;najxz!^!O22O)1qV?hSNJ>X<^A99wS*F$9&8C)2vo}!sD@N~cW2-Cm%?PWroFkP z{OcH5XFNbFdLCh?YJm>Y1mFmNM2da;v(07FR#+9Z8~%wj2$v*)0O1{v1IY(ihOnpf z))F;bfOEtmm;))gBFtex7n+g}HZn(xLCyLY>KZ|$Jlc3DMT^jbz1%|{w8g^iqj(1c~9a2xg|JtBgDD~y%r^0iy^iy#pGHV(wjASJo6AQdZ98 zkFRcuLcQSgF=A~JT3aDtmoqM?SpzNU3BbE-@6`bHfF;H_V*DHM==47&7R$d`x$;)& z%XY}`fqadQL4!fjuLk<(cC2@1wC^EZB(WmAMM!X-duY@FN<}>MNZ6~d5&ZEYJiP$O zk_9;57-~(Mz3`1^?iM-O8373S4pSUMtReyUostB62=XC=)XqpZeP=Ni_^A`1Pzz0u zF%afL?D`R2Uoeu$*C0EWs@7mU0)n&k#%wQ(vgm4~7Enlyq1_(@&_kgL`8DhSD7B)r z3_UP$J6twq2oVS+ucYVdDm1NH;2$lbd08f2=sEyM1MABOU?aV2P_i<=9s>Y_r|)e9 zd?H8&`>Zw;!DK%Fr^J`4MDw0l+?C{y*(qc|6ql z|HsZ)N8~1~+|go@97XOVMD9Bb%8}ehwaG|DGewc35+#%)cSGeU2B92@a!u}}97PoR zzTS2nJ$`@hf4~0NN6n+`%xB)m>-D@|XzK0Plpy*;4QJy#?rhncoUB z#bQMYzBe6NVM7|_lWM+{>T#eO-WRJ1RSP}VZPnRN{M4a{uYicLEJ0$0|5;r-r5^9% zP@r3J2)86EzLV(GQ^U9sn?MK4zr?{!$mZ*UWwmQ@_`BRBC~*poaL}|YsaAD#?}=uBN&z(VVOMUg|3ntCZWS~FRgBLTAb5+ApEh>#O~u zq-|sxAx%K+#3j~aB)oKM}nZPGLt>0!F~7KLNc#p^*dn2&CXx&@dxcqOlgbW*kTOJ4>HhB1s={;A)`)CJQXUJJYtIxBMVUX!Z~h?Q^?@{LCqlHua( zz@2gE{X;&ST>q24TX#B~Yu^SV0>(7S5A6p+_RvU^RamKHFMEKM;*cgcE{mrt;Wy?t zB9S^8bz@20gNR(LAp=>*4_bIou|WJpW8E`GNm zkg(|D&mf+M#CxXXi&O-uZOOaEx1#_FhHa1F+Eyish){_Giq z2ocTgLs<=4ahf6&m+g;(K3>0ZRp3jgr2KK$#w1O@3x5P3c-45iO}da2n;`OA;to#d ziqrEdiK_k5Rr7`EaQ$g-J+e^{n@4Lf?*XpkRz)>RYx8(8kFX2LAG(6Nt#LIKJrcK6xdDzn%%DL`vDr9~%c0 z19#dD0d`qg{pSFQ#}#RX9rPzF?S47RVLYladLftj_EB*yc|DGb-VsWSpVezUD;<2c z>Poh9j<(X?B4><#c`Vk&GXVe8p3b!)n3Y8utTG;QS1=}l2E%bPF13{&1jv#wk}n=c286Wd;YC#Vw&D)e zR9@|Q_GW7(he;X@cGh|a*^<>86(}C>0`c7VEF{xJIr$k>=Ntdz(bj3LCjCi1Sjb$w zFhY?urmD%m8tt;h;w65h@93S2H#-}zEUFbbVe~Pcfnu(+Tku>JU)#!%L4#+k1Q3|t zZIs00oVc{eX{;Qk-{3I1;r^qk?<>!xI%497Gbj?O770nsl{DGyCjIaP3KML zD4~*_ex_(2G4jthjhcGjHQLk(iz!h1m|5vgh-nNtT8GhAAtw*k5u+3jh1|o|PqC5{ z9}XQ*QWw9Tc0&;N0*M7O`|Φk%ic-m ze_LA)!~X3EHTA}41g^Op zlj)HkldBh@&)E%bI8@=@e%BDr&v|j!t_P@AmIg%~{h^*s#+F<=>qQ{-Y51+lU&HoqEtceqHSFqR2 zNN;{jCQkk!ix<~x3fj!MYD7e%4}+K%ig?F8z0bNdoX}Kx0Y>`jKqse&x|o1wIlZ@! z)XVuMA>nHhsqaZCMgpDTeVBWVtDVc=(C>jY;A)zGu7);=@ zMMOy*-1YpZ_t`;^t8|e6T9a}av zYI~4@_IadZz5^s~O$~v?^l}uJtptMAdG-VzD_2yr>1njd1};;?((ZVv^~n$SBGWzs zH|bH7y6^Arwb0?+=-)vSW-NmdMfa-xL62^9=B3i=xA5S|*(z%L_*G>RJ6pje$~kjS z-?ze-q}X=c^wml?u#*g#d61LuX`+U^DFdC^c+aB~lgJkWq^nYB6`LaqhmqRcYd>HD zGJ~0U6(rPTY2;6OkR+edB&(*)? zK^z|+=hdi4Iu74%nkA+TH0;n*s(HGF^lpXC=niYooi^|F1X%Y{LV@JAD+xp7;3Rm> zW*~gOR(|iLR-gd*PZk&}{>0NbSG-6CHh8LE4fXCM5Z*FgkbZcLyEQ77UYbsrzV$i;IW@4mfW=%H z>k;PgFA0Evl?lDH*$&3J0IA*%E;g7#=KXbQpsMB#$l2@T+gwz@b>m%F$1uh2y$tWk z4+8KpN}}$nT*b6S1b<}yMdn&W!@u9Pd53R>h4x+f<>wI{j3N~Nfp_0$S~}-;uDWb* z$XWG@{23DNO4tU2S)=y4A!A?9IpL`Ni<^#LVL1AB4$5|4yw1@L8V2!-MKOrHc=9d4 zw~h~EpOarP5&DsY4%Ah2$RuqA!yjo8Uf-+s3rNidD=vZVjpybA6#nX@uyuI-6XAK% ziGj*Chz5^2W+1IJ2w8NwBYgn_Yv*c241%~x+NM94T$(!|b~MHPL(cNk<8RgML5^`3 z7Nc|z-J^fJQE+Fyj?>8TBc7Nx)7v|>VWTRQkUhOHJr1bO^gpUAxYmCGtl%?vTEiuK zerx{Yjqe(E%LwwwKYV1j(Rcvb#EU%g?;}4#jLXI~`L8GbKgZVo|E>NPh~-Z9T(6J3xUlpMU_;^Yhs!L)^ewKmgFlYKl3&U?dOuRH z(rj@2o;VHc|6D=OXB-g@h|X^6ppdnP1o=q_&%J|A2C!Esg9Zn{!OHA;hoa>mQOe&1 z6XQ;gbN>B=Ch9aeG9eoDUO5kf7r-QPthFC(?vgLz=HT%5dnG1s&xPFy&0bFXmDo?~ z8(G}U>AH=3G$hJNz?8c^$+x}VcEXg5dkCAW_o_8FGjj9Yof^Zq69sCRULky1)zG7Z zF4H6pf>x26RA|}InNxbU(0JcshUVGb06*2k^ekR<|1~T2c>95BF|pJEQl%M-JfV9! zY6#_pM-Kw>QRIVMhv6=x0%;IM8p`qwg3TwjKYZ*~$RGmepuG>qe>DEARbf0oHK4B8 z>-${LK;`+CT^tXP`!B`Y%317oygld<#dZY$w)S0v>PAF%>d6QD6{Y@Ak-p&&AQxibws|yN46;iylq5C_1Y_I%)mto^NRKVnE(48- z{WK7KDT9nmY97MO z^5^4W)Td&DFzYzWMJR4;q0IzegvUij?zI!QctG!|1ZCr zZ5{!+>O#ATJ|_de*uhX#GpiZ|4f9y(R_lgTCs^7_<|)A#gfzbG4{fR-YqSvAD5ZF& zW^Ue=lq7r*z6A4m8%ogVnSEGGjDz>C)!IpYt`LjmkL<)R_}FgjZ+R?fYZsEV)9}ih z7?#xNaDwQ_VmLoSh2*jo1-mFC$CN7%Mh(9DZ=oQ?sZqV|^+ah$(OJSfDAhR)?Tp{V zP+ieF)!_6utosjf(MmD($Bi-$4(v=nyDgV((00>_7Q`US6;o&i;Ga=0K*lIsK6Azl zV~vpb$XhON0l;Dh@tKkB%P|2cv%iLkzYx^GjjGd#knN2m7CcRy+5gJE;1lIq3%y5i zHx%KfH(*3lg8N?49Cwc}D15G~)0V+rU8_ufBbi#M+bNPm zD(G{sN@u;Z&|oZke|W-PzKpc$if>)7DJ){BnQEFrv?u^007gVV zk>i6Iz3o-LwIiKU2UB9QL+nY|u{D%Rdmu7`V5KqMHxL;rk&*_dcxTaykHaq?=HRU# zC;9-)mGsh))qdY^FO>z*O}YR`P-vxhMUFvz9qZcs7JTJWh;?s{Wynz7~zRZ#WE51Q=7K7Ntz2Rc8kIb z8?H z8V8k6Rh4$oT33k;|JXQm;dj-d3;;i{rQ2_iplJ0iNW)SbV4MAWZ6U5MNhdb!iaIe< Vh3*b#z-cP@rLTKPCtu46|38c<$dUj6 literal 0 HcmV?d00001 diff --git a/images/logo.png b/images/logo.png new file mode 100644 index 0000000000000000000000000000000000000000..5c8abe545714360c9a7feeb282408b0c185d49e3 GIT binary patch literal 4393 zcmc(jX!UN|BHT zM-CW_91M8&T-WnIJTJ~UZ_a(rb)P$~@8=|1TbhAbgjfIo0O-bbV;kyvK^-@l8L9Jg z_GnfBfMe%|v4LHf+m`F4NsBQdWF~WN;6#R`T>_8Lnu##bAa<*Db(LlujUTAx7`@zL(!5Q({(A;VP;(QM}A0al384~I~s6VSes0(sR z2ZRCSqEQkY!OWLANoKQoKVukZKs1tAICHdqhLi+8^>=LcBOt9IlFUetmNn7u7q0*+ zkMhySP)HzV+S^H}G}ujkx5}%`%;aTZ4*D`48p)^(rOsQ<92}&d5D8{uIa7h`Z-S#?x9B<)Hacb9qbYZO2em3;z;iaBPX zdKxnZGK^#Y3Fsxz|3Yh6@Gw88Kz5c-Mv~<5>{pBK5d%Vi3tbam^lDiJ9@4LDGR}#M zPZ`8gO8e9|y9$K>I;YPk<1=Y`lY54`N=rdn_#5;xl zKZ8r;UbgUPkSyLxWlj2-hh&sj{Y!3}D|bl{pAmq2)S0HuTg$q|r>jGvtYSI>T@eSQ zB-{1h6{^7-c3y*dn)R0c{)%~!pui~^=QjVfsaAy_Syx|*Xg`%ON#c2O5IFlO_9efv z=ibVofNq3FThM&q)b~=O_%vA5Icj2PqH{8+BV^0E@|K|2aFHuU`9}KXu$={(dbh5m zf8SH@Z_j+pgHP1EHeWVs#AAb*CZBLQwX;u#-!#c;8hK@{0qzlqmRB}!DXHjt+@78` z48GKPPg7M>;}- zZWZgz5?yGoT%4Wu#7XNPne^1#cE^*=olv%tc_(E%!i}{Y2x{OFKwcI*56BV$ zFgDP>a(O&fan-}ke4(}AoC7m&l!8i|*FV2qZdz)QuNAi0^j)Ji>U0az;{TTm$gh+f zuZ!!mkhHD5^#ohzj&)P#I8g|}Sp`RpR$9oqv_E#4==80<+sYD57}wNX%XM|91gM2r zp2IGfp5Fl^Kc8-J!!g2b*=5fQv}s`lba}UKn;IOAV!uOuF=7hwCUZ)gN>~Uv+MG4r|8CtC5xmuMPwSj@X6=lqHegQe3Fvlq%brL1e;$kb^ZwxK2;td7!>+)*DM?t}X;)VJCwwAoNmt@Q+BTFcYVB@`A0)glOsn6- zl;C)fYYiTI23siq*t2F)uLP@O-LYy6J?c9}F=AZszy8sNEmc}EP@Wi7eLiz&Z=vmm zsdUL%Un@2j1LKB4@nFPRS<#!Wr$8S)-kc9+xZCo|D(@JrFUL>iY|q%UKWZudr!rd+M{M?}xP&QoTe^h6uAJ`TULz9PzNJoc=qCRHdFJ;}%-USrZvywdND?|+@= zJn-&3pT<1EgE-fSz0F0aOZn;*Ma&+*IAAJM{%wN>v zi8f+u?t`|{rJHukj^Yk&FG*yy|GI0is^J3Dk8yHJ&;G| zjK7s;E-dW+vvi7GvoK#OEZ)6==D-sj3~NW$P}D}2t}YP6JB7isk!n*m?c`tmK8hN$ zBJ;-&xt)C7Waxl19Wl75{jK{dQDhwv&IC z41ehO2CblLkHjh>ozR>Z_X+!{^h_>$y!UlQY5aR?&yP=O_$sCjSPyD&$95mSwJtTh zo~u@2rYW?fN5*pxDlF7YUWqDUgheQS3MN$cDQy02W>Rpd9hpJQX@P5eVXJ)aKBvm7 z5}Si`*)xWKof*8>Pe)w6H!(+HpGG<}1)->uKrO4I!7p+ojEmp3ci_Q6mXzR&0?@$O z9E72YvnA5`FT+d&yD4ieM{aQEN42h2s}}rQVjlgE9My6y$Xv&x=YC^9PJs*$b5TZd ztOmnHx^+v0+o`XSEg%(7OZE?~8_~_?$Uqy5JdNE184*Ze0bd%vM$xTvQo4-zEk*e5 z7cSTMhkb11l&|G|kq*x!CfpId+VH}si|c)7U*DUbSIyI;$aI{r#86D_XN!yHk30VQ z2T`gN5AJKx&5P}&#Q&NF0j@-{r{yhEeX;vvhnko+ma@s-Z0n|#ah?OByg^CYJ{2K6& z7?X;xmGXHse27x2NWRcs@>Q>GBc(PUOEus2P;Z@gwQY`xJX-vh>m38P`7xQL@_T+z<{2%c*~^F*8}x5nnO9a<#hvXW${zjRGwi zk&uyq(w~j%_3wqnC%i})?#1E6Jc?;%mcDJB>!;^cAq*brtT%eN(*mIDIJOXI zdSB0CUQHIIrmD=%JI0iWk_cW8d}{A_TD>Uy@vd)__|`qs9a+6Nh}q^v>)E>m)<1JZ z#bI2=pF->PzR@IC6Ieo*Pxn=uOfhRILan*U%aP{yC<)AgXK@TC#BZJ z{chsz@}*#38)=mA16lJj8Q9pqa`*9<-!FuBLp}CvRdVz+)%<6xAB@IMO*Q-+{*Sif zBgLPv)rAggpM>{d#si90Tvw(q6lcqqW}Jnk@wN2i9Fvc`0qBrs)T2OQwz|z;Ke}OW zySLlzLFi#tA_u+EIYT7sZlJ)^y$$hxCj$Iipra z;YYoPazy|oJ@~q!0BLmOd&-C|>DRns0t5G}8P|ALK3^Td$rB8AeB(&p5YDYj*4|z0 zjrXgr$AasevW+J{J_GAfc8nT`+f8m*KrPBzHsDJxMEEr2&2ZlI|Jvt z>z2u*3JX@kzjm6!NrY#ud&&H+E}h@`er$`%O)<&%+kd1x=Zegk`~q#x9WL; zJXqsaf2m2Q7cRX&P10!QeikJ~UKs{2BK_g1{kGTvTfkjZTDgA^9O2fd`}eEdOx1fx zWN_L0Exi#EA;KuG%7i8|jVxEiOWC%WXDdA-U%*|w{(VcyG67~#P5?TsSY5V(sVW=9 z(Rms2-VeV-e$=%FTDzmV?wMx?SvM~pv=d+1?%Li(RP1l4J&`UAy|<+GAONhrUap+a z{_cn|O}SaByXXy%B6&=bPNb`31_7T=BMNQg3*hel$7H?5J1>XZjDH`bS84MC3tc4f zOs@A%FTvFCA1J}*CdJJ3=14STmr9#fI)1!-H)1LG(ArW|E;Re9X8el7@Vx&H@a+{U zCZ;@=BDnV#_wy+-KZ&InppIm%8@)c?`}M?lm<*{;%(*n{Kvh8vICs=J=% z-w1Ev^e8f5h-8z;qL|5NhCF|HkMV!Nyi(4lua&~+kBML>g!HcsA46o#brT<5)1)oJ zr8j%ZDD#Dgn!-nC>`X{PwoTPZ#KKkt!uRVbX7gg5itMN!gJL} literal 0 HcmV?d00001 diff --git a/utils/config.py b/utils/config.py index 11b6e1d..39c1a7f 100644 --- a/utils/config.py +++ b/utils/config.py @@ -15,7 +15,7 @@ if not os.path.exists(TARDIR): TARDIR = 'docs' -IGNORE = ['utils', 'docs', '__pycache__', '_config.yml'] +IGNORE = ['utils', 'docs', '__pycache__', '_config.yml','images'] DOWNLOAD = 'http://downgit.zhoudaxiaa.com/#/home?url=https://github.com/' + OWNER + '/' + REPO + '/tree/' + BRANCH + '/' @@ -59,7 +59,10 @@ #* 非zip, 非以'.'开头的文件多于 3 个的目录下都有个 zip 文件:`-DIRECTORY 目录下的\d+个文件.zip`,包含当前目录下的一些文件, 这样方便大家一键下载. (在 git commit前, 运行 `./before__commit.sh`可以自动生成) README = r''' +![](images/logo.png) + # 中国科学技术大学课程资源 + [![Stars](https://img.shields.io/github/stars/USTC-Resource/USTC-Course.svg?label=Stars&style=social)](https://github.com/USTC-Resource/USTC-Course/stargazers) [![Forks](https://img.shields.io/github/forks/USTC-Resource/USTC-Course.svg?label=Forks&style=social)](https://github.com/USTC-Resource/USTC-Course/network/members) [![Build](https://travis-ci.org/USTC-Resource/USTC-Course.svg?branch=master)](https://travis-ci.org/USTC-Resource/USTC-Course?branch=master) @@ -136,7 +139,7 @@ └ README.md ``` # 课程关系 -![](https://user-images.githubusercontent.com/29198767/53245024-851b1280-36e7-11e9-9d22-7ee65446c68a.png) +![](images/course.png) 更多信息可以下载[官网的培养方案](https://www.teach.ustc.edu.cn/education/241.html/attachment/14-215%E8%AE%A1%E7%AE%97%E6%9C%BA%E5%AD%A6%E9%99%A2-2013) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/graph.cc" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/graph.cc" index fc391bb..5a43873 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/graph.cc" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/graph/graph.cc" @@ -14,20 +14,26 @@ #include #include #include -bool LOG=false; +bool LOG = false; using namespace std; class edge; class vertex { - friend ostream &operator<<(ostream&,const vertex *); - friend ostream &operator<<(ostream&,const edge *); + friend ostream &operator<<(ostream&, const vertex *); + friend ostream &operator<<(ostream&, const edge *); friend class graph; friend class edge; public: - vertex(int n,edge* arc = NULL):val(n),firstEdge(arc){isVisited=false;} - ~vertex(){if(LOG)cout<<"V"< vs; vector es; bool weighted; bool directed; public: - graph():vNum(0),eNum(0),weighted(false),directed(false){} - graph(int ,int,bool,bool); + graph(): vNum(0), eNum(0), weighted(false), directed(false) {} + graph(int, int, bool, bool); ~graph(); void getData(); void display(); - int minPath(int , int ); - void reVisitVertex(){for (int i=0;iisVisited=false ) ;} - void reVisitEdge(){for (int i=0;iisVisited=false ) ;} + int minPath(int, int); + void reVisitVertex() + { + for (int i = 0; i < vNum ; vs[i++]->isVisited = false) ; + } + void reVisitEdge() + { + for (int i = 0; i < eNum ; es[i++]->isVisited = false) ; + } }; -graph::graph(int n,int m,bool weighted,bool directed)\ - :vNum(n),eNum(m),weighted(weighted),directed(directed) +graph::graph(int n, int m, bool weighted, bool directed)\ +: +vNum(n), eNum(m), weighted(weighted), directed(directed) { cin.ignore(1); - for (int i=0;i>a >>b; - --a,--b; - if(weighted)cin>>w; - edge *arc=new edge (vs[a],vs[b],w,vs[a]->firstEdge); + + for (int i = 0; i < vNum ; ++i) vs.push_back(new vertex(i)) ; + + int a, b, w = 1; + + for (int i = 0; i < eNum ; ++i) { + cin >> a >> b; + --a, --b; + + if (weighted)cin >> w; + + edge *arc = new edge(vs[a], vs[b], w, vs[a]->firstEdge); vs[a]->firstEdge = arc; es.push_back(arc); } } -ostream& operator<<(ostream& os,const vertex* v) +ostream& operator<<(ostream& os, const vertex* v) { - os<<"V"<val+1<<" -->"; - edge *arc= v->firstEdge; - while(arc){ - os<<" V"<in->val+1; - arc=arc->nextEdge; + os << "V" << v->val + 1 << " -->"; + edge *arc = v->firstEdge; + + while (arc) { + os << " V" << arc->in->val + 1; + arc = arc->nextEdge; } + return os; } -ostream& operator<<(ostream& os,const edge* e) +ostream& operator<<(ostream& os, const edge* e) { - os<<"V"<out->val+1<<"--"<weight<<"-->"<in->val+1; + os << "V" << e->out->val + 1 << "--" << e->weight << "-->" << e->in->val + 1; return os; } graph::~graph() { - for (int i=0;ifirstEdge; - while(arc){ - edge *p=arc; - arc=arc->nextEdge; + + while (arc) { + edge *p = arc; + arc = arc->nextEdge; delete p; } + delete vs[i]; } } void graph::display() { - cout<<"-----VERTEXS-----"< last; // can't initialize with n NULL ptr - for (int i=0;i distnace(vNum,-1); + + for (int i = 0; i < vNum ; last.push_back(NULL), ++i) ; + + vector distnace(vNum, -1); distnace[p->val] = 0; list que; que.push_back(p); - while(!que.empty()){ + + while (!que.empty()) { vertex * cur = que.front(); que.pop_front(); cur->isVisited = true; edge *arc = cur->firstEdge; - while(arc){ - vertex * tmp=arc->in; - if(! tmp->isVisited){ + + while (arc) { + vertex * tmp = arc->in; + + if (! tmp->isVisited) { que.push_back(tmp); - int sum = arc->weight+distnace[arc->out->val]; - if(distnace[tmp->val]==-1){ - distnace[tmp->val]= sum; + int sum = arc->weight + distnace[arc->out->val]; + + if (distnace[tmp->val] == -1) { + distnace[tmp->val] = sum; last[tmp->val] = arc->out; - } - else if(distnace[tmp->val]>sum){ + } else if (distnace[tmp->val] > sum) { distnace[tmp->val] = sum; last[tmp->val] = arc->out; } } + arc = arc->nextEdge; } } - cout<<"path V"<val]!=p ){ - cout<<"V"<val+1<<"<--"; + + while (cur && last[cur->val] != p) { + cout << "V" << cur->val + 1 << "<--"; cur = last[cur->val]; } + reVisitVertex(); - if(! cur) { + + if (! cur) { cout.clear(); - cout<<"there isn't path from V"<>n>>m; - graph g=graph(n,m,weighted,directed); + cout << "directed ? [y/N] :"; + + if (cin.get() == 'y') directed = true; + else directed = false; + + cout << "input vertex num and edge num" << endl; + cin >> n >> m; + graph g = graph(n, m, weighted, directed); g.display(); return 0; } diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/huffman/huffman.cc" "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/huffman/huffman.cc" index 832a1bb..7a1b0b2 100644 --- "a/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/huffman/huffman.cc" +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/codes/mbinary/huffman/huffman.cc" @@ -28,348 +28,437 @@ using namespace std; void cat(string s) { - FILE* f=fopen(s.c_str(),"rb"); - cout<<"file content"< -void mapprint(map &f) +void mapprint(map &f) { - for(class map::iterator i = f.begin();i!=f.end();++i) - cout<first<<") : "<second<::iterator i = f.begin(); i != f.end(); ++i) + cout << i->first << ") : " << i->second << endl; } -template +template class node { - public: +public: ky key; wt val; bool visited; - node * left,*right; - node(const node &a){val = a.val;key= a.key;visited = a.visited;left= a.left;right=a.right;} - node(ky k=0,wt v=0):key(k),val(v),visited(false),left(NULL),right(NULL){}; - bool operator<(const node & a)const{return val>a.val;}; + node * left, *right; + node(const node &a) + { + val = a.val; + key = a.key; + visited = a.visited; + left = a.left; + right = a.right; + } + node(ky k = 0, wt v = 0): key(k), val(v), visited(false), left(NULL), right(NULL) {}; + bool operator<(const node & a)const + { + return val > a.val; + }; }; -template +template class huffman { private: - node root; + node root; string res; public: - long total(){return root.val;} - map encode_map; - map decode_map; - huffman(map& mp); + long total() + { + return root.val; + } + map encode_map; + map decode_map; + huffman(map& mp); void display(); - string encode(string,long &); - string decode(string,long&); - void preOrder(node*,string); + string encode(string, long &); + string decode(string, long&); + void preOrder(node*, string); }; -template -huffman::huffman(map& mp) +template +huffman::huffman(map& mp) { - if(mp.empty()){ - cout<<"Error! No data!"< > hp; - for(typename map::iterator i=mp.begin();i!=mp.end();++i){ - hp.push( node(i->first,i->second)); + + priority_queue > hp; + + for (typename map::iterator i = mp.begin(); i != mp.end(); ++i) { + hp.push(node(i->first, i->second)); } - int n =hp.size(); - if(n==1){ + + int n = hp.size(); + + if (n == 1) { root = hp.top(); return; } - while(--n>=1){ - node *a = new node(hp.top()); + + while (--n >= 1) { + node *a = new node(hp.top()); hp.pop(); - node *b = new node(hp.top()); + node *b = new node(hp.top()); hp.pop(); - node * tmp = new node(0,a->val+b->val); - tmp->left = a,tmp->right = b; + node * tmp = new node(0, a->val + b->val); + tmp->left = a, tmp->right = b; hp.push(*tmp); } + root = hp.top(); - preOrder(&root,string()); + preOrder(&root, string()); } -template -void huffman::preOrder(node* nd,string s) +template +void huffman::preOrder(node* nd, string s) { - if(nd->left == NULL){ - encode_map[nd->key] =s; + if (nd->left == NULL) { + encode_map[nd->key] = s; decode_map[s] = nd->key; delete nd; return ; } - preOrder(nd->left,s+'0'); - preOrder(nd->right,s+'1'); + + preOrder(nd->left, s + '0'); + preOrder(nd->right, s + '1'); delete nd; } -template -string huffman::decode(string zipfile_name,long &charNum) +template +string huffman::decode(string zipfile_name, long &charNum) { string uniFileName(string); - FILE * src = fopen(zipfile_name.c_str(),"rb"); + FILE * src = fopen(zipfile_name.c_str(), "rb"); char file_name[nameLength]; - fgets(file_name,nameLength,src); - int ct=-1; - while(file_name[++ct]!='\n'); + fgets(file_name, nameLength, src); + int ct = -1; + + while (file_name[++ct] != '\n'); + int pos = zipfile_name.find('.'); - if(pos==string::npos)pos=zipfile_name.size(); - string name(zipfile_name.substr(0,pos)) ,suffix(file_name,file_name+ct),file(name+suffix); - file=uniFileName(file); - cout<<"extracting compressed file :"< -string huffman::encode(string file_name,long &charNum) +template +string huffman::encode(string file_name, long &charNum) { - charNum=0; + charNum = 0; string uniFileName(string); - int pos =file_name.rfind('.'); - if(pos==string::npos)pos=file_name.size(); - string zipfile = file_name.substr(0,pos)+string(".zzip"); + int pos = file_name.rfind('.'); + + if (pos == string::npos)pos = file_name.size(); + + string zipfile = file_name.substr(0, pos) + string(".zzip"); zipfile = uniFileName(zipfile); - cout<<"generating zip file :"<::iterator i=decode_map.begin();i!=decode_map.end() ;++i ){ + + for (class map::iterator i = decode_map.begin(); i != decode_map.end() ; ++i) { data.append((i->first)); data.append(" "); - data+=(i->second); + data += (i->second); } + int data_size = data.size(); // calculate the size of the code_data char sz[numDigit]; - snprintf(sz,numDigit,"%d",data_size); - int ct=0; - for(;sz[ct];++ct)fputc(sz[ct],dst); - fputc('\n',dst); - fwrite(data.c_str(),data_size,1,dst); - int sum=0,digit=0,num; + snprintf(sz, numDigit, "%d", data_size); + int ct = 0; + + for (; sz[ct]; ++ct)fputc(sz[ct], dst); + + fputc('\n', dst); + fwrite(data.c_str(), data_size, 1, dst); + int sum = 0, digit = 0, num; string code8; - for(int i=0;i -void huffman::display() +template +void huffman::display() { - cout<<"the encoding map,huffman codes are as bellow:"<::iterator i=encode_map.begin();i!=encode_map.end() ;++i ) - cout<first<<"("<<(int)i->first<<"):"<second<::iterator i = encode_map.begin(); i != encode_map.end() ; ++i) + cout << i->first << "(" << (int)i->first << "):" << i->second << endl; } -bool handle_one(string file_name,vector &origin,vector &compressed) +bool handle_one(string file_name, vector &origin, vector &compressed) { int name_length = file_name.size(); - FILE *src=fopen(file_name.c_str(),"rb"); - cout<<"opening "< mp; - while(!feof(src)){ - fread(&cur,sizeof(char),1,src); - if(mp.count(cur)){ - mp[cur]+=1; - } - else mp[cur]=1; + map mp; + + while (!feof(src)) { + fread(&cur, sizeof(char), 1, src); + + if (mp.count(cur)) { + mp[cur] += 1; + } else mp[cur] = 1; } + fclose(src); - huffman hf(mp); + huffman hf(mp); long sz; - string s(hf.encode(file_name,sz)); - origin.push_back(hf.total()),compressed.push_back(sz); - cout<<"\ncontinue to uncompress? [Y/n]"<& v) +void splitToVec(char * s, vector& v) { - int i=0,last=0; - for(;s[i];++i){ - if(isSep(s[i])){ - v.push_back(string(s+last,s+i)); - while(s[++i]&&isSep(s[i])); - last=i; + int i = 0, last = 0; + + for (; s[i]; ++i) { + if (isSep(s[i])) { + v.push_back(string(s + last, s + i)); + + while (s[++i] && isSep(s[i])); + + last = i; } } - if(s[last])v.push_back(string(s+last,s+i)); + + if (s[last])v.push_back(string(s + last, s + i)); } -bool lenStr(string &a,string &b) +bool lenStr(string &a, string &b) { - return a.size() & names) { - vector originSize,compressedSize; + vector originSize, compressedSize; vector deltaTime; double last; vector indicator; bool bl; - for(vector::iterator i=names.begin();i!=names.end();++i){ + + for (vector::iterator i = names.begin(); i != names.end(); ++i) { struct timeval tv; - gettimeofday(&tv,NULL); - last=tv.tv_sec; - bl=handle_one(*i,originSize,compressedSize); + gettimeofday(&tv, NULL); + last = tv.tv_sec; + bl = handle_one(*i, originSize, compressedSize); indicator.push_back(bl); - gettimeofday(&tv,NULL); - deltaTime.push_back(tv.tv_sec-last); + gettimeofday(&tv, NULL); + deltaTime.push_back(tv.tv_sec - last); } - cout<<"\nDealt file number "<::iterator p=max_element(names.begin(),names.end(),lenStr); - int len = p->size()+2; - for(int i =0;i::iterator p = max_element(names.begin(), names.end(), lenStr); + int len = p->size() + 2; + + for (int i = 0; i < names.size(); ++i) { + if (! indicator[i]) { + continue; + } + + cout << names[i] << string(len - names[i].size(), ' '); + cout << deltaTime[i] << "s " << compressedSize[i] / 1024.0 << "KB/" << originSize[i] / 1024.0 << "KB :"; + cout << compressedSize[i] * 100.0 / originSize[i] << "%" << endl; } - cout< names; string file; - if(argv>1){ - for(int i=1;i 1) { + for (int i = 1; i < argv; ++i) { names.push_back(argc[i]); } + go(names); names.clear(); } + char mk; - while(1){ + + while (1) { char s[201]; - cout<<"Input file names separated by space "< bool isZero(float a) { - return a<0.00001&&-a<0.00001; + return a < 0.00001 && -a < 0.00001; } -template class map; - //notice that if you declare a class template,declare the class first like this. -template +template class map; +//notice that if you declare a class template,declare the class first like this. +template class pair { - friend class map; - pair *next; - public: + friend class map; + pair *next; +public: t1 first; t2 second; }; -template +template class map { int n; - pair head; + pair head; int cur; - pair *last_visit; - public: + pair *last_visit; +public: map(); ~map(); bool has(t1); void erase(t1); t2& operator[](t1); - pair &locate(int index = -1); + pair &locate(int index = -1); int size(); }; -template -map::map(){ - n=0; - cur=-1; - last_visit= &head; - head.next=NULL; +template +map::map() +{ + n = 0; + cur = -1; + last_visit = &head; + head.next = NULL; head.first = head.second = 0; } -template -map::~map() +template +map::~map() { - pair *p,*q=&head; - while(q!=NULL){ - p=q->next; + pair *p, *q = &head; + + while (q != NULL) { + p = q->next; delete q; - q=p; + q = p; } } -template -bool map::has(t1 key) +template +bool map::has(t1 key) { - pair *p = head.next; - for(int i = 0;ifirst<=key;++i){ - if(isZero(p->first-key)) return 1; - p=p->next; + pair *p = head.next; + + for (int i = 0; i < n && p->first <= key; ++i) { + if (isZero(p->first - key)) return 1; + + p = p->next; } + return 0; } -template -pair& map::locate(int index) +template +pair& map::locate(int index) { - if(index>=n||index<0){ + if (index >= n || index < 0) { printf("the index is out of range\n"); return head; } - if(cur>index){ + + if (cur > index) { last_visit = &head; cur = -1; } - while(curnext; ++cur; } + return *last_visit; } -template -int map::size() +template +int map::size() { return n; } -template -t2& map::operator[](t1 key) +template +t2& map::operator[](t1 key) { - pair * p=&head; - while(p->next!=NULL){ - if(isZero(p->next->first-key)) return p->next->second; - else if(p->next->first>key){break;} - p=p->next; + pair * p = &head; + + while (p->next != NULL) { + if (isZero(p->next->first - key)) return p->next->second; + else if (p->next->first > key) { + break; + } + + p = p->next; } - cur=-1; - last_visit= &head; - pair *tmp = new pair; + + cur = -1; + last_visit = &head; + pair *tmp = new pair; tmp ->next = p->next; tmp->first = key; p->next = tmp; ++n; return tmp->second; } -template -void map::erase(t1 key) +template +void map::erase(t1 key) { - pair *p = &head; - while(p->next!=NULL){ - if(isZero(p->next->first-key)){ - pair *q = p->next; + pair *p = &head; + + while (p->next != NULL) { + if (isZero(p->next->first - key)) { + pair *q = p->next; p->next = p->next->next; delete q; --n; break; } - p=p->next; + + p = p->next; } - cur=-1; - last_visit= &head; + + cur = -1; + last_visit = &head; } int main() { - map b; - for(int i = 0;i<40;++i){ + map b; + + for (int i = 0; i < 40; ++i) { b[i] = i; - if(i%3){ + + if (i % 3) { b[i] = 1; } - if(i%2){ + + if (i % 2) { b.erase(i); } } - for(int i = 0;i +#include #include #include #include @@ -19,16 +19,17 @@ using namespace std; #if defined(__linux__) - #define LINUX true +#define LINUX true #elif defined(_WIN32) - #define LINUX false +#define LINUX false #endif bool isZero(double a) { - if((a<0.00001)&&-a<0.00001) + if ((a < 0.00001) && -a < 0.00001) return true; + return false; } class node @@ -42,9 +43,9 @@ class polynomial int SIZE; int n; node* p; - public: - polynomial(int sz=50); - polynomial(const polynomial & ); +public: + polynomial(int sz = 50); + polynomial(const polynomial &); ~polynomial(); double cal(double); void getData(); @@ -54,10 +55,10 @@ class polynomial polynomial operator-(const polynomial &); polynomial operator*(const polynomial &); }; -polynomial::polynomial(int sz):n(0),SIZE(sz) +polynomial::polynomial(int sz): n(0), SIZE(sz) { p = (node*) new node[SIZE]; - memset(p,0,sizeof(p)); + memset(p, 0, sizeof(p)); } polynomial::~polynomial() { @@ -65,18 +66,21 @@ polynomial::~polynomial() } double polynomial::cal(double x) { - double rst=0; - for(int i =0;i=0;--i){ + + // char *fmt = ("x"); printf(fmt,...); + for (int i = n - 1; i >= 0; --i) { double t = tmp[i].coefficient; double idx = tmp[i].index; - if(isZero(idx)){ - printf("%+g",t); + + if (isZero(idx)) { + printf("%+g", t); continue; } - if(isZero(t-1)) printf("+"); - else if(isZero(t+1))printf("-"); - else printf("%+g",t); + + if (isZero(t - 1)) printf("+"); + else if (isZero(t + 1))printf("-"); + else printf("%+g", t); + printf("x"); - if(!isZero(idx-1)) printf("^%g",idx); + + if (!isZero(idx - 1)) printf("^%g", idx); } + printf("\n"); } void polynomial::getData() { printf("Please input data . \n"); printf("For every item,Coefficient first .Use space to separate,EOF to end\n"); - map mp; + map mp; double idx; double coef; - while(scanf("%lf%lf",&coef,&idx)!=EOF){ - if(isZero(coef)) continue; - if(mp.count(idx) == 0){ + + while (scanf("%lf%lf", &coef, &idx) != EOF) { + if (isZero(coef)) continue; + + if (mp.count(idx) == 0) { mp[idx] = coef; - } - else{ + } else { mp[idx] += coef; - if(isZero(mp[idx])){ + + if (isZero(mp[idx])) { mp.erase(idx); } } } - if(mp.size()>SIZE){ - SIZE *=2; - p = (node*)realloc(p,sizeof(node)*SIZE) ; + + if (mp.size() > SIZE) { + SIZE *= 2; + p = (node*)realloc(p, sizeof(node) * SIZE) ; } - for(map::iterator it = mp.begin();it!=mp.end();++it){ + + for (map::iterator it = mp.begin(); it != mp.end(); ++it) { p[n].index = it->first; p[n++].coefficient = it->second; } @@ -144,48 +161,52 @@ void polynomial::getData() polynomial polynomial::operator+(const polynomial & a) { polynomial rst ; - int p1 = 0,p2 = 0,p3 = 0; + int p1 = 0, p2 = 0, p3 = 0; double exp1 = p[p1].index; double exp2 = a.p[p2].index; - while(p1exp2){ - rst.p[p3].index = exp2; - rst.p[p3].coefficient = a.p[p2].coefficient; - ++p2,++p3; - exp2 = a.p[p2].index;; + + while (p2 < a.n && exp1 > exp2) { + rst.p[p3].index = exp2; + rst.p[p3].coefficient = a.p[p2].coefficient; + ++p2, ++p3; + exp2 = a.p[p2].index;; } - if(isZero(exp1-exp2)){ - double tmp= p[p1].coefficient + a.p[p2].coefficient; - if(isZero(tmp)){ - ++p1,++p2; - } - else{ + + if (isZero(exp1 - exp2)) { + double tmp = p[p1].coefficient + a.p[p2].coefficient; + + if (isZero(tmp)) { + ++p1, ++p2; + } else { rst.p[p3].index = p[p1].index; rst.p[p3].coefficient = tmp; - ++p1,++p2,++p3; + ++p1, ++p2, ++p3; } } } - if(p1 == n){ - while(p2 mp; - for(int i = 0;i mp; + + for (int i = 0; i < n; ++i) { double idx = p[i].index; double coef = p[i].coefficient; - for(int j = 0;jsz){ - sz *=2; + + int sz = 50; + + while (mp.size() > sz) { + sz *= 2; } + polynomial rst(sz); - for(map::iterator it = mp.begin();it!=mp.end();++it){ + + for (map::iterator it = mp.begin(); it != mp.end(); ++it) { rst.p[rst.n].index = it->first; rst.p[rst.n++].coefficient = it->second; } + return rst; } int num = 0; @@ -250,94 +281,94 @@ void menu() void loop() { int op; - while(scanf("%d",&op)!=EOF){ - if(op == 0){ - pl[num].getData(); - ++num; - printf("You've created polynomial %d:\n",num); - pl[num-1].display(); - } - else if(op==1||op==2||op==3){ - if(num<2){ - printf("Oops! you've got less two polynomial\nPlease choose another operation\n"); - continue; - } - printf("input two nums of the two polynomial to be operated.eg: 1 2\n"); - int t1=100,t2=100; - while(1){ - scanf("%d%d",&t1,&t2); - if(t1>num||t2>num||t1<0||t2<0){ - printf("wrong num ,please input again\n"); - } - else break; - } - printf("the rst is:\n"); - t1 -=1,t2-=1; - if(op == 1){ - (pl[t1]+pl[t2]).display(); - } - else if(op == 2){ - (pl[t1]-pl[t2]).display(); - } - else (pl[t1]*pl[t2]).display(); + + while (scanf("%d", &op) != EOF) { + if (op == 0) { + pl[num].getData(); + ++num; + printf("You've created polynomial %d:\n", num); + pl[num - 1].display(); + } else if (op == 1 || op == 2 || op == 3) { + if (num < 2) { + printf("Oops! you've got less two polynomial\nPlease choose another operation\n"); + continue; } - else if(op == 4){ - printf("input a polynomial's num to display it\n"); - int tmp; - scanf("%d",&tmp); - if(tmp>num){ - printf("wrong num"); - } - else{ - printf("info of polynomial %d\n",tmp); - pl[tmp-1].display(); - } + + printf("input two nums of the two polynomial to be operated.eg: 1 2\n"); + int t1 = 100, t2 = 100; + + while (1) { + scanf("%d%d", &t1, &t2); + + if (t1 > num || t2 > num || t1 < 0 || t2 < 0) { + printf("wrong num ,please input again\n"); + } else break; } - else if(op == 9){ - for(int i = 0;i num) { + printf("wrong num"); + } else { + printf("info of polynomial %d\n", tmp); + pl[tmp - 1].display(); } - else if(op == 5){ - menu(); + } else if (op == 9) { + for (int i = 0; i < num; ++i) { + printf("polynomial %d : ", i + 1); + pl[i].display(); } - else if(op == 6){ - if(LINUX) system("clear"); - else system("cls"); - menu(); + } else if (op == 5) { + menu(); + } else if (op == 6) { + if (LINUX) system("clear"); + else system("cls"); + + menu(); + } else if (op == 10) { + double x; + int t; + printf("choose a polynomial\n"); + scanf("%d", &t); + + if (t > num || t < 0) { + printf("wrong num\n"); + } else { + printf("input a value\n"); + scanf("%lf", &x); + pl[t - 1].display(); + printf("%g\n", pl[t - 1].cal(x)); } - else if(op == 10){ - double x; - int t; - printf("choose a polynomial\n"); - scanf("%d",&t); - if(t>num||t<0){ - printf("wrong num\n"); - } - else { - printf("input a value\n"); - scanf("%lf",&x); - pl[t-1].display(); - printf("%g\n",pl[t-1].cal(x)); - } + } else if (op == 8) { + if (num == 0) { + printf("you have'nt any polynomial tp copy\n"); + continue; } - else if(op == 8){ - if(num == 0){ - printf("you have'nt any polynomial tp copy\n"); - continue; - } - int n = num+1; - while(n>num){ - printf("input the number of an existing polynomial you want to copy\n"); - scanf("%d",&n); - } - (pl[num] = pl[n-1]); - printf("You've copyed this polynomial:\n"); - pl[num++].display(); + + int n = num + 1; + + while (n > num) { + printf("input the number of an existing polynomial you want to copy\n"); + scanf("%d", &n); } - else exit(0); - printf("select an operation\n"); + + (pl[num] = pl[n - 1]); + printf("You've copyed this polynomial:\n"); + pl[num++].display(); + } else exit(0); + + printf("select an operation\n"); } } int main(void) From f0d4650865d204ae19ef740c8a5d892e01fa75db Mon Sep 17 00:00:00 2001 From: mbinary Date: Fri, 7 Jun 2019 16:45:11 +0800 Subject: [PATCH 22/55] Add Computer-Image labs --- .../labs/README.md" | 93 +++++++++ .../labs/cpp/dft.cpp" | 193 ++++++++++++++++++ .../labs/cpp/dft.h" | 29 +++ .../labs/cpp/lab1.cpp" | 166 +++++++++++++++ .../labs/cpp/lab2.cpp" | 170 +++++++++++++++ .../labs/cpp/lab3.cpp" | 65 ++++++ .../labs/cpp/lab4.cpp" | 60 ++++++ .../labs/images/Couple.bmp" | Bin 0 -> 66616 bytes .../labs/images/Girl.bmp" | Bin 0 -> 66616 bytes .../labs/images/Rect1.bmp" | Bin 0 -> 17462 bytes .../labs/images/Rect2.bmp" | Bin 0 -> 17462 bytes .../labs/images/blood1.bmp" | Bin 0 -> 73158 bytes .../labs/images/cameraman.bmp" | Bin 0 -> 66614 bytes .../labs/images/lena.bmp" | Bin 0 -> 66616 bytes .../labs/images/map.bmp" | Bin 0 -> 66614 bytes .../labs/images/pout.bmp" | Bin 0 -> 70918 bytes .../labs/lab1.py" | 115 +++++++++++ .../labs/lab2.py" | 164 +++++++++++++++ .../labs/lab3.py" | 63 ++++++ .../labs/lab4.py" | 40 ++++ .../labs/result/lab1-lena.png" | Bin 0 -> 303729 bytes .../labs/result/lab1-pout.png" | Bin 0 -> 211292 bytes .../labs/result/lab2-lena.png" | Bin 0 -> 311281 bytes .../labs/result/lab3-blood.png" | Bin 0 -> 338493 bytes .../labs/result/lab3-lena.png" | Bin 0 -> 354138 bytes .../labs/result/lab3-map.png" | Bin 0 -> 354833 bytes .../labs/result/lab4-rect1.png" | Bin 0 -> 70308 bytes .../labs/result/lab4-rect2.png" | Bin 0 -> 100972 bytes 28 files changed, 1158 insertions(+) create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.cpp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.h" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab1.cpp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab2.cpp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab3.cpp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab4.cpp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Couple.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Girl.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Rect1.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Rect2.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/blood1.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/cameraman.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/lena.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/map.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/pout.bmp" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab1-lena.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab1-pout.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab2-lena.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab3-blood.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab3-lena.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab3-map.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect1.png" create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect2.png" diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" new file mode 100644 index 0000000..d762cf6 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" @@ -0,0 +1,93 @@ + +#

    +[![License](https://img.shields.io/badge/LICENSE-MIT-blue.svg)](LICENSE) +[![Language](https://img.shields.io/badge/language-python3.6-orange.svg)](.) + +>说明. 最开始我用的 cpp 实现了实验内容(代码在 `cpp`目录下),以及自己实现了 fft, 1d,2d。但是配置 windows上的 opencv 环境失败,代码只经过了静态语法检测,可能还有些地方有 bug。 后来我用的 python 重新实现了除 FFT 的所有算法,并将结果记录如下 + +## 使用 +### 环境 +- python3.6+ +- matplotlib +- numpy +- cv2 + +### 运行 +当前目录下的所有 python 代码按如下格式执行 +`python3 lab*.py ` +如 +`python3 lab1.py images/lena.bmp` + + +# 实验内容 +## 图像的点处理 +### 灰度的线性变换 +输入斜率,截距, 进行一维线性变换 + +### 灰度拉伸 +输入两个转折点(x1,y1),(x2,y2), 进行分段的线性变换 +``` +当 xx2, f(x) = (255-y2)*(x-x2)/(255-x2)+y2 +``` + +### 灰度直方图 +输入图像,显示它的灰度直方图, 还可以输入恢复的上限,下限, 显示这个范围内的灰度直方图 + +### 直方图均衡 +扩大灰度范围,减少灰度之间的数量差值 + + +结果如下 +![](result/lab1-lena.png) + +![](result/lab1-pout.png) + +## 数字图像的平滑 +滤波,去除图像的噪声,均值滤波,中值滤波去除加性噪声;同态滤波去除乘性噪声 + +先给图像加上 3% 的椒盐噪声,然后分别使用 窗口大小为 3 的均值滤波器和中值滤波器进行滤波 + + +记图像大小 nxm, 窗口大小为 wxw +我在实现滤波器时,移动窗口,每次只会更新移进的值,和移出的值。 +即 窗口先又移动,每移动一列,就将这列的数据考虑进来,而将移出的那一列剔除。这样在更新窗口的值时只需 O(w) + +对于均值滤波,时间复杂 O(nmw) +对于中值滤波,需要求出中值,则这需要 O(w^2) 的时间才能完成。可以利用 快速选择的算法,在O(lengthOfArray) 时间里找出排任意名次的数,这里找出中值, w\*w/2 +总时间复杂度 O(nmw^2) + +结果如下 +![](result/lab2-lena.png) + + +## 图像的边缘检测 +实验原理: + +在灰度图像的情况下,所谓的边缘检测可以看成是基于图像像素灰度值在空间的不连续性对图像做出的一种分割。边缘可以用方向和幅度两个特性来描述。一般而言,沿边缘走向方向其幅度值变化较平缓,而沿垂直于边缘走向其幅度值变化较剧烈。 + +经典的边缘提取方法是考察图像的每个像素在某个邻域内灰度的变化,利用边缘邻近一阶或二阶方向导数变化规律,用简单的方法检测边缘。这种方法称为边缘检测局部算子 +法。 + +边缘检测算子一般有 +- Roberts 交叉算子 +- Sobel 模板卷积 +- Prewitt 同上 +- Laplace + +我实现的时 Roberts, Prewitt, 结果如下 +![](result/lab3-lena.png) +![](result/lab3-map.png) + +## 傅里叶变换 +有时间可以专门写一篇介绍 Fourier 变换 + +实验要求 +- 对 `images/rect*` 图像作二维Fourier , 显示频谱,然后作幅度变换,将低频移到中心点 +- Fourier 反变换 幅度,并显示 +- Fourier 反变换 相位,并显示 + +结果如下 +![](result/lab4-rect1.png) +![](result/lab4-rect2.png) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.cpp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.cpp" new file mode 100644 index 0000000..c5ba377 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.cpp" @@ -0,0 +1,193 @@ +#include "dft.h" + +dft::dft() +{ +} +dft::~dft() +{ +} + +int computeLayer(int n2) +{ + int m = 0; + + while (n2 > 1) { + n2 >>= 1; + m += 1; + } + + return m; +} + + +void computeWeights(vector& weights, int n) +{ + double fixed_factor = -2 * PI / n; + weights.clear(); + int half = n / 2; + + for (int i = 0; i < half; ++i) { + double angle = i * fixed_factor; + weights.push_back(comp{cos(angle), sin(angle)}); + } + + for (int i = half; i < n; ++i) { + weights.push_back(-weights[i - half]); + } +} + +void computeInvertCode(vector dst, int layer) +{ + dst.clear(); + int n = 1 << layer; + + for (int i = 0; i < n; ++i) { + int index = 0, r = i; + + for (int j = 0; j < layer; ++j) { + index <<= 1; + + if (r & 1) { + index += 1; + } + + r >>= 1; + } + + dst.push_back(index); + } +} + +bool dft::dft1d(vector& dst, vector const &src) +{ + // fast fourier transform + int n = src.size(); + + if (n == 0 || ~(n & (n - 1))) + return false; + + vector weights; + computeWeights(weights, n); + int layer = computeLayer(n); + vector invertCode; + computeInvertCode(invertCode, src, layer); + vector inData; + + for (int i = 0; i < n; ++i) + inData[i] = src[invertCode[i]]; + + dst = vecotr(comp{0, 0}, n); + + // compute fast fourier transform + for (int L = 1; L <= layer; L++) { + int distance = 1 << (L - 1); + int W = 1 << (layer - L); + int B = n >> L; + int N = n / B; + int index; + + for (int i = 0; i < B; i++) { + int mid = i * N; + + for (int j = 0; j < N / 2; j++) { + index = j + mid; + dst[index] = inData[index] + (Weights[j * W] * inData[index + distance]); // Fe + W*Fo + } + + for (int j = N / 2; j < N; j++) { + index = j + mid; + dst[index] = inData[index - distance] + (Weights[j * W] * inData[index]); // Fe - W*Fo + } + } + + inData = dst; + } + + return true; +} +bool dft::idft1d(vector& dst, vector const &src) +{ + //invert fast fourier transform + int n = src.size(); + + if (n == 0 || ~(n & (n - 1))) + return false; + + vector weights; + computeWeights(weights, n); + int layer = computeLayer(n); + vector inData(src); + dst = vecotr(comp{0, 0}, n); + + // compute invert fast fourier transform + for (int L = 1; L <= layer; L++) { + int distance = 1 << (L - 1); + int W = 1 << (layer - L); + int B = n >> L; + int N = n / B; + int index; + + for (int i = 0; i < B; i++) { + int mid = i * N; + + for (int j = 0; j < N / 2; j++) { + index = j + mid; + dst[index] = (inData[index] + inData[index + distance]) / 2; // Fe + W*Fo + } + + for (int j = N / 2; j < N; j++) { + index = j + mid; + dst[index] = (inData[index] - inData[index + distance]) / 2; // Fe - W*Fo + + if (abs(weights[j * W])) + dst[index] = weights[j * W] * dst[index]; //(a+bi)/(c+di) + } + } + + inData = dst; + } + + vecotr invertCode; + computeInvertCode(invertCode, src, layer); + + for (int i = 0; i < n; ++i) + dst[i] = inData[invertCode[i]]; + + return true; +} + +bool dft::_dft2d(vector>& dst, vector> const &src,bool isInvert) +{ + auto fft=dft::dft1d; + if(isInvert) + fft = dft::idft1d; + int row = src.size(); + if(row<1) + return false; + int col = src[0].size(); + if(col<1 || ~(row&(row-1)) || ~(col&(col-1))) + return false; + vector> dftrow(vector(),row); + for(int i=0;i>(vector(comp(),col),row); + for(int c=0;c inData,outData; + for(int r=0;r>& dst, vector> const &src) +{ + return _dft2d(dst,src); +} +bool dft::idft2d(vector>& dst, vector> const &src) +{ + return _dft2d(dst,src,true); +} diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.h" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.h" new file mode 100644 index 0000000..dab2079 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/dft.h" @@ -0,0 +1,29 @@ +#ifndef __my_dft__ +#define __my_dft__ + + +#include +#include + +#define MAX_MATRIX_SIZE 419304 // 2048*2048 +#define MAX_VECTOR_LENGTH 10000 +#define PI 3.1415926 + +using std::complex; +using std::vector; +typedef complex comp ; + +class dft +{ +public: + dft(); + ~dft(); + bool dft1d(vector&, vector const &); + bool dft2d(vector&, vector const &); + bool idft1d(vector&, vector const &); + bool dft::_dft2d(vector>& dst, vector> const &src,bool isInvert=false) + bool dft::dft2d(vector>& dst, vector> const &src) + bool dft::idft2d(vector>& dst, vector> const &src) +}; + +#endif diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab1.cpp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab1.cpp" new file mode 100644 index 0000000..2965d57 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab1.cpp" @@ -0,0 +1,166 @@ +#include +#include +#include +#include + +using namespace std; +using namespace cv; + +void trans(Mat & img, int k, int b) +{ + Mat img2 = Mat::zeros(img.size(), img.type()); + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + int n = img.at(i, j) * k + b; + img2.at(i, j) = n > 255 ? 255 : n; + } + + namedWindow("transformed", 1); + imshow("transformed", img2); + waitKey(); +} + +void scale(Mat&img, uchar x1, uchar y1, uchar x2, uchar y2) +{ + Mat img2 = Mat::zeros(img.size(), img.type()); + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + uchar x = img.at(i, j); + + if (x < x1) { + img2.at(i, j) = y1 / x1 * x; + } else if (x1 <= x && x <= x2) { + img2.at(i, j) = (y2 - y1) / (x2 - x1) * (x - x1) + y1; + } else { + img2.at(i, j) = (255 - y2) / (255 - x2) * (x - x2) + y2; + } + } + + namedWindow("scaleing", 1); + imshow("scaling", img2); + waitKey(); +} + +void histogram(Mat&img, uchar high, uchar low) +{ + int channels[] = {0}; + int nHistSize[] = {high - low + 1}; + float range[] = {low, high}; + const float* fHistRanges[] = {range}; + Mat hist; + /* + * images: 多幅图,只要深度相同,通道可以不同 + * channels: 通道数, 从0开始, + * histSize: 直方图中每个维度级别数量, 即将 多少个灰度作为一个横坐标 + CV_EXPORTS void calcHist( const Mat* images, + int nimages, + const int* channels, + InputArray mask, + OutputArray hist, + int dims, + const int* histSize, + const float** ranges, + bool uniform = true, + bool accumulate = false ); + */ + calcHist(&img, 1, channels, Mat(), hist, 1, nHistSize, fHistRanges, true); + // canvas + int nHistWidth = 800, nHistHeight = 600; + int nBinWidth = (nHistWidth+nHistSize[0]-1) / nHistSize[0]; + Mat matHistImage(nHistHeight, nHistWidth, CV_8UC3, Scalar(255, 255, 255)); + //uniform + normalize(hist, hist, 0.0, matHistImage.rows, NORM_MINMAX, -1, Mat()); + + //draw histogram + for (int i = 1; i < nHistSize[0]; ++i) { + line(matHistImage, Point(nBinWidth * (i - 1), nHistHeight - cvRound(hist.at(i - 1))), Point(nBinWidth * (8), nHistHeight - cvRound(hist.at(i))), Scalar(255, 0, 0), 2, 8.0); + } + + imshow("histogram", matHistImage); + waitKey(); +} + + +void histogram_enhance(Mat & img) +{ + cout << "before histogram enhancing..." << endl; + namedWindow("before histogram enhancing...", 1); + imshow("before", img); + waitKey(); + int L1 = 255; + long tot = img.rows * img.cols; + //get cdf: cumulletive distribute func + map cdf; + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + uchar p = img.at(i, j); + + if (cdf.find(p) == cdf.end()) + cdf[p] = 1; + else + cdf[p] += 1; + } + + int acc = 0; + long min_cdf = tot; + + for (auto it = cdf.begin(); it != cdf.end(); ++it) { + //map is sorted defaultly + if (it->second < min_cdf) + min_cdf = it->second; + + it->second += acc; + acc = it->second; + } + + double N1 = tot - min_cdf; + //get gray mapping + map gray_map; + + for (auto it = cdf.begin(); it != cdf.end(); ++it) { + gray_map[it->first] = (int)((it->second - min_cdf) * L1 / N1 + 0.5) ; + } + + //substitute and enhance + Mat img2 = Mat::zeros(img.size(), img.type()); + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) + img2.at(i, j) = gray_map[ img.at(i, j)]; + + imshow("enhanced", img2); + waitKey(); +} + +int main(int argc, char** argv) +{ + cout << "Input file path: "; + string path; + cin >> path; + Mat img = imread(path, IMREAD_GRAYSCALE); + + cout << "Linear Transforming..." << endl; + cout << "Input k,b: "; + int k, b; + cin >> k >> b; + trans(img, k, b); + + cout << "Scaling..." << endl; + cout << "Input x1,y1,x2,y2: "; + int x1, x2, y1, y2; + cin >> x1 >> y1 >> x2 >> y2; + scale(img, x1, y1, x2, y2); + + cout << "Creating Histogram..." << endl; + cout << "Input range low,high: "; + int low, high; + cin >> low, high; + histogram(img, low, high); + + Mat mat = imread("images/pout.bmp", IMREAD_GRAYSCALE); + histogram_enhance(mat); + return 0; +} diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab2.cpp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab2.cpp" new file mode 100644 index 0000000..fa81796 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab2.cpp" @@ -0,0 +1,170 @@ + +#include +#include +#include + +//#include +#include +#include +#include +#include +#include + +using namespace std; +using namespace cv; + +int mean_filter(Mat &img, int window_size = 3) +{ + if (window_size % 2 == 0)window_size += 1; + + if (img.rows < 2 * window_size || img.cols < 2 * window_size) { + cout << "[Error]: filter window is too large!" << endl; + exit(1); + } + + Mat img2 = Mat::zeros(img.size(), img.type()); + int half = window_size / 2, tot = window_size * window_size; + int m = img.rows - half, n = img.cols - half; + long sm; + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + if (i < half || j < half || i >= m || j >= n) { + img2.at(i, j) = img.at(i, j); + } else { + if (j == half) { + sm = 0; + + for (int i2 = i - half; i2 <= i + half; ++i2) + for (int j2 = 0; j2 < window_size; ++j2) + sm += img.at(i2, j2); + } else { + for (int r = i - half; r <= i + half; ++r) + sm += img.at(r, j + half) - img.at(r, j - half - 1); + } + + img2.at(i, j) = (sm + tot - 1) / tot; + } + } + + imshow("filtered", img2); + waitKey(); +} + +uchar find_median(vector vec) +{ + //return the median of an array + int mid = vec.size() / 2; + int i = 0, j = vec.size() - 1; + + while (1) { + int p = i; + auto pivot = vec[p]; + + while (j > i) { + while (j > i && vec[j] > pivot)--j; + + if (j > i) + vec[i++] = vec[j]; + + while (j > i && vec[i] < pivot)++i; + + if (j > i) + vec[j--] = vec[i]; + } + + vec[i] = pivot; + + if (i == mid) + return pivot; + else if (i > mid) { + i = 0; + j -= 1; + } else { + i += 1; + j = vec.size() - 1; + } + } +} +int median_filter(Mat &img, int window_size = 3) +{ + if (window_size % 2 == 0)window_size += 1; + + if (img.rows < 2 * window_size || img.cols < 2 * window_size) { + cout << "[Error]: filter window is too large!" << endl; + exit(1); + } + + Mat img2 = Mat::zeros(img.size(), img.type()); + int half = window_size / 2, tot = window_size * window_size; + int m = img.rows - half, n = img.cols - half; + vector queue; + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + if (i < half || j < half || i >= m || j >= n) { + img2.at(i, j) = img.at(i, j); + } else { + if (j == half) { + queue.clear(); + + // push_back by columns + for (int j2 = 0; j2 < window_size; ++j2) // important + for (int i2 = i - half; i2 <= i + half; ++i2) + queue.push_back(img.at(i2, j2)); + } else { + for (int k = 0, r; k < window_size; ++k) { + r = i - half + k; + queue[k + (j + half) % window_size] = img.at(r, j + half) ; + } + } + + img2.at(i, j) = find_median(queue); + } + } + + imshow("filtered", img2); + waitKey(); +} + +void noise(Mat img,unsigned rate=3) +{ + default_random_engine e(time(0)); + uniform_int_distribution u(1, 100);// 定义一个范围为0~9的无符号整型分布类型 + uchar mn=255,mx=0; + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + auto p = img.at(i,j); + if(mn>p) + mn = p; + if(mx(i,j) = mx; + else + img.at(i,j) = mn; + } + } +} + + +int main(int argc, char** argv) +{ + Mat img = imread("images/lena.bmp", IMREAD_GRAYSCALE); + + noise(img,3); + + namedWindow("before", 1); + imshow("before", img); + waitKey(); + cout << "Mean filter..." << endl; + mean_filter(img); + cout << "median filter..." << endl; + median_filter(img); + return 0; +} diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab3.cpp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab3.cpp" new file mode 100644 index 0000000..e297834 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab3.cpp" @@ -0,0 +1,65 @@ +/* +#include +#include +#include +*/ +#include +#include +#include +#include +#include + +#define abs(a) ((a)>0?(a):(-(a)) +using namespace std; +using namespace cv; + + +int roberts(Mat &img) +{ + Mat img2 = Mat::zeros(img.size(), img.type()); + int m = img.rows - 1, n = img.cols - 1; + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + if (i >= m || j >= n) { + img2.at(i, j) = img.at(i, j); + } else { + img2.at(i, j) = abs(img2.at(i + 1, j + 1) - img2.at(i, j))) + abs(img2.at(i, j + 1) - img2.at(i + 1, j))); + } + } + + imshow("roberts", img2); + waitKey(); +} + +int prewitt(Mat &img) +{ + Mat img2 = Mat::zeros(img.size(), img.type()); + int m = img.rows - 1, n = img.cols - 1; + + for (int i = 0; i < img.rows; ++i) + for (int j = 0; j < img.cols; ++j) { + if (i < 1 || j < 1 || i >= m || j >= n) { + img2.at(i, j) = img.at(i, j); + } else { + int dx = img2.at(i + 1, j + 1) + img2.at(i + 1, j) + img2.at(i + 1, j - 1) - img2.at(i - 1, j) - img2.at(i - 1, j + 1) - img2.at(i - 1, j - 1); + int dy = -img2.at(i + 1, j + 1) + img2.at(i + 1, j - 1) + img2.at(i, j - 1) - img2.at(i, j + 1) - img2.at(i - 1, j + 1) + img2.at(i - 1, j - 1); + img2.at(i, j) = (int)(sqrt(dx * dx + dy * dy) + 0.5); + } + } + + imshow("roberts", img2); + waitKey(); +} + + +int main(int argc, char** argv) +{ + Mat img = imread("images/bolld1.bmp", IMREAD_GRAYSCALE); + namedWindow("origin", 1); + imshow("origin", img); + waitKey(); + roberts(img); + prewitt(img); + return 0; +} diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab4.cpp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab4.cpp" new file mode 100644 index 0000000..d933d07 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/cpp/lab4.cpp" @@ -0,0 +1,60 @@ +/* +#include +#include +#include +*/ +#include +#include +#include +#include +#include "dft.h" + +using namespace std; +using namespace cv; + +Mat & padding(Mat & I) +{ + Mat padded; + + int m = getOptimalDFTSize( I.rows ); // 当尺寸为2,3,5的倍数时,计算快 + int n = getOptimalDFTSize( I.cols ); // 在边缘添加0 + copyMakeBorder(I, padded, 0, m - I.rows, 0, n - I.cols, BORDER_CONSTANT, Scalar::all(0)); + return padded; +} + +int main(int argc, char** argv) +{ + Mat I1 = imread("images/rect1.bmp", IMREAD_GRAYSCALE); + Mat I2 = imread("images/rect2.bmp", IMREAD_GRAYSCALE); + + //cvtColor(img, dstImg,COLOR_BGR2GRAY); + + //padding + Mat &padded = padding(I1); + + //alocate space for real and imaginary parts of Frequency + Mat planes[]={Mat_(padded), im=zeros(padded.size(),CV_32F)}; + Mat complexI; + merge(planes,2,complexI); + + // fourier transform + dft fft; + fft.dft2d(complexI,complexI); + + + // compute the magnitude: ||F|| + split(complexI, planes);// planes[0] = Re(DFT(I), planes[1] = Im(DFT(I)) + magnitude(planes[0], planes[1], planes[0]);// planes[0] = magnitude + Mat magI = planes[0]; + + // switch to logarithmic scale, because the origin span is too wide + // M1 = log(1+M) + magI += all(1); + log(magI,magI); + + //magI = magI(Rect(beginCol,beginRow, colNum,rowNum)); + + normalize(magI, magI, 0, 1, NORM_MINMAX); // 将float类型的矩阵转换到可显示图像范围 (float [0, 1]). + + return 0; +} diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Couple.bmp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Couple.bmp" new file mode 100644 index 0000000000000000000000000000000000000000..86290c422520cf644d2c7e5e2020689208656d5f GIT binary patch literal 66616 zcmb5X2Y8}eSNDAzL+qvPo4I2s+tcXV_-di3bg zqemaxWADB9-h1!8_ukw4TbpFsIeypm-7^VNb4 zEgp|+i9|w6CX-q!mD1Abw3f+av}`u3<#IVKpU-Qr^E$7i6$%B-Fbu6&ENZ1vNqgPb zeO;|wE^C!aMXOe;+UvdE>uI%GO{>@I+Uvjm>uZfhLu)ph+8eyV8)&UoOKZ2=+8e&% z8)}_SM|-0;dLymd?P|SVPkZAxeq*iQ?`v=JCU2q*1_SN?{Gb1$4TnQ*G#Y7d`lfHH zjmKl{&ED+Iw8>voEEd{Zzx7*d z%jHsgo40uzZM9lyZ~L}ytF6~-?d{&~?XT;^wTp`j?Y-XXy|nj!@AuYj+_<5=&-=WOcJt;5o@*&!Xe&~m4ckkZS zKJ3FjOuKjQp7!A%{^8pH{lEWLyMO<__7NZP5!y$7Z3kN`{%Cw#&uXfM3*g7%4@_=(ylebOgs zFTVJq_Q{|8$=auU%BN^Az4Vgysh|3(+NXWmr)e+0{Id4xpZ@9EXMDzIXs>zAYqZb& z%+J(5>$5&f`|QvDZ0&PC=X12r{oK#hUi;eDYM=LcpQnBP=YPKT1z+$5+82J|7iwSh zMPH6dC>_GMqDefgJvx%L%b@fF%ve&ttcU-eaArG52Rf3@~C zU-LEE*M9BSYG3ztU#ETj*MGhC4d3t$+BbgVH)`MXP2Z$_^EZF9_ATG?E!ww!>$hs( z_HEy$efzh6yY?O5@g3TCe&=^;-}PPJrG58zf4BBM-}61%_kQp9YTx&L-=}^5_kX|k z13&Ns+7JHV4{AU3LqDYb@DKm6_9H*?BifJt=#OeY_G3S${rHdnxb_o2@e|rl{^U<; zKlM{TrTz3z|FrfqKl3x%&;IPsYCrdLKd1ft&;Pvk3%~FS+Asd%FKWN^OTVQ3@-P3g z_A9^gE84IA>aS|Q_G`bU{ra!}y7n8t@f+H2{^oCLzx7+crTzAA|F-r!zwJf+8_SmA8LQ}M}MUK@gM)O_9uVxC)%I>>7QzU_Gf>l{rR8& zx%L--@fX@({^eh4fAv>?rTz6^|F!lvfAcrm-~R32YJc~4f2aNZ-~YY#5C8BF+CTo| zKWhK%VIM_HX~D{rkWFyY?Ud@gLfM{^x&c|Mg%0rTzDR z|F`x(|MNfiyvdWV8l_69WK@fll95Rz6Y*p;91H{^iA({X%;!@{UHADzfq>7sPq4VU zoJpJ8W;!5pTtBkA-Is@W@W7od)mrU-cf49}x9j<0xm?YctLb7ko~@Ss;cPS3Hbyv8;)h#bJ6zcD{?` z`zEt>f8XRRH0rHRr`s6JmaC)je1-VLh3)F-WV79@w(He;iGZl2KOJ{FgZ`+~84Nq9 zVl%K;J+R)?e6biUZ2i`is48BGTL&Y(A%EM~L$e7jjp z2E7R%J#1jL95%`+zsKXVo6UB+)q){7!{L0PSZ}n3om#syoKH7LC)@2AYkssCuh8RS z#b4g6j~CnJYBgET7xVdI+--N-omL3T_PAq_cr+|`98R~78&;lVh6@DrFp@|0SSpjj z4H60c(Sk>Mj-3TQ(ugd+$tav^m1B32tv>Ywa_hNOtT40RJ?egg8n3u9yW9jqR zcz`+1rrZv@Yu02xxkt$3Ex?a|56YBAd`F`MHh_O>}*FIWS#zvcdFIOw+8y+Aw~ z&`HvIBpmWM?RJ~ZVYAuo4u{?0a=B3i%O4Dq%_H$_p+g^@oQ56cbWAgL>R3$DM}<7Slm-*z3BC@u=4w%qPp`da+&}otzw# zbGOIGM`y=JvhJ(J@pdb^Uo95vElQrv$L+Q)R4hk)97AxW-y2s`8paA~M zjoXdE`9hIIGIcGqWj@odWqy3?@CG8ufY0mk#S67Yr`c$9hLiE6KLk@uus|$-jrA?J ztMz;hu9(kegBfdrlb#Y8)|(aHu3jY;yFNNU-Xg^r;bJ>3yAB@N9)_kb&(2OxHs063PlVx zZIz{h26lIwg5b`vdVSNjpcI>6kyopV=It z4X$Hi54uCOtIfpj2{>$ruXJQ36Y4MlhRs$BreQT(Z4Q^!hNeVWmQ>vISn#WR`}wn0 z*oQBLfJ8?)Cjd$mTyKeai`DAnXg0?q2#QBrJQs`I z5GXdw^?W;>;3Cs`$4CXSL$}*{Wd`BnGsHPQJ()%J_meG?skc2jJv*6iPdBUO0#h4{ zY9(_lCbJpwPgIP?PLEHHPWcO~V7m2SYc;Axnf){KEDX${OwLGu56 znJ?rr={#8@m7$;kNR_Mo;jllNj7R;+pxGOY2=JID;SRvFm}9B)&GL8ygaHCczU2Rw zZxRVu=2KDAc-YFvYJ*87fbHqqiyP->XGFEWLtd4mk3%mfIL?x=$2Ts{Pf!mbcHZmv z0Y}UA$2GStyiKnN%X3CVgg8 z*=noVXjZG;POsen`XRlT_L%G}SYSJ!vwEzI1VRbbSjHNkCN3PEoU^hx#(LFjEiTTE z7TwT+sdwYz{QQC_zbT-OeN$pS!Ng|3hQ)GmgfE=Q)2+xZ{lRE7o3C&+k_ierJ>38| z0Akx+4ce^+yDBuIcX0chkMZ)7`f$XF)Fx+B3o-gsp9+{zSVTHo-J1M`DDJHVDtnUo<{(PLrb8>~kq^tE<V@&yCCH}cs`wwTN2 z^T~_>OsltA1IqPAv)*nuyX{tI&>aHFK)CbebRk%kv@jWsaoW{lJ)H@DSgrX6*^y*G zdOX`;&1IKua(aGp<8%#3tcU>|=)=XZ*GE`#n_xbSXt7>l?1BW8KVfJX2T!w{Vl30~ zM8)&}5BVkPU4z_18!M0Sa3k`dEAm7!z*Cqj4F@-*P_xxR()9blD40RDUM^5nPiAs@~iJ2TFkpdXtCfE2iC~bziX@do_Z22hm7g=1!7@pha_<@o6qI4 z+%Zb^N`=y>U2h_NYcQKn#?(9n!RZ2j7<2(JltrX5aN~NlnNL@%ZU<$|R-4lk(!u%B zb~LE?ef^`88^_@2#iGIsIykHV`0$ovayuY*IYom@iT(0iBK{Wr@y$~zB=FdfRj^*; znWtX5e4!%!PX2>khBR4Oeluu52I% z!~S^C>I}!=;_-AmUJQn##RLN;C`<>FDPV3!H7kYU5hdVS@XG1g=?T=(*~xa%uct!g zImv7}81x4%uV}jhY9PX$o=6yBk*DBliXKoVUccbUNhL?e=NJP9wVJfMeaoxKujG(V zvi<_OcY>LA2>Fl@Nbox_hds|o`)ojb`rA~*{H6m831Jvak`H@LoL{6~P`eK&(;+}* zf+@_@E1oQHgc+WU2h507WI%!S^5T!rju*p5KAf9vPmdOqG3{E;YH@l7C&x>QpHo(U zvzb%k%&-DUD{~x)Iuo-;k5CXK2GRs3V6i^^A|HQ6=tF)n|HCVYV3Goa@Q?o=h_&ef z`RRkl;L+|dTS*LXB9EWuRqvrzt1nq9*E@a0pRoR;G53ajJQ5rz5sFYholBUaPV4pu zT|q+|)Npcsak@P}J=;#lt$ezNJ1l!p9o1IK?2N5QY#W6X)|)XYV6njHC4XW-03Sg; zqw%oc8d3nyhysi0|LXrDKJp_k2Cx%xAHlJGi}_Ibzd0C031*x5k%;!7)8&+c$z=mA z^P8{24qg55fi;k;HJiiHus;}dJK_n0L66KZ0wAIh!LAdD2qS8l*=#x$NI*Rgf)xx0 z99m6!^-O(rcDn30yMy{*?sK<^^|zR!w>jCKtOng$G2RCn&3cW&WP5Wn7nr!+lK#mWK+7dC8YIZ4F;6v|jwWCsKoIbH zCY%gFd1(}24&4#t=b^_xE3{ci4+i~# zXei+Jczt?22?9tbB3`dA7>wjfM$+x{=sp)jizjRh$-<-Vpbr=vBdWk0NHAHl&X{GV zFkFzTNPj>gf*1LV+LlZ)pCDteYNYiFAbHlQHM;X#7b-TcPk{qG!*EFqBo%H5{A54M z0Pr@I=W2Vh02mVts6N*lo9)SQ3JBtZHv zy#c{_vK);uRZ#DAMhF19;L1QAXkD>ezzpd?K*0=r2*PQX)BfUOb37lls*UNb^V2ny z2W11%9)}S-fB^wgD_Q|WfMY-cADy0nhTv5JNsGB{hkqs3?NYu{fXJ`n`hKujHL?la zt4eR1*ghU%f!$^Oor#bQ19(Dyoth_GC=`o@a)DojHcr4CrlLW=2TBO1h?flfA_%+g zvN`?n9+C@fGaV0!ae{PFz;Fl*Tuzrq!hvDFvq^8%?!l0QkEv+qn<)VS5@*(H8u9XY zbG#haikK6f}IMn4iAxgHsS zIEJHC%fPU-8&IuA9aR(Yyv`1P#adTUXaK~1EK>K zAP>UupnyfM+Zy1{XWLQDD73a`EGx+YZUe4^LP~HDpnpCeO_l-*sg)@RPpJx4L=e#u z-?e#Mio)LMKsp!lp>)7Q`|h|70p@nvoetqeZCL+KenI*6P}nZn@Ui@kEFd?VO=Pl# zOx`FLvbjQ`LQkPwEEMzUoKY=Rji41;kv6K`TGQh+GnfYf+s z4Md!n!xQpbl9YLHG<0<{scc^TD_3i!vSB1r$e%4#DhaoTCNU=E_JtcW8bIRg{qCR( zJJRfoI%I_2kR&)H3Ze|+9hCKQI&8NAvr-e{1eD7YETUTN4W`1Kb&O;Uw2W2Y7uX1~ zaskBM%+{xr3P)$CL%;*90GyD}YgB|#19YQoZt>+OE{W{&v9kk#zf^l-_q)$5-sXbK60yPuQ)R-l7kEuYPmyX3j$sFp5{ zhzvxKF<6lrmsN-AU$E?Jf(l4?aY8*rbRpw{D@RkoQhe9xQMp_RD$6b(5(`KNDk_Sg z@};^=q&vZYR$&{E-$V1vW_x1&_l;(~(Wp17j3zW|v=i&4GM!ae@O;t8r=qD$)hJ|B zA)AFplQ$4=QTjpuVCSqYp^$%Y0NBK6JRgrFF;X5#ga8(i_ONh41^n<4sHs~4SS6aH z)n+zo6!JX^e!K(wCtHf4z;e|>V7yExA{_iC_&2FRBY1O4sRc$nCwK`N!ypDB(ag1!f|@lq#bIkBw)&ZjXfo4hvz9>(UUc zD)N&O8r6)~?$T==D2N`M9p*kpQdR!a|3n$A;&?nHJYu@ShC$ZQKtqn@7~nnwHuNi` z=KKP@M+3Q#ZA>TaCY8XbBYlR>NTMJG6ulNy29lbsjtByTjw5`ZCnC>`$8(2E>|ZVw z@vcyxT75nty_7oYyL_SokmUyhh&ovRWY|i5aOE9x<1|X;LbZX=u$x_|jPZmeM!a^f zUxWRvR*G5lo4WHI(mZ~iZNK!u7X^vN*Kz@~!fPe7JG#v>h5^(v3 z!)+G9RoL6DK9VWxS5hOsP#vNG)L^zFJAhvxpQyu?j8FhQDZfcFyVI*jpnYNX>V0%T zR3G;H)VaM@wN@?@2#Tdzr9J4CbiL7|S4Gt?x&i^sZ~?H;ct$V3+pA$na8v_%^@GWb zmKo3(i%>r?>b1+6NUV7Q#km-Dt68JPA`>zP{USX_etIFw8us{2ss=Ftd}cHxqx7Xm zC`}gtu*m;Nc#%p3!?-0Hh>f$niqPOLj1ST(1t2m%K;u1PCmB4k{s*q>5NUJ5^LX_H zymzryC#k_>5Esg&LaC6>XNx6a!3!?GnE$BHJVKwiJb(lue^IdZyWIh}A6Q6j&>I4f z$!tV@Ntg2(*kIUh7>RJIbFl>x&Bjfm&|#s4`q%b zBVwD1lQZdO^jge8gpJvSNHrcbONA!25=)JTOYM&mkZ+FZ41o~s0h0z)Sfr5Qm;lwP zNQxpFJHkE$Q)0`4?=FHn*OmOpD<&ZQ4(0m1x83jXf@SlhUJ#wje0hotZgqS>*ljwt5m39)+7xf$;E-Fp{WiAZ8|J0`A|#?|AAcY5r=9< zCIc`pl$@!IRYcvHy;!}h|4x1hegbykv9JSxE(?nac)+TD{=gcHy9tI*uD`?Q4+MNZ zYJVYsTpo|d;RZWXJ^=b1aAFor!$u?77s*zdLVog(07(LX_r(pE0s`qz$Bad>tOJPQ z#b^#kObU=_h%=KVJKa{VR?5T@owJ+p87t6nrBEEKNF*awKThZ~l1#-5L=#{L>5JnG z!%m~ws+LOmVzyK*7R!!oJ*3c_68&o3ufrkogM4M$3K~%29_EY)Ry(AL{JbTY@lg~$ zDZf<@Gc3ZyNGK2r`TW69kn#bn>vKbkxgdV<3GoVC#O{t0`g;8yLr=IkGca_BM}3rm zI(XFt0zQw4^d!(huOoqUES^6fkX+lfd@_<8-`Fn5l?20TuGA8cIGN)>$PG;Z;Ukqb zp&y+vVbc;51CBI-BPFtMtx&4CqS=I9OkG7hCAiqOqqQTIFmam|frAfQS~d{>5TjVjr-9Ap=&N zOd=E*ze1f)+8eeyz_A)$U*=~^l7))Zk~F6+RW5Ys3FKJievi=K9YBP)yJ&%FH-Ii_0F&Tzw?|0B-1#y1FVw<76>KRJ-*qD% z)ays{2Bi6Hj;)l_<-vL~?zGV6c+_rT1QPZUoLD#*%=+UI-)tdso!3;Yg3fAg$pw$2<$+g=t$DRMb5j@yp=QD)EndZa;AK z8UkLaK&`jJ0we%|zq0;v?UT_+?W`TFsThViknlJj^Z)WQo{7*{5{4v<6S=#hhAt{7 z7o`#4*P%fJ9{K}*JwNP7`Nt13irNANbQ<_}7o{}m4fW6-nR_s5kQqe{G|U^_CT7d} zS4$Z^5Ug*(h0uZofMz9MXaf!S+Y(X~jOg%GohDxpUCFeHW5JySa1Bsov(>7*LoR2~ za<%dzzr=kJb(arC0X!mtaUH+{yTk)rkY@bcf!P~$JtM!Z2Qel1g{&)pTf#QkjXenP z0r^Cfp<2ivofd&VU@#rYGV?MinOnV1wa$;RXi_vX_$d;1L@6>r#|?Ib8DI?jK%(-2s<1;Jmhd zWMx@J`p5Eve6B0p1EQaVE~i^aL+sz=33}{LcTo4k2}T}jI5VP?cNYqtz+!Z1(w6h_ za3UFr0TBXBRu^OfdB7}oqt))P-Z*ztYDY43tKDKm8F4$*&`k>II+9B{-K|sPc009v zE*=WEw$zW%yL0Tlk~bPmijOIdr}WWLJ*HQ03&5h9LS|tPq_;qKiS{Cuw0z+VxNUyn zK%~@_|6FSISzbiM{DtAYvVa2zNKTgD>y*`(7C_ML1PnfT$!v(l=JM+__yE~0+HRvE zaE{ThX1fYIo=qnhB#uM^dTGS>YpiBd>>bH4$vVUA*moPBpfF%ct?E%N^BW8WWBH(wHRW4`l^{tCA3W;0$=I z?x5;tD;@A@hkwMq(Fw#SP)#Uu{vRN?G60to0Lb?b?KE;MPs?w2*;L0+w1*;~xfv>F z=!NyGwTV28MddQtB$E)KY*(UVl}eDf-b8%-8*6V?Yfwf_mS0$e76bHz?Hb?h;0A3> zgD6-bn1s`lGol?WbD(aIgjShs=8W1-86>~~1VK(D{mn+x0gFKAdq^}Sv|==L69`YE zsZ)1boH2*UehmRcFuAX8Obo(0tPnmb-!T|W_+uj0Y2s@Pz~c7UpON3}lKJ7?<&aB)jn+9T2v1%*YKZw30$SQ|ku6AQpvq?>kKm{xI% zkvr@|eyIU>mx#F&pIa8#0#0+gtWy1<6u_XsAB^B|Uo>=JcDn7)*#Ch;kdi`7%mUB~ z50dO0(lS+EBF}2FIGK$~8NC4(&WFKWqQ{ZqkE5TyP_k^a31V zLP&Qo-X0IW@hDgvR#<5iPzRMEUaL+~4r?r-uEm&S1*FnI8Hil3HjGB4R<5B36(L)7 zBa^JmZr>PoW%)@o(BY-(8d z52*lnZ343XSMpokq$;-k2>fSRNq%$!rJSW`76W0YgVXv5AM7Oa(c7TYHrbHPq zBw>Fizr{nU4h5xfwb`kIy!Zl_03hWjBL6e_e{auAwG*N0iv+^#ouKdSb7L`5^GE{? zzJyBxqs?mf)oVo}9YTXe8%-(B8ntqzQmZvebP{Ut#I1H2H84SqlAw_J$$AaoQpsnE zz4HfaY6hCcB>fRe886N*uzZni2oS{j*=R)c!j5f}KNx`EA8JPY1N(P4pU(gEj2U)JkmORacq|!DBr-IR z0Xsf7Lop83LKH?xYD$~8)nMU?bv4y5f|kfpnvnkph1Ckdf%sRVT0wj^6x8933E!aB zW~H1?m&f-XG%6i@nV!dd*l#q3tDEQK!$p^zpc<$Ui}V7-6~I4jblmCS2Q&J~q)3*3 zHe@^};7vrHuz#eYw+l!Qmul%;r(+n|fJagQ(jq+G4+N5=FY&=_aXo|o_x3DCg-v8k z{TFD4W-|FqE*Xi(!a6&Q!X6(UAmoP`Td+Fi!iN->i*I_n3=l?E&U{ZQ<>-)Rrmx6Wmtc+{heLNB-g-4GsNqYZ(w z0dAt&0208XNvEBzvJXbin+lnc4*0{Tom93!AZpao#&8L8$tEf#cE}Yn*#Ki34v0KH zi~Phti`)4${@>d(<;dxDe`GUVfw@~e>(e`LSDOcdwwVu|V8+MFSbVva3& z^I@H|Q6&1|$s_?88$o_Ess8EumxoiNvwEW~W?O^sM*s?7$VRp=q}fXr43SdYZkY#( zH(E>wO9ZScs-TP_AmwP8*DFnGMt+@GAaIB@K%5{~K$;c`>0~t9TwLCuXlk=HP6k=n zWYnD=U*2F|j+!6h6at9$&t$v=`f(%4jy-g+VdIf7rjQAQj){+9K5H-{)_r{m(6f5e zjrMre?N;;YOfK75ZfP1UCI;n?i-6#A$=Hg?v2GOcjY^p^y$V8tyQ)e$EEw!0V7r>p zIlns-FvmJGCOGMLYOT@9Gp`ZMAQb6P8g^#LsOC9CoHyGrbfj6?QYMr>%Zq<>ZO=1l#HSw zvAjgYMgqzgKt6_^%cRoTG=VXZh#Gz1EW^;aG>eU;My*jNA}~8pMjM#CM8YNk z0u>c9iC8cYGkTX7jE)VuZMp$06Js{@!SRD50I!h1ta5+K4!a4%Lqp`~4{112I7%7W z>0%=M5|u>PC&P@608>B;{wmz7ESu?$T%F&g?YfwD&|Hsxe45BFtMBr#4M}umhVOnh z{_nYuj?PaP?7`|V0gz3knfx!|<4gw78W#6OtV*>+V9gYV09ydAw9M5)_nS2QiBgR& z7*H4*T%gtl5|AJ94Sw0k#3{Nwx%s^<t%TWBF&s}<1#u^k#JUjpCq zieyg&G6MnF6Cm|IMGp)`vy0JV5bXvpm&#W>s#!=!0(Q%xdvGp2l5yRp8g!)VKyGw; zd)V&wn(ao3<}+CWy`$L*zb3hq-Nn!>QbJNe6E3+Kvi}(e2>7q$-`!Bbn(e-Lwbvdp zg*#+3*^B{{(_43n;9sx|?oVaIZyp>veC}8H|H0ti$@b#zgZp=Ho!`BG=fNGq(#6@? zIm2AjQ9T(7Cxv-N4~;^$O9sR<@Qylb(`eJb(A{;_kznm-p^Iym9mXy*oE=o}H{_?IP(koi5ZV_Spf!;$ZRA zyREijJUVcPl)98UJvKFCBH#grUG;RKR7?fvIr18V(n-#z{Qy&P2^PsjWv(5g(4Yn7 z_0f0<;u_&dI$JDP`3JB7W8+cPD)lyghQ2%PLk8B(hjxbmAQUOmivv*id6O*}p35R|OCtM*XkOp zx!o0U>G6p-@5Dz31a?{2bc=yWsHMf(jf>;6^Ha7|7eH^4|7;YrO8!He$M?9PyRPh9 z)62VeFQ0q(A}Y9ldGp5Y7jE5p{=sv1Z$JP13lCp-c<=5l)bP^%mtWr23`K>_$sVr^O!MOwu`eUPLP^D=^`-1QLH;e|_Ps5*d{G#vd<5>B5x+*!|ZwB6}I zQg}$w>BAI2T=Ez{9FD~j(X{N!WEe-HYZX(+iq*njExw9>hZa{LRbS(_%vmxfwK+Mz zd26*WsA~bg$j=6Mr7w#o^osbuXBj+q|MKj?a}S<>;koDUJ-B!Gh5Pp(y!i0pOD{cq zjxQfP_reP=z5Mb^_r~Bunh=~j0mLEh;pj|_v|&JLgg59?74o?}XHaB}Y>opN=);O* zx~0V$qQLTV%f-aK4?^7yQ4#L1W;r+1I^`!*rpzq6nY-nCGQ;Q*Q*dJvK1g^OQ4fY< zafGL_C-8T7aYtZ{83HfTTFK1lDMv^!Ld;gn?W!#PFD0$Z-O!3&%b={@&O9Ce|h=f z&grU|WgIfg7Fh;FjFOKI7J^H4aIFmUs__g*xfEkJlE>>1VU!zi zgHhPrp=6V$TW7R9#Ru^J&FRIB8Tn7vK^Q)niy-rOqpu8r`}vcbw{P9Lb9w8GjmXD0 zZ;}aa-n=-!#UAEPy)q#5r&7r@z2PWCV2<%f>ZAhI4`~WMn2nM60`(vpCt3VVf=;Jb zEM1J2S~?I^JrwnTpJD=Y;CoQBuHaxh_7I{_3&boZWJt#v(naKkl;acqXBlCUhOXF? zy$HUpx2s8)T7S_2W`O)IUoc+sFzI6UMe}T01B5{&4BS$-VqCPZg%@We#G~u441nn^ zj&sEHHe*<9vsi5?pNuj*7u!Me<$gAa^r?6(7Ky|~!UCVNxg2xS1Osp$a0mlvbpXVa zJ2|qPzS~to6bp*5gfc!;MaV6md>lXJ!_f7~=`g0~8l)2*Qt=idHqztfGQcPU9r7WB zmfa2%qr2EhV*l6lKS74&_XP9`%q8BTTEM6hB}y-xCq{f|4`DG5&Hk|L#eU`rFBi17 zD7Kifoiy3>k;)h)iWjN^qtqVA&UhN+s3DPvC#a0$DMq3)48}>h2Mb(-|CMn;@&H92 z4b(8FPf$8z>#TkV6(BTe4MUI+uf%6hi8C_XbW)KBO&J^BfUq*XB;xKadA&l-DmAcp ze7DW{Jhe1KfII0~ecAA0lM3CP)`MOm4kIiC2pnFlhX9E6^W<*P?%|=;7YV!)00e;- z065s1W!JG~J({-9oG61q?xj5+u#mSH?P8B1i6Vg~R;wB$>L948P}r~~OwVwN=f zFb3644>Lj~{tugs*;719BQZ!B?eTcoawPH32P{nwHx;_;?XDo;pNkn zR@8S)rpc(0llG68{q7?7OJD~Bx%F(!OQ#YN+ry2EIDw7XR0Lq0NyY-K_JHo&5!+9i zKtTE#wPq>A=$F$%EJ?BX9~v9`&lMU&nSm>oas;r1!WaNw$p|^*4M)|8RR{p`W`@f&JR`3RPzT9~u$1@Gzaptg zrdKKuyOsN*n{Fv&QyRJwp6ti|Ho*Yrew-W)aD%5> zgsxC?9XrW)Wk=`P(<^|-Qp4&X z6rV5!`KGKemm6YNlp#wlULbmqCB*z)VJqpj+QdFH`Eoiz3ICplt#GBVkUT z_m%eVDZ(Mye11S=*2uvkCv%PdxL3u})9G9#6%8k&5j`4ZJTK<+ zxpbNhD06NI)^u;nODrmJYn61^!OKv6M!jch5X`u z_80`7-&tb)ZLE-je_{q`V()#90D3*q{yd4CyFf2XFELO_zY}d| z+t;$otzSWRnFM!6-Ut+hghnWSDbACD98$_Rl5Dcq&F}BfaU- zcqA6|g3ukz`ccp#z8?cXdeMdiL-BhB0fIh8^IXy1DkXuPfTx@v4WJF>3cH5=E>)|~ z;tq`KgyMBY{vp3CzRBW1`-u_|oQz$e{4f##uzV_S)M~1mC)h{PKmaK6snr#D1p&He zt41vBZ`G4!23loAp;hhCY$$qdHWyiyB?LoCCq-IffC^BFz*Ghc-BPww(WtTw*$@!< zt+53DFEf<8c;~0J#yz%_s)*q8vgOtT%8w0~Ns(DRU$!o@>W5bNDYmgIuihCyf$l06 zFwx`-rhKyYJj9M3cZQ=m>LsdBx<;dVI?N8{V5(MPe2W&W>i&rL69$}4@DN(Ixe%$$))0v+kns>lb%eqGhVV!l!NNnC)i8#oG!Y#u@>x+?Au`UN!TBWfOe-#i4VAWl>*q3F9J>C zdLUgNFp|$85@TC5w%PWF1^9tbfD~kw7b+tLWGunsyV}xzwaY?`f z;}_xWVRhT}jN~c3qJtfFg{U%C_Mjj=Vctpzz%!I$1;3$Qtb$t89*K+0ve0VviT%|6 z;tEm-2oS<27)=Z{76sN4uUG%M14X@qHvp0=JGip{-B(B5ipQ=OyW`E#+379n^z*)v zC=asvMmp?wWQR>Amzs4ZmQsmWfR~2~_>BExr9f*A9r1-;d4z;1RZe^SAlr7 zt8%1|Njhn-qZ+r}8E7u6Sig9c$gXb0#JI2QekU^kP?V&;$Q27Ux?#(cn>TOYxqErm z6+)uXOt)&un8%f_1=-3((-O2AO@w!XD;kN~S(b)fe1Y(*$e%3dJ?>yar|_Yn!T3HC zmuyU9oPo)sNiTu|Rrrt>XZE_JDr2$5;n9Gszp7N!OBZ)N^vQv=vf#4nq(;mD{rssL~XYLlu-tX_pUQGr^2QNZ4w>|Ri_TJ+v6@)KgR9=$PL99=+G-Ms(sw4V*= zjPBPO#a1yLak&$D4suK;bViK<0A4Bm`6mUiEFdC)e*+QMQ?@T(9K=f{pTixA#j^kXJCM_)z$NFncWa_|!XK+dfi zGj}#wot#6V-MF}OvCzfdg_+=m9hxJ$pj362u^1<`mFNQ!O1Xjh$pHQj?veb*`tuJe z&@XmRha*Ta03{e;Hpy3%XW@*9(h{SfvWP^jx3naq-CkNl=RON@%jD~{lb#RKLm z`R5#{b8&X-_9eXyk4H~N3rr*w(@{UwbRqBa=(Mm6y3i#Ui-g!e7Z^b7SKJi^_(IP# zK(R1WBwbC@b&16z>Bi#p#+`c)UVQ1LmtXsu2itla=0LJPa*1eUC*6anVyp;?0}u(7 z0{A40Czm}BW)$BSaL6U&!>9rjC}>#j?@9$gFbC_oY%%%91LFR>UCIlc{JR7)#1rDnOV9S}D29{*Yl3q=Z$l z*c_m+XYeobALywXM3h%II?LlbcON|en%BPk@{5<-hK}EWd{qzWs!l(&=ww5h~ zW#t_pU-%X1bW9Tyu(N%F=Xvr1NQV+d5=dQo#&;h+_wf0bUb?%gh5@z!8nA=Pqht^q zfW=J*ZQSlCNR%q~W#xI*5(&gkoVk>QCdu+5Ggwfneq{^N3LpT|3JkDlsp&Gk?+qt+ z;}x)LOm^@@Jlo#Bm0xfP7$l!c9#Fuz%kjI*S=D)9a)c)IRG>R zq=G;KM9Z)9Uld@oda^-6{!V;C)vm{>lp*nt|7MFPpHssxfAnBR9+DeN`=dFD)hHQfaI8Nzn#JO%@zik7#WD=a=CJ)S1DIGy0ubf z(7xHsle`|{BK_{dy2Lp6nO?IPvA|Uc=RnGg!grJ_jdFWZ ziP!oD2bD6JR?Ak}hVBphWb+^FkK!#<{u5^1G3F)M1ny`zcA<$>wE>BW*WJK$j34;Pz+;<;9{eq1U_6wfnu8Y2j6)W03`lN zKoq$J3jzhXP50*u*8zQPl8*qyNQBL;%ID?Tb_zgvaVlb$!|7?34V?lbXmD(IFc>C| zGBVU@Qmr&Q<5o5=gLoC%Qqa>m9n+VdvTCLZgyA3z*#!Dj14#ac$qb6D-hJWWDI0v6 z4K_jMsi62s={mB;DCjq=|uo1=LrG` zh9HQr05JhN+6s9}H_ zK2e8g&Lo0*ENK{ZMrNn0E{8}obDbV-EBcVKnKL50UnKxY^&&NjSkeB0BXG^IC`02x zlgUHJ^yb60I^nfJpPzAHIRG~i=0LbO{~3*jNxB7Y8>h{TNTy2kh(b8ZI1D_B1#-#~04eJT-+v;Rr9f$@~ics}gptt|LO zUZ`xbwNw2G1W*Q`9M)AVAz;}1Nl%4ID48qe#nEI>v8hux>g{p?_>*REyVPW&e9+;186#U!Luia; zbqDQs2+K!!K4i226JWtue_Pc-u z^U~K!#zFx`;o~XhkirQ)5=DM!!Yr*t){AXM&ZWzD{>$9ody7_)5xEw5k`4BI9Gsn9x#Gy+)3nWd>EIYHOWp*zF1> z>g^U&>UEZTxZps^^=iy6E15Sfr6Uo-DaX2{bXqLJe9QVP{s#G3egeRg>ra&7pb(d? zXKFNinRxBiuzZ^RB!FBj7>dMGaYom0gP0y;Lx9T@&xSohXn2|L;y8-54j(T8Km=FT zL^@#*1_l_cBaDY6ems9?3)lckK>?~b490u2p_zkvNvNzpk=lI7am$R6vFarz$&nl3 zaRN1DU26?1e!n-6X5xSrWTnFCYaA5C`Iw_FXST2}g~6;gn^f6P(o9MBo6&fA)?NNr zc?xj#l>D-07MC7QSF*`Ok@13x!C*tNSOfsXX{ECM2{u5|@+Sny{9ew8N>rCtj?+c> zF(R_LWS6veNbSH=GEym#UIjdf^%4q2bonp6aWxf!h7z6W*kw}HnJOLNHZ!chYiwrr2gA+#}?NWf~N~I`+Xq@21`oqsV z=zJnRbt(Z+mPZncyx83Zti)@XDA&{Vd`!=_`@J#^T@HjVfB``9v3MF4Bq)ue*gt8^ zn=oQfxw4*QmKdcbhpI^QyM9V;ePuS1(D6k|IO*NR&vT&)oI(yAmGtNHC0gT2oF&*< zj@;ODNmyuHNONTumfvBff(X|dgu^Hyd5HdA=89<>3R zg&5BzU@!}S?4kthpXL|sbx8n{r=GZW_D@qTT^p1Q$m6CFqqmtC1e8j~qG?!&SW4b+ z2z~%eT(S+0uwz$h}^!(4B5a9I!jz z%$D=P>WG~a%x`g|qPz)FM8rpUY=G*Q$g0A>yvQdNAVn)p;SdY}2RU}CjgG;q4te!d zB9n;)i2uyJ#n}TLjVgSjL@l-Q^M)lM2A=bM9G1 z4YKs)K^$K!M^T_M;24P2dXo;nCF_Flq+*Xz0)7z5%Cgr~`dAeihh{4wzTIRHO?RcM zoUzgAwrf3(Oq+4Q=!BEw)|{rxdrojvJB61=mEk9~Qy;!THX)T}tvErQ> z@B3@Ta5gSNdz^p^H+fCUU`PN$z5rh#LSzoq7pryWV<~54=~V~~fIeA&G5!ij77Jig z4fn~1Y_rLvqYU=s`}GRP>_OFXUV)rAJ?FUT&GG3G$48#Z8#0`oZq_;jMsmEhAOOk! zlo-6eXXU5Y2#e?R1`@!HbcQXvd0rQ?vE_%;Qtj|Ep%{lj%GS7~_&@T;rIAMhpt1;a zDoIMc)6paG`6Gc^O^24zBObfcv?mo;6^L z6*zj2qgaUmU|6y~DgF9P0DsGkDjftEu`KXl#85{!J)&vPr?yzp>>QS%s6zD zo%16$e}VmFx>TwlDOp8)rGUrFFY4f&FsIueGuqWmo;mj7uDz``P0;LPPRUIP%kT#q z;>02{MIEdr6P$2MiAuA4ZU1OM0?fgIB|(s8SR$GBU5CX(FBxNpc_sOY3fOb%)zg*U zbkmA?_${+envFOaG~;X}5+Ihv*_2hXpzN_>Gf|r%%Up)hT8?(+c;oJEza;^mD49`ZKJ*a>|T*5a`Tk<*I(>Al8pxP zbFc$r<{VWvdL2Y3Qvbcir*`ACO-N^ z^1qf{Zq0gzp`jvgaKh#{`KufN9P!(wWdMsVK#DXX>uhJXN}Nh23$1>m)2_v7EsFtA z0f-J5$DFLniDEe1TAnNmde*}`eW*FG0Aqndhzk6LonV4Q&vg16oIOfGdw4j7ww8+I zaDN7@DF6UK?4jqy;QuH=20R*U$_zmRaB-BWFNBYkyR(zc`T1&de)CM;NA1>z{go%1 znJxti;)=|2$sH8&tOBmQ;lLHa`ZByVBN$SG4TnyZYsD-}#yhPE1x^X2a;UvfNn(4T zb?EgZXS@`{%vMW#=(-9rTa5EXBwk&eF6yZ;JS4>B0nV>hUa-H?fR_mvf0RBp2VRX* z7^sK7O)C)xe6jix^2z<|xhi7>GF-};;S9NC6Y^G%*dOy;kU+_Jsy^Xe1dcAwZrnaQ z+pJG-UnotSHszc^kybuSbbWkX$D}8h-|mSp;+@TN!Y5mNF~o`l;B1aFv5cf_Talp; zHJ)b8Rk9uy90ts4OSQW7tY78s2_lnE!ybR(gA7I3L_*Jim};J&Do&XJv&c> zyAKY)KwRKpq5VY(QWyv+;0`7Wa*7n3F7rMnj@Wg((^-N#2QssNp2`RFuQY+a^mM3o z*#wm3tv)3hGfZT&lSv~+voqYDbK*X4%r&3!216I;G>DGp91^DNU693N!LN+qaSXT$ zhIXbgBZ+u6TW3K5V0m#u_>W+OZD~o4K9P6SjBp4%!_h`9P4u5LZs@b$2;GGV!9S`r@h#sRx1r2m419_jA#mSwUC%jkQ`O$*we~}>Xj2Dyjg0W)VWQxOIZgL_t zR!(R&`^wE~N)8o9ep!4J0n)N(s_ogWTcg^nQyuqse=Wth1A%xBYycP`5dbLRk7UZ! ztCLNK6K&#|C^ItYJiTlBmu12afsg-eDyP`vFcGeLAr%=(Mi#97DkT zIzPpx=N^ZMA1@akCH@YSE4x=(PytXhaHW8&=yxbgK|oKW^PKC|;gnb2)PSk9axS9YwTA)I za9CIrF#@t9QB3DO){uWC^7C$E=`f9Gm|Nf<)-QNhl0E@Ic2skgM!S)tCm~aJG=FS% zoCs7MOomY^Z+0oe*uZAeB`4>}kkl^mJ+^;QiMX&_%I&W|Sw((NJeezjD&ZM{-IY?a zS;?_+oma|o$!B5?Q_Ddn|NVeNvXIZtc`5-P8y9xZdr0>~I^fn^b8>laddyo^Y&q%% zUQWIB6dgV6pC&uJy>x^Lgoy%ytuC zI_4w&!x#FXK}C9hg!n9nHSo4|Y|4-wE1X`JVMtWTc^t-N}aA!9u9TKs)DB6zYO zv5wgn4lR(a?KL(-u!WmBcZff!Rsn289Z#^x+gMOja2i4>PUQ=?EWlcxcK5dk3yEg$ z^u}m;&YRsV7mGoykXG+QyYJGYbW@@+-eU%D@&|dNEtad*TMm$)V|z0eep*0(_7(tvi^L>=7z;1)PgcbrO2a8)SnN_~9zO?rP%PvV(j$|X^tAUYE%1r& zA^ny0@0q}L9F`bJri>=rk?}XcM^m;w5qaTL49>_*>S4xNJ#KfPRLus#LvA7%R$%v} z3JE!pPs%rSLE%IT#ongYJG!`Yww}zVeIvPhBj&>przypvjMGZXn?R_?40zq{Y-puv z5@aI)@5YDs3G@@{gUny8lKAj}Rh4_BN%jD3Yb-g!0t<=j8=p7=Z}`lZ4TgIGmqjRu*`Ci0}%;-ZoOR2 zhA1m>0rpjb=$U#?`=kjd6+9oN!|aXAlleFko1b3XzBpM=7^Dw4Rnx)7;zy$ih*fsT zP(uWw8K~Osv}#SFC!AzKl>FVOs6x?Ub0>)=Ys6rmD8~&D-Me*sbaDF*Z+W?CZh0TohOn;t<_ME{Y@iY<1un%A;BA^K-RaD}f9N1(lgnT( z6#(Ys2|@hjvMhpNK&-z3B2SS21pd2uUlzuxCH}Mc5#I8eZ5Uvd0vB_P;`!H$FD4)z z8o73#xue#9rvM3Igl!x1-@MBmr!;g3y&P51Ri}7V)p}r*SY@0&E7fW-;NcCpsbRy} zQZB-_2<9;Ot85)%D@-Pt;K-VrcOE{xd;9LaTX$}que)2`%5_2NoMukr%1i}Fd zGtT%zr8Av5_o=kahY2D6P`&^jRrNGTfz5V_At!-8tRsWWJ7pBK!3R?s~oc2eh+x@}~;CqY35o92IcB`~PF=-98-KyS9J5 zuYD?&{XUtInMfj$m@&;MA`uZ05fLIHM1%+tLWB@SQIw)6ilQirI%t~3VjV1Q7Wct@ z@bK^)yu1g$^XK3_`yIT0!uuIB-Rrq)_3G8t-K)F4yRo^(HLd{|CNYPFKuSV{Wp;UK z^w&MdCr*|@kqGeuMn++E6Ud)%ZN*q+IsfGjC51_MN;~NC2E?W!SI~P&{6qYIadaj~5=IoD z$;0sX5c3-8(TbjhKc0#PPEMC5|KCEB)>Hj{%4I+R+K_d`H)&Kz(w002Q zsX-+|<&pkYoH4a0n8B(yNE^Nxw7V!grUa9vYY=@uk^D3AI?@MpMDuorP?Hxu^}=CH zP<&p_7fe7Lw6h^}7(bm+N`dI(;`|9#*Oy_pemExsWi8i!En77Z#%EEuH)LN_TgZ#v=`_F%W zb(egxuu(F7M=)8WlK}lerf+o_cE!^55@M_jz{L+@eCV&>Vw9+sBYsdOAOkPa(E_0` zfgU_12@MIbj9Hs3Zr0uK#kV8c71I6nX0-s8W&~o?2?68WY{i*3m74N9q1x)(9bTurRluhpnwKN3)~0cV6>(pLfj2udaY3zLlEWUFe@FHf7r z%5s1HE0qs?)6ZM*t5W&X{#&$WqBcexsz^DYPlpZ}48J#oP* z@IjE|_;H`vO0Rv%mnP@I*Jxc?`Rs6j^8MdV{7W+8C-_2~+;PeKcM7N89Yv*zKs`jJ zDye{KmvtTfXSo6L=g2nj1NqbCPZPJmPadqF5RE05`}&PO8fs@K5y}8lh7qFTQq-UW zGksw`GKq@G3o=wlwMY=o3CgEz0J6m*2+j8ff>X=_s`6aT{^oXJ67-*0yti^;^GP7S2L{ej+QDKlSh_f6u`Hi1V`ocV{GnoO2lw z`UWh^9&-x0GOpJ`CkSrO9VDnK(uwE5WD06XxJjE=Bp3Hvc<{(5)g})ug+S!9N6KD7PrM0YJDO* z0Iiwi1LO>@D4Z(EfZZX2K&RJFJ0|r)RS8%{82Ml1f3Ta=HKfA+lrtwp>2rm#jKH zzrr``0em{Pa(C?ir9WM1o7OB(29EdYq6KYKMXy=vNt$H#sPLAAUvzk+x>oPtwABI8ujYc}4fRI`&x5gQ2 z@TYjk!$RepWFxFgz(V9hJ_B<|1IkMLgI)P{!3F{=dWnBwCk4Ww;h1C5vm6Ph@Kj>t{?X*}H%jm%&WAZ8CxwmNjG88gQWyqDGai#~{9zNdINB%)d6Y zXb4=MYz(W94hX8a#Way3T99CrM6RfKiwH;N?5j{+Ks}`LrH$5zYXHom(WNCx7^wK4 zU${U3tKE5y5)B%q7RS+AtE3W#b@OEk^B$&!C!sh}F~4ZhfkW81|5$$zkf>lYZeNJt zpUf{H;~}gK;MVg^7Ak+F_3HWFx@3ptAFy!g<&fw9^($~XhM*Ckh~;fMZGcIZpMb|n;R87FJ8DoAG??-5AaZiQ6$#edgj#B@S z^auQhqBRv2r$^#{0^lI=AE1>rACL80*IX`+weZ7ta=qksSidG0Kn$SO%fb%htb-Yb zRiHsgF^P*R64=P{w`4Bmay3S!3syOGH`9!|5qlGNAoK)kGaNqMa>N2W11+oOweoDU z9+pEV-mn|lYm5{&z+IY=4os;`Q@qZr3eA+qr)Pm;wL6?7Tv`(Kf?i?p|FR=QfV|>j2c=Xs94DvYNC{VFT+SvZ5QJno&{mx1U=Aa z&TP3o8rK|!oDZU7uBs!PgnT!dsXTwtojoHdh2}v}&WNxULuBOr3$ae#zd*A7hyaQ{ zAK@R~&&~z6wv9V}c=~^DfLsGU_;s;?RxThBfTX_G`#-Y#)k?R@s7;nXf@xC5wISBc z^uh6LHa4k7@C~K6g7@MA;EIcY=o?0&gftws0A-{|6sl}AUn&b4-8FE@TxvNUdLCfx zM*A!Bf+;gV%3Ac&;j^8&3-#fkmlb>T^*pS%jW7y-^!|N0V%~_8SUwWfTVp2W4Z4g^ zOh)kkQa2@XJSYAYK%Ftgte=RI>6J+}iuM1se*VzI?H8BNH-6r-gJJ^232^8VaY^te ze?J4FgNZVe9j6m!b56!MDnqwsxD8qUYHVWrcmTW!EkM5o-Vmk{odyc*01qLU#PhH{ zamlFZGxmDgt7V~)`G`$r3n&@euF}2bY=OjJzm~9{QopnXkm}T1X*rs27pbxtg#m|O zu)(_viuOh-oZmgcFxqnY24Irtl6Kwk#YI1J&_fhdK7karrPPQe+rC zcB&IF+F5D`JG+6#c+zF&PVa@zQJnC_3q`!*chcql$%| zZXjMC)b(l{-V53z`gBpbba*K}LktUeVsy8lB&Id`ZA4=$E@~7P8SXzA6!_=)@g)UC zE`Z;{zg%>@WB%R0j{o51twqW^VA=9vZ3Pd43vhTJ*hwi~WB$H;I=b*EjZvTTpHWH~ z4Vno2G0zh?U@)4_#)d#J=$q(;lq=XFM@G#QXBR;fgCIwMIN|n)!h>{8674bZ7Y-$f zF0Y?^O2zxq=BX?;yX`Q8VgkH0=o9T$JfsCVi*LvFcrxmaMnf**JDZQzwr~Q~_^93- z;)@#Xq5=k!RCxcapVa5r28{p{;1~P@b}ph6so0qu?cx2)npm>@`*Zbw|3EhB@N+yh zv48wFWHoJ`SecWbPDae7ANHBjB`%F|1^wY-F)0fw4LAk};mr09v zU|CW4xu7Vd7d!I_;{NG4TSIu_5QtLT;6d2T6CYUjVcQP(x@CAj*=Tr1; z;ug>vlv#zfQc4L2y$)K-*aiXTsoUj~%(txSaX5ucj|QI?$lnEL<|5f2W`o=rt_;!v zml5ZYBSGB{{kmil3VXZ9pBI2(iLL~q#))uHlabPmHj?PgZmm-Yg1duyg8xfFk=`e{ z{~qjxl1!>jD~qzC1u6cBg*)7U!}kY+D0^Vt0PCK7mY56c`|T?Oo|H0y9#Dlfg}RF_ zwO%n%yRw6l8Sj;l)wE-4jr3ZgPZ~N zcUWHi*31mkNi2r%;>1S&S(vq22>`68 z&!_V0CGfK@06X>Z!_VIY{Cn>71xjRk*4S~TPxqTL&5Pk|z5Z;znASw%3^<&4*PSIU z>h-$~W?8lw=1JhMDh|7%C`e=3Jvp?Ka$(OE4bB2|Sv6lSDrU-w**ZFf=MX|lj%y33 zcYdx`c}Vd0n1DMTO&R&#DVGB7w4My9jL8X`1f!!yo%xsiqbWY(A0l7QAA2HyWH1K$ ziDD>Py$(6mpXblPS_Bx&=3DDO?8dJPV7&mo6X(azLF~D3`~Ah~Vjy#d0eprdnZ*RU z(HM@V%lWh+PhaXGg@PkfLuHp7p~J{p`Ywbo5piCIEtklUOF%;{8Ky1sa6&Zu$?KO? z$bChn%?|wKm6-#a;`xa`)kjrYXD&p0$VhZn+p6b0uB?`f70QKF&>XENq5;m7;bya! zMq81>xvU>2Ae^)iIfh5V1toUE`v2YlG3k6*E?@pDM{ivK`DuQQ-^fpj`R5bD-(y=i zQ!bBYsQ+~^Y#4YHq$^E^PxOZ(soDV80T9bmi}0oydd8@ZUXQs^V55`-7?e!CM#RsU z2nB3lrlTHEIuQrL@bdt~M6(Ajo2ZP@@hF61TJ&wfbgotRBefh$77PZV@Tw|B)#uFX ziAY*6rXv-)eW5gREjqoytQC~(M`}mMf_?Gl`eT4!ZbI!$;1|K$GJrqtzubQLkhLDR zK(4>M0XBfR1Ky5&j{m&~)fVW+@|QK4#8JoM@cx-S(is!~tw*L*;K27`-lH{-XG9q6!Rsf`8Gi*2;MW8ll4*9FWe zSDQ&ils?h8&BBml8*)j>d@%=Xfb0v{H;EAAuw)DrQ#?zV5X}Ns>@Qy>QGgs4J^=3A z$;qX(l(=aKyTA#?(xJHGJoluP5UMbdLXcXsC$A^NX~BxxY66VL^yTi$Y0HgguO$4j9)P637rq#g{-lzi z8`&RH5>~RW0snQ8)16Iq8Zx;3NOOa5QyQjEM$#Tk0(Jo`ND>2zLkxkJLRCr223bGn zPGEd>C1b>dq`~)S%7E(IA+k}nu-i}p@;jnTcKmWlaSv8otQZOcjb*Eec+MSl=Jc zUjjgue~dJ!KAJ-wA9w5J95s77Ed8NWq1x=DhcK-snf;Jq=#ON*lw;WnVd!Tlen?*i z*rHqyw_0YQrJlS@|)O~O>Ul!?}-nhIO-;isGi7A+^xxw{n!0 zDFj2$1?Hn7CI|?J4n>nrH01F(S(zMra^?c04|(H>z$Fp7z#rC!MSf$wME9;M%H5Y@ z18J?}5x{s=lNX=u@SZt;H5*SKUAQu(R4BzOik9c=DfruJ1qv}?hO~4MNa%!;3vng9 z2gYjCo)T01a>~~6a|1Aew6;i8eb@tQ1LQf#9`T8_6{LTxpWnm!zac|=?$Fwu#TqSG z0Rh-@47|>$P6SZlz!7x>P)N%Q5Df688GwTXCKTlZ$jiVWAdLR_;j1%>p)SilA&qi*YEL$s=xaY+OtWfACTsF0w*8I_FGhXx$v1h_tO`?;z3LXY&h(iUd>0_;HGj#P~^6mz>1(A&J< zY$kpN7FeWKuguh$!Zeopz@ zx9k08Rxi@nhp0PbqePCOYzARq)5v&fNp%MksRET?C8^6~G7y3}1aPnxWck6pCtu^C!zyj?SG~mL50FY`ikwED>X#!KB#C)11HP zC}2fT?GC;Y?td8L8VJPW3`LM-9$o-T`(WJ$Yd;RFw-(POuzpHDp%@_4RbB)B?*v_| z6L6g>nGKj%uPfuII_RxTR@?1%$4rT)%s*w)Ii*el^Qa#kL~H|1;Z{BbzswEtA-h+cJBnW-^oum~)gk zyD&AQip+a#GYy@%Lk;?}S|XIJ6f%+OVzc7%&n>H*jd&&gf%ipn#m?bW6JeK+_RL7k znhR|0fLH)AfGmq;$_L9mym<>2ur@#-P(eSe^XGRSpV<&Xa5KkKCNwu_w3B9PrW19C z(mUX|9*IPQQVctUzkEI4&YWL9 zAp%Mjg{)sD5HXbr9Yh+;hV1>KF6akkPTFnwY&M%=7DjSwd*&Ih2O%gpP|)$NycrtC znI{%6M##@Of7*TU`?3chBtRrmy#D&-TuOEk0MaW&Et6&1mg=Bt;0m1q1HVc|O^qe1 zMkZRB?6%AGYB`^;Rx9{_0{(z|ogGW|&z?rYR{9@}F%{8T+F=i@A_ykn-}mHT2G{^` z5{E}3Tfzqh;ON5p?c-Mjw3-dZ6(*z$i-_JpY)#6)OvqvOM=6W^PP+>nG@{uI^}$A; znLSC@*;mo$VY^YGO~eavdMU!OkQ$JK=iU>jTPYwhz6d=~5Iy_y<gK`y&)6Qu`sa&-Ka{1S~8MXnL2FP~dMf;@n;xO!s(%gpW5W-?;irQ?@gnkmL=V z{0u2FAU=xLz7T|$NJ3}cc}q+Cy&(oDF~_E&=TosnO;5)ga7k$In9Y~-%`zSFLz*I_ zrn`;zPu>?mubA*3?)IfTa!F>b#U9R|A093|KlyVT z_5D=FIp9+v)1ri-a2c3C9%r6S8br7=pgPj+P9{^xO|3x{XM#d7JR;m$(>%}r< zJri#-kp}|NZa!ZwHyQX3L=Xs{U7X@n#j!@DIhFV?E>l6RD{xqy7=S|^TtXoEwZppk z-um=_lYBY_vC(%EKOA1%CR?;!~`6ItGsPIxk zet#%YWNx(9ZANUiY&@&hTApm0f?lPRi8a@EdtUx-zE~_*G^q>Jjya)r&L1xWb7Wi} z?7t+Gf3a$Wv$6q)^A~vUuy$+tKb^oY3&8u}!dO){f(oDa^n-YS+!A@Yhx_a6J=NM4 z)0uM&@lq6oy%lr-052H}mCS0it&A7L8YMTHV$Q)2*hv1W<0~kw+-&dh7n^nexunvj zAhC~lLSc2$l!wsGi4W3Zgnxt7?(?-)p*ZY?F0P=wrRt4zwVa3~$$w(??E@;Go6T&# z-b^yl07V7~s}bh)xIx#vs855k`J*bjK)h*|`0wkN=YXZ-3$u=0HbXYy@cacXlKo*& zB0Z&4oN<|_j?fT~3uB9!AT!2-OeZv#0zWsKTv32Y)V81j3Xm-q(PX@$D1@1xGY*$KyVchP_ zscNpcxGetXLtsx14+OzkWL!?pWK%C$|C!z2X6365B@eJ*G68CVGccI#_09ESz~DP2 z8jT9mGN+Lsy4^_d8s4*$i-_aoB6xP;vgb8LL>^C%x$|;He(9XX`C+ZEkiCX84}*y@ ztX~n=@rD#O0P=FC+-Yg!UexZ*rj%l*=8k8R;Y7{I#Hx$^X2pOOi$j(b`zI0#E`+pc zqk#7rt(`a+fB7w6kaGD95#!R1jV5NM7rZ#% zE;mHnuvUQ_hP{4&K3}Xi`@8*e$;57>(XKP;oq63*VU$cCY}`a4MkNx=Q46)FlJYQ} zXBQIsdtfH|!)PdZoESh+LzL=06xNe3pB>>4^Tlz1Sd~->`JQ1V?sjLBs@ARhGDS5+ z{F{rFhuiBJ62F8$*#DRh5M7XOz*QHH&x;KRe73`c54nU9?hdHA zdRuSTk{&(jp~G)7P1FA_=nrrqaCHEwQ(qL71{lSNfVzMA zemU-6>$mJ4FXQKjbraZ}U)LXthXUs}8sOMeIQk!%B;_@hj89?~4t}2NPu_LC*=#pU zrk6uhFQjfUX+>fN%xoCW_ijZ83KY4Z?AjCC3hht~RO zM|YBFi}A<4kZof?nN5_6{1gwA7x4G_AMC!Y-m-eu*6@k7ez^klesd$xaiSf^2XmM* zm@hWP^bz9?Io<(H3|oex?H5C63(!NG`2WeMS!}n|b6b&2u}hmzsZg$T2ZQC^-LhwT zu+TB4fanDo6%`|lNEQ+7pn0A9PM*M9;|MQ5f8&NkRT_d2>{W}6exubjL&1E=9WUlQ zT1i34n)b?Kxkjut9L;A)9_R3XwBt+uPyUDd_Yg&TLt(}=sF~2g^T|rBJ8nGy$pOS3 zT0bklAfF#%UrPuD4|s$JgiT75KRpVc0Wf^M`H~xl&}Nr0QlhiGz1^*bZ8)CwR%bAo z5&M*La1GAT-m052%T}v(s2g1)OFJu2M-D{jYU)VIER&2t%1;^fvX)_x5`{m8pIve2 zP96YLBO(|}j!`W(`^`>Q4=Xv;djq~p*i0y!8CfOQ8i2=iCh!J!(;UK%)IDIm+iXrB zzz4ifc?1mRNG25)VlCBL{!araMGkrOd}HMT2XQwJ_ut}wv3^28M(AJw-83qGI>!;z z*5sSh!GJiYGoGzzWVpWDFk7|;9jrYV&*!6w<~SnOp(Ik_Td@F*hdAx-{rg=veja5; zifMKzBA8k(5d{!Q=PEU`5Qm`?33?DOu+!~m`*7w7g%BIWIfRnM&ZyBHRHCuG9}MZz zM>k76SE*zp`Utt#)tn?~d$Y|b(uBk#a>1RU>4Ul-gaIE&f&xw6FPOG`SVw-ae?kO1 zd~O}Sg$Ev%|4F8-e2~f)@ju-psFI2_;1T&%9;jt99Z>^^{KY6a)_=VkF;uk9_~PM& zKx~q}Li~i`AJV7`2(I_`dzwC;pSEKy7|C+Q`t6Ap=Zwo6!`tRCpZ zr&#(-*7_4N8bOjDae4n^01xJ09)bXUzpOtHl)Qh(x3ALwfH3MMWomxrE0I8!d}A@6 z(7)L4PoeGYZ*Q*`6KgC$qu-y=-7p^%e95*Uh2NYS&y*^)(YW4aSlX%&wJVuR)rN~9 zq^&9_7R)4K83==HMU*Kz*n8;OOfvs??)Annk|9qZWpoCm;t+yYCTgP!;*5Z?rC<{# zN`u`F?<3*ZZkvt;!C^&1l4r`j@q2w@LD|g+vN;M)m9u3F{_`0~MjIDL5{ET6bQTJpXe!#tvW4IyV6@hLy`QAVk> zc>B~qyKQd3bTzxay#<47;s4TRXEa-qjZUWsZ#9se(u)W;q?qhoh&BiHQj#WZtub1y zA71-(fwxnN5(K7%wH;zGqDqr4vkB<`L%bt!dg4Q_4SgYRBG>G-f)VIuS|LV-jHX_O z*`&*QHf~I}x0@{!J$VD0ObA^uE~vwGZu9u)HiP#Nm5w6f|3pS56|t1Utuz1o0vucb z8z9pqVMsYQpiet^{=wGC=|pdVGd`UC`Yzg z-NARpgI=V`$p2yghv=jHHxqbx0kRqV%RsmVALNo>fO8}TAvL}O?8~w znKk8xP+hL(V&-&rx7}{&q1oPC!~Y}trIyP2@%;j=D`Zg&C}LOBssj0+@eyJIWgiYf zo@EWJ`;YmP<-__AdaR`b{Y?U(iwha+LbVpTE^Y>Oo-Q{|Sb%NrJQZDf|(Fa_E5zE zRdD)1#urDv5bQj<39tfRk3}8k#)%XmhiZ0BpOw-l@1v>R-H6 zUMcAnxh3NckY|d({)PWba}Bqh4Uk08dgr`xae!h1EN}?h!1B2!-z@ew5ih|X4*)Gg zuxa|RK72$}zXG~D81x2X0*@gN9vesppH72?5~ug84poUGrQHYC#-Lv{VFgrR&9C;i zk9($v-re4AbYPBft~=XMOhXHxL_0UBty=^X85xBW2u4)*H@g>YJwL?TTFq5#k0+pM z@xU>{C}D3no~_Y3Y))Ye?$-Fg)y*aq^&zB#sJQd&lDd-A{bN{v0ssU%0c+%jA55He z0}dB}+aUK}vOvo^uzo(VyuVD@0KKrubcj<@lXKROVw=A)6B#wevDd0?=$|X5Bk%Xi zF#%0Qqr?j>rU*;{KNDa`hxcsOdd)7R=NlBHpKtH3uV+Ja)SOCbv7wESo>G%82|6W8 z`Goh%6H*wf74ZfW@yoBwlOjD-jA||215#~hrKJ1R;Y~&ZN+N?Ap<+y~X`W&L@p^uJ zjV1%E3Za^w(M-<+@cI=nnE+@ry$8v#fO!J?%aY{@uvB^L*7~jIf3SWW|Ka+7pa$^e z+u0@4aV!Jq6w(4DM2x$guxYb&hk&>L>d{a zPVE1Q0c82I7uNC1`o;L;31w?OFj?dCS2XhQfXBQnFR;YNZ+2&ftasS!u`0;$#2&W{ z2%dLa)O!i{YYobNNG|fCY5!z?dA?8`fY08&Jl{ROJS>X!EDm4T!Ag0*YP47T-KbS> znsERl#$~GLhq`U2S46Dw9gB3Fs*Ty%@V_s~o z7wi2l74`$56aK=2cDaZn0{%q6D}*|tA0-i&QR^1kVFkTd0$HPF`?>K1KN1~Sum6h- zTi{2OVi9q@L};JysZyVRP)0j?`k@#4nndQfTfm+N7-0V{_65-}G>MTQ+mmr*4cONE&` z5l}Lf@iavWTt{^yrP>3`*A6DA|CTfH^5*(}3m&yxOs*I7KT7i->$jmgi(~C*GeB63GH-|C4!&zplENJUM0S`m+h*17!Vz zhJF)ODt;-1#XmZ?U!8IO=M?cS5r{uRmH{FRuxAx68?7poy338GDGVp0(qjW!b!l}q zX^*1!m6nf^R%wh!``sFr2qcjrlY`);hC|@yb$~Rf6%9+p6l9_%E`tu^a;1=PpMP^Q zL{l{WiuL)mo-n)PHhpE38Ul*SfhJRCC7&oS@9s&*iGSy-E&3;b3&20_GaH3-UVb1N zl<=Pxe3DC5^#JA{#F=HW;tDzB!@}h{SjW%jQm~Nqe+khoOXPETh6tiGlrbFyVHr<| zhfj@zk-)%zQtHGTwCh>g9pWi8vP#nST{I~8(+w-L->HhjP_57#13jEBc&KcV5NuH9 z30B6~j>&RdEok*t9RxvYtAqpaTO3C=C6#5O&3t!1PG%`NRtrfzV-y)7jg~_qRi^4< ztZwe<9J}69hF+%v#D4^U_&*yV1PKuK9~dYUVeUE^P$Gmh(=j7CEQ809%lWfjZoF7Q zKCI&>-#t3G|Cpc2Dva8pS6c!La3$t#pi2bYG6eXhy4mjxWaJDSgz2CO)Il>2Eqsua zs9kbKqEJ-e6%;jMW)yhSg%k!MN#%^Gi7}My^2On5j4)S6?{v%FELHV-oyd{Y27gQKkrDQy;G7p0KS6(&OkGC5l5Mu7dG7$<^t zpAZM>6@Z2t5AgQc0C@wve@XV_{Y(7wKg(w`zM+pH=l?YkI}*rG#HR#Tm+`P)s8U8o z8^IjG-Ii03cjC{@3_?E^pv(l09?by_x<1=pFz7UL4IJFr$f!fxL=z ze#tw~XlF#UNJh@Zkt``>_^FZ#>J>{NT7{faHeVx#n=eMC7A=v0S`CX}(yErS3EfC* zy|$|F77cnWcv-Z#6$|8ydNCYNvPJPS80&03T}^w#;W`-<{8!@NQ=3;{1fO5Q3L?+S z;*m~K>3@XMB~hR}ce(bMJ9?kw23UkoUc*;${)hFudpSGu*LV}s3Q8(I8!QSE0cEtB zFK7dRct-MfeS3X<%OQ~Qbr=i9L+FtXVEM%Ku?@lil}YgV980D(nxDfIrxHk(iV!_M zy*!|NF|GHKUrtb&i)!_HXV4R#LpF-gV!ZdfEv1U+xt5J&K3*_rH7RQxX;sgu)%nfi z^$rgV0Cbm*xP|`)Y2*xLR_?z$5G4up_|fi5O8c*h0T4B933V}Zyn~;O9@dYo#~|B+ z)`XS*geOI}Oqv05V_fc~*H8b|CzvJi@oK(ojz^>31n_@%cYSxaMP87A2sRA;OPv;s zr3Nt&?FyhGY=wc4Q<2$AnH)Xh8bU=hBW7|s0*NBrQZlFen|X7PI!__f9Py^Gfn<+u z=8ZQy^M^lPI+<7su5H~&%Zjvvj@yZb0CJn zkV#*1CIyhmXiV=T@Z-(h{q=GtC)OTN7#I!EHN#jF4p!=w1|1vl083iAR6sYen4%I= zN{WV7mXj|*jfN0iY^-+6c0XGHJQ)ufS=DIJ)YBeJR@aZe|KqMzFT`^O(RDeQiDql? z2RbHFfE9WRO7q*h<(}ft92-RZLz67W?mj12kq9^t^D_7d_$$c>6dYhY#e@W6U;#R< zC3E!&aV?G?-jGMZK9D1aDfWE$P#9E#AC4L6dPy$|01$5AhzS9~+zi5%bUja)fwtS; z-t8&=4IwAd3kfq4rCCu)sIvvch}9ah5uyR16_^^2ev(XJ!}1F*if155qBNu<(%I>0 z)BT3h`e@qGiU6e3>GFR6^4lh>H8X0yQIrRfRf;84aYog6Jk9-&>r3kKo6Qnw&*d@| z@-tFG3X2Rs^MQjp{RuDSPbvSVD)3a}yljVQZ z$7L_lj3Ri90dzSa=bwBgv;qJX$r7ZbCzL;KZf|eFpU12kE+oJp*T2pSAZeu_21bO6 zCtv_cp~y7R|6V9jB4!2~jX(sD2J=}F)`Gm>sV(~jw9&KW;iy&ZOg0ZMZ#U~^I+AZe zEH(;dy`0Ocg`%ARti~ux!z`%AV6_EuLXcq8A1Be|wuUI!Pk05g5B`{w3R*-4k;fFA zCQ(ofK`J0!ar?l52frt|zx{L%1Wd#RnhT;~ojBH?4=%mzz~S+K{^mTs zXv_WoRG*KpJ|d(>`<~1xI+OqL%c(QefonE_}he&6ogcpx43>^fh)e}2wh5Cra#!(qer?pCZ{`kiq534*d z52u!?X^C_tS24+db1B7f6)t3=QMJr82CYdHMr~+8{co&B5g#V{4>(R8GLoFi3HdMn zFN*(-gR@7ZH19k{wUj@rlw8H96|C4q7tL zPmYdV$tl^!RN^1xG?|IE#{+KX1DL?*Y*Pj9^xE9`W`lQc`2d!W=`syzkU$NB1&eMu zT><2}9;lTp?> zFiUx`n6DNiSOClGJK=xJ`GbGhMQY3omVysNx>aHEAZ{?K;-M?}C8!ni;L#P8Ku~Ug zSUsQt!l2KLd+5yN{(q^x++KY-hm-@I09qOo9e@H(+{MLa4d0d>$8WE9H@8@T)pW@D zw;_K4jJLS{RS0Vpj34qhSOOeDg=N$Gn?jFN&!F2~%3=P&Oi_%WkY~(p9v|-Z+u5jA zp{23Ae)~SpA02tK7%pM@BoftZrJ|*ykU|4WzEY2q|5x%^eRO>d0cs8mzXSgXBAW}H z#*Y7^gGiu56kx#rXthQGgkTgMC^rq2m;e#!{P*)08z{c-i_4SVtZl6STlcr?QyNJ{ zQV>j8be&oMS)jRIQQ=rl7t;7Axa%$ce@$l=S}45^WS9o;z6L=QvsV+QEU*xJP(=_) zH<5_YH9947L_YMx@CGn}TA{VMx!FOZCKG5dy>_;FeP|SpKRZP=Ba&>IYQn^Dq~l!b zcs`LXSGgCpx}GkBf3H_FQt;8@#yWqF-)*P-hvo&)M}#g7W<|>7R}kWmxotsN2owWU z{||>R_n#LaUXcGDMFX|{lv?LUW%uVsbkr!gI3eg> z`LJD#x*c8sK@f*e<5!)wOgcBk2>=N}mSsnaK2Y9`4rD$!<68_7|(``}gZc+5Op*W@4uj zEt@H|T*$*PbB1|CMm!uwTm`?8=KkNo{)YiGnQxJZMfAzSziE`G{O^lIu>!lK6PB)* zOL=2@`*^$E-`^7hU&E>t@&x$T*%eW*-w*wtxuwj?0{s?BT0FrW2-0yIQo_)}A)c`T zRxW&kkc<@-p7qB%qsOx!Kcy#)(HuD$hd+ky28Vxj<&H*TbyN#U_m?wD;6s#bcGr|M z_M7zteljfq3|yjBxn5~D>oqQZrH(0J2nB&)9e4nqhlUCo=@0@r^umco7;b<|Ga-dp z%v<$dyFFZAGhg+lQjQ&k5xk{}td(-{A_T)rXDkAyTG0t>V|n7iRAqeq^z!oX^z{1p z@}dT@{}KS(Po1DYq(DJP))0YUOHiE=1dQrhz~zZl(!@d(SAwn!>kWK95|=>y^CkM@ zd&~LF;rZ~HQF-rgp#FhmoVMaC@?P|q3uS@3mm<_L8jRsSuK+)9?rv5htBjf}u}??V zO;<8N2LECQvHsXXJVJ#5N?BgLSz-(~VuE7+C<)i=lTLGYjWYRa2v9s6E^c04U#@GW zdK!_!cp_RLElS4&PFF1F2W6|5)GJRsTd5i8@?>}W`oeZS-#xvcNiFy{eZ*(bRY3k| z)WZveK+8CJ;0OQ-k!^OyyNLItVrVl)y$+dB_Ekaxxd7+0KmWRq3;OZddC~pv-(3!W zG99HA#Tkg_v{r9IaT}QuikxIX)0T+;tZyKLuE$JT0KBLZ^+?V``p3ent!e{gA2+}) zAP_<^AWO_!L$8{4NirJhf_U`~Zf`dzSG$Yd&CT@^OMd_Su%KudN3{V97zRSksMw%z zE*m0mUFkIFPVqHn*ig_*Wi0 zJlt$=2&lGCkG!QhDj2tG_%sGHHxLy}L=e;?al7=#bGbx}F2Zsla1}`CwNhHAsPy*w z{PcW(clVTF{)cpbIr0BAf1vvqiV6#W{$b>2WMCMw<np`WyrM}7_ zNP^Hb{LN-W#G1y_lP>UtlSX z=$^nd245A{b{HRHAzm3N1rh)uTTrfp>YBxTIZgV9iZXR??05;WCsYImFK@3;kGuKy z@wb=zyWM;;BAo9GC*>@9&xEdF#9mV&nEjDMwa7;$Fo&$0a6P14Z z*FXOJ&C~HLn{ZBGLP{2Zg{u#Q4!2G)pk55le*SqWc*Sp*M zJsW_=YGb(R5ah|Qn|LCdiaKl|g*#UCrzjcX0SN3edagX%zr6o=e|>$qf5!fyc`5t{ zJK6#e76tmG4G#K)!oWtzcA&E80XiJfj#e1o+}!N1x4YfMRPD%>dA`al1KmlV&@<)r63P#(GWtRJ^GTLE}Z28!Rn(E|ZbQLY*^%D}v=i|^?L zMh$||gk?eint-?g2D<>0o8IF^78ifVZYyRCqw)ofkh>6*2lvz zI;ts10ZImSWmhCrfif43AwO#}L&xDO4mEvxOP2ih`o{X7AiHq?VZdCH{|NxX=woJ- ziTWR@eDY`#Ed2g8rsaHyEO$nI@QyC_<}N=VKB{ z0Log{z+lh=jd*Jo`=|3$%%`lPeAu~u*v*E$GSdpi)7h$>G3!<4qtI0gR2EAGZLU}_ z2I84YxrmwSW;W*Vr&=X*c=P!F{q4v1m-~m8Xn?L{VL$*@iG-vy4#j25BIcOAHv9<@QrA0J!vH9v$-t&`JaFN^|l7TKx81HcW?jqe+~g=W{dHll%dHS zouBbyG+v1U2+N=LVj+n5+%Bp**t0aVmT9-b0Cen}; z#$bd%5GVAfP(%y_9-xmOx2OpE6<55{9Sy6wf>Cbh;Vi5Gu;Z8mA%KKR`*1bh>OA{#=xD1d6{}%n@csvF$;0kxNZ2S7| zhNXkN?l$Y~)Ckx;H~;mYf8GovbkP$5U#>U#?O$uUIAE}Lv^+uwLt%Xr^b5!V^xN;n zgL(yBZB#E($sDkx;v!CvdI>~+5xj9L#=-TW0V)wNZyq3*DFP_ON#P7N1QF5DBmPK! zve~ptczcBON{yn<_(HQ$rEWm`GfixELRmG8=yXzxMZpXkMmBO4&Ey(ziQa#_ygWWq zUsi%1nWW@!Us~<&Za>|IG~H2a<3JRQQUVQlxA)2!?wLgxnW&C}ovyd|^VO;r%K!Gi zzt5WuswNb%J zB|@<FKPe$HRMkRvP0A#TrA(=YtZ>NVh(tp1 zoG+y_P%h`9{$1uVB|PEGaI(99|M5n=`0#KaK`%p&-*Is)?cWmmh2d>`J+Nh|BShsE zL`h|BvA&k??TFeoOQQJ&rNh-~-k<%~)3{^irNxRYh0$e7N~!YZWnP?~?Q#4lKar(! z1BCp|`&Wae8HuzBLEqosAD(xU9?ED8THNtX_6CEiy?n0T?m#!5sC(8)yR1R z#nJNS{`LLk>Fwq2_AV}M_^jV$JE8qeOhADA7a9z-s>ApPdMZIju3`->H@LpuZAs-e zWHS=dE{B`n7UNb4i<8r9eH4zXOrH{MABN`iw0xh02fL30AoN3ui0jZ-{beH(F(~a6 z@_L@WNJ@Q-VkXWOG00M*pn`r72MZ1=E9G~oMRX7mh=msne^3I0O3`EU1Rz0YU_YwS zc)U=_8QoDG%UCHiDs-6zc>M`xUPXO+N(&cbZb~SWRrI(klBsO&-+z03d4C`PgzPQd z|1O5ILsynMKqxA+IsL%i3eYFnFa1EoNJ^)~`fuQkN%Itk&lNy{nO=o9SJW`+;pq0r zUu##*MwJD}@lN^>jsfbO>0tQQTM6|ytGHMde|VqmBT6jdT>M_o&lNP$^A zQph}k8agnEG_qNxBoCZYu`IugXqC;aSY__403ZcZScRgs4-{Mm>ccwj7&ao zA}tY7lQ!;9xoH&BZd&6OPd~on|1p4faa4t@IxSiSsQ&W)LlFT&=rOTOUsPeZg3tpa z-C}OEzq^*cA*d5u&Yv~G>mQQ2H{i8YTdi`Au&aTv2&wr9f7!D_KfIv?frNtCz>(_F z%kh{Vq=gN5M40lj0fMHY7;xgP<&y?U0EUlrkfMGSj!S|mlBK*9z6%3n8s7A0@Bzw!an0OG6W^4(gSnZfaE#wX5CU?KReSdp? zzQ29MxLN8a+<(b}<@`fPpm{@_zhHk7|AC9z?Xjj_nBKtJU5N3MCqVn;m|i7D@}S(t z@W@ubQzK=9-wMn#8IHC5P&P{*%5+WjmqcJb>*N0sgefD^K<^4|n*b;REAt`ht=@7z z$-{5r|0VftfnRDS@86^|j0Xe!2O?sS9)O&om<9gNjJ_6jf}NU7n<%ZIGdDERJ3|7d z0D6;i=Xjiff`h?&rCvJ^Ng|6zfg$W#hajtqyihL%+nM>JSrrW@6&vYJd#|7$QyeYk!>9l( z-oL-RKi=^V=MVpZvWLi@NINR7ANms%0Kzd0i_C2XL3G$t#Q*e6>;ST$udU})Z836# z<&u-8m;$e*s@Iu#nl5EC)Yh^1dShvT~`M8bR^A)M%T7 zA^kqncB4C5rUF#85+&%y*{D+VmndeRC$wa#iv(fX6-xGBe!M>HH`~WM#!WLd0sk)T z&j-VgRusXA03BjkW3_!)O8~mR7?BU`)dNZ>vcSYj4TBZl*7dNu>)iTu%M{_D)gN~CJQmD4tOBh zHL^gh3?Ko?<6U!^rB4s4(SrG~{GB68dxN3b-a>68ADhoh*%?2)=Gz{?a|h;sy}ud7 z1GT2&FGaDiKyMU>PJ0>4ZOJUO#4m?xfW&4+E& zf2M)$qXpOO->-ojq6Lp@u{q3Fn2XA|OKT|@DZrq0!UoaejbRm<QYe z8Q4NcQ%hE>ObcNPM7#h}V9`kBCE+20B|bnbyKKN1lF`Zpy(zLYy83_&RHw&w70Q|O zo87RRMli%4%hF7e&iPSS*W8KFIjK;YjnlCPicUH5dIts`CI1%y(1rY=^b*ouOZ)@> zBP{qwMAjek06?m1cQ4q6i5kpiF~XH*DHOD?EAop;P!3Q%9oQ#wyE^4|yuOAB|Qmzp+ z_vV}RsBO^W3bA07s*!niYy+gutY~_<#}#9KE2D*NHZo;GZPMwf1C;f`JGrp{(A=@S^o) z)Enas@9eQFaxty|xLQ&vBqK$4w%}6ywzNi5bcf_A6iPQ9S^wkXixLtUF7U6jQ?MWA zo-m-D*B^?~WJ&}G0uob@4tCgcb-gfywgqo}Ip=*N9x~}ugu;sMuNN~w`~k@k$A34U zKin?no0U;m-vKh;amyZGUZ23*UteCPN@O@uQD}v?jI3Ea!?3?2I)$K0P-8r{m#I%m z*h?jdYrqXCYh^~HK>0{9ycHpAKrsVk5U6uT2RW5oAUTS;!$!w|?mAsVOr9m75mr#Wtg5bPVgCI)^^Ye@YZwRUI6D*VA07bs2Q$^+J%|G& z`(pqK?*A%V(=s#K@vwZf>sgpK%9_o|dVj+?^y*sH9Pv6<`;I=iec8-bYeU;{?ZKJf zS^pb&1OGm)`h6Q@5YDL+U)WB?7CI`0xX!AfLP1Ch8k30SJ(3L2J=FD&|6|80*gmKLLEw%usnD;L);Np}bD0*7nNR-*ExYig9fVd*-S9~~#B6#+aiXnw8@&5~MAK_0ol40W+ zLzIT>7p#poi$c)lP?^-Y+vvsZ0~pIINZaevD;1Zgx98VM3?^{eTZMOwU?rl9sDz

    1+SNYBH zbh|E<)(@P`n_T{9_5jfF`Dqf33>QgnB`edR-B*k}JfTQzIRSj&H%FCfH^uNKec=c+ z^Ab#`UyL!(HF!W|x=aY-2JiwXiB0(9Z|(rG!WD>sk>>IL z)BW9!l$KNuIfMD4@XdaDS)!3(YN}}SU0nL;Nsc=&>``+#+iy*sI_o1%46Gcm<@x>P z``i0M4fN+}cdeF63a%^%e$Q9J(z7q9J!D`2a&GY zp~9FAW%b$X_tyv3??6R}_TN*2VDW#z{a(~h!;=0a5T4=&govi{O(Rd!)(+-1;U8KJ z%Snu>3ddOp4Yc<4ns8OBuo$Wh1Br84f2FkH^}loWl$&2)F$M20uk(a|ut<9wjU4VT z>S5`izE}M1Rv7rrUNsX83~N>?g3;B00pR{;^MpOZf={NXeG2#wI3lf+dVTfy9qaOZ z_wfGoyM{84S_5|G=svI_-{oq3tla%HZwLQbID7}k~8w>hJ*3Knk1O^FX)dX zfaj-FK}B2|`D5@;F18PVXR(ZYq~hr@I)nrZirKU` zKx7}%t+-o`$D6fL+Olw#j^}%RdwzL){{H&DQas&BHr#763N{f!drowc0$~qiS%#FH zIBF#oCjdI)BrFEc3S6)D>50${iLeH_YqnjzPNHt2+ga-5Ds^z)gO=MtNr7$M~9PX zZXO?AIG#sb!0Yof_W$ksBI+N^bKyakAs_Krz6zV71Nb1 z1Z#%JUGRDeT1Ib8I^{;r5y&?mU*7?@AZmkuoSq!i-;n===Yk3p{bnql6exvSsoPO# z=-Tocvej}eC0&fbH1P4mr&F~mj5d-%rV~puS7)%My{1cCV3<2j9tQ>0;E6BrQ99xwuQ#0FS*KN=7!?ply@GOA6G)u+2l@wGfMPk51My@1L;waqA{wef z3z0H%sX#{DXmk*BX3iSBR82D=Foq5;J{%bY>4mB^dxbJ`W3*hG2Jz3+lf2KTM;zdH9Kib`=5Egm{xOZ+l}afO#LnD1 zKyKy`Q--yBnno7>3wERfH3C*#or+&RkI642sS+_MZCTSySGu!CwVjJ6we9b}k%KW)j`;S}s(;}D z_(IT^q6Lb{=~UztB?}0G_o(Zo<#xYYfPBaXpym*z)7=@Zt*>vks9DShusem(y?NOe z%d^$4SlV;xpI<1pzPx{@T#Ye&n}*%pX*M{3`_B{#$$}_Z(8$a|NtxMG)nhsX6I+cI z#=i+Cx{N4Pj?A?{V^D@n0AQ$QVE;@g@H*rP*f5+tLleoC;ZJ594%(o>Z^H7rK=Xq- zCHG1w)yZE4bx#yNsL_}X>rEpREKGm=4*gsD|ByeV{^deu)uS-}Gfe+~fCv%_a6FbK z2Mqc2Myasc$x9dUOi@pqhQ55gxbl>?kGHqC>nVtzG&CnOja5IkjoIL&zd?jpu#uvsI72%J8izO4DP*iWH1C?l^X}aBJ(k**k zn=E^^mKw?opZ`Gqis%mp48vmpzX{5a*_ASA&1 z)T_A7RK7H@np6Uff?6eM(83{X=q5g}RWplbE?))zLoN>d6DLdYQ}7|AqKiU?lsSR? z%QawgDjBCcBEnDsd|xb%AqeWNjK@gDYNpp+EIO!y<;x8QAayhDSnc*7N`&?gJb>fu z1ozMTcf)@Sprwt3RD=RJS%8#)LqP`F84LWMC1k_Qp~ZBPXJD|;=P@z*40p_WEsBR6UteUi$%aLkV8bl zhlZJ>FfhHk9;sJju9WGFYfx`$y>Yu!b$hG(e~8%u{<3ra!q|fPC}e3Fe+vWwjjmeDuKZl4t@a1Bizsd^HtdT)u3mJV?4rF+bx! zL+;|-3B&!I_Aw$!GHD{u9HwBw`@el5>nH8!?LU*Ju>nuxsH3wedTQvuP(PxHo6g^s zFnt;oNPc>n`(Fb5XxAHz4TTb1t>$oY;9&4S;D{837bWY(AczAL z?<1$B$kVFjBc7Q3EZ;T4DZ_7e3yy%9@-RzNYu1OOcB2?96xLBdNK60YOz=-AP)_g< zFZh8MCL}aqH7RW%bOBVU#jGJt6Zl(976`;+{ZhEFcHojsN=f)HzaH7I*!?)iPm{Z* z?^r+n|B2NUg-bw`684l=F(~~;5cUF0m`OTbbk8tnW66=?@7=fSvrsZl?`}(=lq+C zoVQLSx!ca`RSIWyy8W9yG+H}d=5ke3tp>LN^oP14Pp(x zYa%Nu{f`dUDe`~N0N_7J6&NT06i7s{r4Eb~KvY_d*L#|+4obxoc(ySPUh!STYVCBX zI~+2RrONs_dNMzn;4lv?GFK$DDG8RJE7h#^OBtL17&r|5hoX=oXo80%1L@o0q<7cN z%seK%{J)OQ=B05U4C7wb%jzzzwzk?d(NHb6q$JD`5<*A_Ly=HIC`c(nDS{Nd^x|pR zt1Ji$g5XK$VgJzmy{)AuFPX{Ayz{)zhqg{Hn$E1e?rYaA3%4~YYz~4%864p5N*|LT z;xENR82a0hsDKy%id~_Bu#vU9U1ZQM95}3yn3PoDAFSH=E+6P;KL9<3_z*EtF2$+u za`8U9Mb82rIbSV(y_GxpJ7imc8Yu+8fYz5M#aefg?aKy7Fq777U){sgaOzE_$U*bF z6t)MY?~ET%AVby7J|B`BBJ(dJK?TTgaNG2ihg?0E zH_hf?J{wxm#5Tok>n0iW`KJJ9=*L+_AciJXg;0f)lT+qjTGG7#JcWED35zoT5->y< zT->Qg#I=J5ht)x;N5_ZOZ|365*mtop))SJ7u0lLM5cKf3@H9fZ*T1a(59**l?+`A( zzE*alcvkIXE0xq5dq)yphTncF!f^6sT@`tXaUb(8&rbbQm{^A%?|%xGGajM&VWtHE zgAl^75GXBxa1_Y?mrDtJ(`xo?=HJp1J9`7K*{bWn31d60CdAR{zNU2&8S}sn;C8To zCR79eqsI4RIsKr3aut)uM+(@b7YYVI7SUDD!AUCT{`)Mw9%x;U(q~>`+A$jT9Tkz? z1r5hzU*!T&i_yot_Vni0Zx+BC_~hru=Wh280BGejr<<@HB7%8YtjoL(;>9|WQVfd{rxLoga)v_cR&bo&ye zK`Z?73Q|V)Oe%W)T_OFG2l{$7$!NTnx#Qj88NZ}JG!|Md-I2Jqwa zYsYuDwwh+6J9uzy*X1HLHH$VoI#$JaoZ@d6nq;Ub{$YX({=;jKsRX`flz{(@(ZUw{ z+rRi|$??zWuOI|N3Bxx5QBV@Qvi{G{T8_@q6-Cy0>*8(^UXP8Xs_5c8HujL(DTs@D Lh4{MHJ2?0cS)ePh literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Girl.bmp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/Girl.bmp" new file mode 100644 index 0000000000000000000000000000000000000000..dd6813e9fe4961734008899137250d6a25db3b65 GIT binary patch literal 66616 zcmYhk3wWblJN|t?&vd`vCTaE?*+?RhNJJtc5fKp)5h5Z)ga{Ergb*Q=Dy5WC%1{iY z41=MRq9~;(MNx{uFc>^|dGXr(e=CpgIKED2rqju^_gd@PoY#4s*P0K=ywBJhl6ZUX zF-fwC55yn&`Gzzl{xo`9nUy5*^Z)<$#v5-)Z@&4a^ytweX>4pv8Xq5*CMG7N$;nA+ zYHCWFo}QLwW@e<>*;#3BZcdt?pO+RE7No_+MQLeiNm^cBmR43)q}A0`X>DyyT3=t6 zWHOl~m&+xELLqHzY)DF_Qc|f@l3J~nG#ZVh)oLZ3PABR0ddXlgNJgVkGMP-$yS>}H zNoKQIvREvV)oPV&HkNbz`F`oItTKq-+(NFVe;A0#D{N$G<>_=BZXDkXi$hkS^X zPN$_0{m>7UGMS9@VITHkQZ}2FKK#Q!T*~Eg(noy6M@ad6Ui!$7{7C7eKI)^SLZKji z^hbZRR4f*ykNKF7kxHeK^syiNu~NBQmOk#|K2G}hkN12 zrCO~febOg=lJv=+{K-C->`)1_9cC4I(c ze1`OypZS?myWN&P>$5&f`s~mCY^l@fNT2gLpCfgZ~R8-o4)Crq=SP4>6^d#o2760mT!>`4-chp z{nl@lzU|w-O?v$JvGncV{_WCte8+c4M@L7}cYf!0O5gQe-z9zbcYn9^EQS{6XpI)2Gr8{m>6dKm5Z#EIoVn zO!|=@`4QFKHTlRx>B(og-=Pf2HIXVOpq z^iNAa^D{pqou8jeKl`&kEB)Nh{hajkKmYU6#l?m63%~FS(l7qvFG|1kOTQ#tUS3MS z{L8;A{mQTWiga~#CH?BJ{;KqAzxHd=_4T#%>%ac%(r^66Z%DuSo4+aD+}udN^;^Fs z{q}GFwsd=YEB(&z{Eqayzx%t=@BQBINq2X5((nKN?@NF12Y(>_;UE5?bbo&@{m~!& zk@UxZ{KwM6!-MoEfAS~NpZ@8eN`LleeMyTAK8>GkW^(%=96-%J1S5C0(j<3IkR^wwK% zN&oau|0MnMKmW7zFaPo{(mUSq4(VV2^%XM`{_p>m{^x)GNBZCY{a@j;D=Vuj{I<5b&M#}LtBWg(3yTX2OUuiv z>uQ_bV6?hCfnYQei#inZlVjrxW}VikwHP$Zv$HE&+49Q#*v#7M@(N#JWqDz0dSP*O z-RxK%d-IJqCl)5(oN)zGg;KuKtQInnWNUZ-aI>*>e0=`w_-XI))y4Jg-PQH=%UAa| zm#0@R?jP>2&(E)J?{3bYpIuyBKYx6Dd~|g3?D+Kf@aV~tMX}x0ja}SNE4Um;A$LPw!s6^Tqwe^~2q>=Gw&A81ZbJcs4mPK{T74pPOHt zUsmcQw>Oe5&&}PJ2SUza@Z^`PcR&d$77E5DZ=B7 z%wjZIw5xKHd~t3`PQY84SzKORSz2CQUS3*SSXx}wIAY#~u}5R$^CtbWDHP8T8A_Ex z#OtsJi?wmz= z@bT{Br=&(6;8?jNphE-$VxukY{p=<53Z=JfpT;o;#J+WBCO0$w`*a;ue-xm)A80hc}e3jy-zw(byv%b#jXJ&dklv&MnTd z88g$pG|pyDtt*r&lT|Y(a~Rhb7gkr-msgh8u$3i@XnBz> zSTlwaX`fc7QJF2lu$#S0W|E0&qnL5qyrF2OkPOFrN9X5HdyU<*>#OUVi}P!qn1eq( zyTMN`o<2XlJw18+^!drr_SWvffmlvn!*XNfPtQ~JYzdXOVyt=u&y%L-E z>gDbA{q5z=?TZ(;*Vnff*B8&9oIfjTXF2zAez1H_f0|Xzu>;G?a=qE)aEEf0H{T@t zy}=$}8^Q`^=2-s%mM}BJ`X|Phopzfi$b#Z=>-^NzdL_z^^IJX|NR&Ihdak~AdU5^go|W9( z-rQe3eR_Izi&>wXUS3^1J$!!p^!Z+Q>&fGTqi0vov4CeMXT0?K>gM9&gzY##J-@hr zc(}fQc=fJ#y}ZA_yT7}B@$mBQ^7iKX;{4*-aaG2m#RlL4{3Z-~W_E6VVR3O~U8yxW zeX&B5oG;#Z#pLwp^l^asdE9>v6To&B9ae`ofbU1WE0c3eYifhipxdyR^jfFaZCG8G z5j1f7<)!7tg*pBK_B7?KGzzIi)UHwK{qa;HQ%vP*gRI+h! z_Wbww-ag#k-`?E5d^oEvPmT`$Z3md17R$%`7nj#{ zD!s!KNL8Ac#N-q&!Zt<`V2-7OYls8$GgGX8#$mB~-2QMhWSX7TYIP>N(dsl=&034c z>9)v5>t9}4n41xPHZh6$%&odorCiWv(eSrsqcxPq`CG+mA(zWnhnxLgv)O6Y>YYv{ zQ|V!(mzQU!r&l-Ur_Y|9onAaUd454GJA3~4@$s`~kB0@FDb+i8dU~+ExfSa?JAHn0 zbANMve{p$#fBSHKcXjvb#ofcZ{^y;q?{4qzU*Elcb$5IJ>gB`z{mXZ}K8egtjAH^E z{`mOh4a zWea7BwRXGJs1$R_(r`G~?sa3xXX1;Q7hZqaz~R^X={9=lJ*G!Pf2* z^8a>mWpcq<7#!^kJN4Sm(edf!-NWtOiW-CT53gRl ze8>O1_3FiOa&8p$#PNy%z)^EL0N3TU4XwfI_N9vThFYzXEAV0b7}w<;>sVY`6&pZi z;2#<7W~aj$2pHzq3@(q?<92(UE}z5Yc6xmlrCeh&nk^Qq*=8}Dj25%eV6b~V$y}k? zYu1aoY&H{*XG_g`z11kzYK45Ww>_v=8m)S*R7^*s$@&w#7=ONcwtxH#r#%_&JjMc^ z9ke^UCrA4S?V!=>^8~ZoN4wj*hewZ}Jh{AoxFxfZDXvL-x7W8fH+L^?@q+7{hr1U< zfEO>X?q0v+?)<>N$O#g%CZ|Lgn4A*Po#?hexZcp1T<%~jS8tklW}R9oS1L!I%qA=o z$jSZli%ScHz$u->;&51<4)walVzybWu2`{H zDC6kOW;v5C<@VfVWpg9gA3)o12+t1K5F)gU=KEMe>uWwHB8@nyb`XW{1UK)M?dnl|lv#TBDA^ zAy!2$U&IBbrnOEJHHXt>ws}1+hr{W0x_vIETm10YtsK17ZZ}b_*jc;PY&QF|Rd9N} zSuT`vp+Gj1$YiUTXr@@sRjcJhJ`=WE{K04@6_0q_kxZ-EYBXEh`zOaokDr~LKksJi zTZhk&wg;VVHJ6MhGNsLvXU`u$Ie5H(czA$^TtD1DTwgppy|}p~OJp&AU0Ycd+c!12>a^nSc8}YE&AZ(mmzQ6N{Vs>c>#~^5R;OWW9)8_|m z!-OKcbMpN0=5{@o&*X?4C+Fv<0KLag9v>Y(KNkW2<=yqw#pTWQ~t zEP~aa9v%!rdR9y@Ue|6Y)|SKu-~?np0z5$-?6;;hIBmXYu2k?iDWL9i3LIluP>2}h9lWlGZRUr69DRDu3D)zJJm`o-5l-=8~)`t z-dK+J4h|ZX&2n{fr`v2CTwUDVT|PfKIer4}yTiU;yt=)8d3{6JB;LJx_4=*r>xavh zer6%n%Vllr>&vqfVuSIV%bM9uVMQnl7&h|LH z$yTdYAOhrbDWBUHNv1N{M8IJS`W(@0As_X}YUPM87>LGVK7TZmO=l8`qJMf?XID&8 zF)XL|Po4}SzFK|zV1K*cd3pmlzNT0>dHU>>6n{r%d-?M9!|lZ#pr4(1`Re-i;XE=s zIVGSwd2DTQ8kou!%&D|G_4@MioLKs#Fav>b=9ZQe2Aew&$y7?U&R`L|F5VUdELi5_ zB~t$qUr7L(`5C^F!sYZk1q#OUJprFj1VEpU^#^?xqmB4!;qV=+8ua+-7bP&ERjtF zy$)Z%9g9aI(V#mR3CC)UY9$}>CbQW>y*KEloldtu74?~w3lqy)4YogT^%S>vPoHiV zQ`=AWw}-nsz2V`>>FL?Y5kH=sko)i0fZNw^y?Vv!Z>V&r7w;Zk-1XHmrA!6*P_8b^ z7f0(KpIX(cRVwu=i^BB@{TwsFPo^=Loc>s*QV3U?4c0nBJXD2b2i7feB-mHr#D)2} z$+1ThR$s^s-6YQ4f%S%vQVEjwhJyzDS}_%H(n(%H32dUvJjZ z(L^HE>lRXE^jJ1mYi#Z9Zqqei6lWkSQkG7D9z<#MIL!C|CJm_PO}41Gm_Ulxvuuxw0#GI5q&n41&pe`6`& zaM{WHL^E;ley^YCZ+Ci#I{qd65y!T8w_?1c6#|(vQbVXGEw>1bvo&bq1 zE}kEr9G{*%J3j*%QrZc`^YH2(_;+*m^z!;id_$#FbJSX~d~!KKd|Ir3Y+9z%XyhY= zzO+OfSe23E)do{A7>eavwNklLYfk-NMYsg=ANc^5Ac6(Q4_aXTZ@e+>e;e;P-46RG z_OX7E{$r7F(23In0IgP&nLtRAbl4mgo5LRnB$LGoHDA71$`;C%TB*|P)l!98z0n$O z?;q|CYS~C6(W=FOLh?sg8CAI0Y<^ITPtMBXgTvhe68^>2i|eyfBK_Sp9LM!Fbjj7_ z*%ct~;`9>4v=>pyRXUxXlh+ze2CYsdJV50C@u@W!XVr#cMWnOQ`wGj~*@NMDrd%ym zY6PTlSb+w?z`S^o35(lRdmc!N8db+xxKc#1(Nt+R(0uU>)hU%t2^=(8u67r_5>sE?anC*Y03)MzxB^f2f; zjRN>0BEZDtlAZ{-L2V;4n;_^mRBDah7YZc{t#Y+cuC{7|`I#260S6%ij-dZ253(Ec zv(w{`IGFX(x;$ilkJsz>cmshTP&g3qd#xrrYX|s?L;xb<@11}E5}Pj`D|U8z?ZIH! z>*S*8OrzhfS75jUG zPNBVXu)A}xztd`TYJ*lL-D)M(vc5JuasZiLtzi8a|B^tp zROwosBa%oKTeWmPSEyF&BkqgRa2Dgo0G3u)V1NO{)Ip1jxc?)ueh0O_sCR+An19&s z^LgDIvDaxbn+$e4@YrH^1uzen*N=Myd_k`#QEK;Em0E9DE7zL!*5>}uz&LnxoCGYAH;53gU} zU0z+mZorpaou6Fp*iMuVg4*I;P&}k zUc!LYWF+A^DFBHB9)Hm94~9bl@R2_dEOon`e!I8b%Hd}9!2ykwS|&f(+1%M|H=5;g ziJVYtq%BiWk1#YV^K&li?4mxLE_XURTL+Z4&z=$Mo*#)kN9?;L@nHZ2LAWBw&-2rr z-TjPCt5QN>VCP!3POH~zO%{NF8Z<8msfk6kN+(~Zs>J}-)}UyN4p$(VE>`QgbfHu( z)oUW+Jc78Ih8rU63C>?sKeFZ3#YG6P(fLn_%uoLJ`T{?Ix2S$H_+wRm*=qI=ld?NQ>WId)G`H( zw4P;C%4&@UJ-^DAhyd*Btenk}u_z({hN3kXNZT1=aVeWCmaFyZX#K+crb)g;{6$gz z2>V|HHqK3m@c+ge6DDeXARtap(Bn-M!*+m=QEve9I2{(d#~1bnL*bA=6bgrf-YA$i z+v*Jan_Ioj&8=ax-RaVOYZU66hlkyEr_;s;8jYHJMx;EPe{5oYoCGkTUKAfs&n&9E z+5XAR-NpH{Q!3t94+8kH1*Cjn@7Xy_X~UuuY@3b*x1m+)wHl39rPAw+WI*l4`m#)b zps7`jZbP;r#{ibsS2uJZlxVt8Y1T8@936>fqsj8b191Lg^~3pSiK=Jq!6u(!3pzuT_n<1)GrWS}wZkBl`oHK{O=xyL7F78W=3zS;={=jj;)nOOWw zA|Ry@eV(i5C(oW#okoMs$ob>p8zKY>7f{n$W>a)389avI{ueiNGUA_bY6^U{!R?9W z8l6Tpoz0iPf322S{~NfV;D$vBNZCv5TU{af%}k2CBu>TTwEL-geN;bzfX4?N;_yI! zyD!`W4U&#)89V2I6vOre0*?71l&J*vbnY08|)sP z95hO?!19}KlBTKF=N6_h!Zl~AVpeaA3f9?qxvlURR{ZMxOyHio*KnM71j4hc)91rN z)M7B3^m?O7t5nM6Dz#Q^)Iz^%G-|yG9ITQP&8LCDa*cXjE~q^i4uz3EUb0jzLB?fa z<(l<&S1h0NpO_*6Pzk|}(i>V|rV4zU%pa{^=dk;IE&`v({DeUc-HG>+`Z;}@%L^_J zhA8Pl#Hn~P8Bb;6@O!veq1fJh^6bg;Cs3DrN5@Z&pB(M&@AkKLj`oMOd^#>8`x5@f zrWV)cCdVf>irb0kV7KmZS+s!iWmEcua_^cp4}HPghgUQmI}2Jq*S3&tG9apxBhXMd*Z)f zfCL!?`AhDnm%-Z`kH%F#TPP^vp2rvTdtDR(ScBJPqx6UJAt@00A~8YqK=RY+uN0s_ z%hejdw};_x?;S#4?H}wvq4Rz6)mHBq>&PW!+ zdNCYMRu0aH{5R(!<=v78v4eAP&$Evu2`Wsf4T$nB2F%^!-P={y$#Al^y7$D zoi=|ggpUJ%Ic&F^0>JC_*g(AyK3;-;f-jn{HY)X6vkBMVXg6BzMy*n=Ra%2~x82{N ziS_j9@$LaF%^}ba4lLyN*=A-|=BCESr&m|S`rXy!!lW+Ej%^(r9Pe$>@HwW?N%C_0{uEEs=;seI$7f+-T7$H8_D92#Dcp;Jb`UwZWh_Q4Hu*FntSC^9uO}s1I&) zLu+=1ljUMMo`@FmsbsbY2h-w+MFgS>n8WtLzoRbp+o?~iezXCzc3U7GA@=)-fFwgO zzRT|NI!u&%_(LF`$&%1&_3ogH-lEqgzm@W(R2l*|nky9QjrO3|+do1Nad^1hNa9Md zaKz)XsuyId^E0F=QL;`>sZ6tDb_5XkCpCZP=V1^=*P>|qHAxKNa+E9J+b!}(XjDPkZCayjn(ovb z$3Ho>E}tD6n_O9&o|sx*m%ECGPfo6%Q|{34KRLNLKk9AncSMP+*PA$d(2kBk$9l1L zg-WB+h$295RFUMxyIQ4Oo?Ba8l5NOUDx$wyW3mS`<&-BF)%)}LL?VF&R2qPUQSW<( z`e$Vw;3Ik;E3;Go7yI8ffN_(<4v962@u6@K?lt*uVGlr5#x zxk@gdE@XJmq?5^1Dw&AK5@d!*G?gorTg9jkSE5>Q+wB$|ICWxrg>N`9r$b&av0yYT zh*tFUqAHXwoj#l&cj8UL<^HoL1&80dEC=v#_8KZ)lU8&tG!(xE#R&Yc9oU0t!U-cV zXymJl>k0)VpiI88p|QFn@nRup3)rjOdAr5*Tu5bzQVGss7;oNd2Sa zH;NDAMw=xb3t$1^NEDDm7tBXf$l~(CZWrtAt?kXtQX*NX)+?o4B3~>Qi&$7TmrSKI zxlAUTOh#}YfI&2jUGPN$Ue~CIu&U;8y~XAEsmVo+ewjqDVU^F)v>+u;F34=vv%4*y zN#~BmXsL#+D+>!MDt$mN$E_y*8(6CmPY}Oj1S1;2py%*6G#ZskA&2fGD=K9egVyd# zJC_*Jl&6CshGtPGT96|vRE_%B1-U4A`#<@_#Ffe zuhXU>?awXGO-{@yb#h!`!D^VEoL}Hfh(?NaZL*Sd+32W3KY>2i=Vv#}6gxT{nU76i zCvf!<4AdIbIR+XsD`Y%GAR3%n0Kem8%dQ~z0KWTA>{Rk zGJMfQA_u8f0rHb&bA>!jfLs=iKNu&YchxpAQ9?SoIg2G zgG=a@Kmh`x2JlM&!20!OXDpL+Yiu#SJe5f#$=0cKphX@9|Hdh!LrMEk1MCgk;Qw2I)iAW^I=X#x56}dwm z_A7@gq_Wv$A{tL53(HCs zDSmZ!hQfUjKuCOEm9L3T?z9Z=)~oe+yAiOjrSu^J=(KPpu>mZe)|u!VEjkfP z#DM_RI5EKg)*%7QEoHKH!TcIJpp(Pg9R$js#JU z^md1ZWRzGQq_>m9>k+k(+SBP;r_=9tI<0!WUIP{8i2`YQz9fUBPlHj@PeL+aL0e*X z+tpgLLAff#BQzW+erCp|p`Vt(?F-YK!t?^!iWx*nebS>&q`#5(Q|;=&dwMLv zgi8AaD>m zIHFo}E}cx1h{Xfv%4J_Lk>{~Xxrm!+tdgzJ4_t>bAMt>$qwVLVFs zCz~fAIQBMMrHtS03lm9Tbc*#>wOS~$1KAw;Kb^_s5`3>z7QT@_XC{-(WxVqXax*Pu z!W!^dD|)PW_Z&TG(wWsfM><%fZWpcU*?FCZou=dHMRGxd*=PpTjmo;oxeW?COu%Rc z1b}uqaI78xj5F|&S}!26O37z*HjBw@H&CtEEsjvYlrHcn>1?K25P>-ziP4N}HfrTW z)Z;X0WJ~iaGKF5J#A`?e>q-@v%EXtj5xV1K0MK{X5Ah$%mU~+}o83al?v1D6xXR^1 zxgnrG)Dp#CCY8X&FfxumlggGV`3#9M8&S-m4YitSo0?P zEy(8{P0UYC(63o_M&MKY;Q%`z7fn<&^qfSznTk;UG#JQ&C=xvP-|M!zcx{rF9}fxy@J4c# z{?7hRHH#}?LU6N zGXgzCBIMKv3_{#5n_QY3o0_K*RYSK!ECIOaIKnN{cB9p(Qml+rt<$TxzkocWL)RN1 zqfMx>^z@>P7QI=>GT1WoTD}m)c`6o;RocC506i+@NR00liboPD!c+@-u2zbLZ3g+u z{F00>XLZ|cX1IL_eaP&9*Gq3VoJ<25qlEr|&+my;T5$PI`k7?JOrEO00{pF0Q8$sd z5M5vx@Bk1|JdpwiX49!`DiTu9IzzFb$Ks|XOg$BhM|`M6BSh8!NhqF<2O~jRDvM*# zk!!1ZwkHUw3hTrf27Pp(crGoB{ya*{@u?LsFo$h2sMrU*!9s+G{xD+-5Ysjkjvh2y z!Eg$;qg2Wz^R;>eDPuGhjfD{<_ydu6K38i&)OKo>oZqe%olu$D1f5H9M~&z9`F#=E zye^wgA4;L(4JV0#!u$i}t^K`zy-_d4g3)XS^DnXfM!nT(llQB5LmuD@`i*CknRFy0 z{2>|hEUg4U!PEl8(O5D@VZ@^dlZr&+$yhQXTrw69E7w%B)AP$R2hIlOfM3DwLOwJk zE{DYe)L%!4PTjho1pWylV8w#?vYYjEz=)#;+}25v<%w|uBK%OAw7Q#}2nvP(4Uic7 ziswdS#H@a=KWNt}%WMd`=jIhUdU@y@T@c)0gD9xSLuyocM9~n5V2(kdU&(fdgUx=Y zUQGvL5MAtV6`3FOM-!Dpu|^Sq@=XLn5O6+`BOzvU3H`J&7H5rsV17%*qEv<9P|)KC zjwXPVFGR_2>mK_2X@?AD?^Z`Rs9s^^_<%I6J)VoBC7NJ?KQk*d<6+uUq*>&2woxF))Q>uM{# zJOU0}mp_PnBN%X)X|tG<*l+}Pn;L*7V6wS$xU)6r)C=Kw3h%ELp;Kw8((I_w?kyFd z*t5jF40e#qlweyjnZV+#JuZ+SRWYl~3N9xKpGI|<6hN7XS;!MQvZdqCFgn zhl22m0bwHWj2@i8Y0#@=YeMA!WxNVbwh#!6ln7`K3^td|E&A0^^_W^JTZEhFGtIKI zx6_UJ{b(*zIWiM~JnRo=@{M+MBjz%;QhxoJVHy}_# zB5Vk2jY9ML6HWTqTU&i-T*PgKVufZ}quOY7hyfS^C2)z1NYF22pjs=HDn)>NN*2Z> z#F+?gfMZC8ylxJOgU=PCBC;|pfW07~30dgAPj7fRsi+86ghoNG69s5F8)r~h#Xf3Z-MD`Sc$?G(1z@Moc$wDHD8{&#&q(G^+xwpOBZB>QDkp)(2 zuz%?Jnynge0w)0V6&d`<1OMvfa;2EDPER|cZxaH<5-M;?0aUn!NLbT$O+qMwz}PZyI#sfT_)9vY?b6Mn%OQSQfz!Y z6%BaIYWW(qp&?AP7Z@lQbd#0}gYCWjt<6@Ym?XoMMK`6vE>s)rL9N*?SE_lw8J{OL z@Et*zOA~9+ERDB(3Umbgi27}=5YJdnJ1veFr4t2WA^~tFe?kr?EDMu!M%oFn2vt)A z{)o*d7$UiG+07b*Lbkpvf&eoK3UIK_2ube*<~W?B`v6~v20uOMt=+wy{oP?L2l~w9 zOI41hT5FU_DD?2!LcQGpv=j^3sNbrD{3ZLF?H2OBo8blAG!gUJVH6aq4V@zaWX5L& z0QUJ4olO8xzd^1?-dU!dN3~OJwHiWL(}o71&rlRiR#b0%!*bp@IqRlM&Ql2qP?Sr! zO(fMqt&*{-b#6Q@1~SK8P|mP##SAC1G_448{Bfpl2o<;iC;{?J!~m;FL&d&2ih@%! z%K|N*8~Gv5jm#l@5744!cnJ*uAh&!f`16LY`P-b8~xV&?MAniS2Nyyj4Iz z^%~!U9~H5Fein)pMwMc0X<8Rbr}6?4i+r5+p}>ZMtX7;bxv0dFNh$|kP3kDs;LdZI zL_li@0wCfE(NTf~OMsIwKiE@`lX_5rbbUs&&!(VyNn1#AeG&M0s20qs)#~;(Q5)>< zZS?`-w7lqjlxwK|0O@e`Gyuy@OoFgWN(y+aTE)6dNn^zX(DeGF3C^vGfSdCMeR#>H z{&1nf`H`!Vk&rLj+C13qciWXR+f*#oTIgv|R3rSZxA-1xOc5TOH|(%l$~dN%Lm6Vb z*noVwoD85sVQUKYs8OwVCjiU91raf5PCzIZDW)^fMgdlxj73uThX@!HAnbq$gIEBR zk6MY^aU8_Fz|@s!LlGi^u^1Iez1ia`!hy~*Xp+t9UcYm{wOg)DyRakP1 z1kh}?YgHN`$k&m8i?X0BM9#&8de+1s7)ws3c#IrMKT#*v>Uqi*LlB~v0ucs*C(Q$% z1)Qd8PREHsF~VO~{0@^$)MgWe1Oo|{*#yz2lhZ+%My#}~wR-{)5=^dG!GRis{r#i; zqr=@+5j!rXa67V*uq^5n(VrmB7AgTU8khnm%Ai-kfGL>mB7KCZ>55Gn^`-m>DaT@D zVVo}D#CoJ+8~&s_3P4J#r3)kB=409VGvM2!_hM@r%Wau z7Sfq~HRJGz-T+V93{k*4{RA6^1mFt`#25q`S&=yr(h`C)EShx9e!-vtn%ENjAp#h1 zT8vu7hFVRdeuhajnGr@ZnWO$FHJXFXy#rRi)1|m4+7vSJq%b`Ul|row*1#chxgt~! zOs#ltIA6TdYT_0U9b`aQ|8A?q`pZ;* zl?ENvMy&-2OfRTeX93k}Q>b3)I@uO&$vnZJQp>Xrmm@|TNg#;JyL38}*B4F3h&0+MUW4=xSA4z2W|o zlf%t^w^|b5lsL|JBLE`3%@bY;gy{qcFk23%BOWI;1>~s^OQ}Q@@kVG~6d6;C^8NtxgS@pU}9J;ll|wjY>Hi)yra; zB8#ikipiK&?EwRk1k1Gq^A|qCyBHGyDwQ}Otc4)JO4tgrl%Q*&4p{+1V}iWkmuNIb z2qp+3_ki6rsTXILG|CNAh>a`N>w|52t-JjmaSlofD?*YC6O+y4nZ*(+T*`kKs(hhH zJI8Cc8BBsXLP)lu)uUexr7IOy-|IB1nXueOlap=_G!!~#xC^5#-t6|fU3_e~Io#~F z#H{Y#NP}$dMUwDXS3I?6&qVy+vximQOO?Ll-LkB?Px?GLtvK!?1idmB3so<%QX1;Z#M^DiOUC>=)2EhXH2< zlZX>gMI=Dc00&ZnLpQ&o(O5mHYLAJ*E$pw?88pjeXLb>)OR#U?{xqQvz>>rNv*hLy z;SZyRl7U<@Qb$ufQ8neNjXs&JUP?zjR`@g2z5Jxapz$DAf)Nb9GJjud3^uoi{XXu% z97mf8HLAZxXNXC(>b)Ly(1;OeSF$m;H9_#L2<%Y|C_Li1V+rt~5w-2i)a10xY@<{c z#4PJZpil-q5S|HE;1tnKfwbb>2;s0vh=~~^2548RSL?M=_;9?PL9bpzoqV~vr>rL z{1kZAa+Ay(T(>3w9FR}tif>^S+4EAe@MN)uMVQYzdnn zG>9S-GpUzSA-~&U)5xsJCJ3IU6!I?EdLe7JS`gOIQ&7+|f@iiwYMt%j z-rmmUpx@>wnyp^9)d6%3*@jND-4u|Z+Ud3G)m+$-0Owc84E2Ie9l@XD41r{#vbkw7 zYOP?0**L0RD8C!y4@yt7jH5&FN>vvddIvg=~S-uT+7GQDQ5Zf$+-ALJBCywxdyN zbxMgKI)7L&R9=EhBLE0iT}C^NHj`4TGR2ym!S>44Bs`9jQf-!yZfnr(vYM@dBxFIvs#!3UVKJ(uBsx3=q`f&`Z)164hA@|=)&p0jNihO) zQ37B~;0s8LN}Z^hNr^(ejAlm!T{f5u#*^1e*@%znUz-z|lO0(z?Fwj79fz;f%IU9x zJvU563XFsO-L0Kgla3GDz_~YvBO;*N0tz%aghnM73IZHOTC8R?deS&NUo;*zEYf?Q z5+Xea44u(nv{+ca1{NPBuh|L&C;St#iC#oMk;I91BHRfv3Q>y&g4*a$ozKcZkWbYh7k4+C8cw(FN^v zyUk{|$FX>#MZiI$)hc+`!lHr_l&p*PChM1B^JW-3_$xEir4T>U`ZV&5ELZ_g&gnrP zizf+|a?M)>aw-xFAmGNX(g00L5g zOHp))COy!!+F*Nu`E5`;Z!MyCtHmNZ0EbdGL1S}d|8AQYZK7#ovFL=@i0%q_5g(xb zF!Nov_KqI!ZgslNb{Cy1Ukltt3h3d2jc%RbmMW6D2CxZ_CI~~$A59UYX%t%6$NC*+Hej8e$O2(>(VA`y zhTWLPl&R9wVEfz6I{X6|00c@UOW6V&NFKod3pt=sr9r7cIn*KC4~BzYOHkphF4+s% zloQAhiI0o9520|k(}Uyj^rBzRn@YKX4JZ{_i$9WXA3omO-0b&j2){Vyw-w$v15Y5x zu(+22U_M{Zc~r3s=q(JZWkFv`vwwY^jyu}y4aEDx3ygYtH%7d`q=MYm8G~#U)gfFK zDNw*a(MA?}3R(v~%o3ASDimvS`4T#oRSg8VDOD$ZV2&M-I+cDMZi?dsGZAyaP9tc6 z02KLu10!f*ru_l(+n3l2ayF!FS?MiAR76^JZ0P**9H zI$N^2e|WHs%&RS`pXPw$=K%P%-R)KKAvX_!k5yti52-g6gIn?}PEAeBC`hS9Q=q3p zh%{vzbTfdodhS?J37Lyhtq&l(io^+DII3us=!^QH3NhH%j`TW%M5?q)s z)hIGqP4el~5ablAaItuSXu*c5>jb@k`H$)=irI>g!M2CPZl4i*1i^#~fj23#d|o0x z25A+Y0I_)ZMdA{zCAI*xEIdJ_+CZp4L{#dWspf$YcegM)_~rqQNHc(_&~4-+zC695 zMm_J<#8df1kakQ^Mc$iJSxgqA3i66$qpPn*td22kC^a~MntndJthe~$f&e6S=gT+| z$_hcWHU!OFXQrCgBgLqX#ux`;a1d!al@#0sh-@^8S|No3^tf~axEQ7F%`STl7dfKl7Gm!dc!`J)oOPd*{F|zMBSV;yF@Mv(?>Een7m{cjXl3mP~&12 zy~WIm>2WVFuBs_S)i?lRFSR`qNz=F_2|($UTEsNbT}e_H5fj-NdIaclQDs5xgZ_s- z8Y|4V;d<~d@JWLAs20+c(cuWtnYoV&ZE8M`VnNtxt4j-jYN=f*p$mZza1ebsXpM}q zc-qNWB$-cOV6=vs4FLgRo=_Ddl|?JRg1QiiC7)60y%{9lTbpfxzB)ZIxepGYMG(Lo z>qxlFp?EGA3&-L27gpo}C>hc)VksUa6$^P?R?*8<$v3!uU~K~@Q)-32*Aq|h*sLGS zA_6!#8)gAQogOQpl=nF6;zaTK&Ar_M?v*Yyz+lxn$qr7If%9UX4hy|VG4%r~r(A{G z!6(5w;z>6*2b~Im$%oVc`M6BZ64676$s(mv^pzPdGb60pkaN44kjaUus-x|s62cmW4375NM;yS?>MI={pfg}qdU#TTH7mtUoM~=cLY%>~MDKUTzj3-kIz|`g? znFvEQ1Xnpi1o~g2?k18Z54eolhpJpu0c5XQdmttVYT!cZIFJE*gCjSPmLGKJr6!R# z^Tp}I6ahA{P-S{ibe7UwM1U#cp~Wg3aIqrnv)cnHb?W(Km_8@MG6p7S1cvE;hZntqkN~k#?@v#ft0an#+=R^K7 zJ@!h%0ma2Qcp}0yMX26x_eJI*RuF*6K)v=51YJo*T;>tIKR>g8B*dgqC^R9SJ_|EO zc@KaUtRfU!zfaiew5YNPX-sn<=iWQottAm!CR2dhW*bkT#)9XBb`ni^h)3{qjgo|1 z%=VNk#C<~40FYJ{wVs}|E|W3+z_^I80OdMXtt1R%1+ZhR8+EL>BZAcJB5wg^uDV zY(XKx?h2>fIXn`Vf$cJ3D_TqfVVCkWH_>=-xdR?WLxxIuM0Z2@SSwYhZgD(iTnsVLzxTk z*b&f~tdR*>HS+b9x!EQB%IFZ6s3BMqDvNrl+~^8&pQ-56tJB>f)H^;-m!;7pE`kzi zd5c~=ro^tWVvsrUfQvaGrkli$uDGLv9m4HEEQof4E(dHn30;AmE0jV*iN;iKrVas( zvJVz9N=J;t`)LNQ13GaB+G41-*fc_xkYdw*B%LePP>?UI=t}J>N~OK;Sp>WN4`NBh~|!_i3!Mp zP8AU$Tf-dflFUKQ?-JaYfA^(eLFo46iuDrWsn+)14%}g{yVa#J7LSJlNFtEA0O#Pj zv#>fGPp?(W)0EUGRI76fN~@R4G9Zu|-3FZ5KLC$(kI;v`ARh_4XxHGW zn_ZGJ+D}R@Vf$b$*p1O73Aop0wjwq$+bICJ;zV5ZgTBIu=$aA*t`OZB*pOof7_fLY z%WP#BSHTA9v`W!R6>v0CZudCjP@vgN#Q{6DN+MF-8gA`uZ??;H|6N=o<6@@FkI2f0 zWC5WM`uKPpvY0GahP6ndOk~hTCzsh0RkRGy4UeCmKV{mWI|Od-9Bd8AUjTP*^Wk{g z4K$bJi3+%ebU;85VUgcq=c$J3BHCj3>;%B0-y|9N943KZk=%0lggH^%p(SFplO<7+ zGTloJKu?tGEyzU(t?&p=8=^`JZ8uV0uza>kXtNMq<2*X8Obn&*XAR>otlvrP2Ye}3 zGl3F)_B>phf+WuQIMKt5DA9!76NQKS+uuG&O z5b?R~MvhBCt`pV|_N4ki_XpuER>Z{~Ryco$-~o|fnyG-$n87?zcd|z;d35f$ht100 zP#ob9*adP#v&CgYsAIyB%L#W0J}FQCI8+?&Zw>~ngj;8T^s;(|wBL=mKgj(O$Quyo z1Uy0s5)^X+VI|qD+AL5n+x+IjtMoa=%=kR%a%z@Nkwu)eZzc7m?w8y-FQA>Q; zu3ns0RXg2E+|R|2C|xrB-OWKO>(Z{Tt%(b3(ArqIOa#3ZJAemZ2CSahI3dsTg`&l3 zuY-Z_?hT6BVs$ta^m?BuGZHy$Q?I{G17>qL6r2U1SO{=9egp~mY8^VB6o-&N=ut!? z4nAgt$QaRMBkFZPP!|=tRRn(k47Dr>Pqa65n$a4$+JGF0*JA)gAT|IAGB3yd^;iJA zqepth4FE=7N0?-z_)5TKz23p4Ibu%0XJ8K7OGhXhh&7wVa=MKgr4}`+RO_G!;tgU; zHd*K`@Bsp#xL^T=y~u`8xxQ$j%Ixs&{_)vfEKwh@PB;Y?L5BrqvCBC9_RcPaMn_z) zS89}n0SKf|%_|NWyI|>CP%EGW+P8S>SRxt;&o6K$yCEf-aBnze$5tyU6#Qt#_ zA+W~w5j~@_FV+TIJBQDn9S}09^w3y#Id=dL?Rl`(*4A)m7ut;mM3aaQeG4rFQSzsM70_NxG0Yk?l_YwCRa_t!#V8=gU ze=J5iq4+wnUfg=e!r!K>$qAqXNE{}VZ1{v)<8lWA2q#JIs>Rt!uD4i>)6_1fnV%u7 zc^H6%O=VxPAu|npxCQiER@7@=v`v0=ew;qB5H(A()a=sAKYKjj$VqJ^dBB@6Y=C@= zP_T`-ZF?}-;-FcnXxR&*h4n*1vwn)nCS?ev7=AMtP>#`^f|%-4obuN1lhhm}E7A%OU;RxspN%#8uPF^9OxnWBQgmZ6dbsPFvy#Lhr$yu2rj>I*{~6t$;1w-V9Nu( zh>x)W`P=KCTn#W6Ko1gyLy;a7N@@|_Tq5{z6ECw&>^R>j;6?5a!_Pip0&GFLjE^5Z zKcXNQoh*?I!6FAoYa8L-0QiRreFp*ovRBkLe4dC82DkKRoIT^ZmUN0Q8up`iv z3GJ%Tsv}+_bMON-SGv~QK0H3&0rAsDtI(R`Fj+i@&(%V`&Hfe|Ok}83{?Pp_zuRjH zMw2@gDI>c>QQgylp%n?iU@^m(hwwhaXTy6m$(HWS8?v}g}4&nkN179#h1$p@NkUEN-B~qNof2;*E6&NEJ zfStp=y}d0$z}p2KkiQB4PASZvSs)eO+owM|`#!GEq6h2?9v~bIwA!EDFt=J0gD=dhPen66aZazTbKpjfb9bm1#Tt^z&_zL z-LA-Pd|Lw0sHTH!q?RM+iEB+pS2{xeyM>E$u?%nz7a$eV5fz8d<%a-2k-Q0#oIOY$ z5U8dZwZasp5wcjlx;Vp4Ow;y?II6w<{q3FoJ;K$Hr$q?>Hq8qeG#YoVRAa)`$t9W| z27YLGkO;VRK3i)Jj-CqGP9&pYB{)9bM5BU~j{Jc8q_%nAVRNC;23)x!Xdj`&6IqfU z5Gt&n;6Q+YjIEDyr!Wa_WFaH~YPrCUGKZ!HaExJMk&ew+Gd}MUA&tKljZZqWAYlQC zSuTng5TSrPxQX}`NiI`HEWmEo%DG5`o3`i_XqB=>t`M9s6ls$m>~NFcAvXYU2NHDO z7Lc_n#0a!=(lmrnT8OK~#1(4+Vqlm74KiV>(i$G_=Br)oTTGS+2-DwcZQ?@J1{rO* zd%)GW`-Fhu7Flphq&zHxi8fK_QTMhO!egVjO?OlT(t@Jy6?L%KA>7JtW8=Z~92P<< z_zO;-Y)-l31d@q+p81u@F@hWzS0qIfTSIEZ>e)MsQA7wbqS@e$Yeqe$J~+uZI7Ev=|-5F*mtO+=A&`k0O3Vq%aFObAl%P^Q`% z?pCv9DptrEXr4BxPFx?2(iE#E@a^p#i49;DO-ON=$bbkDa%-TjD2D-EXk`#iP#u(M zLJ5(&;QdjS0LUA4l8%e;Mc-KjK9P#aXq+)O{D`?Pt~6tRglmg<&!Jm{uaB<(5vyh% zi3DQh@M+<2ud$C(T?}-2%wj0ffTDDDc2<`nQSTf)A&!INxX5=4)UvtNrzs(hrcptj zMQTh$f*4RZB+Lkuz>o=}QSown_n?}t;>lz%atEgdsm>8X>Jd`5_P7^vUxYyXYPdbz z;>=k-^hdRVeu-o#$|96>ND1kKAwWb*gUV9Ki|7ee%Ct1O&Vh5pUEwr{{RUDV^B-Ju z!yPYN{mbIW0V2sG1L5e|1t1Y00r*^I<`UT-v0dW!H;>om7V|-255v7Qaup0z%aS}j z7kWH76#sPt|BJ(8#t}AgS`nByAv%tUI6X%?PiVUl9|fHbac?g5UnG($H@5ccw5j_2 z9#Nm3I%Y70wi3ynIKH+2cz^$3ZVck zunavI+9+rZ^I5{YxZKffBn6Cyr|5HuSdQEed*0nMe%qW-Nq(>~LRIJF{WkME=)zR@G=g$o|cq>VpRKQswH)z$0DRf_% zWHc1@F_D!7H*&$M7_p8d@}HkaLH#)uD*LFZC22twK+xE)=6RzeX#Q70-IQon`hNA!0w zXCQ8=5K(~HSTV87qMe@qTeP_5kRU<3%tZ(gJK_e)xI9r2&*RasD3-XRK;a#gs(uFzw#i38D1 z>$mzKI!v2TNE+w=hdAVB?8m#*l`MaxN@Prth9RoMG9r4x8xUXPF~-;sQZuW83<3o) zeW(*aEYv=w|dJn8Glt*_g+{^-yM=IRQ-H>{6m^QjB86 zP*TEn3Bb^i|Bt4-`^bE6|Hseo`?=Qb+&5FrbYD6Xeiu$tj5>kwhX9iAY2w zA|hfK+K6F@Hewjo#%wHxwQ+H6TpJgkjnBsS|M)(h@Adh$)|#0$)6Dd`J8quG^LQSb zuhwVuOzp4gp}}v)ZBe>H3$Hsh6px8{UA9NpJBy$zfgNF;z2gIJOOl%^h{wR_pWj;i zxLrt6K=TJXS;e%O&D5*mW4@=7Ec6k2rR1v*vYj>)o$oec>844f+v0P94` zlhr44JTe@CThK&KT!b1^=U3tunf$T`V*PGKLWPR^GBh%SZU%y~6LFP2HB5A=ma}8+ ztRHB@2U)&i+}fr{etShR0~m+Wg3vmfi;JeLtUc`}AjL25oP6A^FH80ry`VnFvfOQG zCl>!sTDLAPo%`AKIR|)gcA}WIsQ_mEv&&j<2#W7g zpoeJ=i7pnl>iArLA5w8y9ibnbpU7Pf_ovy=Sh4(eE&vrO7oM;5$Tb+cHeQMUXFym! zhLPW<1EHISQbIK2rPh-UNeaSa9fTN{EJhh3RoJ!IufrW}nWw7n8nBQVh^8@9h`F)e zSle^cNKgCccUOzcMn>!fzxNO@&DR7qA>G^DI4AE&3te_~%H-L<9p$thE3v|7>Q zm=stKTfI9VoSZ=*_n{kiaZVQvBRgaXad6_AAeefm6VO?IPG2WkP&qF4pwd>+#n{>PTGR;B|MwynhwH2p}-1|@#F|Wm;~a{!P(7~VMZ4h{C-Gj;obP6 zPh=x|d&pgL_^G-S7Sbc8Z4+a4dVUp;@ct4fbweRDnwcpekyqm|@S5b5ZkL$%^ytjr zBis!P%;bCA>4aEa(K$6dUX@e@Rh`iIyWo#jhSFy-Ez6#q8W)IS&M4B+&X?l3}8Oym+{vVCxOwlcqn#ew;N zD`Qog*sPf+g}TRq%J8_x;~j9HDv z=n$z6Hgs@!oQ?A39mhbO81zQQT(EY2ek1)!^aIV47Ggnw=^eMWI$!vd*&y|GTaY8i z(Aqq{Il^60{BcN z@sAhe7s+Ghp2Sj6W$Ww!0gcY{P&g_VVpCG?GPH|}G|e9drPL@a0+6KWKKA_~)LNa3 z$b>E{t~=_SvRI|;t&^)`-HAxd@coUHHq{Uz+JW`cYP`d(#>6Hv(p$2@xZ?-Y_jJG3 zalR3)ML-cI5?svACmtqNA`u9MY;M`zEqob09^f97LqZdw2~Q?=FVc=ql5$D;j-6l^{9Im0!X$Tq>;yQkR2mx7Kn9^Bcq!~-ayAp(I*O2v|L!^ILK`2-b?E+s7Liq`|{0^UaNVSrq;bQZANE62oM5Gh%5fwBDfG4 zh3&hc)y46L+9wNUN^!&Hr#yU}tPF#YN-CrwHT*Zb)ECk2p&q@iMo5s)ur?i zoCSe6Ki()(?t|?mHH!7=@$nHkX8(s*O|O4z#Ef1dOs8m}y^}5lhVNWDft)fhYkht1 z=5kxZhM>`jV@619HZg%Fitcvv8c|kR zklu;UWqwdv#II$)a9-)#~U( z%u)@WoSqQ?DPU~~bq@~Cb~aTE1K1SpB+8ftaHFp#qz24E8BaI>svrS%gOWi1#m{Ct zX-nJPBF`0_5kAE$m~k1r`8w~a7*C#NZmxewdNbtW2lpv%Kl>hcE@Dc|9IaC*fP0+< zJNpEOJb*Lz3b+B^Ga&v)JP-@OH718dLuRO@X)E(%V{5TgN!4y|E(@Z$)_^cp62$-_ zbP1yAN`DfQvVYp2*k?=?U95+=7I zcUeGMjG*#>#7lFvDP2O6s%X(L0Em>4t8p)+feW){kJX9*9f8M#vu$4%!nZZz}}Gg<%-2r&EigSzMf6JK+Pb0M(ZtZ?8W> z=>mYey{XiN)8?vj>OdQMC^O?T+RtpqR#;mqw5Y@wPb4Mw3fb@!4*X{)Vj%Qkx+8kf zKtSOWBAX=ylu4jM2)VauOl$AbK2T3oExeTdq#GC;-drBq0!)|?7X*md;R49#Oq{Yz2w1v`rOKpLA&Ghr`VmVX0Uzly7oVFDBvQfX5G5ofP*dsER>NCbssU6)yDq>IAFG`)#Aw_2?4 zT8For^e^@9gfa1UsYYg&0r6|s!OGa>CFdKmfNwjLVcXrIec+i@H2y*C@xEYt9@wT-)0c0VG*vI%@ro z%ve-3H|=Yc%&>pTc#2S}8o+N0OW5ye2LXu>pg0kW7hi9w`JXrevk;kr4E?ZK?txd5 zkWi3>x1d^Q%jc)&H+Rnr_rlKw+$g@qW~sixu!+v-Jvul-Yr{nfZw>M_Jb~w}O>M=m z_}Qc5o&B@j#Z`dO-e!^BFab=T{K;=p3_cD4%Hul6s09BijW8>6NAZu}PIV>F*UUUl z5TCjFHyN9ai7P(tz=hwH2K+sQlkSnl*B(Ggy zLyRC`)bkqs03KHIK&l3d+OyORFgH=70-C7C`3pzV;SkFmg81+Y4m2|yA3XZ)`Z;<7MH4b!0(iwEuHiG zJN*fUBI#k;02_gVN8$)#`Lcgz!xeW%R_yJJOm1ln-ak4u^>Tj39Du7&muEZktVwNQ z1`4shedM{qf8lpt#}rljSJ9SD(X2t4vELx zKW6@}V82(l@Gn~#I`NJct9f>n5QhvPqB^D*qaOJ14ibkf>Y0!d3JJ;#w>9)9@j>u( z{O)z&+yeUvf}aCI9NxKCyep(2Y;ipit{vM&D9NX$#DY@WFfKNf5t~7)4-AY`MD05`-o{ zGwbns%fWRE>{EePJ%j(Z6#L@g^Zp3{;@ES~9j^vo4mE1rhnm_4Vn6;+?=CA1e3^-OiTw zCUGDU2NB@LR$3GGcUM+V*UEESO8Y7BvluQt{N&{H)Z#sRcOV%ya3S|XI=v;7s5^gx z9D1&(y;Az}7rPT8AiKn*SQ=-Zd!f z{*$|_gmdxnLZgfbM^<7kW~#Lc131I;5|#Ctw(2TdAj8x3vM+uJq^^c)-Q3=JK=AX6 zu$}Tbo11Aes;B=?1R>;~Ra9g0v=5m}VIT02bwjHHXr2R9CjP6Kx`1Zhk;N=qaxaF2D7v}L@h*XIk{QvI8nAJ1>%>UkvfrTbEK0cu6 z@9msiKRrI(eY$kS*s1>Zh2;bF+E1U&HT)Qro{!fzf>+U7q*CUDC38+NO{;T6A1BNU z_6+COfxJXT7yy3bn5%Q?eWSV-{2Qw_{VgtkI3-ej9aqxCq6Q%S7xZT0_!NPl*us@% zVF6rhTKaF0l~4xBTmBQ8d!T&oJdZi>7au@up*VgC!9bsN4{`C?iXt3j0b=;LhYW}C zdr_FSwZvaI{crbQzusLP!G6COd~tCOp(VY_6LI{vm$#oEjdi>sRdXYLyGW}B)r%EE znA38$vB{iVm9_09JXPgWl|Yq2MUbZHY~z9F_=~<(rZ6HT2WTbfPSQk7lv!zf0+@_9UgO(DBQ@3C`pbsFTOunfjh7A zY5h?LD2$@jyZ!D$h6H&c6Wce&H9Gyrr-!G{AI}d??>|4>F>xkiEKN@@Zf+f%neOoM z{_f#kSsaBy563xF2QHJVIj!H9&YchpmP~f7mhlPIx}Hj%Ec=INQre-MI=buneCeNc~ig;hmG>t`Q;pA-crW`kkD`b2Z#GFVg@ z_U`Ucl^!2aJffy~dhzL_f!9x;kV0-g@&qjTh3iWm#QM2Fg&UT6x?;S;z;KH9kili6 z!BoMRey`n)_k>dTv2`d_Dy!fxg;7dZ!O@h?`9HdbBqKa}qL&QejMj>jM#RE!QJC;7 zS^cVG;|3rgu0R|>Ah9u?FA#XPf-?vR;GG98vR!~6vgPXEHo*VdfLMNUgjeD9PtWe+ zI$8hyqd8q)zuYm?pY9(%VkvQPD?(X)3!m=4JU)G4`hWs*7YrT*wtZcELi1@!JL%dG zfqI(Wwhv6HU`y8)^|b6u7|=KQdgfov)AI42P78*k&__q?+MLFCwT?Q>0LlmCnwS4M za`gob&|)DMKqd@m^@+|~Pu*zSeXGTFchYl#EF-)Ry z!iv%4=4%!lze1A9v#Bji&A{0gsRBh0gtp{BnT>b19lZcJ1%COQ%Wm1so+q7B9}gD; zSKwUMAD74e+b2IS+_P-o2m%C*fz5{Jj<1Y7GOrci<@4ji7e4;(^OyUZTQR>wIpDgq zX@7or#N4<+-MK2xAA#)<%;`&}jl_o4Dm}Pp!yV0SeWUau2mr3EYx)46u>C&Vs;je$ zzv-Ms2eWL+?t;JY8f1|IU}IPPS?>E%J^IsN@CoB*3zb0$vE!eC;3drqm>>Kr=m!g! z^9PG301W<-|SbFU-R8#Rla3#faiRn;>~-&H{;m4d~{nfnX68N~e{wAs*eP z4bLte7$?B|1N;;Ia{Yz<2b0=5F9JgZFPmw8;{D=(#v(;gJQ*-ErCikB|fO{XUajP-|cIeW{1ej0I`hv0i| zQ1tDDWaDyO>*kCBItlOQ>08d5L2d@UnBYDi$Y9t7e3zIxC|6x(vF~MC+Qlw zm_0yG07=ETH1?#82gV7glB2GGuE+Yv7SwHN4tAAC6tR_tm)C(GrxaVvmQ-7f9h3)N zUFRYI36GNVhem_{Bl_Lll=gFgLQX2=l0d-N`Z5p@-vkkCX4+lGkO52RaSi+zdkFm& z@i74zcBl!@b^ybO;B)o@Kqik7i1QEF=fmplnPz5#Ov+S0xV(ni zTZj7228p4Qlbc&9!2QQFO?Ug8Jr9VIy|${?IUbs8qD`^3E@4gk>H@7o!M{4GWs~S6 z>N_wrIVyNtT&_&1swM^26^QgK_I3PX!Q)eXT`&*q01k9&b}_AQG)^Sj%5S#QJ&3qC za3}=;elffV4%Qb8zX-wGHj9Ut^E6}23yRasw=o7}GUM(O=As5C?Mo&Q+s|Lfpgo7+ zMU=-!sxc;SBMI(4S>9{2fcCMIbxR;Pl|)k9G6 zOc%NP88qn1@bn13RaJsUWE+}XtdI1J?+F#OKK}gxM85(-*B=2W)W|LEvP|7HZBYdP zQzj9~Pzx4)WDbIVe5>{ymeAykbk_A}xCH)UwdkKe|v@&@!0tA&?FKk{`=Y0^_MUAmnIFEaIE|2!T`u6 zJl-8vXkuibv(uyv2`R>Jq_3lo9=&TEt?A)z^Rg;cHb_o3wL00-Qr+hJT%pUeJOF1O z1X1S?F&k1hV5VgJ6p*RW?k4OL0vF=1c2^yUKLii}T4$s{R)imERce2Mf3!mg{~;ON zzEfw+(x=5kSXjb6%l;eZ2o9DF_}`S@9m+Lv1(3>6PIlLZXIGAPQ{YR-iuRM!n~#s5 zu1-xF*)$j%IJs{m;z@m|Yh+<-Wv0^G_P(t&GNs>lbBp&w1C2uF%=p06OxK6OO0Tz- z;j`oQIjy=A7^NJ5)rVeU^%wxjfFfATu}2|qj?4}4l!Aai$<<$m2}eLFo`7``Vo_C} zoecaVWlQ`MDtw}!Fd`hicaJlc8-M`ibgkDfCfW0Q@_$86kh{b64Oi2@%M>t&6Xp~| zv3>3EWVW|wY}=F^m7bmB>o1RR9=*^wEeG}KrIX`NcXKRzPk()R)@Z+1P5m`5GvZ^k zaUEi~U}NRs>7k~c!JbmpSH|AV%y&05_AZ>bLEv7YkmSifL;y7VgM5^B#PyK9#jOL4 zp8){zDC_epydUu#1_|*601yFL4A?;GuyT83Y-l@-F zu}zmMGizsir7pVqANt2So*4oBvU@<>51xV4Lg(f@s^|ESaGm-Mci^Gl0N0WiwZ^8et!coyOPCmv=Ek!};? zxaXW%?#7tYMn0!4_DK))# z-d>(r>1uCy)?1!lo9G#sUAKN2&=ow}{Q1?Hrk5@KE3-|_|Mg#`=g*&I+NQBb4L$n4 zYf$3EDUtiDR=T7BgJn6uNTszj)HgIfH`VpJz2f$G`V7C|2ld$xR8SZ{W%NAw$yu}- z+&H>E!d#>x7B#ZDG-!nvna#ri+LwAMp?=ESasH*0xcUkj!=Up|KekI?e`L0n?*`Zb ziJl!|>hx;op}_~N&pfBE&dXD{jBK7akb zy*t7~?IyJAdp7e0T~X}c+EvEM>?<`j4UDyS2|b5uvtwOv%6;Q|$0#p=5KYjR=hzN>oFu%^qr%Rq4dKMNtIT%$?j(_pTBH)_qw6st*Og1=0c|9 zG%RJJFRVMdL#@>M+ONNO{_<^msUK}9a{g_t-Q7b&I_EXfR7d+eTS`$}>F9XZ+&x}u z$Ho{$5a?@>qb~!31c9evz?>uh?Ir%C$){hH>c=TLW@}{ItY~gX+sEfXyKT zdJGvUFps>J#j$+2zo~)g-{R}sd|pB9OY%H@STHhcOUjQEiVj)CNG`wSdm8SD)hALI zHD=Icq3TSn>wO10Wk56bbsBO3wE)$tPwc|@wV`ms%XABM`JiT+12r;$IBBS(ITotw zRWZ@9XuNP)({{XB>JK7sGHN<|4E zxEjz0?2iMZ4iX7jhR+7DkfNm7e0c>!-UW~X+t)G(Tjr||y~^Jv1El`W7&vi2SBgEs zivU7-^6~)}C>VKSWriZH`vxQ#eoMZDkc~s6CqK6dojfMgfuc@(K~Wy|I$2*12gP-gn4|hpKd01zThLkl<9j(ggzq zhAasE&E1+5{9(4T|8~Tn382419~eAQkzca|)~^CeC~XFq#f+RVQg)7y=wgh~})5-7*wxzmg_LMS3fZ7D^ z#|Im;h#rPMEobpdYgD}*ir?A??9zf<9%cf@N4hfIvi03t`jYJ}&0W)ztntY>?WyHo(-w_BX|ThN&z}&zSu)zeqOw@JReqhorgb043~F{Xg~sA0l)x5wQGk z!12bCx%$a}OZoweh+nJ)MvM-HO~@X3>F&B*fgSX{KsYUe!y`t*`)XVQ8&;F4=(CZ` z;eFDqt|^AhE@A)`X{zzhCzLi%k9TJi&6I~HIHctTDR3}YGX5ET%Kr>WYOFzK~7IU!Kz6#PE5V_SZ+V;rJ1e*hCzIf{-bUou$?`#fsK&Gif9Y)1j!0@8CWH19okyqLBU&sGf931>O4R zSG3kJf9ZyC=8C|o0#+X~VDbSUp2@5yY+MbJYG%peX8y8Bx3L^VPq@7dkX1|-l+ z2<-R71{9^vf*3G>(jIB~kf@cAX+4;5 zGA{g*eY1Sh5fJ)lrI#L&w*2lE%6iSM<<|BYih#-vgXQY@ps1j$e_8G4TsiP4=by57 zK7e01v{As^-i!2_{70H2{IN0dd65#&W5`56Y)BW_WiwYU{3oq{Xke*-2ljCF-2(9p zg9g;_iFx`#y{$Yvk63;C!dv(wLX-|pGR8kBm*EzXd)p+(d4u6`4&OI|D?CkfEqdv@ zdOoKB&?<`o&PDh{`Ty_}DC-K7A#7LEooIpB3KTzem`J0@0 ztLIg%PQAwRiBc8Ny9)j00xzLI#h(GD#mAo;?*3r90t~jVOAkRAkG{Ww1~PJX>!A6h z-F-a@EwwTWS)Ex{_(38TmtmqNt6Ls@*;)(kZz!X1H*>laUg)i4Tm|@pku|(JgAjJjm z;rzXLD`z@`kFtMx15vk`)=)S)R3l4rqpq*n=hAtLQ?9YHLd&7fS*04)Q|1=dmg^xt zu48FOi*I>$gqpiq<=o%sFoR^4W48i`0$5|~=nz3M%J8kF-a(#mVz@LBKs?4D=%*px zN*57aP$)$;QyEjep#5OOREQ$}6aC8hA%N;134pNhS;NJ}Dfgelmeraq%lAdZNQ@7S zV)tD8WPp}Mj>Piw@LhJMKljC=rTsRb=#hhZMY^aynJjT^hw@$;6_|b_Wag(O7#3g;syk0mL<1zMO`uTWFQ%V}U)6H~sH7gm{Qcbw zGCO0=8?cWL!~a+QN=YOp)R>nXVG3QjhUIsK8~RL5|*O9)ZF;?#j_V?H@I2? zvVKh@RF-OE6jeGgwEHVmNz0|4epJJ1dr7uZTMr-TfVPFP5<1%OI|TM-{KjIdmTDMu z{5D!Rl<8^#T1DPMf7}n@Z~TC@jOczP+UEI7g3+z z;tO;)7{nw<5{Os?x{c$LOXKC_CW7_5BYoBN z;}dMy4H&zl#x+iizsSpJ?KDSHqZ6I*RQ;ucXs87Mp1JR0-=%pqHnw0!wIi{c7MOw1 zUsM6z2T*IFK;c{6lS1)0w^Tm_3$`b5FPj+~pf;GyCmDiGADvpA>Z?#dF-H7FTib_b zDK*HZuLGpUQP`xi;d%pQiBwOI>OpTyd%2fed;5y=R{Mv>7iNz)H4?C&G~L5Y#G#&n zfk}8ucMn~Zt_p3M!LF{+X(I{Je9QoZLmg(kx90Y9?|P?PbgeZLS^zkMP+s9*ux

    OYKGJZnpKI5a5%(AWA1t`=0GcAfhWS(v zpY3x+%#&TJ4fHm>O;-D?v;Doy6>ede2^W+4LFA!A7?J3-w+>8IJE{No*G4;DG_**2 zS{hzAzHDsy&{NTwP#qfV9ULo@eO#!GI_iOu-huYE5`moR+;D$ESQwsL+&;?qMXdm4 zEU4)(DjQ^6N*bKQ0N&77olfdNU$aYf22yEBwMC?;Ie0Z((|)n8VKVME?jtgE8J*vK z7$?tV^=LQF-^T>WvRD9@Lx?8-bM79#TMZ-5IKG&+@oIf#ypuvON#qyLKD51SBPHIh z8FY}6k5ZD!rHL@TFO#;rrt4!JJsoW$Q|0%hVq1FJ-!;5{|FWsQrFR5VbbM6BM~h%> zZh2~4&h3`;wHt3VP@P+>4o{5r^$d>9uRu7QrA`0?Oo;ri2iwgU7p7hV9RBNeYH2C^ z2ml#JnMP=35`{DpnkcX^!1gD1la5$?xoH1zqj@~mFHhRyWC23Nym6s_tiB(zP!{9| ziJjbRwOT{0=T}BrA_(2s@cdb$@V)VMLwkq!9{Q3l+z@Am`dQ4rmZs6=#Y%T~YujkG z-29&ARH>!;UF(MiqW9gyCftlxNfAONh^zeV2c<l0*24tc zm<7-SK?!R0u{`6GbCU~eQ$r&mhR$u1yC^yWiev}3QrD}#PB7=n$__fAc0fN$ua{@9 z>ScgH`e)4KGi40jemUJAj@b65@4b)infjN-!~}c)4nEGr*PbS58=wxB$R|h7A;^wc zi^Kq|1ksM^#nS6n4UH|WbnhC?(tP`_yJJu}WMY)^hDx^itd;SZiBikE^5oobX}IS@ zX|TIBI>8n5FEaB&tgqxbH#I!c+Bs`d-pu0WltYl1BEytCw$X`|$*5Y8xSbrIPla!D zNB9?+XPjvYal+sXN?F4gr}l*nn)hJnq5uf3n0US<*#+1vnn3Cp4_z*VcW;}zI+|ZT51859QtmFR z#UNTpIcB^Pre$)xr?F*pVp5&Cr^{q^b#PMV+vS{cS&w*{J? zGY-QZD3s0B17Q{RPwoS+69S~S-=tHRA0rTvgaiUUQX+}@vjrBP3@Cv?pl^p#Y}f?0 zreO&mBrff*U$!-W5W#5{=6&Sc3)9QmJJ}Mscu&IzgT?!Y$9p@6`o*+;C4LkISv5fd zI#64hnW#+mRB$#l1Fp_U$4h_@EqdxuCbpwCVDZdy^-H1s_*d~y{-Bf&SWaujGmNg3;5}*wES7)?X4J0b}NtvCbAW=FgEdnpvJIwRBSX zHs7khTcXn$lPZStryFiv9zcAZt@O|I4=)?oF+ICBuk=TIM}2mX_npITz!}+Hon`sV zzRTH%5h)JJjb`9|q})RRQgVdoA|3$sz`%k5dI}K_$z;Z)19d`2@D$!G%zx|${Ac-p zA>JG3$85XQOdLDU;B$Ma1Qb|kLOx%0^a1QeT!1Ij+R>wrPCI2wdtW=>IypN=$!Bd& zAUwaJu_?3X)jrzGW~q)$nF?4_+?bqJHSn7ug5zW5>g+^aS*|uRJTr-axAtsba2w~} zBYdoc2~0<$uQoebuQO($8=6A4X>Au^_?$qOhLNZ zKP6(H7%bNY2HaYK`|5(L0SF%?<1srwWr=Ht>qlr_o7;;kieNAvYyj||(pUQCiX6!N z<0z+wQM!idE7|t~{AupL91$>sW2FONagomhSSG5G`>O$9wOXXQ<`^-mEI;TUXBY&O z^#>OvjdA4p&p&w!?d+DMjaXI zv4GeyH$FBc4Hb-=0+4$5@WfPR`T^mFVd5;;+9K22-NjA!Ap*}%8*BvXGtg##XK|7K zHTq{DFs<@tXUD@a0|H&DcY%i#K=srB0Q2F#=W8+%4FOnSgcXu_*Gspm^s{Pk4W~yy z%db@_ zE>qXHUM@)_FY^t#6CRK)aGC!Oe}y=VK*FHn`6u;D<|h)&CnW|9SwMs`HpWnDH1ZeJ z*Y~!R@hOz-tk2A@7$>=+>19iG(j?^drR~l7)J$FVMOZprpHeVmwWg|(qp44iRmOp6 zA=r+L&5jIDq*gUEHUyk8fY<<&`6XgPJBMraoueJOZu`6uS$h6N`Hk!3}WO{ycZ*P5i zW$&D;Pk#`ptBoTyHOs^UIz8~K;WjSek3c$?m)8kkn@p;&HrTfoV5~ZqGQH!B#Hub^ zpRU+NX`XXa+D`9R@Gt;Yv3NnSiQgQU^*i$f09<}z0LCy(*CD_W@GRhukLt|gIx=57Bq8V5c22L4mnP4p{b%LsD($&fvLW1wXJ9s~=RLbjI&a7|N3HX#t#aH1Zk zPL11-ylx&MpkD#|#SilK^wbmqQyt9@zy$!^Jqq=!+qQL`k6oFr&Q!Wel?fayF*v(l zpIzO-JeitVS)E#1+h3ZjmFE`A&CT6CgC!&X;3_6<_Vko9*|NW@Q!~QU^7bkfG&E&s z-qFXymD)N2&19v&rWs&IN1Vdv`LRHct2@brg+Rc>9rS$HHzR;d5-h{s7evLTh*E_S zH|ZG_W8sqos)3qCQbfWzYtKKgARZu}74*xz4x0fW zs}Y<_y+Oi)-?2QQ?Qn3q)Y#P3zp$(yt5#hwOnY)&7&10CIj=ymx->e}J~CZ;{-(2| zx3j6KL;uj|KzApkmjN0uSf;yg(lcM!*_^EmEG!>gU+yeUZ!)2B(OOM~*B~?9u;+*% zkwQ(i!{}`5 z)QHyH>}}3ZM&2Yvhxr+}&)U`bjy||!T)6Y|{ew`)-Eo$k;OBy2)B7ZWkZgH-5vXqh zlCj3Q{~^KxM0m86x3s{A0YdsT_HPjJ0gDs=B?d4%0RPPnBmws5QveI)RU&BJvZMgy zkqV|-?#OtD|5lTo<_Y&Z&y=Sb(z$|{#La*J8x{=#wPtTyzmi#TAfi@S-HC3#e61% znE7u<5CBqAXvg$Tr)O598hf;tVc!c0OFT^|vGh2@#p?lt?1S}6aYXR~t|9~i@aqV3 zMOE3e16h5c#*FHrw8aX<0REl*Iekar2IUUaI2V#W3-i#KstG=o!Opj(5AWW*D|Ocg zCu(M;csKo>W8kG%Z~G@ZO0}s{XXESMcfbAgtl?Elb3;p`CgM`R2Q@B?S{^EQH1~|x z>3Qxg!GR_)J;H>{z2hfS__Eba)fxfSrhs zOp>6H-y=7(CLU2NS>OqM@u^#j;v($=0@KhhA_V*(;(7k`Gkq6R2=6K)Uarzz0DJTN z@pC29QXehNbzI@%^H|-CH{FVoe>m?&R{_a&%wYRxE zJJj&%Rm1Zh5XWTuPb*+1^*e5?F^zE*#$4nw>`QvYz?X zotsbR34i^kE1X_DZhETR^xY|btWS7yd|aKgnKM>}f9`eO+Ut*;$ji+(K~0U!i$FFq4*G&exN zR|#yUH0sy^!$FCy-ai5$A+RV}WVwOOG3sC$eyg$ zC~C>(CXv{+_pP3t?H-`Mlh>vGLj8f9w30|(;hY9o(2$<0LQN=U&-rK$ijfqi@P`TwlUt6Rw4qJA0et zYj`%q7E%{xoAklAM&_&K?nq2_wKp}jyy>p?ylEI{Y3v(#`TWJ3hUdTk^6bTn*G=d@ z=8MwJS0Eg#4G)4++MC8#=t7oS%GH6%#p+nOe-fSvx0#`@<48>h(N1RJn2=!uMkQK! zNJRkPy+%Lon5u?HFXs#D4GgtstRD;H)7E|uB|s+kGv5(wCc zJodyt3SiI@ufS)4z~q1N{_e%JuCi?mw0Q~e+?=>@qHLCVYk9Dzdw60|ei` zfAM-~q`j%Vz2R;D`)4oTHN1K8>o3nTU|+ufAax9#&P+1%(fZXCGA=bH)w0U)jynfFslHGvYmZ3i15P zMM(k}1sU-AmTX7RvAs{gZ~Q* zzyu&NDM%D5L8(^glHT+P%Z(O>n|r1tNPA% zRVVkBXT7e}*O82-mCc97E3KW)FBL*MAaJElGbXwgHf+~P84H5Vd#TD4nDvTFo?%aCT@3A1<7>6iLNS86SAwpw;|9HFHXc<7nJt5+( zS}>6bA&~SJsS&mpj8|eL@(IFe)qW)C^LW(08OiG8#`gT?>Iy1f)qR(6Pl*dl9mZX? zHnx`7Z`}ezWVYYGef#XCCX)77uUmBZF ze*UZR%I{o=j-E<-uFIth|s8aLV!BJ#`Yc4`c!7c#n$1)`L^Y{b$6uY6U z2?4EPWiJ`-hkh5|i()blcCQU-Dei4==_;2o0%y`YHz?5mka?!)94}t}-k?zWx@)kr z1^4}(=2Ig`db&D#n_jy$FJHWCfBo#&Uw?c3;R7OEXOtjDdIpDSd2Ak}lU(&T3+MRH zj-v&FSc+oK3>v5#r6{G5&_e{gnT7546GYKqu1N&#NT0pJ=u*l#DcvjYpd#QvaDg}x z8OSR2u`{9{NiYLvg_{j>4Xrni2ar99*K*9$-uDQP7MHh0t0<8eEwDZDZuTdylMF60)Qf*Kh95{6ayHG zi2Jl!hiU-0mD*I=GSOjiWj@HsFlv#qHyY;5q^qKORQm8rfz0gahWCvxUp01()CRh{ zjFd%wZtvGM^RltwmtUX#{_^$jzuJHX^BF}01ZP<2s?NsK>)UJHO~?Cd`?~>v(gJ#^ z2@v3OKuL=iL^mUi8v|&m`e5_?xxZ9PQz_46jKJTE+<3?iF1LfRIyn$1$f9bdQ9%Bf z0Cu28kV5W53{3Ke%wpY&0I7X@8Y2@bNOoyqolG?Y5V>tt3*B&G?kMg(jF@+J^7VIO`;w9Nw)r7kW%1=Ey#SbqmuFa@m$S}+gr)yEqUlkT(q~ArWTaQmSwoIi+|!ZcS&?yWaMOmoFQd-#vfvvQ_Vi=yJ#< z#06`7{@c(0_{UE_{rvN9zx?*9Ngk0VpfHasL$#^()BC5p+hYyZM;qIRhA8X)z$>=+ z6NwN-P{*#Bm~qVLfn0b!h2Foa@8%wOI(}g)RUO5HlbYsx2nXS{~~s?;9b*l&a|bq&steV`^Mq65dZ+ zD?*ezS0&L>X&>lneDSW?z$jio>qU@=p^omRcQ1uRKmGKR9r)?jXK#$s#deE02?@@j ziP^mik^+Q-3=~=6>(0{#iC=8|jgwC^01F5nX8^>+r;X%A2)?HZyrbt}eT9`? zUXi^ZZ$WT#`}60kf9Hs??1g~59}^HLFjjt~QV!-bDnph5%7E+v4hV{{>bO`5%16tX z-CV*n1Z-0sUfiNh@bGYdL6oo-ia}Qw`-xv;xXax1SgF$W_DyR?)5~YSK5J)ws->Qe zj?PB+;l=N6z|X(@^p9VkziRx@nb0)ZNvPS&$2X6W*SbAB+uS+{*3AB0U16GXgiM~{ z&O|fackK~;LxvzL6<~eXf&82Dk@`O#Z0vu)ejDI%Q9nhm=K>Kx*mmKc*hdy5oMjX~Lew$t-~%1pXhNq^pL7mg*F21qq{0#eEk?`Q-ZH>C@RxUH1-}Wlx8m zg9)0fSop5ryVoCj%?y0s$11oUEzK>jU%YAOCoX5@Rq=ufZIy-^BRr z_U`@Xrw8I0m)B-0WD3^VweDgw;H+N$Lt!*!FVc~k%r6axHxyhB?5hF}t!uC+o(ljf zh=A-rZ&uac5dT-w1<(KY%jd)9ja9P&O4W$~i!w16p!_%L8TeKzU{{p>*+x#XI=Qk= zcAAm_g^6%a{VnUJ^%(vjE-|O;Y;SpPf^v*eOJ($J;TJW?@qxC+FmDGd%e2c4hew)W6d(7_&OGyj^NUPWx^mnC{!4Qo zbw1HaK8#Y}RYoF#Wl0EcuSkBy049IIKfvD{V5}KqMPm&7m;NUW@t$WUFpFuKcQ!y7 zBqUImUBX*10dx6d1yl951-i9-Vzsuofx$kY?#aV)HhbZpQL)vhaV+3GzW#K+LlCnz z(8rk%Vjlx)1qc;$XZnqw8LsyCw!Sq#t)Z>w?JxiJ^RGX72hZQNw6$WpQ(q`&BFV(^ z&iUskN0F1dywXk{K`25nq(BHjL=#dqK;sLoNZ`)}^7+Z3iVR>_(&H6){6!Q5428+p z7d`fHz5L0A@;MqLqT?vCzq$}VvYEKqG>_xR@SnsU_r_0^ZJ=3tux%I=?CXtRI-y8X$3 zC;>QqRgt)b{fMH4jh*aYzZv__0jP?S#aN=;32>aGZ^pd#RdfKe|8fFWAMF`SMRXqn zpD?e0ybA0mdr;v2HX(0?E963lrq^~>r+W-7HPlIVW*)TxA4L7OwwR?;*nn9-$hxL9 ztjtdgcXik1HW#tE2TE<-oy~27m5%1_>W~6N^NU}^ea~JrGM1W-!ms!60K?Pk`PWPr>cvS=Qku81Xl zB%{Kdv0@gx@G2q?f&l0z*DnSF{}vzYpeWBZ$o?o3&dk@3^7->Twez2i2kGApF+Ejl9AvLzge8C>H;IRl-jVw+z{r=1I z7tdcKMGhhEc!o?7Lo>Osp^5tA%?05|VtQYGe1Ezq|AD5f zt4rcqB*C|&jH@0!;DaWbfJmrXSAU+XV|)1vOo5k?VE_+e9J4c76&D2n^02|6W-&Tu z=03{|3=$9M>tgzXe+mJ7K6cL3%J$~;2ncxH_~}ffF|_rNnLa!ee?{{e?QX?v#@!8c znBAi-w6L{#)^@S)J^J?bYd*iBJXoW8C7nhQ&?IUWlxC`Hum0-s)6Mb4 z)Av80t_~D4cMi|4t)H*c40LcDPW=8cAn=IdeC)q~kD%<5CrLuv`pu_O{uKQ1&t+mS zdE|04p-gEIFwgnXG{`&|JXd4*7xIqJZZ9ozPpRB1uRd-ci)e^K} zZ_nf_v+8#Bf?PzGTxf!;v`yt%k%m0-!s_8(Nc+>|a8UvD?TQd&8YIeWAytyG5c>DDIuWz4DNFr_TWdsBm%m$~&#`TI?{J~KsuONtk zz*XBL`j09r6;=>d5(E(nfFyK|&etF62LcchfI&~ZvWsVhRa$17B}GotzRE{QBc@&$U=fkR|>* zHv*Lk7TN3wVG@_taCUQZp*1YSPQd<g@el#ve1Zaa zus?4@8Pr9e(BESHDShz$(%;lV;uGD12|$?O#eJzxEv@hFDYdR+AMep((viK*I6EGC zuaeQrvs=@DU~n7zYde=mo4cpS0x=^n)*^c#B9%m|dXMzbyYlA`+5q4!1V>|^mo9OY z=0DytbXWJEzyIsU$NI>`;-U^n%a;Nl@592#fDpnY{va#9H5rTnRsjNhipugQVaOVi zqYVN?u`G#W>^b!j^$!5B?jMOrx_*Qs;2*Uww;Kuo2?i3XeT{%4 zX7v+p2(k+&tUWA`KL*eVIew9EaF9?X+?Q!pnM}Sgxa zKdceq6d9bKlEF*!A4VXPmocb?B+!x~85u}NS>PMA zOpLxWx6Al9i6{<^xZcS-iL75Wl${e91n+eJ{WiaxZ-+b#mY{~*9#=@GFGUaxO|}RQ zn;y(v87i^AOu)K!bv@Bt9s5_;5i%#@b9HmAbae)`7pGbF(tO>mm!K)qE~ITi$mSQf zO@tEDUtKXN=1F{f{MWx8cPniJV-xe34jFzZ%%*~&8BANu4y8b?)~Z58hhhDW95Mr; zCxDOms40~BN6vGad>3>}n+^exkYEIWmg7(p0)l{j8~i^kkH0;iFwhOj9x(t#44BWB zMbmzAZ)TYQ@WajyNE!qER4e%LM?#0!w@;6cUxevbXBy)Gb-MQX$K69((RYO6GzA+N z1DCB6zTH$!R!c$DfLw(+#*6K38-{yxb>{k9-F*7<-#@OV%`6=qON^2T9CA-hGeKO&&Wz`1ymf5f=xYDZMAko^(y5<=lmF(i}!z`r1r4i}We_*1}% z7xb*r9;AK(f=b7x2sbmm`Saf``o?$aSu;Qi;?U%_l55 z$=J?=i<>Wx-@bnRN(hi>%c-HYNBp@h0%2!9C>jG~N}9HQxKF-8^c2&#&7P@klYFQ0 zpo#eEQ+D#}kMGA56$RD->Kf+jAiL&idHe@^Csfn*#7G1q5S|VJmRRvu%7Y-c7~umS zNRkUHS~^`>LCgsMpYCty7bDN>Pm6rQ$}T#ga{TgtAZa>SWJq3q3|~^k00_Z>5(bC~ z6AjPqoMa?Pit>iNL<`pargTpa(-gp`Z^XcFbq2G}T7!LOd^zn}d92)x)7&sL(&!IY zM1^B}OCSG{<0YRRH-DLNw|9@%-^AFVTbkLI?8kkvRBA+LyM3M!YoEm+g=X z5{oHzgq~@J3FYub8FHGxTD*}t(V4_^PTxcNe!n|udSwY{QN?5O+jYVMeKK0gzd`zS z07M<2kU;_Y=n)O!l)zltzta$)NS>-94@Uu}e^>(O@~6vJCqnAqm|u=GVUS!PK|*pc z4<^Jf(_eT54w?m#|FMp2g_v2lEcZP&v3A5p3%@=+{PFGE(-;3xK)${Dd~-(#@AKWw z!`e_GvGMoTN_JrMb($%*Ap@|!wJm6vq!AdNe22* z|5F9co@mucRG-CDv19%?_t?F-yrh3wb_N4g)dSNl;^hOuCPc)*5^szA-ro6rG+^&O ze}1}q@a{hmM7{>p!S1i=-`?7rFZnqag*JczUXe0Bx;Wt*E}8lR?vd-z-t7#%ejo~r zN0fJSbNBe?!y##3!eoQp?Y#rlX~0f;p!QL&RlY)TNXDBXSxNye7in?swLX*fxUj*{ zbT9-37_nsxoaZm_QKbk8wqSJ#esb+&_uS{aYsM~h{}AB3b<0M6R4H|P{C}=VJ_{6L z)1(X&bFS_Mo!9#>PhVa7`^Qh8KXP2Rw;2a24}jBLTz$H}xbvNkcJOVF)k$JA8WUM0}ev?0`~|$ zhyZ#JaKFtZQ<21h3I0vnBMOCB<2)U8bqu@;VlSGYj075q^oi_0?60Ip0s*;>s}F(? z4NlKLGvH@D08nsNEn_UYrY7Mb4#xj3Y;K&m=U@N${`KqA!~JKVg*S2M_;2W+o}E!g zAPYkv!vGKVE%^bdP|lF+@Z!z+cJLAWhT(er+=JZr=I-v{+n=AQND=fkN3gr2vwM)* z$2yoFx&`Y`@qg8fgJnbWXyQver9;XP#0a_30{nCh^hk&R>QS_F)73vrf|2_9h$0NI zLGe%DZ_b`+mj}p+G{+!FG5+$N!UK8_e&8Mq6TuM+R5iGzoQyW6V|9!K%I^6k3G_d{ z=JtPPxj=Pl^$T+Htyp zcPyYzGAOMP9eu+!O$O2XlvWfBxTu`2n3V(_c8vs_DT*7KEsXFc(gFtnLI@Q94n?xA zsxz?!`%-35WQTtNey!QVcd3H}BttCBUV-~3Xi5#-;u!=B1_R_zDYjr-^eAD#shy$; zvbKHo`0d+|uiqY(0StP;*C=$5r{Rr+ge&$irQpTngS)G57#3@6rXi zxw`uJ@#EdsZ{HuDzCNCZX97Q;tkP|um^C;t2i?>K8~$I?JxuTw)h-M$a?!^&78FAq z959x|cqb%yX|NU|%g+&m^F+Ss{-p<^503>8?TcXtgnQ1Y+2MdHzsvo-gDO2%r|x@O zSMl!S0Gxav0p3K$JVr}pV5l^_w0Cy%^vCDN$A`#43-hksdg1HcXCtxv=v)RUGdw@X zV^qhJ7JU3DL>AC<1ThB56wFQjD0=wv<>AZIVS@V%pO+{w386V@%Jw8XeGM=C;kQCG7G27g$&{D@$T;FPvPU! z$6e%Y^PMBFHC9nI?dTnyU%+oN8G;C)=-)F}=~a56lpj>$ef^OdunF zVo%%qcPK6W;|u#2pB^8+Ki=Ozez}iFxV#a;f4o=2xjwrg3hj8<{$wlM`_=vZ#r4NX z26-aM;7I2R`9FQUf8s@-zC2zZ;n-;1lg^VmqM+N=(@~jRSlkTZ#|9|+EC1}P^!ig% z8bOd12ZZ42bj+iG_r=n{k?6WaSYO0sc)M1@JY!gWlN(Gh(SL+Jb2Pqthv!( z2H1J@#vwi2-jWOlaDR+k(!~jDad(^HiGJ?h;vdb_xI3s%WuB8H30g9VW!|MmP@5v8 z!q}bYy^#Y6CuNMB<^>5N{D@;%K+wV9a1jgQ5Q(E29~Uf(yK=bTuQb3)f2|7xSTqv` zh=<_U^Sq-0oXn8_OYt|J6AU8!PXQ$404g7{V2{RI7$52H`p}5f(`K+J_0PRXyx&}Z zzWZb{$lVvZ_}5@Oy6E>tR~g~}61w_mMuNF%T;QgZftQ2)HiUc&}lAMSe>SV8btrT|y$z_0O)8U~KV za9%4S7j}0FpdLX+;)?bdF%TfbPi{win8+r~N1K!0zkF{KkO5giEyRh0+5cn$DVPWd z2S}H_dDZad9Zk!=>gEX?R-AKve|P)kD;(fH*$|U{$-bTJsZ<_Oh$e_HQnD+zg|)vn zMB(eVFRDG?zNvWq@$m3;Lb@ic8R2JUg$jtJ3(eWz`l<{XGb|DchWH?Le z06KN~-2#}Zb!FEFftP3s|8&Q}jo<{YWAp zfCLYACcBd#KtSw4q<|=N^pI|Q{rYXgyZ2q4LzDUx&J6%{kY>Joefav-Y$R37k00+p zT{82Bm*;BQpj|%li_q9!aCeVizkmPwhlKIlpI;xH|HYn0NfnWrMn42@7a$Ly+|}OR zH!@jYG$CjY{4Z@t4InW_{!S5x2cR@qq|lc@M>8XiIZL0q5BLzf>2?`m`jY*m4OmZj zVz~m%aFt^N`9Uv5XbdpW?JE#w_(a5@f*_!&7$)vf2TQRGS~^ncYiW4(^5vTbMzFP| ze|GEilR*fdo}T`A;tl@zTb3FCi;=tRx?>gt~R1Clv>>t`OnJbWz{{_X3xKmU08 z`t|;7*9b+I5-3Q>I#W!k{ZR_(>um3>OsYEUu=Bc}4#1@8j6Y0L1$2Z0@&H~SOBkp$ zV-gZx9Utw=VV#;UndFixwCf)k3=tjkPqsnqlQ*C)#Y?Ca$hcE6kOM`eG;w2`pc0CU zlBN4x!J=T8x?fLgL&NKruioOXYVj)duibu0GGrvw*Qck?_d>xd(-AJ&XV9Hk@CG)_ z9Qt4vIgHQ3#&19V`QyhQPk(%K51u}4)Tc$|)GQH9l&`|y&>NQ!puMY~=B7$eredG$ zu>+E!%n3t}6klNVHG`A8X}ke&_rV+#5eoF#GVnW8(bbj~`!z zK1QB=y1zCf#83c>g#q~~_VD_Sqq_nf-G00>G~)5;$De=w^RIuIoMV94mydf(Q<`y< z!gT+Et`_R)<6RO0l)FE4lqfB!ui`^FX%$AP(*cp}<6E#GokX?|5T!&Sn$Dy(uS3Fk zbR0x4u8Y5-fR6%zW}qhwr8UF{E&u@J2ciG!ltg)R@c|?-4|cFf|2e_zV7y>rsl0;d zqtI_5X7=h;109s6me!W0w)W22`bRha+czfe^BtEbA9x^=c6pHja6w4FJlsi>KHq+N zdi?9#*Y7{R|M}Pd{nx*~KRw;u-=Ay|aFvi|g1FQjj*&smXTb(W0n_Q{ItyjQG9d!u zc&Gqq7fl9e(_H#^zaj;+73xTKf$>W+>{3~O*Za3`UcPS3`rDe@+dkkyPiin{qzY#s42xt@bc9q4WyI1tcgAPv*)q`xi80f(5 zH;LnLKllfyRYY~re-*%9@o%M)!%ylvL7Tx|XaeCP1pX|b^j8^-Vni}RQGlN+@<7`m z_7w=(11zDQRy?lfD4z6SXjryH4`py>WgpfnA#$C;U|08F{0p{=b(&JB8%Dd}pW`swGXO_n-4`ufIZ z7MC=@r2J8P0ekc z9cg-7Gyeo8s2*}FCw>zCef;wE;qm^9)JBf@}U;p~&|NQ&MUw{4e$AzXZ zwiTOvA_E&;#SAV5UFzpeQ|H3;7+tL{UaM;6fxr)ppa>oWjn5;tdL!}@^QjOpv+jS& z#4@4XJx=jZS5N3AVRH__{@wq`fO+c$?ji3D1D5skK&Yh0_9ga>fnW~>fQWEmjt)dW z0Bu$f#9RuDd`Yd1Z(fGs`>yeA^E(^xp<944VX!lRE99-K+b?c_!q6j+@a>!Q`0>Y| zPk%iA`Jey!^N;U8{`v3!eEW8DG#5YYWywj9=(Y9LG|YqVgWe?sTw0tK0^*sgmYa}f zx|C(P`H+)65x)pP!Yu#*Ebs=df1&{d2@X(k{*WW%y;<(zkr02?K#<^^uAm4gE~^&; zXZ`y7qY>e%!$+Bbe#f4$hZpd85;^1!FaxfDT@X!`K77df-@JX>Z1IiSAevj+`uZp8 z3mYd03y2xF4}x0IKt8@beEH+c;~)S0>-&$#uYdh`gbrWst<_27^|_w0zD#n)SIy8D zr;Cw6PMKw_sJ8%XDHEDAE>H2*trq~`KUg8ibEW#2D4YD^ymPD8G*i&}2 zDei~ zH?Lk{I5U9F&CMELn%+~t>+T<^uc><%MT~C`e|!go!?PvB-=DsG{qx7SACF&zMrZr$ zbMq{FPdB5&5{Df^`pUX>mCJvo4Y<<3ong)df5iaAdn;4(T9DtYXfHxoZZBn432CDU$?Gye5 z0YaG%ebCGC8Tkq7b<)4T0_T5Q#uZ(cGy!rC< z=hNel$NR^Nw+ZGL(&Z%YzfFRu52;8Ap0@jp-}No7c-=nYQ*Ru0ptE~u310@ zH*w%D{TomdMCCHQf$0#l_RI+v3VHlIAc{<~xCC;{ACZafi0!-DXwAX@IXz}j`lkjW z*CRU~M;F@{CJ5*RQ`WN>;R?b|1)!;+G7#d#a&J#_L&M8gFJ8TCu=w{{S(1@dKw;dB6hDv&WMZC@9Qz-^aOIH$0!~>zpSP4{O3L2uMezMb7yB)SDRq4<$Zh0`?fZ>;=>2SZL0J+Ru?x2 zx{0C#vV#~#(Sl|swfhJ*YqeoK6D3Jwfy6%J6n&%WjliU{d2@qA#`sXVv#p~%YU+!z z$@{9U@;~*@y!cbhI57{ipXwP@<>(v;xbK$ugamL&fX4y4h4D`jAU;79lYLkE4-)1! z*puNQex)W?9_VhT{>S{kdE3yK!4^&L)6~}4As2j4 z=De-7wN0yM%ZH}cp`6>oifL#5RBAK{F?~@}#P7tm;iCjnfH1iI3H_)dqL!zAQDlJR zO%O`QyRBa#WMM_U_-HRBGwU~k9=vM2;F)oG7nw79cHb3ai z&07ILu?3W^J3Cw3KD=upXs^w&y{*ebXzS`KS0=~-W&MMq9DhxT(9Nor27)5|0=VqA33%j2xBH@$0q|Gu%~eM?JgXM1~dOrhJ~+S-K+AJxb1((shf&IJG^0A7b8 zGOY7}9MIUXy98RBh}04`pt2n@B#T74mj_e>scUGbYdtpTZ**0lK&g8RaDh8%hdjUf zczIsX2CmO0`kr2l-VbjzIK6tK#i?0Ki$|YRmkKn?1xbE((gNuF ze;wUVO9D|81@OK=VOARIC_3Q8xDcg~BE%LVt@;pcf@mdy5UpIc3i`nP&Iq*}o%im$ z@7#aql%>ceEWw&lP1?!4;LUK0%BgQjl--AsC2Ul@?;TYsAHgVjn}*U>2Mc03tsSx; zi&?3UoR4_XdfMRMHw0Mn-@mGBE`KM$>6ibC(6D2X(XjpJdjgomEd06mR{>T9faIfn z(173Ee)?ejPnyH#C;H39hrJPGN((5+51w&>fUsU>gYHq1AC3oDP+RQ(qH|^YQM_{b zBR-EKNHkFJwQ_A!Y#_Hh8|q>`hAc{;5t+q>)>k~Zl;x{T=;S-hA-|x;G+n#l3c~68 zjMJ*A1MJqfi;DA|EuVHNdpp^huVCS$CRjEfrO-xZG(;iaQba67qTp}<=u+k8cM|}c zJa-5zzvJiq=`E(N-HN(qRO90ev z;-7BrKgM44i|gxt-$?AZ)NB}Orx9M$?Ee;G0Fi;f5HO?a6|CG@r#!d_zV77 zKsepQVz15~Q8UsO%Y`RVPGJBDQ9}s-@ro7Z=wg*$hI*4>Pdy=88R^VvXyf)tUQ1ag zY=hZJAWDF^-TexQZ@8BfMVfzf2qsA^^5q-6H1q=iU?mO;vioTRkq62ePJJrmEu((t z;_QqAYydC|Z~^xCfMS5q9N({tjai%caAnS=bjY-*!iISfvvN)VpGXu&TV5@>m>Inl zah*t6ZTv7vfP_QHzHwdBcw`iHHJ(&+W#UU6kx)@OIzzm)-#haqgdbq|nbg ze1G~z?+^dQ{YL{qqAuv(&6fr!=|+p6g%APoa4f(@X%%dBaIjn1STE;i4blsf;~F6g zGcHEm#lNTLZ2@qP1L!*WgTjDMuh(%9#5JDGY|C;|V@q(bMS9YSB8^Pr%~xxvz(@=P zfbZA{GE=kbJiz0Il1ms>qjIZQc9EUFy}VNB#QsY0#hn4I4J3QKLpQZrqqAO`6cOX;YdtYlfbl9?hFKr$vhv zv~1auR;^mmx^-*x_4R4frVR!L1{fL|(zb0|jEszE*RCDy+qcKq*q9C-I?%CWM>=)t zMCZ<(>C&YOCMG6y?b;PnQ&YNi>xP+`8Rq8ZSXfxly?b{oEiLKMqX$-2R`l%IlU}`g z(YtqV`t<38wY4=iHa6JW+S0dgU;6dyNB{o)v9q(o-rgPu2L}cW7=WXrBLfExWYC~N z3?4j~Awz~Rbm&lq4I9Sr;lmj*Vgyc3PK+Eml2M~ZF?#f9oSmIB;!<xpf`SMR4rbZ1WrT!;uzdM)R;*aT%9SfwwQ3cs zSFdKxnl-FlyOwq9*0Fy5dNyp>Kxk+vVPRox+_;fVn>Mj|^Jcbe*}~SXTiLd48{4;U zXUC2m?A*DN@bGXVA|i;4jAYlYUF_byo2aNL_Uzfi-o1N?j*e#EzJ2W9zn=pK4sh_` zK@J@{#Noq-iHV6JHa3EHM19@5MFJiVv%^Sa#2gY)k=j>)$>@H_BW@4%BUH{b@`fE#cFZomz=0XN_V z+<+T!18%?#xPfc~!;n30eK<^4Yepvj7r&#xg5OQx55JSZ8NZ9bC4L8iYkYTsTYP7M zdwf@cHGD^bb$mC0Eqo_|ZG0Dj9KM4<9^YJ`gl{ZR#y1r}@C^kpd@}(Q-$($*HxXd) z4FouRbpaM%S%Ak^6;SXM1vGp$0To|KK*v`Rh~O&-#PDH(C_X3<#|J+TgatBR51^x< z0K~`+g#@z6;9)=jBoxMEfkG~PEDFH+#X(7+m`V%?0z^76N(%s))Ceg+WkbW10F+1$ z5dvf~I1USddGr`4KkN}(}3<3f|DzQ=&AhM*@i`5?|$K!dP=Xr+bectCCp6~gdZ+QOafBxYG zUf>0W7kt4N9A4;!UTApX7k=U4MPB4Zh8KO&7ad;g#a?W9@fUyb;U!+;C5D%L$(I~n z>ZM+4cEUHw=4FPLec6{CUhd^yZg}~ZfBE4RUf~snSA4}+9A4>_UTJvcSAON; zRbJ&)hF5*nR~=sM)n09Q^;duO;Wb|4HHO!G&DR`W>$P5Mc%7kJy081X z!|T1?>*eqDU;p)oH+X|L7~b#=-!Ok~^hR$qyzv{q@$e>Z@+SFv@ZiDlrf>SD!_v}H z{@(1(-fVdDH-GctE#BfS^7oc+`If`-^762f{@BGfgyS&T03~Os^`Fq!Q zeb?dL-tFCncYpVHAKv3V-eY*r_k7P`I-L&h^sYywzh_k{K$_SKI)@BYWV1n{^;RjKIUWc_pu-QvBSrG+{XhP=MJCud7n2N9315D^FROd zhcEboFBrb?3%_vqqA&WQ;fufci-*I*!{JN5Hz7@Xg=+&BM2R%eM^Q`mNtOoSvQz-}Y_aHhlZHfBWzq-|-#!J3BiYzVkc3 zbNH_B`mW)-zx%s~@A;nZ8NT;>zjv6;X2bV=-}epQ|NY-T{J;}V_=Cgw`T6ie zKlDSx5C8BF4?prFKa#(Ti;Lk$fAmL(AN#Q%8-D!9e|-3fpZJO4Cx7xMhoAbXpBgSN zFNdH0>7O2c=4XCp_}QQR+2QAY?&pT9tE=JXfBxr(U-*Sz$lovi;x7)r^h>`q{PHjV z^6)Fa@+-s5&CT$uzxu1gul?Gu4Zr^DzdroNZ~Vq^dwVO;%-`e3kB7hdtG^ol`mg_b_?y4^ zo8fQ&_HT!$o_cEdyTALp;qU+c?}vZ*hkqFU@gM(jc>3w5hkyE~e;WSzpZ|IImw)+} z;a~stU-S2G|MqXgv!3;=;otxL--rMBkN+6{^FRM{_^}$$!UmZ9k6l&5KTs>so%v#eVZg-}uL8IH-=pBN!|taeI|eS8#DFeX$No z%xOzFJ~=&u6e{Dx?EL)nU~9$ZEUm1rtxcyJ>l+*EQ`)hzvXoY?@10&;p4TnMu+*%I zIyr&u&Q4Evr(VUeygJ?7+Sx-;4i5Ig14OnqrfX{*kfn{o*@fj45h1BJho*VZgp;GA z!<-GJCwv7L4}S;0Tr@%f2wZ(E7#>1sF*cHG0q7*4bDJe_86W)T7ts^m(^LA$KT)4u zTwR>(tp|_?%PT9B381X4txiBAa4b)E=+-M5a%S1V!&^Nl7;L=jZYVM00oO&X-1E%Jc_+3#p#Tj|~fPs6&`&FYP-P!2@bN zJUTc`<>En8^O_QR5x!eej#HZ>PT)apzQKfNv&-|@!S-s}4v#D?PgcOfqfV`E9nCJU zxaf@5p9PN;$lU^Tda|=xcq~uWHeio~!$V0tJlKaxWDuY&WFM@;XyU;k9X3qD+q->x zdTe(VTKwXb{#tJxi+(oWl_@UjOjS5ki84d@!?#>xZQd;gX$=4ryYh?O>%a4hi?gGh z^+{S)O=C=LO;}pnIy}1o4khF4bapC#P^M%{$?56QcHse(tLusjT|^`7vi<$N?cmWg z$jbKd`T6X$^-`lOWpM*UR=IYoDADag;V=CTnWld1+>;Yt-m6Mq zOC6oa5niEKR_$nk*~P`|Xm6u6YYq#amL{9~D36O-paYS!QC_4CN{^4W8j{N^Yv8df z929>j9=ki6>uaz_D-%4<(5Kv!+S9tUIL!&|qT;Xq*&e^=@H~uHO}7NqhVT8-5K}Pu zG5>t;Meq(&*pI#Cb(uv~vFRBY3Kep7esZ|IvA`nLg5|ZXgEIzHl;BJTX?R%dX=t%+ z+wHcc<<+&#t>_OG7q-U1-p(fCvfKxlwH?GwdExgcNn6Udw98(UD=5(u)v{`VH?*Hy zJ7My=ykKiTi)c<%mp3qiNM6tmVQj0Biu$GF!8a8GjXb}+zLrI{rlnRpi>yp{j`;2B zLfyeZVc`!z&LMFpM>ROXOEO3_2r9%825kx)E6Yvv)TgKD)7%}3?5rRVY}0R2If8P^ z;D_mRA%ntJ)eMoU>Upml`Cd4Qi=U!Y()RRu`cKvn4Uu$A@f)o|jYva;({njJV*t52 zKRrU+*CxwLYSoq1^^Ki_lk=ZN#b(^Ac_-=q6=H#l8(B*!BTs8bA55nY1=9IZ8T| z+Vnaj9vDCL3)0WoRO+d4Pg+GQsaZr2`K_(ZjdePD_uv@Lxs(oREI69}@Jk&h$KC#5 zWLlkWV1aD!V0!HCY*UQZSRY*;tT0lcPU*b}OPXg_hevq#Lwb&1WZEl{xVgocy}iX6 zy}P}>oArd zI}&4e+kjx^D@XXlqB3p*%gO#mm$K#6)wSt5kZfa`Zf;Dm#U^NvK2}Y3j%SEV>qjZ^ zqqKO?KZ*hk;XgY53dSgcr0(MS=HbKJn=3{quCeiX>!SzdG#RMEc&)~3Go}GylC*$( z^D|r{`PB!7pfm+1-)HAE{|e=Kfz$#8ta{zdQY%UM@GwV;O-YnIJM054qY-#aH#Ro# zA!Fmlti$Oofmz!-DfJxlW04cij(3mgBeTDGL^kt4qq=T3>CfhpOJ# z+B-f+au^CzLYm_zTcS?M=`dKeO)hFpM>C));D(M$DRtY!*_@09um~vfq*N(5Gg$*R zkx`V^lp692*zgB6Marc9{Oq8QxDGL4k#*5nod^cXxoOks?#aw?BJB?Vp_Z~j#3_Bv z=QD;Nyo0mD?P(vT>;4Bzs~fu~mk7~C#+tfWLCK*Fn4=(QNK`l4z_J&LYS|*~r5F6< z8;3?k2HblT*1cj@7&YK5!)^>7eyCqhPR?g1a|U6EfkhCSRop=|X={paD_hN*D}sxn z!oq_23o_}E^V7LfS}5Yd>h|Fb2EJ^ALQ3K;N{RH89QrNnB9&D#{VctWXwFryRJ&X4 z;DIvm%EmnPk=N$8=4y-ZNYxftc+{DkrU?3SPW95VUZMWa-0h()!-n_3ia}IYChzX|^gUAJBqLWr{XM24`N=@%b(Fsdv%5y%)C1UQps=ckmE+xRVb2y7h&0Fao2t+j>5L-rF*Sza$VAW#6>kO>8CxK;8w zsX>aJy#D0vi{IDxXGjdA49Cb|(W*J&$^7I{;29ZX1S8+%|JDOs>yPaOmvc+u*>3V% z-Oe>tjF{ygmzRACFQT#j+;_U;8_UN7L&-t43oUQkinQPMC zf@#Esa_at8SV}bJdJdORG*w{8-aLH#^s}CR{P6N@e`{@_IRdgW-P%9V^4Cc&;;2J? zA+>sxy>~=F$?kMWa2VizYYoXoxvv(_0CciB>dvJV;@cX#I>)PkBsu%w+V0@XXWu=|InfYEnBsKLNS)AF)b-W=PI+8= zN3)x!3K9DMh~Vj=@q(+HSlA`b#U9eqkA{b+=wqK9ZjK%cra#;v9(#L~=H$hCQz6rR zutR|qk`P$(5)KLd79XUElBST_l)pZf55kr7FqhQ4Gbjr8) z4o+rQw|9?Vk^;nA>wl_gt)M=-F7cwn-L2{R*6M^A8fN15k7n1m#lgNVtrBg$e)KG) z=IO_Gw{qc4O;pSalA?uUL$iK8-qItx9uGq0NT;P?uf_I=8-dGRZvKd zz|jbsTpoFl5eWbiGPNPUq!&cd2n+2#*xi^K@m4_BHn#VV&##HIg!uxWY$iM9NUvI$ za9o}p?QXygIPhux(qw)6;Pi5ihlp(K9L}zvdiHakeoVXoEgG8BWt5_0z}wh`(mwv9 zj?39$GfnlAm6n9s_K;8_8wWev>x~&7Y#e&EdKrPJ@+>+31fXzEQ)C|Pdci9=U`4pY zA@82{PopO5SdQ1Ik@Cb-&IpI0Rtkx*T%I5AZLS&F83zD95`SOBgU=IV(D2+XvQ;b^ zqM<<~&WsaCF71%M9QDcI%lW~26^EY;8U`O>kG%uDH75K}AZwGVefOmaD*;xLYyx!51ui}B9p@+4qu>~66+mnCwK%70^CPCE&4t;og*So z;DN<~uX2gvxPYz&ZlF|2J1fn){IkTk{xKbi93RaGhDQ2Z+m;A&zh~_ z<{G=J0;}lge~PynZ8g5z1mMv9&m>Fz#`%QFamyF_c5KHI(*Rd6w?nTb<%sUdXU!yZ z*W9j9VCLm0@AGd}=oAC;=IVl;(Xew+l{>2K5=%9WL8>y)Fg|Q;#MYTn1az=it9_vI{nCW(cH%2fg&M;rDujUi+BNX+4d4So?-R)Hw zI272LP~~cN5TDtc&+r=aS)UtSM8%fw$2uOY?NMU@c3exN3tK~VS8=HUy2 zd#_7~BV&&YPB#GuBcL+g=)|Aj-|S{InxC%Q-0JW=fLkU+fCvpPl!^Y=Rs&k;Y|V$v z67*oA25M$-!uq063GZl&daKGGwtLI8&J1Ph>x3x=hqmK(b%m(%8`u?Nt_+_Ia*|6V#>?b*64xb zc)~AfY@71_o)I5K#D%|8>%`bxtKaprKE%E{@AwHxngOIcN_ia<(ye3CS9R zY@#_mfSVL>+dGHbPhl4;0u7i&J)+2v&mbE7qfQ3v!!e7eU?F*hskjaiQ$?4~ZluS! z!=tJ}d(24jXmmIyxy5YL76V^vy>Vl5;y4xnUfMQ_6@bAa2jxMS|2T>+41a@bdxz3Y zMP#Bh?-qS39`Z-vz%&Jp$!cf94aS%7M+&4!nZq~T{~|tc_>J1>Q-k9&2mf>ox~A#? zU@3rk_Xi%3nn44cnXCdVGTesQf-db?E=|@}*RhaxHlVDFG7N%s#^G#B$8GcYvhWDg z7Qx|XJ1qpu%k=NT#$;uEkFa_s15bBLE*)$qjSU|g(k zOxL$}nB|o{ibHU+CaM%jHaDsPvVL^s^deN)LDJd|d4-QK2p=v^58|(^ADl6(f{8Ti z0}QzW47d#sHAiN0sz&J8J9A#y7DJ+kjc!N#oQgf0Uqr6;hkgs<(g=O90__a$Ch{;_ zyV1S#$u27Os=|^M-0IP98rg&NhfQ?prUq^u47K6o|oQ&r&~MwKyuEIf81xsnZ%J78CVdOabWRk%>&|DWiADbQXhduNQ9?g zPtWFK&gCa^8O~3(8|)@_pgRr#m=MVIdAVrTvb=qqFm3uSEJC?@2~Avx?V^J>CJG9D z3PU>~xdI*-NeqzdBuE_W_dK+Tcw8}5+jK=dESK&q22M}X#Rtv7Ac-+wUn-y>)3E)I5V9>q<_T2BvT@qD03(AFHVVy zPYSB?p`(PugSN!c<#cZWvNs$p~0;^ywJVoAx-wq#UmD({lh>1 z`vMXTo+Ps#9PdH|BmdIY@x|@=A)sEkP#&MJ0_O9I}n@wvmbcTHjm`duXGY zqY8&CFHLJqF>ZWxe7M^}>}w8J5%FSKDG5JA5TD4;gD|U@oszn?8MhSzZm`LYHhbf% z9%q8v*e)Ki9ox_q7J$}h2HT$!DW6Ky71Y!C-CuHOejqQc(MuD;ny?4N5n+iT(UC_g z5z1n#@E|G=JdWG!z2ef?BONCqV6uXSwR6$6n8XJ=Ewgv(q>&z1^pHJO#6oDJ3OLMK zoSofVomEhM4JS<}W*pBbwjG^D;J_5S!cwxyl+>7RQK?o%M9p#9JP1jJPdRVj=-ghO z^-e}wP^RL6QIGx6l&SUUBgJ9}BtZoIG3)tqD4q?>un;ICGfZiOZPbvmw4(ml-|Nx! z4ICJP$SRDYJKV}k2ZXk?5pu?SZH%M!T@1;KYtp5st@q|I^iR$MgEX;1fEEv1SZb-> z#hoTI4@@wW2=U{`kM0OfB}D4=bh74Yv-{B5u*absMi`W#Y;K`Gn93hb3E2$)=)}V| zyb!V?{i*s(c>xX$YVx8iLt!HD+jBNowog&cYEvP}f2j{W!N*Rt1`j5oWDo)|TzY(blp`K1ggj;}c!q#R!jd}ETJfh-v7sSmfzkZ2 f^yD zQ;Q#zA6jCsHkcsrgy~;`j~R%}4PVGf>qz9C*xMO#KHLi6uxxyIaZ#HFc__xLOCY-@ zQvmDo@+MOWH;mBf5&-LX(2vRs;Sn-rN#9whZFv))QT;*LR^kVotXa3#9c2p*#}~}6 zMA_jo1qPpAI}hDjCB{M+Co-f0^1~1UF=q`=re_N{U*UBS!47CejU+Blw@Dp$)QXOj z$_yQpzJHo2$(`GUT&{iWD@ZTo+V`PUYEIFzS`E6bt9Z0C}f}7X7Bcg>tl>6)oeWJ%o zhb;KFc&K=dNIlSz3pv(@kF_mjh_U$?Wf&zv~(MdgD_qBw|4 z?oPqTig)4!JtHuR=e2)&$rOmQdc@YRM+Q;#;cA#Ed(X--Xh<6VDV6Qcz6y~i3(hZUd{WPWjqXdDHElQCq4IYS;$2004?bBdzV%p z!%OhsrHiHlvpWud#UaFm){r@;%P0b9lj1$EdIduh6`+3&Yn$Dhz@=oT9|MJa()o~I zXbR9{GT@kCI$Sda;^4qgNooT~-+TKtQl`54Jg$9>Y2w_f6|Flf1)fH1s=hQ{C zVAkwze}=mkvG5ehvP=Q$6bN*y5Hg)K>&{O%k7f$XF7mBT8s~^C#be3fBhhtc!{C7m z_S=aW2}&U7;MmnwjqIW2p#gOh6N-7zJ0{$N2%r21FqJtjeco3`ahBg@4i(SAXxs5Dx|6^kX3 zLJ?|6XFk+MVRFz`QsfiOb!*B&+=*$zhYxAAvNgN9dH4tr9+DM|F{P1dbkLmp+#+k$ zef3H~+8v;IelP}lpvN(BK?+F5Gsr{e$q4&w-p`Hn`P(XR)PzRpR$Q!!aHIjUG5xXO zF_{=!i?qy(XrCsV#OhJ;O+QdZN}{kr0>XnszE$HSFQX)W7G@&x^n+u}xmyrnG(j0B zRVjVM4bd0y$f!=mg7ZR(zutornG|x^;}|<1*5xAY5Nwc3VwF#h>8%kl`$}5U0W_hy zAm#vB2ra(eN0^Dp2Mea{h{}Xau?sZeRk%S5Oi-P}Uub{p;^Gc;Eu^6&m}o}?9lMzZ z##uf*Mg85;;}q36sdP@mLO@bP!y8T}9zCWPIX|5_aU+>)eRhPP1~X-j8q7H`Z*V_% zuQ#LC$W^@Bjtu*$TEo{c$e1qN8H9nbn_j&t3Y(BAM!GCYyz?_fh==%A^DWVn9Q6s8 zQLO=OxW;2g64hMa!G9p<1{(k@1;MxC5}7ZEOKFwHJPt$4!L+w&iM8>GIg&s!x0@dj z_0s!c$Y*;0l1bR+fA-sWUz%~wrb%A9JyxOu3^RQq{tI8(4xick)} zYE<;>BFZrL43oKA(c5k2mo?FGtQ^|OcffZXCmyR}ER{XRdxVA3V?Y%g!NvN`88%OX zoa`0P;72{MD>5XaKeug>Q4eLEl@1S#W9CoW++{ zVN2}NQFo*l?T2}LPBmX(t=;tzk0t!#@HPso>~fvTn_FD#2&Z&*JIQu<*r&2Ptl?_H z91dR$kw-goiWcxN_JdVv zu@x;&+g{CIt`3n4T#y4qh2aNoi~5cY5&u9vJzu&P;@X>0;VY13dsC1NzDV95(K9%pOTRvsRq2 zf{i%U$?+k{D*+3EQ6Y-Qk+VyNi*T1&KD|#CQqyLmo6l9b6w|rT_XB?*$>hw@{&p0| z(o)3;b>h}A4sI|XAKhU*81b+s>9nZ4rc8=Nrtna-3EVX1?X z7?OFO8@RqDruGm-(eOIGPsgH`ww>USEUDD)8 z66m2SW~ynl3bGFFcBbK?R{A=l?|JEzWIn{WrV`ubxw=)YihWdgoUz~~^F_>{@pD)> z(eiMWFWkuMSf^!Kc=U!9`UkfvEekdx8G3u{ltJ?Cg5|hMtj#f|sIl8DSX?Dh;`v0_ zjM296AoPF-KMsLrg6fL*nX;WzEsr#{=tu)3P@E~3k_&|tF900DpKQXo@#*| zz>N-WlG6EOojDP;*6QjlT9n8jKSP<$7^TdMj;5dpsi&aGiPfbUtj6upS!_L+!&S^A zzu>{_?NwY>kzd0Li^*GnXmwB)oj`4kI6PK{?G3LczC&!6Y!2d>F$&-hqBZw&SF@Xm z9hBB78{rBl?~G9-1_-X=GV2ze-njG)iXsO(a7E|Qkdm&rDbHRWUBgWwIE_xZ;8};Y zHEu-NQWW#q#p8t6H?pcbsp=-d7?&;I)Od=a87l}Ryh<2H8sulpkMm}%VbWmNo?JGKz;zd(L+chu5&8Z-6g0brc@JM0~$mOHmWb19ORJW$54ZYT0Gr!tY z1XzLaXekh3ki%n~mWGJrrYH)hWd8>OTOk^SN4kXiRUc!hX&F^Y{y0NPR;e=)Y=TYM zln6|$rT{^qBF}5a-TG$4>+-nt2X3X?_7L3N#6&gf@4VR<`e%H}Y9i^hki0{ZJrx>T ziPD_I2DdLkgwr|0?;Ve`ZZlwo;L{S#kC)L1O~ZTJ$C|)KWrCpc=GI3HFDz&1A#Ic? z*76zA@p%8Z;xwTR39Gx#9NJfuA*$9;4e$%qV%XhYpS9#Or&Q!MIK+kGWdCpwFOGJ> z!#yi-xsQJq)f(Qur5=Aev0)Mml-8oX04b8?8r1;*fM7(4@ienbK?93)-meS{ zE3gQ0I0l*;g93$M6qxBh!Rdg6O)|AWgsSleneem#7uk#)bNCP#hRo_yr*jGq2~PWI zK?$b~;fn+A?4Vh?ZDXf>V{PUanVHeDI%=8;LaXUEI`ED_hj^r@_|QHO{JilASe!l) z4)O4#2eTJrey&_NVP(Df9s**^Eg%dL4Aedzd{I_%bpB}3PWEEjIpj|4Ob?iZ{koVP zuUaO|VH>TgQqUVIEwA1aVsWp}4_hh?EQ8@-teQUfHyBX*05cxkK!jR&lKT2LQ<^}D>DAFvaCwsN`l9S1%u5z>ut~wl~s;PQg z=0PBp!?a6LZImTQc3jY~Pm48p1&}ZUH#La-l!I7opT#UZmXVavwK`1gTH;@M`Da0^M40aLJ-<c&p`33uNyTmC9>7q28vg(}Q1je?EM`T3#2o(y9KNTJz{Nx&Y(!Y=x{m_)6 zXoxZ2?9G&K6@G}!$d4?>-knwpFe}j@Otb?D(JU4=?2Oy2JV@q&v)IxnJrZnh7T0@P zYlg69XAt+gQjao3-JcI3$pz6%0XCN-pHsB(07xSk0&Osd(h-#*7;`}8{o};X#l7hq z`j8linm#aH*Z&FrHyBuj0&!8a!c2s)}-$-eG^df#Y;m!kKB015dq(9_f zH=i2-X5lCN=CK zBG8qt5{1@bk@C=={`2>MAH7k+!LNej+Vlp79K&?$oqHG@GRv>IgJ;dUGjnjA4J;Nb zID&X-R~fUKLH%ez@GxV2As~cWeP+Or#m5!XlsXSPCYWn0P?!BEk*>CO%Ce73_W^Ow zjm)IlN=mX{_^U4SQ#;xR0pYO9s;_Z~Tew=8N2)GVtUC!e;z4E&46^D*6oto}38)XM z`G>|x+C%3SD!|~RA=|S5dgV?yL`3K;6mSJ&fJqhqX803Vt}2qQqrZP3}={09gpx3(>ZsK zA3fBIbS;qlXyUN-sW3dOEmFdZT^Sl$Pl$^w!ZdsD&r-}asnMFkwnI#9%O28hS3q0J ziF`A0a76H&zO4SnY^TX5``h#XKSx4Bc7G{K>jq@v9Iujb zPTSA$xs-H~0V@vE8lTo4cEOmb{bV>(VF?FUi$?TDDzNqu^|SzOX53US2ih76-V8Y3L@qtPV-?PG*Z-vRqbE z!>Q=4N>d|)1>1mb?%__KgN0<&(f6Iay1CoNUovyE6bP0Yq=UD0_Vx#cRNwKSTDybx zWYNpWAqx!dN8msNjhz;$bx$+}iEW8|^8@=K7WYA$sV*hQCx?66cynZ)?eAB9Vb?z6PDye6cqUbBc9AVbO#V-M;NeV(Gyz`*I(9OKU?r3;qm8?o5n3x92>V-nYP%Ro2j~c_t>`&$pHm4hVxT=&~d}wVJD}$tXI;wq* zauRq9^wOsRgfP?AuIUpkAtnt$SJ2a>9^MedCcEnHmR%&cpg2DT$^aCNZ3ZNd9zS~4 zQ;)(I3_+wphdnPejZ^cg*N%g#lQ?-+lI>XHY_ry0yu-(KI5NO(-3Y+vsn?AiK0M1Wj? zDR8(ea0W2HNGl~pRZBaw&F8FwaDA+^d2ni3}SLB9Mv(`O6l=qg)S#^6kcU*T+MpkhOT2Ymv6Y%?1~(s)?FdT4vGaTvyznZ1Y9kyD9~1M3w! z@Roi)WAr?rnKzHwGULWsmsBU15aGn@F{`N@j+vKbmFVul>G>^k!KJ9!8rE!)M_R~d zk{ON|8!9!Qp@{z#renO8VQr4r3IgLvq2~CfSCek6o>f^mkf=LVV@^6{*^D~^yE_nR zAvf2AePIvaSaE5JYtRS<6P`LiWFsl^N9u$`KBNyL-zb0_=mIBk)CR~pWT8dx?yj%t z+SX0-tX+~#uQxL5*3>SsTecfvWk-z0$a+Zty~{y!a$kD#_|$Q^4$Wm(%Qs$ys6wQE zwZ43pVG0tk(6_5;Zy>QmY(chpVh>>Q3D~=ZWdk50e`N1CGcDm}Wt$iVsZcbZ`f^xJ zI*nQw?{QpAqBE#bmYx3Lt=w z>#U~AoUoPpB_}8|ZIn%-!=x%q5(cTCIoAZna{Qft>JRuMHij(1PD&w|m9_#eQcQS9 zyPyatT!h_v`O5)!xL#*(A>SqC2q=2MT;ACE85=_vQjWK9bJM5qbGZ}gK8K`R`7GHc znhhWv)}zvCwdPdUMQLtlfyjiN1$i8HVIz}o+OnP<0kKaIYPO2HyLKa{s@l{n?60}x zRQQHHEY*VA1|lotMFboFg*l~C!;wYuJ3ZvluxQW{-3%bICwCUz(&ci5dFpX`1uF0p z#{DE%uvhza&?o^Bg*4EzJd+tWEe9{~ROBb^kBsoW&}f8HM1)p0;ie+p6*#cRInyLf zt&?m*azz$qwllujw7R+2=eGON&5tX*iEuU z*QN&-STqnXi!_M|3BWI6Q9KcH2NN!3I|q(o0R173`~u(RP4b67QYj-S>U@A z2E$e#@k$TpGrE*1);1w$dZPP#;F#h4Im=qo$3TG!ap-IZFrsvNuAF;WMV0d89nvFQ zSKa!Hyg`SqhJ~Eb_%b>u-)uhm>yQmv^~%8%vj-kAyEPOlS{$jFS9O@&?ZGd2n{4aa z7cvA6Hwwxuv0Jxnn*#X25ku7x!^658zfn|ii)Dr;d^i^DH1@^{%X)X5RGk2FJ<8Q* z!r85-b89J`Q`Q->2}$dZs8VQP4eEg&^f6l~z?S#~IU$-cwXhYRoBah4i9w-pUnL|VuCUaWyXcrAb_C)q zH)(q)uxW18qpW4Ew9pZo&uJ0kAA9s2%$pN{S2y>~SsUZ-MZC4DPl`(m4>bw1PUC(k z2#eg2u8DukqIcE;O;BR;1}(>62#bXVsgR_i#!tbcZQZ~;oC^3t#u<$dxsrcWZS9%kpmQ9kukN1poQHjpjY~=dqp^ltPQvHwtMG;$!av~P5P~`= zuw*g7IQztAB8m$mi`(ni9vl;&C4wWrzddIVda{P9;6cJh+utUcgU_yatm zYvB+3Im7FmhHxj6Di6$OdO8}uuet)VFN4pGwmv*%Yxod2I7ia2i)>2M8GmtXK~CH) zG7k?^+u9xF6P94e7R9jkFX#C0cUSDRyfZgH0FQ*-h^-i1$$I^A36{4|j13R&1Tr>X zENhH(e-^I0TP2P$cvw0LwN%o&dnTejRAdT@-bOP$VNK%FsodHhXFpJQ`E_*Vgx8VAX%(;9BwWW>#KUB2Tk!fepPEKBo+wvOEbPV0jT zp~!>gxd*M&4cBLFO9u(i3hYj4pJjRG9kvrbsB=fqa(s2A@l}SWOB53rYEZu zzr~qqT{_~yLL;_JlKq|ga+;D=NGpz1kr5j$bIBz(G9)G3RzK7Dwk;g0C>=d6%B9IZ z5oo<^Z}ZioKvF_7!}@+d)hD*G5UDK(!~gE#mx<bu5Y7sJGJKLWA4=urZAMf!{E9=-3etHPk7v1srxx zICD(3d!SM5zTJyiH%p}4Fp*YW2zx7%+puQ}Y7e1k{!hp>Mf{7jo^nS%ta z-QLDDGc<^HZO^lPu+Em-jJBy!mbE{GgAKjVmOaysy*OQy%Y=+rj=M>%5qoLgxecj8 z{17Hf*Ye`XzumKxAneEF?wBw*%z_Z9&<*=Wc5R4_BJ5F>wlIrH_P80wJ z8CM~>*$b`lN83%@7U@$ZbFfA^2Wl3OiiSq51k|xak{K-$0IN;?soF-E4p|ox(Q`SS z;bg+W08*!un!t=iQ1mwF&3`@@``e0e>O30(>yWZYwn(M+r)gfW?vblB=$arEN`i%o z%`OVViHAF>${%J$8NYDsR{mrw>?*d(E9%UzB-94qIua*dfm%&wl2`+ z&kGfGoV<_G*$+B)2)^brxx*vRfnn7Xe2<>{~$)?~pj8oKac1tBKK zB{<6!7Ve{Nz&0NhCEW-8AFl?ApFH9^{(4;(V}YvC+JcJdM%zK_o#>| zM<{BC%!??HbYM^s2XSre7C`Xg=T@BzVq&<4kQ2}$2+rf-6`J0*+tQ+a#6``VFSZ$O zWA?M`Q=Z#LFY5zoL!^LR_T3>2De{aj1hANz!8t)iKtElN=Tp5Rd-<50q?WeUxVue{ zn}kIi$#v`?C$`u{rTR0lp^x(b76vdLM1s>)MUaW}nw?NJs&sBTQk7459{c z*J}jdKupGOMm`?=yT@pODv--Sfad#OZc(+UT(kn%{IA?w(}#7G!4_eQb;k}*Oyq1*u~w#>z=;V{+7FypiXlAX}H@sN{b7MRLME9$5**Os7tw4&P0!2^DCHtYh^c4uJ; zMGyamBZ|R#aR8Ek>c9mCAvzZSR#$&qzsdCIp=(X#8nq|Qcj=QI+=0zDJh@Ffa;&tP} z(g=H81*WiP2GoRsxU2xe3|HUZ)2T0_d3mM@sSBDfr5xEl$&8s402ET5f2w7_B}l9bzhafamTaga!3T00h-& zM2JD1M>enz8*Mai%H5Vn6vhv0J6PL^V9=qo-gq$^QZeaYD?i~6cF-)Jk3Bk>XoubK zXMK7q+x=EKJhK7!TRb8zr8|O1&`C4sWWWIsOz9Rwb$_C6{Z~QDfJsb-ht@c9+IkV? zUE4D#k1XSe%Wh^B_5lzhhH4Rg!7OqUu;|>HZ+d~Mw3$L=&s3$-texP$7^Cs1TW)?tZLL8J!To@`CZFSlq zP3hOqGAd)ovwNUh;WysU<=#DFH9MPVK|M-?RZ@U~Za_}6XBD8hhgr{pPCQ(%l<^%w z-Hf?RX_H!%3tNQx_S*D^?V%0yuZ?r8)^=h2du0#L!EjCWPO{Z}T3PW}=d9ABAd!P1 z)!AtngcdcXXV?t0xoDUVeY}8k=}>in;)(!Bq`EB|4KYe0LntrgcDi9wmda7-a-xAC z7@T4HDG5qELzp?khmVpR()Vv%UegO>DVlkc7=R5~pBG{$1PD1Ky-}PJo02oW+ebEn zl)(h_s%KjNI2p5hR5*+!NIm~SLDyr{A$-U5hLyl}w*~DoFLPm! zSh$q~rJ3|Oq*4EcJP`xtg@&4rFMz=?ilInxhG^LfC|T6EeWxYUG<@n1cFjDdj|$Hd z?ULpy3zVB-m1#+n9J$_K0bU6v5LL=2O>iRDu?z3OR0IwwuftFA;RGb0aDrM0b|3(3 zanV;*)|v)rAM@26I^_=T^2V4(+$kP*4Km}7CCt|`=V(rmITDPsf`*o4=75ck+K>@^ zSGQOY#S|2~(G~FkvW86w9&_J^=jt*=oS43yX7rMr`acb&euZZxYoBur#g+B z2e30KX5t%BWw^-e!r)C|Sh%Q08(A1Eg4zO*&ZrRGIYauH!DTWoias?rki{i{>(M9^ zj{pK~$?i>5OC{6{^W0*iES7AEWXlj9eMovhTU#fGy~|*eKLckSIb+a8&H@TcuQ{QNcUO?t!7jX%8~DT{$kWu#cHIE#rF(t(Hx1ws`?*377~u9 z9=hlQuo-b}h=+YFB!;{J5+*1A>V9sV8@RKB8QkI3#To71aELIf#w3v=Kjl^WVUKZ4 zeMWmV#%~_oUDagAGk(s(KeY!ds?3^EIc%k0hzM+OB(h5}^Ia0eg z)c3TVm%R6D>Pp&Zew%2`X4se`9Xy!Ai4o^j8>9tcx|1^OcPc>nVOv214y;?BIJe}i zc+xS<(z2%%G0Jhi4f7*Otvjp|AW9VaBr{m?oxE+rC4G+tXWdzR%ia!nS*uapD@0_; zU%YDD4lb}rp4_anE5#ICH7f6^9U6`kvus11b<=7pmWZ^aK@^;Pc?RMPaoO+Q&?rYq zowwSZIXvluJ{dLD!HOb-r^bUqY&}*c|CW~E9MXj+vy1kDP!Ld_a+Ply>EqH{tfHE= z%F5=p&DQG9=?%jXd!FtrX0Bxk1ygsf-jqU*)ax5xsW|WXL2A6l*QQPiB~gfak$=w@ zz^;$0Bw^Zm?r}!j?yE*BLdg$Lsl<3RCE93hdU6azs^-2rtlRHM34tNJVAnZGH>Ec` zq}nm~@~khzOAwE#Ok<7iNu3Go36oW9*_$MdKr5q`7Or}t5HKK{MpK`s&X7KAaGqSX$+MQvDaBY1SQzx-y~Pm{ zPDEIY+Y@xJ(GY5bzExUX@q#5t zLpzXrkMkCo1d8PzN_g;K^Ara>b$XX&%?iZq{j855q#X7Y&=;6vlrngUS_ zE+X0w>JMAyH%|s^&o)x(+PZ<$48RzGC_X?IE$^TN>5}bnTzEl$7S6L^ z>;$hCM~(C)ZH{IGu$UQ6y*y;ajES7!QDY^5%BdonBg`qtb%+{=&RL`jg-=i+2E7!8 z1V!G97U9U(gYI3kzud;^#^prN5} za#g2;+i?=`tQkdl(hGYRVvp)-8i?(&U6$_>=E1XFVS6IyFiNL^uDDY~nv~B%ZeMxQ zN=S|($Xd&lOy0$r?)HE}HwR?|1W`_SXN)mTcv|n~B#%&DCFUoC*bBD4AZq@Y%wMJBTr8sTTwF#3c|GZ_fmC+#3gZE2M!q|6A*FC`$T zTSWozh4z)AmFGxrYRc*V5U|*1;>M)5+RW1(d!s{8A=y%3!a~hMEPd38^G%o?VhkHtWq}^X z2wy0x9LOZRp)bKYRikJ=2Ze%sTnahwEn$x~+4wZAafoP$Nq^#;z8rm1bB@bCASgbg zg8;0NMp8nxIn7MtWC$+d=Q%84lHyA4Z5(7N97Qn%;|%U+O^%a>d8UTpSY!5l&4DV} zz*DS^h*r=fcq#UPj2ED*v-p~`yyR@b;qKYvjtFqS+h6hI0mf*fRpE7MY5fFUg$j5O zR*k%{-33)U>FC!rhB}0;)m_ODwxx-Hgr$!f3m_LoqGua_EV5I`^oUFDbj ze~Fcn1w6#a6FiEl1w+?1x7JptD^8CrDX)e$OFwb9B&7C*s-X_jD7Q6PVr8c~>`Fcr zQ+Ub}sM5%wp8M!15JvZTM+Q7VBzTxAi=E2ubZvrm-RI$z$WtPoQ;up+tG_DK&9U@n z#xs2q{p=dG8V-@55f48F8WbD3OFdG%!LCp(N3Gd|_QwJa!eX@?)o}YN8bcrovdBbT z(k^XZ8kdvQX0qTID0L2KpMaE8GR)*C?c~b=hs%YSC$9jAIjtu9H=}}aI&NfmMm)L{ zIj-CbEfw$As~a|uxrwZ%`O;Nlf--S|xC&&32H$I4#R%i#T*o?R*_0RC-68P)z#u>5 zJU`Lqe1uVCW5*NEv_6wL!Y6Wh#swyF@zM6$pr+UEK5is$Au+Z4s~cW1%*Y>@?q!?# zv|~&v%`4`0A3uKjsi!@jVjZ(qQbu&g9jA$TdO}M=p!9Y$RNS7WoyT%S|)+A@SCx)H%yXAiY8b!UwQ%l62UTQHhjZ>QR@8p{eDzBfEZ z-6^BVCnE&n!jTtXr#tdZyMyG*1_#Q5TvEbjSRlLP)1W~z35w7Nh)T9#o9>;nwU5>& zD~4E3LGryj()!#k_~r3KAJu2$R86EZ(tu!%iI>=8%$cw~0|gz8ZK9P1ablsA)EcDV zLsVj~DG-Kv^}J>In1P2;`(p#BUsa6~gAR@MN%_QD4de zJWN+2^$9ra&AgyP%#KaVR#+M%W6+GYsK-8%-tgFf+4KBi#9m(js`Q z&LI;!g=Jqtx7@IY3XW!qNG;f`uktjQuIqXJdT?BJLX`2XF}bbr=xr5)rbj8Gw6ley zrsR{l77iAX;1YgDym8jg`%aRkU7J;7K#XZ2^0q;Oh2k=|raQZE6j{q`;>cE5Mo)3E zI;mj+pV~_{*4<-9QS&;1qpPMiSdl^Kv%}obzC1M^Ooww;F{Oq_NQYf0;rwEqb^Kdh zU{GYi!vtvHut|kPHw#-E?G0|{zv(+3rt>Hk{E0wV0xZHHq8CvM9&KKMCS#2{_Xx?R z*P5HF1yaI}bJv@WuaB|)kZrg&`r5s)O`ZmzIq$7`Ebl0FU zwTj$5L#}di1H`WMqy0$=JF)$W3axY&WiLZosLb2{GzR}P?vieMdD-5s7m{sl@(|KH za#t9Xx|JUu5|CgMWQh@v&y6#Q6&8>`SQ|u$&@IYknbLmBO|=@|;Q}~_us+kK2$PVU zoXxm+(A{N!csg)UK1I8v!}Tp;z(~xp+eSGxFzSzj13%6Fq#Lr~`-Deo_lIf_c)%U! z`qG?=23>8F!Y=-xB@i?;1h57`gig|%jE@6mkuTr8IX9YW+%vD?1lsP%iXJfK5kTlc zEwTvAxUc2J?I0iC{-4|U0m+07A_yHI1(zpt-K0u(+aL2mnWx>^=}QSs?xgF|3b`Uj zp+o00xvgqqO&jw+O@l>T)Y%@9jeM%6<$K(9kdTS86`IpgmJ~oCsv>05l$rAI0l!9WM`KvoUG8SWu^oLbvV1YYW2hLpR54(kNz|Ku;I71M>fQMkH8PI;&K1lysW4VoQ zrhthDhh>5EbSQ`?FpTwtDv%!hsii|EnBxW2hOtfAcRSG=1G(!k7 zYLw8`5||W5E6}U7DY50PeSK0!mb0C<`Xv^pNG9gov_Fkh1|B}XyKHzMcIIQjb);?N zSj1xm>t7SZ@gRr7l5rA~0dl z#`bcETBCu;C*bkF2~*c?d86E6tJbpnz8u1!i*+rf-{Ivvidq#2M?G#LQ;s7xTsIvT}t-Qo2s&nRk}W* z*dduPv`#Jwcf{;LlNWk)+}rD4jkp>1gZNqE)_O5Sip}bZW9w zw%``?Q{X`ps+fZ5>A2>UA=vshczfnXkE3XwszMe~$qRUxo6ZART)yan7M4Ku9831J zKdz;|oj=lBkciNnm)9V3G^DY`k^&Y1DT%SK+d)tmizCY>o&Y0XRaNyYh;T@T+q^IZ zVk!;U^x^!dk0{e10wgYyZd(hmqkxZ;C>IuG+GI>KE!^Q6UX$=$GdlvZgv9W4)G(6S z!l0eemWQ>;+ThXG_dQsS1wu5Vi3`#aW$Md05p|8iQHzoDgtF8&E&eF0dyb2FfdAK) zC_u92@@#b#!c`hrIrEF^lu@oS^I7#F=WBHX1n7#}g@fSYi61B4z^p@PP2_CzmdTO}d{ zN_=%OQ23$e=T_$RsNd|g(Wta6$EHlU!+!$di@ar>a2DRDd`L$JjzA)FxQ!y#8Drga zjkpbJNRygnIVh^O83Gv(Ljj@Iapxgh$<=5Z#%V2a79+82 ztZfi6*~L(4>Kgtqri27$1sC%~CI$3;P+D->@|!lLp^m;f91D2x1SkeLLI_HWI0%?* zQb*}VM&gBV4pY!rfdux*oNDTIg$MW`Z(**I7)k&P@xcCQkJ5nRS``GEN&xc0NLNy8 zf}D$cI1HEc=ZYrO>1Njps2B>8l?(YSn55sL{3Q-?Crk}$|vw?GR2p-kWmE9S;1Rl5P z6BxwlK20*7o5DsH*frfXCO?yh6=$E(u2Iz-LFdfkA&eX)p9r5RtTH=f@Njv_i&k%1 z#5$HTz-U3}> z2b^kHpS0&FAufo3cxX8yZf3G025r&D($?vXmRl#z!N{<5*5}B6Zqk?@n;vMpWefli9u4&3zw$al>2^jsvam5hGdfuWui$`7k88t*VgG$XeQvkCg6>W*t6Hshk&o(7h z%zU!qV76j<*!hD{yi2m2-1Vs+Jb;ruS!5TU*_~>|hZwAl4PQ2S%9;Zav!#0Lo_!!g zvZhCdcZ8u-umKS@2m;p=jp;w1-%&@m2=x{c$(9W8z=tpX0FM<{xjGB6wnDf@n+xl# zF9dwBapI=5PB(-IUQ&3VUhs%bCBYrhJ>%$j5K%6D$_cT_R6b;zy$bzd^T4 zjIoQ8@qrVJNu<98kIo;32ib4n8?h^F0mULL+pQp;cu@R8-?1?Hm?5^UJk^iJhc7$~ z4!ib657?X;M;M2t(X!qINDikn<{V<6>|RVI6;$#Q;ydCIZU{Is1vo)+m?LZ<{qRKb z2WMyuqqqyCRHq~SP{fiQ9;C(9_)F!f^XtkE8OPaRy_F!J1rN$(vT<>?vKLnGd4>nN zN8W|$;K%EW!^R&NwMLGzcUlxN!{U6921yoXxoNihtd1gmzj)`hdE8z`(Ke=tNH`_j z0+&FlY@?urYPnke_MKO`yvdLD1Jeba9Ti~JY1`k##lg+{X7fFF$hAC{;w6Hg#VGEgP`xu@dngU4z!Il@@A4|aD$A7n~^m3roxJ-6r3b3fZl z5PdeYT3zX+OEl>m53P{m4+R|B?Zk*iTH*=fkN)6cCL7>)aYmlCi4t--V54`oYiOFy z%^F-yA6)D9L@_CWb4F1~Sbi?y0%x4@#G}x_8qp<4WvB@+-Kx5uuHZ97^Ec?+pb7B%cBqZyCodtE_E@(67OB?}NVRisa zOtT#Uq3by8jB2yooW}Q;!edk(Qg?xa+B^hp9k*yQ8t3j^nT;>zobXKNZbDE<0pAO! z7y-fXtWxr^A~Oy9h}1hm26f`kp8K#aS8EM)8NduE2EnCH$c}6%TgY zcWqFk(CjAUC0qua6xu%uwO>KR%^8#8!Z!z2tir@RxV~K#Bz=HL-`>Hd$L7=|n~Mr( z*Y;h<$aaWL+o5<^_AcZt1nhiB=EGr27XX{LmZjtO@L*ig3d;c%jcid71IhA$uDo$I z=H+a^CXB@ck1Fip`d~KQt@#ax31ULJ2dxa-Z;1gVGTJ1eY~z^6&`Lav4(8(ACK24@ zN<*jJni8k!SQKS)icULxwiFejr8uOOjOcgsP1giUj|Pj4F;VQX)iYg&+KC6MQawnoVX;drmDLGLO6N$t%=^t``q( zv5X8We2mR+ZQ(^B1#a4J&F=N^n4_!3@(!>)WYS z6yF}(dCqUkC$hD62|G3pG2gHTnCcNI*4#+1jufRm>03J%18LS)x;X=uh)iY)=GF)1 zDf2g&W87r9Kp}6XaRF8inSZk4Kl#r(^T65x^9 z1#9EpB0;m-O)kcO&>|?L{wx5ZwAf7FvhhdCp`ha1f;;$FK4;PQJ-*8hk?4=?ErT{S zo2PLx`;XBbvs=C?47p&2&>Y?&^L&0!yX+!iO& zqqkF(Oj$jV;^{=fOV9OL2`OoHw{{++D%iu$Q4rdPv_Uq`zt*d1gLD$GVvOn@KL(%v zRkBSMwCR!#B=ofd4(T6d3mK*#)ESHbtxUkt8V*(km6w>5SPXw(aXiqXb$66nP^hqQ z6E%dl3T#D&=QVHlnY==Cp&T~D*Qx3R_s)^HBAxqP8mAs|Hxg7#2L#}dROW|Tp~URi zL7A0t2FJT`v~D;kG1zckYk%+SU<0MfWj+g!92l6=nvPhyNUTVrClC^i$gEQ1Lxvgc zZ$e$h;=~SKt3~C03^Ja|?kUH+6?18HN^0S1tLy7XEy;1MnVmIfC_E`&y z5tF!L;p;y7Aob76qx3u*HcR%vf*jDc%-3J&d)(4r~FcP1`vJ@zFs0gnpY_L z3c<%jFNG1702(<29w|id+E^qwc3xJO2t>jt3Os zBbQcvwhO(Y95@am5iYnNLGKq%e8y1CycWQ=%A$p!w=HdNGQ{VwfbaRsk6iDOp%am% zPD&FqitsvaF}~%%tpUe(n^#Z?neEIa z{Gw#=8O%C{!L>o>b(YB`ex&sQAR|lv+SG_YiAPQP8!vPQ#~t8#Ate7p;(wk|y&EXK4 zG>zZ=Ab5(I|02nSh9~I&e)OVXkP;hSImJ(b!(zu1j8$?W>SN4JG(ewRnr###Q5Uwv zEj)MxJa$BQ&MLxym#E3bwE_3~o-b>A?rRiP$*_!x;+&+rhWhxn4K~TZP($Q1NzI*2qq$7M>ZN8<-GxrY9mvOcw}h9wlMqqsmD*b z$c4O%lonn}LE^TEK{~U*@|98olEp@sf`+2T!)T)eV2`Afu^IKgc#y)~^#^!3XB=vU z8gdvV=lYS1GAB#T!^yKxi$#_7P+bx+LV`S znhmvfOk&+K3_>~zD~P~vQ{S=x6mrNF;@fe^Sutuyai$m6pevJr$H^Rzr1!g-XW>CI zUX78MO-5|2M9AIWX})8PdKi;-Ol0Z~6mdWknPMM@Az@KQnq~}0E(N*XLB7wFj~Yr^ z#URyKqwG_!G7Fz;VAHm8J50FQ%|G%;-~hz6+`}ztxwlYW9WtYwCa+mRy;!ACcv_!v z5s!L)1mR?p{9Tk)+JG&6Grpu)*cv#l`WEN#f}9o2V|qn)3T)oVx(|7B1CnMBdVetG z&5s&%^1O@OGS8tJ@DgZMhSCFRpCEC9|1kn_=2@If0vQv}nt*N^&CZ3jn;$jQQMdEC z0M^?O142%UACCmEe4qwL)*uz<^O&dDr_~%gh;0M9OJZUTaJcBez1#36 z$CbVHXoeaO8U6pl54AKg|; zph%tKAkWCq7fJ_AFl*Z=aBY9NjI)qaEK3(bx-36Sp>>OMBa>m=p%)+GSGND6`V|MNA8HgA={e= zZnK;b7@ss&>p7xMO&LO+(LtR(3qw_%ZRh&PIWfBg^!+8a$# zXMNRjXN=_#qv+mIfy6#FbCnfj7^iuhSg`+7fYH+B~@zffbKN!+_m_KG?g-e!)mYp9m)3o$!Sv~m5b9XD$&0oxi zC;nw7U^pZ%5wqYKW(WWfQZO|*kf$_GKr~Rd+vIjBM)N~a0=-Q*BL`>13%h}&!`L_E zO|-;$1lFU}#Eu-|;@GB$F;Z!ES6s5AY>YXUett6IyR^E=HUjVnR(#`*v?L0IdtncT z`O*6X3BnU|3y}4)dI=S2uxTE2gFsmCNJfDYb`bz(op$yy`Z0jDd(Y-8F_Ls>D5!_V zsB)PduiZ2^+(8}mtq5xA>q>&c7JV^hpX_c^wQ2HCLpOw&)Qc-e zHPpHgTu){qX66{dBE#IxK4FhYi+D0S(c?Qw+H_Q6fQDv(KRR?(MO*B+#8Mh8H(`j6 zq1f<`e!gIjmqi@L&+J!~H4oUeV>Ax-hEOdp9)~#xv0_)GK$$&Q1-KKNTlWBgZ~)54 z8(onoD*Q#exEUT!Snl0AVM<2adEI-i8Y-8qZ1Y^N1!>)?Y1qTe z4kv(XgaHp(T$be}ui&b6+Z9k1bMKYS;xS{d01*C&zOgB%W;cv-$_-15^88C~$2ko94)POD4kte_*(XM?EbMBI4`P&wYHNVcNF~42f&3Cm01@tw>`Om-()iz`?p# z@&$=p5w9O}1za#;gkSz}d}*&Khd!}qibqo>Tb<2L_Zr7dp{{Ip9>?-r!#Y5c*(eot zLzFl0SmY08AyKAAWwR_XGxvS&&1Y3qetS9yQn;A~5epd!1eo!gIMi94vMhOx-IDPU zIn*UKv!lrcsa*-xxbT!sMA2A%IkLNd8Agb_KzMpG$cV$pZUV%CPj!CMLO2sLg{@9O zL&f$7W-sz?pRELK?`~XA-eK=v7O1&u6&GI3A$$02%CTVtl9F2vB}EH$IeFUVxE&v` z<_t1$@Yv1fALvgLIHOGqz2MS-zN@9{B&ISZW*lqXl6%!{K(|MZO~EFlnr;~}@V3>D@f`H6FCa-LFAn5GYWW%)qk!mYnB$UkwT<^fb1X!_Hg@G=N$Hg4X$S%ku6h+ zO?j9SLsZ7NOrnyH9+79*lIc<>g}M1}O2b-3ffRd&K?uk5To)oAB)*c@*cn&9m_Z?Z z63&7K<4T?Fv8S~4*>T1Pu>lCKRL=rU##_r-j+7!9h^UL*RGX5v0KroqwIb~>D09xf zjZ$b3CCn8?&Qx=Zri4!tEykt#kiyNFGQFEMUI^&>d@8LuC}h=Z%ZepO7g0-lB*IF0 zP){?Gc&wZ$U?gDLu#?i`WII?e-R81SxPvna70cbG`*ry?lOSv*v-S`8`J9&c*%jz1Y zix;|?h>MFF5f~N=Bau8WybW?SO4xup6two(WCm%{Wm(vX2@4>mZ()q^(O`c1amH6Ss zg$4E-2Mir{fn;+?K?_2;^edqfW8A0OW3f;B+>b#QraA1lx?QI5BLbt$MEa|1;SW_B z+V^;`&8_rCnfsoVt@DAaep;Sv_-y0GA0>`3$XuGaENnq+w-?5{&oV*d&AC=AUGmT$ z1It|wPQ;R8bQ>wpE;X%?sSoU|yUlA#kBl3UAus@vpa%Tm=(2_yZ+EWwbh@Nd>cNDc z3m7pF8AZpA6(_pL_ytexStQ;j;i=wV0x&jiWgS^U@F^xNqP*aijOuN?aN)xm13;OH z-sMrmxCEr@Q}_dO3~3PxW0XGMwygniHp@AM@CUG{v-)N+Fcy(ba}|^AOlULXT2rS? zj@aRm7aJ@f`B(3#=1z_@VLbrH(fyf5;XntB1H(eQ@^RwUU8vLIKwnMk7#|+?~$2@ZKfK4V;n2~!Mpib`(_fBNfU30wA=h?-h zZXH}cBoHk00_Kxd8F6DP-ZGVxFDb~1=vm0_uBUe*;o zb4M$0B8%5YyJ#Pz=8(jT!zT7vPbWj`xCSH|YiKmxL3R;K|diIH9d2N%# znWK6pp~Yp4VT5W9*y80&C_C)ZOi);Dk)fn%bDGVxzoK`v|Lp z088Ji%haeQxS6+;wfsE*MRRKRl2H=}O?ai?SfC~FsHR6}-A2UWHk3fjvm3mNjq9IT zN;bomcMlDDk2jwf&X~;}aEte0Ds2-%(yh>s3oA*4u*kr4hz4qF76UGTn564YK#uX< zxMh<$f^v1ET%sk1gPRAYhVBe#bfbnKmSDdRx2z>Yh6hqWv<^H$jRUWp+fpJ`>Wab?-nd{MP!HuW{5227Z@C0-U?AMmB!RPq5hU&Y( z&>bZ)?1{{fNvnFZTokQ&0S+~aP!LG6Ho0|>n=i`P3FMe2>5UTSPqDpja-OyO?!y1W^_2t(C@_#lcIxc8?k$6=A!$Ci2Gn+qcN9@>Ns z2nq@MeU7~&aK^Bao5@w6`LsRo%?>-OUSP^+U4R8XbLs$0(4e}Z8GuAuyT&wU99|{4jI2~7T z%l;EpH4rRwP5Gs`Gf2|bW++z=ahdU$k*ow5!<2wVQV>g#IC4_KR@w?0U=xF^I64-h ziZ(>&uTJxBHTm@9Egag)-(e>`q>d?Cla|oiyY;|ccB4W;hA5dPY6hE&3Ey>y50BRG z?|Q9jt5}k+y}sH@K}qVaIoN)6H)(0Ja59C%hWGe{>B9AId^atJ*d(Wq#$9f!rz~7Y zbY+*D_Tg%W1`_t%L96Fa2YLmVl6rNBXrrVJ2g_^YX{6?pS0yWAep_~2B8#}eAvBq3 z4#D)Mr06v)RRLI#G+4kkyfrO|Ip2rua2;s}#n9=xp5LFG1LyPx6HE~@lR)w|*?{Vk zi6$l6zTf0V>1;blt2ZnMv{Q$5;NfF>%|Wc>aZK6y!m)k_2-n`ukN)ReCNUZstx?vS zXxS9CyU~}|z=&@zZ%jNxU(1WA*E}}0$q6W+VG<4V`&=%T%VKhirW<+@VoP+2ALnHe z8Nq)v!Qo>|B5AqpUb;#{jWc_>@aTc+bII1PE6>*_a=c_TSIH@Md-&MA#}PO0!#2cWKe zyQQiy`9&vY)werR`7rwalfRs?#2&x}L^^0MJwLPEtwR791iSd)zrW%^7|8B;+`)~# zwTJ^GORDL-gGX2Z7(7`&Nxnzyi%)W(#H0L7y)ixr9h1)X6;YjpG+WrF+!Ppg0 z8+g#QkDALv3BSL&Y5hwhkzxi86?wxQ>JVX@hLN8_ixA{DP8k%<2Ph#`GZ0m-V8>;B zU9uA?{-Z?73qr4sS(`5f518C3Bu&!^(>vGPDo>AL-?SdFt}&q(V!|o52x|%p?BJs? z2%?uB=Hp1dTqvj^y*cTEw@fR{01MKp#wE({cBM*<9I;Dp=G%gT5+x7}@fx7m*=UH| zWaGi6lc8eE5nZ>|6G~jml$;Hhivc%&qgfD{2o}!SbM(#d3ajcjQ`oODSRFCM!8YS? z6UdqEGHf3xAZTRTA28#tZqdv9=HwK4tzwXnNSn5xNU_CKbkarw0DFJ2Zi{;g5Uvc@ z)HSj{*P#_iSj$uZois;b^#PVP*Z2ZA_VQrRLEW1?sFFt_{DV+u}dY&G3BPUx)++NtOG;ch#kNBz(#em@6RqOwBt)MJz?H5xf?G zC50s80Y}ea5SLONf;TzCm8h64mq&o0L+R-RSTW*6P?e-Z#uFa+%}ILdO|*A@UG>gh%5L$ZXl<%GYbVR7V^gU*@RPgqk-N)d$oqW)8!hf(4M#cV zn;~sj^H}9Rj%9@J=i3&qE;C_7PK#kS{XY~kl|M{`V(PHUxwec7h!)L!TU(E$q;5m6 zG^d}Dn8p+SkS>^G#fP^@8x*E-v6pr)%kv;Hz|<99lMcNu@LfXVL&U;o>Sp^oJckl! z1HePfNYp^Cp|m8T7Ky41!)ZJiBx77mAj};6OT3g1Wizq4u3n5{58q!{HpF!9uC-2n z9j03(YCpvdr4-aH-$NhN^KOo#tN}`dfYf-M+-~Kn~1RDh8`Ccbs=71qxE!zSQq^#dzNv>K%>8(Nxm+l z@`UeHd4ay@Fo{)#Vgd|r z4NkTsK>W@em+&5jI!1(Xl=Z0vokG|E2nrADl&vV{g2o#;H_iq~>B?VjV1}FwtxS>g zshp2sa>U! zAIH=J1>ZIfZ8gwvyVJfnnQX zlVk%>3<47rU*9&K!=co0hnEKy4GEve>P5yb!S{A8dZ&pRHPE&XY1Bb*O2}fo1wfW2 zvnDT((PTc%RU0D+4U4mG@B8Xe(_IJ`rxp-0$`zs^_pS_NthCj%++&czM)uLf`T%6NcoP+jG(sR6CWbt$-b~@%JkebSCf=5O$0#b^DM((Q#!Pejt3;!*BYlQeM%6Qof-=$IR#D;0Im#%jEr*PjZ^ZR^X7UP z*GMjWo}W-f=%!3sM@LNOz58?|u`c}KE3doMZGhEB_OHkbtxTnGa6)8e;o))4)gU-n zRHI1~3Nf@C8jNj#_|&9up)Mf?meq`hJin1e(rCcMcEzSZ$?LciyycI!OoWBk(WaM6 z0iaiu#VuivMu00Sf`{gVxCaP~deIR7@?051(8Zm@NhG!2atw*a2ElLU$TA&@Me*GY zfHWt7pW$%@fEc#AJmvD*Y&X?fFdiu=#DxFKKpT>BBcRD0G!_kDV|EsA)cLa`Ajol! zI=pZf90iDPk^LA!x7jf+>;VR5$7KyxI0Y-uJdrK!1w3Mf@u>(bEQvqRt$w`_O85=Y z<(hR5$KAyirb3wTA3qW4z29Au%+H~VZ6T#o^WZ-r({dwnTuap%%M+8WA-*WtUOJ&P z|Em6MJz)s}$S(y~?K$b773&4Et#^xoAJ8#=;udzmP}L%T`6vM8QF&;de$__rMz%Ul ziQqV5AKN5+CpzYV?6$}|#>Mntq%GXzO?Up^)$#L}$LAM#gi(m`mJgrlq2Vdi5eY}0 zrYtN6>sSsFi$5Ih>DzOi4K1)nv%SWlc`z+TL_(F;YD>MBb}DDMxeqmb?dLpCTY-1+ z5KSHy#C)bWqiSNWq(1FhpkP;kHafVI9_^8c629Lr5l! zo2or`?Ks&~yg?zG+ABQMP9hQdVAUm%9NB`WbE_-lZakWdCa!^`F=(7?RjtJt-pRaH zmvL8|+DFZ@vhpe`ki!WGmV`Mu@nt{!TP|L5R6l4f0>VcFu|m^KLc0vZk%6#Oc4v1) zDc;$kHxqF}QVd%VC^_@t3<;$jhZk@Qc)-%Me=RYH0<;s<6hjB9P2m2oxdI8s%A74# zv$kCvzCL{??c?uRh|$k4h>d4*@FQuU&i*@N4cT#9znx?*EZU|$KX|D65yj%-AXhD0 ze6BqEKnoWS1*aSV9>Zt`bEto$dH7lQqy@=4m@>z5Kt2p#$-c~q0CJPKWojjPCLB1# z&>nq0l8=3{leaaqc>=WVYu?o9!Q1$U-t_Nd_6l9&_S3F6m*hpKPvsCNoRgF(;gWRc< zuXp!9e*L)bl9zemO!rayq4&Ew*qgDq&7K7nsOr)@WQfyklYnFKpd-_1gD{PPyj-|> zkLCs*YMdQ|G!v-G*iLX%F69WmM?3XW&M;K#u|H6OB4GLAQ{hVHIMXePhem#z5Mwb{ z02_6#^fUoEj$6dwAYN^eB|#R+L1E86+&=w$eyElHI3$pRv7UTLs##cZ2RmU>D<9ov PuI`0Wzp)bRmoNVV&WlQM literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/cameraman.bmp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/cameraman.bmp" new file mode 100644 index 0000000000000000000000000000000000000000..0571bf3b8955e370db1730a340625d03828f5a49 GIT binary patch literal 66614 zcmd?Rg`=GJbte3Fx4UcUGD%m=EQ|4q%y!I@ZQzSOxBrIx z_y7Lik^k{O{s(g3efJ?NR;)l)u3U+9baWt{ot;QmR~ORV-Hr70^dP;xy+~hQAJX67 zj|>b9AcKR0$k5ObvTD^TWcBLR$nfwmvS!U1WbN9u$hvjwkoD`=BO5ksKsIjNh-})l z3E8}PbNkx5bt|%M+csqT_U-L!=gytTu3fv3-Me?Uuf2QsBK!93L-z0A-@XnUIDi~H zcn~>s=urDQa^whd^ypFK*s){n>%@r@$jOr@kyEEmwXczp5#-F7GsxMqXWQ5L^XHKZ z7cL+VJn#VW;DZmguZJIg7qejWOQ^C85dBziHQkha&i)xnwn}~Gcz+tEEYrJ@i>x5B#>k>iKJ2~ zB%MyTuWUAp(piy#PuBuRv#D1@eIgkczjWm$ydxb`Io z0wRhcB1sY=%QB)U3ZkkiqG=kU>pEf>M*FfX3$bk*aU2J6T^I2@5Al5;34#C#!w`w0 z2q~3HNV!}_DwPUStyYm*t%lU=_4YM8JBu`%O=NCv4w;{yM-~cd%ef{a5{weam{@4FPKKaQ{B7gR0e}??|pZ_`X zsZV_h`ShnhjeO=apF#fOFa84g%fI|f(^rbH$U;gr!k*|E^E688}^s!d*{oUUofB*M?-@g9gAN~RP&Ue0peD}NGMZWjF?;+p+{`Zl8{KtPp ze(-}IAV2)!50QWRr+-3z^rIgkKmPHLk)QnJC&*8K`cvee|M{Ph|MP$TPy71UfBjeF zXFvNH^7Ehn9QnmBeu2F8)?3KiZ@-QF@|V9v{_WrX4f*$f|99m7{@?$L{KtR%2g1qv z-Nc>uSd|f9=!0#!;f$7?Y)dWml2BsiI&`$928G%J2n0C7vFm8=448g z$0ZbVW>PmUN^>t@MV`)1Cyaz-FI@GE>v-%cSI!u8E-uSyjZi}Tx}{jSW!H^s<{ghS zW0XjgN%zK`h>mg-B@Uy7i!;K+rS#mW5JqQDKlAY)ykWi9)~$<=KPkS5i{!NuI5(S) z(Ya*$DkoyH=2W5ur-~NGgm^0H%ao;K|9_VsH-(vN%PK0pjAlw$RHjUv6Jm=^*I}!# zYI1{HHN17pp+g5Y5A^h}+qQ4_fkOw5vnWO@Sp7Da?Ct989N4z^_!Eymcma^~(ak-* zk5U=#Ms}IQtGZxw@|Vg?T!L6}cH1NeCk3eOd)E|)=*3%LyLiRu)sEMdyb+TktR4jtaNexP?~!``EZ?mu|ooS(;^nHfu< zrAytNon7m9@4N8W!w*B!c=X}n?w$?PrQ+38>4PTvWQ-2W&u=<(`rRM<`7i&jh!LJ7 z%7SFdTtl&qyUjY8<>zhHlX48Mm=*Uju2Y1HCX#_dWL|Bi9a3Cm=h&&M0u~eLtAT$p zD;s&)%cQJ$iSrvdx?HqH8AnNO@u?HfzVYLa&e+#u4?RDfnYxmYCgn>N;!5GUM@E@g zQoNpxRdjtaHtM2RXNvh)u~_R5=Y zHn$FK-g)@o_BDNd!@G{3IdT8t11H(UWHLXa-Oa{8{{F2;jz0X@qYpj$&|^-QigmfpR#Q}<<2VQyPl9MaFrPnR2E19cRf+W#%V)8 z`oQG3z8z)dYuDn{8G_Bt-?7MRJZ9#wMy`;#reC?t=f9CwDoyQMdy4lcK z_Ii??dI{uTdt_wf!ii&t4jnzPZF6^LSGRVjn86gSsL|3KnaCX5e42ajx8C}h1wi~PjpOT{Vsk!vr;sw5@8p3{>!OR-rsdiCz2I*|>_UMh`FDoVLjIt=pf z-@Rq1Z)nG{Gb4vL9zRs@Uq^E_I?VHzySsW1J$T{#11Gkx8E9bvREV8PC7)+dK1{LM zS4s~1z{bb0gMqrR=;RxEFDoKA6^M&k@YvRI`Q5jVX!QMfwBcV-o_OHEB&JdBrG`YS{@@j|yEaqt^>*6wf7;N+>z5cH-RiFMPLlO{Ob`Npm6_ zv#iF;)3Q(qbG}E@0fFZ1G($ghMdNxQwQ8s^*fDSCgzGrxha>D=j^VPF^pg zVog?q$xK+|wX5OTM;*%FFp|;e}YL_GsVm*4;Zc4D@b1G;;RHo^{6# z?wV=H{KuD6rSfEF_ld{f_3(pd&OG$^6Hh(*uBV?F>Fzw1ozA-qt=rX5vg5HU+xMNm z^YNem^6T+*k>;9;>+rW+gEV~4(Xtk~;1u0VRFLu-7bm7LCYz_F6e$vXjbt+fmbgs4 zTKDRAgvQ+p+gK>37-6aD)Qzz;rT znB(SSF?POG<`n@&a_{^{F4BF1RUXy$R-m`@ft(Vyzm~K zFUC?Xsg%G3MRE%FG<~Y9 zPGI>2iB}uS@{;5#c#bb%`h8pE$P1R5;QR zWcy^-;YS{M_>sq-c>JM9A9>fKkDlAn-`{z5)=!wkG>4mImFL{#iQ&`4`~KmrpV+C?X@EsY}U1N^%)XNaE9(xL20_Ib0DFvy$JyN#3$}TPp|{CCB?$Z`!hX zb^rRqCr3{0TQ_v*=)t{LJk~BZZZGfeJNx*f7cM;T(1i=<&W#-3x2~^up!XE-QYcf+ z@sq^W@zm6fM}|*bf8&3@^^4_kwMeDV^b4Xr{**3HCbMiJ;|IQ3El!!njZ$2r^2-ZL zk*FA@f~V`+T&Uel#q?0JSjhu_j+NDjNtaz0gWTelh=oEfmE_QC6Zc=p{o~(iF?Ld6 zC`Qlc%5pNW;?py}l8+^4o=ak5be<_pT)K3{OTUnPaHdeWJYA&7{0z%XmtJ=iHYHCu z)O+5D+Z@Ho!F*MB+%jziv>r>=6CnS_&8voXoH%v*(6*tWefRI!c9gn(+t9Vy?VBEd zjqs+wY%!o{gLmwI8{(Z^o>1tD#g9kd43SUS=JR2;pUVxxXxfB2iJ++%8i5p02Z(GQ^1it&rHOpJ7&pUS_8&*TeZ zXC1eea;B`l%4c{F4Jrexwr<-p zIDGKbnbUjM^bZ}{vvK_vjNz-i5DxDL%bz%Pc>lKb!$bW*gY*pyu18V3WC^@3gxZTK zU5{PczVqDjNB-sQuVt>15=E!3XGJ`%W2lBp1g-}Rugg?m$a%h*o@8lB)QT2KCPdD+ z7A?&2DcxYfMKoI#!Z}-4=hQl1OlGt&$J|QiIio5bI5YXRZ@E~i=%i$v^0j1oI(s#R z>P3rkd|Jk*W^{WdHj_$mISaMtmrB}rMw5u#6;djqSvJFRDRmB|XHYGdAxuHfDW-3s zp2o3hZN6Ft`FF1$+IZybxx-ufdWVni+Pr>!Le)dFS{~fJf9KAFdp56L1@uq*HaNIW z;Eg#uO=hs5c^j1(vv_dbNce#tz5OH9M_FRLkPD)ymSf0@@ES2&uia^SV%#b#f+{nz zFf}LgV-?>Io03`zxUw?8#7i;5sp`zK<5tsnj76>D4TaW(BzQ-j(q>Z!9x4Cu_vH#+ z#*;)oS0u2B8`G0hB}UL9dvxYSyFQW4O_9%&X=N&&gz)E8wwV(MDG3FLBe}FJ=;Jt1 zE6v&tF`1{wE{|eDoKOn5I!c-HD}$@IY+5zE^TY$E_pIsZ-+p?}wk_K(R06ZA+x&0 z;22|R!7Yi^no^3Aim5OJZ567Ttov#*GCWkZ-s5T4Dao$+_UrY=;%g0&3B;HYnzOnr zrHZ%}z6$L`q#YT#^ttah6+TS6dCanuyn5~0WZo(jFf3=!km7``$zv~kPoE&ET8oY>mm zv-;qfeY6w$I0nt-Rjc3$ zjB(ptSUt3U^YE_2r%xPM+ughV)Y`B%!4uS$e21UMbtw&fZ*XBD04lp$N@ zq8P>*ZklwR0(7;G9R-RV`3sCnaRf?M9Eqv$lE|tI$rdvjWn3REic+?aKX&|uKmNy8 z3@R+9sTo(z6cZP(C5uEE#be6~gOR>WQt{~x%p}(daXQf z6qG=d1lg=kRxHMv;Z=dBL_^c^cs3b}F_~;aOKHSZjJ}#ETq7Pl`osr+@*#XI#!aKS zbdn+E2`J8{1Oj8JC$CQxZ#c~#Z*jna%@fi`c0b-967vgsApjF!87L%+`nh%=ADYZ7{1iI zVeg@X_ixy`d1&?4y*t*fTDR@M$oXPc_wzH1p5>v24nx;4A767EefM|X{_aKj!+eOo zUS-gNkcD`DIdqgmvAdZ`$Uc@8(Jk#=Co3TmFlWI zQ%F6ByAtkVa!j7tb2k2W-wc4xpq|Z0mPzS+qDW0VeR*;!J9h0xhMh@CM81G>iBSws zXHw~j{N+56PI7*Uzcoe73KYZJ&{7e%8&Yh+$Ouw|E1E@As}xaunaoy7?uLPNo3tm1`AiM915rJySHrW;nNX}dzDqyo#~20?oD z07ogybSyE!PAAf)A*)m4O}SLVQfvX!vh($uKI<_oIv;9J9e%9&<3E%#sRB11qw*m- zNpNIpG>cJFm(i;+hsz7O>5KgISbVHdOg@K-LR7p#lervTM#-cm6=O6_&WwsNG?N&o z)#)iB&)3}rAxlpvMLkcu(cG5)HCwmtJFs |Gj=lT# zY#ba|w`c#hHAAa596WvY%sIxLulh@K^;>~0u&An+#y8(@{>}IQ?d@Owi)_!T8avO_ zbc2K(DW7ysiHoWF-vkrC@v9bWF&%oWQ2Fc z0Us2~nHdSX2>JP|gsY5BI!S`o6C{CWi+MRcGoDI~WhbxZQ{yD0 zgBw`YBnrNus$n`pn^+7Wf+N&r?Cg^D7lI z%I)7f_w%2>^~+!U!phnhRaVKVvD|o^VFWp{1fxn6MbAueqRzNBmo8v4 zlZkX-&hF|T-oEqT?$y1$0~_|8dho)DgCPHw3CCMJ)jPa-XXna}wR=w--m>fBwb4{o?IcN{ax{6$@(QRLM!BhU?dTF`T9C zrDeThgn?bmbGp&6OGP5*l;kDVZ>pB_p4UUoXCJ zi5jN}z|yhw=rn`Q%*3ZNnHv+=uZ>>6I{CtMvaq>(aKo0}8~eJt`qu3}eDv6XJ=->I z-Smh%&hF|S9NyTma%Jc40~-f>y9T%I+<)-U(IbZ#Rph6OvNAox#CZru`}p9AkNx4F z{Mny=av_VwsWjoiL{y(Fa;?GV~Q?YpdMm#os@ygTJ$DewB`pW3& zi&Ix$;ETrScr5+g^V82yUA%rHahVyr`piqondFo4(aVWTqhr>r*f1-0OqSK9S&JbA zXj|&3m{Zmo}MW$+!PmX2NkYau_R59PVaf-%m4hNxBlhZ%~4HXSk!#FGCyxE zIwjMf^tzQU>9+m;a+zTTxhg1FFq0Ikyk5*Jg)EmyMUiC{YZfq$%M|A?NKwTUih9Wj zCQsh|@SlJ03%6blLWkgSqeKuUj{}V=0J~Lv1(zZ|jn8E)(vZvqYZX(8+!Xv%HIrkB zDJfAf79ELXaYZ1Vf)r&V1M+T)u;$Bbn&xD)X~`9zjUNI6Wb4*7(Auxract!L1E=oa zwR8XeeFSCh?OL@F><^@8?_f{g`b|3zj+_C2JoCI+wv6)4xw`3kBEc$?hmJln^``rE zdFVh&R9J0VDH;B|<#~~-5)hpOx03?M(Eb=`l_JI3ZY;q?;1KuQoO}dj#>|( z8un&YEDWm}6oRU7cKRb9_{KNC_S(GR$cn!>i~6cjHVqCf6m5(zOJ3O%v}H@h3k6-a z#7e-0yrSV45Tq(?;0-&BWRa7Cl3f;oS`cMbt$5xNOVaSHvM8%my=j)u^{w8#e(hji z-{894C(fL|aBAP~eFu*2d#WDnThX<4ZO4k05CR=N!|T@XICAdHnNw#^o%O;RFJTtX z6F$&Hp|$_$Lp!@V{rUc3J+Scx!O&?zn%8M%HeyUKdQZ6?z3+A?`j${GhkmOPY($|Q z_)g@{8V>Lwvq1g7sT)GUv9cl`(wZ8~&e^AHzx_u){K^+fH8)H<0lR?OW~ylA=?s&< zX$FeG=mHOnMv=v&S;fa>Pn2{q%R)U7FaE~tf>0xqS%Al~U5c93n@V24Wz8*VPIJEQ z->w?BmK*NNOVR9ueZw2p4}<#;t=oI-%(?T&ckexX`poI=dU@x{6)U<{z-9#8I@YY& zu>0iMvp@sw-BoVX#d@d+;DM5cQ~Kef7tVCAeCCgadhJRTv!qa^NTv~%IHFiU6J+`I zCebh|;KTFtcM4o7z#jHRGYVp%MoQe#FQ;9TXHNhzM!g@W`3k{U%(;_?cUz27v5JhLS+|{hAXtx{zFr^d82<9w4ySU{%x1@+5EC4x z>Tzi-m?Kp)U__`~@s#YztZ1l3-nXXBJBv%V7nkjzuF;BbDp6G`m+P2`@s<+9cs+Sy z#fpxt>}Od5Xq#9vULXKai$aBVvw5LHFr3ziJWndRQmx_Bv8YN)g6~)h;iA0=f3NPThKV!p z*7{bg=vm*NP-~RWD=4F~7F#e&EXx#&SzLBaLKawDrctFd%W#E^;F)m>$BRs%DCQ@p zrf0GwnVZIA>4G(rlTF7j`HmknOr0<1b;rRLOjQZW{_V?P__gZ?`})^xIePre$f=R@ zrw$%#!Q8pi5cv0Q9W4mx=;&L!2ljK0AALv@6x}HD6wT;dF*7Q!ZOPyBxobT~oEybF zo#3x$t~3M#D^x7aG4ryZ<*@>e=^Ep~$i8eTN}VU?8Joa&dnc;VU<&+FMDZInA=-%3gS{g82umKb!6q)0Rv_Pfi_1H9yI$RL~J};$WtU=(Z0+}5z#>U5z z@iYN%k%@GyI5`D#Dnm92O@JwspwaN-i5WSvMVvFaoJ^spL5}wi4G*vC>ls|T_6X(Xn#+H&%DM zicT=R6OTno!r_ZBC6kKNIbEa$9UxOxP{o*I%X2cu2$46tIN!AEb9Zh=^@UP>Vcr*` zre1FFnG{!=2A!{2wUwcZ9FfUR3t~aaW|IkY6q`WFgztJm#bSAt5ZGJ+W#g%wjL9g@ zib|r$$Y~7AquE3P%}5NXpL^1 zC6TJl=7FUu=3?=S<2O`UZ2(E+1pBfU}$)7sIPZu{jNi& z&z?AX=IHkIYuB#o?zm@t5WD38EiJsGiJ^_pPG5iVrPQ-09(wX!H*(L6j!pO7ci+mD zYrlDa*K-`?btqDBI-M%!Con8K@#wP`r>|Zd&4(2r#tdB~4Dj)J?~Z8P4CL_Dh4P{r zg*WY+CBLaz>>KZ%uPovVXlKWYHEY)j-jZFayV0yJhYW|-oqQ68?TcljN(kxAf9^Yg{cfyU)xer z&!0cma{zs6j1S6AQ7(#URaEeJGMk2R%xFF>(4%Z&VJ;h*d{nB5HF9>|u9gE^3_X}# zEO_;TI$y2@rAo!4EBCKl(Xpxj@p)&#c||d8o%5QJSyhUHt{DnVz*>_g!EQ;@FnrB$ z&8jP^YPDV4AbxoQ3XaHc(1x#4@y?K+ zc5S)5Xw~W_)4O6t-?~A+>6P@kWgu)Ncd>*kXcp+PqFK^$I(H42Gf1h})F>321vv;p zU$5|#Y7w-?GHf!H%BNur&x%F$Mq-@Iz_7NUL92Nya!uJaKvfHsVAiiotA|#t9vtW! z+Ir~xiIJ0AHmm{Z|6lX}C;Wl|DM5B+3X7s zJrj$ib9p(HMNxv#p}w7Knm+3{BvEl{VHmsy1j14iM&uQ@tk=y-S(VCS#qq4xOn5E` z=5C&YAGT@mNXUzpsy9@DQal??6v=$9kQY!*){1yMhckt-Q7nr~3Y(V#fzlYMhy$S( zC%7Vt7K=2^&fvf=a1555xE_D{`ZVkk#IqP~kQ^#|zybg}s97%Fb z->|wRd&~Ow0ra)d@7}HTE zUcNG!nn~p{JUf{aEHE>{0|W(gx0j46;6voAvhk6oSL1cVYnDZ!kWOl1!(v=acNZJ| zt#WHXkqN?P7z(l#OxBpxB%8aQWmt?X)CiYOag-5`8daTDHM<75l9g(0?`ZA->!4 z!)Ek5^0qY4dI}iVx^;AHzA`bIPbHEuIW?WZuEGEcinWy;>%Oz8>#F?HwZiBWd7U1O zUwh)x_4st+sY~NUAnL`%|DQ&MzB3?dtFO|Tu+Au>f2r!kt(bNT5>&?kR! zbaGUdd5nd1CBZ5gkvFHSexSKdNwy`eQg?g{7|LA(tNMGod$x?6J9c_^S4;NRtu1d$ z108Mqw_jM%)7R4vTi`GU#Wl{*X%k9NRbpJl-qw=8=ksTJo~uaJ+ETTy>9mdkPgznZ zI-g`yDT9h#dVXBwOvNq1WNqg=*HcJVD^?)hkzY@9cjO0+ue? zLRI5vlFN%3Ohh>x=W~Q)X3rYt(Geu_@V>;`_QKl7%?z zHx`O=LxTR^D)Wow`t7P}hbF99*h^BVN2aIhrkE8K+F=Ulb6|wwH5(L|qwy+C^E6I# zyu1Wc1J$sxOd1na5z9Bg&a@0 zejq84rWZXvlT*oJiiqcnoP!fI1B++{j>oeAby-4Z?1qJBxL7*5rLtX~pS^wa{gwKMUg?7b&~f5>Yr06rsl&FtTQbX$M!*sb zD_3{Gb$aG15Z8zuC#sNLftSU(SrE}gBA%onIW>2 zkMVUC(w*XH60ayiTHu%zCiptgZdNPF*p+(4j||liRLRsNSr2*F^$i%d*hT>A4&86T{HO0bO-JwF7ijs1r&oa}oizqi32;B26O0EF%9?t+srt@!WmYYE@Fb@wPs z^QNh2hP@EJdv?ycHPW%7vt#JnkF9&`k4lDOd)08E;X2I_a;@TGyb99>TG4^$uv|!B zm|s>LMOQUGkolk#$hu_AMXI2|Qb@jc<;uRzeQe1CM~ysIWb;^w(SpDuHIx$==-?Fs z(B3C7@Nb1+UA8FDDU|m)-fqr&X6U&UPn)kdoJQ0X-AXkGN{%iEk>m5CZq#&`TlikB zUiQ7HB(WF4{kw)wp552R{ni~sePS35gbZ#w*$Z~S2)AE^pVb<4F9uXVku3mTmE&$oGXIr#fgU|}9ez{`K z*F&^_{TcHU|MIhM8C7uYMikYJQdFI7+?p*%?W=Uy95ZR_Ce>PxmXLX39n0sD^$;QFxXiC0$aOECI{$tW>}g zMHqPj#hja*q4OAxVW5>fK@@S7z$R1K0`BnwEPvXrqnn;-8HT0%z6^(iEKyM_q1lW= z&+PB&>fUu`d&~P z6(Jwbg7hd%!&5j)V8yf`WC%Q;Vk8yz$Qh0+07V$NmF8^O3QMl;M|v1WKG5l=62Sbw zzECbz%0qC-<=~MP>Ol|FlC5Q9F!iruy|)|m)7^R-!!v~AA03L~2p-mWj-_8LozjbQ%ng4t}=%+PTRo`gkD zJD0|AM^QvwdHCA5Zdp5b5r6rUua+u?Awiwzn{v4fP-y{?$>}-R@W*sl62Gwe%R-damk?w>wU5yNh=XBFF?XWyM zSDxDdhdlQ8-gi&vA+>*2hq94=%Uf=;`W! z)&4HHz}Q_~E%`w1wz*r3o@xb6$cLUEmCeA5#3!$R^A4l# zfd6J&|5Kq^sd`Re2^N^iQzhRN6j)x8WI<*aNE|FwWCJW#eG3T7w?oT4jQxw0Ehd9{TE4YXVx(7p@q zKv*dET3*n4xg~#R+w;NyAw;?c1yL0Ws3G$jT_7-;fR??ZyydUa{ zWkj|tP&_3;LFaLt0lp=1JjYNZ&cezR>;$S*J~NZZW;2{fDWc3kZJ-rs87c)=P;96m z!J>IXsY)=3{Y-~e~<7=#B8=;>{*e1fz0g8ZE= z{BJo#Hy|Ut+1))26ap`WmMt4B%@ni4E%^_8cDOVBzwuN$o4he~?OGv6TF~}Jq3c@V zY}Jmcwa9{z7=(1$f#U%HjV_#Df}N@t9{JmkC{}c?cV)-c!9%bf7E~I*=TzrwjfK#& zVR#9=g$rrS&|Obqfc24~3Diwkp)tlbTj-IyQAUquK08a-6v{cY4``Q@LGIm@3R{h&@hn7e5 z_H;e5P@SvQ7nYXiYxSshb9tmyKCbzjon04x=R?quf_@#t&~1Gp%`eYOh8tNSa87VM z1hilBOBEkRQLbAl*8%G7YfpXccb&-A*L1Ax+tkPTk?Z@B6}U&1@6FbmP-6P6 z*zyCz<>hos`j-5y8?^qd#~^*nzHqfO07%<)itIzjwa2?%e38*FXNK?Pr)t1mCy zT9}=`l>rWDW!ERp_w4k)Y|PDv&~d^0C4Be^?;k#|=e^Jd(F5BBFE&`QQg%(xk!|3y z{U{iJ__Ln?yGGA+uUxTVXal5vVE6p8N;96N8-H`%sek4o2NU5C9XD3#D*9 ze1@$(*XC`<_JJnW1Rb_X8HjvXjS&Qvhg~^J(M3(RDVEj!p!J?4impOJqfD?#K>x|f zL_C?xQGzS;qowWwjHDI9q2xK`_pn5R-g(BO0)d% zW3xZ_Y&{V|zX#rGf!hP=15O}AS6K4=a-)$s^O?^=YzL(&P~qw|t1I9-Fdx_Tz=a$I zzN^8qwx9x$t0<}pn9Y!Q8mDOvI1@=Bf$3#1OyKc+I+jjOUA{UsmCD9avCK?r3evo0 zL7(P&zT>%NzcdROz%WGza^JK4ZR7u^2HM`=793sz`CBmG67^pEga5B=nIGZ;UTEp$ zgyR5=`+);aRjxJe9Bx(5`~G@W=dRpel_9}da7xLIifdlF{i83{XP^nwHP^N!9YhUb zfCISclmPvV&@f^E5Gpl|M6(Yd4lN#B8;J&h$<&45MpG87DwWEvK%PMK~Ax&lzS zsliUYPE(KpSd=FUR1PZ^QI^DTGLwZ$FdvJ*IFU_Fh=u|=Q&YhD(5wL)WIL{_L*wO^ zp6G2!4w!hc9wQ7nyOi|&30Q(#) zUWF;x%KmR`>fU_eqkn)GSe}(ho}XSjw)lfD)u(}`;zf>=Se}M+ag0nu(ja&ykKGvg z-7nBsp_m~idRML(+_*|sXh~8~R*`gtXH-EXF@lHbeFh_BNnmK2Cva8MVEQH-3=VNDA18~91oLQyBm|jaup9pWuV8jJ0CvthkngVMEQ4ONk$nT79qU~ooLBo%04h7&LnL_`T3Bl0*@&jy^cF(K?URWM9d zQ$&@Oj3>I`qk_-3goeBJpKWclJfW2lTW-)!bFG};df2jm%l!fV;8`00&nbYtkl{6e zGt<(7Jz)Qpm)<|nJ-BcA^P3@_TP&$M~}^f&;rnvWR72zd2bT;T&lZ!|_zA2nVC$xp*p@OBUgO zD`JIAEQ_X78Hy9o0!C$N93)3`I7MKNZa|}@T7Z5~HA2TE%Rrv1Xdm!=VE=ogo_m?E zt&EoWTQJa;znuUe=0RTYiF@+j^NBVnbgvUA8Ya$=q9__r#(b~?rofxOvbuZwhV);} z0k>%b-huqD{L>d_ry<|aG|me&3^c&n3{CKy#+|zPZI8lK8pSw%eaFh~oqbm%lwk=t zuS+o^PM}ONoz2E_X`D)Cav3zA$mGy;W_l)-PR0}Yd^!WCfzruT0n23bM21MEGw}p` ziAa2EYJ5DFio34qJEjKVX+bv*hEjk%Q?+ zR}2Nf$~GRfWN%$v-TkTtgo4bArp|i6c~7)`%q3|Dl93}yDo)WGBg}hVIm!Z05r zQ>l2Gf{s5AhR>m}1XswV6ET?cWed4{B9()YXMxG33(yCo6PYyl2mC*g=fIYkF^02Shx&F7cipf4P|j;tBYBs6lifJYfC=JQj6E z`R)SG;3P$1G`S5J#C?P3igd9Ei=OdxIx&-&7@L|JOJruo$Hykdr*FXV;Y4g|CXq=b z^0_R~UzvPndO8K$5BW?ci()vI%Y$x`iEN=TJ`qc#6D1(yVW8}mfCh!nSP7$`4DDFs zRNKa#VBeO%-xDA1+m7BszZM9#WNq2Mbq4~&gyTQc-#v|Vt+T2wWPd+`jlihVSlj?H zva*5qpD3e{f4sOxTcw88O%}A-_spj!W~O7~Q4EolM z-~Gv_YAN3>$%+8$=TM_4l2E`ON_^)%xpW#MibaxQ@V<_fz552>7%xen6wNbm1iHYG zShmnY_AJD_3CDtf+sMV!xk4%yk55gEPtIJsc4cCEG7-z+Se7IRvVajNxDJl7G@2`t z7_@&*wNWcYVDz9;o2@tC^h>$kytS_-blcrQZjk+60nieOPfMm{+KF}Jul(dQ^)z%{rU|hR zK>=Nw%04;y4Z6)QUb^nCZs-9lgDbD-!-Ew^ss-9P|E}YBfX6zN zajLlq=+(WwmjNGvl!Ed=k{u1A1+Y>uK|h?V$Uu>+0_-+Pt%Ga8Uf~o)@+?-QVUEPY z_e_vb2oppxn*$9&G9=*}XGqXBYze!8ZK6;u!w+JiCIRNpB3q>Ln1cvtg8>)_^woZN4<=i0cjXrv!0X;zx;=mE?#piiiN12BJK1yL)w|n|f9T_0 zv$lk-o&0~Odhds}?`!}6$4|`O*kG_R8@zYoy>0B+j%^&Tc;dbH-exbchd@X|TL>Ys zr}4^icAKQVw{4oF>Ah{!H0}NT0iVZt$?5%mg#k&(pmSblJkRr-*XwQi=jYXzvAily z)GF6hl)!nsv*nMYM5S`vhMbgFc#QOt5F1%pTAH6>eO1Egjo9Ow(>0=rgJ%w83^h`hog);=!} zwxnVr0tO-)Fp%iy^L^~v7wg5}MSgjtLY~M?M@*GJDg-D!@MTp^sYXa(jiy@LY}*I| z^532pnrJkg8~f;24;t#5E{@x6`03-)>l#gIQ3-xnT2@h5v}fb*o;@lCGnW<@7FE`3 zn`ijH7BD+(wYFAMTBfNjVK9Ok{ULpe{Nl25O<7@Su?EVZg6WycA{sXgP!&U?7C|7E zK=75&(T7W-&p~IaxVWeQ-nrlbV^0suOYu8+2QqXaKPW7sE{BM%tZqI<{UZR6lI7J+ z*8A1^B0M2MJVfb00bo`rzy}58MdA_inL%0l04no-esMX)z968g5nW-3anN=q?}!lGiBow908aTzTS0&)Qs zQB*+Npn!xzYYC_Z_`L;h3R9SyUszrr?elMFf05N^0Epy^iOhju7)l$G0hHY{km^f? zVijurd2zgkk{awUg-}tkCItJB{3IsmYGL8t@im`bfAlDM#U0PL-x!Y)cd+JsqGj2E z^}qhOn000Ou>X?MD(zD2COvYFp{@dv%IVRR)zsD3`XWn>wywIay1J&8>{SJYT7%pW z$))_qa?JVBeW-!lf;{$^6fq#ofeQttJWY&Y$|L{6C5`UU^*d7a-NCDf8fVX6y?yJ^ zqk@v9g?Z^7dPnB!l-XZgCa6M&3q5fln zri5K8##A9bi*j+%;^G1*+A7STwzjH5Q&CY}Rasf3(NvU`VoH@|no^CXybuzXedxty z)s-l&th}_StR#;g)72`)=<^Epy?wPL@A|bu&Fx2}B{g+51s6_Sxu-2Ds46ZzK%zsG zSMtMt@Bnee78Dx7FJzH1dE8!9BLo=Q5EbzQW&LE(+m)K?I*q2Ll0I0yVHpX)za=X; zOIzQVlVlmaU!1h!KJuGST`TvAe0NK>1?1lPx}Asie( zb%nh@)eSX;HT6(#x6ke0b~3l>!TI8cg*o&+MSLQb%r1!d7=ri#%P;FsbQD>U7O_zP z1L?~Dc}f(;%SfPs>rWm(!3I=V%{zq^l?nl!%nhBatuM(>IRA0coun1_yubZMe-5B? z_4=**`G=N1|2p^9?K`*fH4F~kBeIv2Fg_YIBW$k*zONt`knk`!k4oVoqlG{Qftt1AV9?=)F&EIw<(AA z?%uX3`|RoCo2f+Krcv%U`DsL~KKJbn^U`dd4RI^)bba;vmUEn-HGA2{!+REu{O00; zw|8vYdM5A6`6EX-k?G1?M9sLEag@a8Pn;NZ~ojiRG#CzisXIoymb`#tPXFw+` z4{=G2)Ny%`fcNj*x_0fxjoTE}*KXWmGteD&=0Tm^y>lm*;Sb1(`; zzWwe${^P5!zy9Kj&p!L|{fSfn5#NrD_@H(7%BABjeS9!x=KhlYuk>d#(o@pr(KtoN002-zGK(9Ts9i{PY+!WCO%D(vwh2fJ==C1d}r(Sch2qFvxlj^ z<9l}RJ92dIt|K73)5lJMZO)t%t2=ROZ}!_e_i>il-h;>XZdtW(&g+X7FI~8D-J2UX zZ(P4})tXgnk6x|7T{MqMN^0&EU86;C|3(F8cGgu?H&*Az3B%8-N~*(_mGI)|@`=qO zsx0>_`LXvg{$loO^_3cMjex-D|NNhS{rvO4{^#ePIj8b}e%?p|@c+-Gpcg#{7SEnK zXQ*|;^sSGIy7gx>Gt)BWu3XUhyM~K9SIo=WdFjfv)7c+_(48InK~~cw*bd{IkdR z?YO!3@afYBaxS0Tw_{Bj?>RI)ICAWSgqYZIQRCwx$L>Et|Ffj{!u`A^cEc4HR}?%b zVOX^I`ptXgWs5{Y@pUkO0>UDzh$jwCz*jHmOJ>ZRRPyV&GuA%5(^7GATIS^GGv;^x?$m*0vz9Je zvFCbO!>LWH&gWgZoe5}64xf5(;T!vIlvkIvn02LBHZEPdY}Jyi#Y^_yyYP1Q>Xn<< zzcFjt!bNk}ZF_U?`JC;W&+X2>d_QN`&g>m;?>Tz*Ag93`+P`f!Z!$PMIFu6&qN8J? zV`8IXcI;&{U}4_ryI0F^Ke%+gu;?~3sD-C5A3k#?@AeVp*aSfQ9Rol%@ph#EF#=@@ zq6KjPEFXS`ACeAz@~iv2ZsW+{&|v?w=c6Bf`tf^T=!GXcayMk<`5kXBoIhp5=f`KP z$U9hd?a2B?Yd6kz|By3t?yTkec5gm$t?)9Gn<($n;j`;#-$@y>+tA ze)q`hb7!PZO^%D7G4ZDH$or6DLhd%$S@seRgL0>;u_Z`}e-NVf&7?%a$$Q zb?Ep}ykOs|u?*`)ghfP6NR1sAKR$M1T+BhHip#VWXYSrCzH#Fmn-gH{uRl6`A?L!` zn`f^_3!Y`|U&Vby^+A2IAi}?bL_TAX#OU{$#78j_KI9HM2?&g8rX@>iFJzYdQcXiR zxg@zaBC6!tt)m-Or<+Z)GBz)s{rWq%^KLCSefQuZd)BDZ&L7>rYwOmX3-&&|!{muy z>e$$uru8Y)4(wa{`Wwe@WpA3XXzAq1sS_qnN=Z#gPf6uWj*Qev$w`w^k`m%$lh)pE z&O5ec?W(M8*~=Epp8ocsoIU#wA3CxlIci*VZ0v;igv?2?$>U>_6Jjpks4r{OY9G~V z4CUn|RgKNn#dQ_B;`*|ddaJH&rSvm_^-5Ai_F24$_V4u<7gtU}dhnA8D6tBkiHI`< z5)!VJ(on4enpWOCS#F4D{X@SV8`M%*c|CjQ{G%W59G^L7{)TOhUzKUUFF1Iyw5Fx# z^uZmQI96=ujV*@@t}=EPI5lMEO+(i71v|GcU%d1H`+R0DTt6#0DJgYoMp|l0O6KaB z=^3dBNeP(1_}FR3^sY`<-7yX(%-XnYZrYT+$B!M@f9T-B19Rgh#7&qqBQteQ=7h=d zaWkfj-@fze>FdYN>^Zmp*1lthFWtO(YR{>|kB(hFb^k%dgTm8c%y>WqD#76+2T6*;}`J&`s(rz_bU6vXKtZEF-#Uy}$uabhQG}XJ7t(d>KyL?{e z>g+>X?+!iw_VVgwD>lEKvwQX8B`cRNU%va{w)6U}OcrIth9}=Ju9>ysogG=rmY+Sl z`<;22(~@GxkDr*Fl$e;DGI`USjEs~?lj7nhOiWx?=<&KZ57}^i^SouN7tctYo^$NP zwabV1A3U^%-D&AlS1y>jXkN;kY?T1T0@S+8((d8vkDrv7l$x5JnK?N#B{?}RcEY@~ zroJw>%iA;1<0#!Tf69z$)8_0udidP&1BVXf>|Qc+&fNJ+7tCI`Vd2v0Q&+B9a{gTI z^+NU|-#MRmp0*m>kIp~1RB|Er+M~;lF5WFz5*iNl76b5E|0~($o3d^Rg4~p5Sbyn& z%6$=EzM-9fKtKOQOP4KsYuEf$NB1mUzH*f$ltY(7=2ccM$Vf@rSlV;9x&K!En%e*P zPJ7|NkiZ@u&P|E|o)*U!0Hh-1zvUMN_>GB*9T$^2C2r#M^q6tu;)9t3o23hn zx^^lpIUzA|#i@sbzkO`pbI+K2XWPybo0n&uJHBhr&f^DmY&+9*M6(ienGrT4F8P*i z)6&i7&n=t3uCZb3hJ9K5-U_yNQo40KP{Uf6+ z*UFX4SFGCjW~=}pDge;{Vv1l&2SR@P^r37+3SSZc@+$+7B1m4R)Z;fMGG^?!#MJn4 z(NR&+<6_wiJZAjk=)l)!$EHtANs8L^VD#JXOs99uTADp`&!vM~w_G}N?8MbOCyyMw zVLqA1Cd;Vw(44rWT-%ztYp+~H-7|LHVnXfSoqVQx zDqwk>mt?2Ql&EPr6O)Ra3sV+dyE1Fia*N~b#rJv}*2Qt;%-9JN5+)@iBu`#?ysCW= zpfNl=Iy&@tsCVS?@W{yUK>yHyt>Wh4oFh5Mj_f~lX#4!xGiS_Muwl=kof}rIdu!u{ z)hm$}(HEltAQgu>Dr4a8(&b_VOG1N%viW8CeQS!)+>x8yQ+A<{5&($VH^B@lJ}8}l z9sEK=b6XngG{w0E@EiH(Bmn4U2Q5EyU>86>X3N9jKYZ76xtN*V}GrsHwJF zEZP$1DP~4OriT>liAyZ7ygp&>ol9wPD;)jTR+J5o7!J>wI4)+w#N^azi+A3x^^6V; z^bI2P=*Y<6Kwod~!0?ly$HM~yU0v<W+?#V?>&z+B=B(PX>z$nJ&6_v8_2!0E zD-d-V@^gH*9N|rRMRKYHk$>fi6x>U{PQh25{vvwcwxW<7Ajnbs6IACq9gZw zuOTR&`r`9XKK}Uqm!E$2#joBE;}>4o68zRDANGCF^K`=2hfluwuJKB5XMa~uU$3*p zGU~Z^uA;3BM&G#@<4cSg@7WNanBP1jero>tiE+!F&YagvpN>54sefnHij`}(9lBPa z^|-yg{e!(d1HFCSy@P#yLqj72{oMn@!`$!d?{(!!6?8?x4}Ta&e6?fUg^@87U~?W#3vW(3P*FADIf06j=G zq*h(s7hRwYR-AACW5Upbth>lBU-)qVZIN)sob&UAbkNiq6Oe!TgV02qy}8TK9ls@a z&AAtLzP0U+*L3~J!`9rx`){^J0x2ekt}redpY|v(b^MItocQr;sFkOd zjr8^QcjceI^(eoj&SeDuNFifDkxGL@cor9_`ik@{CJ?aA|@yJuaGAT|q)mI`Fxzh~ z&LRLza)pH)d}nvgo@3*-J{bAaA8U@^I(_~2>5C5=ogJ8&ePu3nopNgBJt0m*VJDp1|$k;pI6Pd+bv)w%*$XEYA)z9Oc{Lt{hlRZV)vtf^)t6s={hP0T^LsDP26Q9@X+IkJWccft?1#^O z_@?sW)1lFk=P%y<;Kg%qQ&U4lSxv*e{ru^;)UdiXPp8N2ba#0>+FhMpZ7!F?VQp!$ zIh`HOqLVw1-h=J0t)@w!H&|TmcCW|V;qLD8w0pa{JiVmA-hN!c)6r(E$EL2IKYjn+ zg_72?+jnYo1s8Hp?>%;L&-NXUMn7tPSZio(YbkKpdu@--Y|F~pPGkA--ovr7n6lQ~ z#0Av1SCL<~f~xc&5rF6X0yzqk*%3C!5D7RCm+&vT2-bh`>1UsR`q{5O{q>h$eHsIG zo;yGI?1%4v{^^(Fx7{24?st_Jy88Nu$=vU~`@F5Ps^a1G2gUd1v;0e#(W>6_}n43g9O@ zKmYin4`04~`4MXPiU8o3bu4)8uRr_flh0$e-5veY?E&b zU9U5G%$Udpp%2{1W4D+MdSgqowvkN|4ceN@a@rzwwUz9jxcs27xLngv%b;W}up`bqXVY8tM>}a_0PN6Z8`>-xrw`D1PbC13}oby&?nGOY+X{lUl4mB zlq!TO5jDvzBqZshm+!y-;RheT{OIFPzkHk5C3b|Se){ptm!HOLzdQQp-&dYH3b_16KeFQ{yH*?eFdG>gw+Bbcr#0Iy>62WrxFJ@9668_Sh{3o4u{w z*={%6ObvB4we{>fsBUPkuW!^Fo0?m6&FHPMwlTM%rLwxZqN=j2zP7Hiv|Q+K`1X@0 zPoF+{?$DW2Coi5mfBp`;4(S547@Z$}_4Sax@7ePYKl}K@B|ZeKWLGf{h(ll|*0J;? zd{&uOfH1`YenGzdV9EjzT--uch~b^1U@_{Fkta{ye}Lvfej643nceP{NZEhC1 zt-a0RZu4|_-427vpx4$`m**p2k%pE_13O9WW{a-bZgx7HHkaG!YH#mwwei0k$~jJ6 zAl+R!efIb<5aL-nUsa8!R+HX3I6T_z9C`QohaV4h=1C7kI4}u+vi`F2;u8TX)$=^$ zAUfbl-`*%Cvrl$>f=B$9!=s~5h6ceU!;jyyQ_}_fCN9YOeE9K5^!8it{@3p-Pq$V* zs_bb#{pPNdci5WvQ0uzS@~0$^>*%z(yS?7N{&ugotJm$YG&@}_wvJAou(Xucb$5F^ zJVeE=Zm%Ba(BU7A4BS_gRWwxARn^pKO6zsa)g>sRMXS}fwCZ)OX0x%?WHafFtu6X9 zXHT6uiv~^}&*8ZHYuBz9)>%v@i`itU99C0DyV2?Cw72M*8uMy<`?|bd zPgmc-@SsKd>|AK7p|QD1+t}Km`l5yT6^)gZMOD?c^_)w{t*Q<-qP9ujK)0*9^32I& zOvaqLbm^m& z#N=r1`!+yR25^(fSfN9~hCzX6U5#|B=|h)R8d8ye!x;y$QzM%Ojg4lHqphQ>zo*OXZfo!8?CKdCL)%A9E zdOa>Lpm*5Ztk*R+5doW<4b3fvrm_k;kJVMR+6rxLZGC;UwziI@DQ#1}AUk6|RaNIt zpQiJ~tT@LbojZTyQE{EFRjt3pVz%2X4m+8oV}Qv+Bvrqn044qfvj;XP zD1wF=TL51D3=K$UNU9;}lLb$2H|tq8f?3b#Rxm?cUf84#!ur^q*Ps3Ao3g{&^1B5l zj;Y|p{~BVj?0tPRyWpG*P?)$OINO3Ws}{t zs}~v7ymBY6xJGBd@LO9gW~*83-{Nq%`*UzbtX{y35?9t8(L{0)TnvEGU;zNq0h62j zOkS#-gnPt@W}C62|M4>*&L`DG)ZpHTkaoMx9JT%Ov+sUidRT8P(>M(`H|;ugC%>$? zs$u+?F%gSG@}E8LuP>_5S=u_OW=5YpB}G1e`l7e)eu)+F?{S|hWszWDwRWyi?wx>m#0)tmO5zx&{k z<|t$WM{D;E4Luq1n4ImN?g8*2+I#Qa58oSZWjaDuJGY6r*Wqyz0EP!mh>Zrgv|Lk@ zuDPYLjC5DcAVh6>bEBAko%EpVY8jNk0IHbvA{#P8|LFd$8#it~C@#9gZvDn)U2Ch^ zVCMRBb~CiC)#|Xfdj@vX+amA#qJJRU9_e_qxC#qU;7N1XXAJ%ACBS<&bHC`8F0 z>9810Rzr)`?j8VA_}5Pky4>clMrL1p@#jBQ9x)q?27~VKvK8xg96WXLUNWY(Fzjs` ziLR@&-O)z!A08Tg{w^1!d)8G$>$|j}McbU)z{T%62>#^8{??`@Eo-mC_?uBfeGSH5 ztEsH6uWsQWtp?@$JO@_B_lXTv8uoo^0F4zTg+*mdQy5!Yje3KLZ)QxuYO$J3^g#h% zo#yCY5HLtb_C1PdviKOb8jwN*V)h&qz>aWb0%90Eu}<(bRnPolTo}8oN33n#K`iRdTm2vi^1sV>hB+Z z^7O^am+!wI@tLf|rT|8}RVDO8mX7S=T7g zs7az9C=no3!@LBBP_5G&&Bi8DCja!U_`L}XK?EcP+OPoNcb@aX!@M;W5&4j)3 zdO-v@h2%txL;M~1OI%P95ap=JDA53#;+2bCHcB{~)oJTELNu9vGh{-$-4e0&%7;Jv zp(3Z%&|*UUHAlA{xKUEi*@6L!BG+_!I=efeMf!V(2OOS}r!SuLxa?-5Ua!*|bj{7W zLS3)d%XJTX`uhh5%{rvV0JL0Kk#bO5-+;N7qJuJh3oTu6As^K>HNe1{${H@m#NeZ` z)o27Df(RO$48Q`D)o4Kpt!Dm-4OnHlob8>q2(fXA07@Ehd?mY};#cC!VuJ%{8A;IR zWvJv;j1=7j1VkB}7PHyvu-V%yDXPcm!@_JfW5kw=@Ba56YjawS#2tgFVSm=PQ;!Nm zUVAM%Bckc?z%W;4eDUtH(HHMOd-D9fUah8xah5#UsoQAO>T~rTZO~(s5L5`r!|_poyg;^vhDi`03HYKR_hlR5IVWa| zf^9Bm1ie!8xZoPQEn?Hz7ytcD`F@k3nTueyG#-0%^P$VjNVdzOXV^%>9X($cTw~krEYBFO*r9sTS)j+z_V+gY5cz-KjO*RTA+zgf2ZBAEbdyK?5-?9rB zLT=HAU_vSJtB9= z^*0%f7IXcroMSiO$V2Cc-{|fF`1kbp3=R!FhS2RbS=}9Om)qg6gUiggdakj@+esND zHGXfaj^!sQsx;7K#O3Q`B&@8uytPF(V>j>xW|iQN4j9W~bgH`9fY=5-?#_3!1#K8x z4HojB7(y%I&tkJWz@47{!-yzCh_HN9($n$tp#mxaS!2+CKnNE?L41*)sz}*{?})aH<^qUyOB}=|2NyMv;trXNH|kiaNz;-BLr{NV#_o+|;bjhS;MIMZ#5c=O!* zKm5Kl$4cJeO;}83yCIEH!o{J7pTgh|J$^>c`{?Dz&w8D@W&$)fy@4!cFi_j(w+gw} z+dVkYKiu6y`O~CtZo)2Fnp@0`HT8|PwH!cETi+^&N{9xkWaX<#{WV~N^6JV;BMZ(4 zzZVV>M^Jyj1oATrg}}Q(8>$HC=swAM`lg}9?O)Y^K1|G48GXS(0%Q*u!7otGL*PIN z_6~}#h-UcD@$Fcy&1PwHH9~DgH-tvpEa7WUz4zxomK=bl0C!*mMn^%=Yp-RdMRY$M zc``Ei_}P2!fAHbUr#+-*1A$skm?Of0?{)f?LSrxH-wEH_Kh&**0c*ne^~kT&S&+6t z4jIriR$BE9jl_Qjd#bDI1Our&ScNw5aiftKi0UooRx6Kq3t|j5li7;>vs!%GskA*^ z6BGoNY$r9KFr9J(72^aPex>{)5VD_)AU_@B9~nO(A`pgz19Vi-3<#)mSRE$21Al^5 z3vxsTSG&U39)IsI-;^IRu?jdN3h!9JBw<$M<|mII3*LSC@yidN4>(2q7DEg26Xo@W zM&i7#rNB8zNzmmbLyq+7n+Sh8$^R{QLUToVeRC~a*)_GLMx9hZb+rvbk5m)?E7_S& z4AfM$5Oaw;da(kN!NPCH47qP4?iuwYK}unp)n;?p+$8R5GEtl_Y3&x!m11k>BU- zJ`t7ttn)7#;7}l}ektUJbd1NxP8u&q<^-ori5o{~P{|_H?jZiz+a2p^0{QQq864;r zwC2?NtbdNxXs(bLY;Idm@>>x-x6P(Cn4NGn*4AbNRS8~?2~Z>GSu{O~jzaH&sjH{6 zOBk`Rzp2pcb9hHuCIQZ*J4m7te>D4YB@2e<}bn0=Tb|2*4dO0C3M_Y7zOd zdy7hbL_YCCzOfkcMIfug-sUy{T3-7_0|@_r_~539Fy#flyW$d*$eGi^X#w~JM#s;Y z7DY0kdLVG#bCJ5-6`B6H$e7H7B7fHDkAM8O;wZt!E_v5sK{cUE!X7vsR;vwT6bI$~ zDDP<1gORWUB7wnxt+W2308a;9FRxMC0wutW@<-QX>KlIg*xvE%@kswjH*{bf&X4C~ z0|I{~`vLx|N&Rw@&`-!0ZK!O(7qCC!&p<@BN-YR?*3EKa-fF?s+W#MsUshWo0AKTi zXD|bH0!A@84;vVp9yN&4_antOy5c+ECYCt~%fNH8J11f76nyw_5w3wRsoq~c;tD#jd*teBG1|k3r z1BNJr0WHps-U);?5to~iU%m(_z;YuVJ7DE8+Ta|#!02$euyHZ*lcJCv0Kf|*14igv z?HhvCf8@LfTO`0SD+l>6Zgi4wEUjjTJ#oxyK}$l8VkofoKmstC`sA+Mc!zpw1)W5! zmLeOGuhWbF_w{%4gwBXsa1vC&t!s$FK~LS^*jNMhh4+B`!tc=l>5qBv$_kT(U)zAN ztzaKLANT+;kSK`W=`it)9B88qa=7{y{5!UZ29&hEvQQEnVoIYx`d)!S5m6x%q7zc* zB}J&AP=yJ|f!xlwuM|BgEAqb`A2cy|-8&!t_1jZ#V(q|ii}M;@HZ39A3j9U`ybHKU z!RJ^eqLU>ok^yi5qY?Xe_S5PF0`w0K^$;L*1_B@7$O8feSyVl+SKCBm1O(KG|5Fpm zCO1$}Wi{-GKrUfBaRK?l%?uLe|M?WJY6F@NHoJok+yO~|BI7SNW%n4iT3#4Xy47G~ z&~IdXOk~Eym%c!~JHE9XIneyQ*9v$b!(|F{1BP$_07ers!}RTKD1g{2rKr3i!DHeM_@`LD4l^~hG!|O>`uhg@d$IGO9z+NEi2Qn} z5x5Yz;3nu0+KDhD@E>*ZNj)q%u&RQarqal2TTE2`;0|I7UkF0{8To4%Bzy%th{^7< zI-PAEhnxT?{?1L6Kx*YBwuz4`4Fm*+MMpo2MuC--a(Gpx{9HuJEn$ZWcHwY+QVFM0`v_YGMr2J&WQ( zm2y~qMgv)Y5}(fiWc>s6(LrJB_Pqb&mj{;y_{G$=d(4a*Ml24i@JhSJ-UcY84CAd} z1XdwtBr5Zd6evi@l<)4RDkcUF^bU4G{^0pW+Q2A@7}$i}H*58cEpR&o!3LJUMzC)! z2lD`Zp#X{h1W_UkwvW`{Kz^%U!jJ@BtWS7AECWY$+M(?@!%S|Z_5Te6n1YnQOan-K z3knL4i;s^UH!(4ds|Lr7RmCqF5EJ0O$WO)O3jlN#?@kH|TebfEzyJ31YJO)#ao-k} ze{M{s+tp?RDuW4ZVvf8u6SaYOrcux07=bL1Vg_AaTkkOA9sPU`dRh&LY=qI$3jxs7 zEHt22T)&=+M!|n-sfSs57GJ90a?HP?iu%tWrJu@xCITxkkiR2H+(I>kX`qHyakPVlM}PlNe;@Qm z-(V+Cn`m<(kpWAf25xGC5&adujoc%3vL2mp8hZw`1Mpp4}b0Z{^h zt|7m@kCy6CpUnAm)v3Hl4m9d?QVWRax~6)H;s(|qEmVX5D^&%|mkNlH$_hh^fN2Ca zOX+I{SIT{~Ey0$*X%g&1F1E?EMQ??|_R0r*UqpD8UUUHn5)=>|5}Pr3TKeSKGv_W$ zpExZRdHE0pr~~7~7=F@r{J0K;c?bjccq%uOl{2YCO@j;6{E}S3ODTDw}RNhG| z?5Nbo2Fd;-ldO`ZU zbs;=M!qa9=OPxCRjpc8=F)e8_^TR4eifU94K>lCcpW^7j;($>9O#|MygM&ij$HV!@ zr6&X(p)VwLH%l+xhc8l;NkGOCl&1=1%P>SsLvFh){b2vVAk)4Eo@pX1P#IDkQ3fzB zA^jk&7Ve99FYE_`a0v~BJ^88Jc%2VKOXvvc zUq|0OD0L#Gh2Pk~;E42fZ$yQ|kK+AAKLx#61v5^MEwEJBKlVzNM-w`|w%A2OnHk5y z;h|wK#jgZ?urc5V4UqdK`DwKZ`m4Y%qO(~N?9UfA4Qlvx^1D&2+);%fzJ<;O6j^}7 zk__4$E~m@3RtDbaxQXgV$hn7wl=GiYJk8)O6J`tcqU)LBYcz9r$W)2bcOB# z6z)%rcI9(sONkAEBR$| zNGw1cz*k55pJ?G+2VXr95W@hq|JsNUeTPi9z`ctDV)Vky@P4EK#seqo4}-!1uzSLQ zg~b=}qX+>B1LPn=fU{kjDPHbdeA2uEKk|^hDFSeyJCy=LxK37h($uM$nW>Bi5a|dE zf{=*$5-GT;K^dO`hzZSVqC!%(@Y?u>orlIoruzln_qN#;^p{l;UXqZnA~S0uc}Zw6 z;=fMc)KKK67v2XwP66meY8(R}Mn7^FI*{gBQxgLbK!4hRG(V*70sQbm`3C_YMXr1R zEo{PcwNh~b`X%%b`Gl)sj>_Jq&rpfaXZyZ26{|+D!0?bIlURE!fX1LY{XcEm)U-)S zG=D>6B#7Y8r~G$%;BuG8%9O-*{DNQSQDE@6asGi>Z-zw$kub-EmbE)51?av?7?Knq zqR{Fx?sI5xaIlMd&`83^q7AK52nY+*KoP*4K!Y?uWdMM- zS4}M%5ctm)VT~+4F;@K@q5H9X60bCBZKhT$aS!6h;W1AAx4r+b3M8+o4o8HDDpj8J zeZa2)Au*vLtSYlGk{kpY_)F6B4^%afKmZ9Ja^tt5k&w{j82^aVu_y?_aLkyfN*C)d z$fFf^7l#+Kml)MbbZc%Vv$4LUyZQn;UxQ3I;sD)FsvoQZk0&*@P$M=qHjD67zPLZ! zKhd8MfcMwY|KVg_E;y_qh+<+`J$eA`(E5;q(^nAyi-h@dv{~oLyAq@IMQ(ZDWIHw3 z$Pw6L{3t*$ZW!BTMMD7-CWc}V49^FIM@B|QgoP_pKwSz1`wZZ$&!H{vVA7Qd-~PVtt~Q<#R7eRx zXg~oFfuue*nzz(9VEZ^f2P?z+f&t(^xRsZen6M)%0I)QvMM41~uhr7bJTX}i+$)8@ zb-}CEr(*Xln#eDBUou({TVxpZk+wH%mM<_6_R@2fh5KO_uM9pof5>RillymF$fjhN2=0`6NnyUg~hv?T?INtSz86DTHe;AGut4`{>a^Sw=nFkB*5b{p|AT*ii@TH<+FW>_y!?7#1nu(R*5@8d^k49@DB?k#;=xLxDLUzGV><;py_wg^9#q> zF?@a`uN@N*5&Gt~n1Cq95>8N2v0wfZ>Op?Nz{;Bf!V)jn4J-&9w`J3zZf(&LUda~H zF=!_S2uQb>>W|2*XCBSx05~iBzf?IqA^uQ(bobsH`*&_XTcKqj0KWhNLj$7;xF3aq zFoI@neZ7ERHT_c8DD)rBUshgPsS$9ASz%O0i&WMuIZ=kFOB|5gZ*`ww2bYo`p@i2a z%S(G#^0+91)s%)eq28Ak5Rp}6G!h#RiVg_M?Vjsvnkk171>iK)Kt63oWbDp!J5DdO z<#r6zT}(i8{*)M4Oom(fgwib*G|u7(A|d7p6p$L7#a5}V{^r>`rPp$ffP=p@Qvbjdh za~IB;IVB?_MhwLdIEcIX?RFu$z#jrKOAizUm0#38prN|OaOa_O^h3t~ z466Al*uRO|S7BdDzed2njDHdJQ34vMVh&Q;znl-E0VpdjMg9o$4g^~`FaRCkhA*pb>`Kx3=WBJpbbB zj~s%Cg!QHFVGI)9v#B2AZ>(kUiTqOj2=bxvNBjf-a|B9h8HrS@6WU+G008pvWCsp6u>pZH!e2E;4aLd`SucW5XmsO01A4$HWZe$MZ|X8sw$Q zXXJ7r5&`FpSebJR2oIIBlXwMtCnU)PEb_KH85ZI_fB;wy0Vptmk_-ukyiIwN67nz! z1_oN5{p!nSCSpJN5nLdeK@DU9ET7g7BVIIofq+OZN6}CPR%l8pIqba*peQvn;hz-9 zXA5P3h)>)>dYki+s>!9a#n=g8ugqG;;W2%r5Gnbg(tzAW{=mqwDr6wNScBiB{u|Ml zjyh0_sW@>OWe(^ysKm`nDUAI6Bjv(kBnvUM&^p<;K<=RiCIJwMR6LRnfW&4+f2jD! zn>V&vYnytWjkIACI%Yy}3bG$vP-JJS3*N7uk#Jfc^!%js#{jsr38y9%bKZUltKY0| z23ShpN1#8#OEBO@?y=b~iO3*S>FG;#FBG0{u|M*PXMUL@2Pzh^k?=54=Cg63`OKiKqE0g zEFO{hCWJRiuo!82x8;N2xXHvLRgR2yDLt@)BtN3KIJM+Fd65V!_vHb);0L4#^^-R~ z+8v6KfR9A{|AoISb%F#I=^LOj{u>7fzz39g8T6L5R}o3A3I@qsJ~DF$*?GSVvr8)E zt=DT6DNf)g2aqlio&i8sRtNki5+FYo00dU_4+wzy_zDhL(-fDZHL@S@M|w+$jdm$J z_}U8k0{dIWV)n9R$RTJ?hVcJ8WcJZVHd6gqEJmx5 zQV`asYmw?}NcvJyP=pAPGjZg-v-&F6i-MF2O=2Ndx+Z?IY#-*P-wc~Mz$8A=mx7ox++r~~CLh?|BN z>;wF>-O*7WDQE|jBQ7oP5)+Mp<#-k0#MN1JT$&Ilg7c|j1Uo`_Mt`{s|f^x!(J%)sWBj0h z@~gx@X~Pl-a6f9l_U^aBFniWqN;B3-7Fr;jgl+MBmXs$XtNn6Qd4iXSWlLP=r zaUw&S5}vptu|TRf3;}2)#gTLb@MNCkF0*i2Ev`@ggZ`@3QvB2t{b~Hm{6{(0I^__w za($DKNm5{o)T#pHS4$L-&XdjT@Y*sJ-Vx$j$Qy_b$?ZN*e+7VLg+&RzPwt2fi1=8) z1UoF7zUT?>N~8xZsjPtwAbx=E{oj1{@>=oZ1K<7ar~mrPH}7v?2T4SD_ynt)oof!e zAaN00h;ZJZ;6WipA?PGsD`G@wd(1;T1SCiHCj`I*Fam@hpajq_xVM(lkL=I%7r>Y1 zk8mHHbEuJ43BO7jUlLKI_>};N3WRtuJDu*%f^ib_q|7Iq%gV9xvao7-6`nylp9)k8 z@b^bX+(EDpuO-}Z>7X&8fuUEt>zEH`Pbkwt!L)|BB@FgF`E9-BuV3E${@Wk^@>h%V z`y&`uU_|H)IMB8>CrDfv387(;o-qU&5&)k{SVUqpqmy?kMX^M00daf~4VxJTWeSA8 zFZiF2h6Xqv&Itqn!F>z&&o!yg0Tm-55W|OBkmxFHM*f0mnY1er(9?ecf=BIm2=bM9 z%G*UmwZdZJK4d2fAsUG3^D`x%fIt!&vv47PfiY#SW#Ts2120f9U|WBer?C33Bd1ND zeEpyQ^_S|gj_;RCCh!Z~)b1nzLO@tadNe)|4?!_`2?*R#NXWul7Yl*u#W`q>P{}C% zlM)c)XZ6_(&`kKNMslHlYilboey+z-&c%Ht2$C_;m_!vH)ug5&OeP1hrWFJN`Pc64 z&W>c&*yIxyF7&Qcdpwj-MtB!Xkg*50c}m1rkHz;vc!K1_QDiQHnl>ybqRs}B#QO5< zB%1gInEJimmg>L1`^i7P|IHtN{Kr3QCp~_y3NHVkn=k+*0Si6=43=UDcN9!OJQR{j zLI8Hk+DnEIf{=!#@?g*}%&&|>LH`N$(bRzW%sa3bs!o_ck{{{6w7k3o{0~nq=7S3G zGQpW*TVe;GKN)*)cJy>_2$wYl1X1Rzy2rN#0!J4hB*{@4KoaQWCJ8`J!vh!8$@k^5p!X|DZto; zzzm3FLedfAc(N};i~)DIQWs&Qf{>9LpYU}CWiE!qNHPTbOY09HP{;AkR6uf*u}3NY z1p$>;VEh;WN7I6W1Z1MgS0=z8V)UIhyxZO5Sr;xbK#IQbaEu^4LfL^l7C@vzqbw>h zf}7+EszNTCO@V}7#)L$`e#yf7MYL9?3JC}aCJ!Gy?&)TCi{_`F|M`Ev{qA4?_kaHH z*Wsh}s4O5T{0+B@1mLnb$-=^g$vjA#-Ngj8j0Mq}l274C$r54?ym{zLiR4ly0}YVh zAPJxr7E~sIF@6f5dcq$!$iIr}@`_4S1(?7IqzcBIBn)B)xS43y%A{_aiw!m%VgX1h zX76(W1V?za&kxyn$Fk}w;c*XD@JKF)BjR(>kEm!gfWZDS&cY;S-V`i<2n=#l1WExY zGBb(HinH=Kg!rn6Eqx29dRSu00|gLSL`Gv25TXMP5N7z1*yn42)z(PkhbV{*VE;m= zh|^<2uN)sgg8(AZ*l0o7?R5Uy+dI5{9qVMjr&zqt=%v*G_aRG(kxTmX;UD>?oIomu zFx;G5(2NOOL_8`gTxKCAdT+&v&iq17ohiE7J9G8Y#XF);{?|```|;<0{Qc*j{`~!K zXDwQ?e0gGMbGzN{WCoHqB&9fCctaM3!f4crJ|tQZ8Koi=YJgmzia2NiG8uI+b&s$B zOub;~tN-+~=sTKJbxK$x5z?{~U!0rsBvbuAOW z4i1+M-?IE-0}}s%hO+87x`03dKcFOHfLK6;93I87_;LG1ey*b(4#zd&@ttYmVa&^{ zT{_(fJ{rJI8e4iF#E;er*r^0dlu2lfqziHbqHS#1HkxC1%aQ)378NA z2f%6fDSfbx35wg`&5X7#V7koGKbJ+$PfEhIzSCneTXjShK>mc zm#bpEHYPZsI~fm%iin7!yc;vU-F|FAY(!-2_<6|#9hq+|UcBJU?>Qmzr@#H}??3(c zA798Jm7!y2uI&T^+v$X=J_v6JlVnp4rl4Y<@&Ren0g1pYq6U@!WI}@D#)baTHZ(WZ zYr%gF)wsQ+|2mpKjQVsb<rxH!KxIF5N8~3G2wh04ABre2`dMv6SY#wgBr0NDz?kSmUC*4& z2CMVYtS|qvvU|YNRFcnSVmN#9${DTY{`8cT)Jdsx=eeCu8&d!>fFZRo>4+F8g`r>o z-Xt2}XR1XcZE+$XPr~6r2G9z?_H{Zzer)%q_eJRs1x^W6!$BF95FuO%nDgg_N5^jn zCDQeVZ57`~;qsgDHB6w*>2|o?p9yV8I_V4|N? z`{AiF56@+Rg96w0O(h9(_+~_C9MAbh&EI+X{zK>eIbZ&qom)L^?b_AT*R0(Wd*{Km zdM$@k&B;tj&kWYIx3l}49cT<7lJl6Hx2X-e3?m6HX0{Pe71|H;Kq6j;;ZSm z=0-UZ01{jXV2;he8D#WdWdH)8m;s`Txtm#U8m?qivaBduu%Crw>qP!`m#d?rgAE`( z{U@Sia}PI(^wQ~+a)=^F!k>zOk_E*1gMv~PP8=5u=6P*QXmHe?^sr#!C%7Rx6pH{3 z^1o(#>o&dkYtz$@S{mwbRh_o=y(cfe_;^cn`~=k?jTHN{w=sE#_^dx4a)^(3rhFwC zutw4%Ko|TFM_rDUa}%SitFM7xB?(Su|d@A!VCigr31!x2s!1Yo+coDFP6SRk-#)RxKRgC z25U-*ml9ox`%0S@yCgk^ujqO^Z5+2iU(v-$IxK$&$Bp#%kJe8U%!AOs6#v{I)hUk` z`6cTMJ02vB;b79dUnn-;@!pD181B$;@`02ETq-i!*x%FH>2`a%w}(WIiwz&UIK-b> zskO&8vT?xAKP(_50|4Z($s~ZF0Lg$XHU>aT)XLi=Gti-z;K$;ria=-#Tv^12{9*o) zgCLr)e0Dxd2`oJTa1SN0P{5EtoEI(%Y_-B5Ai34r#`rypF2RP($F@Jt8gjW2y}hHo zvqO%T8W^?C3MaQoisuW_Po!0kQ3Bs3`}2eZO5}$o3X6>h&1~A0Fomn>2^du3qkuUh zy`3I!dspxE;E?2$#DrPP(-TvZlGBnWPMkC`Bq%vO^Gb(M08ScHf=G}anZcz}p~x4B zTr@(&8y32v=y*!(p|lW{4kaWK0E&luyE)2bxN}0teGYTpv})sybsINt-SXz9t(!OQ zO3$2eqO;xQki%VURKix99C@P>BE}#U03q5)AjC~k0H%O82Te*Vsd)YfuKNhSG$LEeE^`m3&s$L#Jr_8XfaWT>`Idy z#iuHd1+mLmu*`4^D@Z(Trt*^k7#g7L`Vm8@t}UYmh6dtN6AS?11v2&^utz`y6Q(Gb z!AtavDM%Ew*}y*lz_vDY;OX#ob#<}VWYF*i(;lQgMT>|bNXGy7O&mhJ0tz$`VZ$P4 zlvm$6|IXZL+ZO)s@NeSNp`Pw;Z&&}8h+`>f>FKExv)3n_*x8nIcy&s8M&jAPfQ~M2 zo4cLA>~OeTHprkhY{2Qj9NLjznnRcY@zF_Gz#$Oa%61(TK=C7ZP%rI&Fn}n5tBKbN z7N7MpI2Us9J?Ti^X9KyDJ z8Qd3v*oZGzfLE~q>E&V&xPe-H@d;sgSn9y&yuqsLhqrECw|=_xx76~FImQ8g6n~uq z3#Mcxr%y>sPnx-D&as52tCk;1$w*5$KQV?g`-ncEPjt^|UaY^x2_s89gqoJDAU+^F z)EN>`tf&xW+?BFOO@C1U>a{WhNeh4)P_}+C0YMwI9BZhkss;X6ORWpyk>EL)i}SnKY!ls^mu^*oWa3@5d;SMMjoGMTLYFZC7^HhMRo~%Y_TCfcCaa{-E26BKehQ95d?xcscPr7fXQWceqIZ1i&5wpqxe3(=$AJ zd#oIFrGlTTgN5^;DtHz5#QlAX{o0sGn@<&W_tX{VU)}}F&<8&t#h`Gc%n7rHM@D4S;JZ-ZjaoVNjq`5mIP^xgfK|`|5$>ac`EL!?ub>Ah zEhO}l_HcGrJ7X_m2?T$%Kmx$^iT>SQwiVf{o;;YBzL`Bo{{Eicp{2X$q-G{2r6o;RzHrKvDX;H% z{osOx#Nsy&jZMh7l$o(|*0hO$jW9<$`~F>amuw!!RRsY`{fE7i22^W6NH8@aE(|yZ zzT7uooW>`2V6e+*w!#YJ&Np?~@B?U-7M9?5Km{1uq%*RXs6c{Ox9Z(R#GX4(&V)6MD z0uT#e|0CP~s>uA3J^+5~6$+wGbBK2ml1YWlw+it?BN~6WMDQM5``BaNO&gPuzTTAnjClcmMDk zyJvECe|lzG()4s(|G=uZF1Btg>*^i(>ctm-{`AY=eEEfS-Wyqm`Z%&p;8;5$i8snb zHaJbyDmVe`4>!7{P=JI#0l?Dx!2AjR%@X`%_(jz|NH6C;fPN@{*#9P!CzOX&lsK0p zK0*N=W|PuKr9G6usgi_2rwhE++0okp4Cv*k+2JR-W0j;#Hi#!6ws7N&gDJ0&`Cl37 zxcl)R-o1Y5MD~i;r$$Po2u)eJZRgIMJJ)x-aTY*1cjrvb?oLiiPmP;8H6vx(1)aTd z>dKCq+Ru~(d#k@gUN1j#7*|WQ2$UvOZ{jT!9}f3vyFmFj%gs_NH%D5bMmGz zU|!6@%Qvr=6AHTtglxGPJr)&#NE86bFWmt-FJEjxg+I9<1|$9zAAfo4!kOa--dZwQ zl4e-K(k<`g?Avmpy!l=>+jeK}nUR^n@zANMu`%%}9OOOq(XZ#uvQ;d*T-5l-2RGj< zzPp}ZH@(9}L z8XD=JAwCcAC8kMdAY6u`!~uwa1V!SXU$ptl+MH9@uAJV!Y8LW`CoSBz_uTb|`TGuL zrA=JdJ~VCbjFjnzCa0xmCT46|la`*5dG-Fv2S&~2&(lJ?hY?no7!F0iH(45jAFD*7 zQnu>AeX(Cj_*4LNgw<%6qCcbpk`_1wkQ|9u$Id6@miDjIeQbXs3h+SoIj{jzacul1 zDYGOF*nvRAK-u?z1#tQt2ad=t`;HDuK@WM$D^Rd^;Bm`Xg?T0HN6;Hkq_7Hr66Hny zkeH#Ntviohe{f~bhM8f(QJE`t9J_SueqmMlhD9gxZkirX+cPzJTie>S1#cuReEWVX zx5o07Mt$*m&%*h)Of@!7eJSY&A9P^wLIvr9zUSv%|yL${n05 z+wOJyP8;I5m2NzstFNc`*?viRlJ&!oU+kaen3zBCH;7|AUJIQ3an{OB`>)+wnX)`H zG-C3~caGmE%+u7GD!J}n$;CU*r)VoziR{0a*I4+Av?dy{S7r+5z{R7sWdwuEZcTR2Pk~@>5GS=)nb1T29LfhG{*A(cC z`AberT%I;9ZF2RhIoZ==fA!U#q{P}UOOr2E=AtU3hb zKh@(1Sd=z@>6-0ZCytMah)rIWed>N`X>F4UL~qE;e>f*Cd3knfV#4h0GiGdEnC5!E zCM9|8nzTt1DsQiuv2Vwg^V#|GHwiuB0IoKx15dy!Sq>?L0E0jQ!9fTBij@u^p+L>T z$T=7UKw3b;06_pYNXdf=i2M{j(gZ8l)PWl%a57^lnNUzQNPvhV{68g-!od7-a3CiD zQ2`MA0mK3ZypjbtdFOF0*9Zs=i)8I1!ucxgLAee*9w9Bzz>vr(oT#1_8xs{Co|b*| zW?=c3SRTXzA{Gzkfzb;`+5ycD-1daCxvSG5cI;;=~DMH@3wWzPZY_>_E56 z?GpaQ=@F^P{~U(r!1JB#OCeI>14?pOJQ><03`!>t2-wJUsI>pt{wQaE5c&~a<{+Q| zl_PM4f$|#mJPI^+g2rxA2%*GE`4TZ%-E>0(f9#@Ksdsn;4uNwvy-A?$A*XRyLSBe#R3f%EHpYy zo`GI#k!$41=kB!B#s9Vw|B;{2?*#+k`J@7{pm(54aM0t&qfZCv zQ$4^g}^iQh~Bk&K2h>Q%~X}6uZa4EmMqPEe%!EX>M>|TEPg%h$r z?ez9HKWj5J4UBrf`JEvtAtCS5dmsGwe|+_~KYZzN0>RxZ0u>NmLkuGEOWXsrvHDU5 ztI2gLei8tAkoA|&50$U%eiX~E<@$c`{hWFwpig)owt>h7z;c=Y*TMfl96|&Egr&L^ zt_2p1DgZdl&VN8B2H1i0l!2r_FNGlP@1=7I4C-eG@&F%$Bb>B8Fep0_M+ZlrJ$?7_ zVL1j~1px+{BO_8>-Fa7X4=SW-+S>@ul=d+ zgZYV*uAg)pzJLDSKR*7*?LqGVP*O3tT&Nf{!P^uQg$_jnEEc?=46&IRgELY4t68`v z=0DZGCo}--Pwdw)_bCI=K%Y7gu*5+boNSbWiCzl4npBvuDUw@pe(+x#)i6%i?j-IY zzPHW8GWW`nW7I;}e>aB@^|1wqO{hJ+TzG(!*8BSVyM}s)N1ncSH-Za7u>9NtBf>A8 zcTKvUyE!y6^MckhH2UrnQ{xApfAmFN=7qZY{Ji^l=EuV$qh8a??|-PdfA8R&lH={y zFMP8vw-ff+OVWW00*t|jIS9or!6@uVmrM;DkYjqpfl-3&6V^%j!`^@3AFKz%&yBMA zN!tI=e=_@_CcwCIszxJ&pN!E0A0_jN671k%kOlV$fHsb7lxzbKBn7&i_VzYnpW*`D z9A(<+adP^Q2Q-KppmRV*y}jKW#>7e8L;WM~y+MOWq^AxD8~dnqWny*Rnb5$9gHGz6 zA>F=}ZSM{YP2ZNfWZt-hi4!JFoH%hpTx`;ug9qZ{W5)Fe*6wWU=7+A_og`vnw&{9*l>gl%C1Y@HSkyoMvt@B~r-4k1X0G2m*U ziD~p{ZIboPrdq! zU-^Q&t6qJ@{V<<+<>jw^^OaA${8R51bIUq0y$ppU1QQSh+0^YsNlOi}{SJT7e`W8< zKxJ$&U|sD^f|UYYT`CEZ9#jD@{1pJn04!4n^6<9G(os6-xP=vnHP~un%a7;!DO+J* zv(E~=J;B6`@%`OBWXP`D2t-2D={{0u1`Et619ouegrb(IhWyhfkA4CMs?bM`|EJ%+ z^Dn;q-|u}1T>95L$6E_;ed(7!_0o^N{?;4sy!Gav&Hm!|{@t6u^V`4kyY6WE_y6TP z|M5@%{NWj-Jx<)&?~bqkyb|N5)uTvq1l-}xuM`r3;UW}mt~n{2KA z*>8OD=RWbp?|%B{zw*`Jdh>VPQ1ttM@E^YZ^~=+zr>BhQY-`V|C;R5SbGAE7@eXdP zfV2}|cV3!1-h;(23T%q3BKT&bV1J$a$_gZC{BPXvlDw}voc`4C6*_--cr>e&X?B$U zPj#f9XiPtO`ZYtmJAHpXymb3pAAP9|&~q1Fzk6()?ZMig{N>7@f9-`Ae&XXVfATYL zy#ARtKK~2W zA*EW-tN*bSz`~VP#4pHC1Iqjc0L6iqgv!W5<_~`m&ydKd79+3sKF{eNHk}Z zFxJimC;1_Xk(Hy;K9qklk@o)k`H0Po;12nc{#l1fxWL zb&LQ2e1$?S-!w?mkrx)2dbZ>-6;Or$;y7GbIQSpBsY<(t@A;)vx}KOP{=GV)lz4 z`Iq1M>KDGTKKs@VL`|~xSQo#7=%bzeo&>-FSbVqfPX-K5YjG2I*g3 z6UT^yuHTUZGy4bsvvQpe)Ci^%Y|$J*nPB4pYz14${^=&La%Jz9T|iaK>oBaXo!J+D zFB&Kgnu0P@3)mnjF%w=PF_qfzJBh) z3m^YSzxIN1;0qUi<{Q8H9Ap5N%=8$q|-F9-#J9trx8zNG+( zKDq{V!~Ov;`h=|8`Ky7B{k`j5P;j{x!}h*G(6 zu0^O}2e5!nd>h{34<>XU{>uq6fSwN%a`66)FXRGZET&2V%8C_Tf*Pn7$dC2q__v2W&ia94 zj$I@)wxM9P6p(^2n?U@n2c&C2P>CR2z;d8hv7dsV%L^-)u3$pyh86;#fj}Sm!cqO- zkhd~_6iVf7RGS_QJ5T?I`N98lLX@$mV*t5+4?D6UGGf_4tM{H;;QdZz2q1v}^QN`7 z@Z{&1_+xwsZqL9X+SI> zA+AMKB+m*o{(vVi0isd>e*oZI|78>)L%8s^I6xqnyz4Vxv;Xt?(b1FdBf;|l&(^P6s0yhM z_M`8o5HBxdg7;6z&D0Gy0Sj=7D%s}T9~&4L41=^+74qNS9s!9*J9mV9{{F#XIU!S6eQ%&Z24Fgy!3FcPgJ%KI`TECdtC+%!^&Rb# z>cXG9@Z!}&x7V5C$CK<&4iBfT{XoT4m`mkNJHQiq0ylx-LWHO>fdqjlkl1j0HBeGn%VIxK_6?ai2G)VCB0er#8iZB9xJPvMs zdqM+uNn({?61VKKtw0D<0@D|$t?zN#E}&xy9UxqMe0cKiYkzyzmfYtA;DRpiK1Gi^ z{EN@O{A-(Xfjp_qDwjeB{Gfw9(#F$Ry$}fR0D3xqhS+&T1KNZ(U~`~>443Li15@pQ zct#OKB~S)nNdpl8!{4~S1#q~FU!Dy_TE2uM;sMEw@L^?`ID~zydUU)>TmHEYl^yX-bZ8? zF8=s0{goMJWaiK{H*m!CK&1sYV?({)-rqcM@bWJZpkEA(NK+z&*n}+xJC;`PA{=4| z$K)o5Me0X(obpK}GX!(*@WC+~jmr5DjY zQ6bXS{Mf5s*gK^8&fYCQVrhXSQ7XO!{jGQ+_v+|3M;cBt&RNUQc+j#GgR6?QRuAMD zQ({Drd!TB5kqD{^WX%MydY}b@2vDfF(cp`3FD_obuIsl6kc#FXlKwTd00mc^Yiecf z8&beD&TmD5_9^jal|rZ(u+*L26Ia+*sP5c|zB`Eyh7E)PPv8BV0$J-H{oa_c59xe( zUZI-;=qf}k|CP`EXK*3wKQ+uzX37FhjrpZmu@`;B{Z@sl}jFo1c!VF+awWQiihelUEriaVr(gOUUM2KR08 zZ2u$m4@{OYHvV5ML!!+GLW3@YKI)*G02uPUA_8df{2)`Xe2FPs)+kW_w;hW%Pz`rY z8+|DV4hzHSpXYfB!%I!UMN^92w+usvv;KfI;L*2sM*uh6u+t?=u9p z0wi#W**K4pnb^dGK!h8QIxl2!RA3aS0eJyIJg^;54Nv?DJ>fS6${a}3z!u&CgqMiO zZI#j$&2g4Ip9T`@U^k^$#T686qylp^?2UpLc7PrLWP!rnt^NE`54N|35Zht0(~9Xd zBo7bHkI&}cez9C=mOk)%(Y&y1{_{!2N8Y-#^5!2R59b(zF~>43NP@sfDz*h?aRP-J zRDgs<2Y{s;kMP0BIN%HkG_t{!Fj3xHcWRd^9fS+g1}6l%>K?qc#j6y57y;1_*QXqa zAut*kO+rn0OfpjwZD3G2Mb6+nykrE+xSR(d{q`aN!Ei1a6P7p312~?&d6tpdsuDan zIuOY5fXAC}e)#zhz4*LM&?NR_8YXf9uF50)ANj_^#T(z-v3Tk-5lDhRpjG~_@J|IC zV*_!Ly@@h3A(&W9{$m6xeA6(0V5=mUfWXOFsu?(-}d04yT}yutm*Ju$+&vLFm{d<1L2I)jCT^8ht8 zaaG$0fn$f*a8beWe7gVbS6`^D-`zMNzx1El_u<9w+^&`g@MSRq&a-ZLg8}7$7v;j4zxsh_ z!R6%z8BwAyNFwvluO@iGa}MOzEmt03zVS^ReMpn9ch6e**KIRSc`})Ku*r;*7wK|; zGr=%l$b9e5DDw#cI3d_)@&aqGedtF&TnpR>8sIZ(@SBsvQ+EOi6Wvst=_l~EOc1a; z!7pV_B3dg!<9qJ!?Q9}JNP*0>SGX5dUDYDVN8XO~UqQbh;Ewq}m4G${!vMIF;EO83 z-GSra?ZCpyHPvA9z)KRv4N5gSVlcrhXx*SHN#p_rndT6d5R-YM?{GkpKQWww2w*|% zg~t>Lz`rniuF!c#2HFwod~7QBd_I5ouOEH!V=uk*ag+YeU&hBDzx@7tk55leviZm} zZ?+)2zqgYEtk@2p!q0$M8-#yjvblN7WprQ|W;$FxV1GedkcHO*Un+rel=yrW9sMB_ zwtUSDKtaGD!gzs|h2=tEQvpImwa00dMj75-MVi+M<;N6M0~oYG4xmUF2^JABNZ?ja zTjrD5o%}O?Ofk05PaFw8npmjn;1#1T|Dy=dY+rS8#1iY|XDeUFNy5v_@GL$q2 z8NmFp9)61b6bC)H6WNe440>>S+R{fZP$^zOJl`i$-w+DL66dNJFRIIJxv?}`aOm30 z`RUQw$wu>K95=qrKUlu23qF0ss{vi^&1I z!}+neFfdzGRk*rn&!k1>Q5B)m0qg6etl)aOU1rj(vOefsphr%#@qK0bTQEXt7$3E4kt@%Agzk<;AAE?74`^7A5qY%>lj z01S_B>WcJ!_=3B5AXI&vrQpvKGh2l*)_i-dC_p;I>0i647GPAssQ`xr3khuiOSrH| zG`da2LOptO{6v+W}@@;zl z*8|m$MF54R`~a9vP9;Lvtib`Pg%1Nuhg&;nuNmy>4HUq#QD|BLvv{L7W^)a*T15oPmhRgI0f1027lsbi zCm<6b4RknndmVV^$WJ@?CuioB+KQZKbd7$Lbej04oj)TDdo( zX-p0!MFPZ#SIUeArN#|4xUpIld{@F;b-Ynvdcg+su_zCqBt}D^8zQQc2qd!sBl=Mc zH!^}Bh=Fui+YAXshuQ}EC=+7+R_43+vqjFaXOM{TBBDuGg*9!*=r)>zo z8q@M%9q0x+-Po)CW+(kCD~N@NAQFc&3xL8N!GO_7uG30iqesR2s~WhXG0l z3j!4fNQZO7qZWrCZtXne_iaKnePYU%6IwPAa^wD~b#cvcYh(<4p!oA+B5Eg8EYe%mErmYfyNSJK%I_KjETYa6ak6pJxXYC+4ss5h?SIq1p?4VMo5UEY~@2?uwUS%P(TJs{)yFb z{Cmom1_$8ltu6L2k<1&45JCa&s#gk8Ts*A1^|v-co-4Hc;}gX`w86Oxf7k?30FXrb zISV#nM9_@K;J$;%m71)SRJbF`1yI{D1%lW*bZ%W~Ta{32t2hAt zpx1=}-3+}X`Vk5)0>&#^<+TVjD#VQ{II!CfuFIxZ4T%$t3}j)H8WJ^7o5h0z9lDj$ zx)O4fz&=C;#0N-tPc(E&%O89Hcz}U3EJW zVi_`qa8r_!U1kuS_cqp^(1v^%aBLRg&)px)r^MXq=cm$Qw&3i{=Z{abdS_74nXkFU z$4^9rLSliCWDwgRw#fh4Rb10vr7#k}gr6?*P31nB{|67;qe~dVK=*hu2-Y6BGC~v- zx^*4S)mxa3>)`*|(sGpWqEtW|f)%hS)yl+#aG3ZaIs-WcAK5{sq)`|ZMAsgVb75s! ze%OmM^qKT*#)xW+mSYELF@?#LNfyrKghWManD3PQQP2VtDr-oo`AQymi;dAk?ImJ+@()4VxWMO;| zORy6Y8ir%R*!(DwQ@-E2kKsU6Uj{D$X9z=x_QYo=a|S{X3@qS-2+%(EH*`68pt4|c zf1%F}1c>mTT^g8wz;ts_SO6!)Ah3XjK#Bmn4k~6>HHhWOh#CZ^+=Z*-51l+)s4^Be zP&|MkvSwwVC4;(Wq8PfeIu@|g&$kR)NCyWMI?Ce+qTrb8-`$#$Os-)IFTx5OxREbp zOA}z95z+&CM<-Sd@rH-2^l+DkjFnCUKu1^;nY5IM;CJLAi*fvV5ccpNybV`G=ou3U8r6dB#X}hWW@940Nx&? zcf|TZI|q=z@3h=N-%POpdG90Hhxbgx+MB@ts!8_6T6hN5CMUz?a>1JwzQN`UlPGso zeblKwW;f$L@tE9z42Y11Bp3ZKgRVtgOa4_r1ov};&YR*R!hB{{v=_TW?!}IIk4E4( zQYvW8s#a{Aj`8y&{wIqlQ4YUG0GWwk4o6L3;hb(GEGK-P1cz>pf`4qEPuddh&U#O9 zqiq3&=jd&PAc5xyJNT*AKcfC>01AG2zf6tLhYG69szsZ<^Gv;w2UrDc5w4d6VE4|G zU>4C#SpATs-5ju$rDo!n6%`LEi{%TKt}=_xT`%mM^l=Xv36 z&rlVNb&shK%3oV}p-PYJ_+md$_=g9_UMaIRcHYV%8Thk9Mbeo$R^SQluNNTT*c}$* zbH)!_0udBK0uj)JAMH1&KH+C?3jGQGqbiiv@7+

    cQAHIE{h`rir*1Mh7)jq^Qv>%BO*Sp=SRf&kv@(QC$ifDBj-En$By2xs8+Zmi3 zdI3yFPtZxitpzWQkpA&5T)sw3^9ETN+8-yJVoXcSU@@+lABkHK9{L7Q&_`(Np6NJ7 zbl_W0S$JS0ZVG0YN}knQ)ncnwDy65=no}>*!$&_@xn3{Y=hjjL$5)YrBJ2;&jB7|3 zq=MBVf5~W&K5}Qf!O%*z7DS-Zu<-dRWqaOzhn`p+i)5PRqQCGs7z6oZ*pf2IdE)l4 z>G*^>fE2(5yUw#^gcL8>*uN+tav4)MZ< zAhhjPrA`>==k`(K1OiC@SWDD!NQTt=iL4bhq0D9SSeJxy{Yjfh2>mPcf9CqqR7vLCFNamrrcVDg9Yc$0af+ut; z?!o;Q4KxT|3Sk<)uVs!5tyr*l{;X|2(LjCFhZ0#PnGR88A%W;gb|fwcb^aBCAgKWb zgF2v{!l~Fdfu$cf`fMyR`O+_EV2qYgceAj|6Ba6_&umI42R$N1yd$*1mDjhz=K&teS zQ=@~ukefY(mtANTY1}1^wdzfTKijoe%i3!+M!85}2;hu|TyWR!( zsd#X~N2gkHQ{_s&npt`8uo{gG>|eAyEOsiaf9c`}>x;Eg8^H&~hX#@O;lnUsY>+Z) zpxZpRywGgbdN7NAy4=@yz-(_8P4QIn=R&k_DIJ_kNtv(hZ*laalz7#stoSPv7F zwnS+jJbI!??kggG>hPB`b%08{*Qi&r^>*HG5dSH6?qfQ59W6DgY!{uSAee ztnMn>r;iT|cV_&kA!yO3OyWmt1txj5T5|oip*LgNk(bV^IN`dh#yf>@8@M4?;?1@sDwt{X;-2x3HMmQDJZq%uC!S@CJ(E=2HKJ7H|P)K>* z`WwD9<&~@9&JXqYf?-2F^Teh&ijVU@`miw)i;SqMJu*m(Gl!CBhm?_-j)C_Dz7rJi zy#!Ip{SP2MpTUZe{bU7j!4THuYRhiD!YvX)jT6|++upo)@_T!eRgSRch5X2Q-cqrO zk4cJ?({4Fi-S`o&MROG$D|A6%9?#>vN2y*c2E}qM4|tU8kfstB!f<7dns0Ck$jIY! zqZF*7F5gBRFD{p5dJ4@hOt}h7a6e9K6wcft6|~tpqu@vnBf@-t-GR8D4?dnhqFUPy z5<|nUO;U}>P;~FCCuW?}BO|edHnJLai;oAS0c?zl1W&+|=`# zKp}|${5Os0vOBai2jzLRcKnIQ-`%-uW8R~Mk5XfZuN45>Pb1z5eJB5y%sB!8oB)NcNTJFRgb4gEw$1I z7aCO$u~Then783TU8*1rjMr|rP0C|ZNF$g8^)|P^tX%f)^lv;clF$-+wj|IugY%{x z9U0m%<&(iJPo9Z$6+ryT-LmQcJ>&-iEAxM*jj)O-2v1t*5CM2Aw1YB{;_umn0ZxLKv%Ox`DL zRNJM7P@s0B->J6cyWn80(_;q}k~@}fdE#_r@aP9I&Ow^d6K_wMx_BfJAKCxRu$hQN zqf1HclK#MXP9I`R!REOphlUB^M5tq07sa=8G8Pt|AF|Km78NsSffieL^ANy6Y`ph) zjNBgj9}EzhB~GMQIkQuScU5bW2db??p~1yf7(LZYWb2AG=czQ|1x7b)LM72OgyV(r zTQA7z9|}p0N`Z`6&^Sn(OD??m*>~T5^3fRw2X@b0W#OGdh7(2&KgDDmjPn=_WYIBaMaW2zmG4GgKV=&&}t>G>fok{IEB1UexJ z08*TT83^aa=Op#9&26eA6ZHUDV#+5=p%N8 z*ddh9w4r;yb|&)ji=42=CBjE>-IF_5{~GGAgmx`5o-$Dgr~!TidRvqY=vJj&npiL6 z?q?3axLqCI@xr72M#y-Js!`<=H(4b40k;TT{Sd!@<7ZjCit zqn!7`-68hdaJ)9YkuWF9Pi_2;RtpC`7k)Spr|E?q%OQALe++0ObzdVM)gr?QH9B%^ z=6%mefmTF4{9odqN6WBrf2h}E?TO|kU*Q@%8ntjfjl=$uCRGCK(%c!hee03sDp5mn zAaVfBjXCL8&lRf~#+Z|K_L{6LO}rdx1G516N`s5A#ER7xNM{Q>%3G&-eizzuQ>C}LGh zbzFL4jKo9*l{QKo1SBzx@!0dH^kcU^JVG@=nnH1mD2SZj$Y?pA)+iQQz+Z`+Rj%{S z;dKbTI`K`9f3WC<@6CSarPt>y+E-wD+T{udy%EgiN|;YxI(d8~q{+GBXVKH;aNV~? z5Uddmhhzfvs|Y)?9Zo+kIOm)?QvH**FYI0>2Ir&I?$_ug@OE4&Br00W3dVftqY1(_DElp#Bw zcs@=y-L@NMGq(VU5IfR@yPnL~;5rH{Tx1%M&c2r^;cy2ds1@p!;_yqCL@7&g2gTnK zlcy#-UkWDWdSSvwryO9H+YPQ&TJent)Kay%2ahez7}@E#K27|N@g^sIalc2$TVvvL zV*4S2;K2Z5GyD*Yg0gXM3erQ|5GzKH$0HAzSZh_FE(d$p}ZMok`Qa_zvt{jvg z8`3DuCI=63LZo>glFKF`4q`FdsvP6g(-Dy_Q^alvYRj{kY|W(sw)&=PX1dpR-Xx_KPjDtCDx@!2oLevZ7*Xyo96D8gzTxkSmh zR7=jfdpM%T6Jf3ewc#63{7=WnDDqL@X|#$|`o`Jt=-x)Pogy31gGMH_ml$T8eM5_v zZ6~DIrPBSYCt@Sa0bH10$bWW0Wcri0~XCTFL@R_Zn?lQRI3<_9d&Bd(83ayEv363v%VeuY^+7vjz z0LyI>BozX1Pb3dGAz7dMPLVlif}DZfYV*YV*RPG8i248<=6WC8M5EW=)A;~(Bpd~)K{kEN)Fkv52MfZm1 zq;r@RvZ+g5;=D$?2Q7pZL;~mu#(!D3AQvFv1?;{4d+42sbw>G4+>^=qAI6`N<{lcz&;bnE(oRk} zk5U5upC_?6|@*Q90HdTiHGt5D%?C+*n<$>9g5Qm3_ssyO>_WO~d; zS$cr4N8e+sPv=CdKi*=^YovU5p>W}DdIeXVh+^mZBa%NzZ^U9zPJkv7?1)Gt9*d9+ z(S@4%h378DSVs6fi;u1)2Y58>!0N`#)3WXCJuhda7eyxN+*|KODLDWmz^=93Hyl@-_5sb99u!bKZd)Kd5sv`a0zf z`hn<%-~xnh zxNH+S&22X z=gitHy(36}*(Ts3U6tp*e)sj)J$~Z>k%q1jM+_gl<*_L3b2!G9z02f$YmI9XQ=TznOOD;>#J*^y-TH+o8xQ@> z19yD>>;p5j2(g+ci*{Reunw=V1NQgD?2!CVFHoNrf;xdg6@+SsHp?(6Z&Kq>1ILI( zm<7_E1bzbE8#C32VGLshMicA`_Vfgh2b>Ayt;b0ZIGX3@y|?Y)u}$y2J7@L*!XL{A zix3V?4Gqwzc|NxDx(%zoutjrehl3=in5d6#dzNG)B_c;%$gy@WV{f1I^7<=bzQUyj zg+epUWi4E6fG3(CTr>Z@)iFBHO60yqtL#5|(N8U(9(m$#Z}!h!Z>2fnJ230^Kl$#P zbB^5eh8m5=BYDy$^-r?CAScJ$hBbfXpv$9a;!-0~4IkKXlGn zS4Q6X+SdloeDQ@L)DtQ}nx=Ji!S%~DZd#H9*L#Nxxx}ogdri6L6$7j&b{KS^E;lz6 zaz{1+x!Sr_6bWHM`DW$HRJt4WZwKL?&py5W3ocB-zBKaIKOea=^S~XiULFF?L?+Zm z86#z4T41=`m%R z=Q%+}SHTa;W$~HUe=Mv6S`=MkFMib>ZS6}s%CsVy3!JpSx6i>Hal{hbU!)c$}TBf`ob^4kY3U z!0*J*rNKZ%jGTdx7Bymh!v7P^1Mw%7u&5M4;rS$gN+yvD*FSp09FEg6J$wmdgdauk z{q~R`2pVzaLkH>Ag$1H}$~1b(rN*3YqC{Rv9a%5^)V!emE*gjoQ7U_n9ZDzX%*++- zSI?clo-X0Ezh?IBU;5nVqbI)c)}`Uda1^~jcw_uYvkNg#5m2ZnGK56uo7{S#SO zJGNItwFCQvd;+aQ%Y&4*Xz&opn~0Ja1rjufAZ`%3k7_8^4^&aOo<%&K6E++Llbb{wX_n*)fzz)?mppId1%Un#LgIi&pSf;2yuh)guuS|P2@qHBWbBC57T>cw=emTzXyfA`*d-?d}Q7Ek}jeK!T^aw@eru~OVOBI-)Yhc2p3@F=zl@S38hNVUb{&2k_jsP6Dy#>YN#kA6%Ih}A{}H1YLt?IC;lB3$T`b2y1Y zB3*aeP&rlN5>tEvZndIvo-NURwjAA4DjVtbpJ2ib+_6R=%i?Z{hI9ww^GLrO`nb`j z>C3`C&Qy~d1)KZIPAItWHKGi95@mT~&JX+s~w z6`-ASxW7)|o`WsrJdCfJM;5~Fqx0g@3x(z4XltmLmP7@@mJ$CL*7Z`RA`dKOEF2=YUD|_$12?NsVD#_!c$en4@llK)iU6dA?5SbuSGYcw9*M(&SYzFE zhNah0F65%`$w2;4Pe2bPq7g&?NW74QdhycbOTeK{DBuQ*lovZ32FTkaKTKGoKm$lH zVT@A)=6)-&O_){@2^36dDz&1|-|*B*t}2Eho`Uo+AUpu_JuV3J9y_NHY`*N!Pr-B3 zp8iv)8tkD86%rl-RF=ztAz{-hrwy1BwZen99A?F$=^71zG=B7|+y_Fvm|h`pphw3a zj>l-fiuKtahZqF=rK<_u56%yB)WN{=lN;~Y<@CF`+y-efCkcQcPW>+yjeqfbue|)o z4PW}~zkTkrU%35+jl-}!)D6xDcnHszK#=RhDF1Log0&VofIT9;;uwcgB%N@Jm?I|6 z)HZ+dRDyz`YiQAhxLz04%0XaJyLAU3H;vkRs-1;UDmfBAzQ zP9?OF)6+Hn?*Q&6x1ScFie{)4XcM3{5`UmU>4VmaE<{LRu+y(LKb6L~PD@+u#;C_} z#)Fg}1MS)H37pS(RHxsO7mCG98wZ%)57d8RWMt)IcTIkC(WX874(wa;<^x~+#wQ$_ z0r;fIBj`tPldAChF*x}Kb>0b^1W71;fq{F5`XDNeryvH1uw&P)1xHDzhIQ%??jJjV zjsWfj&S%PH?nWQp3i*6QfmaDP$1V;uhPw#OT_#3JTi?sXO0+n~aS+ef9Flt*q8UKD_Di=*cex&mWJ4~vbKHyHQ0|it+kiya+CP(Qw7AwV5GJ<@MN*P?h zihb|SVftu1im2w1fowXm@a@fKPP{W`{izQZE%t=6;R z4N^I-bN_p(z^RW)De6o9$Sl0?@+o)X=K zinugSeRp}>D{`fPckbv}C!caJe8A+RI7u-)c0CIUJ(%beYti{rz&2-~xFMZ}9V{_$(29^S&!XAN|wdz&)?9Q>5te|7#!Ycs?KE zEz4bQsB*?E2j@u&^a{`%A`MaS#tE;`(N^5N;nnF>Tk%*gy*NwdnjWq@=HL?NWOG?> z3(7jq_LoAtJG8Pu!zv1pngES%6iv&N|M(vsKpD$LkAse3PJ3u}Bo>Wa$(2jAL~<2q zlV*<&HGsM#{x(;RlsnDdC?}v02IWra*giU&QRK8Yu00g1J z0Q4|hV0iuI&kcYS@#wafXTARPf1X=&$4$@d0{LX;vry6%f;oWl5g(juak=3}cD@3fnrj zn`%Ez!nniqJ8*Mct>U}TA^Lo9Pkrt<4KnAyu{N5}hKKU48WyT_fISF@C;&Nr-pubgOT0t){vS*4`5jf3u6h0i{0XyWtzP|UR`;E`rf%O`m06XQ-e*FR zj&vj>-V5)&61Ok{2@nVgB#@90vPcSrJrO73*zghZ2oD9BSyi{YXFkvGIb2~Rg+fy7 zyEGhSy@YfYJeg$|8cvgy9}s8G%oC{Wm6S7sH3*P0iPvyLTiNRBHp8BGSFY1!etrg0c}I<6Hgqg*k5kQquJHu<=V!q zy@xe$Sp&oTsE2<1Xu2)MPnjCKCwhIX-0K5~nEX%Xi>orIfOz)sG8_;BpwdZ(A~KLK z^mad~+fr5q0#8XO0eAtx$|+&|*$eO=)vvTeP!&V%)NGqAVoL?XEU`Kc?hT6m2+L^y zA1@0^u?b)VVxg#K6|3JSk2wSjh3?PW?{qKzFaO6Ihk9!EEXb8BOg3QyDD*v+j=zIvEdkn=>ohk5$ zhWl!9&V4Gl$#p#c?HNHJjQ_))o8*d!@ksJN8~@9r*{Ao1-|i<*QOE-n#Qp!q8X2)h zS%nH7U_CVLkF19xK+1l8n?3=;hoczFLqy~ucv&gRz^PZqeE2!EvRumM;e@k@2Xt8R ztGv%=q_MBPo=pDm#+GlKTw=lIUimdBBX{o`sF!EUpOBseKCb9h57BVeS_Qv z8-Rn?>&e2>ll;TfbD+7LuMe{DVm=lxFGA3-{`$gNstg3ipu~*JN3)l|v~8l4vEP1^A3-+$_CH^c0YUD6r3OspAb)#GQ3N?q zisD~5=Yf749b6|?kgkEE*S-0GBid4SUmWlxJ`azQx0om76B=MBi{)&1drVJ_v!Wp`WA;%;H2LZxQf8ds8)2Dmx3V z9oe2QFg}W`KO%}(%n4AJb4(N>2FjBA(m0st*4n5?b%?X`vlu~eNd>Kh!}vGFdf+{k zh{A}BXUKv|gcS>^5`cq-5hptc?pVG8)CX2X83;i@4%sdi0sbM)!Ib{l3opxLF%7Va z$?Fduj-7Ak0*ZhgCIzOt8MXoN_X4k3;);-`4=&C3c%j||C<^ySDe-e61*9J$gepMF zDu@Ybn^0ZIug~&F2FsHa`4IJx@G9p^em?c>;GsHleoBRxeZ|8JlFIrR0qmcwp{P*o zcPTe?V&C55tv6n6B5B65MTz0=5pzOmI31EHg1|G}!H4bA($NpG9+bzE8sU>-^vyF| zs-UbPE&%{o97{Jog8Rn$ape;XPa`dKni_%|nkaRq@|SBZW_f}2KU^@=%daS_5u??^8}Uilgzs<6>`)e%Kbz3 zR&t(q<$t^y%ApV>ewcny#2*q1<~)2VBehDKpmq@0^WuREOAqem|oCs{oP zfx<9SNR#Tgf2wb{1FB{HOml`854xp@cpyq305s^0?)$Mb^YC01kAh*@ed@>X1M~^O zh5e0iAM+0<3NcD_@YkowjYRlazaVsn;}giwn_IVi-vrx5^p8JO{;TMDFh5xepupAT zJn$7t1cAY)7yOPtUELGO;lj{;87Vvf=mB2}8!`zu%Dss&BETZmIq)m=zR~)!UZ3LGW5%E9*65iqnR4T*j z5d$WHaX-Z5$@wk}j*oGqh~jTdf+t%-{(~YSHTNn4q7Otx_J8|zx-ki;DGT?q&dL}^ zoGsfs=4?6w)sZ8@K?EQLX#gYs$@`)|r~sjIZIWvNh!-dhvQ{kehPMzuaIOk{5qQOb zSO~~ndj4PqD31fc!C!Er3vs;oZ{kFinH2rgZ(k*@Ky3&cG5^UU-@WnU4ig8!J$+AR zXA=AAKEO~=Z#GrUY`BZEQ~pT&2b>{S4p01dVqvkxPyhOq&R6Q2)E*U#^xv*bAzJe!Y>=X!l zb25;Wf#C~~aKSZ-J&B0=|JYjgw|m%dQYZ?Sf)YT6C*#d9Xj*Ft7>Mp8^i<8L_m%oF zTqIvB6;kuw>(6B&U5S{gUgM&sJI5!DjaO)Yr_+6W-P*ZjcoRnO5g=sG^(#A~swiwd zXHEU{3&uN;Ip;4#d4Z~lC-=s7{s>`TaH%I(84Y^>ACRERgfQZSVxgew4?OVqzdf0p zI@AF>da1KP09PF^TzoxQDqp{Y z^eFA4LkH_n^DZ6$fuBY$6z3@st`LYQfZ7#TT&2c;m!3R5w#1N>2Rgb2Z2i^S^k`Jw8BT4C1r<`TLK4dGfHZ zWCLzH?~^Wm2JcPf8>9zsw2D5%1^h1>AK=bZS9^cxDhkh#n*tCoi2V4dzyPSM4ilqC zwGuoRA^@NQ1m>uOb^R=i7&pV=twizWb}b-B6xj)S;Kbp@p^+Jqh>uecjPb)0fZ(`A zLi7%`73c)PEV4kcC~ZWQeC+$zwj}aMY+`v6WlQY|g5~?6wS(g`#*%!`#y!#A)|hyC zZ~Lh!T@HheQxJ^#WBM>0C#9wYi3R`lum9iw zrmKLJzxWSX!(S=0{o7xxIY2*l09%E?%WTyQ@56G)T_{GyGEhQ_N|Plg9Gsb!e#=?Yo{;L!(mCb%;2b7@;q~)SLRmE5NAnR4zAh#hh5*}0%v{Qy_`oWKMtb(M zkped8s@N(J9UxJeeqEe`55qnp{K7x7b9hH&K!h=$7X6lY7sQOMXK`EA!U={x5&+zr zu0*EqmJSemvMaIGd)CMDH|Y_fAmG=BPk-ZsC!{|h_y~m_QV7NEpHw9`>22gKhqlrhoo!?MgVMs_oD{@ zgw1-@M~EC?9&mYZDniVdn!fkGSa=d)E0xSH7(B{)xXy%%a`QNGk#;P*y(gO{*NFfy zDDxripP_cj4?X9TCs1E}K085&_`TWoHxphShfOUZh2xZdDBh#qg%ZcV{`&s{0x$&R zMP5u@vnsCZ!}*c$A-pY@vxqhxPRXupQ1}MOf$^>q_i*M=0AzpRee%1PZmt_hB!}9L zZeO%!_t*dO$;oZCCsv$Fku;D4(EqBQLSn#zFZ~+9Z_pA%tpG{`Z~#IJ?gVik$okkD zEgUmGxFfto z>(DG)sh^8cuX+VEM71*1Ofq7W&W|V0L4UtO2WV~#v!XNS3NUiyJP~lBJu;oB0B1_& z1irAyR)FvDP@HnnP0;rNP$?B%58GDE-a4{<)85lvpa;9}4|Ai5?T+2Kd+RwDbBR46 zQJTk~VhE29f>vE4Jcsa^x$)duJGVOsB z=rjZge(*QCJ#dDGBQ7w_6Ww*n6@ilt{!vhRyM#=%TntEwUGwMhHPp9q$P? zBv#;3DLyQh{9@tOYkle=tYm7ZHAS6lA4qoU_6?O?03>*q{^|UT=3G)5NMQ39-Golg zpOtf)88e{a%!>4hPLC(&opb@OUX@$ER7Fpsh)QXiK%oCg01^)V2XyJL_XnCQS%(R+ zzL#a-9aX*p_XVsQFN$P88-O}u2>Ar-SOyVb7TBI_)(c`Wj05-uC+UoQ_TS%baXr43 zQqgdG~up%YZC#3@3=_%MPg@B!M4`tv5U#s!P&t460h@5Y}82)HYi*cqq+ zrFu2`qj1JTgRp-*x12w_Wdg}y*eAQdwMR^Sen^s#kGOmkk9hw9B~wrCjo)}Har@1}~yozSF0HmkMN+(m<)k|GBIDpB-zq8)msbm0Wk1D@Sabkg5b#+&Iy ztG3n4lG}y^M%;^(xuCR|c!*Eo9o7sj;wl!%dGI_XB6*erkAwUc3(k?NuP&CSz+_+m z08AWP!9B6eQXP$Ispur2f{F@yWf;*3sGNrNKmN4*$Ab~a^Qf$DOj&@>V;Pp9i!-z#wbPGOaD7=#B6o;b*kRi|MDR@j#^e>O{S1~${ zgH7|n^<@EGf<{R=$0b96R>1l389JRM`9u7Z!>E!B_dVzuk=)E*3^^bGS_M zW`^AT3HQuoNz+7S7bK1T&Mn8zeW3$twks!%f@{9kV72-D{V##`PAR`U$4|a1s2Yhm*5~a|tz-0;VXL z;4Z>a(Og}7o2eMg*5%3@P0@QiquEFgt6hgye);8smFt&&H}~Clcelrl&CA^eg=>Tc`^QUhRQgh1&xa!u0!vk2l8h7nM0etsX3dW-8N&=?u&zm(Wm_tsKPJW&1au zbjL~qjSZ*kPp@CJX#2_At$P;kYH$<#l78R!BYlf%Oxw1SHudOCO&Hx@GkBLc=$EID z#1saVlfS%pG!<|2@!Y%=PMMwX#>yU{k4ba~!)@dGe9L3itUc>y9LGuX1w{pc#L)l6 z;Umx#exa9n;)7zo^_AWN(_tmia#$2lVETc?vaTg>`1rCdtF9r*ggr*TNa=^EC#7Xg!4B*%?=lC={B4&Xd@Gt-IGJfudL|G1z#q60rLcoa73NF?ttKCJ&im83$)8$p0KmLD~)_ULWxcJUU z(mMNEaw=ap&JAT0lic_AZjR9%!To>_CWkMbZ1W#ZI(4q+6nr~lvreF$h;5c87w7;U zeB0*iEgKZ!sPWmsI=}#cg8-KKtR=QenOhtoxp7n`apK*NS)MY6@ZS)gtPXc4Uq}C# z4jsdf_YW|j&@b3mdqYIz7qf%!FA@;VNB1)7mtZqxkjVf>B1fPh8bW2I z0x9AQ7LQqE$4mJ>?q{g!+u6|hhtqHD-~EN@Id$*&^jk8A3aCsi(X6{~ZQZDs>8|wzDSto`D_fr0G2GU6Kp$n(syVp%DS|M z^5XgBNI}7MM|Zsbhm8qBMkO*32_RdP7soNH1Cl_RwN?u-WY{}VrecPaOhyvei@g2g z2g7_@(Y#_)HO;tx1|3u`hxL;vu4nap6FZ1krC17T9kD+ zqUH-C8LufWiM>SI^n0ZX#6t{Z)o$Xb%698yWo2aOpRWCJ@XejC*P7|%v5yN3+2oe= z*_W{b{nSrhJif;*kk7`u_qN|HZC$f$dCle>wFkF2jEAjDlRCio;{bTf zv3wVQfIzr-Mp;WTpeiia@j;f$c*hpLaTtC8B*}lgD4Bn(dj)3zqeu0ejt{L>Pr2+N zXo>P5z(WOk0XfK;U;bc;Jc{k+%yg|W6R(m@giT;&1zKvyQ-Th7s9=cPNf0yhLm(CT z@?@rEsQj^WpXPNbd z05DDcPBX3q)nE%bZO{Z;hq~Zy&?T~=m;h8z^)?fb6N#3o;-WL#e|PhZ(cjhl`F0|e zIk;-l?`KpdF(S305MD26Ap;4ME@FRweVW|w=Pa2xH)GrJ{fqV=WO)mTgp+z@_PQ$kI&l z7rN*H{?rV@kWO^p!NFy1undOY%NaKs&RvgtzRQFIHYMff&5@4Ijw|&I$BynhSXqb8#ULOzd`yME$l;$%$qnmfru4lWJj{M?k!_U}W^5n8jdk@tfTJ+v4ue|ok?|=W! z0i*z`R8~(Z6r6%g1J(=y;e?A&3tBj!M_xfrfo(#G@eDzzTq;E0ItTJ@_;m5Lj?Y%v zW^LVNN2kwPc>-aXKBDpotgI-QjZ2H2L67)(Y$oNWqZAcAD3xiVn>IJE%Gi$QlwlBH z(H}lmv_KsRJ^(vFog5uOPaY%>I+iV{Z#xW0wR}$A_3~gLsX1VnZOP>=KJYVmeuO@k zOE)jQp6NTd_)I*LVT+1cqrdgiwcA(*h07cyGy=y;@)w!bxkCf+IH4QI7>t4vt^g^j7Grb!hW1N7 zh%ZGSSXa4c^4^2I$E@)gYvmRz%fXFLJO)L>7C)P%oSft+4fapeK*@BR$CHB>k^HQO zG&^3_v2b)**pCvPAAE~Y6gc~$?J?~Nte>qA@OX|rxNq5(#uP>a9Z)?Em`Mvm+qKx7 znWP%Y0eu{O&<3f>pv*{vvwV8qUK5OPEErzi& z@m==?5G>In`CRoGq z140cgt{tyHlt5xZ_8t%bFaoy+F<{R4VlOWta%zd=w0`AjPp}e#hJ1_c1sbAAQU%!Z z9fg+9HYKk9Zhk92T=PWJwH2{N4<>TdhL@Jszkc@Chao4UTjaPcXIc@^U!GD-LAnG8 zq@2;?nfMV;@f;~4Oa;^)E0eBs{61h-#sHsycmVw%^$HL^A}zeUV_PnvAYKzR3)CmN z)duJscx{Mlpy%-B95;)@Dk2bYD~bpS29-IGrO@$X8Nmo_M`7U2tKiM;Kc2C@x^*s9 zC44g$M5dn~3W8)G=t%`maSI`_dTQ6#w>EvAK_!HYOQ9&XkMaI9nzZ44*7)a+$nq9D zGD|ny?2NAcc?O+AwJiRRL=o?Z{d(H4@*HTy@qI4aw#|0JB<3v=Mj(lv-&7c2n=vW`Oyn zy&|9QcyBP5OC5=&yyUvIy|)13Jc*x-oJijhC7F7*SCJOEr=72T|L-5Yag)XoN@AD~ zs7t{p7u1}!s{i$oH~WS@>Tu#3nT-cve&!PpKIzMuy>b~d3%JzXFsii+8YLiYSeQQS##W7owwwHJ>6oW*#`tg_$$J4?tB2TIZz zJceb+_eDlOj1F8B^H3ejcix8bg%EvEh5wtWTz>PdzJp6snIsp&DSto>`7`F~QzpXw zx4%B26y}!~PcsK>n{~tYI&%D+K5@SCW%79l1~DF<0mcdi0Q9>)JK^6WBK1b!kmfhZVu#sw)$2vq{_fs2sp3%03L2n|_A%$32{g7z^^Vo2iGs|MzEG zDf~}SZN-flOw4}rjJw#MKGF@*bPaPk`15-=uQ*t|w4Y69OyCb3h?}-pr$fw#KY;20 z2e}!K^I?0SL0mX6U;&|)>)W1X8V&{;76O`#_b-7Cf({4-Af^+cp^(YJ5=U{w9?=pe zO(tE2Pxh1z)z+}fGPt`wPGzt_JXMq{aRuyR&~i$|m3!&nc1jt>z53QA;eJlEax!GHx1$>Mm1 z0G$wS;Op3b$}-qfm>IqRsD@WUXYjM^0(+SoJwRQpCQCe=b~TWD+FG$n20T-Iz-3rm zM|h7Ekw%8oQpQ|O`iNgVz3ZM&+i5q8o%0;i^oRiPaM_H(siXNW+Ko@hVERM_5F>)7 z;6{iPVDZF?rU64~On=q0!)=WXXU;WVxqiE=HxzR61Z3)iH)cu^N;=ct9>TNFMkL;qyQIsfy3rofRr%G0+wWDi1t-WqOh1Bg5r^! zIBnArNR26l(KZwJX7AhI)IeY5GTa<8d4HUX)ToWY15iy#9-J|u)Q(Me_Y2?VJ$zrz zv(Y(6@{UQsA_4~yPmTwkA2vwgE~XMa00a5#0*O-CKg-9rXlRN0(YWMF6OMJE1-0Fj zy;14~EkM^Zfww>h4lu1&k$UUl0 ziiG%2bA^zB$EDLLA{{|4ydP4|zpU#L3L)^elZFm;hzX(n-2xFG?hef_V;IN*!iIPj zy5nTIh62nD@Cv#;8REuu2V8N$r9j-zq?*s!v8vs67}7)`g~%hhO*R5+i}cf1A$y43 zb*yclq?3DgiC84}PHCkMoWO($3;e@EunC!r^c8qWERfGp*35lpnnr5hQr434b+q-%Wj}FZ_P=B)a$mJn7S2f(;{o4kcJ{T~Q=~PlQ z3K=Ag1i%E+Lk# z8SEOTENCM)y6=w;Jnf&Kx8Yl?iObKOhhNC(kZG z{law+7ZMf${D~4dblAciE|)K6GWYI}onh`P_p_aB^@Yli~HuJ{<2m#oMj>DjCuc2^uONPMJH=;uNn0nOA}*L*o)VA{Ahv z$Uo~1LLmI3a({R`zJLwL2ry$daFW1aZc?49t8gI+$UC^;5wVAUV$>%k&TIoiUvW!x z`rn;&}^rv^v%3Nw9{CKr}aeMQDo2;L$A^8X5715(S zlB|WY36;DxCqZDtHFGL&;HD*X@!qt zK0e&cs<3~SN0!9NP=7!aEa#Vj-AHrNm_t+`V6b%`QqWpqSbg2$Em_nk>L3vABiWVkOpXE&if?H z@)_))%J7Mirz0SyK)#e6?Z(uCYq7Yx5dwV2<;dKpI5U-B z^J6lN`dVBqrE3GYG4QSmr0#L~FGi5dgmULnuA6ppO6q$VK>*O6Yo#sE5e?`f<=IRc zML_8bGKa_l5iscy;E&}OMUtQl7WF4n(GV7ZGA%|raGw*uA8}XhyDo#^1IS1jL;AqS zGTlG-038usMh6Q7Am6g*U_(Fj#ur(Qila7~is;J3jg|TQ$mP?wMZGEVBu>T~k^%u0 za{k=D*)qljb8NsPC|=!dOR3P^YJvS8Pkpu{1)p!1xiDdzYsMKsefKf-Qd}Se6dJ#E zCXuYQk!044o+JLQkLr8*42I6L&9t2{WZtwXB*WMaf*qa$-^o+Sh65G_5U@_1`HWpR z&b3xtgh|CM<+@x|DO1etk6iZ4%{a`e!3yvQLt(O!BaNnKLb=KS6mt-l5L?iCMgR7z zE3lR77i5kO%|eBBx43dHRNr7gc(HCq@C1YsL4-)*QqiuP(IAX~-BPlM%e657G@edP z47_#TOe4tLEI}b8{vQ$;4(#cZyA;BB+saX}TM47lDC56EpVnsq=;FU>lDVOrib z=|uPxh+J`64z%-&FdeETCOJ;R2ZK=bTK>?)#0+(b@oZt)-o?y8soFhFEje%=lXGch zKw4hLPXxlo6-quhf8B+Qd*h}-U}zz@UjF)9y=FR{PU=E7j^y4H_aUSGWI-N0&2DfW zOgTRtO|fv37|$}%?+j;7x`4x%<`d(2S?~$FK{Tk7mcJ(o0{X~GC}sft+Q20$Ze}Jg zQlWpJYtHaRN?Zv8O5Rxn%Aj^8j)cH~kr(s;6`~CXd%c)}0~G!z&yHYIbh7LH9FqVT z2|yh4;4ZWM$LdZM0gsi`rIWWPlOWU)`v(d_Vqit`!y|X6xC|BZhi`}X#tGcN>#MQ0 z)G#+<@Y>^eM6#&&AH)0*0ucc}yjR(knR$3Gy6>lC+AoP3BGd;84kid z>>P-lqb>;mO9+%6Kt3$>)L|Nk+%wqUnVIMbj6N3~VFh%@H(pPZU$6q$^YNlPQRJQj zI*O;bMAGPLZD_cCa@U{*modj}I{hu&R7Eu1!Kx`M$}>`*wg;hI~hPUpkN>XQUR zGXAQzA?iU8vQ1p+$!%y{hCxtIk>K6O_wJ2T_<8ScaraM&OvpA(%nr~81Pne65YVIi z_C9ifQypzv!dkoMVAe4KtlQ)x2QDO&Oe6Nve6|E4Bn^!KFbM?t~B zLD52pfpzlVZfj7gAfpE3XdLSF`0%miYY%#N$E~6IQ9?z0pok^$Xqdm_+yjFzmkv+_ zO?3w#s90qWrtAfWObnGH?Ns_pm!~QSdT`&_9i>|Ie(qdsQw-7|E4tq7PkLUmC7LqMXSjs2oTmgfXs0Stik#PBlDz&cQ7>JxwI>0vmDh8_o_k7$2N zwr%li-`Th74X0G@{OESpcq_r!#A5c&xuc^<04N9xlXd$({+w~~tXWE=amt*(`o+f* z=a%)2Pv@hpSD_kICZ>de1i?Cc7ni4kKfD0(EvV+ftfgD#?hb$28VyI187`y@oB}qG zyV4mBeWxalt~{QxYDbrvGVd~i=rcY~XcnKxR!}mjs95`lti#`d{`rLMKqFXwnkq2` z3IPcYsAkU7ta;1}j!Xy?-Pe2`#`D*8jF-PY_AfuwZXmbCW#NU-Z(Tj}%EjJ1<9{Z- z?qjw6S=dvQ%!}-M<>EAfsvH?!j6DTMt3CYgOf|mLyEi*w5B(f1sWlknLmL1UQn%&$ zH0zWZ5kX-0=-E^jsC93$i=wC1Yu46QFbdR(VjsEj{K2e!_yAqfP#{xxZg%g59wF}K zOu_l$af+NiaTldsc@o+bzCiq;11`lb>E?xGzR*4DUR?0)a}+c!Hc9}vL#pN~FeglD4E*ge88s(z`I zo@SUjKl{=<9kEm_baH(KSrYyM7p5upiBnMu{r4burFUi6OgjLaGS8Q_+un5x7M;%H4Ykbh zS#@dt<}ZK0^_!o6oL?FDPQKdxP0jDm-=;1~1;f)5Wjk`S{ai!a^{?JfR#6-VfpM{o z#sbYUFAfByR94kq5TU?hCLeCOL8PEbl(0YfSeCp#R{Xy^_ zrXL`*(s%4lr+&!TBui+5;*@n-qBBptcA5$n{(=|rBw%0(5p9yQJY#I)`Z}tAC#Q?y zOE&`QAKtH!>tmn>UjM-(d@rbr=g!<^WHk+U^^4~l$yD0Nc_<<479$uf7Sm4A=mbgESi6`c_K!T{c zLvSSd5DaF`GJQnIc)TF6&4!*ZzWwNq6d~}LtbFEyW}nb6$JM|Z^T)8SiDLJv zBZUcjZPLAUB#r;~<4sioTg-aU2LS$Iu*_5raVo65{ow^8olZr#XCk(B(@9U)@M0ja zm5%|yPs-h$7xx2q(=6KZOfxuIsur+&fF76qV9A8LQvHAgLLP_&u>r~m!N@@vAPT5R z9)dxaAL$>FTd93n9b-)ddvq0|Mmy2kSYXgwafHi1H0wgkM(Fv=;0G>>qM$ps{;hV# z;6NAy88r%xcM2OZtn3-%bC`|;w|n&_64P{Q+#PIaju=(*L zz!yS@rbvh&3tl!&{SR~kJ`kTsg+zw0vk8ua_tW5e1YaZloI_hYmaMjKrh6#~qHbRf znF387#_VJGgWg}dMKlbM^5E-#uAzk*bqDZp>Uhh2EoXsJ$3xuGpO|JM?0F6}c?F60-U--?j~%ODfjeat*TAhuB4%zXKomov0IjYRL}u9+27!e>S&(8MIDI@A zub6E>2gCza3oYzW&??Q*cejp{OSr!DELZN)MUQ-mb)?G$z{C287bfo(7(9}1>e{== zuu~%$m!GN2r*S3$M+gSS2h3#8N8%|=yOA`^Sh(xEAv2Y->^S&V*pJgsqt#fpOoq}3 z1$$Tkks?VIN+2s}330zTF+Hv@2Zo8=zfU_iX{n}-K7zn6<(&0bQcnT0WPsqFEuh~M z_0}*5EW)_A=7T{d-M|y^B*9AmCrjw^KW(qIHvNLJZ+|i%GmGjr} z0caeumWwe!-IKTg*#V1{D*p#kRqjgK&j#QG@ec}r<+SK+rXU+oGUHu_7#WFIP;*y)! z86g3|v5SY*+%QUHMUZ0Tk{ z#6UcmOlQ(?gd@vF@$$)(1rmhRAs~SYO=g@_%DCBa_SDT(CWUuL792aB!e!zu*$K9X z2e1e(Yok~bH49V?Ef_D)S;GiN(O#5gFsfu6XNN>nzltF6s0Ki34zkR{_^o#r`D}&c z0V!dd_()naRxv`y%7uYpkE^z6lq?r756F_xBHVN+C*L5!(=R5;0_Ab{J}ObQ*~swL z;koCa{>_xA6i%L8gl4YYpC&dzP~816WB7HL4bwD}9eWMaNhiU-W{M*vAA(l|<0ZrE zcP(n@Ik*2vKSmO7nCLlnuo3PDkc3SLf<`#;JlQWAHMsXvGjAz8VEy?EKXg_#-jL(M zo&)uD=$hPv#SyOw0+`#zw(CR%Y4iWZ8XAkh9fH{!vz!q@E8hVQ?-}!Xz z&0tEHR2m7n7u+4dSF1f=zjE@M|N37)=}O<3fBNe!wg0sBo1?m6AaD_-uH|u7jR8=i zNnrv(Gy7M~l*e+rLY;4S8;PWm;N?*Ij!DrI;U+MY5AK#O^#S0pYiNDON~N0G%%q7I zG?Msu0Ub~c?~IXE;jSGf6ftk1%T3)8_6sEtA4uFQSnL4-9h(96WZO9zKmgkvkRFV; zZrgCJEFUf^j|&n2Hyk*h(kP0{!lLno>YZ;t>XpvxqLe8r{n-V?1$n4A8-MtRFJArW zzr53FrMJfG-k<+&!-_LyI%eRgg$Yxb zJNMDtHYTew?~gBVfM^NkgL2n=X78CBzkBW7|MP!rT-akC?b-O{!Z)JVHf3ZZ*-w5! zaoe^qBcv2U$u=S}5{yFPa9w0Yrv8(tiTShiTRC|`U^amvW3Xkj6QO!Cot94D7SQv} z1IIYn6zN|x#p6PQHUzlYa3gl=Y6=Iyr#4^Bz$YnIc1-X9FbV0$3T7DKuPG+-0H~(= z5HMg&GbmN8&F2~OB0dvYXW0zf-A z^<;egKfL|gfBT1;>pzB%)&00~?!SH0y1NBP1eOep!H(hgbK+=sr!N05bB@ z0_{%S?Z~bX+W-M`(4e6-0U-H*2oZ9mVg`9gc~cagZDySBcu~tk6wqu;UbSqmMz{lk z2Ho%+5RXQ_@O*)|x%e&RKR24==`!)v}-v+cl!6~_{(i>rGWL{pBgyFKRDME86f#rwyp z3KW!rna4Q+PpFQ3IBA|s0PE9G*V+Jb9_jS;rCpMML=-=WR`3NtaBN5T&Psz*wqS#; zlxiJB6bJ=so(4Oiy@CVBZm}k!Ss^fSz&ak5%jmbkRUR=e2aCf85%J<#KLjy%iutu~ zeO%Ac^L;EDNDNd&a;lZ+H^zl6*A{eq{qEcU^68#SOPi9rUwyasU-!Q`@7$i`MO+F? zVTc^RWopvhF^Z&d+3du4?C|={hmNegM6KYtx-~xxM@R2O7%qTC!ZhDgEWut-rZADH zO~LuZ44i+8KRCWr>e{l6^|6$}L+M#RHc%DA;6yAkd^K){UlGLa z0s;^_+z2_H`}ufLqi%KX=*HMsPHIr`&wcpmc^hbqe+1^jok{)`BIROxUuh}k`{%We zY}xYhoAZ{0;36D%F?OI<@A-tW1DKu8)*Z;j~1J0Beo>#RG3R;m$oeoAv5`tY3e$d*pcCwoU_}lTP#R331$&06wsYJr)JGW;2)_up$GzWP`6UH4|f? zO$-!<7kfyZCWnw(;%Q6>a$f2Da()MdkX{);h?td^N1zm~ahZUQ9pK}t)yRZV62$z^ zn@iz!K0C4&5v=dG8x_elbDkwG4u^6{VJ)y{YOP0LOd_>H(q>XDEP zB1M%TICXb+@3>_U^=2|Aew>vJ_uX#0Fz48%Q*9xWB(RYf8A-;?(OuV*7Jwig@eCvY z7LZIJkufoE#!?H2>?GdMc2PZDMb8gMkaY~4yZ9e1P{vJT?~2EogFD}=<;c8`dN?oX zhR#LvpLofY-2OWZ2?H~TF(^e^$hMLpSIZ667MehiGuN{D{X4B2E>Yt&S4k86pf=<7 z<#Um12e2&|(=ozdu0^{1$!I*Disu=yg)ItVPj*4rc{+R|ZZs~w5=-)gq>+ln%}gpD zL;7Q}zEc~n#UeyRxW#z?;b!>bRNRS&%s8>KX~{@rtT^U^TA2)ZSW+Q&90o?=7bgO` zSIDbn!-%2>U_XVpa9jf$=wQYDdmG+qf&xP9H5;A#y8*9gi}Uk|G9E%B;rMp1Ek-pE zsTR~orE_1!^xCN%5C_Mf zKt!29JUiKWEtQORFTEHA;lTT$^;Ah<0FudQG&OSgSjZ3}N_1@LP7)HB7(Y6Q_JXAOWEtU~#oB2- z7=2z7=Z^~TiJSqTjuRhQ+0R*L7k{2+OV}4QgE*<2?mDx3((7?9Z7MA8m0p|l{=WM#+VACI)4hTRVT+kc7mvMQ#WQHx$lIH&s0FKVhajx z*n;sXr{nmV{%R$uylB2*?nunAQWR0}OVAeaqeSQoQMul2#0-`j3;(>KIRpj9;lqP) zz9|Gk@n0IDunc%VJDo5nj7gyod;@Br644+7t~eOm4EV(ynl`_M9Tw)349KZN^70Q! zMj2tfh8GZ2B8!AXOOLBFC$@eWCByrhI3!d+l7Xpv!yShuPJg@<^S zPjnd+Hxk#Xz6G}|8bp#HbI6Q0%pRXjmb|v*pU?LZ4e??kYn7Ol#vltpT!Z{TlgI1P zcnzCSuK<5}qgEJGU>@iKZ@bqH7~?YK@U9pgVZoWnl4fX`wOcxw(M~?$z0P8MuMO>8SDXWE|tX0nQ%N5#8KvkakP0VrVfE8 zRR-dh#H;c}-WgN`VU8Hy!?%d|A@#|AWA#ycR!IQ}9|#8_3j`t+d-+e~C)o?J5^Mko z3{0UsQ}77VJTslWndH!^|7Y!#zEc*dei4KrpB>o1^a;=0aiE~9-8Nu)&}hUOX*a@+TMe+Vm5T8h#Q^L8f*$Z< zq(I;TQz$@sl%ogrz;y$Fs2F2oR1L|vN9v~1Tu6Z}4rmtSOuRSVjrC|n@_zCKI({+Z z{<3v+ukoo2IJ!xlGP{7z5IhnKh^HQlG*E4=^8cVJMz#+bPRkE*d?2K;N` z!hQUQY6^#I4<^!I?$$ieik7ad1}_WcMCgMZ(iTkQ+W657T2aA~%Hz}>6ZD>`P_t(Z zd+)o~8i%1lM18YoY(283O95z=NVvC_w-=Mk7RO?MTNFz`ncxUfo1Mu=hRp=DXfgpg zY9^w1ypf+mSiVF8$dds7r`Q<&!}#OtT^@uVQB5E?5qFTH-&gx0`zIhw8I-Uvd(8IB z5zB-N%R+p!I1x1%CN6g2h%xyL!ZqjarB_i~!;Y{qiW`uXmne;OOwW`@+F3O2j+qI_ z0A+#HN2(75&9~je&S6z$mmU3iZ zYH0^DGU3$6O#XoU63ICFpD^RYi$e(Of|Lcdb5P@)MbeUL1?xu$5bPlKmCpeLjWOl~jw?_G=&%Xr zo2`PuI)6N5@aj=dbb%>2!l{#`z7Z6j>NXv@8YP&wsB0E!4%SpAFS?fg8_JH_uH15w zY1s71vB8avt$i_{?80Ov9i6i;7TUP&-J7h&C?H?3Qg{eBu&G?w<)Bc3XSAkrf$9XJc13MwSf)o$j zw2qy-Y?S@uz%>zTe3A?pp)MF8y>Pt-pzun81{#je`D4T|nULZrM7igJxCH?o8Ccu^ z;GZa%k5z6!zu}%%(0Mc+J2b~$yBL3?cAaU1u>T2^n|Jve-<|71{4>#pjgFevz0axh*w z+r4s6!@Olf7`c>=e+&~Sgf$jzh$X_|a2#WY?vu+T>478`eyb%Rp z_7jQrO`{2B*Tm&7Ofx~&n7TPMgDMF2C&pd?3{u32}0}2YYpWBpq0eRV-Urj(Ce%jF+pRc zC}tAuY=u-GE)1XO+kJCJIEc12s}|oJx)VCL@dCRYgj-|jwhLE!c`HUyG+wp=&2Q=(BX{-oA8w)%A#{n{wobCZ~%17vpfEv4jziCgR{ABIHCg8o9N& zBMby$XH3LD*cA@fK>GO@)|)aSEG9mm2ecuqpKwVI1W3ShGNvv+f#le#>BB2>=E6CEeVIT$MD&S1 z0UX$VHbK&1hu5#z(aV@6em1uzb_@bW$;^g3&!mfP)JH9bjNOxkZq*#|KtMGGtByY!I1};E_w<5sth^oO-+Swrb zvId)vH^#ev4w(fzHQYGFxAPRzp}D+qDc<$zp3(SlOARwqF-_=yuDdOih(yAPNFp3D zQjw%#L=vOz-H~v3bmYoUbt7hk4KP5$ESzoN$h8B6OZunC7%ZGJR1usKDoCk-B$a|C zG7o(@;x%phYQd(rHyjw<{I9?Nu4(OeQReh%_LIi^j${8pecFwpwQ4o9TksSwDFA#S zBM-akMosbQS|jC!NWEfzFdz{S3J`=o!h;?qc-j^j0@oRAJ8(5Vyt6mlTYvug2!&ca zh7q=dUe%Y%He zz%a29)d8f;M2s;YZdF&#O)dE0t$+UNwSm;O72m$M==<+((cg%>3x+eW2~2y)-Po{p zU6XP2v$Vv4b+h1YiK{FFT-~JF+esR^mG{1o68-xRMmrvh?&T*z$3tx?D{6< zW|k~`^Y`C>8p>>1xp+Zn&S-CfzD625b5J>Dsj>=@&2L4(p8VL&{ z?|m0$eIxPIo?*O0(Kr#N9){D8#Nxq6qkIt4Lor}dBp!+MZK^r-^NJPgkKZ1J2u|Sy zjMnv73ZzT$50i(*mlzNTW(XH}T%us4Q``P&(Dx2~_5FecTkOQ9wToWaQrog}^cJms z1-ohUs#VKt&Nl8`-H<^clIIqGe3b?cVFLWW)7fgks;kmgTR`APpFL_U##h#lGsgjl zcSS5%91nmDwGj+!bJAYox{Rh0QTnGnOjE7HeT4;c*@vT`yGWukxRGAb{Lpn)ortp5 za4aGjKoAmv7)1DpFL6gf>(3{f`(ua=C=e_N7_7U(&)|auJKzMxa)}@iLx|Hy_PXht&oqxp5vIC?A zi^(gu@vCCmz|u_WV5n}8V-F+&X_ph=oC(4?Y@~!8QYsQd3>Xi%D3(hHw|o-cqo+G= zD(*9Z%RlydpI}|Ql0P&!R!(0Siel-*BL>Hfufr3tXqmfsBsv<2=shwJjU;#vE=r;q zDIgdC>)JMN&8jbcKrWBmO6{I^J$iiJH_KP8 zp2LuA+#U%$(s;qV3Tx{QCc|oWX~}51%?syc%dO#8f(5c*(krNe8bnoW&eEtp)jAb_ z>dThZ4+)|52YLV)g{4qRo*%-t#B7{SzUlQ^jyXD7sW#VDbAydx>OlsFNeiF?;RvvY zHyH!oMP7dRpP$B(k`8P_Iu;w=z(m|J-X`-Fo`pV{$|~rH=htB zgaHX81*o3Z`O~}zL7f_i-Rik;q~Y@UImc@cFWdRuxl=tU;vqC$Bi}T}jH$JS!o?pQ zMu9Q6=|-0%9;YYS1!(I4HD-gPTJR7b*c9XNhVJv!pezv{A{7m-+~2g9=ZlV{B$;p1 zZV4wL9dG$itj;6lLHO3<6z(k_sLVtRY_t&Y$DP z6&~yjKBE8-?4yT3{jpdml*~k9B#)Ra#Hq2znmCHhtU$b*34AqYSQL;{Ie!zri%iiG$LLi|dZCiw3qjezNA z8xrx;Toh2DD;6s#KShI7>*8hS4=+q;2p-ezHJ6;wP_4_=qPusE%ixaWr6FED9fWKE zubc(IHbN)q2Z(kP$){;70rL#;0K(u|0XrQ&`Nql6)(P$-JA$LLE8_%9NpM0WJ0;3uMks6SGHP-ECxAcwdWVBf8jktz2Gkb_~BQ}FC@@0L2K^}UP zPZL)ben0QW4=zXb9sCO()|d2s`W%k3RL=Ubny=0_gu_O)T7T?{(2m?2M~r^U_(#HV z%)fk}bRV6NEf6m-s}1Y-)Nynm zJW?sOtnM9^(+dop`~YhP0SF9hTd;)sR0blDYXSo#B;ZG)v8x+Gd~D$K6QeiwuHAjH zFNC2?8WN{Fc)a)3lcZS#ym!Mb@7 z_nE?01s+UUH@yL}6aod{R+yNFWFcSvFPjMbApkK3H;&%95Z9N2uYv@yetNdB0^gX_ z0719o(@X8OBjH@GeevK>D6sV5$Y`)&G~bZc6Z1t0en0~x#G@zxk1H5JJEG91iFkZu z|K@=Rv0}96M9t>rI}tQKiTz|h;^L5aiV^q2AKw)3s9A;ljjb4A7$SimL7(P!Y7{Ik zLW9h>+v3C$^d#AcqS0akh^DV3c$4{dld3P1J0LC3nMTkrLJX z2;jKBM<2d?;~FxmM+Sb_HCTUc(6X98?+JxCW?Y@HF9APFE4w$!Bl3z#^dgFgU>7A3 zEDh;5P!+Ud`0To$TP_`1zv*;OuqQGN(FhPB4jy9lARyoT{DMZ-Vf%$yn>SFbrIix^ z>ew~kGK)fj?Xy{PMJ-|8NK*pirNAOG-yi^ z22}=IPo#$z9RQkh_9A7|0`5ssA%0@-_k)9%y5mN}nnr@KQ4t-ecr=VJ(Au>laan(v zf8j!8I*uy95X%<`2qQF+P|wjFtM{~rP!};IiXB-z+khxY2n?%``M5>%d9Z&JY1~?M z3zWlwGoOHgJ&gb5GmWfbYRUg!)S0z4k)?6`6YxpqcIIks=B8(!ap~2q+v$-WZM6Yy zMMZFFMZ^srfk7lHXbcD#0x_xWR8{uGEP&b{X@38c*i%SSb*c*UZvS^Xb;2Tz%zgXV zOy-2w`sMjT{d5lia1Cgj$$!X_Ve&AgU_{&qn%WEdc0gBEBHi4*jN+9&s*OS4Mazt?ziX;o`H;}GcEvM@B|crEn`0?@|&_+jHn`S-oj ze+ep)l^_tOio_@=ST9Z>ggp1&>z{2zxQj|WXg~<-`Qb{IY0@paOHc4{hE5gNa|KNd znld}}hFa!(>3vgy|Kib`x$JPtC)T6&QH->~i((ivMGi_W@JsQreHDbNz`s~S)Prkh zPf0?_ByKRHk{`&2bhuz;kNBw-HO3A%ppZsoYvbB|7v9sbrrL?U>zB2S*cUjmr0nG2 z&d28BYbq)zYj0C8!R~5#J$A$!yH(w>fiu*sdzbF?hP!XQLETKDR`+!`r9dp?2?xUC z!$IgRTub@S&#w~-#D-iCC15Xzz&)!IVN?iV2e#kMg9@<4V!Ml+vG}epFr|&rWsspt z0U2N*Pq4fK0I);Y6b=XzY(gXOKWdg?I0dz(E?TOhT^&~7NwkUro{lzcJ5MUBDdCY<_-7POcP0F?? z>a5Q5VYfDX!o;CBk@53)E@q7=C+frWBja`PAdF39B0N9ioRt-Xa7LHm3z57{jc631 z1KVS-=o3&FEaN(b{V)So&?mfp!f19%qmdaawAH+k_S3hg>Svt*2l@dbKI9LjtI37W z0)+=qX*a|ufqp*hjh3!Wo}(7uJNkV2?jORoxBqbu^E0r0U0mlm%}>8~X1{deFnB%_ znC#?e)dj0Y-!kVgzMk@BxP>j6)5g?AQi@5={a*38G`3 zFCrWS4Zq9LwBXU%+Q>^1P?7+}0sr5$&YjHRkqV@)KS26?TkWR zn3Jib2dt;>RDb#9$qIAOn0_L^j+~_^(wW{4od~96q6lP0Xb!rh4h>2{EWX!S|7IyR z-sY3M@8xga_KZ+4Di{-rYyg0eSm=+iNde;mXL*G-A*9WXsFtWtOm`eJh|^bdWXUQI zh-^9oC;@O;SZBq2ig4}lT$!!}-z25ZJ?nE3?I3sj0&btL3}V^z_jmst%&NzNQsbu7 zhgVPsH@d%i>u!273yko7xq_?H#T;vha-*&x7nj@9HF}ZO+ta#2XxiW-eQZ1Zx1-Q^ z5~;xVw}ulz1Of!8JUd9<#KshSqL3Oz%5YUEUEE-9mt zG@(cKi``Rh)Qkh>6+vdx%^^ml8u09QToxc%zSAkPEd>K5E<|86#MTc za>Orua`Guifew9QZFFVrAtr3YeB7QcA?sE+s0-DQ)u`Th?f!+{AK}g3-SpiFP#|T{T(FM^JI3t0Y8A)%@XW=YBT% zqAirK?OX8tU~Sq*#zE%lQ$GlB2!S63Y_LF#2p}0ehyrjCg_aR8K&l)?$kv7c3I-X$ zWiDUYWX~0nmYGHbFW?QG8QQ~zu|!jA!Vn4X@f9}GKfCEz6Im#YwETnj=J=c7DY-Sx z6$GsprELgQuP^{>h8@VW8xsi;Y>5cgXd)`(&6>gHW&S;>gPzzwx7Lce!2>4_p1hDn zBzvuQ-%bQ3c=I6dLBG3tadJ8J{PyLWGuy74+gk3sfA9X>hT|(ZMn8xIz=jC9DoPRn z@IjGvnSu#JP(dFcUSl?S87qWGTZlJg2^RUxyz7oCJol!nW>0+s=gij?6< zt^D-Izt3);{^pfe=fkwZ{-MD4QhhEk5E^&w-ldC|CKqO}TpStsb>QOYgNNfI=Z7Yj zGP!IvolLFdec#6k5Hw&ZCLkXqA%;LKLXJ@ZLg>DFFfs2~mr1^W&Xh_dt&PpmS(eQe z^(>7_pr^^JdUgjiU>>7Z&Xq??9enXzaRdIu(oHQ@HB=%>RT$t0$_%bG$!;v&QVI28 zIR{9p^$21L65t4@1b_MwMsN~SsirP|J-N|;ENd$IG?mfAt_fZxb0O*;`L2_593f(A zrZ17qIwo+PG!3r{!{-MEht3V2yZ%g;0`a&>yg%@c=GzxCCtEpY%;cBXNuXs94B;J` z?@iz3Bs1fP%v67qz2ot%(c6j`)me4*c3O$AUM(`+nxw}1G=gWW0Ca;R#B=NB4J~W;e24oJ3lT}dARjXW>PoOCoP~Y9!Q%5(RP)rp`NQ;lnvlV*o82Y3S z767QS19uX(Fj7GRJJ64uaT3;D5d26LG%H^XNHGm%&`!OYIW<2p83xq?tJUmuRu3-t z=_mPh&q6*b0J*@Qfoy2^i3@;1AP~lQQQ!r+so}SZ4-u_(f&e|#1ANLH#1{f2fdQrf zC*TEXMd40g-;Wjk`_y;WRl00!&sS0QPAAH)r8iP*D=X|Pqwh2)e%hKMhqk0rI+hJ9 zXC;xO(~e0nXZZ^pD9Z~9f7(^tMkY2z5F7VwTaHlRg0dx}oL8PS_-@pJALp_X<>2rvI zblDKc?MC{C+(N}o-#kPFzT9{7ANSv9R@$B9{Oanhk;L?M75hsBNhQKfqEC?G48WU@5 z(o~`)`u5x9FK(Q;F@3bwDm@$e`OHtxj*L`W>G4)$ah%UjLMOv$Hy-@Qd$yvGS}ag8 zUAoYHwOO@HKsL{53ks5~x=vCU!2`s}Jm8T2238}wRR!Rr4TSLr;t}KJ0!d`2bbH@K z&UhII8hyw3=z*Je@?anc-~tAo9}*#<6=5hAdA_@PW*Mb`OniK-C<&?!UO}wj%S>R2 zvPZHg%O$u_*=ucnl|1m~^vHvw&35te;L*Pv`(cimBDr(T+QN8+aJF1hk+$7TeDA!S zj%lf3x{Bw7Zc=!NBB!RQ27#7*8|StkSFdB_*ZgMH!yw8IZ9Lc;+(ATa_FoyCD=kKma22Q`2Z#R^fQ z41lx52a0{L0)>(gPYt-b(^@<7djIsXTQ~nztNZs)|MgG%PivykcK_DwPlxVIna88U ztI zEjOSfFGP>TiNps=qiyMcol>#WTK;i!Ch_3KIPvOGCD(l-T_|WOola)~M71%|{O~2BPBh!@*z2 z7gqB=62?8C_XLP=M~RYHg7T9*V;#KU8#?coepq@q_1o~kP@}$?xb?-)GsiD5buxXT zTwRzeZk%OezJD@9oF1JyTX;8(%5z$ChL?+N_(9gRtjHVP$X6f8`DIJAm6()^p#9c78E@FQ2!HFOOiyBzKPxQM3{$ hx|`2=py2XMwigWv29!Aw6%rgOS{DAx7197H{|~6F?REeF literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/pout.bmp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/pout.bmp" new file mode 100644 index 0000000000000000000000000000000000000000..1e574e599402e86425317d9d2e5026f7cbeeb642 GIT binary patch literal 70918 zcmYJ+32>upb{2jGLI{K%!oC%uAO#dqKm`gY2>WvBrs-~O(`9U98{62jj3i_vSy&Rb zWh@)KFSs|~+uh9N&Rpisk_j^tCS)OGfrPCH`(Er@fMVbNzvopC|F7F_w{1z^dC&Ho z=bZP|uf6kSU->1KTwn90mC9H9_xpT&m2Z7X<;(N!a((SrR4V!K&Hs0O$(MXd<@Ke6(Ye$r2>{N$hflPf>vr~H)4 zPyMMswer(`+E1(e^q>CI%g4|789$@)RbTa0m7n=De`fjkSwHJ%Retu*{@InU{_3wT zA3x{k{G7_q{kcE4^7DS)&nq84|L6bw$}ji@zo7C9f8j4IAHV1q{i4b*{>8tz@=Jcn zFDV~i^EF>n`K7<~msWn+FZ*TX!*8hk#^3lGE5GSC{ie!q{>{I+@>_n(Zz&&d zz4cb*xBa%?R=IQMPUY_1yOrPm+kboI-o1O3-|;(sNBMa0;6df#!-tjM`8$7SB`K^Ol5XlANT`*pweo!DjORcl|T3g|6rxvZdW>;PNm!JmXBVqSNTJK=nqx4wzew$e!nsp z3@XFnu=0oh@E@*hZ*Nz2c6Q3gXf&$q?d?_m$RGJ5mHqww%6L4k92^`}4i672fAo+3 z(aInDV}Go2baYfXK0dCToSalnPfsgnXJ?i3^Yij?d3jm6y1J@dUtd@L_#gk{m7ANJ z%9AHgDo>w2tvq}7tn&Q%^U8}CFDkdUx0QF^d8hL3yYE(BzI<7E_3Bmm_!EEPPgFkg zk&jf~d+)u?^izh(T`R>_OXvuKKS5+%AfjEf2#8FkAJ-K;fEhqKJkf9 zR6hC1PgXwlsZUit{pnAakI#JOGnKFZ`meA2=|BCaE1&)BXDgrk+~+Ev|NQ4G-|!9J zQ28@|=Fe2V@P#i_zVREsvGQmC?4PZC(>Hxn<(t3xn=9Y)E#Ff4)^GjR^6_oo_HC6v z|L6aF<=emg+biGk9p6#;&hPxr%6EO&cUAtvU-%1^zxWsbV&%KP`@1XO^F7~F`AdK4 zFIE2XU;fLLzw%f9O69Nq)xTQ#Yk%#pm5;yv*Z+Fud%yR4D}Uo}{Ef=r{F{HX^0)rh z->UrWzx}r>f9LP~oyy<+yMMRx_x|4BtNi`H|Mx5Z;2->h%0K*v|FH6p{?R|G{NsQ8 zk1PM=pZt^Z@lXHhKdt<;fA-HR|NNi-^UA;Y7yqL2FaPDgto*Bg^{*=b`d|O+%D?$H z|EBV9|Lwo6{JVem?<)WP-~ao{_kG{@RsO?&_z#u;_#gjcIOJ`s+Sx;Xe2I&wcW8)O-2u-}cdi@v~>! z8?DYJJL>g1?PjB~zFx1d*IS+L)?hf=+dpCr$EW9K$EU}K4CLtGU_9O*pPilf`t0)L zcs$-642H~Z;0d<2HoM);Zl~Mr^#@zM&8@!Y*gqKW4F`Q+Zf*9u-FCCSvb40iT3xF( znyoIQ9r$6N(Ym^;3p{Ch`tf6qH9eIteNq1PRsNT6P0!5E<@4O!>?}uHn0GBKEH4wh zT+6Gi(?9p!-}Mcj`S25;`nu0Bm(P6sWP9-H+y30^@xeRqj9RUBchik_TFv#fwbj+N zTD@ff`h)G!Xz$?Y`1thf{OsiT_~@97ML3gtV)9ti7 z?bb${z(gba*3gp-2cF$J_-U)rsIAV=%+Alx&C^J=(QLLiTCI(4uiQdoe&*4`2M_$6 ze7f)7dw1{oeE+_`46w}7nd#Zt`GwV5y&;~}8?9!m*{H8gKPJ-s`}gkV^WA%QAKbr3-h1~aSN`uRpYGj@ z)V_Q$KRds;NZ!Q-U(L>6e)!3cfB4}Cua5?uo6mjrc5nan zdx!02llgWU>#K{4%hg()^jk5oot^ES(cU2;kIpa7Pg(Hz;9zgGGuj^R9vmKyX@8$~ znQxZM)oHig^#6t@&dkj(udJ@uYZhU3WramfKbpwq z^MiY2C3=zA|L*x)ei&uvi^s78Uy^S=dYzl|j5ADpc6OzI`#P!4qg|if-2BqY>hj{;4A1nx z>BkS>j)w1&=k8ngAJFZ+yLTga{^au0Xf@_sto#1G211|#>hYroiA%Zh>7}=eSmey#2pTQkW8S`g@PPQfd~pAf#hB=qUh}xA z7>(!j&r@sPU07N3Wp}GL zaKmn}x7FX-lfjQpF0U`n&o2b6z1^Li;nr|89<%D6{I9o;;+BJi1TB{=W&HU}f>9c*>!w4F~KiL721 zb2|N4#Xw%NaJ+YCdwbArCh@ph_4SqI6+%YAGjr34EJb)o@4-VkXnKBit;)S0`-T8~ zk7(?fmLnk9C;l5v-@Wtbi=KWrIm-$@emp%x=5o!qM`xe-#QQIv-`>7>{_NS)i($Jl ze*OAxZ;)+YvRZ>P=m)towJ2MT_K6>!>Bl6BHtqEQ$ ziwrzIdPkft)*A(i$< z*EiQETO0M!JGbP0^6pu?w!XfyI5WExU*x^yEuVV*;r3X{CGXAk#R;gfUy|>RY|BiC zeObsa3%R5vuC?k71_2s9tFY1T^rW=yVXu?eyxDET(DizCB`PIsLd^U;=cN?Z{D@6Y z&n?3s_4T#oc`BB9i@edVv{Y=HzdpEghwyhIwBLxO7ZHh@e}h!WKi?XTUVZS9XJowr zmCq0Qt=jO}^P|D=`u4J06GY}_7OD(W93{f$rkKMncXyA@PEO7)FR!jIkH`D_GA^_+ z>~;C&u)pQ^l6ko9MuW+2fGAdB0K14)eEZ=3oww*+c6xA!H6g`vTWy-(v*vjaGeiiMaGD`^-t16g};J zDHB?nU62iVg{5n*Ezdkk!Y$%PnNcNaS@~OctwMgpk?-(pLgr#1kvX92(c@}&aP`sG zH<#z9rzb3PwArj~onGv3Zk{}SwpU*U#2}rxu4@x=E*Erle0_8TJ%h`KB{S`B?`(@^ zU3S~=^~uZh<)UKPJ<*B030CFW8t(21<^-4Cf<}U%Ru<%r1X0p5XAaB+!NRv6MBXZ( z#R`Ov=KAvN<2dnydw1R{vc-S7^SwKFNEw0sr(EP^!f}W<*V}JTEj7BwFW-P??pC5IW78V!R>P>#zVR^AiS%*3A?H$Ouk}r$c-y0vnR2;J3ZEdgs zK}o{u#d>|kmIW#an<|M$#yAA?hEoLut}a!pikBCJ=aP(yIR`4pN5RaG9!rSeXu)Tm zwZ1ZI9Y`DZ0(Rd=zNqt0X6;_%PsqYGyWfL0;>|a5k!QQgKqQTuY-I zxrFE9&J&?o_r1F@=EoLoqTR>SvFDlE#_r8i^$ag$WH7*L``~D|*WEjN{$#hhu(;an zl(51csjy(@9p%E9cG+^^#VCaldA20$COrm05`?(D#O26a?0HKtPO-qccXs=L2LuDY zu=))~8gUEg13Tb*Vax5kEf7gaQ?pe-zRSLLc0A$M#`-FJ$#Wk9Rm?a(oSe*o^KSr2 zv`oMLOr(9tOya~dwbtxlk=1KAs-5xC?&jv+$<6iN+Tu!WBZ?}XyF1#=-`$-N ziH}ZC4)+t4%m4O9a&No7wlUluNWP$0Jau#8@orO=ih(C=_hQXM$+wij6C-cc7w6~c z*59PwI53PrJBiP+=d~8o9}d0nwrYh|mS-O_U6K(qR|57VV8zJ&rEwC*ikS&}7Upx! zuGO~=PIBKaNkVnd8XrMQyNBl&`|GP~>uSIvE;HWU4K7j~k(bk2q`kfUz5V5~(Q-bRk8@Djcb@D1>#AkII@Hn5kJ;CO|!?&lV z7gy>c-I-{cl@YhWU zp`H3_d;e%}v%Nh&J{SU48-3)&rk~|5BkxYkc~_895{<~s^JOFOq1&mit#u-2&m&0N z-5ki&iDU&x`o^08?;9~}j$A@^uiJ{e%7X}tmsn!Z@)5-l7rI)l);U!l$)MUS73Xkc zZRQ~)Sp*Euxce3+G9u?w*1_LM7zLA;DO)`Gcy77c9;K)mVfdn(My+#jGU~MYJKLMB zm9@r(TQ8wX%!zJy)akB0f-;KT+`{3QmBla{Yik>TyyR)lncn2|qU<#~#r zlrKfWURw-9xT4yEB@os*TZj2nx2*rt9mTdw@?(bVi>jiHq4H8<6)t=4x&eRV>0exceFJHz2bTA?EHGW_k4Xg-#AL*5)5 zv1UIGo_y(gLlVOp1dtau)pgZIXW(8sCGie-{D?XC zvyhQ@VkVX>>o?q?cU466ySB2tFd-v=N%BVAlt;kxpgKkK{zHU^REwvt=Snda=kMVW=RF9u5qVXCty*Ivss^BiD!(szk*UP6d@D9=<%;u!pUgEFY&I>g z^?k#o3e&l@Vudm0wdU4v|K$Ag{NnP0E${7me)87lr_ej(i$dvna&hU1UOd=>OSPN}plD`vK-x%|okQL(=q7-*3NrgB)DkcT2Wjx##N0LJFEbFUF zYt0(nFDzoOg8UO@l64|3-Z7yW*A{vA#|HtFzE@l_33jd7O>}~*gyzY$t?msrB58Ch ziI-)_KT&TaZmotBr`J&ANy8IxH9b8~xtoJ+@D-OryI?Qh2_>D^TAE6Tp4hU$MeM+g zJ8y|#$x!zmJ(^o0gyMxN+6Y0B0@{&rFLCr5V+U^qN6nO(Fe2^gA zb7ZC^0ZWYmRQkSpCl%3Jy+hY-hfS0Pn)tRz6_`@4BCwzNz34m^&{|Er5TPkq<`u32 zdZ*_WSF0PG&0-&&o}HZ^AMJCGq5?10X{=0x9L1ayk4akuE-A^k@2U5fs;y8dg@b4} zSFP!S4oT8$w~c9OG?0;rj$qw_XjQ5^A$6O)(Xb-dA6L0&S}rU{(eQ2@xZ7PP@Ah^$ zfr#tZm~#SkLc6NUL(AjyRelux!kQb^mDux~z%r@yrCy%4uu^YGyI$u2(S0c}$2m$! zPq=Tb&mk-yl-f7KMy2uP62F2srlwZv%*~3*fCIl@U0q%+RVe4fB*w@mc`)qn^15;) zd4mqbDDnyw0d&!B3D|Mp!(-{lS*=rV@h>=C#5e>X_d?5AZ?{dX*clYf z)MJzkdaK{s*xW&+OTyMQOO+KPpp`22ok!;h6rU=|tcSqgZ!9mWh-R3xPsB~Mnx0(= zN6(x=SGD%piBgQ1@_Iici!j$+nR?SYq0=Oz5}V1cC>F-$F0N zcM$1>U(;DySzVI&b(&VHwGCR@Ey-htF(&mW|3vEI$K(y-z)VKtE^EKF)oOOxUmu~) zfQxMqnY<~#AhPg!ejQL5?+LXnRaX&?d?o$n?(#^_k=AEX>I8(w57bwBYT&8# zRZTraT(~hnl6K#Iyr__Yw_!ODE@l)WKc&_ivw_RH>$BiQ>VAG34^}Ar|JU6+LXf+O zGsXClYe?BZgl%l{--ZrV$@_&uTC7%AYF*VqemNI;A&djQM9Cyf7|9iGvUqSx@xGQ5 zhr>mdTPt9BN9qm(!mJYHeX$>QBr=G9!P2cnE2(0BesQ?Wo*%1c)NRZw**XP@j@-W0 zv2re@O(HHC8VeB9+v&1QR_I3OpbXfhIToG!M`$0q%*udGz7DzUIg z>CS09H;ZP=r{Kw0WTcCx0=M#CLg#M+QTn}I&~F6a7J0K$2!aAjLJj!+z%tq{DofAU ze}++d|E9F%R<+xWIBQUQ9|;_M5FP)^ml!S zVvBO!fZyr&1^o_r)l0<-+%SKYp<@(#`yyUht)y*8v?y(VH0UC8@Xa&AMjB+H6Ccmj z+Hoc?lwUs8bv;E89_N2}MI{+rw~L)vn$!Gz`{BI@rB0BW5~*l8N$T!ub!AoEv%+qT z&xlRS3yT^vwMGjbZB_a1a&=`f@exh8UemGxIj|_(#fSYxO4}t)Zq}9xhgqb3IB6foxF;xNd3kPrQRo$wmR73EFuLxyI4mh` z_L?xA<*2RBK4w@8i)*!&D(bo2g_I(IfH-lVC^>FO$|!AOTtSox$NiRu#lAj2XH`i| zZdhy;kCF0Ga~!^`(fGjQ_xE-&X5=lsX_Qr%am&5h5vW3C(C4YC35}b}i>u3vi_7vU ztpR!-4?DHlM~TppaH8A0T-Oy7q6v=Z(wNke0T#`_x)v6+m7J@CQW*Y)rIq+I zzGCRsQ{<=sB5<5A3twVX?%4NABDEw0ZZ>1j@owv~e{ckloY08eo#-C@`pFmN^*j5+ zjT$GKo3-|H7^{>t%U;&1rNOKTd3*+ITwdQ?oL^sFUETPTw#L~B8$aG3c9+von)JaZ zS}np7_~C=dND8e-S?A5?h2@p%8Zv_uEiA28HLjMmos*Fw{_=9IxkVrWm%QOoBS(sT zVMpt;KtzUJfK+Ut-;(jhdvv|MOS?#F>H&R^ApNm=*`weQ&mcYV10_8wq;(bAsb0vJg~HfP z`cViC25s_=BqXWCZylIgIzBs%qGHM{gXUR?3c9%T-tKmHO*R6p=4Pj+l;(5ue5kke z#+n5woK+Ny*X~UivD`?C-rr#iEsDr3!eFsm<#~jk1T83?k- z6v>#~7+stcaji~KZ~h|=iPwAEZA-pjd6m4=vkUVpsn>ug4`v;wr=G!xNVzUFBhL|b zr^hO#avjodXJI*6e~C4b#TC=wl`Pj<)b2M zs)kU}C0ISU_aG2L2x88WoT`12g_amLvyO$!xcHlHJ?Y=@($dN@OQ7`d&zVFDJWQCT z+VC9tf6=Tm8U0US33zijKHSUKY?f}z?WvLtEHQ`pLah7r@=A<7I@;Cs)}jmH4AE8B zBtWb+PDQ8?$r-}QdE(OzR;28IsTu%XgvNwDM~32}49khd9eiBuEvv8x~)AMN#- zKJPu^e=-V12BA=IFlCSiD?RbEajMAvri>(#)(k9ap%FGM#7X(Zq?3A8zh%xV>*vBS zi1WD5-O&fZ>~+VeWRs?O~|m4r)GeX#7{K#lH*FjVwK&|aI3R2R~o`4Vv+fa zoX0~^+07H7#H&bKN+DCEkk{gJ20~2@^oHi@f~?o_%dWMRmHOsjkCAR|4g$9lp(1g# zoM5G@n8YmXLsu%_H3w=y%iO4&4B->&i=fFvsVJoy-`w}*?l4{DUR!a-chgwZ%}_|A zkV+dJ+PE-|d@e}wi1CT1NSp^~!Z28WLig&3tkk=A(6MTyV%%|P!?7CnK9-gMD+xZ( z5suUJm?18Nx9YCf_;;1Ol2YHT@lla88V&12s}a@hmmW)W9cIof&hGx6 z$-=SuowMTv9l0lEQHn=W({hMw(Q8jw*L#ubI;}e2G2W7nsfd|`^d^0ugH@O3mM074 zNu++Vx`-=d47hM>1v6VJ;1G2@InI3d{=*n=0%7S_5EL8h?=*v!mNR2zeVYvq9H=ZB z8L?>rT#0O~w9v}MYTpE{2^B?xz^oj4??ML38 z1vIbKTw7fR^o*b3UC_C}b15lED_)(lee;T_TrROUb^{X@pPw`BZf%PDzA5@A!8y_E zVYDf!N6a!koK^$+9U;B4Mv1k$=ALnqfyk@K)Ba{{rjqM*5pKP-bfw~yw#kpVN*;dm z6{^{i=uxycN0~kwi%0?Xk(W*&l&CgBr)1!~Y@nD5p$)CHQ?9Mnn%zyqK*&-kOt?Ef zHQ*&WivlI&Pbk04JTYUIAdag-w(5nLjZ%eX6i*Z^LSvh!OaF*c$*SFmI>EdynC4=) z2pr8TLjzK*n<@?lIBVN06T?>GXPIBpk`NuG7TA%nOB9hSYtDl=M~6r3j-bhuK~~UM zWR2ysNwO2=fR7hLN+F3&&J0HYfK3eK%}C3^iRs(Ro68KvU?wdzr;Z`*?pSqqs(2f0 zV@i7KAXcJP{$^mS7_?!BOuU31Dt0`ntF3|JZ_R+4sBNlTXBHbxUd|>GiZCO*i8wBN z8hFJq3!iRu(n~7dR3srUu67uCw+ul?M500!S^^d?0hL;;NvwKbbk-;kucpQAh>(~r7a z`}9Gq;K7t_!w9(C8Js5Cs?MBb8zAKZNq>n#dS>y6BjJ>9b)=o-#LXN9=J2S}KZl3@?N7ABnB8@{m*kK?Cd1%bUhJ4BkMyS248aZ`WAfb{@QEPk5VnT zV#yQ37NDmISNs>r6?ust_OoE=b<=w5HH1|Ji9sJq_U<@S3%bjVHRE8*YYooT=3CID zUz^xtl0@VMt=$WDwQm;#p*Zl#SzbLqSiO zW97aniY6#*0%p}>J4QY>loX}An!&!)@lLyzk|B6fm`c#nK#JU2sfw;xHT7onHnu%I zt!`LX0f&8W6E(g$Kntq1W6p<(MdCEhbZOO0Ut70Hs!cRbTEPD2-uYqBY_8tcPKMma z=KW(GDgMGjVy8O7L~(116Mvv!->zra(dkHV&cxEbMg4m^z>rJx-;30$MUR6 zv7$wS>m<5LeF9NSUA~g>2ECH<+KC%6=_2naEeCq?;9k$H5{!{LkoN~z#0K@lxB7nF zHxdVh2c%H&MNay)6wzKpbCyQz7NzW#}k*tusrX*~c zsVoR9E*z=JOX6rYnb&8Q?F*Kp5L(od&#b)`Qs&M}SP}Q)yeVGA9q~q?S6ilE<16Hy zOkzd7aUon`;j@xqc681oFYiaKfz8B?oogZ@`|`{;~#ZFGZVRD zN@I5{TH!GcM?$^{;6xl3J`IP7#Z9bo7eD{h3jivbfBt{`wIXimy-O+IY(_RsXT z$ZPQ_kS+6Fy_UoqsW%p0G|YW{nJ4lKGJ71Vjsjo8%Fe70_s_CXprCe3rK+G2*`eJw z9Tof@6A@#MM%s~``dnx`SvaEVBa!{?{UWYev&hUz$|np;BWOU#(en!Q7k7hBBZ^Y4 zBqcqu9V0BuRbFn;W3wR6@|Zj*G<2A`xUk3ewm_>GEw&g&E52(!DdY#HC)K`GRZ@G@ zyI(v%2H(@L%PypqD32-J zYtR%8TQBm0&K4ib;m1i`fH)HjIpRACh!B+}Pens9jQmosS9UwBudN#Co|>%>_rTH2 zhFGXDEB(#jM?07du`#}s)&_Q9&7^0{yA5a=#^b+};LNgxr-)lV6~V~T%MssXe}%g-RhH7<7Tt#gFWdXb9=&iOv94k1GA%NxNY;|Sy)RH?bT(n zh}&CRlx0iVpWQgdg)<);m|>GkQL!(2`R4HWoZ|)y#dUL^JfKv}30K7R5>axZ7TlR==$Dd` zbSo7nnW|r>U#OYp$;w^5L=l=*!(luSW{$x;bsYnFKxSr^jq z8QjPhQMTdlV;Px_7r&Kc6x~iuX9t6?favL|*(EGpq$qAg z!R{+9OcH18DqrvKZ*MNyoVBK-*i$YB5#`EHUHL{H#rizWaCmTW86Z(^knxMGlWa-e zf>HynkMWBW6djb6b+R46Mzbc?feWwHHiz0WiJPS(n;N-n-x3OjM<_gkK6!F;{p7}{ z%M2>*?QCvTXC7y3j=4Ni-@TLiXrk2U7?visa&T#Nt!c}M95WFk=0jXsi2KN>R5NJu zsmR;fw6!cZ=n8yzb3uBkO?M?mrT+0Ll|}X>P1EiDl+@NEDGuGiCXgRNs{z-LHVa8c zlxMS7B|coW)r;H5K2)gGUbvREx{{?kJh`ORo13R)SIv|3S1xTxI2>(v77fiB5LY4H zyZ@GXZ}CZN4r~tGAiQ*xYC$k<}*?2!b$Q zF#qOTbtT&9^y21e)ceFHBIbN;TM%e+a(FnbEo4e9>|MBMN>%?c=m~O89-f)8H!vvO z%w7pEUN$C}09^2Z1`nh+PT@H`4`s_o!dI%}FR}%Z zH!iG5f&gddm$F4W-Abe9?M`UzGig_A#6Qb{LlG-~4mtKoXNZ zQ~bB+ceQZQ7H=>SH^oZChzz?z33%G;x0hz7X5+sdr3rs73gw}ZNl-7!ghIF=P|)h_ zruQ!6`V^>O?Sf^*az#Yk7J&9yzLA_rEVSV>nS@skg5tq;RRsk`9ibXlY#-#gMhkZ{ zYImU>oK2d^Xx0n~4{DvCofmmwtl-MT=L~{GTDx5$Z@!#egWxpMR@ZIGb?2$NsDkV= zlY68`aWh5Q-0X^cWV9t6!6<*y;ZBq;W)XNi%80Fz6=iCX7iv!O@>FakVTxJ>=29?F zaL7yctbZE(;uj;YVewX@wlovX%*?LfN7MLdGz}3=n!<{h2_5Y&MfwDe5(y>rt>&B^ zElMLh3(XUjE7~oltPGi&U8vb~pvSUS>+gA4rWfcC>l1~!rFga3t{HMLg|=EayPkBL z1xdo0T!L5PSDe_8Y_nFg7a+O{AY#mwuS$WdAh5h-k55tG`l>jtM-1)nN>Bvk{6C5iwMP#WBjQKlALz?c4}8wyQ&EL zPzou*`l(Y8j+8j*;R z;fuVoURkX&qUUksZC4eAGX9Evk}4v@YcZ2z7+D@AaoPM4_bZ}KHpFap>g3HHJo>d+ zu*Bpk^J~7~!*<1+egl^%+_2CQ{GveGv)yyrWNtuHpW;KZMDA{aZn$hJHhR7() zM9s;>fJLWaEV0%FNJ<)taub=t>tthxUVqwxv`bq2huIEc`d}CSvVOcC2O@)QZnoDV z@67UQt>Msw-uN~vOkBUkj;SMykc*lX#-dzt{Ehl-Hs44`eE5D0nA3)~E7~YWwe?2}fg0o7F33XyEG88>$5__qN@5zqkZNawi6oj4B1jLO`b6Nwz{1>@6nuPA% zgk-axurOOZIKGf4OH774$a{E}Ch&n5raa3m^QW#?8_GxYVT=<~-0TkgPz>+_ei%@K z24`jw*T8JPmG3DR8*>FhW{>y%yBS4Vkk_WlfX3|XjD1^rMmhIHi!6%;Ozj?6bf)*l zYCMf?HktL4?i(q%yQ4!GJ`sZxM=4pQXqXdYeOm2$ZPU#MeeLWbWzCxe-j;PV)_ZxO znohLxGAGAUXriNEwI10N#Ob5p=1SyE&{))$ZfalcY^OgNO_rO${`qaUoQc_qg1LC# zbb~ZIGsB+m-%qStTS`|jZ9$E}T74s;rVCk`25dtEO6}r`JZUG#uB&PG3v12IetuQT zG9(!4Daeuw=Y?Iq$Y}(v^{y$jEWl`wkA_t}KhA_ojwi_u6Y?T2mGiFD*PaO8OhhQ1 z5|O>%HY$csgs}``nZ?dNt`u4pW3yN=?C$kwJjJuZE=!)*hl>2XNBc)6FK`eiFqCJF^yk1(zM|c9u}=d#q}~bFP+&@G)6UXl8$*nma@^~hq?8?N zVoZ?CwoPz?Lus;xbzmLw|ISKq3TsZ~ANp^3)}uWhS2w#14u}l}Eu(MT{r|hdzG=^j zK-ucEs;9QnL@!wzM0aAPC?4-MAD6J4GAMUpJg437HhTNIVX29ZjxHVG09fpo0wnV4 zD2dR5Soq7FMPsnp3sFCV%I*YbA(#YR%rIqQO@geTlhal*>e)$XN^VcZ9abpR5SNgc z-O!*rnXiq0@7*=ay(B8XG3WWY6|0pzTi}C?AT(zPHC~xqswkbbu-mJ1Ms->mm1&3B zrz9b{xk(P5l#!*x@Ko-Gy!~`JQdZdVu2d78tx58U0VCnc^@b+OEuWHCFDBh^z(W*@ ze{3vpUEP5R8eGl%qe-GP_SWTNipC%mHdZS=_gfUaw>Rwad(8&o((z)Lu&mZxQStmr zs{H7+$mexD$_)sZrA4yCC%p7l+jb}|EVV+bm!l@a{(1pZb`d%j&5cs^`Ctu$USo?- zW}ndr?{a;0fqp3Rs(Ld&%R$KqpQ;;#`gdGiTJ?l4YmNA-GMT;pa|IDio9opbqdxzQ2Nj`#<%wEz_dWIgWrz`Py21%<$ zy?9#c5^IUR+f)Ifbr$Aq?913tEiB&3N~$Fggh8|Ca?6=*Nr_$H+{n&=phq3=3ICkh zmxgV^oS&PSUCf5LlFn?$b`-{&vUgGk1keN^b{eaT&PTBEbFpfU%REiP5p1CJPVOe$xaL_Rq zWC|GbxbnzQ#cn@x2+!S(H4Pm=wk4Fyfhpia2BeCDkmA5>KMyDcQZedopG)v7q>U3g zE_iH;8E1B!NKO~0lKUY@)!S-UR~91;bBjj^7-7p^p9gw89b9-UARAwUIWXgiPCn)e8zW`udo}U~PcJvsNHZjNwOQs>+C^XH#aYp8wXv=pq>3{5esZB8O4-{kd=Bog}ym3lYWdr zzkSU<^^Sa`lJJ{xvUqwaM3sh~!&!ZT4fH$QNrT}8=G2^}P}6aRiZ*`|c`q)H^}u?K zwQ8&BNW6M=Zf-G0K!^g6U7Q=;zcuXnwY`An>NSVUk6$(IM2nx zWEM2|e9#v~qhAecd0up5%+fch!5>6&AC}lRfN_Lmy1cS84Em7WjVGiX0JjZ$3e6^Y z>xjUa6$DvZTo%tGZlHsflZk~ktF^*8Luw)&1CNyxvd|O+O)=}NnJv(!TVuC$nrX^t z_`Y*Wie@2~xp}E9+ZZ;itHeW}@@X($=JtYf)J8S4lYoZJjEmLv9PN|IWNx1Q?H-bn zA)j0U(Wx6GB*|*fKRi99B)sX9((XvLq;xP`baQicMqFD4>(zQIx2;W67kDx#YA_%f zPUmmD!+BiDg^+n6p))v(iFOcPIb=%fG>=Xt+i16Y9=Wr&NV>oa-31ZrLG&8{K07;W zx2qx16`XFHBc`W8qe+kOEY(`kcG=qKdQx}G z4TSC;UFaYg<$dxDKYV_oMK5|CB2TYxE{})Z?eWOaakI59@~kW@cliPPUT+SB<^*bO z!Cotq(A}+F0YXs{YLMsYjWT@)NjacOshZlj9MeL({YH{k$+!h?khy3(77byQopX^F zi1Z@KyJ;_;S=!{>>``+1Qd$^mx|7Q_p=#UaBDI$=9->|UG_vN=r3}|SNsLZiI&{L) zd6?$YCr=Qk0OO?2EZYz+_d2beuun=y=T}s1R~Q;ylwj8yTO$lV5(HZD4RO1NWC8;q zy-CR_nC>{Xw9MA&d?&z`v4PXeQO$901zu#!nRImT!9CxgT%V`#bc}hyv6f1iqF{*E z5Vpg+iZQDxH`DmcNgxX{n8_T0n|_5h8NQ^eA}^6c4+fI*0ZBMhDZ{?WSf+V&=9Jwl zsd1Iw!GYmSof?fyERVc$R@7SnLZB;=BUEm|=iUfwbFl9mSvwA-->7~!7p;XnGX$;8t*(5IEX^8}Ub8Dr=9vnW)ke0jiN2unPhXF?@P?z29=U zUGY{RBl$OdeyS}sR@9ylZ39Y2)gl2vJn+L|!WD2E5D}S^PHp4>wU^5=1kTk6`!LaO z@=-3L#zZp16H$|pIH-hlut(mjvyeG~exr~%mq(+G767P)dpyqZCauOM_}E)-5;X;v zPRlXI<6s1fteKAKU~AxlG)mQ@nT9G0BffHU;Lfe?A1T2`&1LdNnc4^_EZV&{;jaL! zh#T4M<~O#NiYN@dD$-Xe6$u^zxV48B>^7QRmOWgx(>RRVrh>#QscXAwgcVcQ%xoBAPCzDX z1Nq*xps8!(hhF>e*peO%TbMaq2=^^x4Vl8ao4`CN2qze8zTVl{w?iPxWtn)hl8h;K zThimhs@;x#vh#C}N#HGIKnuW1NGisc@{zQ38&oOe0uEXI_SXI}BJNCEOZ)CD;${5v z+C0^%;|vcDcedJn8zSlk$t9fiWuv#DPl%84LWdj-g>GycXdKj7PG)t8Mn>?sKkVrR zQ$MCnp__DZXxu_NO28`qi`<~#oWlB8Q-(1YjJ1SwhB0!_DFL~}WMY+1hoo_A1;%}S z)mFxp_7-_g(;H31aF!=&u*pW>vEWo)8&uTO zCJIt8bUWnDF$=DJ6Pw}&SHdDQQ#G`PTBz&m+)R%yST8hd~ECjSap zIR-A1?ssz%PkdKe%Hhwmt15EgP!|hXPgpCH&$dNRWI4yp)t4>a=GR74nK4z z25|#IEpdVsF__6n@U~F>!S0q3i|eZs_{Dsy_^hB93=f%d96wgyv1f0|*_mhu;Xjm( zlEAr-&O+%8Fqzvm!`8St5E?0<{aw>0RQ6)aTRA?^d9F+l>qX!rasOg(vnoD|OvQmG z3clyaoJk7Co)dk(wQsLl8SI&Kj+LdLOvYpq7aEO>=w@$Lb$QJ$;GMppVG|j7QvmB6 zpg<*~tn$978ZQNm32lnw&<3&`$eH=&(>L;FNhBI~XHl8RDkls;UtqithEz%_E~iv@GH^=_QG)HY9j7~qP4cg^{OI>y*?6kXCn`&t zTj(C!9gs058s;?RP{oXGiv5^)z3o(5T4vRSwas*g-DmQDM--LuGYT2tJ*f;$;>Al5 zUX(l>@?8gzo@1}LECRCM+fHUZGP;s>SaUrUZB7_T6YKF}YEz!N-a;uTNzrvSofB8a z704XexGs977Az-lhcXQ76RVNe!*P!{9CRD~V!Q}E!B;gkf~}a@>zbO@+0WwHX~itW&?u8|!S%PTU11CtgPYYsX_)^yDi#GV85cn@n%4vbNy2Z-&W-^q1w3P^%i z)7u}a)5F=kd}Ytx<(rJWv~b*pphsec_CodI(ut+%f_HPnE- z$`-WAif3p>2x3PEP3NS5P$AZh%Bly2tZo^%jjZKJk)^hzpNgI9qt|qu_$#wgJ!?ET zC~3vZ)%~ltpd(rI3*AiQE%BN6rkg0l5al>+uQUl2gm$BuFG%`@Ax~I(zbEhbi8TfB z_3u~pltU_Ut+|C%*dZVF5b#S|Mi-YHO)5ND_XOqU#+K7sZH5X6K>?K(L53~7lFozy zhw+AEQlwv@Nx&j*@bjq8AF{m|!;$%(Iv)%)ic-`EsR8Q={uuR*+T5&mOU}`oUtB0h zf-%ZX$9FJjakykak9LKh6f}@ho=3F9V-35yZdwVp!r`yPXS?R07`ARv&GR}$Z7DF- zbBkIF$&Q#Q+t?ANpmJ}0$+?bM8rn_!venrZ^4wu^meU5*rp2SY#e?Q7p6GI~v4oxv z!eZ45{;DTH{JDZ zDJs@#t4Pc_N-eXg;TAb(PSG=jO)S4T5=1Uf&TlSHPaPnvOdLvd8GY$(MBbI<5HGWd z{i~|<$~w=BL9mfgjMJOXSbd_vUTFCADt5PyV2!KO%Num8bAFga+CBR_p245CV@=|^bIXf( zRt5;ti_y*pbZUBgYkRMY_|0|!8kT`Gb+w&5i5pGf5byzoCk^Iz^k$FF=yy>V*5a+r z^4|%g^RW-!dr9QI-twXylPjwYhUsy<_YJfvM3&%Ur)0hBFps62s=YKlz0@4+-~kXi zi6(5n&@-8);t!w}gh<@fRqmLgDJpbBvQfxEsip$^51;Z2na#GlftVw&opd z?Fyx~y2gHiv`xb)lt95AkZl#V*OeTek_zZ>V6g@kc!lLgJ&<=qHzL8zZ0mT*8{H0=rW|Z0IM7b=5jPCJ z3I7~6k+B9=M!~4#%+5sT2U5|^neOLBPV9C$YEDzE zmYp^{i{Uv1vuM}~bsRFakMqj{I0?okieb8f&^u-6MIW8+HkalXAn4iU!9v=<%=!L< zPkD=!&wT9leh0V7acpue{D39O@Zg&w+5&hxfvwHjva>UrtRub9Hb;*=$-L>)NKVLN z<+6;b143S{?3}S-LPPOk8hLznb#npvT$mNuABjPI1s1x*e%EYPW6$yikEN98H&Zm~ zaZLpoSI68bco0qKU2)W@*;_kOL0;H5ESYFf`i>di(zwM`r2fr`7FuKC6=8FE-=NQZ z`sLY%EfHl;w_A`uP(vbYDRL~9(k)vCIyFM}It(v|QdHZJn{T5gZO9N4g}L;P(%f{g zH|qJ2yo}p~h7x*jtPO_RI6WnAhMV#@zh(2OS+bnb;{=MxH-SA^)U-VzeY{cGh^la) z3AQ#wFRM{^!5&h0lL*(2dWO zt?K2tCpdz%`5X?~OYAu8x3jWb@ASKdHX$F!%5>2J`_60*vI;~N9F2L9*J*B63y8*y zWb_0IS3evKw{`(&086d8mz10LFW47t0P+mGMyDe3(lR4l0tD0K60^+D)Is!awb}}k z;~E5>z&El1P?NbZ{z}o4ES7th zi~)C(E2Goo##|ZPV%A%&<;7JN$S2IVnMSTLFUu=n(bG=Kf?bQ6kp$|S>>6+Q$U9%Dnf{!W*oDCbo zRrDK(#?Fs!ZX<6*)=N*^Ivr+oA;n+nec4F;d+*-IKYKe~6RT{(6c(3O>XA3kklk*S zRzUHnzYg35=a@C)Z<#u)cfDPTqkRTtHYbl5qJd7%%1-1R9e^AMeF{IGnb63w-zs23 z=xL5*SG@YsHb0LJV+`PFY8qE?-iEU-YxNwW02nc6h4!jCWn*oAZn>_jPT+EbR+PhK z4@{uZaOe2Rix)+|G;GQ{?bWU2MKhjp40eL8OMJe6b363jK3c|Uv%yyZZT%7eaGqxF z6Ssz2HAj$c*tp(NfIEA{som>!`49g#vFEbJ+j%D|;Yh6q7#9Vnl1?d|p2*H%TkVxe zSR*s3-c;CnLIK5%G&XX)W|DjA0Pw-tTN$8H46lMYO$5?Ht;kyxVm7N3CgH1~p5f^H z$@Awq9m*L(xq}d5n|dXpRY8>H;BO*7==XDajCczX`wK-#XjZX!NrA++-PGnYHwXi7 zZRGGb+rH|KJm1;JI|XEO-Nf*19gJ)ON-qppaZ4GK%(M{`m#c?HRW2E`^-HwbJ3dni zvLh`8P)D>}SZe6dhwZW-e9+nO?nk-oLw1%se7{<@kW8rc(DVLMaExqweE!6nft=+a z5(Su}8;(y7Hu9JWfKI$3?ESYNWLZH(*)I|=t#%wQ5P4nx(ecxA*Ur(MWUlFPxt#EX zYuE(J4`a{SQawry6axk(?3@$1vEGrF{H46)&Lj-7g0$!<#lulf^D7gmt5l1(Y9T1a zpIw7#${Zzez35y8>NJ~~IS14;UG^Nz=LvH$-IQbF(<^;AN9mkir{MIGXZ!0W7Cb&E zHT)!Fw$*Gw9*V?9Aw|gLHT#=_IA9k4=uSH>%z>ktp6GB_S_aBQ^S$dP))SeKT2hhR zHM9pvWvhFQUDh2;N)np6aK@_ymu>phdfT&Gv|zEbBM-uF>dz>4<%hoWCs~4NKCc!6 z*p%18oQ>>uYk>DD9pb*>1w|<3LfM`b;~DLrUO##2NDloF^e1`G&WGk6B5z8T+fWHyNMN}|YQ-r#MA1d#uZaf-US&AeCbNgpFI$eh zri(hZ+0HGtV4i>pICN;RwI#7@b|AfzOR|xDEB3sh8hIO#L3Vy(gB9e6{$Z+H_Lr!QbP2dc{i`z`G=vBBAu4wh?~ zp0lkT)6v>u<-6O~Jbf|EHv`k^PWL6%J$rfuJOk;fFx`~uypo=@Igb?`o8bH)@(PWS zdD)Te{Ux(@bLD*v3M;eQgIc%H$p%eq5X+cuIlhQJuQtojEGU)cPgo@gaK?@)vz^FrlXzuBfkhU1Q(k0EV$YW;cY`v)VFUdBX$P%TZUxV**Cl5?b33h|XD1!eEXOrfo)gy0; zf=k0&nHN93v^DhVU>69wx_)-h2P;wvM!o!3yfV#@LmCuCDFo-8USfMXu!#_@jfjg6iiq+=@79SBzE*Uz3mA#3*V9`EM~7>U4UjqGgIDD}P%K#Z7>^cH}g z_Dl}i#F|__RWBI#+J`)Dw%d!P)F-TLG+WwbiUr3Oh*k><1&jrfd8rH+POt4AU-3*W z>Hvhozey5dqvRFQ8Sq(KZ8(yS733Jc*t588nC$rY+;q|9Sz1nf5d6szm{$MP5hcp$ zu>`(r*|Pa=pS+isAZF=EyviF*$;4-!HHkOgD`e3!{k}bU`_wymYgo98yzuK>NV2J!H8Z=1^5kIe z)ZAZUvgr$@OMjQF5D@WvGXXD@COc zU!9q#k@Bye-rhbb{_A+GT_gL{3ThPoewqW@ip!emJdi3d(dByXCvC;G_2rLtWNIYs{E z<&)>?-$ccOv+MIt3SYRHW`So;TH=)g`0ky>AWS=I^Tw-T7+iBz$zD~kUiDh}SmWyL4G;E}ma7IF^1DFz!aFLjW3jsE^B%<{c3`9E~TDCkK_9U`uFb*!%1mlIrM)YL5uU zP8xGdrN=Cks!oJlt8iN6#prsBq?9{`0Ii`#67ai#Q6O7<*O%- zbrzw47Gu<BiDL)q`c3b<(9O1f>K4U7@4WRW@D?2Qe9o0ilfPq z@~X8JoHWEP&twp(GoaK$1>qKX2RpG4dOeX?^Ni=0*H50qMVA_MhnJMZCNDxmU0;*e zN~X|_Q7EhW8!GPbyH(q6F7yNi*e>QbOS^Ba=z;) z&PX_mzbfwpb!1M0Kn9#Sx?hu|$l|>!68mWwORkXPzh~_78ai}vx40m~VpxRuy`0e} zKM#9**=(0374r>PA%8EQ-M;(k{r6tKe)pXxk(WxGTjza8^4^T(O#)8Tn$p~du26P3 zp2RC!jdmIKiq?j6Hn+A@+?7#6vAQ?RJCTQLW2Md~$J=d5e)>T-mj~!uXISyn0L(1G zwZ+#I6f32$VEKSF5I-dpdk#-O+Sxw@t{|k#XV0G%d5?;`7#ShgnS95ELeNsR*`qj{#wtCKL8!byDhJfI5pF@xq6d~{Wu@Edu6g{!mS9H**carkRH`XabH%! zm^s6q8o95=mB0y+u1)+S^1gT`IvuKxUfe#9eoq}z9w3v(K_G`0GO(qtGBuQpXSbo> zF{Z3Yc>eCI*RFS8JiW@X(!<3JvOhFlMOattntms{ttj~3y>%6@_i+lZWkXTUMK%Ir z_d~rEwh2@Sy3*JR&zR1iywJ6Od39|76~VbzS4U(J&I749uw*LUA_!T6mP!t+lRZg2 zR_||lrxpW=x^KqN#9B_Su2IPG);<1v`{KsI1Lqeh8@RZDo-G38g=+-#aM@?E_uv#; zKJ4{)_U_B~U%r0H@lBoVY%b{&VKN@E=Q18J&x2#mg}m!aTQQll#z{<*!6lr63aP!=IoI~yar14-FIit&n5VHW`=F{sn z4`DK}WoBo~OFRjadKh`VLHgBMlA0rqF5sBFja9k`sl^5GWAv1}pj#0!Z@IwmpS_Zb zZl6Cr-CZp$6FnkUOWwFHS?M`Ax(pL0|2m%`6%hp|GTVESXccjJ^in$j(KsPaUFria zxhQ!xkIZd6g?L+O^@ujqMx{o?rx27Q%*vgkKg29i&8nsig^#;mX@+C;h% z{Mpd#(`QewlUpp+?K{sfj6ku1>5V;0*f28cf-FGeHJiO z$&fuGV$KD$<1;JuRCGHzljVecr2>2?$zG8zVgEAik{8b%`J}VWj$qN3FK?e53>S@~ z@XpD5|J;{K=Gs z*wWK}kayEbG75TD3C~VbZ|Qe?zeNOlsekiENYQYH2{c#IBsJWYn^P0zm|@O&d1_Wp z`fc}cbI3aPqMcxCO+Su!3N_+np1w%w4KO7i9YUSLdZO8`vG1f^G^$D?PI!tkLPy-( zXo&-eSL_+gP)}S7MhB{e#42dbZ&<_^>OF0QC-i!CnO8|2cvxR3dlMpOwkL#yy!*gA zgaQ;Pjwo7PnDQ5yV@bR}o%gK*tYXTHS#4xvzV|^20f2{Jvnj^Gi`{`@N2WhPwWTAU zw^g?C@;-cVqGjeldUnhCPH&z;NhC=A6>EXra+pXd7_vEhC+lWJmSvm``x#Qo_AA)` zoIO9iKG?+rTnq3AD0Q!Kjr6h3g|0bN>z2hzY0wCkRk=IvBe=08eowpyjGlX_cAY(6p4{FL=t@n)! zV$!is6nru?1fEWZM2p>zX9-uC871!(fdLVP;kGw)Jsj-dOH~8H(CN+jPV$lc?I|gs z=7c4U)bUa5S$|>Vy^Ix$tXH&p_Uua5I!T;z;^H&8TD9Ew&Q6ooUr}xbG+3_ss6?wE zt0bnZiEl^sZ{;mYqS;97^#3(Oxmw>#83!ZQ`I*7;U+*cR@))BJuW#&*J3fDUHQI6vr`kZE z%LG2Vz0_gZKN1MfM^1H#cE!Zx+uIk&-wXXN8XjJn|F}NIR|1Dq@mw0CmG7M4(3uFhYBt2jq~0ZyLNQv z-C4&6VdjA9^W%X<%Am40&FSyh-zzg>D8s*_pR?)6l*M@1QodfixaONO3){Fs7x&>* zjB{BLX%@|Hf?#w+%46qi=cyzgdG;wQhAI>-DsR|hjP(dSE3F{*LP%D5(z23VGm^P(pUMv_8>6P>N6skMS9jhD zg^_R-n!WgMG)&|G4rHvwDSVZDM6`L|e)uSZsitz}YCF7SCqFRH?`+lZqxe+GC-P=A zCY3;tg!CNfsP$!Ip|`^@f?`C$027PYcW82kv0K|jeD>=7_djxt8L%CnUJv#MndC?d z*!k<$XVh=kYG#rw>j>zO$gf~XRRO;B>CKtg_WWJdALD+0vHE5U20@}*)=HqaBKV_6 z&}0;xy)I#7iBy6!^mV70Y;>&Eonye9>_)>m8_F57Nz4i+*6xT&_N;?Izu0)uN*7#_ zR`?o6uaV#X3^i=nvucmux??38nw+~P=d&xl+1%CuefI3;{r7MDB9Q9oMov@F;uPJ* z5AW-{xwWz8ZFx3&*(VNC7@5;D5R#eiJI~PRm)GwgnxEgkx*ctlV}FG!at2G1Wn$Fc zYtZ}V7Wgb)C2A2YhT!K6Kl~h-9FU$unDhGVEmX{@5>tZmqgZ>bk+VkS;DepbEu-rw zDW8%?>_IU-tZc(NrnJa(Ld^+c^UL1KJ?{hNNECsrpZFnJtpas{RB!#a8b< zcsmK0yq+(TCSaLgn9cDU$;@_K<=vi0Yv<@=iY+H^+KV;qFp=PpH8(_Ij@LGWY*2)} zl$lzIHS)rYbmXmg61~eQOjtELNL%YmEXkoS+2&W50UGs<{j=-a+gD%s!q>evZ9v7? zN{2;I0O04fb9xx8V_s&?yTN5XP=y=ba(wnA1oQ0^qw!&HLT4PWgka4P^ms@60&}p2 z4H+VjZ_#h&icMn%YJ`TK4L!;36WYY9%+IIC^2O7xokuyvtf)79M?kg7+0|-2Zxd{O z!&%Om=?-l{>hKtPTKc_A+WfWlhtZ2(L-TFk_V}2OT>|Ut-SNeX_dfXz-~NTqeQ1BT zoXl(2O~ev3Hib^mD%NSLxT#tU)EOGA#hmZ+sZ&N?^_r9+_12#?p?c zT`^>bHKv$QE@|LM!RZ<9dLt(ChH=&vkYX0x3)w})Tz9)564|LFSt4?q8nU--ID zzc47OmbL+8HG6LGNS4(I-Nwd7vmRoFD{S=kgEcNsob<0{64C|-c=O`j*RNl{xNOhB zkQ2Isyb)bRG@D`A$KkK2x6sc8Q;O5N`IGUhED>wwKfb4&AnN4wP4D%Tl+5wSTSl>A z>byZss`R1WirNS!N{mXB>W39i-I)3MY)ipa;^KNOrznLga*j#O!0!7Wd;k3>hvRk+ zZ+>7FCY`J7&TF=^Kf%n`|JT&LxaM_Tc_04+hXi8_8)Ix?8A-^xU<(W1up^u(#4R{+ z8Yj3-;-n2JnU;Fm>6ElXhTcLmCB3`j6TVpWMHBK{3?{*5}0+0_Q55%UIFV)f|vQ zzM(Rx5(~0R6H|oXCr+WPos?%zh{SZ+%5$Km{p$9(O*^V*^DKFoHcz{D^JUYlIk;6R zkZ06Zy!eu(>8dIjq74_7ajs;@l!qM?c`1eOK7L@c+R0|Hwl5A^C8}hy zxeDd%P8KeVHb{Tu9+f6jWmK! z#OxN;#!QLF>S*#C1Qo?Z&XuJv4NxgJ`*&&YNLciZn?&y1`75tJuxKy0&6E}iNcwJw z)zUQ06f>@@%(`I(32ZaH0N0a`Kl$!=KKRzR-uvL=Z-4Ok?)Lfo3`e5t_c&K42a+51 zbnditJE0nq@i>R$tmR}P_r({D`9enIP~!nD+eXtj_7lYp2B`sJ;vAB1@83(r43nz- zG)~eWxD&DfO=bzDMRV~Nw>gv`7!ihSwrp)9lw4y1&YoSrbMLN|fo$Pc;^WOpWgbcy zNCcYn*?cdnHavRgjn^#v|EfBDBPODw_docMPkFb=_aRT#lnO&2<3cZV`<&saCF#Qcvl&pvZyJcvuE0(^>KReuCSH@_^gY$dWYy(#rhhR|@ z=sBLD-1&eabKYdE8byBV0hjdQJBl1W`uM|d^E2N6*8MxHr)SzD#!mQ$<`Nq($fE;_ z{3v`peKw?Gefz=X$xrGSe#r|jY;!D{c~ttwJ9Z+#$B}0=w+RxP!a}awO!N}u+&Ca@ zUPbVVYS#^+R`<|;ePM^((xwH|^VzCrJT);SiFoz%q-BlYXxGy7Jx=_x6|z18*2I}<1#Qb0T7=GfhM~# zzsB?_GG;Y=V%NSp@ti$9R*KSuA{{_+R#ddyT4mp+W0kxqU3CBcTgn?AziHgqsDjjx za)k!OKKbqwiOBN^ZCemA(6KzfaDslYKr1KD@LL+z#^A!8$xcRgAw&5bI93EKAL~8& zSS}QLwptf$$0DUAd8qF?CzEuLizI}o^Mi^5UNg+8s)`$3t#FeXsylCUXFsoks+j_| zzc;)1!c*JBi&{VmgV(|6HAHJoktT_|!&p&csc*mY-UmenJTMAlz(L^m?T^3v$wwdD z-&=326TLIm2W>MjC+x46FL4TgIS~8`SJ=6}EKR(PUVLhs9MC<%;+a|JD{7^oGq{44 zRz8T}b?rhgt?3RJum|RiZQPA83^*vcIov!#8NJ;E7 z6D>uc6&@Mc7fg?rJ&+)qYOjh{gHV3-|DLFzh+_w4&S>s1Si@`T38oz?lu_(@*Amnjv;2IKxJE_(fZJed950T zT$$Mt8t+N7O2|nFHRws6Kjcw;Lv4O0g=g$(IM^8e*wYilMmH=Yf}VI5$Mr#+H6Ibh z4g#GU60VU1L4u4s1L-#84LkNIo;<&qj= zccivSgz8;WT^?AG{^YELSgoUBQZMWg-8E z?^$P|`S;6G^U|-_36_}MfNKipSX>Q6npKaHbap76?v;bat8u$@kQ+3oaF?XX&84>v zKxIM@W6ZeM+KpIF!f~8@g_YsM+-tP+I!b(3)|}h%w$>5i-5(>aI$qxG$ToO9?2fFem;KU4< zNxIK~TI@Y}yvLLD0%~OK5;-I#;FZDm8D%Va(36`@fuT@Nn6>1}S~f??3V}^kCCe>x z@N)asSvo|wY9!uHxzpvnXq2@@?CxxVy05OmdUcZ5+j}=`$Z59Hqe?%F^fsI=sSddw z*i))R#B2iMf%r=qGfG*Q6JeK-pUU#2;Ip&#txC3!4;Z1|`}FA{)*X?AzYDqXE`hF^ z64Z<|BiNewa2dVnZ(0csMvbkl3tV5d0&v^KN3dV27On|x8N&|q12`+XncdqAH^`_8L{eUUvV z---Lkvws%*JK_wNsMRvPyNIE_o0SP0TnL<5V4evcx^B_Gv!Eu$#fK8}xLb_Q2{npn zD+E*Rl=!!TG8OK)b=|Bm+A!h){PlcDC5vbMomL2K(JroXvuw=lYO9}(jcrTVsU&?% z0jdJLYPb!hKYo04P)T+OpD@Q}tQ{X~#2H)>?+`h5s>A6$9#V$4ZpNC-FSJV<3K-6? zj-(T3!EPkWRbfes252d~p?_TKt&Xu(qGfL7_I4A}GGiC5ifoRUIYYS}IT|-CE^!RZ zEjhsSteZdHZltyjXc694oKxiE9*32YtPf|L%DnhjjGn&Hs>!b{6u)(U@6zO%s8qrd zqfZF~^C2hW45{Mx$|Avy6H#y3RRoJ}#wZCGW^ek1+!JYMa8|sBiG-+S--Yw?msS|F27i#%gzbaWo>!YWp_YOMhaEbmx#LRz<_##E!3x>cF&(T#MH>N4O64nM`dplh}iOeNVS)H6qU zLozfxIanRHIanby{awcQ3#EA6r;UYIFB-K2SQX4RKFFW88YOZ~&>R;8PYZ#)bMYc( z=W%-?9}ccwR>A_L z4LGrHJXS+fuixB-@ye;n&>$GSWg3YuRHnRyw~d?-fnH6%xEgyo6v*XLCed;FQu*h6 zXCB!LXK1Gu=L{ey_D(LNfC)vQ+`oc{1U(k>G0BMl1ELXTdjcfa={I{LM`1eefr^wh zjtZZO@8~P1`GVDi&6XnWI>cJqBm0RzD+c9EsTWRm^#`XA*zrpPYm< zeNTjh$ZSi%g+eI3xEpJ$VHy2RnMWZ}T>QI~^N&QIo!z2}e`7FL5eBPAqRe{0YNHMG zb27OipxFf%E>t1zdaD_kEiR9M_Tbtu3Y4~bNSowdL@XDk4;{1C{^tf9j37j>wVM+C z#XnJ9dym5{!NW-1xT_-E`b7uwSND(hFUtijda)2pg~y*-F}sEuzj%WA1<+BLx3OxY zqkPNwTSO<$jl-Tn2o}6LQCS`7q`CcuNE%j3W9;mzv@?2{(;fzkm(FW!UrNoUKt znjk2xXYVJ|$xNRf*HfYRB!OP#gIZo|%^J%_7b)|;0dMS}OaWW%H1wk)1whk`ygNQO37YmpyZjG(!HrWu` zjagU(RRM9jPAn$rx4mOb(DHUgMHBY*7`E@+nwkiSMoCSj)E4*3Ga05f=i$h!))jo^ z(2`WvoUjIimF%vlC?Dg{*lj?sm;GjY3wl#hFY>IK!hGT+%mozWO-YjKtClUfSPV41 z0OA9+)E#J96rtt1!I9r~CItSgvK(>75|cBOl6!>q8%k+_sRY`Xk+Jr4aS-vNwWBbWY(w)9-FyS1)pY{p!Ir6=~P52v<@b zzjHN)!*Q>?Tl7LH#wf!5$D=CyDxK3=CdFVboC-cLT^ONzy3m~y?M#rcby?l)^-sjz&aZ181E(O>0f5alJ3#8{)W*-_r3bhYhOjDg$o}}tVCjsJW!#;=m@8(2 zNG?|FvD#ubsLS9F8h|lSjyuEE*Y4OFnh+@Zr zVp*~0E-f|F(Zklrg~(^4+6#!qi*_j*FV1k8R5amEuu|z7vn<|Dfw>&fi-ky9JB!#e z{cy;R0|Kf$aiD>qfJ(gMZ3+bur=oNyj>|bFGE%8BRampS#KulFEIXKQE`+&}Zx{ug zRR9qhosn7|=w8Ls5`BkvB{OxornW2!3NvYm^El3Vk{!GTEJP~d%(*D-L1QbjL2p8< zS|w%HisvN_=jXh)_!|qo+{2PQE8H~%fz*q!mYB24^qY8R2;-}xzXX;%!+RIhl?{sp zQq>VNg}O^FOnAT7^0zk(6R3<k)Z(+` zX<%-&MrMIvf4qphdCaHlnL2&wioe5I5Upk6qoLRBF#3cRhqA;q&2;-n?YZ>|SeV?T zvpfNAE(7Aj<95XkzP|0M6m|piu>PYSw zaiO=Vioh>5r^;->_Ep<42{9P{ovY%z4&>fCsM0}A?yiVx@jEQ;(6Vq+Sz88rOnEHwX;X9YCphC3V7DElVn4D5&%<83WlD=K`tDu1izIXeE1veqp66tAI1GdA! z*gc)Fj>1-V+V@(zEkw%AGuAmSj_d*gY zIY+ed(4Z-c6il~=W~3K9@T2<8;}{UEPtnrUfmJ=6`XD?*CHHxy(ATn>m8d|X3nquG zTc54XL0b4u*mTf4If&W>WWAD4M^DH)N%agZ{mX&wysP{>LYn?wx=j4wF!oO_TAR>2 zfYqS(#Ki$to~O^xvLO> zE2r0zsPg)NyMdyMVkhenURPeZ)=a(?9vo1|WqG^nOO{}NtEerK#x*{rk_xXvVLzFn z)5UF2mpaE@N9yI9&@J?K`-_{d#NhapNL(Vp_^Vze7_Z421oQxqMUhOiOYp(r zepHo*SCtXkk@MOW(=N2Xq1@3;4ss*fc4->RqmMM&2{$i&;5pMh(F>n1q2Rf{Q7E|Z zmQ~X6RwwMXc6>T%s36xth_k+VG49HL%ij#W$lchK9jB%VGc%0TqGkE5LWs*&Hot0( z{DZau9DK)I>DNjN!qt4i!Z|BG>;h{k_;V~jVU!|<10c;zVk@J{0qpOsPf>P4x?r!` z1LSq2c2%KF2}botjSWWZ0NY7UE`|aZNkTN8Sb-$Q?(vVNVFR%%iQ7Xw3Y3oXw@rOj z0HPGB(%}s<>|V2{;lcImRxcm1kfsA-(W^JhcgdZ5TbaqO?%)_r6vlfkn8}*w9W_)b zXXKz4O#@?Sh+HESwoJT!uy22m1N>b}KNDEgATM}X>Pasc{uRm;=3cT+Y85%r>&GaPjH#`SZrwUC_658%w2`0rq=TIgaD(OuB8* zgvLf{lmgD!4IUP-QA-a@gmv--Pm zIVAV_CB8b*0~K}EW!p;(Q)zylu_&k)HS)#ExXW9_Wj49qNVmYzKB6(ugN>lLh z1@DX;>k#w`lXU0GiDp;DtpqFFUPkDK!F;1>&y*RC1v6fDmb};V<20i-m)UxOJJwM% z)yTNVKC=m_O4#Nv=bhNZlLy6I+3+zt9}3geY88X&0vT?C#8H}>Wa%VI0vjp@zh=6U z!a>hPcP{#-ovKxokYV*jOXUqF4tM*7x>3Kxx1%~Lr%#;??aGu_gmLnpL~ z&p8cD*%&gd0;SmokcMqi&y_RqCd{s(o6W?ASi77oR@&JaCi6-@y+JU|zbq4RoClz) zc{aM46oZJ<;8^5@-YhmvLGG>%Ga}T}4H0JR8nsb4Rl#4RRXmoS#f|Z$IvK^z5NGFT zZNka6Tqxl-=*&pMC*X+Q0v_y1Xe+V-TH2F?HL{U>tDNCAm0~7k5L!}FKnRXvF($Y8 zV-{o>VKQQWI;&Gu zgxng|m5HWgNTQ~fvy8s3i3ldooF@j!mm; z6J%Dd3BY|w3DL5k1u1$94#m89inhx0{R{?2|FC#ko9$gLt1~j>4BzA?^<2{gJwjj8 z&W3#ufpRTi1woa(ZG#WIAWM~IatU()BH7rL>lQ%H6*$m&flVft6=lUEbwPZ!;G8Mi zn+aON8Lx>CF)KGQ-{G_FQ&d=azequlNmM*iCc%w*2NM@0sw}cHU#<|kYra2b*_gKt zpV{t2TCYU)(5s78pRkqao#0BjclpV(XvHxhars!h?FSdk5Z$u7yLJGdZ4iQO#f}@+ z)y{XiOtrH@tS)ELV2Q`)PKSUL{ zB8nw5)t!VlrVe9iZWx8k`G43Qn8n@{xz3q;2>0Y~CfYfs7XW7`!UZHR`DcC9;|RoQ zTUuNlYBk3JfCM*rQUF^DN(MOtgtdh{Mo==I3~ruUx{)VUO2PxRVHf~W&^XzcB`cP2 z=TKGdM3RVe)b_0EU2Fafd<`c(Mbij#3?|75w?F!VS&p~q_#*4tubbrO3<(sWhQnCM z{m24li&)`;w&LHVmrk8idNU}+2IWe&ZhTynYv2-X?rsIHgt!JeRjBVs!7&%B$rC8< zb0c;yV^rF0z`)LZFX%o6V{Wchbq4Si^i=ngNIoesqb`Q@h#6HJ@y}vidyWI zhS>}G=_8Bh{Yl_jJD6&Mb{B9&F< zkP&(Dp&^{-;5;}qBAe(2Vnb-%;HaL?F<6V*{%t(vbURHGJ6KkvU_KGpjN5CjZNLUe6p^L}4J^JN+V^ zlkO>YJach$3ytA3WfDGc=<_KDYxtApH<#m7+(mLog~70w7-x7&(nvf>ZNX*q>Irz| zLQ)Xx8-;=l@akN(<0$gX;SX)gdx+r3onzWPZzDT0iMF2-{L?S~(u(PnJS~@__qdHc zw{FAbKFIS1w<))GoWkGH)3r6_-t0{-UT(b8DK(lKFH6L#LaZ7EHTb(_+nXQ-V3nk@ z82~;9%1*>!*-5cQ{RaGt3Ji@ep|o}`-^YqJT#DBOxt0!pF&k~sOp()N2WAXW{hIei z3Q~&cC?kK)2Eu-aT*P|5Pn}FcmW0*}b_cBa$?O^rZ#5!I5c3o2i|FLpk zbIy)h&$N}a%k%BPhL~a_V^vF+Uvz>7jLV$%qM7n?95ql|Yq3$DK1S4=E8e4~oe0&0 z1qw+|q&r2xQ@yd4tW^RH!V4-!h8xoCwp5_9PE;McQqxTl+?&&XybwLNy3WuhE}Fs~ zC31i%_)K`tR(sXAI0bt-3Fw^<@Q@P|^rWHIDd7^-?dJ$A2+lJony0^6;|gsi#qTEW zB8sKe+m~dli3estkDoiO_Zpo6P3^|}Ix6d|NtO^Cq1IccssSB&sN0f*loEB!F;0$a zR4?eK9(!7G)rG2`1B{TJ{nhj0Bm6xJ^;Tj;gbqL>dd&QIHMMT)LoL*|E~>duRt7Dr zEbGKDQ--8MGzWpLP3?rWwQg#hXvQp$=acI{8a5z0O<5A>WAnyzkn{v9auZ{klMW{> zOD`7b)cS=gVonQ2v6fUe5!4394It;yptMQ<)bo#n1^ zi&CHaI246 z7;>x<@y65W$7y1dkPO+=CeOmSZtWVYS?TOBnBy>A(qmn?0H0nv9V_O zWKzAfPGfUc2IF_0fyk(s%MmE zGd@x@3xaj|2y;?BZp5X=7ls`kT^LY6J4Sh=Mhli~RSd>vg)B6G*5>d5B#VnAL<|Zb z7L6R+HZDi(NvI3vo;Ph6>z^nJJq@U&#|&lGpyT-;z|f4|IrPBNkE66s>eFPUu7DpS zE8U5Z%DboYvqw4!zl#Ky1PAwtS1iOne(ou{xjuz(4U(o#s8R47CW|0sT15#;lLoO6 z=i_OSnU`(kDizsWsy>7GX{{y^=tzEUnHDEK5<(*vNAvQk5HNx5rw>l%;9DGA4BE)e z|EDZT`EY!=>t>ynf0g`V$@)cI>2psD#Du-rF|g&2{PXl!7N8h(=&fqj$D#(hBN*S~ zZQ&5`%b|w%xyowitB;+BNh=PT#EZ=C(3=u7K$@2QeJ+8idCgsjOa)MwNKX%h{3EWc zYrXToE=z*A@W%DS-Xv#{d4l20jP4H(PdU<5ucW^;7ttZ@jPLhQoM{(|e8|oxT(aZq zMfTYs6!Vi4TwnSS!B{z?1(6F2O3lT)KzsZj&qSt217&7+LYg6bB6>7EcO_he-+iKk zd7_B~y<6Q+T8@dtu3mvW!j}{*7r4qd=3sJ@!J^DElPla5@{Qr0@T)j3RZVuLzg7@Z z>?ln$3eV0M?~J*IXlK|Eso{DZ;tFM(dSE&s28&>0aI#uM!kh6e%0L_^jiYuNiIKj0 z+4t~SIH=8|!8+^=`#mv?w`uZRSr#bY;O^Phi4QiH30|)7-L}!CM(ZOl*7) z%oL#TjR;jL zoLFmHdE_CpM)n;vXI@xXK;atSY3_nq(;_!WQFYrmDTzuL={-x@i>&1xoyac8S z`T*1COw`Z%N{H6a)9%H`h@b36q-o&bRhMhCGviEWlyI1Tg~d6b2ww6phuJL%z*6d7fIk3~}r&2d+GQg5WF!XG1=Ayukd<-W7kx^}^6g9n&e z*#J^1kT|TaRbZ;$akBXA;0K`8oelLgETq~q1 zurf?aNU~&8ed#_SV)>6okxFk|ya+>*&4J-0HEJ}(lWTUeh@u$jXK_Twq)gCIxn^`A zHP#15I<7l2N%{5C1ZnuIGpV~o;Gh+}BDOwi<|5%o< zk6T=*{-0CB^8AWu^>JF4 z{PH0OX?i(_2(y#1x<<{@PMywYk;9-LPHJjG(&1(O=#hSUwBpHeHqpDZr`ZenkyBt( zKJ^%%(-g(vYa}=It`^0CURy{i^F-NXON~dRsnDQdbJkdwh1IIrMmlrV`tawb$IJ2? zmhtKF9W`#wkcGt8v1VnlIGX>u;Mnwpt`P8*$Uv?9bH0n`M&EqKJS_I-q&hSFmCMha zYB*E^L$w`VATnc=9wVJsl;q4UG}1mXt{}{Xx`4|HQ0+LW_v z-O!s=(~Qi=LRe3glGIXcTx^IprgcFy`9~pWQhx-OU`ADrb*g3Nt~6~6OE%##{v*_EuV`7J75&S zqv~Ueth^9pWy1RvizRY;P<$!`^Cw&+MDiI^S0Fx;3N@o2u^Hqgc?G#9-;NG_(d333 zuRXfEm#r$tQMpm6cGPM?O{5{GS6WbE`iztW;bk_2EC{Oo3kG>0hVr~$V(1hy zFQUH@H^SG8{O3XeGuIMJeb$+8fsSyJ$I@&NT>AC15Ihlt`Wr^7d@ zlY)whaA#Q5f)>S%`J9Bg<>nYQaD}bG43W(09LpU73I}`B{aVmt=5U$6-a+q~OO}@> z>RPRAM6p2m~CX=JjCgUun694z5V?LfR%)(}EMHiw^OmISk1($7_O` zI!S!d93Ni9XYu3mfS@x;~Lucxt`7lod*sEI!1KRU98deWU zmsOruS|IND$nF%@jiZ^Qtelz>&l2j56crf3Gdm79ae-eFW;Q)%GVtL5x*4Y;NdAUs zFuZ9XX!6K0I2A%~%7AIHH9YPos_TO~$)A<8mr4f3IsZ>qyOl}iMhX!I^H`Q}GfZ0q z9?`gd;zBdSmToLxRfIHTWdp}QY7CR3%n=NpkLlH-lQs;MQlCO8u+mAv0-AsMbWB);>8_tJ;s~5n#=an4xe^jO0gba&dmkLge$NPpJri z-&ap0r^93PP9JsGf&m4*bq3Sny)G267ayP()fbYS=+mH<Wq_WK=2{Wp5;uLa_=baL>m-nylm&}=Pis%X<8Z!pn-0C{SSa8UY zJm;dC;5Y0pwI3l1snZ;qgc9NvtK#gySr?7&Ceq&2CGozqB_^7G-%W^40D3J_i21`^ ze`d@m&x`?x_F0Tji>gZD0`)X=f#a|SQcH_31Y`q@_0tK_j&fgS2EBVX-}>g)Zm8fd zJ!kRAwWcVmwlur>xad=9Io3@3-L;ZgsRKJuAM8IVQqc$4Pi z6yLYiu^p=Kz5UbAp5DK$zB^=tzXiW#yt>a)?%P+d?ej-+Nj;I)PUS1_QbUb$Oyrge zo2i?|)HsBoCfnznW4N9WM|X54{?3csIZ9o*teS$wSPKkhtSQ&5pCPxj(eg0#Qn?X0 z-9OSUpGfASwRleB^E2xiAK_2sz0lWq_uidPKKt_bU;OiGg=8=p4Japq1z5%*>q?(+z|32MC8-Q)q}CqYCAQ-aJ9HPMZQLVUZ%Ro9}<|`#(JU z{bxUTXnS8Qp6pJsLqRcs-no5b@6f}&8;4izBCa>o7vXiT%;<8%A@s?!ho3P{dwtB% zG{6pcXul*IZ!cMrXuIMmbO(Id0&xrxhqL0pulhVW6`|DeQAMS$TWf zrdbsl&9sZc-@2&ZqTRAL<}Ez<_uqc`hiA`z{n@`hJh1bEizoVZJ<_ZR+ELXN^gIViJ-yZ`Asj^7;ZsjdJ=moYit2qbKOcB6^9$Fnl4m zNf1j-sZqy1U~Ez!#1XAr1A$dH1<(J_9yQ;Yhg3_oU>c*B%2p{zx_tTI{qKMH@h^V+ z<*z^g&C^$JZmLSFmIHbR-G-5FynXxf*7Xlw+qtuM?mVx=qPmmjm}<&Q({U%(9AbYN%8%;d+i@b=PqCb-ko6o*^MED5_V%?Khy>ac; zCkGoFHy++xU*lt_ovP-{ykww|e{8iwj4r6$I4iiJ3f&Uo!I&j>}WDpj{QQQJ!Y#C3Az&>W#y>TGjor zH@I`ee(jxXKpm8_8vtFh zvE$)RnKRI9sf5Oh8D)x=Vu}|Of}t1Cm5H@^Y`$GMIN5}ym9kbYOS%bt1mglg8@KsbX7rj-&m^s4ShAd{ z9gB$Gs#msHkV%>=)CjMlcZ~L##)T~RhW+P_lj_O!{a5w|vdd(Z1+Y*dL=Yo>?RATS zT)cg_3(1N+I=0=3xyAnubnp-|927EctfZXiB%&!V-Y4fS7{zsUrl?*b&^iEpG9rup zEq~TCt4DR6+v}?V#gqoPIbZcc8dax@3;M{G!ot2>;t-~Ia6rFK=hMU{9m21$gvdos z)wP%QD^cQbMQjqC8q{Z34qkcVaO=_GwkOefQyfa*Z*5KdNk9sdVyj@y@8##%9Oq)v zZq#O8xYnsn4A6K7#-hHThIKUPgbJeWZ!G z93hiTOlVbC`JJN(wHxidWU}Pi#qFI*%<`4Xb%3fN*Kb!GKDc`D_P!ysw7{BZ-e$jb zXi%Pr=&;!duMzx_&?%OqxxaJvdiKN7*{s{d+nf0u`h ziW~ebe3_Uf{+f(bx;>7Q$rMh@n9iZ}IlV}Ag&>Idsv>k9${=%Amq2?famUj2jlI3K zo3~0)6O?hhdIvcA6KE8n)Q&`Xo}S9&AoRCiNwd$EHj83EDEHkM{}7ZiyX?hC2hG)$ zh6>%~HfWenGv7n5ixo3WG6z$}B$ir4ry!vuOwIPHew`<1K(13LoK>>R_XR_Q`CBEj z%6GK!H{$9m_lgnZ0!9IFILzY1tgSOjGQh-I7B@Lds^cwQNt-hw<=%&A9~`feXlRVg z`P&V1VC%HY6+8?H0K`mZ43(vF(~49%p>QvH8$Jq&*~Sr*7LqO0nc=W`gV;U){8Oi{ zO$i-+ZMrtEDq&v8O?wG7)**DFO$uB>*oxMtLx;q1GDeCCs+K<8pmQ+Sl_;u|730{8UKn)-15%MNO1w zz^52GW7bi7>=?zF24ZPvFJf;*=Su0N`Uw+z0jpBq;_9=(&2f(nwO$8pE}|ExN?qwx zX?2przd{K81dJAl#eBo+ca!e)B9s~=wM%Lp# zdOa>1EzXNXUFK1q;5DW*20E|evd9I|a5J!h8DT&p&I0DzQE85iAUW; zgpvh10)G*U#g5Ens#Zr#TQujtpZ)85_T#b&GVW5{Wu!MESIb@dAA zCa92$`61W@8c1Kcabx$=?$M7wcy#wFr-h}=g&R@I2^=f3IF=eoQZqUAGa})QzD~F? z(PZS|sz4pF0zWv_;%y*0wcrC;7PiYp*iqYqjsc+L9Qwv}v>Ib%WuZ7x*sG!C9`U!n zuebT-fB*ZRe(~_&%J$_;vz!?^XYM&@-oJD7`t47C{U1*s9o@Cx4N^QI!t1>teee3w z&F!1-{QL)>9PUGIQ{B-N zx=)spI$I3#)z@tc`}05i>G!|>{=2s=lLEg=%meIT4^UnE+LPP6FMs%pPu|#K0eHI8 z)9BU1GoG5J>QqgohW@F|lgL;yT5%&PbivuRElapDDb?v`Vi28}C+WQB5$A+sp2CYF z&V~cMuaD812$Me_;tf%I_^OH1%u;J)V%Y1WzWB%g`Nx;<-rKxnVTR^)PYp1FuipF4 zlk3}uzx~VK{`TzGpT0J8CYfVSNzbOOs~2B-^yFag(Z789;Ic%ebO&F>StI9+@kZ-p z77m2M1I5bc(!F?|I;M=dc`5qoa~oH0zO=)cE#VF4A-vml1ELe~oNE{Bm~KW@q}CnL zyR^qgUs66J#A<46-d_3}mKiRCjeVYvKl3Z}{{H>@Evi|uvnktq)+gTDu+8G(=B3wu z|J7Gt{r1yO?&OseK1_w74$b&%UB9=z_tDRu-YQcWY_tH@l(vEBCG!-?iS3APe`+#L zgW6->dVl5grTu$Hw*iP=!`z%IwB{N-<)M+eyF9xP)3?nYm$m6 zUODsY|M~B)p4w#0?B8k#`4=RbiduGV^W6EDK78`SA3r?2E>uD;uxb&OlCQp?9y<4S zZ31IvPOzNYT3nbpT8@V96Gn=t;lUIc#5pfe>m`bK$a{weI}P6SBsgW!FY`u^AF&Y3 zk~@(sM={EKoI{cWAEQ^ZMiyF)AS=WV94Cph)%?LxBtY_;zkl_gU$d|t?WMl-*F+@K z1``GJ-g(Jhdb=$vP?>}1um_P4-MW@?1){!k>YT^;G}totaW`V>@ME(4n>J=-r>%2m zi4Nw~%pT7q%S$E_#dE11an(`>#0a@BlowWdkz(kR8WOpEv<#`Q@*9jSX5cwCb;b0}Vwdp8lZM0OxwtV|DdQDxAh4`q ztK}9Sp`QI++{)O{c-e5c#ytDt;q4X$ZH2D@YdBfSN>#CyB%ZbMB7iS(r!d<6lvEvm z*c7%5MApOgNTbYJ9^k}zD&t6%wJ$kswzq!j&5lsm;hNs_uzvS;Y#oA=gz*;guv388 zwAeK7oNkvG1o?R14HLOSz9U!PVL*im-ndNRbXWZZFn;r%<l3+`zG<2!h)yfI*0#=N*6xsz6+-`u|h$E*!U zsCCgtu*$^lEA7s1jf^X;EYis5$ZkWYlM5^B-e`G$dU#GUAvUT|{s?SOhdO78-Ki_q zXbd4m^(tE9Q#7rv@3K5IQVy1~t-1pMWQAM+Tlkl_fz#gWl6X4AE<}d1NFG?=Y!`G- zPvb#@ZN*2U&7)yO@8rC|iS11h&fF%;NS$?6thm7zWtS>n!ATxOL6tZ{57-!4(fbYi z1WhQIU!wqE)-H%pQq+Y<_g}Iy+vKB%UhPXdQN@+GaDMIT%Wv)HZpPYHhMoWcy=1)( znXv$tHjSQZvc5X3W6bCRJV3#}9!-`eK*~IrtBRof$>ZLSC!s5i&=vU`D`yZIR<-NH z&fc{*KlfBCTE{z(PTnUw!-jX4{t_CwhXMQEOn91`B$Gj{x}W>XsEEu{R$vH6Ont z!yWYCdE^=mt&}i@s;n&E#UeBQTaE;=u1{jzSpS?M#JsAV{k^x<62pkzrThQ>*`saLaKi?} zqBYH37;dAl^=@KA*Y7-dWtZj&{6>j~iPYD|Lm9l{P^w- zb^e>e%<;;RtN@~Y-7i@qYh(Y;4e>*r9fsx;bcFL*vGMNbzj(O8#7Sp@Dnz8mF{#-1 z{Q0X#yOizB#=*hmgTt4$MLZMe4tG6ehA&dX;2?CWqslN0gz|9LJrNgVY9cz1!Cb-O zrur!(jK5~6TcfVkUcUD9&wu;sXCHm@ffSF)3N$E14Xo>xdr!Xk*3)17?2Dg#|M7h* z=d*5LIg~2Jqf>}~MCoTO1FjiEL=VN7o!nm7efs%N53Rp?_quHpN2f=chu&&%UwL&` zw0r*gYa)>wR}J{(VFhd*xL3qKFgyRxV8TKz5e@^JUSE!F5%KXWN3V0sMleoVb%S$- z=!2WP4}b96-+%Ve)89OO`Q{EGDM8gLh0(ls?audp_MNwX{Mmo};qzbq%iymU?SNJ9HfInlYAX#BOLZbC2}EH@*IoN&AlxW9deD(w#tYU&fe8m z?jC;q&G#PPfBK)#e)aI~wg5@=m%r7ty3O6gcYpJvN8fn*%ilhG_Uw0G{^Yd-7@qb9 zjhyW)HCfW=(XC^S#NT8&-cZ8}S6_Sj&P#SKc72O9q0|Ly)@08h$8;xi3z*+Aj0}YvPUciO_V2!V^rl?} zkB)x!<)41}_^=Gt{N#p6LT^3#x6i+I@9RJK-5b zRy|+Zp2WqGeupF8KY#hw`$tzSzWLJQJKGmGQfS1wGu{%#Vd1G$7d9V#^7!!n?VVQl z^X1Nd3l`#%0h*;7D}|Z3%;#%KfB>xIaF0Vov*KR)~0KmO~Fzx(b@7+oH^g@b&uaP&lUB~#_jKa|J}o*>+G*L zG&h0?Yf=ejdnU2T_>f8wjZbNHX^3kBm2q;BGl(p}H0w+`GDS_>*VP ze)92W&;I)CyVtFtH#?>_g&)-$t411b-q=0-@Q;7^%YXgl=ij>{dSsIlWk4mrG4>?> zu5IkzyzXO?R9Y8G4}*tMCd!{XckP46kM6*i?uybOxCBA;#>mavAAI!q&R&i}T|vQ} zFKWOg8E7~=a)W7>l0jCAn&8f`SkoDtbc{$Z?TFA!g`dcg?vY}?^3fNc|MO4&N?7l6 zsX5e3_>aZ;l)2TL*T3<*FaPw1Up%<&P5%}i YYmTR4;jLMFJG{~fBhDi=q&c$xFW$ctEdT%j literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" new file mode 100644 index 0000000..edf0d7a --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" @@ -0,0 +1,115 @@ +import sys +import cv2 +import numpy as np +import matplotlib as mpl +from matplotlib import pyplot as plt + +def trans(img, k, b): + img2 = np.zeros(img.shape, dtype=img.dtype) + + n, m = img.shape + for i in range(n): + for j in range(m): + img2[i][j] = round(img[i][j] * k + b) # auto adjust data type + return img2 + +def scale(img, x1, y1, x2, y2): + img2 = np.zeros(img.shape, dtype=img.dtype) + + n, m = img.shape + for i in range(n): + for j in range(m): + x = img[i][j] + if (x < x1): + img2[i][j] = 0+y1 * x // x2 # add 0(int) to adjust data type + elif x <= x2: + img2[i][j] = 0+(y2 - y1) * (x - x1) // (x2 - x1) + y1 + else: + img2[i][j] = 0+(255 - y2) * (x - x2) // (255 - x2) + y2 + return img2 + +def histogram(img, high=200, low=20): + img2 = np.zeros(img.shape, dtype=img.dtype) + + n, m = img.shape + dic = {} + for i in range(n): + for j in range(m): + x = img[i][j] + if x in dic: + dic[x] += 1 + else: + dic[x] = 1 + + tot = n*m + keys = sorted(i for i in dic if low <= i <= high) + values = [dic[k]/tot for k in keys] + return keys,values + +def histogram_equalize(img=cv2.imread('images/pout.bmp',cv2.IMREAD_GRAYSCALE)): + n, m = img.shape + dic = {} + for i in range(n): + for j in range(m): + x = img[i][j] + if x in dic: + dic[x] += 1 + else: + dic[x] = 1 + + acc = 0 + mapping={} + tot = n*m + for k in sorted(dic.keys()): # sort, important + acc+=dic[k] + mapping[k]=round(acc/tot*255) + + equalized = {} + for k in dic: + newK = mapping[k] + if newK in equalized: + equalized[newK]+=dic[k] + else: + equalized[newK]=dic[k] + img2 = np.zeros(img.shape, dtype=img.dtype) + for i in range(n): + for j in range(m): + img2[i][j] = mapping[img[i][j]] + return img2, equalized.keys(),[equalized[k]/tot for k in equalized] + +def show(img, s='opencv'): + print(s) + cv2.namedWindow(s) + cv2.resizeWindow(s, 1000, 1000) + cv2.imshow(s, img) + key = cv2.waitKey(5000) + cv2.destroyAllWindows() + + +if __name__ == '__main__': + path = sys.argv[1] + img = cv2.imread(path, cv2.IMREAD_GRAYSCALE) + + k, b = 0.8, 10 + img2 = trans(img, k, b) + + x1, y1, x2, y2 = 5,5,200,150 + img3 = scale(img, x1, y1, x2, y2) + + high,low = 200,20 + keys,values = histogram(img,high,low) + + img4, keys2,values2 = histogram_equalize(img) + + cmap = mpl.cm.gray # mpl.cm.gray_r 'gray' + + plt.figure(figsize=(10, 10)) + + plt.subplot(321), plt.imshow(img,cmap=cmap), plt.title('origin'),plt.xticks([]), plt.yticks([]) + plt.subplot(322), plt.imshow(img2,cmap=cmap), plt.title(f'tran k={k},b={b}'),plt.xticks([]), plt.yticks([]) + plt.subplot(323), plt.imshow(img3,cmap=cmap), plt.title(f'scaling (x1,y1,x2,y2)=({(x1,y1,x2,y2)}'),plt.xticks([]), plt.yticks([]) + plt.subplot(324), plt.imshow(img4,cmap=cmap), plt.title(f'equalized'),plt.xticks([]), plt.yticks([]) + plt.subplot(325),plt.xlim([0,256]), plt.bar(keys,values), plt.title(f'pdf') + plt.subplot(326),plt.xlim([0,256]), plt.bar(keys2,values2), plt.title(f'equalized pdf') + + plt.show() diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" new file mode 100644 index 0000000..8d11440 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" @@ -0,0 +1,164 @@ +import sys +from random import randint +from collections import Iterable + +import cv2 +import numpy as np +import matplotlib as mpl +from matplotlib import pyplot as plt + + +def noise(img): + '''3% 的椒盐噪音''' + img2 = np.zeros(img.shape, img.dtype) + n, m = img.shape + rate = 3 + mn = 255 + mx = 0 + for i in range(n): + for j in range(m): + if img[i][j] < mn: + mn = img[i][j] + if img[i][j] > mx: + mx = img[i][j] + for i in range(n): + for j in range(m): + if randint(1, 100) <= rate: + if randint(0, 1) == 0: + img2[i][j] = mn + else: + img2[i][j] = mx + else: + img2[i][j] = img[i][j] + return img2 + + +def mean_filter(img, window_size=3): + def mysum(obj): + if isinstance(obj, Iterable): + return sum((mysum(i) for i in obj), + 0) # intital int 0, convert uint8 to int + return obj + + if window_size % 2 == 0: + window_size += 1 + + n, m = img.shape + if n < 2 * window_size or m < 2 * window_size: + raise Exception("[Error]: filter window is too large!") + + img2 = np.zeros(img.shape, img.dtype) + + half = window_size // 2 + tot = window_size * window_size + + n2 = n - half + m2 = m - half + sm = 0 + for i in range(n): + for j in range(m): + if i < half or j < half or i >= n2 or j >= m2: + img2[i][j] = img[i][j] + + else: + if j == half: + sm = mysum(img[i - half:i + half + 1, :window_size]) + else: + sm += mysum(img[i - half:i + half + 1, j + half]) - mysum( + img[i - half:i + half + 1, j - half - 1]) + img2[i][j] = (sm + tot - 1) // tot + + return img2 + + +def median_filter(img, window_size=3): + def find_median(arr): + i, j = 0, len(arr) - 1 + mid = len(arr) // 2 + while 1: + p = i + pivot = arr[p] + while i < j: + while i < j and arr[j] >= pivot: + j -= 1 + if i < j: + arr[i] = arr[j] + i += 1 + while i < j and arr[i] <= pivot: + i += 1 + if i < j: + arr[j] = arr[i] + j -= 1 + arr[i] = pivot + if i < mid: + i += 1 + j = len(arr) - 1 + elif j > mid: + i = 0 + j -= 1 + else: + return pivot + + if window_size % 2 == 0: + window_size += 1 + + n, m = img.shape + if n < 2 * window_size or m < 2 * window_size: + raise Exception("[Error]: filter window is too large!") + + img2 = np.zeros(img.shape, img.dtype) + + half = window_size // 2 + + n2 = n - half + m2 = m - half + + beg1 = end1 = beg2 = end2 = 0 + for i in range(n): + for j in range(m): + if i < half or j < half or i >= n2 or j >= m2: + img2[i][j] = img[i][j] + + else: + if j == half: + # add by columns + beg1 = i - half + end1 = i + half + 1 + beg2 = 0 + end2 = window_size + else: + beg2 += 1 + end2 += 1 + window = list(img[beg1:end1, beg2:end2].flat) + img2[i][j] = find_median(window) + return img2 + + +if __name__ == '__main__': + path = sys.argv[1] + img = cv2.imread(path, cv2.IMREAD_GRAYSCALE) + noised_img = noise(img) + + img2 = mean_filter(noised_img) + img3 = median_filter(noised_img) + + cmap = mpl.cm.gray + plt.figure(figsize=(10, 10)) + + plt.subplot(221), plt.xticks([]), plt.yticks([]) + plt.imshow(img, cmap=cmap) + plt.title('origin') + + plt.subplot(222), plt.xticks([]), plt.yticks([]) + plt.imshow(noised_img, cmap=cmap) + plt.title('noised') + + plt.subplot(223), plt.xticks([]), plt.yticks([]) + plt.imshow(img2, cmap=cmap) + plt.title('mean_filter') + + plt.subplot(224), plt.xticks([]), plt.yticks([]) + plt.imshow(img3, cmap=cmap) + plt.title('median_filter') + + plt.show() diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" new file mode 100644 index 0000000..8c9e3c1 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" @@ -0,0 +1,63 @@ +import sys + +import cv2 +import numpy as np +import matplotlib as mpl +from matplotlib import pyplot as plt + + +def roberts(img): + n, m = img.shape + img2 = np.zeros(img.shape, img.dtype) + for i in range(n): + for j in range(m): + if i == n - 1 or j == m - 1: + img2[i][j] = img[i][j] + else: + # add 0 to convert uint8 to int, avoiding overflow + img2[i][j] = abs(0 + img[i][j] - img[i + 1][j + 1]) + abs( + 0 + img[i + 1][j] - img[i][j + 1]) + return img2 + + +def prewitt(img): + n, m = img.shape + img2 = np.zeros(img.shape, img.dtype) + for i in range(n): + for j in range(m): + if i < 1 or j < 1 or i == n - 1 or j == m - 1: + img2[i][j] = img[i][j] + else: + # add 0 to convert uint8 to int, avoiding overflow + dx = abs(0 + img[i - 1][j - 1] + img[i - 1][j] + + img[i - 1][j + 1] - img[i + 1][j - 1] - + img[i + 1][j] - img[i + 1][j + 1]) + dy = abs(0 + img[i - 1][j - 1] + img[i][j - 1] + + img[i + 1][j - 1] - img[i - 1][j + 1] - + img[i][j + 1] - img[i + 1][j + 1]) + img2[i][j] = (dx * dx + dy * dy)**0.5 + return img2 + + +if __name__ == '__main__': + path = sys.argv[1] + img = cv2.imread(path, cv2.IMREAD_GRAYSCALE) + + img2 = roberts(img) + img3 = prewitt(img) + cmap = mpl.cm.gray + plt.figure(figsize=(10, 10)) + + plt.subplot(221),plt.xticks([]), plt.yticks([]) + plt.imshow(img, cmap=cmap) + plt.title('origin') + + plt.subplot(223),plt.xticks([]), plt.yticks([]) + plt.imshow(img2, cmap=cmap) + plt.title('roberts') + + plt.subplot(224),plt.xticks([]), plt.yticks([]) + plt.imshow(img3, cmap=cmap) + plt.title('prewitt') + + plt.show() diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" new file mode 100644 index 0000000..92d64bf --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" @@ -0,0 +1,40 @@ +import sys + +import cv2 +import numpy as np +import matplotlib as mpl +from matplotlib import pyplot as plt + + +def fft(img): + f = np.fft.fft2(img) + # fshift = np.fft.fftshift(f) + # magnitude_spectrum + mag = np.abs(f) + imag = np.fft.ifft2(mag) + phase = np.angle(f) + iphase = np.fft.ifft2(phase) + return mag, phase, imag, iphase + + +if __name__ == '__main__': + path = sys.argv[1] + img = cv2.imread(path, cv2.IMREAD_GRAYSCALE) + + mag, phase, imag, iphase = fft(img) + mag = np.log(mag + 1) + imag = np.real(imag) + iphase = np.real(iphase) + + cmap = mpl.cm.gray + plt.figure(figsize=(10, 10)) + + plt.subplot(221), plt.imshow(img, cmap=cmap) + plt.title('Input Image'), plt.xticks([]), plt.yticks([]) + plt.subplot(222), plt.imshow(mag, cmap=cmap) + plt.title('Magnitude Spectrum'), plt.xticks([]), plt.yticks([]) + plt.subplot(223), plt.imshow(imag, cmap=cmap) + plt.title('idft [ magnitude] '), plt.xticks([]), plt.yticks([]) + plt.subplot(224), plt.imshow(iphase, cmap=cmap) + plt.title('idft [ phase ]'), plt.xticks([]), plt.yticks([]) + plt.show() diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab1-lena.png" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab1-lena.png" new file mode 100644 index 0000000000000000000000000000000000000000..a450c05b60f63628acda9eb8895ece22543c1733 GIT binary patch literal 303729 zcmeFZXIPV6(>5AJL8aR0O;J$k0s$gbsz?zK1Vp+45;~z*=|~e%q*v(zB1C#G(HlrW zN&x97p$ZsEkhWLu=Y96xf4)E8@7F^iLb$GLtu=GbIWy-F^H@in?jqYo2n0g+P(wu@ z0-;+#^>lk?zDz*A4Q2mu><&`G)mPN zW#9rwd0V-^fH+y9Tpe9djt$p80!VHbBh5%fkB zGX%l|d8nfF#3ysT#sBS;XW;2+=AqMO(>K1?Jo9ftR6ad^^1A$SxBCUvcCPZVNdzv> zXN7z0Zefo>n|hw%vua~K0rZSg0+)*qb?B1_-ZK#oG#+SZ@LZV40)Hmbva$}2tJ?_v zIXO7z2P&^iedV#=Cf0xV+s)+c6KXaIYkyB}!m@Ozds%Ga#K<3fl^(VZQi}e+|K~*g z&o{)11U`j1|L09&y(kK=!T5iFK&&@axShW7e_pc;pBq<_`tMISLhdjB_q7(YCH3@w z@3L}cJ(vBz7k(b{|GNpz|K8-^J^tVA`d{m^iThta`5*ZBzl9a8r|ygKQa6$`Ec;S~ z+V=cinl_*}FJGAQ`r(8-s0W^g5-~eYOoviB_>Ri5O@n#-$UtDGr-Z%febr`Tw z6|^gm={aUnGKwI5RhJKKBgMA0(@9N*JQ8Wysgp3Z36gr)_HW>Lfk0d=)W1;rQb1CwK!UkpADY&#LstM(e0LMJJ1H_25Emb^M{EeV5@_KJ3Z1h3)t?#9m=f7UeS@J z@ZVeS7#w7D28S64`?2XidnhU`9sR?(i7qfO5E7!yAa~UzaP8Ul@n$eLA=3lvf*kKX z(wV1i6kGCLobli6L7EfUc6N93ii@f3vOJlB_G)q>W@~Uqdp}$-zusFU6h3-y-Sg^N z(yRl6U(G#2e*7>+PA z;izXWE!QAhV68amgP+M_va)dx@qZ1KJFvlgXKKA~2=&t+1c zXEV8db9<w{J#1`}+0IeXhg-sYSU>Uk39(Z{E*IR{0LN1Yp7DHeq|1 zE#m0dp>vNc{$7^=p1!z@p>+%r^Z`cNw$b<6Y_fDUWU7GG~zZ%Hw#fOR(?UOX@)cdJAmpVN#A=jY;!P zp-4~5{>&kg6UV}fiUUSJmme#8tBT6J}e&2iWP3W{im_Kscr_N9PiMFUNk|%i-)EO{rd4R#Y&`@Q2U{~OkLle#Ey{3S)zZ=N zBHsu5^{WN}c=7Wc&qJavuI9;I&zV0db%LZR0KY#tAz8qaJaZO*GBPB+HQ8%Qwh;4a9&WH$_$ zqvUP}KvS+!~mzERb-bY9)S~7g=O`EXfm)>Lw^3RyoufKo|?y00gu8-NAf1hx_97=s?6g}DWy9L3@Ml1in^Is041*fA?D>>&vgIi4rxUu~RslAh_GVBpWyg zoAfhBOHu`Ob<8=WZvGo>ZEKZHWCHXXU(MyeUj1ok8lbKIM~gPD4X^tPJEunk1@&s< z?$y!qeZS1C``%|#k(9CG;^JXOH1DFN*L0Y%tUC69XiRArzDn=w|J60NC)s4g#6WmzX zn&5|Fhk%7EIqlp>9o*qL!2t7vg`+_Jy2N_>ohBs2y`gz_^I@UB#?8x@2qXOXh58*oW>35T8<YomRcz@P1NW({A3xl^3^*<$fv^Yp~!=+FDM zZeaQhknt~0?Bs>aO?lwx(zXaq)Gcjo+;%yEQ2EmX++taIPyJGFcW_t6|RdEKrckn(2fERsVk2<a9ye*~&j0NueEN#mbt+OK>Fo`ucdl4ZZ;EjAnmiq)3Xuy zwqLa*I01DAm{JZAW|3i!iQv?&i%I2K(ZTJQ9lQJ zh!X*aeB)%B`}ET3$>wPSnY96+OO)Fim*?CeM=?^roqQNW;Igt0zG)@`kUg?pb)*PA z%ifQN&4Qf+9Q=m#O7QN};N6dj?=%ayvNi*#H-q+@wyP5ZX?OiN$#-yW-tJYEQi~_X z=mwm@aC&pd6Vq^KqmIZ78AMqba_mmR>u75WHI^T)6z3!)>%cCMbAxKnHKS%yk4SI4 z)J!x86?-N*@7)3OS8Y8_JS8P=1$z!ADxCNnfj#Q>pCNJ`?OL2l`uY2B6M|0&q&aE@ zQf6FND&;#BOcO7w%?X2n@Ov>pt{DIx*Z=uPz+!oxT-j+8W02cnOpsY(30QkJ+v!Wl z+JC@+VIe-ddC)HcVEngbHKFXJukDBiG8ZR~B?%>xvpe4)(l_0pQ1GbVunl6=JY@QK zf^!9F+d?n9OE>q)Ja;~z3qq*f0qOT^$vatvHSu>pcD zboZU+xO4*hm6-#X zEH)j6{)VFT8XTZd{@0bsKFya*0Ria@_&c)ZXLYuxNS)GWLVhr_|k!4=eN z%|g-p_u0*do$I>n;P+U`H%or_lX$T8H$Xnos667y-3WLMYj3h<;h=LJ1>~FUWsv*= zn+3H%@EYtQAQ})x`KY!n?5al5} zSvm!yi0jGU$Zwx^R`11#)%|e#pnnIf6Kq~2QL9kjYDzZBt0{hB!h{_AOwktwo>r>p z>gv}2mMxBnxgdMA)m0Ay*cNFqk@uY@xK_BoHyq9v7rRfld3~m!x$ez3TOUqB2C*Eo z;QPzHK{OGp0}|p0jV&#`6PK811E|dSCo5%=zr>QFotV=?`aL-AZA*hS+Z&)wd&b9E$SL*q_I5OMdlw|hb_RA5^W*Wr2+?2RH6=#nx8L`T zH+q%>kDw!a1mz=Y;(;u<0H|CFz3P{S zn+Y>tQhY_1#mXiI?DO{3i@?M#5oAG$A!C5b>daEOx@x4_S&Y)lg6kdoB?V=X*CHOp zvtteTk}o_MTR}a(eYNwDco5*K;>&TZ%Mr?+shuqnb{W_wFwIQ%ssUhF?hR&)RL8Mm2Y!AHmvon*pGD%N-&I<>)6 zkfX+&J!gMZ+C|3`cPB{$L=LsMr>$y_EHVc8dV706IZoUI+jI3|gsV2|SBJVEEbQ!E zBO_N=cl}6HV3FXV8csMA6vFluEO0r*lf`Ct?C1o+*x{`B*3NQ4qV@jo>G@W-J5^#H z-Dn3tKiCnS$MM4CKW%cA+Z9GMa&mG?E>Ds}4$!LT7+4Wj5M4R#EHcPf8Hi$Rh%B+e zNxnrgh2c3E!a_z2EoUy^C1oqM-KgmxVE0pL=+s0*u##$FG-g%V4>L-d{G6DEi@;%k zZEyX9KH{kKhlDDZ61#F%rqHGpqE+!~y!YU+Me=T-O~Gz-%rEWcMQ*WVBC&Fb0D8ip zL-Y7tD$GY4LJK3q0zpi}U+LR3P-MGjmd zL4*2DB(wM#i6WMmF3Ud(nQ8$QZCJDWM!_SK&)m0-jZ9Tp`_9e|u#ZT8|6l*S14y~NvC;E)cTG%2hP5&b{-D$j~0_x$q=|R0pzW;Mq*} z$?)e5GenmhqzIm3HP-0Q;DlXn{G^_FmrE+(K4PEND$$IlKlyd`Fh|ObiHNl|s9G<`cz>-*aD1ikq^QOm=%1IHjkjlR0@8gF;+! zw%=WjzEtOqls7VSxuo)f5A<9;*?KPqjJkPGS5uKYhT`=7M*3eRCUv(zT*^<=6cYd7 z)+MHgGKPM|87jvUif?_pDjHOU6;@5Up}nX++f|L|4J%1zWq>TF$~X_GEVDpVG8HSh z9uqQ7?b}P-x;%b0V<_2Co#?3?tgJ%REQT{k?b!2o?JdPeG&040vXVzAXNi)o14juq zE8*Is?ENPI>akh(^~y&F9ihDYFYdm30QfPz!jbDolNvtT(DVVg{hhhpcxsATmDe8s z#GS>3c@z|8e!TaPFS+E&T^~SQx7#Th=1PmRU)g%ZiP7j$^Zs^(5GPei__tr(;fh^5 zCtlGW@a0-6gXnjY0%)lX9)Z4Lde*XP@&i_4H^lY%Tw{J?z9~meY&~?ld8PkSg5mBN zMHT*rncimw62_lIob>I?v${x`Zt=xXhsVYOO%kz{liiM=;YqusGX9Y|07M|711$S0 zm-~&1>VxNTkq0(7yyJ39d(-uF;H{U?+K?Rm~ zK4FmFDi=VI(#00sZh%jPZ;sg4w>SczDx$!;YaIyKtohBaleUc)?k_KesPsf%GL0|s za4WXN^i`(aDZZ4abCoj<5R`kk^{xW3u0@BX^o4<0J)Q$D%bD|f#gFMYJ-2GZITX9c z=X{4c7B+8>1#r_9fKCyie49g=)V)q7-s-T4*V_=7utr^iiB1we%`| z^2+{7A`W^!n?_);Ruk|{mD-1ttnJOv3iB4Wf`S5?d;8&-1;QWGa^6b+&89~`DS2!3BN zQ06ngSE9y`(X!E)edL3ktkNh@qZ7fV!3$7aBd0G=b3l4>Q^E0_THrU>uPMqI&5LXz6A zi*j$pQOA!e6+*W+Qzi@%SF!}*nlBFmjf^`edA{3KzLb5AmvDV3u~xPwhLiejPh??Z zEY@)grb|dBAQTk3+nw#hfxIVJ3qdMn1owA(yYg8Z-S71_3&8zSlv0 zfnIufq~td8z%^j_ZT)ax)m6DYIHlfVwBB>r_z^7|MZcyLTYNO-w7o>AP2X3sbLxjb zRtDF^(pdA7a`k+L3~W^VE##iA)^E$A`!flBGMWI|@M}pyo?JPRVc2V&c64VU#D%h3 zv+EYa)uord?mL*U^KQnzGbp=Kfq%z7MoUY3w)pMBK=z~TC^kDkdQ*zreU2;xp>I#^ zWM+`1CPG+Af_XWEe(6;*o$w%FAETAJZoNzYq37%4!$K~4iMsBjubNXxw5FL*F7>ev zuSmS=vF;9eL6t~l0bQUcvRWvd;{ zy-5j2eUkiykyJ*e$to`ZSgWK~S+%k=<-7u;K1}E5WX6g8CV32i z3bxgHBmEyDnl0ooYAXlp+Z<5_SfE5wRs2)tt(HmpYa$y@pU2kuZ<~Ua$~jCn+jj)I zvnZ4n27F(ly$^SGDO4yOok+cUV2&-tw!!*k`C+Rgd`7hPH$W@R#@_xsSl`dkix;N5 z6nB%~ar%Mlmn&s+oD@^OT8I<(!ikHj6b4Qw(^r~NL zE2vU2#iUzV*Z`c2)aVeW5vymh#tQvBisO#?WR1E_NGB)5Ro8U{sl8Om= zb|4oK^J41Y*Y@~fk=`K)3>qovHe(H=Ty7`X#BLklg3;UgCTAt#xBslaD7ciT7n~5) zR=n8f29lvC<)a#V;f2c__c`ZD4J!d2*{PTGc@v2$lqPg8+1>$*H-em}Gzd5{nGqG{ zic$j{N(7WfA|K>2mR(OBT{ze_|K;5F0i>LVp(d;YZ?@Jz59o{IL@3~dk-qc7B#E2x zV*cu3W!vsHkR<--?niBgx+E#q;GL_Isz0hq#LQ?)yaD#5);kaB4KoQjy%B_ymLtj9~^aCO+@c|Kc50 z+U`k4R#s24t~~36ZUjNYiH(y}RX#_(#XNE9QQ5aC1=X6VGHryN!ze4{ZQrk4Z7qqb zu|14FU!ZBu-Smxs=`5EnV;*@Oz~mFuY8v7uu^`2bD_47u9-&RejZ+dsRWZpgTm>2M zAA_3tUt_Ghjm2pNao^(!5|o=|4oC?0j&8@lFT(Uq%RpPBHVeYOt$oxBgfEY_5C1_yt{YU2Ud+USRDdgjs(=6M zcDcE-e0r4HYk2o!;YU9P2g5VCuw^w5+Ju{zJu?1)UY=?wm1)ZO>}=v_`O_$W<-Ql5 zHFvU@gP@dbsPCh>^8}ZfX4YqQ&OOV^_M(>^o*3SJx8*DBCIxp}*tpjouoe${hRQXL z=(c1(>}zQK6F4GUd2C9Lm3)|Et5=2RZ3;oIy{U|7RC6%=Zmm<1;$IGR*nJN#HoU)l zvkWSxQ9^NM^n#OE0dLvlhe?d*E7Q)4`9AP0j|v-op&_0#nBF*%S!O&RmvZu%?Kw)! z1;G)%(Rcr@u6O%Ss#|?psZrgEFT(Xfc~6M_vj+hdkkxZlO^D>lvy%$)qN0qoG5F}J zp#jzXB5e-;3ZvMwjR&k5P^ZqZif7dU2Y(nd5fW@5rOrFb-eB!T(U5~=)LuvrFXr#p zN*{%kJ(vN9VPGTY_CmQ6r;>*=q0@Bd0Y3+e~08>=|g@f^k4l{UQkXI zVa}g&uFPY=?Gq}=W^p%1&E2I>DZpMr#TK9B-dbg;&d;;fvukgh` zuvIy`I1`SI8bkgpq;vB>nzP04G*AgU`-&1ZSjSs6?d?Z!n^lccXis^TbT2>;yAi$w zP%rtu=%A<+*3DL*Yrt7$Zs7D?-+!8h@1&N7YUX~HjxDo)HZ|s>(_;<=d~Hwd!?3QA zr&MobQhXx9SEcaQLBfO^mD;l2t8|o?*jOGvU-UJYU8G>5i*udvERdXLRuj0n@Di>~ zTWea8tIZZp!Dw2XAph5%mVqJ424bA6Jz_+~Bwg(I+=V^BGQxb&1T^+wk&tjxrKD9eV?1bIs(1b!Q4px2s#I^S1!6 zql;ncdw|Sgr_;S=!S-%lz;-OU#a6;mItmuNy)H8}*V)~z(rvjwT#;dJrkYX?!PrEm z?I-W;41vT_HB8Je%O^pW&%5u}nsEwq8x^S4fbNb|g4~`l==9t(Q-{o(%bt)HhlYp4 z$hBm0w*eB4OU=wYD_Yl72`@9I{~CCWrG6C!1FWc>Om(Qa14LP5B#un{zO7-`qvaPT zSi~9dHxX2LB_7kHE_7UQ)a02itqQ6`P-Easo%L}BRY6BZOxuu--S30Rqc~6*1G(tA zjMu%Kk3D-Af)`QW8`O}DZ|JY!$66e&P+moipqe>w)|1`nBx1W{3WW=N6U1h=cr{xC zy^69}YRv;dPdZcg=Q@Q;T$qPKV^x0ZBsa;qTn`K$v^E9LqiUqG_Rsh?8P=hNL@Kq} zt`7YPoKcpQYE8%~hn~k^SLL$>HmMQAZWvDH+L+COU+!OjxhrL~60i^k4EtgP3dO;qC@#O-_6UISc-bJ`VK335XRcqra=4a!b+6{zKJVCUrd!Zs zI#yJO_#4ggfL9|+R7i?YB&&!@=6;nO^kt8QNHk$m8_FN*z~v8qzdm9vDpWJ(7@ER} zkjY?UI-@|W9NM0=7O z{Q~Pb`O{28igax|uW%2gs4ToTL5B`T_qF?xS=u~)m5wdHktn!Xfk3|^3mibDpMI1f z{a_W;56TS7$*m6?A0O$<8rS=^s>4%yB{q?4ilAtqD}Qh^+h-wW>u4H8tKTo!lC}u~ zYqQB>aIWXvC9Epk^M%1t+xrNn_w;<_*30#XU#Ta7y=Vt7FRz@*4=pz`~X^4Sbj8s`{UF6ER1hTyF z=aCsa-1pc=u7tTPF?`LddHT`*qoMXHDwo>H2Le+&GuDIeCc72usm2V~7A7SmB_jaM zIossDneb%Et<~S(-)%WBY60{{dqJ=N{EYp(b5eCLeC0h~@1qgJK$8%$@uM!PxB?WF zKy}r{zWO*iZiR}>e=ft+|7tK>w$|y^)UoMNG0ERh%Tm1fD#ghlb5~E?R840w=GNA_ zD*nXoS|Z7AXiI;-h$4UDS~fS`TfY{r3u;^9GJHI%ioHYt=&*2hl%%MET*%M*wSHXMqYK z4W<&mcAaME$B(A=1N&yz>eGWTUD$yArR98}8vV$hyG1Tc4=0>p<(=T=HXAS5tHC7sFTr-I7)C*%k#EwG>kM zrB?raXUFkR6hNxd4NyCkzcpdwe5UtwDOWU`YHzBqF}%Nw1J*uC^YP_V&!CkZ`(JO3 zWL9ROq82ed=wzMz6j6_Xn`Yh~4Q99RP~~@MRkoPTO8Rp#2%Z_zci9L`1SL0b87WyR z^h5kp0A4pG!-*e9AC<8le&>U&X4=r5heVH`am47yPrQc?3F{HkPVwp^J)9nN?InR7 zmpwSE67<6Ew+GBNP*F?g_*Il>mu>uQsJ$hz+>ry6NQ-%$v5WdnY+PIk_E$C-u}Sc? zQkmN6z4eJOGQb86; z;yixxh+O+B`NS)@+GWY7UCEJj3h#-EHc|U2us@T*#S|0+MdaX)N#F#+#d)7*i$4dl zfB*h_a6kv+s39+tgNjmLo>)U9rTPo zxOgHEh#};QxR~FsxLl}*?zzLDN9?0?L(7)L-7-T^FI`B}I@a3T>&?1H6|<;wZ&p|R zP0qup^bVcT`&?4l1E5I2!bWR3s4Kp}mJ7*ee^)BM+*oS(U__M8_EV>p)U(AC2~*Z? z+^BDE3*+qBM0=hzeB5L=k_pc@%0(qN5n4KWWlF58|&Gul7r7|PxA z-H|n*oJnA;uJ7<=- zKc%5A-rd+&3H#KTQJpeRgdrs4%tsY@i_NQ|@b*kOksn~IZ1zL6m+3gMb?^yEfex{4 z0-H*--D?S+0?g1p!o)(hYrsEI=?t7kdJpi@&?;RN+B6Jq9#apOhPdMAS972RF=eMK!FxjNi;#g7nZ%2OsX!tDlAZ zoC>YJcp-K6Vdnj&a!MSoC=wEV)~=M(EH*XGXk!spYGdF8iWu_~3+(|=BZ24Vy4usIX2(=1uW(^L=Yk;%_aZCH>S}5{nmV4A8v+&;4Rv@C3aWBf$O(sfv$`hl zxLN-}oR%8$;I@#bIU2>1I?Hk{H8HMy5a}S!$&*q&ydv;#A1z7UYwj^l^GIs18@-J3XT|zB#n=7!< zWO`WYEH`wp^*!+2*gaYxboZlEBv%7FPl?C0bM`B|m2}JVhrPEnQD6=iJLCxV>5<+Qe!jRfi z%n5XlL6a&e1YL=CbNYVSW0dbiC#$u^A=&7R{ow1vJ?w)Dx}bv5jaXRA@-K#oINqqA%Aehij!R(E>n#8)VcnsGux zgv-pxX+hd&qcm`YkB+h08VP#J{STWBt%0ge7YIVnFRhM$Uy%T7{ABy>GPx&^uU+=Y z@3aK!kZb1cCBhU7BuYvdC7Y(ZR!;Y(!?|nV^wPJF(({V0U`lFVMZyvTgd#pnJ5xu! z%1;5E7cnskOXtN)a96aHF7Mja2PZih!zBg<8AB!2g+tyj2Z{3|tYhjOgOL1XcgkyA zb;B#+_RYV4gFaCg@AoGo_2PHAt9m|4#%l`Q(E?laP7~A%CCSJSv}RB4UIUs%(6=IM z=p7veFCQ$~>1mnVYCjnK=q*c=1v<tGR2gtHoEl*pt@ zo?|p|30da4g?cP82zCbOBPjZ-!a#(qcw;q~d`j4qfx?Jp#kHzYn6X#4P7+M3rJTNd zhNWv~Q7oZj68FBZi(o#O7$Wkhn3hwo#j1j{p(1N7fEp2~l7h~2LfD~f6e$RbA)N~@_Mfuwy>v;pP#@R^IkEuxK#K75S3vU;xz9jLn`>TW1JoKI z!vIJHS{@+IfRAJz1X%gCf4+|}77}%?V+q8Lyu#~hi_n=I-7fmnP2DGUX%h~we2@I> z`S`PMEs3vC2m(>fjimFp$|QVtSep^i4qNI1G%S#zLp_W-?+OrZfi7!1(9^T|q5#}> zgJS{O0pW(9mybvogyiCBl7!OR5bjjKYSl z&E6*)O=Z)^qIulfuHWrrcyFekiqjdU*`!S589d$eX!_&_ojQ4jbRY`fD4P+j`RAUs zv*SOzX*my0wQZf@<1K)Qmd~P%% znMz^z&JD-|#<$3O$#nhJ;)_8<3q#Cd64Q?cn^|L^lA>6W~f3&Qw&`I8!-vuWpCqOB(jog%#ijJjjwWsbuKcqb0 z+dK%wy3`z|lf~9$fjU#3S-r8&`du30J&(j$*wCY`B0|+;v}dxO#@{OsJExKp+=nC7 zkABh%d+6UMQQm&fFFqUds8w3$+Oihkl^Y|FP>&1!a-To6wJAs=1c!?AMpjUw5)$D6 zOyc6>^B&&@<`QKGFCKOnK}Z6<4hYPE_ko$3oxQzCjlX1Nv3o;Yb+s5-MG6!KvVYYH z(Zs%n!S9L*>Nt~lTJzv6W;nl(+%-03(~exc_F)~`@pZTV^|XN)oE%-25AM!kBP-9m z=c4Z)*e{|lIb^V~u(WS&i9*iure_f15)u~1Z4!IP!x*&9pw9_f$`=zsX=q$A%4U9+ zkr47SuE&%j^#y^HRVWH~Gd9Jd!(kl|-mtHzvDAkjphE|Pg8cJHA6Y~=Xap62ss{en z15D;(e3iqtn0*7xGXiN2?DEA?K+XlTgS3;JzHE?WugXT^hbQE5Zl4td%aFI6cz)5-$~@+kFZ{mi z_jD8J4iAEI@xPsChqs!y`uqDWQK+jxs>;H})!Q4-UhOc<2OL}A>`kBeTD#}GBt;az zHEgDtzt%!uTu{Q<{v(358Qz_CPET1)fWbJuG|fY(h2XnoZ2BGhCIAkVhB`f)6O)FT z7sG2}fRO>h5z+q|UI2PuAQ-!jTSesoIfl&0_YR7x0QIT(v{fDmZ)2BcZSy` zE~c~p^A{$H^M=o9Igo>oIR&VAcOPDB%uC5PF-I8GOsJGTIV0Lu)Puf|8hrU;mL}D; zs{^*>MJ2o+-l@_qh-p+#en?yNS$n;D6^8~I>Xw!kjbZ{<8>pu@ma7?Lm{u?W(WUY; z2;OwG=y{XZb)azrZe+$l)l{ktwqd;9jTh=X#ZoJ1{;+2($A?G$x2U`PsLni6Cb*WO zq$z+d%fqsDzZJCaTWC;ioLIWuXq!QZQnQGKz?bp-c4VpFhf};FMIulQih=4a=zsk? z(F7H@#4g==rzySusf(7^kY{zdCo6d4&hTdi&s+!Bp|r7kqI>kimzM0r?tW}> zmUt!M@h~ahhl1D(skt|0_&g_SV1LcW;VUo?YmiR^er82^56e&^dl0|{(y+JiF$8+h z!n_LKwYYXM;tV;hWDuvE(kPyAq$;QxvbO}?KJr)sR^c>&JmV7IKWd$J5qqHuozh0! zN8R1sZ%m`Fx)ox%RZ8*C8XLpKEuZuj{9yz>)WWybbRcg6;q-Lw_=APy{UUq=h@~I` z&zo)skWPVgJ})ot6Y_WE^QJ8@MbicnNUPsI+@FQQ6U$?AbjwFC?xW;$65o2d#{vC~ zJ?p(gOsO{H0mRqc{hq$khg;3GnLSophR^g+Qr@1~=rih4>;;jcX8euTA#IrSkf}{s_v645-61N`Wg9mKCOj zhiu)6eYhg1gACxe(q44=TlFyK)>g1&;?h78=BI;bK4=z$-Xggas$cqKun5$?YGX#7 zkDBr}I{Z~mTS-zX$NEn9{v&#oUS8Ipx>E`8+1Bac-9k}QS$R$0*ZLG`yL)NTNt8U& ztrD=11NFjX`h}|x2JWr(D#}JWk99)A_RP1@sL!k`aIXwP_iVY_hZkN`FT8-81EA^x zQ436?`()eKOvJGWOoiCHx-!P7+<2=7k{c~8EuezU*Dod04KT4NF7A=Im`|Y^mJoT} z8*MiiYLW+}t@HC%nL&S7>X-9lKUw!&1P~IwO3fC5x}DZgw2ko{F7ay)e-?imw%z}V z=pB%_hZfW5ve`cVXgbG%)Gdbnl&$JG#^dfS5!@UbDXT$I6}|(ag|Z4p4;_Qe z`@*-q`37@lN&J0G_YvPaw)SB!;pZMc0f;ojm74w$(Mr4RRn`i=SJV(vc4EEBf3*OO zfPn7>4fQL8F!JyMjsFCSrjQaTOBCW@*b0eAb9}K3^k-GO_~eqVp;z9**(S!#4&=9xqC(7HfWPB zRs8j+T$|)#oPC(HN!Tq&5NGM~zs|J-f)3@*-+H48jbS7f_r$5ps9Y(7iKdf0B>{$v zI>3k;04Gx1q{{UCl>L$dXvVANe0hhcHY#8tK3Kf$?V;FNB0xu*QacPuPfZ0nRQeXU z*^Yyc=xWs&O*;!tbJ+6$Fp+4=(=C`6Yd3kv`fuVbEaV23kMpr)xIM?v*Ze%H{`X9O zQrnMg%z;!4{8dv^>l_z&cd@9b=&|s7Wwnyv|zq{Sz%T=%%JKH z-9^uQ^o^HlOyVc$CJT~3?S#gHzaa~#_(Q(7v~N17)WFUtrXnSS4t!}Dw}Rp}-}WEQ z1Lf95_AWPJACW@+J7nQ8P#=ORB?dJ*I$i9YHi9qmQ<&Q2!;{*oH=Evf^2Ge33a3Yk zyqY*eF}r?p>w=Z<4F5`12Ir{!cvPR={V2&~vU2m`&C7YUSxBl!lP?btEU-#b88xS2 z&qw>tc~CciS%nnVbUI&5g~&?<(iU=Uav%-tGEl{UtV+o$A!1FE@~133;EHT(QC*#s z{V)Lc@i-ugQLBw%lK=z_6q`l)V;zQ-s5!&Q6A?hG7kyUf35`$I1i!9CNT2VVro?K$QZ&EZRrSI#g&-6k1d`m5weF zQ&!4@RMVznMa7vK%!Fkxsy_NG$ySm7kowI7b#?k^dArPnq`jIR|M`~(bpG}nWVQg{ zf~*e&)2?BRiHV5?X2R-zcxfnX2u$mszWRyR{8K%wIDA)?~FD*|!%OLb;BTpJrRT zph*YXP(Z50uo=(({O^%*r@LN4P6ke_=7S0Mi5!bfzWrXtq>7!RpC23W>35p9KBk#6 z_3sm1(vivV5W@_J%0D4MObismuC^ozTF-!S{T|2r=$M3fz(z%<#lw)|76lp6JvBX( zem!#=VD2b%XlUrui9v>OOfS)LR|yEfhjkS=YeVjLc|0$|ett)tN+bMU^A-?lotu37 z&6eEQZEcmmnfwrN^Q#xnplkzl(PzxW&T6{Rb3Q;i8vsnE9~}v)XtGIzjZbMO z1`T}?G`FMj_1(BS!(OJn?e0C=59EiEejJF0vZadr>4yHMYB&#HFo#Z_Ne9KaXlQ8> zpkP9uO)97|NasykqeR8p|9DZEFwvZMV_>prq_86wv2=AAfO6++iU(m!voI7idBCyS z1O&)R%T5x@S&=Z`tLm_n?T@wccO&n2BB0L>3tsvzo>f$l9Y0mGGt6?IJ{ytY>#)gP zu+o3@`H3IsSMCf1l84-Ymffjtnu%6A&|Esp%2~SL*t5UY<4QA_7kF~_-CRA;qXDta zZ59OJ=vH8ueFf-M-JID@@U{fulr(`0iX^wj zeXTEKz%nP-O0Y6jLOt2N%<+0cQ3ZMhi7E4L_M)rK7*p)m!}E&c1KITTdmMlE5wzPg zL+*-LO3;IlNp)%@&l^vyc~LG#H^wW z1SKn>CF2rp)OSg79aL+nSSB~Th_yD?h*%@@)K6>R6>$=n+D61SA^{AT0Fr)xv-t{! z%U{s^Xn~p9jtc^kqpc;WHdY|cyKp#D0!9DWICnVjdQyMbiny}hRt@?Sy{sUI=~#w| zxAv=u4*wG*J-ri|AYIUTwSWY7G1b%{JhH%WDWwDs<$kC8R(ms>$^gV7R*=D{zuwHd z{^6Dd%HHAZ;H5%6gDN~oO18i>-{t*jZ*H&68O{~Z9PC~f#OX=BUBpRT#(nord7}-) zE}EsR-Q?N`m}D&*z5C}N;P$C*;Zk;BHt;7P7x|_pdS>Rw5B4$&`%G6ZQ2hfFv0d=@3vgC#2xxUQ!RR4V8=_&-QOB=dyD-EXAbVgjM#}y0)fGe3wV% zo7lH3NkrG)4R0%OD>4zMf`hml4TN8hoj_&5?euux1~fe8<#7aJ-qp9nsx+w+&SmUy zy4w(D)*c-#|K%I7keauRdN%#SZz$5aty#7d6;-ILj11uzwOE%g02TX!IcGrhp0-}%u$?Qs`4 zX5&R5oVA}{1$#0F#1u}N#lv|Pa+TV&a1SY;fz>~gMf!I*JV*3m5m%}h@al9sy#i&m zpF^Obh~zJ(6tT$U83o#V1~shB^Seuj%)>=KYiDqhT#DbBE(B%su^CT)0=5+mjZaJ@ z2dZ`;=eyLaB{xsnFVvpAtET+{dh4=Bg+s0Gf2XvhQOa+Df(*>{#>B)t9+L;m?Vn_{ zV_g0Nr6J4<2a`1CvImss6+a|qRTXwsPCOf!Tg5gsBB7$Q;*|+9k2X@}EI&LuqYEL5-pfrIEVTvS)fYDJmlI+uL*wJn-atqzv{zqy{bB8l^8VQ`ztaS zP(T1vKv_k{j+qWVJtl$L7?*rh$5|o&%1wi7yB!mrjF2{?z1X|xFWs* zN~#x-Y_pG|$eF6V_m^62Ev@k9i!vf+;xBy(5|!G_<~~+~d`9D?&~2DOSLv0hpDCvK z(6b@AF|;!X#`kz$^N8Y&OM)GEBmPMil%rt=3YXji6j|0TlikIkAdW>t-}Swa-D;<- z2fqg622ow^R{&^^BH+U&s!HNlct%8*gQ$KXFoh=^; z$5O)33>X06>(?y)J@xm}F?5(5AOPh7a@?(qef&!I7=N)Ni zXV}V^PSawy&IjZPnlNgpA=|ry!MTqPNyInQ}-@Pr{r>0%UkD*=HguDU4OH#!M6rJ$pOmT zV9NK_C0|NsEY;9SDPecLnt6r2(vU-$%3~t*GIP3X?ym!1EY3zryY+##8w#{66mjop zJ;L5XuN;>v6tU1Cx3;f>>;J*IKD((#E>Lnc2`~RmgXVl<+h;Q6{nqz7}Pbq zFDjNjqF@`$jrZe`o=4p|Vh9|j2IyiosT!*UiPjQ5;Y0x|3wCd;WWSID^1+o+>JJtO_o7ARjRQn{KEN6 z%G%rlHAQ?>Y&BoPzDr2a_X6l)@%TU+uFrc(e!!O`=EW1T&}$cyKwbn=5lT~qhslq= ztN(WITkc0uwoJS%E3oKd1z<{q|7}ar&zEx<%8!&a-UJmKesO;ZKn!RvzvS@HP=6l$ zy&P(=1E*%I-FT<$F2=t?UpV(fcoMpPaDy3ajF0qilO9Yr@I5}WwlrWZi3y5Eth2%W zZ6rm=oy2UO~}32@TXP7?!(BDOTLEtQ6gg{%4sO%2#Wzn`N$j2Tw%sJ4=u-7q(QuyobpM*(pTTa{ zuaGu^sv!f7TebBAO-t6iStFXJ|DQ|cuXvtz7O+4#KMp#mDfQ<_qk_nzj`p$_>Q01L z*8Tcs)lsqJco(jX9vg&SXep|o*BrlMa7Cj=d zJ9oFPN~}ze510X9&2a-_HXEs1%)b~0e5qbDfBMtWO2E4~FL9ABiH_-=-d+bK{iBxM z9&-^1%g=?!iJZsnVfJi9>m6aF?}}>;sHmyvHz&F$?oK>97H^UBvj*hwwAK>|Edfk| zUv+055K4V%yO&9C$-dI;;ht>-WeX#(#4<=c{nnx=%HI=@z&S5*e{2lQ5j>U}WA)}T zU*FD?4rThHUBJ1Xp5K6kMNL)-qz)6Tq58O@Rov<2$UPWhiq;W+Myb#?WR?8`Zwnh@*B6uQ zy{z(`M+jIl)OM;aIs3@${dBgNNwW}Xi&A;2+tHYwAdT68fEXsFvPn<3eeo;&tbrc7 zlAb)GW%?)xh+<_BXCD&k{+a0OqbsC49s`C8H6|-`6@8{16Lyf>Wu4H z@Kt|9LTI@uaQ$&X+LX{J^no|rJ_h*?dS!uIg;qPbA2)G6Slmp6^63C(JAjLUv?wMq z5zpc?V`1^Qlqu1kjt_sI&L6q(cNdt)a=h(Hz;TaJv!bcfl6UWxMHXt`=N%YHPU={p zFgc}>T)S%KZ4NkpsLI8G<54pfGh8P@eT>{-)VA9^eG%=u7!6%t0D%)ffa+@pPi+S4 zxq;opB%K|LpJpKz&ZHc7$|rHp{zl$H*RnGnW?1&+GsSfED|X}g!5e)oDNm?pMw)6* zoTcvyY{G*3bD~hQG0KxA%Vp1Q2fj2U3R== zN#~_XHSHSJwTO$wyt;)P#U|^@=>PeEu6$H~*FGMKYT7NOtd9K*O98mdLY)Jr7QWx*6CM-m1ux<e3>Bai8H&0kr37keM&{-?K-o~s>ThuA9VWRjY!`T5 z%q{tD!g&%Bl4~j=!oASKCJVX+G{k_Z@3C2s1BZAfmv&W@#;o@3?Z=u9pE=J!Q~hY zw4(<>zN+m9i`%m!3X4=SX0_+mH|A|J{DG5buawZ+IuZY&talOY$BW3jcd*?v~eh6h16__E$p?OZwJ9|U~!u7)K zTxCZVMginfvY#E8Q%P*qxohA&I^sl~XPGA%giauOuZ`E^@o!u-+?a)qA^c8LcQ!f+ z>|d_ZV*s~Oe8dmHiOz7z(WZ%uSElIchK>r5sEO8i8c-4_3)&u@L zN)ozzdZ8jxi&_cxFJ2T4^S*&eJ3c->CeY#P(B(C+(g+F`WWalj!&qo@nm zx*Z;ePBAYTN5b!X`lUqo^Fa^?&T&U()eVR@Xt24FGwUz~b4Z@L!$g(l`_hL`#@sfl zr!iIr_+%s>p?kble1JDfqE!Ja1d$8=7tj}5-wh=sF1?lO6my4T6o!;Jq5^M4^{eXJt-Syz?H>dEX4-?3*V=?e+xR8CQo0W1+CPr`OyYTqPV zzYJ$Kp-G)!5LShbUFiMC_2+vW?R5)hMX9xiWn!qLNp;7pyb8B!oh5VGV9*2O)}XN6?-J^mKFeGegEA+L0>xCC;>fV4U}o@{`Bj_& z5FZ>Jcnk5bNhpCE=;rzt+u)Rljv^o%Nzqcs6wa1`NdnGm1uf8Nfphs9k2KXO8la`N zKZO(7&O{0nr=tfyO4QlEHWJg$+0)NX@M%eki__CYi~P<$nXMYg1c=SWuIEy}+SQeU zK<;$QfBH~#7G8blAe6Vdw=#)L@uT2<0j~2(3%}d{L|A{;Eaa;QTq&i9*1yC=VwTnB ztxw$MU0iT^&uc27dZW6e=#Fh2394>S(Ymt#rBjyvLoIW2D)CRTN+gV!-!WBF*t_;G zKW3EPNuvAh=1ml9wmHF!t=W>YaPD-F)bP$MzuYvd=e$8rEQzSEyMC26RFMi@T2{7O zS$kCZXAyIbulRCn10J&YOXrVvNc6i$9(0P0$1L_|4jZU_-h#^lTX`Lem}rl^^Fet( z-1HDr(QWg*&#C;G7u&F(2p#b*En)|iOwRvM*UA}OUASTQ((}GQGZWiIvbtz%C#j^e zcZ5^oOrga!HM#HwrKVno*&PnEgCBlu4&eula@Ty zor{(no-5RitDKk<&oK=G*Gxt=PW+wmal;ds>Qloi?U}8ZYD)jmGHzmccLjS)8E43Q zspyHISZ$Ssug*q{j1$Lnq)K{d^9M{l6Ncw!wo;yp%>28_tz+HB38h1rMie@5o9{(U zWb)S6hu!z9H9|4>)qQI%l;u6bTV~-^Fom~_3=j8!uLgRh`6}r3EK?H7>P?b8Yx5LS zQ>N{Hz~qSiH9uv|!s<^q7KB&nO4?+fz{mY$bh^04Y2v4PKCIq5 zDf8YD3T(z-3R+2hr1pJjsS^^azaY|IB;6@Z(yMZYmL=U zc}lawK$|PF$5KS-%>{D&aAg}C8WtFU)fKcxit#Gly?giY-`m=( zlM@f-lXE4^N!~}*V*zztmZ{h3rv-btJ&q4$gx_JjmHq1LKCgZ8pL}YOh`$s`cxrL% z6`QEqc5#1-(PQj+J2$>_Eyv@hM>{F0Ut}urh7{&mP}gMX^ep*5_|s#%jU@bj-s6u+ z?-ab%N8wIGH2q`Wn?iRv!m5RWN~usP-1m%d2gNl7Djr{Zk4NNd_Fv>`8l4;$uux9&|UzkcmU%sK+#El z;u9Dc7^jl1NXWf(0_a*RZ?L4YG7CJHs}OV*1r<;oU1=?!IEH~LDxo1$~v9Is%Cr} z0wnRkqN7AV%ts56o$;TSH0;e|&P{ko+t14uUsO|8R;FA`dOuz5hNXqYeVsfbsvU)Z zOL~@zRBuA_*dGNWUB%gn2_+FeY(&0m;+IY7+z##y&D~njWcLWBtq*VEI(0$mT@u42 zc^Mn}C)_)VEWR+tkrJvMsLJ|rhY(%P>ZmzX31{J2o%r8bJP7ku4po%T%E}5*)--k5 zFrgE%^PPZSqH^;2<{$I}BWPHiB8ztlZ5V$6xaZ z^4$JDIp)xS61EEuFkKpb)s3Xg-t1%8xD(i$0q9lR_Wmwa^^xwtTDS&l{V@N7hTnDHy2y({TZB3wA%ge#jQN%Pl48sG8cO>E(!t-sOjr|gNu)F{dM zC|_1C@%c%OP*UfK>V-kNj_V_$IeB@bTXO&3g?L$JGrVLeVtu{pH#?n}62-38WMf&p zKS@5GJhh^kIliql^%zCdK?tH>*HclT%AjCZQ-K8`LwEoE>i0kv?f~pRSr4hCTVL83 z%?%~c$TnUhmUAyYw#?eYx1W^;gHuzHUzTEnR;VVk4A|bF5{Pe(5jD;sb#m3D|AB{$* zsjvkpv{#(}?~e38qzyKTr^?RODJ zImwwkwiquxc0kFu(i5`E0YoVDw`f@S@K8tBbQRD9ZCE@ z)-{ouxQB0sr2F6-h+SzT5NwOWkLUGeAh5OEjrXH0O<%`&Cf~x4DB!U%bSa zYrOJD*B?e0`lai4Ejpwgy4(+bNL*i%{t2%4(>-x?M;xZXKBJj1qTuP{@t1?~GM4tM ztE+$JUnBl-MYhIZV5CTDbv2TLJ!J&HK}_Vwd<4ss$HQQwN~o^v&Utj1^Iqy}ZVvD~ z!+IH?ttFiZSMu-Nc3tqh{4dh1K{Wg7B=b^j@2jP(_C$jBv!X2LqaJXyFYh4TrL1PJ z(8q^7;CPm5_U+#MN^2zdA@s$GBy~A$OjP6b(_5e_LjwQA)4pWX!|!KB)Yg_M)n)@P%W__?`rqIr7I=5*;vR{yGN=6lo$1+~A&vx( z2@lave>gtdORX{_fu*f&kyB6roj|VSdT!fHsGvaKK>DKg-lfSz4!+N;6^g3%To3$8 zW(&wAzcJN@D33kLhZ#RS=nf{_Y%(A&R8xDFSP%!L_;4AJZWZai4qg{@cBLcDT8;9T z+0JIYvT=jO@KIlL+PLkiuI?`v1aSmWCn`NVn%fgX)XQONh`T;yB8QqL?WK=}G5v?; zDBK4p7*e1`JM8Z+6j<5XcH{?%{yCb8``YuPcexlobZKcRK%8}9Z#M1QUoQTKC@$^* zq5$XbCH7foJUq27JQml@gaf?jb@Y!?(<^bj(tGd@K3v-%4kN+B&L#INh(M}0I4 z!86e<$?7`4Ol_ssx9y?EHySFzATF)}eR0GAIEf6j?Y z`t!`@6#q*jF8a+}5w4mVCqLvfm)Eoy$d%Y64`luprEw7FlS|5d4tBr@cdin0)%Yr} ztxH{;;4Q5`cgCt-+4h zd_fe{;=R=WvaF=GHV@7L!Wc+j`r0WLXLB)aLqyNBp|K&K{QKK{E1_7TeDdR{+s#~= z!(;r3XN7#+4kt|8LkhR>g9r!0*a**6hF}U`9t&T?ccek2YzZZ(Xl3;~V*eS6qB|UB z?8aKmQ8RjVTz@xCEPQ4)+S#8JR8(ZFuiI*6X`!P!kzHm&aQt4sxJ8P)PtS_}Lm0yG ztcAa89tIUn*Z(3lC+a;8nfhZ9ik}1h3`>tlEthvOQEEvV}G>GN%4gP_~lRw4lr_@Ty5XqiLwYmadMsH22!J#&~$(Xhw|M&$aZ-?3se zx*I4&R=R4^N>A#2*W>+y33rV0IQTn1Ds0VIK|F!nd!w)8MW62ogjjN7o`VFH`z3lE zM7{1>V6lstg~z@NqwI}g@@W{vSFHv6b8zDggH;=N?_Qe_G)(h)T}zg~e9|2B{5Uvi zxUC>VbDC^c#AQX&dYfn@$?LR&73pebLvO*_@_~cI=T1LwQxtSCeJkp@Uv+6gjwecJ zC4&R%A={TP<07OH2`W(?FFF{ha9IS+cI)27aqbu2?=?`Pwr$ncZuLGh-Y&nV&e(6A zf9`p-*wN3+;RY%MAIUy;s+O{guVEjkrUuLtBYZ4|Q3wI-SkdUWUojdJghR!Jh0i{} z6$tpIDB_B^%8{Tl>NXVaE$D5Qn9LZyQ#Nw*mm}eOpW1Vyu2{y@NaE4EeKTd= zOBxahrP0;HC~$25urA32-lUF;h#)qU^}kS(sBX!hfG=mJlTnqMn;Ums{+Ex*1eSI7 z0=|OO?_V^sn6Qss0eJvtezS(G5ben3{<`Zw zyEP=#IuZNT8cYKrnG1AG6H4(NFVcQL%DXc7`{Y~K#{T|OX_!k}0(r&wY}dH#V0q_q zZeE`LKq8H0wNV#K(3W$y!R>U{>Rg3urd=(;!<$tH(w%H7_#0j#Ov~eMC;KN4^}QZ7 zPOF_wOCOV-w4Cj;;ZjCj`Q2htyKByfn%WE8@2zDd5(c)m3dA+U=)}Ikz3W@n1R$|j zQ=z¨9s*YSuBsa?qqvZ8WIrxlM-yCHadD$j!A2ULD!bV=l%%Zsu9$uy7AwMj^mK zp#fPA{A5lC+vd+DKQ7fTXKQCIeEzS3>Ckyb{=tJ_Xyc|)kD=cCn25gy&0GTSPa>-c z@cF{;5M`{<9J9_l(E6?=7zhu}EiWA>C3{J-+Tbv$o?zFKsTZb2Ust!F;79MZCml|m zFN7P@0HE6h`r6N9%ROsfVJ#Wao8;RERe(oMxB1U{^Mj1uG_5f#HhaXXq$3(WC$l&? zPpXuKC=&%3mG53d9d=9}D>tU$c2NBFI#fMVf_aNY4+Zu~{W{BJ>}sP&uSg;e5WFKX zX?+=?MB@-c9VN61o)(+&&px&4!$2Ym+QqM~>9Jf=J)KkC0g_wN`%_HI%?%AZV_hlVDL~qUI|mm&@9CIc%v_KGo257Bdyjsf25a_))LN^rJc20GHBEXD@@E+ zbr+?$X~}`}%~FGzuikX^q64c=oRLZn5hm38$+5LtCpH{nBz`{Q4$YMyFvC8L$zGV7 z?c^|5&VP(t#B>VQVy`{Cb?epuVv1_fze%-hRI6;1ibLCu!9H8kzb9+u$P3_dIGgl9 zwHtPgb+bSG(JX^zC&O;Dr6kWwcYl=!>cMW+K-_@CO1C6o_ro90+h2+vCRn(k8=lp%SB7*=XJo#GBMOz(_O;8mAWQ9zL zp7PPr5%^eKVHWjnRGvGhw=&05o02%eyxR?2N?hOm{6Nr7Ncl9l?FCVF{z_acxEcF+ zMSt=zx@NB=Pj|+b)j5&7{-?#(frZiq=1@icCay%{psV>AgR2=vd7~A_m|aw0aDI?N z&uq(oDI3k-II`P!ubjl4UBK1WR=L%zcSNj?Yb5`{2xfx9-xv5ZDztM~$lKlQ+zV(q zw@NOT}Co+aoWo7 zH1;6TomP0K9IC+Tv(qD2XlVJny8AdjXjjG`pF8SkHA|G^h5HOXcc;#wQYM&m6XN5a zvdx$Xly~DlS9Z3(YEula2yW$f@o_QlY|Q+hPj8cdyqJ32Bh|fu4c&X6DxK5??*~WB z?C$R3CD8mb?xH1!1eN%z%|}4VM5?Iv9C~Q*+dcJ-udF6}y5WMoa%;Us!2o4iH_$bM zZWZ@}kv-fumBt`&XZBeB7=OvE@lcP$K~Qzrf_mC5DIc$v!^**-&vIF_yPiug2)>Nh zl8AfPXqdx6(&{vYzy@7tU}O|ReeL)|S~vAll6CO#@F)4Kpcl|=M0c^#Q@zh4RkwW` zcM4#c!OULkuOZ!S*{cAbXXJ(<9WawMh3*eR}yUS8^3NjHyXbG zZbdtGl^~xeMT~x@X_|e%U4Y(1wU%&9l5GEjH>m-^Jzwrg2SvNNvyEG`M4!Z-5P9vq z+lqs^*&T;Hl5}_i+j7n|WlYKQC7QfY_!kam&uo>esHhM`#Q9?NfLLK)Oj`!F@N)iSV#)^A#EyZtzB^JIyr!IRH5gs?|V zUQ>J>^$vfi>401Ync70Sxb~_o${{~!>d0kiPQS(SlP_&`2#zL$-1w?`H@fC@H;-9^ z5+$b=!ja#S78P}|G0k!(J8xV#Gp0{D3AsT|x$UzAyj;d?JoK|JgNCE_UHucsqf5Nu zg>zEAeUkd_;pV9Ai*Lh~_hAtC=n$bm{)6pp&yxyq?V{noP&_3CE3-~MIBiIta{YeK zNt!k&W@=Ih0VFovyV=8**#gdY-3nVlY5!krptNSkzZoURe~e%`&zDN|Ln)YQ3_C{i z+pXXV!PUb~_wb9IRG&?03B_a+n;+XPhBgrd5%KVOw?naLpE(}s-FMg0 z>~nP^(LAG`K2MbTwNLqYg->}$kQf5-9PLK{y?m_*Hv0NBPMTjG2Lx4IJx?TBIk>9J zW(fZ>aAJQJ08G5DhzEEnu5UD_DB*CeJWouUsJ*7p@A?OMVRXXH42;B~z~I1u=bdG0|5MXTZ(noa0JvKW9N7qZ?Ci1G?A0EtFER1$Pn==#Ysjv6> z2SRvq>0w>GQ`?4#Z2mxG>$e=Gu0wXqDdhcYG(afYyn3Yyq@52@kGqr(0xe1!?Dn%A zfeROxNCMblti~7b;3oDYPB!|2vjah!M4qq$3un}}?5A%Im~xN8AyVzOiyEr+SX=2e zX`n{Isuyia*Ro|jZbH{G<)P8fNmI8AafAs0*%JP0s{)_GzUzE?f{e)yBjRUcVjAELW%QZ*ma^W9LuvU2Jv-Fm8;DA@zIgD~e}e3H{@0r@2&EiXO7 z<05Vvm0w9VLt|rqVN70eb@i1C#nAB$N)2;SN7WDO^Y!vr%1;6$wcYse@RRTA1+09NM`6jKwX6iM zc@iSB^;U@A{Ls$J$yuJ7lIgMhd-yrMPk5``DDUzGlI!I#Dwt#?uX-;oXmjVtLyPBP zmV%58_WAg=Pw#O=VqxJ|xs+rmlQbQEysYu%O$eQt_I$FnfRqnP{i877fF+XCS+oFh z$0T*-iNK8(tQvxl}pT-ePv zJ}NQpo0v$vlBJ$u>Ext!|Ni~MVAx{jKrd?)_~Bn+q@R2MXij+d{$hQ4DIWNPo9pRo zZ%?I6Ix;DHzOl+~Ig0fv8i7yryX3-qVtGo1@s6J_c}x<6zZm>8P7~l9}ymizbN{ z_64sFY?5mauc3UY6wTiPWe;%bBVJmBO2ikejNHc)qG`>{?O1{@%I{r#m3Lw_ z)&qPetV{l)5dF)JJ0muEj2Ew>n`pM`S}ro{SbI)09=jCs$N(iYzQAN)R>2T z^=aXnxZ}g&CfHT{ly~K5@~kG;sM^|xi-}k&Xy)XyRgdDdeW1nK@?1JWz_~w5dSobEs59z6qC)^r< zQ(tJ@W7k))J1>AMk0SBwF4z%T*6!-pnhP8EEjuRbwQv2NdqkloXBhth?{iguzgA^{ z^D$~b+*h1cVR;p!<^7a54J5pd7s4RTos8t2#KDh~Ag|YcYFjo6DC81RM2<1k0I?%u zjb=htUu^+6<@&FtH_R>DRO#e0T0~_EtP-UA@78CJf|Tcht(@!+_d0$~7l_%BQMG8Q zm}hN&QG_R4-*@MW8(zgm<8=4u<+pF&?qbhQCkMaO>~Pu;mb+lmfI9;dNl8IL3XY>X z>^eJ;2IxF&kF4}_P*>g-k@al(xwoVIFWtg7J1-9xZa^CYeqq3&b5~wG>Jm?9wy?4I ze*tG9tNFd)HIZ|OtpjYo(&?pCg3yDxRMi&|#k)~f5pmtaraV6Sp7bo`-v7vp9qj5z zB_ZM*u1}uYmWV)T5&M3vyy@b%^8y&;$uNr@iv;&0%Ju?H{)=oJ!E z)Wi9_rZgx8Pi7-qn~0vm?>0O5UXdhBpSZvZE-IV*rqAh8 z_~~!=*hSOGJfp^^$(jEOg7PM)eHWxz&O5z+{cLClr{Q{WB2K=XZ5spjS&Kb-M`25; zHec-}_xjH&!$*<5c3D~qe>vH~JmbNC|8gPf7c@QCuY3RTlE#y#7VbbHT&{08=L%)B z%a#BOZKfX-+}*cjKMUVf>hE?cQw zwt@gcInfv9^N+W_9Ia$6vp{bLdSR>pAvx>CU9P#+_Fangvh);?$u)YPJy!=3LoC%A#&zK=t(i+Fbf? z(le4za%$~o1K|tm6PGdL?#}CQ z!$ftJ3%^h2Z{x{2sw7>1=l%ZDQtv+V;nrl>g^Jky&p-SBu?XWBaAb zw8aoGUggr4c(rAZTs!gPUI^EuQ43~Atj@kpW9R;VAG^)#fS(Etbv8IqUU(d{qW?|S zz7msVSKS`qj(kA2>F_V3c|Lw#~kjYr!xtn-`vVRdSogh_SX$B&(v z58w*?l|EZMGcZ0`(E%Rb@%8JkIQ^)yvJ%vF2xZZzx@p$%mczZW+8nQ=S7)}~y&vLE zS5`82J!i(QxxQ;$xMd#m>Iigd*Q52aU0A#nGepDt*KZHtTs!Vq+E`5s*oomA{M6O!y#iB<6y%y~rEiOXMyS!g;xDTk5^x7ILP%oOQ@&zyL@v+lK*3tNcNPIj!fXCF39fmV8?6Fcpw-g@MY6r!mB*yqd#IBe!*&$JETw}vM`Tm)vIN2PsKbA80Y=WE6y>20#!cyIEQBq74u596;l*@J# zfsU5}^}8B=1{1i_JYU-M3=Oq_RRD4om_@0iK`3rG3EUfeR>j1`@_Dhqq>9EqCNObv zbTm`BS35b_*%=0BvNP98$4plQIJ&V>9Twaw#^Ic)q; zzn}U9r%rY5d)oY~e5**4ltO#f@yb)h^X z2LQjOh?KeLU^(k#9}J02hMtWYh z2i19{{HxdZtrMvKEJuC|g$KO^#!c{my~%S<$cuXr%=$E5G|^A>oIlRdvVIK}aVhEM z9`{*AL}$1qX2;yi!1vmu=R92tM^7E=nS`nj7yEn+k=oSPyogCiNV#-itS$oKqeR-p zR5k2+!ukf#35T6X1kz<&;eWh!H2d5>yg8_o$W8j-qEr|}JCHe|ANt&)EH`_Zh++X1Kr4_Ng3 zH|caaX=S3?Ex@b$4179-&ovKL^yi&lv|qNkqQXUY66v)K>g3LJAlnMek6g?Ts>KX( zC;>9k$JU)hPcrfGhNoFP0XLqXb#-FLArWV=6WOkGECfh=1l^#1oR zQqpzJSc*r%td*^1&l-3lLPnZJVUZj&6D7$C_9)sM+e$KNqx|s>NIY%x*|gwkqbgt7 z{i$^i$WC~0fP0m1gt>g(bsb-_f)n*x&pQ#-&`*CB?(4?!n(G?O+4@g$G|I~|xXs)V zdK3v>y~Z#MNJcqd#>&_Pe&%TM+=Ts1H&!$UJlMp}-=29OWwLW(l}((86xM8;FP!i? z^UzqEuQ;la$TW!$w&1%dAklo+3TG{YrGn{D7(z(Ifo=eFxWrddQ}Z(F)@LvKI#m^w z$C~_653Sz5y^|cp&i8`iXle|*|DsL2)FbL!Q(BWYVt5>3iWek0lHttfBQ!LZRo-?{C#<@( z^o1J*pR57lP}754bJx2*IhQ{xC|^N+on%G<#)tl72`MR@WezN)0J-6y`Q%OdOkl)a zk6L=)6F4W=FKzSxNs~-eQ2p9aU%LTShmQvp-$mEf`ZNgpfKP!FQ_uKjaNA;N4r^a) z?E0{rx|uV9?sx}z++BYw>I3ee=zNKdt2XcvTS%;-o+W$!TfZ+~ZW{t|_@?r(Ncu<^ zl2P@^-Y9(RfN>7-ZZB~usq-d%ATyL2A0Z_nz%q?mVe~~xbICv+VXQ@tLxgkuB=z}Qhd5TGbE4&I@c||$(x}4eZ zeP$o~!VWJ68y~lotN}3*u}@+li8KF3dA=3>=>(Dt1|w_Upyz0f(8=cK;pF(@P7vZ? zuZ+{tf06VNui>+ijY)Qll3MjX^V(H{IieiICoW`aNd4dF7A}FlTk*#4lxqUx@IGdo zH@Xpu^x?U&xOW1e`_D?-HS-_ub!UsMI+CwAE`mJ+ICO1g)S6qggM2|#6 z_JS`rUZ29+UhLJKadC3ml|y~quOFFlm?PZEw`Ls)MwxnpdS$VbMWcZCUR`aP1>><& zGuV#J&B51a4Q zq-?k^-o*h{9FicVW?cf#3HKwwWfhODDuX1W$-}9%5K=hde#^gj$->{NXZ;N!FO}w~ z?k&#_wPBNNJ?N*(KlkH2atDev7@=dyG8gmR38iNB{)N z>A_D>92`i5;I5y|y$h76xQ@=|EKt3H2#dJn^Svc4A>qm+vxqS~GyvCtMpHak?-&1# z81YGgOIKFTe>GkOj5*IfGY`#)D*q@>6>X@Vb#bfpMc##bd3W+4>~y^L=q*$OKAw(A zl3e6?jUik1mc`!6XPa!N@`e?!?_oD42TzW$PP5Ha>uYOIrKMIsE)pVq`1g5OrFC`2 z%Ajw#j>|6e-5v6fV4QCVmZmT@+a>ia7YlNVv9)l?PVF)`%-LJ=$NA&q*n_5 zIxmR?91&)48{K=W;W?P^4v&(Nawjl2S5X{3Cs?s1BqjgaiTwrJVKI0{ATUE&0M0Yu z3qb!s9>FDxFpGUjp!AP1h`_3z3-;f^d)b2)XPf`Lcef&2e~hj(@zL(#Zv@Ad_bka- zO;AjM?dv%a^jP32qmR}j1$(ar34{?A1Z>=`=P6O1dX!_ABhSSW$BoVj$6c+@5tpimACrg4Q!*+n*hp+X#w2@`3laKro9YsFuS{syAtx@H9 zKp`QyvIemfvY_H~mh*wpzvYynim>UvdsmXpb+?fnS=@aaL7P$2H9C54i0H_l-SS{p zz`5#aYVnVO!dEUncfyq!l+^Nr@S-tw=P`?hy1G3J3u&B0>3z%oLkh${`>l0nAUxZSGyuHWv5I~m&A+K!Hnu+-)|#B@WjGL~93wWXb6hmS~8w_;p|`dkO1ZV3z+4a`MSbQ_|0kuEg1-bO{y%kIt0D98oCs zVA0_nOq{!{8vbH(v%0zW+J!A%W-HmFd51Qsm4YA}-DcK3xz0wx$W}ATf@L zi8&b4t37@P8@W&qCm>USOUicxen9X&&^^3BGw&how)ePaX_)sP{6pNq8t*q;=12&Y zzbh{O1Ryu={SWOd^`zn<1jAlSvZC2pLekAqP?d`g3h>$qyMc{quvKv6OE)6emjcwoLCIB(OVvu_%@c?JNm zbq>Wc3LMhQ@t@|cHvf)DRiYXZmavP;?|3SyUFSgA&dUVoS8UXk}R$+aNp zfC1~3*Gb-436$$l?1E0Rd$5?41y%}89^CgbK>b-Zf`Vwp^ZcgJI5YsQf~oH3+l@qy zSovnBzP!Naa=U-9$+Kb_;k&r>8YIQp4l)V6p2Lm#^w! z$Nkh#TFdp(aC+c|1fc_$y?;G>0zn(TBv7#6d*Zr{&B_llfe&^oL1oqJIQ-0X=pAcV z3l|%GQTXRkwdcVDrh zs~$w3wRiizb3r|0+hn@2@dU{$M)lgPPnmm|DlyzA&%4`M& z2H#v5o3R3`Tk(5FfeM}-o^V;ba?-hR90u+w;(3$4?~lG@Q{km(9CImMdg_)UoBPG7 z&FvIe(sfs|73B4h!N?`k9Q*KnN!aQPj}Iq5!e!lKOo^Epyxu(>ysdg(pCSk$Ut|0d~`QT&fXh*Jv z1{WG}O#Sd{0p%p^)Si!yh@)d5bbxBj{0C{`@3_65^Q18hk;;*dqp`-5PJQ)fzHpZ| zH#-~5(8TeD+F2KqUtQO0;l)7C1$1~L_Hm|qMoi=HlXqbO<(*I<2F=2(sk$N@Gz3Ef z$kuR0;buQ}4^6a-p!;!Uw(dgqtmHGJcOX4mi?PJ%6>N}Kd7k{7@W2{YL+u5Z6UP#O zYY3nVs0ui(c95W>8=IhUamqOnv2jIsARsRhnocUI$GD$f&SywAl*7nRGnC3fV~Lnr z!P9oy$DYVTDS7^L3Y;Ii3@lUp_uTbQ#bClkoa%3>$PdHLdck0QsUj zGQqx0n+ff665`@L5beN_4lq?&BosUOvP_hLaxDhTV*$#I)0=WAU-EuS5fKJTO0=^f z2W<}|gPnhs8!J$=tAhizwH11lV+^kk5fQWcXz)`m76dshWF0*fVG>0_Py|*7|18L< z1%n+TiZ*zsY(gpJ!)EbEluuZts)nF76X%1W;rmt&JVtC+`_ZYyq+jLZU;aW1zm)Cl z#1iuyoj+Xb{Cqim){O=Kl%$8gj>vAtEt3PU%~GTnv<;4o(RKJZEBpIHEE2g?S{ij9 zS#K{>W&;f~js{8|U=?f@k?Du2M}J+vaq4!7O_c*=!{t}7Edj%Khvx(+0J-hprKvlNlGFN+v@?sld7eOzbK%X4WjZ1ty#-3He;5WhW&iMQ~SZ^5{AxO}R=S zHTrgW$^P9{x=8Sfh4o+h+o^piC~~88jCxeP4mBVwR0EZVlaDxPA=TpVI?#{w5PYP6 z%CBzsIjn2*=+B5y#|gAXD~<*Zw7AV48wm3eh{CE_Q-E zNxm3lqDK$+^u8+%0vGgp84l&rGwG&$E#`Eh2-6dpqMmqi?f*GBAnj)()6cQN!M z!Iq}PxJKn;OJP+ftfMdw30@#|&6lIE`{RX;v&%188cFYTH~x62*6}oVMxGQ?-5Noe z{}xgVMiNjJPykR7o%|oB{sWrp|9>CH&CKkCWF<+Ion$9bD66DG$cRLlS)nB&iHxj> zkdO*x&t#L3O+qSU@89)!y+7ac|DWS@&Z}N{J|EBf{Wh-ax?Q(N%Ci0}F*h=69x(7r z)~-Rg0Oa-Kjj@MDBSln(J3teGeob=wl#RO9h@@PWCoHS;DxsqRAt%BPw(FbavnFd- zG>9O+i4R{-C_*BZXQO%kH!yi*1vL1$k2RwY2G2>iA_K8oVstYzcSK70>x zKfNP;m29DnYV^!t$+3sWzW#B0kLn&<6@GR3ZFISAth#Eo+a&r}XVar+1PU!2N>Mss z7ZuRsd+7Nwi2T2{Ta>P#fDU=_*;(_=a+`wO>36%AQ}rZcz9RUeO}4A!&l}CDJ8GsB zQ&aLos`~wXOzx_!b=D44mR3$2*z(+?xmXx5+cU2%s^q?2QYV!7&N5NxHd&k;@7f*4 z%?cr<@pax09e@9#Fg8Eb)}bR1XE_I>$*8@1nM~#shknBk`vl0LXNSx_e39`wo!;Sj z5VX#t=$N`RDlzh7vFl~oGMlev)f_c&Ms$%oS{A=EolYFv*UZ1hu>UDBKc!)~1#*;_kd+b!wZpm?H_Wp@wxsIL<=o!XN_<4VZ zD4}2ncmN3oAS|$z-@CidfKe*weJ^2mrW=qNYAh7ep`oGkzk8%uvr$BA)Ima{SJ2xD zUJ&p1h_7M0S4jfpKNJiZh9~hegyip&->%{cQh%41ciFGyh%*J`(c1%pRN8QXvt;}!_2!3Q9 znaWl1+p=0OwNtJ8TDYEBX>Fc^BHR(d;I%~>FoCc@0B}b{^poMz!ZnG zHBVf0x{gY%oQ&34{!;2VV95v#i;IhdRRG!y(CAwIm}K^EMtDYc1EC9u0>P{blSoYw zP``5N!gDEQw9Y~T|7O3BEUuM&NYVY%CFDV<{%|{?BEE1X!F-p4#l`(Ctl?6*P2d0V`V$q^)v`^Gc2jwqB)?mMpcjVh~F&(AzRX6txlM%DC6S(^AFDNap zT&a0SYI}+#&bSv@Q|MJ(!x)r&*SaZqba8l{oE%#?Ms2*^3J1-P82?J=B!CO^|Anih z?r_gbX>y*l3ULZ9vty-4Wql8+jokI|-YRr|s1Vt_G{54j!f422PU2*H36xppp+n{r%0aNxH8#{35pWz!RNhp?inj)pj!&CgX2_fZ83(flS3|HT7Y;W zP1aG`OZDb<6&^?iQGHZP`~j?ec#HkSx)`NeEF-3w zZN47pu2#GgG@iFVv4x7IhT9UqnIq#g*_xF^{ocVZ6(8rih{2_R|6!<}dQ803s#I{Y zKfV3OG>OK<9}1P*0qNnR=1gZ+h6bp{Lq*_4an4@jsh&MS2DjtN#A}@@UDon}lh^vxZwULqs?Co=6Yr`Vh(x?8AY` zlQG7ZlFtC|hbqA+rL7gf@WTHKM(mm+Y|Z00k<)JKlIRV1Dex5Bjum;>k{fY*#)0A% z>q`HBb43v@8gUmdU6T2dWF!5q_nIXIllZYufN)Wt8|HM;wf>x2@lHDQu4A(M=FjDJ zi`s)z_hiUAQqE?@%vF1-^gQ!>&0P9Ik;I;iU--Fl^seHrlP@jUyt%KDIr7fTd7A&x z8yj6YbWU)+CF;O5!Rf@TWF|7+R=mrt9nM~Fl^f)T4F%e(zlx?UZMKSp&n&rk`dw1u zpD?O@2`~ARoG_R=!c?VssLi2&Zh`xY7vDYwZWU%R9et+ZE zt*ct(%Gr097X1QsmWAG3Y_yhQt%jP>e^GouxrQOp=^yFG)9f9()I76C=7PrmYs&st zNvFSDOX{Y|S?94NU#f`RIrW5H%~bAiDVOR3=gUi>lWP<-BU)TeViW}zb8-YQ-e!+U z7O2@XQ=zu{iPC-hr%>GI82j5&G1?+A+OfB}ACCVYUTgI9W&SV8Jr2a&xT5d6@k@Oz zT#D6U>YqzXOVB)^)pGdxF_omoS(+>3j4xb}tWHz{1*YBuHW+^L(mWG7ckIR!JW?q;8Eq=*_%084nrD01{6tI1$fIxu2?atHW;IB6@FI<=lvmrr*n-rx zcbJ;A8u*aWrh6zQ#x0U)MFJ=ThEYBR8e>1t&1)B>n%}wqcK+%8Hb|YdMd?2*p!7+> z`jOf0ALL9kpY0VzEhD=8j4|`tiyqEsxI#;rIiNg#_C-sT5Jhi!8ZZqly70Cw#Rb#< zrv><*K=#u?78Y$<(l|VZw1^1SlFikrdpf_5zRC)BRGu#j=~Camj}X}$ z&lA7I2JoptO(5az@x^CdE2hGB%!a4vE*~_LSgMd6x?d$IR(&C9^A;7Acm?M_BNpFU z3Fq30{rBG%KotW8!Nr67v^308%(&B$fqDybz(s>O`jSIEz+|gRp2`&xQGg(2`PExl zDy7}&F^Y0zI36YMS5RY?%z*0yUU8Ng!Tj6xX2lm*wR!s^_MLu*X)2)m=$G**MR2z6 z!f&_xYhQh7M_ni+VJHx9TfCXBNWH2$Gw`3h+d=w!@Aa~5kRgEOyM;fZz6ns5%aFrS zZQ&L%i!s*y`Z#)+bIAC`)zhbrWuNWf-J0da#`|v5Jz9Rt_x)^J6yPn;5jy&1)qi`% zLTU$Q-mlrg>mf#4rz7r_n=Nv`_%1i5xjNkZOfbM zhi6CIQ;#Pdr0h9gdaKzcu|*=%Tq$lokF~LttahN5B9Wze)q~)G z(5s2JVgIzj)Fmp`3FY*$-{&r~t{&>M!8CZOElxK7iAKTYVBPo*Sep~YR7Y(WbQ%F5P3E8vqO97m!T{s3J#?iK9yNrCjWl+gX4;7PBKIVI3c{yR^cc9zPX!2;oovBi}DL0x$zoNN#YOj7i`UqZ!!^Q0-m%+{v z0pm#EZOP6VeD+u`U`V`zd9HmCT2oZdnpf#*awq@n3adM-xFMdIu&w1V z9qph@a#DrR-6i*N&L*PJ8K5KrOi|$4vbv|x=Gs56=k8h>C+^BmCmv||a&Ep-sf;)@ z3Ms)YOj?KRm_4>c9RAUZ2kdAupY@O0x%_XLT()iTX81w6x__OnciI)!f<{4*R_(EC z`}7=+QaN!kqY!bRk7P;jCLDukC|MwuCM1u!IITxp-6Avvzk=BBNQFFzB?#h3IleO* zR}y{V*Crx3c;e7XAdM5Q7Y$=@3mNGCx%;zX`%c|@z2W3x!n8u&f61f8Qw#ub6Z>ie1cAz zD!ccFzV(GQ!(L`rmz<&RQww+qohXao&D{3N9UYSOD}61(srhmw4OuV5`}toozI-sr zR#E0-`-Hk#>+Q-9G{K;NA^AZ}7NTW+q@gprJAG_us62DFZyJ3J;(t7(H}I)RQ6Xt| z*1UuI$V($+V%S&8jVd`A*n4L@A&e&=2yirfF~@dL-;dgyvCijY(jPG~b~k-q8!Wdn zNdjaZ*{;>)R@yByQTByV-mPvC6>freEZgkbx@r^!PIDs^#=S{_xgS2bT`s#?bnzR( z5z7dkAG>4!WAzy)-)k+q;l@=ZqvwZ|pNRjL@Wh=3doJ4S?{*yX3Z(h*(%kRJ){=k8 zR{Yk596Pc(8&6%CY*Bsj@ZYT0hjxl73db2_?;WO<#c&UN+{!hNEba@_$&F5OWc|7+ z;vkT_(5)_004n-`5r&d}Z9t8fnm_vz3R1lIg(s|`4wQ>9j!-!*0D=Vyi?A~%NB z;i-kyBMt&2Vd?_1)~pUAoH#tEw99|J*z%R;I!{Ib3BhLTFD|pzb4`l2sl<^gQFY&` zrK>YzzgC6cO2%6O$RQJRr*wH*CLs=c_tVR^-T&KTJfwpz3gVn3$|{4uNTu_}*0MJg zSUSx+ZZw>Gpp#sp$$pj?+YL#OkSuPm`4vym*TBH+A38m`=kqA9d1XuTX;MC4>-2On zegC1Y1jn*IKe@Q35G5*-2>!H@ep~5~vzqsA6&3jl-mu) zoNou^tSFwKD#6p5kb* zr9QnBKcey`mzS4|AWLV_d{NjuaAFFoyu4p-?@dtP7-qoki6l;wN%L*#5c$qX>_a{=B~B=xyHV@SLx2CuBpU$CuPR1mX$Lia-n*XBb~`Ue zbP3Lo7H;kCYWyDEWc|@==}oGXgFp;g$u$k-mHN|U_Dl|BVIJ`|+c6Sla``gc3=;OY zB-)9;mMN`Nsk%Q~zfCKO+oC_fHJAGT&+AHR!g6Ou8aY?#_4=bnc|JoIMMg3!b(_L` zZs^W2_1x+_AMq3A#}<1He!Z-D5Krh;ZYe&hvjmKRi5JL%2(2zX#Zd8e+shOYaXp;97ah)jc(B&f%S>dilkAY;Y%<9d9017%{RDv~rI zsO*eNMeHQkzP-zzmt>_Pkm{kj0NOqLlmC&yMhP5`#p1$J@bdNBG7SW`dE{W=(e@t% z5@&$)qi`Y|X&QCmoS;s1^6%@t-$GmFS();;rPdfrej|;fP3S>B1a|;vT6==P^xBaD z0XIOH>gMi_ZZZS-(Z-nB=F6b5+=S%mXAM_CoPPbrRc3bKLI=$B5QH#4&_uatbTff& zio;p?xqG4@-|Z!Te_n&}{Tct6-2^7%Guc-QM+Xur9JSQrMqm9li%ls=S^URuB&qR@ zuH7n${h&;>1F1mFU`Y3+K4!D=CJEcR5_axjb%AdJ6of_q&zSHf39x^Ycw_`*lgTqA z0UGb0bDjo95b@!mfDO^Z8waqJR|3cc(Dh#7ia-LjtTx4nRY~u@ZGw}-RrlR?6A3cN zYFtuQZ_KP#NV!=oqTaaWXdx63JHgu2w*Ek+wZBN2F|L?8>2!B~nlV*FHZu@9H!&Pq zBv@YGx+uTdoqm@^{=Bw%B7se05r@sURIWVUUzEG70K*jKf66(8*4(#(Z??sj{$J=~d0+B$39OD?BcY z5A+|K{LJat;=N7gk#Wm9|2&eit)=BLLh1#6F7JQ8(@kN*nZDMTPx4G zbLTLbpgkLvIfu_RRm#bxG~4AH;|F*G5kfXL>>ff`!}l13#Wz_a(fQ|@HtLUiAgZr; z4{&Q{4q=c2E)9f6^g?rq#zltvRCUm(#60m52p4~E_I6gm@)qUZ$)>}Dh5-IbYtSP> z=Ykh=l>MFOtD9-tZA|SIjT6UN;X2I_0QqjwHOr^U2XaJvoL&pcR}U;m}|pm zq!Y!np8dsLV}j}+>e!_h*D>6fCGX`h;$F&9*hn^~x?@dxF$;xolirmjqkm&l^QsDK zq}>#~R1V+?8O&MN_Qi?-vNCzssuff>N+fH&%9qE>-qi{HjjR)jw*5T|T?YO?>IgQfo-WKG#;aNljn)0c`FvL8JVM%;o2JRO@*mJIZL&0P7v%qC1;i1D_Rd z5wrU^4Yzf~>mk1zqqt!Bd+SA2RTLRCEDURCnnzM?&o+Ajw!S=Btz4T4H0 zW^soZ{cO9`u=eh>?e?UqW0$clSU9ff>dl)5@cDU60f7D+ZQc7y9;-i|6-J2)wN$gy zO<5&}a^}Da_}TrZu(DGk4;H6sW_n?4W#J?HE%+s$yxP#)i&`p|zR|sf2L5}r*mJr; zgTEQ(+`K0qbj@PN{8gzBG}_6sNWEUqN!(_sLYI?@w; zGht6YehcR4V&-ETQU-le+Y7O!;}Qm&g@am|0gi*(X&V8>gPRU{MFxc1L>GYJC_EYH z6mHyR34M_}y?dYVwjU)aqgyRL7dDFoZ$1FEzuAl7uEj%>SOQk?uKVv7ibypVtNg;JdMw8eB66$w)u|k!Gq^R)Ja2jFB%RH*mDOh% z0o^yuGPjDEtq3G@=dO2zPeCC2US8wn9|u+Aw|0F$v+T|Cj>+ldTM==O>OuaWV=|%& zJK}TNEf{Cmmb{g&uSs@OEPOv#nUVUUa$|nd0BE+QsIwwP9iSTm#QcQ^$!=`<+jHVU z?vfWF4gODP(%QwV;K5W*K=gAR6^x6rFmc;R@nb6&lD1Bz%;tKy0y^Q5^4PffzHap^ ziJQv+L#kjt!dx!o%|62^@=-7)e~v3*$I^qG2GPpk|9(^1*3y=v(aqe+h|@}Ka6|=K zid`UL#KNu)>?@tO@msW64OCtxH@Gw|_nNaA4Fcu?-hey9@Nf5g?XK%^Nv->Sz`V`V z$TxJQ&52<2``HQR!>=nt3?MIft<)ZdM1w@E^kq&&Ywg3Vh;!*-MLU^bh&BGy7 zTKg@P^<)!mfN1TT`Ai-&_g5e16uv6l66w9-?*1M7#sb@qk@ah;2k|j7B``BmznEd; zZ}a3+R}fGeU_Pl9z{ba5l!PI(%!NC+MgU1L#B|gn27;6y zbDoXO&0mVnbPL5bS=iZWJ#9br^2t2SHZoFV3z|p8y?+GQXostVSOJb1o0@8*cc_Z8 zlan~lgFH=Tf$NF{)&y##@IS3kOTLXS2qi{NnXR%s*WCwqn&G*XR&4u_k_w!hv~&4% zN%YX6s_CWRx4Arr)Nd6OnVqKU{a(aBF}L||xluKfJ(O^UY&>_pptsO#>0ne;6lQjr zXzz+ED~Ca#ZGmMa*oU|^!?-KL`m6+rvT6B^*HCSajBPP;NJe z{9SqfE2eYuMb+bfUUi+fj=4fr`;GJF%P`fqE#p!YH4YUPOkXy8Q@%U6P)4Lt?pg68 zkt9_+SKZVN!-op~un523Zl4~!+rEAKWlv8|?AbLoF;UAtTQ$FxzFW-!Bn|$XEO)TC zZ)(9RcALcGZuPt~77^ddoaEzS;E%Nml48|U3$(I`JQYHJC%NJ<1Y&is@NWz+wwaWd z-wx5DyI&>eJVFuMBt*Q=NtZjN&7*_gzX$X?9yW(W@J`)m#oxaNv6J9ojR2TnzHaC) z_jXGwDn7z$nF^uMd!r@$n#K8(vdZU=KM;%M(KS=EWYw8&F?lwGE?~w(0njic$c2!+ zEpt|EhI}xMtVM{Iy~ij^>P8b;FwovyCT1=T~uYd z9rJnXo}4eQN&4TWH%pUDii%Wdhdmf+p`no1l%O*GXtwjVaOPE;dtXI&&$kv06+Uxx z_mh=htLO=XWi$-f?)oxvH&Se;Aj9da0=not-n{!x)n%;jpk6r0MyoaEZf>r^=H~kH zX=DhFp+|`+EFd^z)M!0(x*|BUH+*?f%fIOw7${xtMXGAu-jj}5+Pt@K1H6^O+S>Fm ztrz&1ZfzpRtc)S7E)c6Sc43ds#KPiZ+ z8Bg6ZxEowmXVO_!$3JUxUQx-3Cuve^?R3wi%6a{Ih;St`EGRZT6i~sZ8!vy?AYHd& z?8ap~I~tL6dWo3Ejlgd8 z=VW|;3_j|gbik=|X8%Rlv;V?r;aW%Yg>iqRI}pg(!z z0S!&4QODKki%aWee-#g(t6wReSz?zxzbF{@o=eQ@mo`0 zQ3`|MSfO&`n%uXL&_*0pIU5Cc{rsLVs2TI}^8D}KrG)&No{_Q2u>dh@1ce3QVj_|X zgqP!jhw>_i+DeO*^yeAVW1kZ4Ee`I}&1>y!F^%TA*;(2yw(llFjs&Hwt-l)}*-g{m z`^V*P%N0=b6_u4_V9~IQFqJW^>&ZKfvcWLy2)PaewzqB>hssTrg&c1OQji`Hdws@< zn;~nL$sW~n`&~|F{iXcMz@J0ewXci3MNj!y6jRuhsNL7(bLD8`NK%-d>xPn2b*J_w zMU(vC$;zwO&Pf}fTJjl5PGg+;>#IFi-#l5Px$nOy( z&B_Z}3)Ut3Cl{2B_-T>@VEeJA;2Duft0yN4dUrGeH!5FWUk~rbmOu5|A>Hcz9NQut z3wEJ4&kgDd4We1JVU5Fkg<&fsB-@F(xZIKwF_){4bo$mX(dw;qcc{w_+<^ zc5R~whX zn4cuDl&mX9$;=|zF|XC$U|*qh_NAR_uX$V=5(M+f@TYu7jPc^}nB83Rg12O429GhB zm#5iz^sT#g>Kkm6*`Sr9{!T3)D|GFz!MAru^~>-IX0U3O5Qsfc7if1s5$PhY54^S< zlH_14D`;5e+*V~6+TYiw&c}4SVJx6-G@QP0+YR|6>tzWUzbMhrwdPk6^V8F_XCk`M^ ztGR~0-M@lr#d3fly~QNvB^%-W*xEZNNFuhkG7km&z&DAC@vNyl!$tw z?8jZ6X6s|gI`KqrwkY0*bP=zPWAS`W*MW+nL1M3I5^3CWe7W!5qeS|;Bozh8Mk)CyWY3Inqb>z`(t(spF8xm>Z<34+t!fGs{VRANn8qCis_~pVv?N{ zk?gu->Zg4>E>A0;QJ9Y-xW;L7-LtW&NlSI;`sUB;6mcGh3b#7a+eeQFsbXg-_5G^* zEaKHdu?ezCv-g(b?4#&!Yos`9mY7;9Ml=%{H#KHQ(uKvZoc_T*7aZs5q0(iFcDWK5 zc|l&*U!RO)99dqwa-E)0CaLmoMd7ouO2bgb2}U~lfvytdio6tocZH1r$&R@CTKUr(>`yF(;{UUV@|?_(u>{bMel zbK=`onZ6RQorKeF-uw4g&hWT>>esIk=vU%;WZ~$@09SYFZGkAEmN7kb7m_h^FDJ3d zU({W1br5N083C=wF*^s(zc-S+iVm(S038nwJ#E-6y^r%>!LXE9+b~ zD!8O-X zGrhyniy&Dvaxa+tqADYWusDrJMuCii|+ z49V8`8tsYUd*@$Y_v2TSV5vNcaN>fhGO9~$n}6RWc0%DqsqQ}g`H%2lyrDC(#T2)> zR<^YZ7~M99e;x||e38=;Hf*IIqCqitb7S{cAzAU}@mqQ37c@3@<@`~TuoL?z=1qin zoE(JL+JbaMISTt7t8U3yM$}%8f8N%edV$XM-^GHf#@0O%7XQoDAK#vs+4I2J^wl=G z*q-xbPQu2PM)4aysbvxq9nFSigFDT;q(!rDWglPxESq%Z`}7i#*(L{pR%7GR3zkzm z+_3Ns{rq!9ue0iU&^}VHil6zpLKUb=UUNR|dYgHR`9TyWw~=q;p+OOB(htgfa2 z(c3x!89o{h>^JnUjSvf3tn}G=xB~i=|rNuKU z`i90*eH1|R`6GX#u-$Gr`cum4sq2gbaFal601ZL_n?2_)&|q5pz)e=#DL&$_iKedT*EXA)>y`zXx=C^ z6af)(>`4*J5C)cxdY@Bb1JB;=?H(trziJjOk8!i^|xqz=G%qq z$-RF@&<4wS{u6vsFG#XSR!{hm?_G9^ANGYjp9?MZ6LAv;Lv*sw()|a9L!`Ikkq&5% zVJG96o7nS*YMh*$e7S#c;}Y`O%E6{L9oH$rZDOh6OnUu=>7*nccY6Qu8$(!Q*6Vv0$TSo}ZI^Nk4*|NbyqaA2VH8eD^ zxsU0Q2GI&*r`zyonDV`<;m1?Vk2DzLrPel$JCmsCvzQQ3zkZwi3IRB1UL3$vYAId4 z=We7w?gI%T7sEC~%D3TpsBHahE2Hw}vL!+*b0r2Eqp=JcZ3VXB;&Fd-Mm4y zZJX2YZi(WBZx@!=$Cbm-)2vUNP!4DruR2%yZ%4O-EIu$$*`z)>UG`_(C>QM_T&!GV z0wNvdRZRBXF7ao$PmX$|@a8xJMoL09hh_M$Jk4Ci=D+Be82^696VhDA<+!8r&ofw&d^U)U$HSX9Y|JOua z>zqjAQ%@p_mdhoh9S)bWXhBW?P&6+nEm2kMUs_&}KTlqep_S0r2RbD5-Re3!;-g31 z?Hq1lJ>2ZCteh8yB0<9Y$GutObQ6`Xm8TK=Ba1zuqt|(JpEC|};5vI?Vm)OUf01lj z9c1_N*Ox~cd0Is97{J6xup7|Lld1OUrSOKaAH^qMw(~ncJ=KlE^z-Kjq;b*GprBYC zOpJ}o5oE1xY-SgGuaDkLT;pbEXP+U&7&B`d%khINyDQS*K0|Y`Xi!Rmj{8+thqSC+ z{BE6LYw-rbnm@e-dcth#w@(+WFx!y%lVB^e_|c;PlKh?ILY(A83ukABtB!T6I|$0= z?e$-JxD;78?)Hf?j$?>5Zo*G|rD`cI&?&z(=$qnj?66|jug&l79mGRhGm86PW)D>B zH`Bc;e%Nzc!+KfshkqrhdU3~3+$PuKT~xErjO6iAJ8_#YIcrZNS4U9N&Ds_lwPENY z{yP)8>3e(z0I^^p4C)aAEkk4z2v@;AV+4Y{40=?iKms9Qt;D1 z{NA#9s>0Rs4a3oR-5)oOT*U6ACw%B=?$wPl-w#$@LBND*#=ZOZfdCCJtqr@#A7|Rf zL`|SPdx;Ui7iWa2#U6jv`d~V-P(IW#Wt)HBE*V+p93Za&1aR{tJ~gPNxp@T7bDM)autQwXmsh~aRsd4{%n|Vz8^bZM z+?vuN_yfqo$Nq!0b#-|CdLohZ-E8#R#t6cY@p4C=WgSGeP(q@E64Zl!iHUyO_HOJ$ zx!azdXXowBjn&S$t^qp8RqX{E#GWEKuSL-}W@Vu-U+&e`)g2kB32x`jIZs+`Dk^Bq-0`>Jfh9$7OQLv-fjhsONOk?}Ix2UG`raSKI4qWgKI!oPKzo^y8J&FT>x` zad=tCL`yg&OQi(zT{!r2M)3aa^eyb>oDp9Ncu;t+owwuWTeEZWnJBqJC347i9AUYxn8r= ztQvE@;);qm2?X?$e6n`b*;%%1eeBR^cb;XT?}j`otmfxO0$aR)O1)6g-dF2bP&GRH zS;AIcnB-Xd9V5zYx}+F3dfh?TMy^9qa{#dz$FOF2NHN)xGpr7W@g?o)3hu=~C=~h% zoym!z)K{Dx=RvGF7Q8%+5uRYpaBE6LWI$Eetrk06JG^LNp}lMMt{15LI5OT$iVBH*Gx(&8dqz0hOg(?Z!PX#m@t zQ?BV34~{PRZ7mHi+?s_A84TEl`igGKx&M7GOg5Jw-knNbo%CeRyJxL_A!&L$>t2&+ zo9ovZq0=a5^jkg_$ae)Q+CXCE!%Y`bx;m_g@rGuSA_+ ztgnq?<8nPHy%1eNW8-S?(B2|Gn3ZVe%djLdM3Ok~KEqK+#nQ#0@GDc{`~~gqNs{pY z7(Vz6?8*%y9Y+6c8!CG6Aep!YYoK2O(2DTP10)suKb(nrKjutk6{32S3&r*&avhN8JSu{ASF25`Wa*6wD|ixkjG<*AFO94 z1(go`_-?}|YgZO9rAkM!2_X%e!^nGn!ouYFQFmRXz|HL>%)1uW2scU&US4IEIO9v> zu@+;oo%TYJ*JO9W6_sN6;4?Y{1B3h0eisiu8=jk&n2eIzZEQcNt$gg`gBLl^tOb9m zJ-QO5NMju-CF=DnWLMt#3Ii(B-^}}rS(rG>NyXQn8%oAkbnamE<&CG===msb=ax$? zwC>nHN2NkGj!X$y(AkP5hyobj*v8!49PaB#x6{0iAd3V=f^6@m9Ov!{Of7Nt)^2_d z4`(*aGI|Xz10c2LOMQLjX}3>6s%ych{NO?;;bRFJg4-?H_z4$zbn;KklbV{E_^}w> z$7eUh(D++)k{+Xv4ig0`=&BOGu^XKDt)K$hcPY!tZbMYW(nuzl*g_ z-qe@ZKA-y8MTFvMx4ml2wI6fIe)8$#$A{OwM|Um5@9jRy1|$`*73$wuYJxnritc$R zpReHpmj(oyfV>uR{B|SOe`DIcz4_ji$1SvS5eGi1RVdA)UPNVNA0cgIx|1i}vp+U# z;9^58?dNfgF!OdYXOP*gytb6Th`ek!d`O6al!NThU)7Ig>%tE0tjCu*y%USB1gmnA z^X9YD_@(u}<9Hph_$F(``%%>}e4}uR3VKgiM6`@Ye0YWXMlNfYDZADzBOA_qJpL*1 zKt*=Ee)DDo)(Sw(!X+ZA(~uR4eqzrzjzu91<6FNTxudZ8hndoD7S>Z8d1Tc`1u^$xzdXv5~KVCFv zZMJMUWi0a@mm#>iam_N119222)Q{EejEsA@87!ThnW01xeuP3!1BK^(Uf%~d$D4;? z9&!wL#(|t-0g<|tloSG1Lw+I5-Kr*CMvBH0s`~n#tI|B{I@>WgadATXXbd%5Xv&;Q zy7sweIi@8K%T}|>K+M(=h#%JD>|vtD`(#5KjSsQAzyCPkT$FBSN!}=DmKreGxVr8j zd{$rX_GjP-ydr<#BW+sN*VvI0byhgQ8Z&t>MuBO)^X$)g)zzcNrgCYr)yRz}ouO8y zv%gQxoIu8-iz=zOsqO7V3eJm$wj>pGs*mnCuf`QuxbxRyR7!3=H}~Y=si5guPM zIAN;7!Og9XHXm3a2x9;i+P^q<@2;u3&p0;s>W@XOkbbWx1ATGP>DhHf9!A6hL^?Do zPM}**jRQCWfN_e&`G1ft*(?em4+REPLhvYCvUG7V%nd5}Q4J~8U1B#!E~emlD$~Gk zZY1Ugsfzkp*9x6YB>ik`@OP3V^-#S3!Myrmry=60;-f|78KnNWVeC#o3rUoH9ST9~ ze10}sd|d`ljN5|h^*|z(3>#XLkF`wZD>u-tDUqFFR`)#c)qcXpcO!vV= z47DiHthU)2+Kz+5d=)8uRy^8}hJtJva;yi0C0?cE&}zz_?A;!w7ZRSmc{uAzzg{(* zTVZEC)9l*ti`@^~1+4GKPpt}DKiKqrbN!OeVY;*bsU|cWMmDo3`8d0oyq1gqab8(t zTM|}E_uhPHyc-iIU?2$G2e{(Xq>uld z81(HOx(~>4`OzohwTe`OAYl30H;7jPGo<|dKtxcy6*gK*yc>hs0KEqW(>iEsh!BY3 z_W68FQdHm=?(P2J_Q{!ekST4aYtqnWr0VYnELc3>e(HX1(9+rINGtVlkpahoV%>@g7p8$ z8mSJaq9Q3LR9qvkNoqyKcJpkDdhOUco7|C_F-8A@hW+F9hb0}W-I`V3jMy%lu>&p_DjWygAZPne|yL1084vxpBk|Zn-fIXv>$1P>f>!4luO=&3}(=hf} zH$Cs;M-knxpz$zXj+1ppm<_Dh(2XMOi${r!uNoSJSjl{Eb90h#O$waGM;hcHvGC%E znuMFX8ut_51uks6`JnUig4vdT@xiO3I)&ORcPB_jknFAE z`!(xaHCIR7OXqgu89RUJIrf>Bb~mq3c%zF^X_S5C*p2#i^ZQl&Y$qSeky2&zC|qy1 z^3;)zPo!b^qxp|3|6$eC%QcJ1&*w{giAphTiQ+@It%E>Hec#m=y~!NLu?MDOH&SB` z%X21WJ>bsJu)JY3m24|DeT*v(#wrhq&>gPHU769fej1UYVprER>Y`lUe3#b7PNCrXE&p@aL2)MmfEnPgN+{_%aglgy0{{AdBRR;ya&ke(_?p`o96&m+=G3w-&?lg9$`Uu zGhe(GX?8Y}44P^-_lAU%9rHpZ=b@40Tsc1O@7KLnGi&+LJuK(4MxXZRmE3N}f|_KY z;@kYCZd#w)$6!49wWPx(jRRL#X1zXfon~{0agzk@=3GE>4qo~w=s$CU*XNGc(%)e$ zkgLL{FXu<$r=M_sCF!PRevj49%&I))H}}Tjb(~vJa387x|64dEL9F`#YvLLDgXAio zURon41zc(ps`Ix z4=T^Qp)K~`K(%(7#j9L?8QZ0wRsXgiE^@)Q>=5ZRB0mADauywvxnQ1Xq4oU-9yNXj z=&0L1b#MhW&Qv89pUe=V!jbjIZOLArU9aqtbMlDR99ex?9*?>YeJ+rLfqJ~0tM9=Y z@H3>b*S8rl$Lg9)W){B5l~UVIl$%*KBK3%k3OL1QN!|rz_u=)M%twsf4=Cfc#a3QZ>CtW3ck-g)Tc@jIb9 zAX`Cm;q2iGSMLV`j;wXBv{EhEJn7%vs>=Y+!7(^9KvUfK_{x|f8*Tk;c$&_>@ECC5 zp%6ZulHKUBNvwfQQT2*B$x#Uj{_#&Z#rQ{yJZe->=p{2w?hHQuT-lF@FqGdO=_pAb z{duT`^z*{FYb)E)OP%I3xc$lIRG4>I3kp3FqPB3aA<` zN316-FGm5oj>t`!Up(-7ZrMedH-fIX?&7J}x{t+}f$~8Re;6n1_4$6w%r3z!kJw+C zU5RPZ?RKLd`E$Z5uKL3~6(-O5TSe?!^{mi#L+w;w`tI&@*jzjY*eLLylB?U3j zw6MVL)I^&NFJB>Y38BoDzTQ7>pu0#AG80rCFo0OQzFut3+JebhL{(}YNJ~(vYsKm> zKg}5%&=+M#g{hv5S3)OCDtAZ6>JcU)(3n?R_wF1Llx4P8rh$emx{=Jx% z^0W#vWAe1tZmzD7GOV4uxKw?SE>6_zNh2-MvD(oSrGNOq;zjq?nqRDTn zREVo0(0_l08dV?39p5)=@1#Ar_tvJYl}(0_u9e(?DHn1d(KL5=NBe8=1lJH@4s#cP ztdlygV##1r+Ji{A91+lGU(a~sFaO>@wHWtTAA0mo73Cyyk>WM_^7A`{azBa0+uuN%Z$X6TD@o?ecq`@?j|QRB%8~#@@S6 zMYk>Pf6LOSPQNw z(oNKNNv|cBGp;dG%ObE=sQojzCWP>|=_N|Sfowe?1bdtBS?33#yg;deSR2Cs!KtX< zQNeqe3D`d>B~~AH&`unxC)v4{iX02*K)Pb^6ZIUvP!ysPKc)|9(88^~v*xuz7KCOE z&+Px0H4K&Df?wX1_vLlWRm3X5R8OSnd5Wg(9W3ZbSsROgrmor(R8D{nQVt7}-0Fss zV_%^^YV`*Bj_!9*EmXcKE@Rs{_Pve9N$6UO(_w;rgxQGJ+xV|!fW z7_`OHLPA$-X%hFX9=dgH%8JbA$fL_BWCWu-0|}8?>Jz)ngB9fB?X>T?_8)dWyNAR3 z*N_R?$w*a$3D>ZMZS0%RW8BJLg=8I=HxV-}3%i}rGL8@d^%lxL2(1a47@)KkyVG-y zKpjb1&6MY{u>mBKosa*Y763rSoK||ri{|D=sqq4|4meCSzg=ZxBYhy+!=poA1F3dn zV`EZ}1H5LAKi^y0;#hF11*UJR*=LW*#zlI;2LYcCK|lgr!Dvp={nY&YRh@^5yPu;r zFV8-p>2h#FWo5(n*qF)ETZ0}DW?wY<&ek`KNfL8{c;u+J(Q!67Tq2S5de#+_mepud z{b(uC{&v?>`xA+b8R$R>l`g~tEJOB`x8x7U>XDT51$?$qUsCwDa53k*|JRmhM=saU zK8Sf@`(Ju}lge^o{$^%gPz3`?vg+LXYN4CCm3-=SC3aEIVz^Fw4^K&hb9nsgW~*`a z^w<{N-AaCkSa9PRhEJ62Ls?UP(%d~8V~hdWs4q~TA4ggMPilTNQ1M|Ld+>4MA-(b} z?yK7$h1CX-A_hW(jobie=Ooh?WpG|t!3$giddA!!tcw?7c*gV=wWBEwu;?mES_O$cnCl+!p z{QqRzEn zng|~cPOuf>3S1)Q0&W~3bVWSblvz$@KywFkAhZfx~OYv zM<%!3stcLshBf2v15?HBcz91(Na&aD*x#+IGXFO0R6aV3FU=ZrXsFS)JXt3s-F3k} ze}RNyZp}&bqTlPjIny@gjvZ7!As@pMmf%GZG}k24a*{3HOaAD(x6pPMm87yne}%4X ziFCfDN6gdbYKQ!{3K}IF7~&Z2omU-ed7mqscq;zlw-B*yx4d(X%$V(_N6CeGr2Ohca+<7<$;WFbB|a;uAD;_ydc_%ss7k8xw8^vN;=wL{ z6jkTJt7V^UyZRm#1!l0A!s?iVYt)5Zot&5;Yylns;IuMLU`}&-?c-I4S|Jn(MMX!R z%Q=(5Be&+qkJR5ara9+?2e_U=jEL+h$o{G~IS;c$=tAm!#x{}#6qnAANJpeP7F@`! zx6UUN<`75xn}al#yms~$63b-@ahk^IF|Jmz%RFJ@ta(~geY)v$nhqmHlLI&UW1S&! z`>{j${w7xs|GFY8SK>QInd||{h6IZIvnf|j$2*Vin~{jVl2oCSxa-5;4FRX1oB6l&W=txxV6tm~Q-IdoueIq8O;!9q_kH#G%_#n}ofUh4OcDiZ&u zTMv2)vq^^dasr+LVUS^1`RkVm0K3QaRuHsNkm&yznq{Y@oIGUJ!V~svyyuUbgoK3u z@bKlLP{WBoC{z1q@{j((w?-VM0<<0mk})*Lc3H*DYRAE`+0qw|NnWO-{m^z`W;TE z-rx7Q@7KB?H0|ly93=teY51cHPI>D5XNGl#B~*h=VQm3nz5Bj*-{d3fCPm*rt?f(< ziTk)q#-33op779L)#st;;V2t;yI|c*Lr4t@?E2aow1I{^UlOtsIVyYk+jeocF#yNM z-;n$V8s#G{S|{GaV^`2tU*q9hU$f?u%vhB&*)}8Y3_L~_P-jCZGUN&Kwj#%uqDh1u^-+HQ5_D`Sk6_%_)z0H)~ zv#~RV(uo?d<($z%7)pBk$ooDUkrpbt#Zh}@II zwS0kwGveiU9DV7$`QjubN0_h6P%pb@e2jPU>Ym4q{6CCs;<<4usk2t*zs@h{jqo_m ztc)m<(Qp`$N5@({mebrws7g5y?pm_VPcm^!zcoic#Xa7*@<0+_H$+WDkAn$|ltB#M zOSehbRv@dG=>izGMFMbxL>f;Igc4Z{D{GzvMr{8|;e_dnuhHjjhMgMRLe)4p9cbGa zK)+p4Jd9%+mobP9Xa~`r;3s%9|HXO}CHecSqAnP4AtEPt*x}~fsu8&ug^{*;iNhHI z%m4~GU-;rhK3Bda7)xD)6orqcB@fU-uIh{g;R zj@0LuX~NM$Pv?y$+-+CHmi>@l| z-FJ&nL}Sxg8!a0?e)t4z}fw0PogEy)(LizniY zrc4jR8=8y_%P;#X{gP8h;^WBPj$Qd<{dj~jlIfEKMXl&Zp<`bbWyH>9M;Rsit8<1} zxc`n(lQac)bR_Wl=m)-Jb84e1GRcv1x~>uZvek4)d(OBYhNTESi@gwlSy0fA)?R35 zt9m)7w-WXgND%?vK|ePgLwX-mfR%z&6ZOG}IR@=D&o8-ewQw-{xnyZ04W(UEvRnkx zB&r9e*-Ml`DG|ZJFzuaOZbDQPWJnKYUN?4UMA!OI&`Dmd36zMFs9#aq@YPYY87SwK zysx!0>=a|i?Exu2b1})nOO^OG?Bio@u}VV4rl94s&GP%Dfm2BO6@INVw;5{Xf*=;t zPu)3R*Br+vqqgZ+a{A3WNp6HLW{ph#E2rnX zve=5)=~<#e2M=C>;vPb5WSA~8GB57x-0D4hwdhiE*Ea86#hL-GJS^Ee@IwP2hBb~T z)_?|~*(P*C`CYKUq14}}@gh^_FV}E?OT*w=zf-^1yBgAECC322$=0Tdiu|}MCaA~$A}VCz&pw;oAwJzDFf$NlLLd2l6`Q}V<-U*KFhT4SxkYUr9bxpFzR7gJ z9c&>#W=Glyimj@Ogj9WF78VMS?x#~&nANsUNxl61%Cn*0^s+D0Pl?MKgX~^rnVm#k z{w0SFGNwe4ef-8_6??hQj`7H;C+^;7L8E&9r9|$%ev*9A_X`=F8f+Vl&1PQGa>@r1 zD`3`vO;M7ICBkRcTI)NR%CFe|I)@zq?;(W!^Z*guB;Jcg!I9cHCLsV|CDf3Z=b04EN_WHaGbO~aIUthLJ^_4h1yB}|A&th%H#ysvMRL)VahH|VRwrP0JtG#eLY))yZ;>=6c^51im^MygCBD&}Dw6kqr=~LV20l>j7yb|Fk#YGee z#LBp14k852EGKW0f+>c@1z$ zGVOHR<{_K>v_WiFRn?bqR)>kd<*b4xTkrNYqp4pqEZVlX7I#0*t(_38zpE<`IdNFz zuG==-7R@|Z$O1GR|IRql2iF~5-{Cz@Gk$C6JE-fbr)iA~J#+K4Gc z`dmZOM~^$#r4eb^;-F@e=5m=oii}jvUqsVwq(MxPFM6`IE0%9)4vKKJ>~OdIU5em2 zE(*Wl*RlM{a0L0KXlMUpC9=*xK`#hCdoS9$sYZ1%lD1RegPiU~QvyqplzS zx-M?h)^U5@lV#;)a+FrH)$u;lI{t~7De3kn#ziW{$Gj}ebuw~RJH$+tZ5mI@0WV1Q`f{BIa@sw0@NQKS2d#=Cg^ceM~S?8!%S3g=z3eaMMqsd7r0OWs&Y zCb+`c*^8Z{8+%88oS+ythw;9=Wf@CBlM$Gtk<8faTMfiag*Tz3Jjb$wQ?p# z=OTia;D?7d2Kr{O;41u4gy|i%0il6}%_$@b^>+khr67GFQy;|nB{<9VP_cTBv**Xd z!Z&SeA$!j-hd7B8|FIg{e7O_x;X8Z`XlBc-s-3F8pQIi}A3W^iC^V}gT5;(-GeTJ0 zcdq;ukB(O5lP)j&P*n=r3Fno6&7-X7it|&)od;OXT`0V^*Ed`?tB`9YUAb%8tz=Vl zUecI9Irmkft+N=cs2z%LmfUFkkji68#TEK`pt5mghadAn1{(PSRn2=Xw341YwO<## z)*IKt+`RTjN0xi9#F|l9*l^ zd@-&nc0m5cc$S#KNaqRm6W&#dEp6nsRX!BRNg%6?l~x-%eM~K9_i*#Istlugl2NnR zFLR^{(3(lej~Tjv%RS*N#3n|1(rCu=fj6rMdN80jsL!>QoTRw$vH>S&$3>iKB7-{> zN4!I-vhU_uHZv+L!pFHQ_mUdT2&D1#t;vD)wUq%yvZ|jy`8+4OJQ*P(s5aixEVm&8 zl8W!_H3{D?zw+Ooo)>ezm2ZQ{B)*Z3>fScm32zIla;CV(3(ga>qm=Y3UsWGpeXK`6 z57z>O9R#o1oVBh&6J&Bj=1Ag?CwnpyEt14A6lX+{Z!7b0a&2iT&+v;J>!H!~bQ3Uw zj#Ljx{B|-Gw=eD#&I@&(NLGSuC;b7%N?>R#r;a*cEh? zlqwU>#~}R`K{*FjbY%w#61+fT^C#`hHUra9OOG$7!7BtC06=N@!0j*z;M?n4o1q4Z z1YQ@j#fC26$Z>;dMU?b^H9?hG3NF@5x5k+Kb#nf_ zE{X3;TCTgwXjfv-S%iAerd&d;x#9*l3;(l<$qhp9gu_TJRYD>v|LuK3VU%$R1Mp|UWoELG2 z!O9{a=kv0=EOUgej?TUQ8+g>8-j%sUH;DoQ5G^bta9DC$EMbSi!H-62DxA|eqC2+VLqqpf_@?43m*O#m3U{{)baf#8f!Z+y(KQ{tg%l4~I@C7!tpYfS z&Wbb?6c?KgSN`rRo7DGv?(8hF_fM2({nbsEw?7S4yBpXv;R|Cik5& zy;oOZ`{f+1(g5=OYl-!O>hShWG;rXcjCmKtL61~r!hVtZJSd2^n!le^pWeC;Dr5*1 z&`o@j9x5dC?0zTCoi=xJfp`tVHeABK>X<+Wj!y>oZpBoBUh;$!?uEK1GYDZW~ zDi9Dqo-q!Jx)~-&-SNbqN^g7e95RpOX7l07O4m7}&L$Ry7XnzU8dOSA%>z1|jU;2I zfUczSyMTLf>%mNcAPHYPk8(k#cce~z!LDr~C^dq1s0((^-qa3+se0cOtVPV!Y3EZ{ z7GJTc9M2f6`tGE~<77=45l>4a%~k$=J>eViSZ+yl4j=hxEyA7j0$*9+{+O>r&yr1n zJ)u$Hg|a6m z0{j-HLB&1Sa00)CLcJCQAm!1=fRT|A=&^K!_@DJFUuj>Ntla#%H=GC+#h@1GU#lS( zXC#j+J028dWWo|f3;7rMEU-MStgA1d-;(D$CmWuEKco04i5=wGwAZ6DW09gt(^SvRXR9d#6yy| z$@3b=+;2)L(#zc)-#F0r_)OdDdIz(r?Q{VdTXBi+e_$0{CL*5^X~Vmv3N8_NoUhr$ z|2cuwU-I9`HG)J7qF4yDMceKS8dB5HfXojN&GU2PPO#E@ZYFPGwR33GjOTFIU+MeK zh>B-CAt$)tSc6V)R+oP(tsNra!t;_Wr)BszFM9E8PNaV}<)GevWx(1=>qPsij#A1V z#pzuWyhvij3pb;W+?m0|8)6RB*%84*Sc z>nO_PvpyNp7RDTNt&u&=VfM7Eqasj@RUCGUa;pvC%`)@PQ%%XGw??8Be&6^Ucdtcvr zynXya%b-u8e%;}fK2c?Z8zCe@`0wwAU>U;F zyy#d-l`TVSzlS2*lvBD6(v$cCKOeW&-|o)1@)MUK&NozpjL}4l44`9lxP;jr|KhM4 z(*2eXF6mylZ$6+zKZ5w~$pvgrJGesOP2rfOc>AMZ7xPI0y`5rVP089uX8E#Txm^;6 z&&EavNpzkSC|~SR^KR9B4&p5oiqc|@oYrndp_Z~e?iDH$W=@gaimT+to7$f4N=v?N zz#&H$A81*_WWwmTXJ*whEU`?~YoLY}Ci*vv10gPx<Cd1qIEnNmTphK-4r zWS;^tb`L7{DBWM!y#<(x`oX;L?zd3&nrq)HA=iVA6bIsp7MKT+GtAPIOs*rb?>kSu z`LSS^D0=(Eybn{+?c+KOt!hfWr_y?i|6k6+g}6*siaIN@Be!~2t}#WHMy0#0I6gkV zvBP^;|CMNeCbk3V@B|KrQ5vg{kn{FE9x7xB%+r5GByfDI@Yq32YOSGNgw5xc{u>u& z@Qx>a;KVjbdwlwTwE)A;uMyA$7f~&8wt+rKiC;M5wYpe%g%vRyu*>;geTpnN(q7w^ zF4)=0NO&N(Z$We^m?+$bP?0Wv8m>H0sz3cIFcTo z1pw;#|C9wgA+aTr-5|D0Yu$(GFfH#?k8KV(d58DHd2)m{aE4K1rYU&kmJOYyUF!F( z?=-OF(dR|ujR2<(I3B?`oir09&|tB@G(PMa8iF|r4*~6`+kFt$q@I2|nV$aX!*TPk zZOorL#kGfY?>`Z%rK2}Gb2ok{tB2=}vy3_Xb=e$xrYFRF&A9!6nVStcw99<=Vse~DI7=U1B&kCEo>+CtU89l`&|#!Xo+2g8$&ctAeY z4a}qx=d^$Gjpmh{l?}#|@&H+xbuPZ9V#>0hHea(HNpr5f$m=(LWF0)gz!~qduHVN{5-ZeZa$QCP zUn!HLD;8S)?$V9gv4*j<0NPlcqJoAZfrkHL-W(t5QN(2)qY$1A7%9BOIYrAyNiMCx zFuTMfEnnqRMKAU|XFtS69Nd}J+LsnbrlwpIV4L{<&z$pQLLclgDKb-0!1M<1vtmxDZ=b)5g8ew2QJ1tTiAtWzDz~5k32Xh zNqJfOCfWOaoLEQT0vf-!X-NMH>%<|rJ& zl(=fRe{C^tebHUk9sUGhVAoy0vbsLrPD*sYXmnBMaC4qkkd1S) ztVfeWQt92&uHPbpq$h3xP6f?;NgCfGTS3Y2mAHhdGkijHDS{IV${-A+tc2+5hb=o; zGOQ}V#iAqjUV9`_OEL>kJ@+3Ad|dVU`w>~$aBxAeYoSGZJK_A%A`g~4nWdjmP!bX6 zhAe*7vyv>{J9q7p(E*coRFB3{omNV(^dB0|qHOx$v}eW|#$3E@_qud{@XxdCkno77 zqUf!tlF-^8ZA&072n7PI26TZsZuv&{1vqbE;-jVX+-&~d!GP$iLXqF2|5!`JII3$b zpwlYa>ZL}?y`xW~jxw}3oz#7yVIjlcH2#_HC<^l@bSC%{GkARmU;?sZO<+{iJU+(? z{ViU#B+q3_;JBvnfWU~9x2@I#SntWyd$ZOXvrpt|zkTCErT8?H;xg}XVPRek{=~!k z$)0Elerj(17|64Bv~Aw8NHKpqZkx({9U&0*S6p2=5fnyP0G#GA1(J}__Sl@hXwbgR zssAHKd5%WGXcZk9#dk0}P4_c1+Jahxry=6x(xa|*{7^bb!R~ghG@N`R$g}OH< zWkiv>Yu^D5fJ05Mi*NOcx2uV9vQ&W^L8_*&L6O-amsnwDKt8+0#3?cA=RF6$O}@Nq zVPn#NN^Ky=fpn}Os9LHrUR7VV&!*?c_uHU_@EsZVRd^gDP>{jTxt9^4^3Y0Bxd208 zNhD$-G8hzScA$x;m$cJFF2L~QWIc{K8ayH&5-&)Qh6zjOmmqb*_Kx@}LS6`!FH}6# z$W6fpcY*g9g+(|DkLt|4yhFHYY3D_*OxQ}nMz6?QhlZ*e4!@`dK43b8jTGK*NXk%h z;(JpOjX!v^CbMbOKD$)nVU$kw{4?k~iR%fHI-op7N%%$rrb`P7c*hiJR@CI9JnCw4 zu{lC_pAxn0T6`;^Vzc{TWsePK-mXI0?xU{fX~xxfneziB)DAJn4~N*PkL{+Duy9OE z46-#yXpOOmv)uS<=6X8wxMQ7qLT9^1>$c#=7RxL5s(I|F9rUgScxSA1OUPSPr3Nyr z)T_|$ks3CX*WSU-1+mka(kke8oxb8P9=UqCX`NO-`m6m=Yr^=CqXYdIMDSZA45+Iz zp7*`JrTL5#O(L;sb#>H8Z3%<5_-r_wIe;e!ThpycLo5Jd6IHJz^~bC6W8@IUqmNV& zd>{#HcG6>Ip4eTGkQ2oP(La#AtRFl90aohSEfR~y!kbXKLKIR`k3PS$-lWhNe;Sp= zgK4|+@^Vxl_A>LFhLxV&=>PFW>~9W_xA|O?&&m4zW`lJtHaU5F>EieAhFMZj{R$yw(_+iIG|u5Mh!Qbj>3cVmHYK={ywr;(eK{7rKbFG}C#ssitpy8m~ z2&cpvjemWi`G@B64xo~1=UT}`D3)*#5OQHkBZ+zLs{LEto;Ify2Fa4gvN4Pn_vDbJ zm()SsPhC~RWVn-`t*or{oTDByfA^gK_7v}I~n!ImmV$>&;GDw{b-Wct1D zl-jpx(9JqZpJP3P=4fl^^dcn6gd!XXGeocc^(!p1;b@wZl6X*BWeKgg%c3TaoyK$s zU}gmc1>X9H?!tc(eFyXDaV5;o&Klpot!oy7eGjw%4tfJpGxiw%{yTf~=FNi`hE96! ze_IxL@Th^^mp&SuNdCqv#}R)(5^F}{(%*oR}*%JpUDS4^2!)6{%tR-BrjubGel=@vq+2(cG; zO7ZBli$9ah>TDrQr(hwQmq|4R2luIma zioQ-zqxZtMVhU}1Q+#*L{(>9VO?^%f607YtR;7BVEELhBNpZ3L(0;DsWWus=qli#Q z6W>XGml7Xax5lRF=A5`FrT+wtMD~tPQ61g!Qw3r{IbHhZ_KOQ6F&0;34l33U`B!Sb zFlvdB5^gM^sr^LiExFso?g;+S&t<#Y1NPxz!^_fpGR8*a!&Btvta_8{t&VoS*A?m7 zcD6$EeD>VS1dS5~uz2o9Mxm#tA^8c$Z!psmsatVzC!utO>fAcNoIg4oT1tpV@%eLP z{l$bMa=eDfRz&v%*iK6+DIvihZ5vdn2&de~8tG7uHWgwIB4H6_!vI40VOosrL&OJ6 zj>KWGk+eheuv%-hN=3w5y`?{F4Aez*4RjqOlrdC}-wEJlb?UC%gR!`Vt2I6;?X_0Z zz?@0)ls5Y=AXK?I<;fG}Vb7c|7EWI@emQ7E^+fX@VL^b+1l~|N@aPpOmJ+M$BF;QFmWF^&C4YhOEVaUMMYal5$WNV5p9sYG1KDg>8%l*3hu9=UCeqRH5;iJ&Az&;k0!W_6h; z?fO}c1z4G%K!sHe-O%#N%JfX~1{aPQjAV~84lle!ny6~_!@5k|6F%!i;v&jbpf~4) zE3>oDJZS7mas=SEiU~J+vD2pqLJt3_;@2Rzlj0g48^c!xFDTJuR!lwb_orPz@_wU| zjVpFHaW~hBo*@N0DYIzs-gCe1}84!uJX&K)lDjidE?J_A0&ec&HxxqC)$q{YQJl!>+ zsW@Tg)Mk`bJbcCL3pYg?OEzAFUbHrl_-UOHC6fJV+IZY)bX?QCE;^;kSkK%xn;`azQG_zTkc$%Gt`5-buXfd=BF?ON7~l zC|i4P5W>vWr5U8T5cmS%5!=rmhA4BH%XSNnv4vpv2P1MP5V_0@;3>&tUY8^LRR5k7 zT+u`eT#uYE;veEaL3W!uHRl2h!0(1;egME#?qe zBrh3X3-chR8&E{l@2L!lwlUn%m|P!N(hY|5?IB+fqU%Yqv z@z1g??*flx^bk=_a;rZ!D=kKK*Z61FMdLAty&srzgNwQ`eLNu-1>g{P_CQ4ShEy$u zut^lkJGP$JlNBLG2-Fe16Q1S@RP}rPPx8W`;=$Yc&+E=58g6Hbk$Ryc1g)T`TVjmz z`0w)6DIu0>==u4JhvnmAswL{@l;5<~Kfd{RWAIh6cWU-zSyj;vs1BRVpGMJ|{3}rN zRN8r@+pRLhsrkOW9CxGXD9A!3^zUu z2&9fsLk>Jbb{%Z^7Z~xq_+i}Ez~+sq&33~ryIwugbHAhO@%^UOCDnzW=jQdzlk`(eWxWb*wdR}X@p5}OcpHOL3m zl*id0Yxw{49C~zyd<=-8K1yT+8w>0<$p+- zv>*1Irbl6-%C(u1@kdA2`9;J(g+j+c-fe|_YG?FY!X)zU!b4RTex3JLgLAuOhs*U% zS-x%)mn>8|yAUV#(wzK+fbZ-^Y2c3O$HfQF7#%BL_nG+lV197;@^qi$?iyU6lwyK^ zh%SmTF+B9ouYpt7i+eIoR*xS&F#N@5x9ya~!HUNLgNw%*?8PNQhZMJ@&s19-7`cQU z0UbBYa|9h7D#eXyqIUsh44{#+D1CnF4oW{eadyQDgpxxQLF9eH#k=|$5+zeh%Z9U8 z0BWJxCORslqd=V$I5OOKqg1aD1uU$7sL_e;-q~5(tp3isD>BQ&=|s*0f)@t{(q^m9 zseKx8q>K6i$a}Fh!jlqERX7!QF&4(;`gQd`myuZ}%nn`6i9?Tw$XlEYB!W$iX6Nrt z)G$R8jqeC=<-kwj_~u{@N>c*VTKDH1LD$#s}X&+Dpw*&j{yAIp(-lL9Dm;k%%kay2gU&=UQ;=`(pEYl|xDI<>aGqwtkD0Oh$X@hbE*ytG%t)Loz7HaFJPRu_=8 z41QfFFFUmA8K%yV>js;8=~)dD6p-sEOtxC4TQ#Q1o9a(QRbP7v>bggMxc9I}Ap=&8$oBv8p&<{Yz|CPUJ1MuMz`eq0kP~OhthnFtc3;hWl z3DHZKNToNzt|B(_X{_eMYZys+T$1Fv49ySRG2?ff_jd>z^*>^#m~WXIP_RRCx|s8> zL`BiQ_J%`54RBrTz%cgmsj@OAUh#=X;s>M;IO;oAo#fOV+UULU;*Ij9ojw!KfZiSX z^yJ!|SXy%Jb1rJe2Nu`+{Wb%1ClC#VyG$5J@?kpmI_B3ZqlAJ|Ly`I0;B-F`qgwX* z^>nt;8XZJosIZ9Ki3EBjYn|Aku?snm-ysbGGlUNJsVm>_xS@S_`}XY!Kw`#F324p) zlHIqW1S4{@pzLkAakJ6L{1=2+4dp0!7N;Y;gdAs2Kih8q_kh)ZT{(*DCcEp z);^DxhKmV}U-0?VC|Mj@gm!Qp%%hUN!KIX*750V=&skjo*_P@w07MrzmmeyPs&+ba zH!z&OOR~TKQfUPN{RTYLtEm-STS&F3KMIQ@A2vQ1oE+?Q?f zo_OofigFWc`Qbxwp!?Pkm4@GA8J1%YALBN8J2*{3zTQHEh7Bh~@GP1#KCo!RYUGZQ zTndQ7Dvvvv@J0iz95E7kwZi_D4g0hb;V$OD7ieJd3uT6VC2{Wq`UWM0{u&P@M{H)S3FfL5sJ6G_fB=vLofg006T9=Xu0h8hi+$r%HX#j8!L^pI(QH3=U5l&g66DNO9wvP)ZY5LEP6&_A3x`@7 z{$)f8!BH@96C*ID35p){{s|eLM{09;)}fQ~|7WoWE9IZ&iANRy4e<(rox&4?%uM;4 zH}fgeiL@pgkh7>}{;nYX8^{OAKsxabN^joy21@G891Va4_>WO^j|!|#-1G_|&H-=& z2#x^YA=9zVt-eYeiUX5E#PjhY$_hQQ+x+=2jABC%o@O!UAmZ z2nNJDLQ!Z8V=957*P2l0l2Nx_rN{3u-!Uy+Mtgw#CMo-$n-CC(4i+MHm{|*7z6>M` znl~6mK@RKF6HazyOv{7!1=ahj{ zN>oP!`7Z8rG;#D-&q4s)ZD=UThkir0rg0R9}t4n~+3 zG*X6=f}e$>Wy@vn>!U{(Zc-0>GsnN@AFwX-dd(7#VFv4`3RK11i6B6fU;R(y)&z+- z$L!92!xIzHl6y?fBO?x&Kjp=5VuDk2@{~UzX%?6dnc)R^`a&U1W!_X8C^%6NpFQBJBX;a!>6F4TE2 zMkBBI9Y*S1=M<=;nM{JVsTqPf!iR($0|k24d`lTS<6y+Mxn?iXqE2-ljrLS zsE29P?un4u&C~<63~CDAaQXn#VT*?!udRNpT%`vO_bHGu;N;&WTmkehJC8Xt5E?{a zHE_#KWBxTWF{VpMT!rpqSZL@b15wT}&Ql+!l175JQOu!+OdkT6{livD7*0HVbYLZA zrfXQBh4G)g_}5KBDSGT=v7k$%jv%j7M5mxMCyK$XiWge@zI}VJROHHYXl3iG-zDjJ zwLfMjJIK!_o_^!V_NwW&P_Nf}YvnJyI#*&XuEc|K^+$9R0yL$H0B*&#mgwwAHl?;? zEg5C7Su{`dA1s_*nXOP9Lne-&lTkq(fB5#x(7s{x59K+Ov8*;Ghg}6ENA5>pj0k}S zz&7937Rh&jLk%NBXxoq-d7DV`rAdssNJ#7*Vx`Qt8MF^9y_S%?EyI(5q?~^h0PD9^ z4A{yCE0UmWh*;Ne4$T||Fg?>s7B&iP4FcC4M1BkioRo4o3X2;z zG&=rpGtm%}332Q|DEz>n_Wu6y7S)8lQpgmxZzD6PQ;h?$7qD{Oumz7BqcP_CW?gAl0*LhgCSvdu^HztQ+N_M=B-9ADm&YE zIhPGeQejTeYoYd{jpFUjoPK-=Gk%umX619;rp;Hkr0=f!@)|=0o?ZW143yI&ODM57 zIiGsA+lL6*qhaDA01dav^1DP(v4@8T65#Gn^6>Dm*^G{ioRCdcmW-z&L2WZaW-fOt z^{hN}w5596YzDsB@;{!dNMrF~s0pl3X!pR+iOlao48X1-0yB+;EvoqWfBEEoSS8@b zhES+^RQPtgiMho#dUR9<&=3jBwyIC*=kc1`S=Wqd-CU$cR&CD;aDB=S{Fcc z1ECBi9Hju4wG!XJ%0BydqWAT7%pXJ-3Q!7KPY6<|3x1{GX(C8e{P5_13iNWpW)rV2 zVFU$n2$Q5z(LB0**k`af5MkkSs6~v~joz}oC$FoC53ie=y+6tsn&Q4ZOK`7)AomuL z9BvKRoCt@&OVt*CDZh)Dr{uMG*9*9>4`8x=tXl=5`)yu)MZi>RU*F+vKIlq7S>W~u zAB>KdR)r;q5&$?M1i=G}BWYr0MvYS8WKYL#<&0>SsgZ&TBrZZ?1Ns|KF+j+uxoKP^ zgw_#%4(uX+uRuo#&uuM1TDUl##nTYrA%8yuA{LxLkPth}7VQ&7-~Toz4&{Gi3`#J1 ze81^0oxMMXmTnmc4%zJpqeg8FRO5uaa(n+0w@lJ!OA>E0Jr$MiuW8qEte3r^fq_BM zcaEBPvpCCR2)gs$-2La#ui~yC^%^dcXkY9KDXS{(P)@z|2ybxywC=Sc*!u|^$|7cE z-OfO`(WBBD@5e}*i>br zw?;k6C1p!ObJWohyVwCIMa1zrz1tPp+4M-EtwWb;i#X8LPNTJLxUOy)xBvdlk3nPW z(>piL6$F*-h8?iC^x1Ud(Ng2e0$(Cdgqw;#eTVCe~sel92acW>Swna7ghp`;)w3-_*QU}rj=rB zpX?YAV=5L+)cnW%lp17sy&f3YXtTMPgk$CqOu+FZ_6ALTT@C;TD&7|rG(OL8&y!7y!DRMyk z1i;93+?5shK4kNCsmxMvm!kSaP&!ot3Ou3&MK_4EMxew5yhuENtcu2N&d0K)DUI6t zaQ0AH+2R%=bUGL)g$@D~QC_HJdb`d()_;ODWc7fFSZ>7=g}IvIP_;prjFrS=7)$dp zNu?QT43E8qVSQ~>5S~N zJBaPeJw$7BkssyEiNshk(E}2zx1`|%r$oKMb#7i_^qGHVDf!p(A^n@u)o=MC&6J1f zxHk}R7tC5|ikkqAwm+%OLs+e?Uw9ME4StB>J`c?ltXl4AAC&9id3W4@a@WM8W|;+z z=e2-jvJ#3;=INTK?HAiJc>7)h%fC!Yq-deNrG^p{)a%g~5KrQnyJY0C4Fnp3E7&cG zJok{p6@gZzu0}Q{Cq=ew`nEkDd(5P;dlI_GbP~IcRu$VFz_qlJI2M~Qu3|aaCU z|6LN0eJq~x@|Q*Ekq-vflvexaK#c-8K|b!2K3GHoN^!i{-z}lTh#* zGZgTN{ni?~*%%w&q0GzRg&Rs^7nvk()E(vooBQlPHrGxpa|=C5VXtp>*`NB)$4m8E z6c>g>>DAiPWEi@ACccZBEsz1LA+RPFD68>26QL-lT_B_;nlo%Vd_<>`L3F1&6A71p zZdJacKm3M-Y%Dx04GpR?a~`ETs+6`zUG zW0fINH6Hg@1PUH^Bmq!LDLm z)km{~T|bL)3#!w%lgS|*_~6)_G;S@zFpg=8c=8Zq|KmmF+CkiBjIl!iva{xqzlrJ* zg&z^UPfUHPSnkZ<4Ga#E4dC%fygsAQ3&H3I8Uc?_C|(_u$RmC?B;yITSyMA2^xUqs zaGyBsbJuQer!pWBfH?->D4-Q1`cWv9ABfU5t-X_5^*c+}b4x|}fvJypx2p^fo_jm_ z65B0)C#e7E1tgq)gX64qeCW6Uta47TyfV%Z;smq~4j(7?So=f^g668fR+_YS$Nli- zowF`G^2DU6ag~yOE?78SlQw7VY~N;Yc!VcPgW-V4^7pB}IlU)^bk(Dq@?SgW#Mt!P zVb&%eBc4H}hNPfvjc0#|sO{nbfz`|IFFZUT)*GabC+VL4XT;Mqi!FHmxh2g(Q^h-4 zOA0Qr0AGY;LcE`F6-5A&r;xCz+PsLymQ(cs-+T&pPQ&%x2%bxstFFWEgllAjsC(ZyfVgRr@+9z@12jq8OS=*8dO zR^vYy!!@vA;T3FcAh*3nk$sr8wTYg%lFPg+xdtT+1P$+QymizalCk`EhEtUDLEV>G2G?;Dsma4oM8WgY?y%CQMi-aU`C%Ds8QRIw!J7) z-l?$7pPN~3U25^Qcf7aHLK|@?CfROGJ@1r#*rngF*8Z4m^%YyaAx*(_SAo*Hn=_@> zP28;-p@J<1f+u(Or2UdMi@xL ztoDsFYYYX)s2%|)1CfhgX!-h>2GhNa z@$3l>lg0tM?cYnGrb2ETL3CDPdMKHVVElGEDs*pz!UXdJaF0Rz0NMe%7vN^T>VVtf zx;gbq9}m>KPoF{q?X(_rAlx3yN~rQcmhPPbf(qmvz0!x=I)47!OFL$hb}-#VZnjYO zgI zYplj@nZW4K_(q?WioIwPHL##n6DCU^k$rh?_Nk6ZROay5)q}BDYxvhM3$uSqwM@C3 z1AVm`V}JP<+@7)0KgeyiYcD3x7caW$=niqBZ}3%5e3>btPBW4jJD(Esic{TfSk2t> zm0+>5`ob6cn&76AtuE}g<7QtPe%KA$OiQTicFZ&b-+@PzpeWI^p)*H^fDkaEJc9xb z<$2x&ouU+zbFQs7UQm5%PCSNS34(G)5)Py& zJXmDHp8{nP932)<%f>UMn;G08ii3uS7>JGY?WeP4B_p=g3t?1>GIul>BN|d3rFjQ5PdeP7X4?!>-#E6=!%%gu(} z?dGk3Nw?loV=9zRAIWi)X|Qbx7t5zlRG#RtE_~6%b8%vJ+Cv|saYRKdYlCZBTJA~x z&KaMIYt?OQPH@h_VUGZ2)|G?Ez}ZHkM7wT7EK^DL~uIM zk`VMG?(FWGzQ*G(S>hKV--Kk7LQ>>tBi+J|n{Rdr2~lS;*e-D|bN1L=Za=H?n1`KR z*cjwFkxb3IMY!eXZ6VPgDhfj$tz>(lKl&3xX?D%f+5<;}JaI@(zh#|f@}_{KNUZwl zV=I4NZH&F8D!gb)S4itk7Vqe*YdyVX=(bv;J|ENc+8ci9riy~A&@ z`i#588>nKY-GWUNnv!{dE{B0!xaB+9rfodkOjeHh(qfC0TNydyKC1}?*LQByZ;K#P zQ5wMfD`M<4(34=OA+VdEE`)uUR=sI*0aq0~lP1vZ;5mU@1EyJk5c?qZ5qy@LAq~%W zR@>0b?Kd+`$DqR}f_KmW1M3qxdNc?qA4nNm9@;4`s(RkgSgZ;fvAyZB2{vu%?7a3=zBpN9p?F#fZAZ;d z9mbZvAzP`8U^r!r6BZJqvzvmqb?wOKrZ8A{MokTX_vk}slalj!wPP-Zjvd1`?TfvR zr%PsP=G5yIvnw5Pt@q0uaE|-%!IC_|ExYSE)B95P#6Lbq{#EiAO6a8avJdH!u9NK- zuF<)S8lM)}CqZo&(ZVTI*2PUi#0tDnfQo|&4D;{%JrKDA9C!)SG1_3t5Zu6MCqQ-) zT1>VO0Q|=aR40$sWI`~6TItO{YL63G6=7#q;|JBX*EWOc&Y$?9n|mET-FPvG_#(nJ zNYIL^fnzlT^*gwmIIv)CAvUUom)}*G1)fj6!0@^Ww=d=cb8UO1V_SZgcB$@Z zZ0ldH*eN+(<`6bDlh~~|Hafc1hK`avjWM0&b0TWI*zT)e{H~gL6To#@b40b29}nq{ zyut$Q*iu2<+fP+W$21sR#fOJKi23OuRHFPQqz;ttP~V;HKv`fn)Wl7U*#Qwu?g?xK zQ#?DGMz#RTAPkIRjPl&*EO1B363y!97aSxPg#P%6Va$|y#U}IKu!~aRLr=GtX zG#hA?DPx?PWAa`mVFqlI7cQLNIeJ2u9bRB^am8HjP1P#ZEnQ?T&>9J7E@-sJ@&@V& zv5mYloqII7Yq7{4fF45wDO_>v&mXqOmnZmI;Ji(CRMh5}_4qTsz3ccLN0)}(#8jly zC!X;h5N249RW!b)zfe`|m0Y*(D(&ScKio1LOq(B@S7&>DwBqENq2aOKFUF;MglHF6 zDI}Oy6XFv>Bc{`?F&>_ovCm(N7`LciL1vTBj$|Xw1!e8BAk8Xgu5kiO)we*n!2~7knFhDd_jR?{Nfsw9&lhxkpVDKPi z!t@^WFjG`;V6Gwwt(YvHm`E)lvDdAzj(+VcYKQ~mj%Wa|4FSITfeYc^h83u}EYXZc4rfXP4`MEokGM8c{!`U9MO}-$laI>2P-UBEoK$~}+vO!`& zP%2ylKv@9!5eeO-y;aT`nVCjVg`iA?Mj?GU_v8BQMC3_C#1ZVLkt^`m zT?y;p$><4JM*QyBrJnNV_a5PfgT>x2?A(aCl(3F%Z(oOz z6Q4C<@!z%BTu35S1@Zf|j7Z5Gg)`QkCNrng3q{FIGg2KAnv9`t{fM#>RlN84O*+Sr zOUjUTMj-;MX*Q?U&ug5`~Y6npD=xMVOLr_!^;s$0AL7jgl;{|zasZrae z2s!~yPIrVP#L+j9x~WU+!&oxKzDd?up4IM>Refo0cE>WRtT_2SQZs=ECV)L$IUwrT z@=A5=!W5rmapyle8o*@G?MOS%s%<>L&$cP*^@iaA?R5z>Y{*CA);0s20nE;b!vkIs%!Z_kh=5X&d&~%NAjwXWV zMo0GniX-?|#KQ%&grwg@f-|(wrtAHFT5CkSmT$ESBqQQh93LgIj+&imx#H2`NwzX? zaCu@Xfq;yzX*;*mj>~Vh%{YE9j90?qDDh;eFGFblV&|<5{d0n+9z57r+A54~;I2=} zXFn>B{5sPOY|)p3_`PB{u)@z6O&uxNX`&W z-kCM?;!)qoCH2?W#!1|J784_VA=oQp*x{cK_eXp}p3Fn_7uFQEj}d|rOhpH|jX}i& zwRvWsc3q#&x_Hqui~<1@rOgk%17(Qs%sH3^i(EDtcp+(WrOCQ-;dl`pY9zwLW^cIhQ@*f@r4pG28`r_5c5U{QJa_uY5=F_X=l$2>7{XP(7|cdA9%6Pv=cN|QWd1waAiKq+m2~&1K|$w zO50|g8p}AT(~^NPGPNZVGQ#$d5Uo8ip8Q?YIN|Rs%cE0`vj(QWQy0m-hwGRUXk7%@u-4&Bngg>P^a+Sw2x99r z6*iuLvjB7rEfrkO*z}u*?W{CEhqeeOA{v-=hDYsD9v9QsM8AGb7@yuTv7Mi%V<{!|=Ax8Vv6pq#o4kZ$&d=@7L~Ew39TM|DGH_>B4a~8c zd>F>poy+%0qq7jYu;7q+Y^!eLwm%Lrd5SlL)&dT3RgAshGkC_1d2v#4`ceX2T1Cje zPF+mk*GgRKH_x-dn_5||!cR7aog6oFjZI!ZQv9@bcsbjjAgKcP11PByE{V2tqO(|# zt$*eZAzXo8@HwnvAsK|pBlwqC31Nfrh$Z8K$ZbuIYsPio!2?1_L%`%uOG#UQR2gHT z3Z!+8_M&x{f%E`(2SkeZtSr+1)HuA~QMPY_#^;EE>VCr$e*s=bhK_yrCu?Na9U>Lv z`1>1o+P-{K*sj~CD_M};6%%_k;d$OcJz-@zvHMIhn#_mk>|%UOwMXxgc@D}L1-&Qb z_;)?`IFuD;lQL|=XKxf@8aVmPsdKgqEOh$~%N;ybPI^6D%Yy7t|F^biXv=Yn*1f5x z;iGwKcvPL!M{w&Yxf8DX+8gZR7?hnWnO?K}gl24w>w&NT%LPbMcLTK=gUc_l*sxsL zv>Wy!d`j+oT4`{}qmFkR1CI|kBC4SLR#lMhNh+`40dEc`cS2mcljfWEdOZ+H`q1+egyy| zdLR6B+E&)bL-O^&@}Us=d0uusGBdz&wuh&gC}M_wo^w5G?F zgO&fhp{4!1&98cOwnkZ7`f4JHwu_yGVI%e(w3_m#_2&i@QfxmMXpNP3WPSM9^}&vx z`3~lH2N|WJiHqB!+#X+`^ji{YmGR`XXcoQ&y32{6MS2jzKJXiOAS_I-6pGnVA_3G!Sm_TF2rTPI_vI#y`;p5JcHDrQ z-1^pXNL%3N zO|D=ZFN&d&gZKbsYQ@u54P^&v?|&u5gl4{+k+%o4rqAyF<_JcOt?(qj)UI)INb33C z8I~HdmH5~ZY1`wG*zwS4F&dOg7qhjp_Rw(UX42C$h({WnWNV6j{!tlPQ8;DY9bWUW z$)=DnE8B9#btCWrV!pr~y~*GA%({nshoK^WKummWYfFFK6bP;EMz1r7y)yK6z$pnA zn}zx}h7-IJL!*aXMsuoQj{|}T358&I2pt8^1~?~GDA9!O1S_}Fx~!&-jzDxxSB;H{ zc=^Hc@k%fl;5h(TUlbOUXq?F29t?FquGTI8rifGKj!=&}X!$$wBWKd{o%_MAlr*lt z?=np%R|?y$F)O@Ty?hO|Q2o)}We*DJmBfda%Lsuuvl(@1RyX&Wx5z|d1$Az&`o~p4 zubOqo>6|(!6UFv=gFmNu8dASop3(MR;FdLbr|L?k=G&SCXT}Yh_$8AY{+K>GBG3rTxx~Co4{w}nuwZ$B*n_GI!79LE`MBV`xZhhD(h5&HHcI?>kkB->V z;&)o{50TvlVCChoA1gnye=A}Cd;Fvg_<7jju<1X>odWl7t(woG=6}nRp;v#7I729I zD&xfJb)ZuQpC5A&!BK=$E!BvKh7v+=2@&3>!9vhY34?`y{86LeuPS>ZZaQD-E8Z?` z4vB5)J#ysLsuIgSTlbi*W_$NGSU$hPsr>-YG;! z)1FpZmLxh@BIpxa1U~?EP$UtFSGaBS%xdBA_X~Jwa114@WgWDi@82t)J-v;GXVaRC z4CnurB)<`(D}McYH^rIZGIpZN%ge2WH?|QfBO>( z{^pIpsbZu7x9=l9BmR%c^YfOn3pij8%6Ejtem_0G9}}dS74S8+fZ%b1-5lk=>a95z zWi6cdwd}}x=pNW4n5iafX7vRXS^Ub=lF$|%IT?+D!Fr`4qm;c;|ENe@&m4XOtqW z3(ymA*IvtO!vwN-c!Ho3?%^H1)gn=H>6WRK)77gO3(;LmVss{+5D-%03C*5kpSefI z5*|PWk*d7WylZ*fA*rFOdc47E&59gVy+;M>o&rH&P<2^TeiK>$953%aksB}hE1x#7 z=)UoE8*SxG@_VL2ttp%$k=;`K^RLq0EEZUHZ-)I0k-JBv%mD_5p*_~13?dX{zVdSk3-24zvtMSq(m!w z@pZC8PNP1fqyBkqR+zPqRx=8ye~dmVN!d-}5_y-X+0a(^*sbGvl{BeACSUNqeVk*> zqC@>JL=?->FLBL+waVmuxZxg+*aTLxN3mgCCS@MUl+rR>D3(3h;^tozP{8};d0x?T zpPMA{+!G#$$l5bf%OM^u6JzP&;b2y@S1wx|vTC5&7NPpn;nVHy(o@`x?BcR%nWY6i zOYl>OPe7?A7=zCgTsA`>i|4n1z~R8Izx{`>AO<6zKq#^L#5RGz57fIrd(KWxNf~(G z`g`V&iq3-vg5xeM&qnR#0PPz#0*Ezi1nUrUwBn!N?0RqQ*W**cdUexAg06%=EB=fp zw&efl^{{E4s#o1c)0!D+Q9a#eDp*Ee*Q1{%iPG!vP;`=- zUKwB3)@}(h7=#)cV?7#h;TT6kYYAPzR;;D4g1Hl*dhxqMrxUzB*lcZW3DO4$GX$X# z8X1E4J7y2<84J?SKvxIyprfNxig#G0EG%w=)%lNTL(gzPx`F%8L&WjH(ImvEkvAVRz%*A(#C`GNu zG>iE8O=}9xIE$MsnDoWCSZF;TJT~6sHGmwGYfs+cE;sWp%TFGZsIRXc9i;eDvTJ-9 zNb$EU0*b**0?&{-vU$ze>xTILDX9#7j}mhN;4)`mS+atj+~OLk{nY}BEhy?|XB`#M2iH6jXCJKb> z_=jAOo zbo9blxe!A)%kS4M(EJ8Iwtv|#v9{k#3LdbBjo8`u%RkX%&VH!@?x)OB$>F&>?(4IQ zYo}KTKY&wVl|lxVxyASH1D@j^(7XS43~n!=r!;d2H?hUO3Zh9J*5J4~W?|Ls!J{N8 zeXC=720|sg1u;yS+dG6lxP01tVE;nOw{oGzt&$Q|=@Dqye0I{3qGKTM$2bO((6PDT zvZzeiO)PEgU-wDo<#CMF9|=U35hgr%GU9;U1dx&H8~UD~)i0!W0E04tY`{5|hjP2|$dVfOIBM1}(3QtJ&Fh(Nks=9%_l(LO- znxMZx7pO8?0}@33$u3=4Lzb6+_(#ogiQgTjO&dzghkL{Z>=@8i0W5${7_ene@TCl0 z<8Slh9eX)b@!&q5UFUF4?A6&=rf&pcyS4}^z*Z~45WNKtBg7Q zIOX1}jwsX}Y4C#nEuz4>=!5#Z`qpxHQ*5WTMY%v#fGgU*1N?E5b_`PJ#9<7&<$#{3 z;dzu1>iPVR32N_fQyLO)#PiX)5rGkS+SrUp!*5s*B1&*zt3ZH-wV(pHf&m6h9=2ws zppXL(CKU4Kp?~@hl@NQ7cYpe$Uf=vhi`WDIqw$`A*N9kXR1&P4a2a`%Q!g;%Y!Rv3 zNH*~Wg_ZE^+EQdIES-d~Roz#%=cW!nxli@3zV`mKa0n>yghfUD`TA#Srvu3vLfHdR zI*0>X1MdCI(lT&Q6m`hNy~%ix`0(1S>=~uSs!M&dDuqZueAA=|l$raQ>c1ofTXYAY z2!2F8Le{(?d+K;(V1?aF-FKAS$cdJb*p-OPdwB{EsSnm5jYVjm_?YmdC)?sKConmEpS!Pdf}+0E2Kg003aVxCPe<2|#xr69WGReJvL)aVXrq*9h8!^jmcC(Qd!?!0})s)+E;ML=)5JT>u)?djXH z(c-Gb>`~z9!M@~k-*jfHB&hZ%2)takc%ndbG0f4iuMqm?+%t!_>hABPxmTVo?QWk4ZxxUhTj-zY>8zdjZVBf*_CrPd3G7kf z*X!Jdak3O+Z)jW5J}@@(C%>DIJQ7D1zAu+m>|RnSv`CVr`L@-bXdRl!WT$L>^YaBMYl{MQIa zVsDAqq?u@1C<9guaASKurF)YhOA=ymkUd?)a#219((@@%iosVy3yeF+F4_Vj~)N$P$_+@ zdx5#E+DoeD3a^g>bND70X9Y~6e&ex`tRAjghd7WsN3o`CSbg_zv%i}#D5Q`2q%kr! zhMSU8gn~B_0?>V%*%9bU1|zNv7*XQlDJ%7)bLeGI5fuqXI@%b8UrsJ3sfdFe-fnb2#E<~;mA4Gl#95>j{BJ1_8h2o zb22tQ)=Hz%Z&5FqkxFNkT9FqRXyhTAqi(+?N6lEzEJ3fA`IZJXm9E|UrSr0Q{KuV- zHjlwOb#=dVNLfm(UyY*eN`H-6z8^Uv>~({IEc82 zAg@GI1l2A+L#R8jM1md*euX#ozhJi$``-keESEhIQ*b_E2muA!r3K7$(^&hOm<&t~jV*58MbnvkRXOY% zYe;!B?{18mn_byHWcTcCjfL#PY6D8T-twd*smUEFDmi_Aox?gF z$@>sC!?3_PfZ>+cC{GVZb|Bd^WPNkBX1x`!b>vl*Zy~r^vHU@&%8L<9HPMEST9pi* zC6t$KTh!Wwq>(Lveg<1Ue488XKu+3Jp92wwxh1jf#>!1dSU3lV4?(f5xHbAUo(G9uJm`pI@b4B(hwROp+F^$E4?e}w^W6XQ_}ddwoodV# z&iE8e~i1p8z|5^VW;X6{C zC;ZgQSy(@yxozf@a+9Dii(dFu);mW*5`kYJ`!Lr9Xi2Tv`dI16H=~@}<+F1vmojW*N3BxOmu`3sx{{V8k zHw@_y_X{Hyp;+hPJsbVZ3)`Vd*nH$&VW;ytXq6;o_(!)4*qPetHO4xvw>usl3umx~ zfs@QK*?pABB1^ABoyO$+{^Gtj_{`qe6kS7l0iZn)=m}@%U0#x8{%+cKRy$bCe)s@( zcce@q_I!`#sDE6=i|(rV!3W)l@*f}h8MBaO>%r5)7aG}UxM*!N>b{PoT)K3@_Rb_xwKDD} zRBSIcIEYaaqTGF(@6$w~mw7O0!l~1kdq`TEJ_?=We^Y~7Hx|}!^jHnD9JH+#3CCQf zS2Ukor!}oUgVgK*{1m*vmv7(d4*mkz^vdu+j{gU1o+wJ+lin|s)%W8g19Np_Hm=sN z7f!ZhJNBuyr}I;>p0JbGSir%F{Z&q=0)z#z_BRGX!hvn3R+=~2>PGLI#@8uNzg&a& z-9!LcLu*p;I*%N;yO*-^g^o8{QDa`bW#^^HZ8PN4t(rnE$esDXN6z7+pp3djgz6~7JJUW?T&WngofzQP6SlF^t684@noe)liw4m^n>eCBwikgm6VlzM!88z^6oknc?IwU z_EyCPez4;N-iaCI?7q!doMRqS*;t6T4~;AqmOX5mP>}E$$fmt>ADe$7t7JCZV4ip^ zJ?*M%2CiUGdj#FFT?cQL*cCl@c5#7;Ya+xvocz1>Z`HLNS@(JU9`@%IB%eiC|c55(h-wA5+CW)GBX{F*t3fdO({qQlG8uH zt{xQ$p3Ax-(;Uw9hIOl?2fZqXEkZT62a<0T&uxZ=CL5$wxTu0Z+e(2j0ozalC1S9G z{OQ~;Fck5=8o2+t2Ihk6h;EnotL8H=GP1HZU{J+#gos9bLLQd#W=c!k?#m-r*0tZ) z(M`R;qP8}u{CioiO>24Fl|n(bMknit2jdzVk8YJuB!1#qnj(02u�ZyP8W)R(cfO z_h<5AQRRs!Ziixioh^9m=0~%c34DY0;-1?hsNbp{6|OJX|O_95ehf zPrf#Fpy=ty`FfMj96;t8Qpm{elF^t6X|B1AFFG=8epWRrzD9J;afN}O_&XZ5*h6smdR z`q#D%QQ?o9A3KZ;SX-V-}dq=oi!U>R|Ys<;)RTxg3!U8r9H?~0*;rKIOw<{@7 zp#%3cFi&hGz*GYebN8wter=I?{l{QyTNzDgI*AzH`FUy24nT+dYU71)7 zLZ5f;VhaVqhIhaeu*gckaNW76=KV-c|5W&H0jZE)mF^}^U4}>Rwx%@hS)RtH549Iz zbm7Zi>b``B^Ix7HbSgM-sixQK`3p9Kyowr5Gk8uT!ZrT6K9;Q94d0hA`Mq%v5=X_g z+IFl@FNl#}Am$@ax}`{$J57G3cjFtg+v;+W0N;GQUO8@k_=VozJ^4>$=FcIs=oTyO zdh0xEkH*$=Dc=j`ac@sc<@i?{M3eI8J8qLrg3BkaV>Nu>X=n@8I3WM>ek@K<{Lf!2 zDlF9AJ^u6B?{a^3I6Z%W@SV+H1rC)DK=Q$;C}d^iSsRyz2tK2tGrJAV%m|PRg+j<` z+EM;dtEs3X))ZwznOA^q+;}&|;J)y28?&^SBl2^vaQfEDv=Pp+Tn zbuWA4KK}1`iNE!>!SSN!nExJf&T1@am#5{Oa~(G_D>Nlr2UiYgZHGilX4lsXBO-1o zjUmeh+_U*U`t%0qENDiJfV6yopW4A90bFb) zY*A>NcSgLyP^Ote_fZr#7~$o6*2c`6tMxuv<2I?oWQR++m(7Tm!71)%;(Jc_=1)2B zxV$=i1w{X4jHJl*3>z7w$O`duEbcFNCmkiX_-h%lx3hST+Zp*vHTC?3!gF?-yqvie{j9nwu^lGuewzZcb-Qf?&cX3A(U zanh$>USH5QUt(_WiPL6IG1)D1Vt5pOoEAes6h1f=uljSbH)u^K0A< z*f;=GB6LM{b!>n!L9P~|3=rWf#M{`ly4>{~BHRDaV+4G{EBM4eOFyJtR}mKDgbxau zplz!QhXgOK{x27xim)^Gwj5ovTFR*CIS}h%K-)oV+QtgI2#*SdQmFG-J`y0bC~Q56 zO1Ey^I=pWci1n(8QMgaI^$?59oiy=Fv@|Ct_@Xz@XTZTQw3WB(REn?l+OM4w-KMKM zs&bkvB19Uk6a=m}mi?9ox)y(qmTeamymS13(=ZW<3IPU4R2Y#U*j;SSX!1s~6?OvT z7@GZxRhA$@D~m@+?pllf7up}fLwg{4=_QuhTz64JS;Kexrr*0><#SPDWOXPmNA}}D zSXEv_gVg$Z`1io?WwY!Lrsp%qs;)40M>3TePbvw$%_hKWd_2-gRsU%At@zt8SzUAy{IKx4#>-^1Qz`>mn&tYM{up|)09#P#u zNQv(N;2T~rd>_OnZVx>8jJGXufuDBmTk=XZ_+)qH;Mq42?NA457UX&uhA>1exW0GG zG5;S=G41!$oPVM2O-ql~`$#zjMo2nx8qGck1se0gL<$s*^V2ar;^k}k>m;hv@@;12 zgQb)K)_D^eZ@440Co~uamNsw6i@h3-|Rp)r)MH>C+#-QXTwfILl?lphpYO5yb__wFg zC8iBhJ}exTQ!e~guuQ)HRQxOxrK#2bq8@w1tM6*!ak^`SHk(@sCQmKB*(MD$31}p- zPG(1TGw2xDM=szS3$)0$_#hM=9C+e6li|o@&^Lj6KN9 z?*LOk|3X+Ffa&T@u6no)F;GEnn(Gs>YA2o(R4D?chP{zvX>E?R*Ly~~-fy0cVt?gu0Tkt37^k`N1Y1PaE&>up2#hGAm0!D0eJcuOe}h z(!Ra+OIL(UH{Ydpd8@oJkH%3-h&46Zd?UM-F_UZG?MvbVMHA1OluI?P?pQlWI!8S^ zVkNsbn%)sqe%Q4D(uYWvY3q<9Y=#NiAAwU7Lr3ZbdgZoLh+q!HscYLCeX$2BGqltM zSGO+y7%*D+r3t#@4aS4Q4`G)?@neee@^HTK!=DSC1OC6igQ`lj*->FildI#QOHEns zP2Xo2lxc5kv9EnaZ@}=9!=v#Cf0>R1=c~MOQbdq%CMiTbWl!9*^IB5rwq{%mxZNRu z#uNd@dXiv;Q{SIf?USlVD1@~&1paD#9Ls#!4$sQ*GFaRuSHoG zM35~d2^OC#C?0j+C5?oHQtz&(s$Cgee9d8YF~VcehXQ0(}8D z2NTvU$lc#)#oZ_W+@TPm5x{1KXbSNN6-db9kNMq%84nP5wZBi)cVX^bM?`y<$$S(g z82MZb$UQ>KNbn9?AFC(PN3ASot^V*O>ve@w%)AXoZr)sXx}hsWC(*~ja+g8gOG+AP z;PF>s{zO~r{vwShyxF=6um(GHmAyUMaeujx(D_-ZF+Z{^E4B^t!X~C{Q7AAhAKBtt zOvIT;IEDYXovu5+F$;7KDMuS0%PXL7w#Zj-Tjbk7z9q~m)|O?rMW#xYY5IP)fA0pv zTBrXSK)ilLHM=GS)3_8Npu!?zi3U2>oIu%~|1zT?_jKZpGRSJ#=}xEo;sHw#f@|QTe0)p>yD4~-E)VZ-p)_4BaX&m3E*f9f zGWc63R!x8C>P?%mJtp0mOOke3X_~o%ij?Tl>~GTT?m3ryxZ~bN-C;e@`m(&F@sm95 z*UwF|M>MPi`yxh2>@UCbVO`eD3%_;9f>L6fnQ?g%(HeJdfBU^-DiI1}P`q$)q6!h0 z6JAbaSQD#S>Jr>5cKzahSOt6lMz+D4DX3o1&^j>*RJS&?mM2yau_;EkZk>I^_E+u} z@cW1tH7NI~7c6S^vYA=K?c~x~b_UuOZA!-+p(b=tQrbM$hx*mm7bKLA>1SqsWQ@K) z@qBx$iNeDJGC1t)ybo%X;nrje^4~h?kh5iG4;D_&d34HUeYB9hWoez-t#EVHk?&h@ z@9R3@##rO~G=WUc`lPxr*XZ5ZIn@O&O;(D2L}^mrY~G*vfKFea{^BVf7e~7~y6~{0 zG~t6cMpGJH(hAzG30n}bZFWkZ@WKu6myxmm-QVdi`2MkY4SOkc

    !?UFrOM!MzU{ zQ{m!uM!fIm$n2+(9fXA=w0RILz(@?+e$aLa?-|^6U?-mngAEoDvn$F%htC+mbIJ7H z62YT(iP2M_NJ-G0x5@7Z5&mfu>D!;WvYq@2H9oALhuAh=7?~@Qc_Lq!{O;C@qdfE8 z!_k^hqpBI4S?g!}Nx^7{W$?WNvkV*!PjPxl%0^cVqnfb4GDG=2XoBvxi)`;+e_8_AJ^8OKg&-YEcQiHc=noE zaALdN!cuedW}ts~1AX}`18xCQLVJuz$oe5lHVp1RBxAAD15I9n0mMltMUcT0hEcEG zmx~@SrT)2We7AT`?+a4Zb`IuG%NTZ&={+w*WH9MzWoNXiSQ#EI4he*$w&X8{i@0MWO<$3c<9%%j$f1zVM-z|qY$nx9i}LgDU@vgi z)w-}9@FNy;4NHZ2c{^%oYYAIV^w+rgyK$W%$Lu2nW6<1eyk9|-YQi3lLb*_2i>-*@ zhiMpj0}Ht?P)8X4q4vLOe>+@ASv;BZT@9gM!Vxw=ZmQYF{nPgL(4XzFkJ}SL5uoJz zX>N($A0$P+(Kqom*Yej^GJBtslOC;NRiPE0gB+LBY7ltC zaran!@aV5EB3qPBGwR6c7Yzi}ibU^73wXPtPt_3TGCZBkn%$N4dD7kO?xLKbT@dAT zigiJosWkuB!Qr&=#^ksk2R{g9ZqcLT3ZGOc7wQRO6#*CwXb0SYMDH(_2#n&eqP5@q z9=H!kG9d;NnkhajD=P}E z!_I1Wi05BkT3=*+MA+Ug;TpVA`!yt1)2sEwbML8|sF}n2$o|gci*C(ZigE1+PB6>T z+3c0R6!_hB|K*g}Bc1E29tk>WS@k$k<^0}n2|U!idBd{1QS|>iDwUqTW$S2k?ne#A zQj8=;Nut+$-@LZ{H82n{)vOtGmFwI_e1@(>cktmgaS)Jrvzd*$v`ky`^Y&d$6cuUo z&Drn^g4q^sr1-gLbj}m+mkwYcc-bU^SBjYJKKgCA|J;a+0qiXNjQf0E>{Yyv1@3ZA z(>vk7GO6t`r;SY(8c&$VJ>FNGoHCQ5<*@5!-mr4TWvxJ&=B2UP963FDo(vTgh+4=dqM#Q-d;31Q+ zBNq=!G_w9Zt819hdg_3s1g5hvQ=evv@uu({C(f2W9@r(F-RJfC zB3#_A#uchGz2C&X{j#36@SX+vhS~;yL4BryWp-T|Grk-AH}UTjEv<;=tMOCMB10daM0){0PzFkgsKFr9Jx7mylIKPFHy4{HrDFQfghKL50-;pDD=K;%}XQjIh_w z_T~gn5>E5LV89%@b2+AVV2z#{)pTOZ!~DEFLa#^^7{b{C*<|!?P_Baa$SFJ=qPi75 z5~sy4?AmvKK6v0jkDt=t?PzRdqgfHVhDv<8+w#g%pAf@hboK9e;*1efHmv##2vy+Ju-@v0F#(_JMhv&!OMM8veJOEpXS65dTPBnxN zCU*Cot}|rNK2Yy5BcWzGX@dNLh=w~2SZv-ok;*Ts#pk$Qwh;>UkZ-2fzUH>2*J-61 zq`Qm_?nBr`;{s*Vz5Q&i&61prKb}{46dmFhr6yW_R!m*}JAU1-^0M94X|IcW`Cm-5 z#9l{7ZI^amuXaJ-B>0n5Wu(gQiDrvL+zRr|<@}-OSlAgiY~|o7tW3tNfUPFGG{&T> zMz^x8_vfC_OZwD@k!w_Bx<+n70Fmg6Aomeutulh=egCsdN@E!$d<4mU!h=9ed#_tr zS#6NsNQIK=0k6+{RCjnbi1g`w{M?t@9b(#wmN;@#jh&uwgcO~(^%>7M`S)zU>?F0g z8#My5RS|e-O;5nDupS)!d2Tm{3Gcbw=~uaLInh1ey--^HRRogFpOcE0uE7+(w0UGs zUHR+IY)ziQ)x1MLBd-f3#h&m`zLLTjMG;eBq?WKqPZc|_I9mUp9Bq?sA77J4M`<6I zYb;}=@vMw{rd&Z4^|4Cgr0e)B>lG4VKQG2UYMjHR2y+R7wv8@@(6)j41a#BX)D$lo zNRmW;3^-+{l79BxR#Qfk3gDC$t>bCe9(KZGla)^fYb7N2_+U`OmL1Ks8mB8PAD|K> z@N~?!LHu$InAbjv0(J#hDvHYz<^*xzUPWm1{27SdGVt49IHr_tgS;o?!I~mv{{=A- z-fJ+aA(3x{J^E&f&NHXDc)yn&7!BeP90Q?-r*EcwJ|HoPtW^45od~nlU3qi(I{`$7 zshsfeDAALPrjs=-Dh#&$J?H$({w)GjQAs92E*6ACqbLiIbi&``;X_zS6HOX&VTFQ$ zt>D~;n7v3z0Vi-9v8KRgZS(y{e2|ee94$4`#Bc?s)4#NDF3ewe1Cx5nOgwF(>z41i zM)!U!8Syl@(N<~pMDu=Tze}-!Q>BZuv&L$R_lcr&DY_i57<@A4hb->qAMB8Bw`!Nl z+}k|7t&VfiXxDjT_7*b3k!JVH{Lf~DxsL!qnsWTM8uu~)R%#y3cPu<8KZ!> zVa@h0^O7LXV_%Q005mdiwt=lp9F_yw<~W&G2oqpXsB$w}7?l=|;P+tMDNDvFB~ZmO z#%+XUpzz3Bw5=ePpv8wsi$qy-(!c#@&ariYYkZC%JNVNwJZgdGFs-~e3xTn}!+h7s z{t;NG8nV0mHqHr0{J0JBpZAGIC|fb#ISQPE(4ycB5qj|@e{!@YBisQP>N-rn2aq)f zGUq=7>p}s*8#4PO3ps{7fgeO!)UKzTOGQ7h6X63o&qI~Vg-YKwuFp{`JQ`9R7g$yI z_1NMS4M#lCKhXnyE^`jmk*{*a1)KsGZxpoI9}MS@Yq#&>-eSy9GrFyjV|NDE=a>5` ziq|qn-u>2V5x}$8Pre!95iqw-qS`=BjGZfjB3{%2oWckE=uN-9p6vOr7SQWfVzmJm z4sfnE`O^bwAkH0}Sp2rRa{;Lbf03XGW9u-R;)Vin0_K2_xSQ;iV*X0tGq=YY8ygo8 zwep|@7ORl-dDad{9OfF0)=WBgZcGRz(S|7*274f#<8}i3oaJM1?2^~3e8vtD<#OfV zn)T*g_&WfqdBVqBoo`Jy1X*gX{vzXv-Qc01cBaa}mK4;NwPRghz;}X5+8&y5(u3-_z zXg8jRWwnzsixWjZ;!BJp4VK)!{Qh-zi1t;}<86!jI-F}1}b=b-d0xl-t){1KQQ9L?d0n(cDZJJ*R`P+?$1;{-s;`iH+pp0(qA>m3jt5udxFV<#+q zslD(u5>bIm>=bytgN1##?{=Fn`L8RMYR&SSneXv(WOi(bqVSSp&6fXOx^z$D$xU~s zF_wm*`^DR&$F!H5Gqy$ARkldJEk{X}`d3mR>;mNq$X{56Z6f|W45=tmOE7LqC$V4%0zG}Ay zQWL+vsKW+@NrOx#5D9RIVCN<>uKYv$n9kaeTtF4}-+1aKx1~Kosr5XpRuH?8V6g3Vf%wJ@7e_4Y*WnpK z!-hkSg0|)ERanWblg1#-^x{p>R3uj&u(vSExzxgfg1R9J?8w$c5pM9+CjYz=?6T6+ z1^z`ap35FWQou*!hYF@2ge+bVtaRdu5mFo?s~K0?g%F5~Q6}-heK^tJQX#Rs5N7Sa zp9k~i=uCuSSQRUKshf?Gh09LBQrrbatsGtf`xcV+zAG0hFbn~CMKA~|MY!6XYPJxW z8;J{_fx3PdP0iBx)j(oX>LMO`aD#mM*A-X9z z#<7P9wovcpe^{bw1ly9{>OQ|H!r3O03`01(h}mHnCSv{V<`2d&7Eb5SpC_s_ZVoij zN#6QtO@u37hSn6}tB!G%5RD+4m$-|uqre|bTqm$y$L&Z&PY8vU5ko)zOr%Wo5PqwL z?F-F?@7KU3qy+?A^rT6*KQN0AnNe7Xb-1yXNZ3xf%!oBVD6887jcecZh%K-aSxi70 zlDvUKYIxtIC~}z~z3Bt`i-Qni9hKpGGW;1l zg>xXpf4A(ItYcl6^O#B-)=Z{(M(ib7db(Wu#7pbLl-x^RZ#}bi{op*ujGKl?jGO~2 zu86FX6=lEZU*p*GO`}Nb0g5A4Gh=Umhdt+rnxgT`mYfZ$_gxwvA zbW+l@h0?kI_Wi@(JPidhTZWK|{Zhs98}0H>@tpJ=wO;xGRbk0lvucgH*34M#xc0ZT z%F(d{w=%pGW9f{ZaC%6FK4>+MvZe;Kee(qVQP~}#T&;XhQzIpBuhsKtp13s0mrFJo z-aB0bO9@g9js_7C1tsXYdMp?=39yeMH4KX*bbCUAqUn!$?{2>s?Bzuh{~+{pm53A2 zATWKA^?3Owyj^huKrX^sSxCWz@)Bv@Xf~%%!ie<{u98~$85UwBIpi^ONGODlJYcpa zIw+_!?`hegrSwZ}0%5fX;l(V}Y)R4CEm)OfR;M-`+qw1Rc{c7dp|mOd-;g2MUXMj2 z{(e4rN5I^)_&5o!m-k^MieY#k^l-01wyyg<$2aqSNY4!6GSYQ4zjYd!45d`%_EC~A zRd>r+4%`ddtG~UDbr-*bP&j3cLE|^`15dwP$Vqu#EwU!-2sOg8Jxe6MWW%D{U)DC7 zBI|;&8!RWnAEdR62o-E0#ort;^p>ggyfC48)cDJWk}87PCBL<*)_q49wJK!$0mF$sMzP~O~sup zE!Tlc2c7Pgf5~I;A1z>vdX?j?X_X+WSmk9Ydn=&*<@8TbXn^sE`ssWXYhH$IWj@5V zcump8E5&v^d#Rxaw46RC3C#+c*{Gvma;F_a; z2_Q#qH#H8mrao%pB*qcrYaylOT|@L6*OJ2dwlm1E1le^T^$Upj1zR(`Mqq38G-+R{ z0OstO>8XPU2KYzs^ns`Bx1J&A@)xulo9H*NvIZFK=a-pJmWzJdV~5vra2kUy1iK#s zc@M$%g(yUa6p)nJ7*;z#1do83X@nF9rIo3NVpylZxeq*7Y}mIxJ)plyj9ZqMWm+fc z+L;v2mcw_`--U|6Pj&u9bR)O%nQF1b^Dlg~VKiQEc*4-pxkt43w@y?kD$2sID!eo^!h5m>q-k(zX;gmhALZFr8q*Q3mS3#*>ZCfLXl;dh{cLl?^P0k4{8hm>ZruztqUd?ivJ-}v2m{!`$NKzo22wKlaWljF=}{9z zG=xa{@6g!mNHtAQt$iWo4ZS=-~7gV?7cm%Q{WlmyB=58o?f&r1;y_p0l9`vy=}=ZJFB|2=p>o2435}Ua zhW>#qCsb95X&1OIDhKof0Rv!ed_b>-NkxV;-4X0jSZKqF)(GqmjD~o3k#;UgSS*~} zyn+N#?40lh(PzKSzl2N7tq~Umln(f@)v=p~@CxVcS^yV@fe1_hOMx&>>O%6VP7@0i;TLKV>gseaz_a>)j!yi(9q1%T54i1(4 zA;a~kr_>AcuTjDy%doKHDqiRI=c;RTbLoO4r+2Q;PA>A|T-0TL*@$$`)(ESnR+Y;I z2E1<$KnRL%8b1g;YT7}TUriAA)g?<tj?I zNB`gS8NqOk;W1A)jJtQIA$5&LW?nR}FkGNKK-P4)vv%-%$CNC9M((`f3KNR z|Lf*SQf5^_V;kpjOGzGl5e*aHdP6p8=FfsP_wW+K@aUfJv{D+5gDYZViIYWx9D@db zFtcFF#A|!9xftC!l>FFduzMAvHH1Kb_(D)Jlv|G2%SPiU0F{39!Z6=wAh4^*Vy}hmhc<^nMuh1IkAz*C zA0yHRg;_Qt%a4goTTAQr4}uDTi3(qRc(ysXe|V6P?dA4u$r-|8W?}BisvU@b|9K_D zNRfEU!TQI!`DYtO_=ON{cUdy9Rt#}i&ExYsnMEk+Em>IYf@?~H7D^GRR<7Zi?fpzW zDX}X`&1ElPp^NzEMSm$-^RH6Rl6~ynKU9)BX5`|+3z;>vME#wZ=wT*|`(4}&@DFfa z0&IZHfM5eYkTeRd9e{>Z^r{hzU_`z-ERz zHPaq8r7C*G2)4_!Va5q$VZZ92x=IzstO|>AGH;aC+oxYy>#W~SJP;`L^lm!#)Y8Gj zLL|*XM69Wjdw0&jWo;|FxWqLYq)%oL=*=EuL<-lO?b{B)(E>O^aQ1=20b3pp+xam! z&?5byBNhxnu*yG+L(uPsZroQ9#D|WvbX4~lxPkB;0ijSN%p0Ec|D}uUT00Mp43hlz zU9!@8m7&Jc5qmf;jdR~Pf&o?)o1+giqs-BXQX;!pN`xIpFI{U^B!Nhf0|2rtq z32Qm}lP|OTdOs_&sJ6Q&lc;-n){>|89+`t1I!i>QKg!tACQ=cQTbYb~Aqo$=wI24^ z1z*EPWGrrVn>~x8Sifew^cY^4w=FPE1NRES1b~eV8=IS-{9MHXjln~COVq+4f;3y@ zpGw;KV$M}i|5t{ zTj%|jBAK`x|JZteqY=<9~<5od<_RqwzkshWMm7%Q2C6vM(CLE?X8n zZBq5#;N`#kMpAZd`UAp%97%SY{MQ;1H#xEmA157r`UN-S(Xzdp?-P3fFiDX@1Q`=C z7y(*<+!+LXL~cOx+4DJUF96nLg-;4wHvnDdlVe-k9q+gG1J**5ur%OznCM(nm$YYO zMKy?SZ~RvaTV77fhvH=q6=w0Cp#2c}GV+T4K9v0+Y>it1hhS-|rOF8JH*vC>u!%J>~agrm7{~pHvf-FIdLx zXaD2XF-yAy?9~hO^9tS%eop6%;`)B6q(w{p-Aai~N&Sdq%lf_2x0Vh`u@JEx?)azd5rdY;$5A;WV$xz}C>ezqMO>rBX9+;xg z>0taPa!9CUCIyv3HL3YkQ8dCiYUkSkrpeE4j%E4YI3!65cW!GFp;4}UR@wFEtA$m= zkzCE+4X<>bRSIPYKX<7;?9p5xr+;bnT2A$k=QjUlM@&J~=%M$D4Uucta?CUYB&L(t ze{!v*?O#4*caZ(a`SWFizk}UReAdU42QWx7#16BjY^472)pKKog#>;eCMS0v>w#`S zgqTQfzlU-fTI(UH-Z?Cf;$@J7395$R=KBzCcQ19VDt5Y2^^r|_OI9-5;`l|OU9BI< zKP2fUsdSeamNB$chAqt`H=3tz8uTnYm-;D(a)rmG(_DiGmue~reIB5=kD_2hAsrJA zx0t~Ux$a&F(&=cvP?x5i6I*`1 zR*U7!#F2ov7iTapdRzIBnAx@YK`rhTr7(5KyGF~BRRn1aw?sLz z&O1u9LJ{1s?d!@6oT1B-Vcd9FTZXUGhfGr0=4*Y1@aRB$1zH!80p8u=&~-fNT*%zT ztX-G?4^iI%&UM>{{kKObDM=YglosKiWHv-u$x3BsH)Le*5``j_trFRUBv~P;>`g{g z_R8Mh`Fq~)JHGdLj^}var{h)6{kz9?o!2>>LT4S~FRc8XOq(C|D`eqSvL5_^5(R)M zuB9@e_=E2+A9?P1z{YrLXlBXpkAgCvyUf-ALqkJ34%)Qe)-UBUsxdvM|)EB{Ky1l!Ohxh`Xv+UA;m{Bw4;fRh8?i?{}fm$nc1 z@**ip&9CbGJ`p0hr_@{_XGE-cT5p`x{ob{D41Zs#wb#0+!wy@2Tl3y$i6d4iN9E6K z#Fgz1k*~Z9aOwOgIuU$M3rEaD_&BN_9gm4cak3&JbRW1lK`ceHf>s0&7yka=W3B=h zYW+$ql|)S8`7E4$yhj9E6*6`tlQzy?- z>q(oX%{HEBY?;Lgz0x{#CUByz^|Sc09{p$VJ2gaLn|`XxWM52KA&hPZEUSF9;vg8o ztp;lq=~;z9x{Cp^6y%VzblP;Ik?&KI7h0_-lb54iwVCV_4Rl`5jkdm*Gt@ZOp&Unf3gJco)fxDr-SJtFkZybRZ?!s1A z7w>B}{R=VuHo!$=R5!)A;A2q_ykP44*lRT&_#BMCWXVZf#aI^nap6cn@VJl$lgoN> zF&Byu_=2P6MWOy8GSUYwPUsW?3KAN9Oo<3w6bZ|pFxrt#r+FRL&sk#cb6POWJA)&Q zTl5&q2M_RF8<;wJddLmkJL_$w3iPS2aTdhx3Q_@i8D1HbS10;DuhlFShCli1SV(9s zP>msT0f1K1$8=x2_YJt~3S@&H#@+>$r9Gi4q}^2I?Nw+xb$~zSJ2fXFCytzbWPw_aMhSqdjt;FPs)TDuSY%FScr>t;qf(U+sW};ExoE z)GJ4G_ntP_J2}%jLY;cYp2^|*X`RdV#{%0D&!!ET4x3UNbk%i$*wyvJY`m_ey@FSh zPB3!%Y^S(v>Xq|pD{6K{yniii`lo?vqYl9|&t}D}Qh31n8O@Cz5JatuwJyU%bXB3D^0Mx_z}qmei^s_i6j01_%-?w zINqX3A@K9Hst6Kq-?aEm%fDcr+?<>!F9Kyl;)H7)MUOX_o*D|@V={uox z(-czT)kn(FZq28Et{&TB+WRt*7Q%LvMK9SKBaGwgNV|s``N5BYUlGOFgw}=C>~DC7(+0E8IE9?C+53eG+{12*#T$Pr(IiS?J+0rI1s{whqn=iHynof&h7T!-@V zq{Qdm0<&Y)uevh7_A~mIzj|NG?Sx?@a46+ah$!_Iy+^uylXDR~Rg!PNELO<|`_QpXb#2tL+Jw~n3t zIXieDi#6;lFejr6tCQuZnP zIjd}OCHy{CwH3+Yg!&Q@=>Dgi+yV z0r36u5*&v6Mh`B%K4@loO?PD>H$`UB*F@g@%*kWR`cBu}ig$jnTh05hmF-|FnMK+^ z(xVy^f&}|}J~oUuHxd1Hgo@zqo!e~JphAZ-ZR>-}Y))6%#A@_JKf(ZY`<2evE#iD6HeaoSYMayJn0_KuD+#GVkc5A0{smS@kgDUiry#DNDVl-t(0iD&&6 z52csV*T!+jlB49g`T6sG)uZ4mywe7%xI1;NGfTozoA9bgB!yNR1U-~u89Z&mW;wmO zyG_%2k5{#IupO){@n|jZo4g&%d`MmMHzRUo#fWWC+k{Q4%i)gi$){WV^SC!xg%4CO z{cXQ8?M;z0e@|=xKgCPBJz-;bBtIj1)RE>Od0O|A{+heVRPDGX9$bCl|2uSR`19F@ za?my|R|&e6i&-5^3kba^c^4$t2i3b8$>u__n@| zuAJ{CN+6*A9X8ruEHE;_e?^fHRdb$)hh+Oz@e==yPdLrt=PA52uPYuNEa)iLIr97- z3xcWkIl*t}RrGhm=Z1A{NZ3G84AJn_hejOz%^>zvI}Mo!R)JREXizo6kX2}43u?A= zJ$#IY#5eiXy-9pyEyaSU<|0d_z)N3iqo z;Wh>h^uU1I{HRwg{vp@LkQZb7X;bh4srJ+QeLSv5=U5@9EdnAZ^caL26W9-|_7@N@ zXFnWj)1{=awN01wt~ebEO`uxXRj1x)lvO_5Ap#;PtPa|r)(v9DL?qKO{N2IMP8}sP z6H(Xa+Pe~~`TW+;4|}91pb$mxxb+cd)FP*Ent`7RDk7keU+l)s-aYd^*4BXu-|Rf% z=1iK=DiIM_V1uwS-t&7xE$1lpOE+u}0$0E*x}G6Mgv!6{vh#THppR3H9AhRw?LqMZ z37Xa%_mjV6IG9VQVwjJIQaI=yDM$rY7GI*}#XZ{==}Hm|Z<5j${I1tmkL;I^ zC{=pGWmbOT@27LLZ+0I?k-q&pV*|EHz{tsbA}MmKz5l5_wx{wqHdDk6l7Stl*nb|X zXrRbw8@+RcB#`cfL>^W4D!;WyV_h)n)!5g+W-DbM?ib8C8S(VAwoZFnEhGC1dj$VW zy9CRLv=5ea54?*UgDu>6QWsn7I=XK?`VHhWAF2eYwCnIKEy_=WZs z%-eDO5h`ZH2kQ#CKO<<(zGc$VQ=I3V(w8MVH;m=fYz~K?he;SA3WYz5K2j^$T8VPV@c-@>*?@;9 zd{t>5QA=txaQq(D7gSMdl21>}X7v*k6r3)ob7u*W`bS?ppO@?ErB!eGA=J-XADL725#v2znvh`i`7IzF_H7T>vfGMR?FGiznd zql_`5=xWH4Oa`0W-7b-NNo2VZ5v4@@#BHGRZsXPl<i}wp=iim&lCrBIN(Yoi2LBEN74-^r1DD}9?%4q*iP_aDbDwQZ z9xla=eB<|%bNmAa;7(_JEq%U0_tBm# zsgw2=m;_;ZfLW8Yz}gDKwSKh@t4FBuvvIcfpT<@g6^EP}|9r zGAks~-R(y|x;T)OabH#`?QAi2U~0d77FPqIZ3lP_vn)YhO*u(@Q}Wch{VCt=q@K-{ zVNM(NH``Mo6h~_bpI7z$+ptV3_R5DP&QyIufzNk8_`u+!I_6B@wy%9L%XE?Ncu+q! zG{2G*yB70HcVzM+!a=|yME{*}wZI2_Cm3v>{_DRsu2=dE6wy)6gUxcsEq~#fBlTeftnF($(S$L zd~i3PhP~~#FjOs&AP^r~}< zH;2Jsr|L(UAO>bQcYg-2$Pb;f{0Bs7k0!m1?y2!n_Q%e~6a?3nwaj4Pm4@N-S!Va3 zJI75)H*DXT5Pn+fkHll8($PU%`xSV9KJgQktuncoTl?!a{a*l4xIQdJ28vis7B544 z1@2y9l9jKNXZ}Qpmq!-5)NXnNNV5sQ^ zF-2u_^S0vEKSwV(oPg`j`|F^Gl8$Ymf50Xm`Lnc?gbqx_eiaH+_^RU>h8TM96GcPl z!T-*KsxBx$XUh=xHx^4T9mE~pAhhiE$ zJI_qET}Z#zsH;>vIMXjT!u!PTbd|r@MYt=_Q%`l3taSl;hof1=m0Lys&1aG4O{p(n zB4HlM@>c6tI>liF6FF77d)qEa)^5Aa9a210cip*^Ura44 zRy8vS3Yew&*x6S&9J{aT44cuPKX=3DfiS$Vt(WMDZmOxN*(kr24e|L#U9M9^kEDuV zusE4@^M_X5okD4}b}Fr-JeRM5dA2wpyKaKjURa2N0l&2{$5S~N?F5qPwJIZ~9-ZNX zRnv<&uvt1gJHcZ-3*-eHd0}8On3zUnS%Mpca^$oWx2J*4GLiPsUg1jh@q_Yv3UN6P zRx-u4J<`F_MB-qCjww0+U_4Zphj+nxsACS3YKbY$sUONFFuv@X*f+@VJU0{EuOf ztFa~9u_a4H|JPnjEG38Q9oW)(GylyiX8U{gVup{&Z+&@aU8$3RK|w+$HSb%UwE6kt;$9y5~? zQnr#{Qsv%tIiMk6t2X2wk39#-GWO9DvMya@NfB*Hp45|RfwzC8g>$Ue76^1BK7in; zz_vx#z~K2n*4kd~kf`^P1he$Vm%g)?o=1?H5A7u-@&@)E$YA>zLm#S(e{c8(V)c(JW3{Pd6yT{hD#&(UVid;S0q8!9(!Y%_`H}_!6HDVDfP+$9&0}(8K&(cLF z0gAfj6iTRJ=aFj`*Fbd1Md}Rj>0ES9O&qRQ4*Y;423O5Uh?&!!a!oG z@r@hIr8g6yqs4=43@r$;%>W}3xm&p0DW!qoVAz^%q_X`saO1_Pp!1) zbaIo-WbzpPI@F^QNZBCLpy{Yh!@+;0#mphEW7xhQ6$SXMr6?W#gZ_!>5kapXf9CGt zCICv}K1%`{`*w!qKr9|wN^3?+7=NFe&=oRFHyt$nrXj(bts*?Qy z>jrprG$2FyUlRNM=D_y2)!%}|I^*&#fqy5Fxrm?g3qH}U<8GSuy??qn@pCOZwna>XS1n)Ti^3W_+H-f$o;8D<6RGoGb-aptqTqAgB1d!?ZO982tpwQW-y+X zZujhJIQeWgB#48N1i5k{Mg?xnpT$JmsQ1I^ixleo#_n^PNKkMH?OjTdg`k+YxGMB= zRIFYm4vWJ{abH{~iv&qcNE0&xID@*3`jFEP2xV}5J80jaQ0%XKxL6@5o4;a!CnBVcJoA--Lhmh*5NOkA@pIx?3)jOIx zwoS{}%jS)bb>uScC7G3uI>fwf&iwR`5x4a{4JC2?*wpTud(j#^QGD@&@?&SE^C3`1 z|5cd#q*U0HB4M_s6^Y!mM+3{XB?0v!A1R{U}LPo*1mRATWI_5I|T-eQMxa$ z_i-@ZBO+dSR{Q8sc4>%!xj_W--o~_u4TfQIHm_tD560tE<5zuDAo!pBG{Zt{4=jig zo^6=4R1oQ)mye5xc;mK!pbW1RVW@#DI=FQ~@`7un6b-A)zb7G>k!-rY*44XC_84#T zVD8;mU$}my9ttyjDS}abrs0^to;-`_3Ex&@OD0XF7TS-l4x%K;CmU~`72xu{7<(>X zmcs0!X179>cy!UMDdp`Tvt8SK6%Ue!PpI;5T^uu)eTXXY)4y*A;e)%yaeH>aqYQ}H z0N%sN)ZT%%xWWp)b1yc`jicL}!2!@cWVZDXKljKy)B9M8ZbxdFB)2bVo&1RUSo}Mx ztan>#zFc7Wc10qFjYfyJh7fY}nry;;py7YBP3#-E>_C2&1rscE#wY|YI36d`SfgL< zhcBGVQ^o98d*yB?_B(+ihY~B#x(&`^(9EE6hh>eId7L}D=Mhn5FD*UjCAPV-Qb zUbf-3CR}~so&3w6hh0byGkMf@(3TO+Dv{Jl#0Hxl5)+T?xHH;2^kOQB-F45k$ITL? zWBPi$nV1B?2Z7rBLrhSH58IQA=`W&^Sx6V z%&#M}CqfcT&iJ3xIl%FI=HsrQ`^k~=0u)uE988Q_GB_Y%)v#qCc$tK)px2S>^?F6| zGYXySnD^oyoHp2)Ge9SI&xivY5Rrxp!}Y^5>KG#4$YhNI)fuh@Sw%%Rj2-{nIl=I3 zf9oH|!n0l8@_VxbtTSNV1@1aI3SHpmmQc5&csN7n6&aNeLaV!liwQ%oncdz?7FQKbV4{Rd@SeK>j|k?A5c1y zV?L9K`f=2|m>kgYxYbv9Ab(NZs42gA?(n4xI}v<9@==jodOO_RYI^iu&j$tDPatPQ z&L=QXlc$$|_%cY@{pchDs^T9n(#mB81gK!T>XaOz(n>xO`6ZF){1O3Y>Y_!37axUv zrCjw_;8?&Oz!~zn-`r)R?Ic(94#PIfsMy#qJ4zPMuJ%l>apOI%yjX3PllTqUS~P||Z76o^*HvA*KfPlgcwiGo4O%!B`;0t|X$Mr8E0jSuUcqqf-R{4R!VK#u-C%5OS zEyK1v^0~t9`@NHNUhZvs1YAK_3*Ou|P54XMb$mH%a?H=}vk15=J}Pq0|CCmcLTd8j zwwraU)jZ>4r+=U##lrSZs+Umyt(0u6EOz&xbw9q9v%3P8>h%aeC?6_k_y zGyfwe{U<+Ya<9YoL0@(DrMlcpby9t|_B}1dwU9&_KusM2L53&50SB0Y@eHzB`fymY zO+zVO$StFKlvfVppNZhns7l*5=iFDomaXMM_F;qC?smb6fomi5)889hC{cZ@bK)nTd7 zbZhm?t)T^v$pu)a&F)zLY*3HeO-m^J(ZBmSWzKA+uIAM`G&rXazOXZ;BVUirtxP*I4`F{bzky|CEfcoHVEO?uB`sjS+(u z@c!lsOI8X$dTtaC@3_re9A|HD-|Vv6)&(M;8#k8t_CH@i#d$0z6WLBTZr&8)46?d;Qx&bMVH#)q@2agD-J1{R zCY60AN#~l^(o21P)mSA^J#@`$Gv6Ij*kl_`R?#;h@8cZ{DBi5W+S{1uT|4PeczH1~36`>AF>tzA+oO9!Arz-&c95%-x}*uIXl;UaP-9)#<)=tGu+M4Rv?+ zy$+_L-m-T{;dU@Z?mG9yYg68`EDWl&WrDTQlh&`fNJ0slA1@W?EV)BP^R(J@He|g) zwsB%217RVPtrX!0%kw7yCH|#xp{cZ@sr^2O78d5Se8~EF`u(mDU-9C-d$0KoeEe)U2(n&Jzn< zypr*;yS!km#;j@Urd!2Jf1k9^;+n3Q*Z)spA$fNqdLQ9Hk2;7hYZ!rj4GYB!(&dTZ zSq=d&ZzXm&Pk_qmqdcQq|Mu=@*rudV|M$z>UW+e1bspnv&2H@BcJtCqHEff|A6Li* z?m6pOSmGZmQYOvh!5&v`U2qo~A&4bE!nkAom+Y#4XIIyyJiR>agC+X0l6B8-#dux( zb+Aq5<&P8PHUl%kR-)zkoV#}AI38&&GeL@UTHbZr4Ecd|++#z;S|PB5j;Jff0@{DG z?b}1XH!pTs(RTBAgecjLgrz+gR~JR~D_nQq<9V=3Kg$KNio#Oup4i z9|5JKHN>gEf?HC$`ZXh(Av-Dc6u7ISAZzLGe-&8y3hqX;LATsa-#aBA z=eb@`JRNk4BYmo`uXH)a){385lliTJqPrw7%e~ClO94D6Ij?t^EeiF?a|&65IJ3@D|d`X7WE%9wv~|B zUFXSeJEFHbZ*mh4iLp_=qs z;q^=88C(cIf1MOXoZf{V*Sf5L1g9-g{nH`5s_|d+d<4hh#;OfLNWCP+$+c+XC&zH;Qu5P_^=~}HBP&FUW`CN@pHJyG4BO!| z<721ZSu~+IRWR-JBj;D8Dn7&#g-yRp=lHyrE?3EBjfFQd19gH_31#F>ZoF9m{H$$? zja04Lk}=u>VLKI#Z!3qWa6gwKKhd3T*F40|90l>JnFsv`q;{Lx-wmZ#JFo1|-Wm7& z9)*iVT{Lc>*YxjfAr{@W5vrP`^7bBScm2FQx%c_R!IZ{5k8A4ctl>_Ml+gO0Kcna8 z=X0~OjcRvzpSXOr7PeNF*47scJlFEDaZqRDDx918bsE%?H%*qfD~F*5$`vx>-`W7_ z^IHostC3l}V&stbUE@~B?*#>bcs)@dr^~wBxuX%JaJqMWK3`l$CIei&tFrKL0pCGf zQZfZazVycGi~y4Y&56a#1n(3vgS1VfWJyUY2Fl&%%tkfd?CVmUE5F2$e9TT(f;*Ur zC6#CIY3-hqq@HT|tM^GU=f#TL&#nDafWN6gu1;z`DdlM2wWkSpi-bL$keB&oJ}2 zZOL*hyvB9XQ5lC7IASxd3oNbX{%y*Y(M7&%NP6j1{ECv9c-{=$=fWdv5-}d;mT|L? zki@fcP$y#u7oLdC@;!q^nzMYYP+(X%Iq8BAd|#zVUkwiV_f`1AqiX(=$WWTQuP+TQ z6PE<;7zh`J=f}HTV5qF@_T$o0oS#aXca=a~>Gh+u$LYDJsaEZ`&x^OlmPXG#H`V<$ zJf?5pCqAFf;PLbMj*Z_NyW0fqeIySUCU5TC=#X78|L8RRipw{?{n9_BA70<(ZT=k+ z%V^4}mh$~>!Z!M_H%yVAHnd>FGaI5gY?bd>0Z%;ib?&+TiMydhT!ET;9P$41KRQ4- zxW4@I%D`ioL>$1eb#wda70#4jck{x#Lr%hB%EAGZOHj-b^`OC8ivgUeIMwebeOC1P zSWm-hx?q8gidWS;V~t&P}AcK-ZHEN@LfWdVS1?S`MY#*;OV zIkXeYTjZ*G!@UNk8cHggY9- zai;jCZWz)e^)AKqVl3JKyTyIv56}7471#Urt5cLcnSbh*JZX5xrZ&Vpw2kNNald45 z-+r1^IBlHx^r>f8+@;i(*`bELH*AQ&PMCpr^#)F63wob&rK#JwHuzHGro~IH8?dHA zM&}m|f?+Hl-@vd7YM&&%k#?o0Op>=s`onY3GXjBaU~{d}D|T>nwnC`q8K#M!K`GOC}yn6P>HR|z~y$vd7kj9B^QnBFBW+#WN&XpWLcAx|yeQcK17 zVaLmvaNFAQl~b`rzq(i!uRbzocqGW+^>ugq@3yZ|Rf#PvT8O(y)l26jt0*h$`m8Fo zQ?mt`pUAC`W#2?KTOWG@OGJG5a1HVpoX0NNnZKTxbJ6ymWnqyUNcQzQZusfbr!+K3 z_%WkUaAFC>vPv!Gr;?h6_eUt}^+hWSXzkyI#Y1BucKmn}(5aJ~dyeBc;+ZhZN!~28 zC`X)Cm>I>}kgZwZICd$gqZ!6z=v%fwtW>^!HFRX|qf1dNmbErcHWTXY5zqCyjbuz$ z*{(%IMKv`yKc%UB8?x7tEl2@L*vw=T5{`&rl7pR zGc~|LGknL5orN|RYSUg<|1elv;s2Jty;Nt^Ht^i` zHX8Zd%c}_JlUR)&{7%=?!#X3Tlez6tPK9Uy)%Q_&9|5F=zWfIB((a7su5|ad{Njoh zjC=dae~V-W|7(pq=|lwW!%^=eLFSLJE4Gf2)-k zCvgb#@ScKqXlZPG3VJS-G%imNx|5bt`{Des@Dybf+NLC;);lM=`~)kkm9EVkRd4mg z)Hv!v*`D*->Dq@&_f6OKC~OTcm@z!JC8~52Q)^34PpnZG|Ape^_d>l?8~l#j7Fu!& z+Mlnj-s)tS+T+vWlJR}lk3^WzUm{+gG?kze3o?7QR0!;!iao(Q$JRpK8p~L>zL~r@ zFOd07)mZw0%Cys}h|NFg`RJpUF15C{+8i=MRr34yZ_=~RDSLnMSL@X~O`Nu29JhQY zEQe|@2PLH*ChM)(7jqt>BQ)0+fMNg=ifVjMM>9O#Q{p5g^SH97w^xT8)dEH=vV`B@ zY_4T)%$3k8_{!ykD7=<*7(9Eb%96BwLu9 zSG5|txw*v;@(B9X%~O!Y&UmQa;thAI^_7w3ky{y|mid@E{r|WC@@aP?S63wT9~*N7 zoSBU(S&CXFZsQ>ssU7bFCY$(R^O_yVIeB_F3=^f+W_zB@Xv!NL_Aee}BG zZWL7|0{*Tg^y|r2kL)5VHKOJ>Vry3r?-mTS%x63%;Wir995*u&cQ$qgBZJ{BuTj3> zFKnre%=X;E!c>4Tr+D0F8=kcD&&^rkh1wT@z+gBDNK*-KJH2V#GW&mfyu14vTzpZh zyT@Bq@8ILxuSWHaw58*A+=+R{zQ#SgQZBP!{|1;H+ljNU=S7!pefO@;w9{2=RdBq) z!pF+eE}Fi$DDvjrLpGpML=}$f_Vtz|jRSZGhkz?%2O?SvYt6~Ug+*${Rwr8U^t3eH zsbIzW_4Q)-eKwCf=DmCOYGaXS&$BHGMzI%QB8#LM4#L+=X(3t$)#im z-#?*AVOcCJ!_;DrqfC?9J~@usO=S&Hb-lE4uD5T;>!q8$@{BG~d+W!pXW{$4u+X~Y zM!VAbLC&Dg->e2T`)sS~*0{IZRPEfkvjO`I4|Ma*{P1d1<+n8^Y*v$Kcob4mgq90r z38RqQFfvi{w_n2&Viv9B|2h|geN>wE;PHt^0UFZPhY+;|+*pv8({&cnVZJ|(?}Be& z>Fm5DV#9Yp{_%*Q-D2PghO=gwzWd~De2OPrE6W5zzhLF&4d-RuXlrR^j3c6-^Bkw8 zb>^Zl2%mQ!;x2UiSD6!=0EFl-M->4#^{z&FHifnb|K{(AE;lX zKE7gKHK~x`V7)ChADwM{Ue$_7Un(^rP7#LLAR9fJBL%NKaabg5InuR9zp~n*($3ZW zuX?Z4o1r6E7vH4#P}R zKoTK{hrRBfSCs9psPIE6e5RLj}GWBtyGUDpXzgR7Ubm|4>2iU`gM0*%6B_W z?}haXC9@aE-#wh2J6bua{HLY5Z?*~joZT?$zG?90x!lROu6-fnsbl(m!3l7rOaKw# zHN0PXcHit7Jn%>(&LInSvq}P4{y*quc^4D! zcABtlGw;1q-W#D-v1H1}ULj!7YskjQB`PY~s#d!#(4|P`vFQw-d5mh6-e=@~K)9Qt zEbux^P)&w4ia@jQKtW5yNd~HbIa9g7@a@@a27y zfGmMhfbRpl5aP}$vNxUamA<|TvFclmD3jP;jc^%^J>dK+ge+3 z$KX}yPF#QNe(BI@fFt8iZlM$6N78JSBY!Y+rctBqgYjpKU6p5?d z??s1>f%|p&7YTyKVLUtkmPnDAnVDf&rdP=BTF756(E8x_f$`IBV>x+0$%AR#F#YO+ZE-8*l(nkG~LlUJ0Zj<*!QK8}B8I>^v!XH(my z)BgY8I?;EHGA2PYK6jvJ)GE08-m5G1PpG*0>4o^;Wtx)Iv2p9ONiN7CIDGQED`~rr zxLn1hZOQyldv}h)4fn+Uw{bw3M^StzOrJ^s7J31FHPZTREu90*MvIR&LB<<$@ zqT4~4+m^mbGJaUsU$%SmQB@&kMR~h#UAd2Y-;0f_X7w~WAOK0+CSHH;?ffqm<=4W05`S>XqtrWc68gsYTU8i?#;_e2730ek6 z&(M)kmL+%Ca29X*4fSDpB8-eViW4;sV3dKePnAOcoQwOfi!T0m9UY&7gDmgv>1hDM zWE1wKzSA!num@qfPdryRTI3~n){DX+DrvVKbF+{|w$mhXyn4YMwa7?opSHQEwdeR$ zm5n8H3-xTA-4tVDL_eGvwjZXt!bkk#&?jH3MfD$Kq zimbiu_?~2qN!F6~S{m+J>=6;Fk3QMgaWfyVT+N=(Zl0oAw<(QxSHx5Gn-_2Wc{-JU zah6h=f2Wj|i0;zV4^qkj4~6ukr#ydFr*7fxBhd7`u5pTc*IZVm_EP!#&-m$o6{ohG zEB4_^Xj_Q|-j_;nSiM++TkF*gXnNyL+E<~hbzPXayqPzRijrDvw}gy!?TN)1=K1pb zC}rGdh$_5)I^|Ydlp|(ZmgEU#%ZL!`b#a=QUo=u99KNrgOVetNr)EskG#yyk3{q^Y|H^Zn}|14*ZeP z{hPCN{DI0RmK)n#ek^f)_!Z$7tMVtpYJI~nY#~PRy{`%yRH}CBFVxuqj)=v4TX=Vp z(`-3!Ithnab1ha%LeFmS67$I?9dZv*}&r#2e_Lnn{t8}OVy<86ZSJkdh(6n{c`7cM^{SX zv#SPdcR8~?ti+)3gz!nOsw$l-Th;hm%QjN>_HV7}(=ICgn>`!Ks(IkHoSWD9+5X#C zZ&$3YF|Usvq+O4I=~^Q=*W$wL2et<6kE^@FJLWlfZe%f5qjqQFmx+l9Iq@N-ZJ%%E zr@F~xqD~(jpJhqNWHjRUwWym4Ec}eq z6rTxyTKcH(f#H|@!pCRkw^=JKe>q-^*yuzI<~wAl^7KRZ*)1%robQk23a>G904EjN z+>;^tndXDF?LxiAez#4F^pD$6?t&quGF4*t%`1G!hD5%ev<6ye32v`y65WJ`wg<5 z8A^O$=T16Nb@d2wZ#Gp-dmnqT&4vOJQoU=}S^xoFlZ_kQw7ZX)GE1godo$HP#u?PJ zgEx%4xI0D7ZR%rG&q3o&Y5OiKwwQZNX})jtpg;H2?Je~07+#SSh5|7YEA^AP>-7ef zxSKRSQ50Yhz<$uby$= zOGoc3C`fTIKUdKc8tT>H+r9lqbi$^cGBb9`1dJa@9>RVx2$j6 zsCgQ+FJg*&^#06vj99GsMm_>XPf1~^Oh@NjT0;l2EAus%VokRiQ=c^Zg)OID=RBGzuc~Ip%~RG!d%S) z(@z~JudZ^`QSus9blQ#==N;q@*+p)!y1R|+?D(QeK!EACR8~NI*UF968{R5Xd!z04 z8*+9wnf|vsEJ@pEPZ}7-_cCqQncV-YPu-SgEaa5}ilbq)G{pA8qj9*@G;RCNYdl%F zWZvo?vO)rj=by~g?WoVM6}f1k;nT|26^qnPYHGj$4YbK1^RAAL1|US}wQT0c*4KY2 zw*xkD$Dj^nDSQqRqNl_jooOndge^`TBce~;wS?2dO5WE3gU2PUYDWhK}oVl>U%<;L2&^N%hb zDsE2N)t{?=@d?ZDYfawO8E@Y`%#w_xV*ZoC8==c1MQE|mM~Vpwafeu=WKP%q9($DM z?M#P6lLTjR(v!h0RW(if;2}^W8jJED1da5;-RHhMq<|ZlD?TGJYl2BiMrQG{m0<#N z3y%_&)&_`+CMr`M*nIExB}(P##B%FTjdopZ|4nspJ)@n6UPg&2tb$+eM9LfftpP*p zYb$`tc9kZ&$!u_tv+IcE)fC!E2xb3U*NLAEh*}tDMz16gC|rqbK`SJ)G*~nX4bsv1 z`2LXnKR#sPFjd_iJpi4jfs`JLqMV)w4B04sM4IFq}Q+?i$36i~3(hg*TeRf|32h0sFBjI2KcFkxim)93cG6~#w zAVjv+6R@ZjX5RR~D2x#*n1yCV>*m@=^_{%xNl9DiXtvB38Pu=6+z6`#6eg#VRmI7D zw&mF}%f-i>bX!*RXF)T2JsL*6N4|9BgKY6+RNla#8#jeiFne7W!BE71B3`S|bnB4Z z3|>u&%!zI2XUd*}=>hYjEB`WIn+rex&TMgDigO|^vlRVaie9=Es@9b2{FG8EtvY)~ z=9Wv%%ildVm3IbjC(;`8LC0KHryR9xa~Xyxw||dX4^BOZbG8W;^HQn*w(oLXew9G2 zl4QjTj1<7jJvf?(&Cp`xzhh~RStK^oHWCHeS+T`uStS!pcWniFgUXkOG;4z1`Uv|Mn7!C*F z4x0qd`LzG`RSRv7{amZhnBk)mEjvibo%Ni}V#PGyv)E^CNZtm$skZ#!?jo0>lBAyA zz8NX%#w_;+5G z5AnE>_Vitt`Yrn1Yn#_#%wE!0I>X{jMov+o55Q#s0BV#_DmngKu%l9Zv~vlZi98JW zB5ZitUGmx#`HjC##D1pVuZGDo$_EhYo4_cIk2-#f;QiRzHl+LxGwmfX%9_UU>x^xY`cSg5(^QgB z-%6vU_~T^;isDauADynKbE^+@*4tCXFu%McBq58pXSvc~wX$mYll0lgfkCpewQY3& zKDEh4h0?aZm`pz7bUsbToF%pn(*}?#5V(M;jLH=X|3fdXmRSxIFEN&Ego@qfIR)TZ zeg?L;YFXbH%O92;pZc-k{x5%=$~Y^4@c_lUDght$&c}u87o{Nongc6KzqHY@#0{|@VE$ljJ=j9OhYJ-qgO^6o-4ZSZ(z%wUt?O=;gvhbW>}3vN$vN9~tc zG>`KW8T2wM#~r$fLL=__mj5n`?HIvbhJWJUt67)X_*rdSShuO2T{yMhw20xI`)$T+ zZXj9_T@4qtGrm~3BLAVc2EYtat6W^iWCQKZL8bFaO)iU5FX!ml8ENde>3eR=!Q>7& zsyh$h^>ppLj~`QTZcsRG+HvG<*Em{2B-tpVl}J*HYsFRo1N_a}nmdN&Lujg6333$@ za8Q(4!rM>t=F4z2om~>q;o;#`LpLNu8x46(vlb$(POgol?X`C-YG}435@VQ{*E{@6ydF^6a(SeHmuW<9R zSuslLNH|2(1s@sw9dn@f`N+!HBGaU)^SKAk<=C^>xp>psX;6;{ZJvF(JOA4tboNp3 zfSV5@vR>Q=hw48FI3kIUjbkP7FrR>o=veCLx*bWutIIsc7SSQ07`S4Oz@V3Qs7^wM z`V*cDv`)0_u^xzd7X!Hj5ERyLRQkDTRdA(o>wvhFR2oDNnk;M(-ay zg22)mC8mu8ZiO$3oa?HAC=F!DNOd4O0nD}KO&h}wf~19UrXYoKA?+(*XXE5rOCzuP<8@SYCq#6aT}G zkpDy7d;eqowtwK4og|f!>`DmPgv^XkR`$r2b;;hFC^E7_W;R(7LiV^yLWGORs_d1$ zzsIZlzCYi`_fPn~AMYRT_gya6>wKN(aURF>cs`#;bQ?=tI#fIUtTs%A7LBGIPO4%x zhc$Sr;=8z6zGbRXo8CLlsxO|&QCT=B9-pmo`0ag3$jJyw@p@2$BJZ`U+beRj=O&M| zx1nLw=C0YI3${ z?vkwZeQ7J;1%fCx3&&W}KjZ=LkO8XYMY{rD%M}_LzGA_ad%tl)x54V3#*HIZ;hb6< zj@8pPC?dXF3!qt6pw|R_eMmf@;2^Nn#DNV5ap`LbL1dO?!JrKks{j^%?dj1Kl#YW^ z9qCBHG;)O61LqESNCVJFfT?D%Re{QA&kP;gfy|@Nne*u$KfkF49B4G2o*Y7@g76RP z41x2e0YhF~&(z~rAT5U<6hu#}ug}v0Gq_Z{iYZ-?wZf`#Pi*bEg5T?Fs278maD^r6stIeU@Eh2gz8GGhb!AV{y%lhDMXe{JQfPQP$Q0sG&h zjiWf(DMLTE2^}iO1Kld1H~@8!c4+SHuQMD@eIF!>+5Jn>Ju1!!`1V7L2R!&l*&BV$ zGmCxYM+u}8`Z{b*^8==T9UGSHDb0 zYCG`(A6BAp9a=Fc5}de#51>6H>GJUKurK3eTH|1pP_q=`$f|>$5zr}dG_J*}0n;?r z4sPqLJ|4CiTw$S91qd7HhWXMQKq4c8-ptLb;pA#wU7QPt7X+kqyszUHu5V4e9b8BA6(M;E;F zHf5URr>5AOwvwh{iq7-k6K28jaI_69&)@QXW*+0{sb?UORBgt<7fs(omiCBoYu04I zN@0KlYAv7fGfdb%5$Rd{|8W6g2I^lP_^{%{f4|K4+a=fEGO3%xhc#Skz8R$1&=U%~ zbAr`m9X*5!{|0RlSI(z&Am$WlqFwd)Qr)kVOBQ!heK7PCCpdS)4v%5wPO@LT=}`s9$Fu?S-dZ(kCi`@+DM&tb(?6!r=NR*PQ0vIw{2#0MuCglr$gX-Co-*WFC^ zyfH-k?NHA}d^W!TK}t`l6mB}zv{$U*L!OHt*6vsxdvWm?-Fua8PA6EEc1q+kzt>o> z2z>YMg!PcdzfH%|i-Tl-p=sQc919Bv5~euSZazLg5*#kx-0Hofq7K#_)&3{)j-JAd z#j^b>McMG)24!1pWXY%4i1=XZ&(JdRpd#YT z6CT<$@z-Z>xD4>8L{>M55vQIho12@fe)sMTJPUFXYB0TDzbeKd3&081=(kdRZqb{O zQ%}9^jqJrurN#qdY5~YkmVj#c&JI6SfjXqm-WV&PwslEe40ey#~ zRWWTWzQiCo8;`Tz*!^i2b2JGE4x{lOs8f*a6Hu1vQh{XQ9rRts?}YEdO~wy3c!m^o zrzX*GHQBxBAl_}|rxF+1$ERwFcgRG99uVuR^`Sf-fI~fDSDxPP_AJQDS~cfCyj`!W zuOJ!^*;WVrWL~&%VLl3Ss^Pb*AsD7-cd9N|H$Z=Y=EShqpxpGEPyizquznlP5o5&v+kik8G=+e3rbDTy*xvCEM#} zW}$am>F;o3CCHifS4HClRWKl zYqP)c*7Z@CjTZhjNcrpIOn&6TVn>hIZN4R?1#WgD6q zB~q5IXowLpht^3{e6HI6@T6$+%bLxq5ryhNEEd@z(A^2x;64cjwn)j$xV6B!RA0&4 zBP*f5eD4r6lbDhB4aZm5SXs^Sq9HMXvVy01$aa*|N5aFwp-=x3ln?+9ekIz}7uz!x zTQeeO`PXD0lz$`c(v|;!n?~w6D!JhGp}%O6WLp=}FMsXYwUldb$OU7?fDlX-mIqkz8%*xnYG*uAgZ~}- zy*vX92w{8EW@SkD>BIcYgFm;Mi+~pFEJg5f6s^BK(YKsVM|88_gzvV^ZUncw+{4Z_r|sj`FUwr1->p$-T36m!&svkvHLP z4BY2lXeX0=Z$2G4D|Qg;mEleNn@(LUfR0`Ay|%(JJ5l&k5bXgt2n3OVEpo>iq_Qf~ z>~Wg5I&;=prGf=AMi5wSI}VshhlU9L^55`~%VLCFO8m*h6OSy_*Bv}7qB%J^;1{`b zdyd?zDOg1?sc$Q@&mz)#OHC`?0q1B?7=jPx5svQ~VK$k&F zJdUsexR-6-IpSSGHvsz^e*K4|!)SiHuPvX+<&#dk$ZcP|$%d6a@)Zi>@IQ4@I)QzE z1W)Mp(;c|avM8C7!fX#cAdAH=TntoRo_o&$oj&G2ey0sZc@mBh>S6jmiN}CGBsG|D3C(hodmx zAyuKf1}&UX3r}Zj-Dyj+{;dz`Q^@TZ(}8euGz|zDKXHL1K~j5#@0*+e<;lRrBti{|=$&t@x= zcg^1FQo#Jl>7Tr1U#bqmEugZ% zd}UfX_i68^m_r83N{itazL11p*jC=zzkD z>Ln1B@mi;++f#luRZ0R)MfTbos7GueTY20KGAg7?g22x}pxJhUJ+}m-88!aX4gsp? z6I|&np7@gWyYh4~O9>X)q9rM0Q-utWnI=oAgUD%K5>JyjTD&p6I{tt%$V4kWqN@DK zA?L@njUAOpvJ&Dzzm^xX)0wPv*G}~`-}yQCiZP5pKMUcVAu>S#&C;?U(+Ai~DGv}D z%kXd@|AL&QmuX~$oTkJMvSPbAQO9JHuyyY;oQoO2M{V4N2F0oqd{Ap^kXG;Y*yq6G z3O|*;*?EP<>sR8N)US_qr9n8!noO3kv|Z1h*o_jpSRr-g6~h`WE7O(_o#Y&~X4t;r z4qaCkfY%lR-W6cI3hXA`nLvhBVAn%r{xJya2{kghoDSNNAbbFDUU>5@Ug<@r=7`)L)e=y>X#F^|G=D=rRVGkTH zpxchbT!*2=8j}r#QbnKhKQ*0g2J=!MhzEplNtJe>XO`>lcFA0Zb$!;SoQjK{Pz4cV z2&J;T#Jk}KzmNUmmP5Q7ks&mu2ukrmxrWH|`1%9Mhj6VszCPA~qzJ0-T25it28V#d z9|&|Jpf-JcwAq)al*fqz)ov^^!lrd`84R|$M678Fa47JIiSecjud?7DM+fri4iydA zx<7#wPFv)$pP$>a2My)d_PPanQIz^dYimT}fj@a$r?<^SGM>!iIr^)rJ&;;nc$)D< zHIr>*Dr6D49AL157EIx>WeE<91BK|a5wyg8w0%=UbVfU!&TD%mGcnQgalj!0CbPXV z^#6iYo5dHGg<~{#0s9as9XFPoq+-2zP{MpD#=S`XPqjQGrYO`_`D5jEw=hlthDDY2 zm4)uq&9)}v^bxgAd0n`#fJl_0eJ1f9R>|lYL8k{UTbvvI(!7Tn?~ONe92u%@`I1NN zl63PaJ6IsNk|Ml6XqPS5`KTNrB`GbfwOqoSTlz86xlx5b4Bs$uL@!G}W$=N;p|+$lHa!q$GKXlFp&GQ=;X)=!jG zBhw?yKf@6=NTfmk4JYVoaK1%XJGekCga}g~KkhoZ;z*xPQxT4TX)?zq#3%ye8KX=W zuYD0e@SDo|YkufV3;c(anv$8K?0BwJchRcw^E$b}b?up(y%O!DOqX(}zoXiSh={1Z zzq|mcHQuaTt_%&`&?Z1aE`iKf1Q{1!F+)LmxD0p;Ob!(HT$cjdKG-CPI~)iRP0gHv znTZhoVc`))JfPmrb?C;&kQyD#yx>NpwGaz{3qxJ#B(BK^6<+wDql6k}UJ?IH-4n?& zG@!fyHUoa99kuQE?O}8F)Ep+B(xF-(j}w0~E^u&;<98aK@wg;+8O6E6{mTX6bIMIis}GXcUO!K+*@<6a=VbYnsgaYUj&ZE@g+J(y1;LOyR98%Lm2kXWij&_8M2=zmbiZa})<6Gr{;XzGNI1Sg6=n_Z~vK z8L%pMNxcG~$^%qO19P;haen)krApmNc>rmg?#ypC^xy9X(DPD6`=&tfq4`hnIfo*6 zUNrDfUr zb#71u?rw}p8dJt$pVb}{gW9h6kCRRDM}2m*j?E&3lBz86NYx0#-ff7<(&W~10V-Xx z;!IS^H#6o+T1f#<8&J%>1W!o1@p0xxNg=B#xerIskUIA1 z>xL0}Vl#3@*-2I9%08XP#L;kR5&V;6NbF7FfjQSAk{%ix>%igxqL0w~4NjrTH30al zouzmVP7&hW03`9~4i?24BCoJm3`?2Fb7do)Tv#9Ab#|QtgN>^0J4=`i6Y-aY+pUiM z%`Lthl)IgS6LqXcXA*t|l5HJ}44w@upCRu`OAVk>5I!_X%nVV6hF<0NS?IW*QTz)h zTo+&HTT=8V`5DL3ijpsN_@Sfo`Rw6Y&Mfj}jvpZQ`OAmaa8|^u(x~mcIF$wJsTd50KMmi>3wR0G}xkP_I?#Om8f>7H%y5tLyUvQG00!zU2bX@Ed^i#CI{ zeZG3c2+{e)${pgd><3Mx8fm6)<0k9OpV#R#4)(G88M}vNODB zZ}4t-58noC_m_}Tu9eK+1iJ<986crUl4elOOsu}C^n_;tEeAj;>Ps+W7X3slC|9*f zsnWJAb70266-nR$(gG=oEdUF!dyyK*FjQ!T92H0CsJK{5PoU?HU(cN8H}JYKz2n7q z#;=6_-bZK7;sz@(PfCkZ^!DkDi*p@3?Lp|F$O^lkhG1oJYk#cJ@JBQ`Qfs6cK;BiP zQ2?wC$QAxI5s_6EW6)_d8bMYWbSG~=<}_4+F%|ZGWu@8d5o9xPk=?S1QkA<#fWD?T zOHQA0>nc6VS(B?_SPCFMP17L)&Q!1|0F(&S^#Cmp6{GOypfo0&GNO=QwCemkbzoak zpgi3HEMmM=h<&YM=e3|;%5X=%{=)J%E4g)&WN`6H4Oi;Bt#<&&i4vFZ1hOv}0i^}q zI;aI;cO%;woIq%WLCW9<*HfH_Aa*n9oEB=`iA60`WdI+<>NMwOuQ z-~l4xf_`4$7{l_)W*cwv<%x}1+u)&dbk~Z{RG@sxL@?LqG2eb0{bd-27Sh-~$$XD9 z!XsImU28~)9e3%|%e-@(wY9x*v>tHEJ=g06PLUH09;$FfgNz$-xrn+D{8L4Xwjcmd zIC#rIN$;w_{`kQ^5t#MiVa0B`-K-$9;NIa>y<%{vRs>uXm2hcUL$XrwS*KFbKSk^N651f4VBt^sWJ&!2&0{($MzuBuN( z{DIaBrp5~>d0A4m&G&M_-PQudz}tk}3r_lyI-lx#4-K5#}sqsA$Wag@q!+PsVE5kISKTa-21dR1hk5_`-n$&0eTv>bL6om3;Y?bg4_5~;_5G-RiIEo&5vjXptN!+26zzY zC)Ip=O^ty2yJQ6Sa+%2t*$&0=t7#R@$LC53Jm1{8t1wTsXR8j(Bq3|yK(YrxtQvmN zJGqZk^Lvf=XeeHioDGAN$y`46xw-1KZ&$))A(%%=%b>XFPn2OQEp2V5gz}+o?GG(z zA~pMAbwD9T+;3aG1%{QjKr2NWc~FQU0z$|gAq4|@cjLk0TjcIf#~V>LgOE#VLVgJT zW`e_HAMm4Iizg}ZNt-}H2u@d0bQi)cGFqYOWA_4;+W!c;cd(uD6j^mq zp?6JDsfArYjBd~OZhV4;s)w*5e2&bXp(0$0elpA=YONhgU`Yp(eL4W2!m>zGMa`aQ zdi(V!sT4%i$7ap8P7J8X}xh>m{?e}i8T(DZiUC%ucHQ+rqC8L;+EP%!fO0nf zSFi5&0~tsF9+)m)UQwc@PUjfNSjZAB(8Ghv00#n6{t3Cx*W6T) z7Wg76GgdQ>ll-e4F*6P)S+K=(&_NMY;12@-1Bh6F5x^3}SqxdT)8YH}*Jl-Pie{!H z9Z<{yoOtXEG5~}Pr8s|xc=?Qjt{JXw5h$bpl7rN}$J(y{RFOi>@8!X$Us3t${lpfl zY`q59Qvl?G;!F>2cBDky&c*rpQ@V9@!$qqR8%@?5G9%o?J>Dm=8&p-!v*FdmC;fYz zb_C<*!swScu7lYZGd`X(tZRZC!wxJsWS@L=jF)6hqb__3B`93BP+V2a{$1Ypmr5pq zSV5NssS$)@B-1;X3L%3Yhal5;yzRugD!^mYhM-vO;1T?XNs9gm!+3AmM^z5xFrilJ^+J&VgATPn4MGuo+o}aS(sFSvE{|4$X@e%9T2SVg#*ajAv?hIbko;@+ zdCZeA<}zmkTwwqq2;8~z79J41Pz;*AE~I|YX|>%2u9~2-uY=gg3$JA+uc;Whiq$KY zt(&F+a}vSVecfN~-`K{pI$67U_^-$m74@8Zd$K1kX8zzTTmyJpf5A7y_Rts5SX*c3 z0Y~5u*g|IqjC<;kYO9^)Ectt#ezR8o&iN4tHFj;-hsCR!N3HgXc)QFN9*7gv{LRpv zo-3_S*NU=lM+H8AU=RF#)Fy?SRQE2sxy8uEy}tqilQLnb)bBb@6F>w3<=2_$g$01I zHQ;YLmTIyqvBV?UHekn=^>@;v;f_PPLX%|ouEl@G`mX$Qr|{p;LyRX7Eh|*T(6<@P zJXy%3!Qy?dIHxOlutZ#@ZUe)t<1F6a1zLRdYa}0ncM91Mq~bK`gG4>TGEJ0$YdD^a zx`(fD*T%g!Xb<+Ii|HKu>bguqad!gO-sV5-@Ou`vxK{f8GRf9)1l^?7Ds<{Id{#w} zcKbwhq$H(4$2pNnu%DGI@R-n<1YIUvRN_ePdt21HVZ!;Ek=g&a03e}%)pYdt{xJZA zgHT`)U7`^lHcZVl?_;Ae7N7H1+up=g@Sj0Zxw;|@IhpHMx+c?f(*CURK3865^$)ln zPP@$gr*ZiPk452Y@?RrM+d;MXYAlDAbH(Rf2QpGpy}Q9X>9n_>fY%-*7ozDk zZst*b130a>`w~dZG+vIKFAGtQ{K`x$Q`yPiYVry?@A|w!f+o#3)E--~VxHj;J^9P& z*{bbOafw+kdk5T45J3+wTX1#>^ZgI*aWsb46J0N@K<_0C;gDBAb)gCz2zcrB-rL2< z^r&_gV}Xs&tYZQuQtyA*b39+?tW=@UU+48?IM#pmFLgF0K%DOY+u9zeoIYG+Hd!EO z_cfU}o;AkglkGu3fzq9J4Ggt82g#^Wy+4co-o1fu_mPmNqYix0!5OR?lsW36xeG`# z#J{%f4RT1hs$OSij(}SUA|(fV8enHD#UVQjt|-j2C*kB%MX!9J3-~k|hzF0F2Nxi) z>aY30$pj7{BFd!CCg17oIDIG<>PL0x@T@)&@f+KK@r}!s1yQvA1G2jFL z>+iB=`etu$Z{x@l_pxZ5aiy_-G^Ovy)HgOmfxNoV5TEwfe+>~`S`6)wy>*LG-H$a5 zhEy?H42jfV(Q=X2$pbh{m7~ijAp+J1o;9&L44A^7n>2CH-#kc?Q{ZvMx(Wydc}XlN;?lxECS~Yv`jL2Vg8?$)TLYb4 zaM?1r^n+DgjH_zrs8?shk6YAVu7cf%Lg-zWMB%Pk0bP=|SPTb)jL0g4D!m73xBvV& z5c7dDjs}SS_8JGf*`ZVHq5?)d=h0?cSI(Vlj_%J+kLMw z`H+RdTc@X^)4kfxS|BF$EdpGeA8?0SNbCxAjMI_%4<=4lOT8(TM=dkg-Ef z1J#1Qp58Ygx&hxMs-zCO;>-(Rvh(kN!TTC}PUk&!ru(=$CNfetPXyYS*sJyThK``n z1tV8&0%5qzpe#syz(PbvUc|li=PSufY*ve-t61KI&g+kOzYj_MvZC6_V=yb*t;H4P zW(qWgmo$df#j#Dd)2*&!U#L3vy4~XB#P0EDG&6Nxd`#FYr$(CIt;m&BHG9EzFv$aa zY7kYzQh77N6c!PD-oJn1$+n`&=!oz1(&Vyh!=u!@D3=aa)gw2)Z0>*UHMowJrQ;%r zWdsLzQ+*aU)g!M4TLl~Fn<9+HR_5bitn82{5GD70jev*qR0g8*%0^t|HRZf*h`uml zAsToYV9|UI%GEfPG~qX3PjT7US3M3KItBgKTZxdGYnmRj!M<#GhkX~+|6L)mxxdEL zC@06q-yg35?d#Qh!nLD%21;w_eqevU`#jjCcwUk?b+3+Wua#-l6twgqF;7p_u^sx* zEIIM=qcF~TncLaf8H6uJ>D9CQ3x16Hh#4KcJ@*c=z{3Mn^VfLxw|SIMYeLK1l*3b> z76Aq<$sWni%y9V}p(i~55}ki`NQjo-x{V-J_)1y$bDLhkz}D@T&2ocD8W4f*U;qbc+?#oUED3bP;b(w{ z1dTqRR|2)77_#r5pA+t6ea!8}3 z<2axsW>T-qTk`^LH@+qCD*-SDCllqOj90jseyn?&Lp0o6gw_3b?!&@TEIaX`Ea+H` zR4WvCZf@W7SNq_kPux})v-JriK3G9$)?w@S%%vGw!!J=h+0=6BePMMi>B|E5ab9yS zf(NFG{A}7yvyzJ|WnAlwMU*+(L4)not#{vKAq*n2lPNOh?Zd9T_HQb}4tv^D2mn%M_zh5SH`sHHFg*$JLUTo0i(rjbXZQq4r0%LYNIKi`x0Jz- zQ)B{|7kJo&(*Uf`ns8C^;pwSh;|iMF^}=%SYZLB^wAXa6(;OGFWq0XH*qVj!>zk7t zyc^NIM#HQAj`&tk!w- zHe~D;6}MHGwyUYDo4%d7Kl*FiyEJlIjKeNr-9f%yWVol_97pnIgM~KrG>y$7CEsz{ z?0u1rIW|jjda6!2HJhV>SRB{2?rU==Mk2ARpJTjzX3;`GRcBbCjHBoudXagpu|pRWWXJ3D&`8vMfDSue?VS+3BC zS{7PSe#x(?5o+L+5>=tdu&>=xtZ$Q~Q*& zdTbQ^-@jWV;y%gKc=#|FhMJ7|sn{4gH&#aupeklNm(+_)^jQ+Q>bM@s<2*M!yhUor zt}8I}dzhW?tnBqiR0K00@Fsi;9tPz1^v!Ppz`+#`tn<)QaH_!iv0XD`ctd3{QdUA zPDWFwA|sHwkGXZG)rxQ{jCP#zA1KIt#D1D}3tHVz(i(%Yo?&E+(O#Q>M(f;Q^*}IC zvCvOK#aU4q9&LCt6|B8q-7*Nv&u76LxZKpqMZ@6(ZHVh97!LjWrLq9aDB+x8@22aA zhczy}zv;TYZ}7#3k6Jp51wI+=c<+%y*eB7QC&gFKX&0qZ!$DQsi6&9SE)BSu#^04OynX6E|uf*C*$|cA_>%zu^Gu z`RM5LcDWin8raYF=6fRjkCYANdx!~iY;0~e?ah#&q|D88DDee;CAWdcRY}&LSGON% z%r-AbKRz@pFnstBw|UZG#7R&bKKn59g(=nR90u#%FD^c4ZFvXj{G{E_owN~nlw4y0T4#9X=SeqDB7&F{- ztYvHYriN*EzZQl@K2(syb=3YT*d-h>vhKpJ)@c*PC!RjnZKviHZ}W_gAg=fFN#@$M zK`J1Y&_~cGy6QznFNE~;Px(1L*joi+(k!TRLPvg7AFKQMiG#oQvdf%H4*&Bt{@U)G z!Jwt{DNjgT3u=f$q&2m)Mz^HP=Hl)4_j@1i=aS2-CuH!9-5;fR(McCNE-cBT#PLFl z>P!BRW$}lJCotvueN7E63Tmb0k8<}=8rYkuY$QRelYFONi^tMvuf$qKC0PqZnD9Ig z3nN_e1TD|}NI4^v2mNDXww4;o%2DY#$<9Z>Dll1}YSk9nU;x)O86oHgxw^aS>v35Q zW=V&jT))P5R))(nTb?^rZ8zvmLZQ28(=P}xS&oUMH>JhDu#E3%iI?lP7!#wf9^h5J zqRE+R(RiumM&|9D@g7vdCXXd~ro%h5bHjwVMf^F#bsAsp;WzCC!|T?O?|$^<4%)oc{U){RDF%HQ zC)vKdAWUKKmcPclQ@XR26)P|h9!bvc9@-CSoo(3JabJFiVVIwvuSmp9`t3f7q~^sf z(mczdT~a|fnz-a1+QVBrN!!kzt`VkQkXA=?=-_+NS zQQe%~`1{ux{%e$t8dAeP9{i7p(5U{(#Q_XI|y^uK^SdRwO=Qr!~$r)%B3>nW% zi!F!OtH~Y;ZSKmsFoC3Od;pgHqTMZw*-$ID+My6V+Zri3`RSu+h7s2g;rpG9xR^}tSoL2s592Y(T&@~ zFiAtV$+s?18G3EJ5(o3wIZ{6wal?cHc*pdWMU9OUS5w&nii?ZU)3s2BSZdhV6n#B! zPUH0s9hc%l7r-?a=fY|!5IEG$Les!!Al(hI35E62>}H9+-7MqPIwv>UvHe3J+0y>i zj1>OVcxw8{wD`BtYvszL9ZosqfnB_7f^_E%@KV;mCsbNS2JaQ8UN9wxw(h9ZahP-H z8qeWpG?71K%eJ+avo0`E3}!mBadX#R^!17fl4?<>P~j3;wl~m{8%_Hc#XK904EEz0 zbXCSEG6aHQV`?eoV(PG;vM#mH8kNJ^w4J4<(tEQWjhT%uY~-9La3zlRKRy^0T2O!! znCZr*R`_sAOItfTKfmko8GJbT+S+DsOW`bo^D<1(%zE>tm1Y`tofOQ6ggIOGPi4vq z+#4pIV`hhWi0XF}Z*g$Q=;>XtwX;*#)((SR2b*#<*z}FsCv~>46qNHswqbc!f`5wt z`4)N0B5O6%xTSIt`G@Ln)bA5KJNvd|kt;`K`Fgo@r*>JvY}HJSZ6t+;O?)>`lu;3W z1!XCo5+w1jX!g`O`*uN~`#CA?$_yLXy9uBmS zPJ}-GEL%B~hn}fC-<0`Rq!|71;F4_?hXEbbO*EfiC2G1wNnRx;BrwrLWmi@Xjh^_fe1|uSPx=b2Y zYN{BlYi_&Ft0la8m0eUs44S=A7~pPie+w>{3X9K|e)K-Scpb^BkoAhatI#LB`zNne zq5K2&_ge=V7|+4@j&o*Bd>SwneYdA2`WUQt`-MZGjT=) zD=%Eaq%U0);YxWx2$R&UZEf!Y{UHoDQNPKUkFU+&WnYeys;VP*slX-|V)9 z8AH!I&7e00x)9jvLI*H1X4zc}{t@yvO5;-wN$ZtGxhr0z&qdN9!U)cMLf0=WT=(L_ zNDtMuv@A&tMOhwkB~1*+Z|VutbwOncliy3wW{b`+uI=SjnG$qyd3o){qgSt}IE_AH zd&3Rvs}mEIT@~Q&d4|p&pBk6Ho*L^y6Sd4Sr^CKZW;fk0l1f9(ziMdU)(T*hr z3)7mJQ^;>@=F3!sz7e-raaqQ@*7U2bDGMn+d!L|;PV$aOP=44nsCd;9&ypOIx~A(; z8Q%A7mjJ$M2J~CLVX@DO zLXE7cd^Zk{3rk95<>W%Oo)VJMSTt4)d`ReyiF6!zz6gQ#{(X|a`(?)>0PdHLh*F%@ zn~k+A&I?Sm>nA!#Z9>}SD-rWX=9#`_h|-x66nJyefg~6UiLaWTUO4<2EXCfj|JKq- zAJqUj`gdf@y_nkYT!*0pWYJNx7Z`fA9e2O3(s8DzO|H>l)U#5)X~u~xJRKevtTjBZ;3xSyHHkB&K5zgCl;yh zax)b|Kq;Dr#{>oA?H*}-{lpQlBvp&2*NX>{01Hx}6+;8g)OmEGiX4~Q6&{m7;n zm0Ad$>}GxWOFrBVS=rgR*Y8EY-;{H4;l~YXv9%oh=(V{Hwpu2mm6mWn+=Ftf*F2h% zf82t(7ViAj#;@;fdXJqY?Q2?{^wQDU?>>vf6Y^kWX+}CzfY_UO5G)`z!koJ2_n7z7 z@|l~d5&Q?aRXFqR+FxjHCdde6<$W}xO*;(|{K9fYIjQ#|%-WT`Hm!TN6ZQ0as>S0o zlL0lIc`o3fM-^f|!4%E9O(YsKe+I72$}gx7AG39ZKKp;RH>9+5$sw$->{K`W6!A?u zUyb)}?+hCyC8ah>vHb;uWtLC6aHu;QMH|dKG=EXC@o{m{cB%8Rrk*^jMe!l%TkWN9 zo1e@6#s)QGNo|sxP4aLx#1q&hXc$S13WO<>#_s7}K0XcCkNu!dq84$^r5pfo5~i9{ z^I4p!nQ%AY5Prdb4yiUdI7+{%I0#ZEnsuTa*;$>_C30Fj!?-Xn&MG(^Tur2+`W{Qz zz`1-~gF0xn?XKp-=)^Yow1AkQp&>YM%NHjSx-O%hX;i4q z7h6_Nxc|6%DIAMtL=_enJAcZTVhV)jRuThm#FaDn5O3okHQ5Oz)M38V3fT9>bA&#h zSm5=+oT9NYeUNZ8q|X+r=g?Jx?%MocuI-dzDk?FDhM$Ue;lMn2-0Xz*j#us^6o_(^ zA08!2apy|9t1k3e@T;?(P~8^E{3~TX1F}FtvEM>yzT&>QHlNs)ji$VeYw4{Ig(&aU zh;XI7O-aW%W&4_t;&>g;+^}@@h2oBX8PKAyRKIiwbkB$0)y9}6WQgKS-s{efi@T($ zqXYiyki|-064m@bOT0w-jaTZCtY!Q_k{aHl(V2PWSg?*AzL3;;7cw=%^K@sj?)be7 zRz7>C2J@U~`e*+Wj}d>kcuPOcHPlF&s?(!{pcPTuHqG;}h@$Cu#{do2)cU?J94YF> zr6t5R6DBcLR`Q`9wYhXgP@W+S@m#H&15hN$X|@YStG~(1Be-$n2G*hZ7$%wVnzW#N zzTUY1%4Dh~NVnegW+bm}r3G;yS03c1(b8I4iS6dS_V?lW+DUrfq3UrXYHm? ztHFjq1s-#if34nvd)`cP-rrS5R%?~^oSm&rC9AM6oh~S3MCv7_vS6= z6$Pk{I~N%@`%{a$&U+u}Q(Arr+6;6>!Q2YF=U?199ttU5zc^pEdo?GuhXT)JL~n58 zwNhRQ`s}lI$fELYB`8BC54Yy#pRWd`=;FdcRR4`s?J~n~*ptW{LO2H0cicH)HYsR9 z)B!bto+c5bq}uvK^_Ch?f}%_|W;#*z=O#fpMgy1Yjs#mOa4kx^833rT`M&(ZwL8vC zuXAN7xs!#y$Th;{2uF9cS(5*VizZm0ep$3unM}ve z1MDaBD7Sa$Y{OU_!jlGUs|#pRf85RQIrpo1q43Q+PC&FCI0Je80zfI~j?@&I&lUsf z3JK=)F_?GGzm*yqZzf$GxR6v?R94p8%|fLEio(uH%P;+KXUbj+g7Pf&BvYk8-N-1q zzFss@St+y4GQ!1~;&*cbtm}&uMbmsX&~lnbP8^iKfA8wzaZg?74xEp29c_x=lQJ+> zYczN3ismJ@i0uFVtHjvSu%iJVfXDK}df<6BT4KwikWJ;6!`(7vU z7z_>yYMj(M)`)CK7!2-7tJhd)3f)wil!h~NxnFR;n+kpf;9_{Nnq|^D319Jdc^Kek zDXZ0@C`3O@XbSxAr~U7@M`Ul|e3#4pf4`0&O0b_&PMzXE|K^cp5!U~I{;rhHfB#5M zJwYOnX8!-4BVvFE4(^Kl`OhnHFR3Z2)@I zeQzBrF7&vEI`RttZ~eQTeXN9Z^xouYw~c;~$*h#(4VWciVfLK_M?j zFr1GzKKYv@yxxL$F-m^gxo*9S)&c!@Nel+R?0r`C4+h2^%v>~w0NKv%zd-_Q1N%xl zxF#N?3X$HUccUOAV~B)d_OaLR&4kfDkz!ADPHUKrzGK$*l=uVCjc$)_<4lnS?BTuQ zdK9tQCpqaPM)ksU@q5t+kOEJ;d#IMew}(l1iyL!kF1@2u60lbJBSM%c+FI$A(!LLI zfM`DnSpY#n8(51TAwah@19YVoZp(Fm5B#`CL|}j~ zav7vKci%)33D)p`#9jTgqk(f07BXoq5?LkOq;q&m3M(ejwI5LQ<=%^rZ3jtcpO(RWsbkB;Uf zG4Obyr^l10Ff!9o_^l{xk*Oe}?8e5FYTl>+;{wn(9}JaGZSFf_=sl(a@y@qEe>WJ? zlQ1$w4o;>d(LoRMLFq)G+YKG9NC{L$1eVFK{F2;ttrqFSh+% zLwGilf4Jc^A{*_M=Dk=XywO2^@eIC@2gHhf)fP4-#$SMG@uPac^ZT}kj`o-CM2@JD zCBYc6zn=*GBomcVfMrH&9=Q*1=NxJiYaV0Y#^8PtSOqRFRlHrvaHkBTc&Z+M9s4!1 zQ2G_jo5oQ*V8*b{QYR0}2e9c>iJ2XF0&vFR`{+A3X?k8B&xB?65I&xKIGJ zYg-0BuXwNI%=7C#Cx>gz(#JXw;~7zZU_XqGPw>b*J1k`-gX z$X$N))U-Ve27qSO)KEQR@MZ%Z^{lYN&hjX=q`w$E6$~R&AP!VfWL9ZTgrJ`r8GAM(I1f5=LI^6zH2ZPS*(hoO>kDw;&P(i>`0h@4h&KfQ z#Av#=K8>6v7!bY=N5lSm{SL&iD*&)RqjP>P{y4G~246pa{hFpkzq*?N?7*o7Z7Jj< zse=G~LrF1RkZSfn7MdS}*Aoo!2h5NAXR8V_yw7qaeUYci`Njq*`0aVox7ww5!9uAk z@(P}Lr1%L)q#${jg7K^2;=@0L8GM%Cp#l*v!KjfsSR&Y&aN}ZUtE&1WXn+6y4VnG= z;V~B32>YTEnPlc&k*$asw4hPIBQFpPPVXLWmU01BK%F50MNugkOk^Ap9Op5dtm6v09F?1miTp=+x^xf$6{GF)d&G|xa zLUhIKm?{4A6fj=9=D+12z#9CmqTQ?~xvBugDyo z7+em*s~=_^VLr@k+p25RH&15ub*)EY zKfUQMAf1bWRxNl0mu{=RyM)kk%zk}}g>phX8m|SezILO--GilaxJ7evbMfK2a}h2T zN`Tl%6{~;`L#mGtw%Z{Bg`$NTzO5*E(~iVQo=~GIU^zv4>EV}*KdC+bsKz!0TYSlh z0foT+$yujHxkxZB7hEcBdtExRd@oq>W1F+7A!f*P3?kNkzB=F{C%I415`QzBrVt!%&z`31#;umgGXIs9sii@T9y%mSl2 zHsQ*8j1nF*WZe1TAjuB|3M0wG6^8&jR5I+zfsbGWg@kdq;H<0d?G+*pVaJ*Rl5S&X zSB23o)wld;v}UWZBqY#zoExvg2OSMxu%7<|t0#!+foV7&hS!u+_&Pns7&hVLmu-(j z!2huf-G^v%m_lVMui@B!6NAy9kKv5oM)knD)^z#klS7aXs{^yC zuaE*+Wq8gIyL?x_cLnw5DY*)EO2{Xt)=3<0#YoKT!uAnFZkL&GRvPn2fY$_2J&^P) zG0Z^#_JbP@Ht_A@06U+|5w|q=F&kEwerclZy%YGgrl@3O!no5%=mem6fcG#_c)}4; zdGY|Bd24NQ5)Ot)bf)J_cz#Jq59mZ(=F&ZAP=8XaGTvo^zx?ac+Mo59w0HFa>t^ZK zlfHBPwE2LGbK%d_n?ni(M=c`)=AUlW* zCAmBUYYNC=-7LSJp%T^E`*{zcDbxb#0RbwR-|GC%WWee6>JNxyaCNMlj&=nTknlMF zQt*Xx(fY}gE`Zq1mDe67O78!PcY^R!zPqge7#v^_*d;5thJ-Q#PP_~qTL}uw%DMpO zb{tf`ZNFaaZ9b5z_yLC1^TK@sD@A}>2T=n&{&a$d%4qILJ=_@JJK|t6(-FQ0+tLYk z#S9F7HJbIv@Ls%$yy*{+iz36jOSWm^S(MxQ{CD{8N!KD_4p19mNL92C8&jXu6N77O z2V4!4vlDoM(0)NZlH31PZ82Rwq;7~UtE(HW(eWJz#3?s77h@H0vYAPLnknYRcV6KF#VL^oWANWIMQ){?+ts`!PYl87VRn~=m@ouD z@f|T#BbA()5Psi&lkSKUkYtyJz?So-oO)A0BrgJ`K<>`kLOr`j$^Zv+57-sYAw8ag z9mjD1O>!6jGuGEW&C@G!xJ(+I4HQN=Jg7%k?m|%u;{>txwG-Z)y9SJZ_r+917nq^M zMUrNrFRPSsJ4ZXpQubGmJD0ttW1Z%*nA{dgE3$QOC3xW=9 ztG0nX0m|CJVKS?U^a@|cMgV)?168Asp1i27!uOz5=S5>HNb6a6?G(NsGrnULn0Xw2 zib3IIAFs)qgkY3N7C~>biUda3an;gVzei*(EpMzg9X|1ejE#+hqaDs4+(eV0tVOoT zGkSO3^25;GE@Vm=^rd|yS5{U$7xI!E|9)n@lW_k3;p?r#s%*D!;YC}3C?Fkzk|L!n z8bRq4Boq*71f+Wr3W7*CNK1*Jlz?nrqPGyu}to}RCPN+i$PMhbwzcMyL? zbS~VtSn$#eOoit!U0#}PNBC9}B&aoBcY$@>Px1yIKKm{au<2E z=#5lp3_vY7lO8|^a4lr67j6ia<1b|$`1)lq2TWJjzg{-}D&y-ptdli@D=*DW@$ktL zGT6$!31SDE!ZU1?mcH99eeY8zq%sJdkOr&f0N_o24h`*nABG$LY{_3pxrnJ6CbqN! zia)Y?(ubsRtp2#jlH`Lxox9bW*!rHkPkuupix+ZYM=lydgsuMSf$tcEBp;+Il9!iP zWhLc)^&1W4G~pj=(XnQ$e8$rI0Nvg5)ncpRmT9g)vD+)q{$bPbw$cYbn*CSXtEhT-s@Q3rTWZgrPg#DWLW!OJQ>StIsRpDnGt@sbZBMnY1D}Nk9MA1} z=Q%W6p=c^Fn_@Lqna|zbG z=>xRUo_CItjT&CY`anXcwo`we{r3qzlIZ?u~3YNpA*~g})3tI0L5L zed*v7S_UGSzPl-yZMh_`qZRaGypb)OrjJll(1j5|m0LpiIR7p36^EPz>t0Imnf( zyCqQj&AqwIRS&bl5R2{FZ^ca)HW4|HMvs#wL3;VGuopj>A%*}1;48G_PvZN!^V1Di zNRhUqCUGy0{;i?2h*911vXvq*Jcz&2%R2r(HAk#(zqfZhQ9Hh2+w z`9SHF+v*0cku4Avf`D8n>=oJRqDn@=x?8{Tb>}tVGw<_#@9zL|hQ?A6T>806Vwmsw zciR_XI|z&8@x{q4HQuwBjVxcOf3Y%n6~6c-)WK#GF^|`YtH%kfGI|Ii;nb>$SBU(F z9>QwDFr^sgKuD19{=J%zLHPUcbxKSb$%6zhHFu|oj(c&<2*WoQ#!O8-A$%sD^2(bj`8Gq;A46lji6lVK%jjldWi>?iVQq=NR zYVW@6)aNf>ntiZvto#lF#7`K@#$IwDs8SS{mTC0g!y7VXI!?RChR_d@f&hS|4ZzeM z7SUB@i>tz^0nLiiAi{<{jY3n-n6+cS=!&4E7I1g<~3*9`_3M{VR8C{tY}G%oGQ;$J)0+Wr(^Ztw++zM;C$oA^8+x@iUOUv#a0+NL*9&Ym6#Z&*c7Dg%=c`jZbz^1d>H`8= zzm5)hq<{*YBNo|2LCfK`V!T0^QWBbk9<%w{NEgu*A=wLkb}%RKDRs5uD0mPCup)Yw zw)&)qM~zk-slr6}DE59ks8Bnxz-lKFU9RE%NU{vpjz3$jB1m0^C<9->jIpTLl4de6 zMl!(bLP&ZcuE2aPbP7$$V~C4P#Iz11!=9zw*1h*NE8K9P%btDsN(}7)^xlXOkL|RC z2z-JX=`9uX3@(HjUGLLfQL#>#w;UFl50^qHASmU~M|+;Dn(seiyv=d-bU3zLX`p@3 z2%op8ldCEX${Pf2037r|ia`mjZ)-pa)JMqB1?ss>kO31U4IyF+=GNx`7b6k%&A`Cp z6EG8Pyi@-Bjn(}ikWJ3Qp1bvXH!Iknos48*JIL76X}&~&7?OM*1(Cl1a+LxIG5i9i zWbPN(a7;{ll2%5<58-|`K`k0=F_=AyZ2;a)#L9vTr2jqp(+r5>`jD^E)6=m~n{jm~ z&96?K;RkDH*u#&D2f%|c3v|b&${+aK$s1Rc!f^_vFYZ4&J>BpQ1Gy))#PE@TJq&}- zR<3?+%GW7bJ1YRgPDUV5cpMfL0iFa?3lN#5xZQsBeFmQf+!~S628DuX*#w9Yi9a&{ z{>#>JB%s%V;FIHXNY%7Z>Y>TGbeBKjAP*SO_bgC>AdhGOT5tY)7$gF?=U3qVe*ZV1 zKr?_VHig>MqBcJ#~8hakb(ruOi5ODOHCxeZjH|5bbFxhozg zz20Yh$mddoQwKr3XkC8WCC4htA^8jgq%%^T zZRLocwr^1`jpGoZQR1g7Dt0^F0&_49(tsqdokIi%iU3*s9g+dJ-Rwiy7Wf|*0^dFu z`8^2ji_|A|r|UMAS7g-A)DQP>gle4)0w`I8A>?~NLm4C`@7xTeOIecp+Bq+Fdi{}epj#ZCs5D{OkpC$trf@* z4}5sjwBZfj-U$5TT2;+))%)<~T2b8IzzKMKO9X>^(1fsYaPYMo7Y}=V{Y%xXUXL^r z=IkrF5Wl%#o<&%>9ED}@s%rgyt6PzF*A-f!pHSa$-HvL9`lk8WDDsqVlU~}byfapJ zwtE++KA2Xxtkn!tmUpaPo!kWu_5#4&CJGb`+l^iYbYsS6(h*J93M`8=$gyWfIl_VbuKs`T2jtsIPEMs2z^$#5 zn>0V;n}knh3Qg(TS1-iFO&Lb2R#C@LU{79EuH4R(0aIadZb*NTf&h%%t=cQ@CojVN zf3|eE2j_?vmipZXp&qDr`Ck5Hb$}q20OCy#WwBHNghgMOs;j&BRuWPTk12$bya`EV zzy%>x&|Or3zXI}|MM%)Ur|%=|3|0Afjq)+Z<_eta>QVSG9;cj%-5?15KzCgD>H>W6 zssyy%EYPp_p(E0LD^7P{)hrdW9f)NByhUJ0Z4U%FYoG$-Lte>j1EUyk&Og>v(Kj?? ziV(*mw(t{<6`8N`fEICtbb`NyVh8FBYOpegBCPUJygrSm^*JY*ncrO?FF)=fNm*BX<_mzFah3h=*Xcv*D1Yc zyGvn&w8}=lO&aQBwjP07DFPp(^K6PGrdcgpc+PxDe~&`*p;~12%;Bj9$$zfo{r>ETjlXH=&SW?Mtjka*z&chqpMF zx>YIsCnLi4bJZRg(s-Y2fk>ngol=Sfw~zk%8mab-Jj2SwqUt12L#2`Z@tIR@I4EH?tuW(M-uvz55M)_J%o9A z#BDXgeT7bh0=}96Bp*c4B^Pyj^yS!jAd;V5p?EEVQ24dz(}?$_8@jewh)4jsNCkuB z=Ks?g-k$Q8{(l?JmjCbn{QvDglLxAoQNTO`h{LY_*XF$M;zDW?^n=0|QY;9%>en^t zi#{Tq@9wbwuaDl>*XING&F1eb*7w*3D+5{37U@I!Fjmh0x0#LzPz*tQf${-`c4T;f~!I2bWvSnPrpC%wc7|1kVj3Y@T3qj8t23E)0Ldr|ePyJOxs5>QX4tNM(u zOT#qXx<`#Zu>7s3ltyc!TTexLR=>mA02QNP>%=9P^#XV@et*Vg#0+l3`2O)JDEOdD z0=Z;w%qsEOZx~AisBFwj>5(cDFbA_4)4T50=fOOoW$?rxuFXx3m^FHBqk9+l_*)p_ zI!8Cmcqzy0%=s^YZU_LJpClvv1`x)Nv&3~m{c2X%YuU~dy+JWE(s?|4zCeMa7jfT9 z3?L?Gf1%HS(BRPO@T#K+P90?6t&z7BHlcxSxX=*;olp2^Wxbe!(O8qrhKw`<`4a1I{L?p>0)86hD;Cu3y9M9!&g;2rk9IIC%@5%3gYLAk=6Ih%rv3>*+c zpZ(=cxB}X4P+)<$#BfQvZYm5uE__gUI`Ah-ulNp>(I9w%IUZ1b3)UX};o#@zht`UA zLX%rLi0WV~>)GEL{kt&67IP;lZ0E2#CIn>D5EOgz;EFnPZLF>Nfld`oQ#M6Vxkw4~ zBFOi@aRoo3+tj*FkfqpW4aUZciZfJM84|mpQXe^aJ-kT*tSJ16 z2-M-7Cn%0>#70M>P|%Q_-aiI0(rZ;#W0Mc?wRsc#rZ!}F6CSdX7N2sEUV=ABbVx-M z5TTr7otkAuV6c##q$QXGBTdF7I>I@NJ8tkR;9S6;fG`-KjSn#b>6t?_j``XH5CLto zB`DsEkVP#I+=8XczZhAC*{bF}K{PJxAKieF86{7>F%<=L8##PgP(kf^&ZFVO0tImi z;RK*2vP|`Wg9ty5Jw}q7;#)7gUM6t+^Gw%PnWlhaa!fsQT6kwt3m%YBl6)4*am5f; zyCPt2u{Sjg@RTknQds`GL}?9DQg7cAy}gREG*?BdUVtJ57%q5E9yGR>Sm4Q8-MwZPq> z@k;e)=*_tK;I-WA6xfN?h`dXZ zHfFcbPy&I>^$Q>Ia!QmrGu&oND|qtJAlwEHuOe#aIlSmlag=6ge-Y&sd@K~aOVCuo zK2N@TE8TzQ)DVgE-_yD=|#jtr%3AD_N^I7oJZ;{Rv?ZZ5B_;i6h~ zl|es^XbM{k;D$gKyC)0eJnOWNJx&aue5hKXQYek-r$F{Z>tSWMw^; zr=%<|AI3?RevI8aGP1Mr2eXx=kf!6&z~*9|i6%pdK)hT-J~lofS|6a?Udl=^ zqVe{$0-X>5sX~JWY~jWC16qCT7dprT__>XZqf{xwrG?(&zAfXowJ?8-R?SV@lA2-3 z2tm11=ngP4sDCjT7g2Gq%Ia5sE1%ug{_U;>MMtf=8djxx&8Tz{;~iSO0aa7S2idLd z$8&BpDre7c(&AdB65(0=RxnEGc+X$$X2V+(p&B^96a6N&*Kf&=&6nR$J(ms{F;&--XZv0!L%$LGSRmo!T$aIM@OcN*nyEb-KJp9 zgjhwHq?7e+8n5!28d`~A%plyrkb7sEg7ke75uw6VT?2vLZFf+s`3t`v5;$;EICky8 z1r%zrcvn;aFTX>t>{c9=oettHqTFE2yK)fPWnh$8Z5B$h5z~_0wLP;0_qJ!Sd53K< z?=W~dKKo?;qRn4s@FvbggsufMZ?c*Y)jUOU$m|8HA|96UD0%vVMuBTcyA?5#q`F^Jx^j}$oRPyhv6D{A=MnTfkj4gla@lmFthbJ)xINDn;1DqF|Sfe zZ@5v5OPF+N=Yk|uJ5T%$#EMQqY>zZdq4x~TsdF{XHr;}2A9|@IlI>)ha+4l`w@4drLBHs_+NS-jV02o%O&A|`o1pl<-COuTk?;Ub}g#Tw3prC$PXPw5KKnV|Qf z8tWSQsCJvFj5jEMM0M$;;V@!{B7$UAmU)&NKa0lXhrZC&*MB+hcLW)5UjWdmzL!vh zTQ7u=eNZqbhgF;e(^HDFL-7PkQ(VaXq!nc>~L5vAm#_}8QrS2>E zTpZK!az-Ddw}1`+i8rm0@4u93Z8H+R-Iswu+gzSn+|(YpyVLSM_&tOmxc@@#^0PaJUF> zfZ3<)<){w76Rw&Q{+`UGq*H^J)tvlVG;Fc{Rl(?;54@%NWer8?Brqx}pA^j6cA+9T zsqGVP3_s;=EQvwIUcUCb&Yv%-zSlhZoon7^UZKbqw?8(%6NP`jj)|68(S?(aTKda^ zCrN@JV^}-q`&kWdx+oF~!B--hBIKi1cp&6e3Qw)$Bl> z+`#)Q**-Kv<7~*{JD^g_cLVj&r|FCx%N(nEZMO_`pZ8Qm_*dr#N=l`i#w0w_eH5&1an?JcV2oMcD6 z?u7-mqsv@_J0@x(I#PH(_uOCqHF2}|f(-!H9^k#Tl=_hKlNYSO^Bi=7=9aq51*3LEOn9zC!4Cdt*V8r}UL7ZRv=D^_iJ_L~c( z(&7?|CIV|TR*-nTV z&l7m3bpkT3P?i|VT!U?gGL>8Mp|_@W#oLOOzWyMK>#pscFL=cog;X7^wo3Sv`7%tu_pSk+B>&H#+$jSILm-Q;_v%X9!E-2M5L51(v zqnbeWqvApKiM$yXo3bVTw0V%XLpNV?n?yNDucKAA8{bja)ScmUzQ(RYUNH5`&>2#T z@J|g^9@8UOystm9o)2}>VX_}R_wQm-S#fz7d(*gMbj)qs>qfqT)ddZenxUZ%Y zqy%=HW|7+oYKG*>QI)TGS|ar7c`|pT{yx1~QsjC^$2e>sim(~yDx{I`k;*SiS#y{4 z(tX2jGwB4*`BOESnd8=Php;RW!Fv%{(r7ObvMPl& z7QrtM#cGWqo6reKNg#xh6%>Yh$@Uex5aaK6Hf-!0!Bo)!aNb3ZD~~}hIBwa=z7-*B zT)L6;@uW&kNp5sDE#hLDmeGT+&5^5}=6>V_Ig!%Q!8g?z)WQejBk@ZWJ(mvoo#&sL zQhnyfpe_?EWGj~V*Ajn$^T0F>g zhf$#~*E%mmbQ!9WX1UDk-d+vbw0X6IS3i@vP|e~Zn}#I|6ZuMSv-@x5`FF09EKp57 zy!pbrH~9CU8p{$fm2LCtvS6HShHmzs9lpQY4T4fap9KjNMt|hr?rGpOZuv44uSs_S z7wEi2*?!QDLMh~s`5g3_&xEk%b=y2LtCe9xUAlpymYO0}A^$*vNxfZ^c^}{gn(W?bVaSh#gpRp+l(!tyZXe zOj3c@`YEARhBhV*lNJb5z`Cja+q|!R*Cm*@!^wzujGa*Jk{A0OetnbAd@%&|I{Y&1 z7bIL}_*d}a*lgFTIJucZwr5Mb)-n{IExDK+RVeP<)}3nhBA?0=(Gp_(#L#y0{H8r>Jvrc&H0X~OMiFz^rBDQ&65(|M1k3({M>*wT&56zNRup8gPxH#C1%u@0k^H`&ypO zNEISY+hPZ!ClHJw`zjNZ(FZkK^$SXmCd78vV(ak+OPkp-Cp#4B4)(17hFo7GKo!p` z-(5QB__VF-F57dTuXh&T4NWl>Qj%qS-+z3)?8yXM>MbrUt%mQ=w`vs%%gmoXTXr;V<4Ko#7fK>zh}L)fgK*=> z@D>acu9d(#AUJ_io}M*#6kGRUNCo@9$!Jmy-fM)8TD(g|v!s%gCNa5x9%i3m7dED> zma;y~{n;jq0ck8n(17g6`g9pRY2}5XTfEzB$9>OUlS>2<6uqIserx;qgo{i-UyD6);6hyPQE;RB zi+KtKUp*m-d9xZp-1$n$y&z@A(1>cY3NGCgEml%1c19+tYGnYMFkX!`Fv@KsJ?D>P zbz-!?p67gI8U9^TpkYW2Bq)S^*j6(hQ$;NMRt0u<^o3`qE18dM3EatZ$59^rF_o-H z9GhE*qRE{sE~FS%8kEIG)MJ*Ua&sihv1|}wUgUR{{)YK3=!d8&yq!wl;s{~yxL3w9 z_%mxqm@Z6sAm5_UUy`x4(yn0GlJG7tH;`mF9AFXM#9VT}b7-8RdQP=7#L{L%lmVf; zki)2o7>>oKZ9bjou>IQ$p}(8Ns6w6|HddsZ0%hgWl@-mV7_kA%A9`@P)D6BRZb$Us zuO6Sb>cpM6^kI}LsJDAL5IGN zDIRFxS6yd$Lm}}Tw-oWWfR=_5B<{2bls!6fKUO@T$xUtZ3HbOA?_Y>Unf9k;lroND zc5J8lt+xhtFN$X0iM%BqpP9eQk!jz3W2W{aX-lzn8B55$ODdASpV9Xe-IEUW+@}0G z7zp{<-!+F`0h%gM(JhXM(88Qg!Dqca+RWl-@t0Yrb>4BG)=~T*Vl{0C+6+bFxYbgV zsrSQ;MeD92lTGh(t}$dV>E2K=^HHpcBjK1#?ZUA3T!Gaay>qT@?@APvmP9+3cEDKB z5E=z#9ZDc%v=+Y>XaLh14`Hqx5kkS$7MdhrUs3d!Kkd_L_$hOk1iKCUf!hZ4@)H3!VYAIT>NiPUXcb&aj-|3aEz#5#mHL-O^1)T|KHBKNsfR0X2JW%x$4z z=HmYBa2{(SIiVTrGn#>Mju5VTor&M;&ifn7Zp0 zNiaJJZLP6?kH~k^v028$dtzou-5;q{hQ|~Jaai*`N?IZl*2#)0LVxU;LK8ktsA<0W zbl`$fra)@%_-Q8=`lW86p8hkcPX29k?oL77?2H{6s_%}QWaU_nHiNf^Ck7924D!NC zY<}}4ds;%e^+(fISV?gSM=5-B&ue_6aR_2R~|Qy^R@OxR*J= zv~L&p{%y6&3fa=snu6WGBsChUieP62V@H2^B0}*pj#hu8&Z6*@vF7#8B~6-&@CfJZ zJvo!dU!&sQ=!K?gq-7dvo~-4*>)6R|_9PmBImtcy{+E3(K;6L-Y{Y+N*jXv~8#s~3uO>Qct z4sto!jvc&%@>G+&Z#W2Lg>caHvP|K*%fVuc_JYs8tM@cL_P6aW>e^2Cjgn4GqNZ4Y z-LAmXmhU$>bvyFP;(XXp5M^|1~h7yAJmn-b@D`py+xkB{d8z?41}2_TF0p{u@S8yTa8pKM0>c}{WT9PfY4C2_I#QG|t$ZZQFRV>$(2s`MuBQ z{Ct~Ct?ZWiN)Rp9V~YjRO+i_yMCkYRamdSs7_)R1Jj<*{qQ zXIwV<4ckyo(#k(VwnT>hWFg!u`9{%6_+&v3?Zpg>_<9Zp1c8b04|V*3HSwgPf~7S7 z&SRM1wnhJD+F^$ctONq%vHcF3-HR?hua@o{_tb8t00BEO8M0nDLcMVd=GMM_`$_dh z@tGMp$--TxW&04}zI(03uGFnj%7M@zU@D1rh)%B-pbC_5!M~PjhRi53y;nbQ3j^v{ zh%2+?!_KX8>^UbLzK22vpL$ho(gwew4ZB?=UzN)TC~a+X>WE7VJv7Em>A@9yrkS48 z6Nsv_GNNo3^X0OoiKVa$|c zgM*zN`f%1Uu9G-%K6b$sVBGi*??3pTS#R*8{D9BGuha7(*3t(DcCLXh z*B{$OZ^W#{Iesp@qeBt!K6Q=dn3eGNWUL~E5rXnS{RHh5pyzB~A?U~Z{EPx^%K+GL zQ8qb7nVS8thW+oqUr&d?mZ%7GX#Z?QM~LTpZG`2&tZTh48fwv#>HT>B6f0uxiKD}K zA9n~oArG57VZ1DdT_|l(G;@22CN9sC-h#?7$%T~#x};7$?G>T@FB{KV=In$83YB`k z1k*)9iwCfyj7Ico(Ce>)4>e(O+(erq;)B}gCe8k4gu}l4^F4(C9TcaNI16fRH z-eEG(#TMKqb3S3#-KYv3aN6?|)+8pDjlC3P=eb`>T)u8qhZFRE_drU#}BZDzL# z9W@7YI5SIpBiy)RSYuBe>o+|kh_EX7gPR{_aWPKC*`k9%jETq%i{Fw6;$w(mMfUpj z5@a>!f2&epBoHY8kd-k+0qd^P8EP6D8Zfg9tt?ou(#V*onXjEbs`oz>t`yZ+vvfJX z>g&JJR+O|RKlG?piuKZ-F_0D5hk4I~R`*x;y-{@gd`4=+>eOkC%ADMD)PAQho3u=@ZXTPEG2u%$Zau6{l4cwQ1 z8{Kg&M!7=6XP+Zu&OUg4$CNqVlHN_<2EVu~Iy{1{zQS0d)@;pX>$^No?T8xFlxRXn zZ>_-n`!LT-J8D(d%7qO*v2@i7NJ9w3Ml_>W?E`&+$6x~IW7fjC(MGo+r*OgX5_ZcbdweV6%A9mnKZk%g4Au`tig3q)5RUS!~q!2WUJDlX5g$UK{b z0Ryqyy9ivbB0}nmZzM=)o)y0hkA3tw>8G*EJ;x`>pZQdtm{k%xdFKsHPE_tMPR>`p zp1f@C=<-YO7;`*6bvX3&eD}PdARF+Z#Pmgp2XEhB(k~w`Wr#I9<$h^o9P!B6u(p9Z z@ax9;be)u=vRNIo;7$#f$h&uTDc@{{WXZIJ$vN76id9XV6FiJTr+}EytGR#>g@>Xl@Z1=(D zmPWOlbZ(o6!Jt5h5sjGO9w(mp%HWDdw<%x!Z{Igc>H;mwPkytk{loFmL3O@y+fEai zd*LM_tJs{n=qX~hSbu|M^Kwde{fGI=>e$_mv41`tpolf7xkxANq>}X*=ALu@L6#YJ zdF|9z!`K}U9+u!g>~+vY{|9W)VGC`UnD$aezud6*(36b*!d<1NiU2u^n?Y-U5pYF| zTYE6gzgWg+F5$`Lx%d$)=+`*xe-l-?+!VOwkVF`o{1Cj%o?e}$jgzV8aeVP2u8nE?MqYa|-sw@I7pvox-0&$=l`xWI)Tuv2`qPN^B;eE@Z?0y5 z!y+z6mk*Rfnp-exEB*z91oZ@Sdqr}*3H&vOpgL2!ZRhA{Y}PpF0TTLgs!n6x7U^Y2 zA275;F&=k99i1F-vbESz_;msQC*{FR%X#QR0T9ceG5le(@ z{PlmYuV2jE2w(SQCZ^(+#=ddYoc3KVkmYRo`RKk*5jg`kkcYG zm{?>zmV@+C>9UamE2go12(iw)y4opApbRAs-xj(XvANpYODTssI{Wm7PRE#7U~iz^ z*zh8IjCmv-d$pL(%yHXfi(o$urSx`!d=@}cmKA26y_lyf(Nup|x_#VJ_LOfbK9o@_b5QGm_Ukz9WfCkkKg6CE&H zVtcK!2m4^ah89usO;k9@fH&nYxRbC*-^tbW6PS>JKY@|4oqXW%X!+AS$Fwo-&pl&F zW$6ffU-R5xhK2KqG$Tr%n%LkQjSk!1!q8)=aP$T9T7Mb&V(%VQli90L?BlZt4dC+Zm0RAk$x7NK z^|jf(ntbh_SGl~7DO||=h5L%RZia8j^Klymrcud$EiZF`?bn2QSp|_?su{WLCA8a{ zh!sb~;wQv*3rf8~ah!hyHb-9!`2+-VKwv$hhK4co4@hhri$`;m>lduT!gNhhL!|>J zKI4h5&o$pwfZcWtPH+)u)`bxMkXk=%=2~FV>q{VKNw3q_|{NO z;9gdsJa-v$i@J_L_D_PYk2U5zoh0WmTi$Q%uEWJN=7KeAb3d$e%rE*%UNY^-my2zd zg<0zOZ>Bu52Xny~1NPfGo}IXX^9la)2(VzG(~SZ!6T=GD#jx&s?@s*-)H)b}>KEjI zt*#yn^Q03I6XT?9I=YYf5PNqEPHG%iY&008!Lo&AvEGEOf!sQyzZfGG9~I(`9o@rZ z0%h1z3C{72u<^OYGW1ld6XeTZ{QID zRK+u<-%Sv5y%w~*9>IFwqr2w|brj)X_4W~>vd8}470`ofmOdrSr#56hqAPqA7$^JF z#l;2oV1bJQJTf}-=^Hx6-U;NlmLo)+7o%8{{8ca#)9J5BC{8|IItm-W_wCy8VjDqs z59WCq(WV;6UDDvY@Es%BvTCcZAIF*evf;bU^S65+%vF5%FV^MUGCcF_Yc(}hrv7>8 zcaJVCPn#3M&8J^4DGeTw`POsOg^iDvt~-x^2!RDwU-I)mO}xs3cHiBz*}K@Scyc*E zy%yWm|6IJ(_!BKh{QJ6tG`G~F4q+!7jlhE@K0<;H-C>r47s+C)+=_TCvL6$RiMd22 zW?Q?p!@|PAu%NW0Bm=g(891nV>S$}LR!t1S^qAA}o^@UtAwCY6FhDuiiT#M!&<}@e zam;eJilF@JT8wUqy2DTSAyZM~8VP2_?1rdsJIjHmv zPZBUasOr6kc`|~N;xloQk{NC%$16d)DIC>~%ij&X#1S*FpSlJngR~=am?!*TS*V#e zN&#GSjg6h1T2N3>>?bVpLL24V*WviY+uS^CZguY?OO@M}Vd{dx$VbSE2FQZDh}E|i z*gJTjrhNufK5`^4cjYQy$LUK7Ic{~mQ-AlVd*y6E>7Y+PbH@*1QaY5fE1l0c=*pEF zw1?6*26}~dgkEhJc|J+i225v~TM_)&)V5tHyv^{CY!kjVdp zg$o5WH8m29Z%r$jW>mrE8`gZ+OBj2J3})yTfd9trKeKeBOeSh?=thmqaK&D{-|6p< zctUlNs+y>an#jlJ?ewBdzl)r??5AA$@bDQ{xeIotVibmJURT6scD`9{T(FD%eRp5x z>H^0c+K5fIeaF%AYh1hWmE^r--_zY&l-+&mjdIu(_v@uH`uo7#`^ohS67W**iHjFN z{K1G7fZ4mXjSX1LKPx23ws7zEJV^Njll>yS+`GREuCt!$tFI)EZ|TbYa}%o;%Mbh) z$ZxcHu_jgO+uyF5=hwZuNi=wgapk}e%EQA0{4t6;XwsyMw10vDg%jIi&BQA$1f}3@ zpOT0i)VnhkE~Qi(*W)lpJR%=$BHSb9yiq%T>NSOupO;`xsMZvUIhB}tOOzzbtjHJq z*27EH4I0t0-wSgdBuH~8Z_CUkDCgkRw)s}oR{pV=iNv1EwC}tpH-ch0o$QDgwWc)i zG&Pm(?CgB1tqs0w`A|V+Uhn2fUK*7F?5^mHE1EJt<+~9~n1GUF^&+e}n>rNsmJzU- z{0Tc4vY(Z|(iv#w+urXsLvyk+ zi^Ta}wBz#^d(sjQ9=Db@Rl3k?OFUwl-gcj~b!xQy>ghD4^^AhPClU9OkB`SR)rn|= zBIni3jr~wvA-f?+(^-$(9WBM|aGU)bT>vWa*J|dOP>Img3>QYBuZ6z`?(j;0RE+#B zQP|FL^fN~+3oy;d(vk9hZR5tun%LG}LwytfiatkAFEifq2a!~6CoY<4H)*_c;^%SR z0Uito6}w|f=QN_5(+Mb%V+hG`t`Z$4ao(xrYQRLIDeVMUhk@0Zi9K;W9y>?vn;%Pt zxP2NMHS-K7V-P9Ueq#1*_c)fjnEAn+5iBCXy=>1#D56Bhl_73}+R+ujmsX$Lw`G=r z)!qD23dNgKD58~Xj)r2F_3n$~6dH%M+}KKBJ_+^}1-JN-etoDfRFikzjrE_gk|Lg? z;PC}sBdxShb&dwBqk*Aq)vxb&*{6-=_aP|dBfa19jdJcymG+WV&c1@k}#^wb_r@9yXiccJa#Q=Ybb|1R*n zd!PSh%e+Mdu8R3TVCPr5n}C+&=OrD>m>95#d)8+yR2|xCl(BL+-Ou7Gb`@m~Q^4Tn zftb1IdmWm9=6I9>a~I_u`$}6Hl}0j@a9oc!=cL;!?0Iz2^^Wky*2T)4k?ZDe)=9*b z82gPV>o-Oz<@V2UMVBD1ZHU=HkX12=2DJ_kH6sV{*_a{ zE~3q`C&W~56N#nCb&OeJVH09HQ~Pg-j!F?0E=XqrZ0RjCe4x=1Ju1 zTpy>DqB-HRG#bqm5wWs z$hda0po4T&`@_qZFL#)h00slc9(-GHZj_DG)Y2jgYXt{H{)8kN8J#bhkPm?~cLG49 zoO69sI7RNQc#GMLzzGeggguW2Q-`L`kG-D{f7QF(b^YR1vEo6-IP)mgzxK-KECXy=DNM_#pIa|{Rwo6HCb3Yr z#NWSw&#(*5=%1f{`2)}cD%)~wvr_OSH{wNY4?duKFDwRDzAqsc0*X?8=p!icm>$#{ zZyrTFHV(^ru+=zvEp1vB+)tX?-WHN`fI+I~utLwu7$YeX*c+wCYhsO%s}R1JgSZ-arsgssKnho!0V{yEJ7 zy`yhm#&#}P{Y_JzH8@m!P6Fpe`eLRU;f^q%PXM}Lnpp#Li-^e{=K+(%=4--@i(2fT zG(Bk14b2eQCeq#`eJ0q z1Hckfhxfjhm1S?aTGj3U!<-+^DAxvunLR9A8vang{|HVDvB0%Ed#wOJ?>vFsk+!=9=0T@Z+lteIyKdnHrkCpLV0;)wel^_Z#cKN zs|)vop}!|Jzj>-H9W5=aaV7YWBI#`!_Ar3(;5)<>$fDp8HEO1n_XG^$VWmbwN<7mD zTJy`Vmw5Bo{5&zrpEiCQRp^#gGzkD$QaBqq9`Oc;?nBXnia6!HA;Y3ib!Wrpm1qA{ z&NYyU%X?HI`A?Oa%2ym;)@U{A?Ta=~`R>$STGt^z7y?!R*!A8^63xd_lf55y++9;> zE#D7EEPu>u71%nd(Wh;}FBaYK-ttJ1gVX|kplh{F5-?XfWWe1A8w0Q-+27;Q{eF?` zb{kjYz`4qL?nMgJ=|5kVc5OgL&M;8JLnx68sew^B%rj0^15(uRz)${puQ0fcl6UcI}Ef9&do7SF|U|BI@EmevI?)_Tlev;g4 zluvhIn={mQkY2wE{D|CZzCQh88bi|3D>N}RMO;Y8&A#3h1h$r{yu%uOqVE`!<#7R^ z7N^Y+r+rX&iZS+NhDZy>rZ~Ke=UzUVdtMll*0}T{|Am{$k8=eZzo@dp387?NNl?-- zmJ1)*$QpeAd81&4(lF)J_iLgk(RuwTj_T(AXo=ZwP~AaLrR&y5pk!!IUC+-v^BAJ0 znKEFuWNdO$6HI2y$M4+{^ST`&`)PdrbHDkB>x&EF|03R%sC-;8>zbtJQ8~knhEf#< z%;oC#EbB~Tmc8n1zPZ<5_Q5(q72``_rCtfxH>lh`euJ|XuvzqJAh$ZObS9N$`r_(E zRhs92jBc%Hlr3GpaPoTSZ28dpY^ciop(C1HoCQ+*6W7MD5U@~yeL1ecJQKu&|VCfh@V4l0&EFlYIn zXE>O~Zg_5ZI8WF~q#K=e=jGdbRa$O}5KX{!S(m^8lF_h=V?Kiwy1(b1oMy969U%o6E{RtxYAm?%BW(xDFqjfAzOo15Ec<%Z1vjX@G0 z?6R5rVOT7Uj0r13dADxB0(*VeaKKl{F!J7WyKLUe?A=awuEAmZ*SO`1<-g|)9`C!d z^MppSiv~v8gi0HIgC%rNk*yFPx=qtw_R{!q;AqHS

    ?S{5G}Tnx9I?z7uWn3M}5c za&Lp*I^8GTuAUw!sz*+xl5daPO#67Leiw2e;#pe*+f|YK+)Z1L)!VMsC`#QBmU_(e zZY!`PQopN8pWwF8cMm5prsiC3RvGp=M zc9*}u`(d}h*O#R)=W^QV=k{d?kER3biBZ10inshyuvF>jHIu_Qi`$g3^n8rY^a_T5 zZS4QDy8lIhVCsR--My=I-X#IM?lq|H`1c&Oftg_~Vao%#vd5+$^`)C#s=)!7FW7IW zq->-dY?^O*pR71nEe6Sbw@}=75Z`zB@AkpsnD{I2A4VFr$V&HLKh|eG;9{>(o$8k# zetFO6rPA%|@Dj(+oeRZ0Wz{M4b#mUMEBp_@QZ<%)`^a{n26A2jW`W}f>`X29-+N0Q zhlQD)U(x!KaQE|Jmq0N7d2r^S7(b15#|Sf?m@mZzf9%NY&5O)*ez$8;`7z3gwC<>O zdOLsb-Lu4{L)dB4H$=8mR#WrEWzwxR#RLpU#RS^8HeoFb7~6#kr+^4Ve5;psh^-Is$dc!2S#BsRo{C0P zJDgAwrLu!+StFxgHCMx3qFjmW?T?fnUngFT+p#nI>E|hhKit;kira;WV<4-f5Enmq zPwTa^`krPkf3cSS<_4wk{=IJeH+6n&DyYC!!QH&D87=ZFy7z*B#!jX1IZX|IlBH{S zz_4q5V230`Uf@-_69smw>W-`S&bW`U4WOk#!@#%sntm0xo2f46h01})bs*=GDNr~0 z%}@G)By@Im26k@EX}Lr6-l(sUSKXT|JnNKG>b>}!ni7Iyt(}_vYt*&pn1WL^n|~ir zy7=ER%JFIkJF7r;TW=FVmqqN z2MnBYP6n_YKw7S^TSHdofaS4tHL9$*63*Wynd1%|Fkj6G4r^KT%?MRLbT0fW5kD?* zhuua0Y|gst`r+)~)0wMTE71>aMsF_kO*f65Luj|JI6-}(sgr!|Q+b>`^V7D!1^L?*9+!gKk083%XECa_*5Pn$lv+u7JoO8bL%F1?dhIgaJfAl&&G9q`SNB zGv{~jT6Zm$e;n~JeBb*%vG;!VbM-^2T6Ufm?*Mz{tg&92p!B)f z%G6dGf3&)>PtWjN{|ehLOsO}u^@Nez8K5!)INZ)ov9|%x0@{U7%cW>*znF*It^0A& z%L^kzU4LnQ(a@ouwIkW>meer1b1Xe?P1ivB?q2)izKwKCUCWVtC`XC(zLb5m?cgrh zLN4G9mQI(vPl})34Q@cZ|DHG{(eTIq6e7mwdxT`_+_ArA99*=PEriN~0^TU>=IFdl zSK1v+P;V2{AP$uviTlMfzTLWyI`>* zz0-a=sd@M%+WjABK#cWo{}ao)8jtYj74DO0b0vQzlJqpeyheIZ-rJCmennW!$O7HF z(3f{Vrhq2jNh9SJeHb)E^k41UL5;CBvo<7JM#u*qM~d#_gM<8xgjy=GTnC!!}-!lY#_C`&6Bwce2 zei<1Y2Gvd|sCZ^&OiSMbHqniHqjum0lP?3jU-wo=d!hU0vRlS1%M95(J@GPvg`0{| zYT3Q8+q|!#!8c;)h?~l<-eYruK-+RWP-Zzv64B}P?d#VZqoYcrUKst)SIah4>ab_d zUEA#dEL+=&s2qNtG8FYHC6W{#AsgugeN6ZqPUF7+%$9u!M;-!41 z)G`&W#5DKd$(vC`2$NgYR1fx(?KH)gVL33p&xD&?TdhmPhU_G;JMWB-s@4m9q3Whv zd0B4h^b1OGpoT`BsuT;KYF>Nu7{+Y1db(x6s0A^aeD^TxMcbX>&k%Nj2=?uORnF3T zRhwZt^<>&w(w!$L- zi*FlLXX0at+|-W{Xgy)KugkYW2*4w@wNxL@M-rVQ=TX8oibniEe_YtRu+aBkgPWO2 zWA|2Y7}Hw;UcR2SXEOB}=3DzGVbkH>TSGD1O?SMWe6bxDIcqdj``GNc9v}Cx>F{0O z(hj1&)x2ejM->OF_5QtsZ zcD9^9g4pqIs2AG8SF`;_C)${WM@Bs$#w9qhL-Tm2UOsor@w+qP$fN}Mm$0UBm?Jk> zpo7)0@F~>&fa(-%oxr7-)n&N&{Ino4v0b?l)1~aS4v!TnvWj{~7 zORmdBEtd)!Rb`e^D7haz_;a>m*_`^lxzkQXjKL^kr^32;X6Mk3H;*c#+tkqXxMZrK zMlHN^%Ih3=jsMBm9@c!Vq3Xyiej+%t09e=#lxlWwmQr>Dg@-^guQub@BWDuQfAaf* zWv?tLF%IFEMc}fBSDa%w!-X~)S_MzxoSDD7e4hB$ojcYTi~*Pg)L?fjix+H*{5*@!~4Brsef**CNKE zea#CaV`BrL=97K2mL|m>l6_bn1mYj<=woq8QZoyJe+u7GhXja-h-`z$-03(*fg^0W zv;v?Q07#t0Sy)(E^>8k`_v_A3WhdQ&`Nz_7T*;ZMCYXmMtJ%gQ<*metw{B)qpxP~kpolsRtnc{Rq9zAS|xhMp0+q5&9nNyyR2 z)e+r?iv=aNhpDVl&KCR)qyQ48aR7jTfq{)#5YHj!`JUeF8m@X4Be#~8;OW&NC78Ya z3^w1u_kv6tzw7%Mc9ZU8*7h_D&t$`g2i)?J%Em!zWcx|bjU^C4_z;fEH~Am?P%^}A zLF)S_c>WvGx=M7F&F6bLhmLo6MCGDs=F@yS$!ffXx`7HQ&hnU>&F>L=86&Dr>hH1K`K3wHd8qCkdtm2Qg#Nx{ znxwrt3>hT0x$#ywCH{$30bTOapsH{m&uhbRhOj2MiO>@fsM@7ZI2!oLNc)=^XiO*z z5yZj!gUZiZQu%V` zpgw%~=_4M;fDe6nC3W7Rt>!52v$}&WRl(Id#qTyGo&3fINWaHVR`-sO(LUDh>%sQ> z=a~@1XL~19Xia(Ed9PX)Ha2TVM@@(tlxUI$sEKHXLYIY5xW*dN{8+wjoXY2Z>b>T~mn;+H(W>q!9ZA+_Uq<>}>y8X0 z7USHnF1-BrF!o=NUJ!_l#3~VN!l1hu3q)! zqdo7E)+O{w^Ohhb3+ZaUDCZPxP!b(}!5#Yq$PZp+m{;HEXm8i$NQYMdp9f?UcoSa0 z<`1LJHe?oIh_t+OFV23p>seG)R`yCDBl*~|pz0?Lt1lza1aRMZpOT^t3l)UupR1O- zpg-Osj`E6S&HUlXRHJ@)B_e3d>*(K6EJh;z!VWc(z*!dIC#(Ks8$(d@N+v;t7xdI_ zAFOWk@y&mVHxwx0Dg)xBE3ZEf0{`k*EuQ&h+M$nrSIev$@ul0stnbAFtsO@Qk}T7g z-pju>;1@sL+`~`QUBX*TC|{}iBNT6KcvL2(fydVnhCRa9s#7H%wXZz+`rTq~FJuk_ zNmbBE9Pw97WCe_SK~>*tCsvUm4ox_8c$_H6Z7yT?q{Bj&69moqklS%4gGQ`5JN31= z5b$wG;y}O6uJf!Pbqz3=j*pMuSvwuA>y_ zp6lMBAI&y?{!uy`w{KC)r#jW(+`b74Ckk%5anV z6G?Enw5rqtU`kk3QtqZ4N$23w5`R5|EJ?A1nHnar@rqJY`nhc;Z=Z8Ufpc>OJX91V zB>T(!VSaU@qM{&@Fl|USSKUufs$?vRep3_sl}7Tz)$J5FC<&UUO6f0Ot^^{q^?jbSOyXz0;vdhBTr)}dkEx*-{tzpffY8~_L3j~3d$wshY zofK=-%KI`X#K3V5w~p=N3Dsxw0ezyCz&%TshyC~B9$I>Oj#TG3*2Sn;qtWPsho7FC zPKXN;UZD)uGcf446VsAT!#RmpW(EvJ5JQ#5q=_y!KV?jv`1clkQR#Kp@735){G zOoYVm(MRAKNI;#t%eu%vbxTMckKlp46 zmTL&ZH5_?Q#oC7SX*u2~tO*kH@q{<=InvniYU@YS6ENX*+F8`bmoNeeI`&t~ezFpW zU0;RcB*H*ZfIJ`Bta)fxo%(7u*T+zOm4zr$Nn9c3lO{_Uj`FamGPOfR_^LjJ$Nt)l z&9~NRl807z6uI8of1I0=!nO{%Nq!bIsP!kt@Eq*5#H~xml!M#|9x`mRQA?eGBdM3| zQcdnTeBvhgwD4&!B)fJuNPIu-mIiJCs|25E3EYqI&-d`K`oj!!oDyBl$O_XfJAniX zFR!uHq(x^4$Su;lcQKRXM*Ou?jZ8cT#y?2)Q(0GW=N(sK&F7Q#$*nL@^cd@k6aRZ$ zy_x9d$JXh!K0#3zT!b=~VU5CTZOETDPQ>DR%3q;2bIaT>23IN)n?d=&tM}&-0Arh* zWw2eI@A?Hn3OqPXGo@3B*PV1;7+)AJ|Bz!AL*`NUz@fwQ#0$A|`Jot-Gs^czC)*XU zo>rkGRt{xGiSMY6$sh$DWjov$H*Y%PmNh84lfrtVMGRoeCv^++5uF5lpi_$h&4_JV zp%(8a(2hV%R?PSS5dEX-+>$$dd={>*&tRu3^o1nv38X)=#hYDNA0!9=MFMk36;AwHR-E>;CDG?Oi)^cVg~9 zRO%uCA295iuAA&_SRgm(2HS-hT=rSU15>SB>c+q9-vrKne_sc$ZiBt+ghFohtU2@V z&E1j9!6FFxuH+<`*3>?~T}hPPKe~UOW2z`F{lz^*!bSr^M-tefzwe z#SOkeXS@BD>U6u2?>H~30a+fIrg6#??a(2w?~~i{f)`_^FRgg+(f6L7Vu2QXa%@@}`_c@gY7CbBR{iyppi9g#EWVl4Ze?!X zax7%S-qDtImx*Zwk`IJ0jFy|4;eF1Ohkom-oJ*3ub_x>oVGF~R)`#Y2%W0M_E(3q* z#RohQiRKTECqN{pYhQd?%P$P0&LC@m8Ic{?9NZGVm#oyoASBN{T2IG$@=CFX-*IrO zJ~k8R23aZ`m=)Jkb>K)r@OQvR5rk+ddQq;6$Jhs9DGG3?1vl8R{IRX`u<9u1)t|2) z;^OE~JP2xp%KwKE2+}5#(cU9qin$12`G)pN$t4QqY?cv>LK0`Ubq&V3r#GQ7gkEje zM95M-tcB5oXEyc*0n&T*3n{Ve*AIJd`s6Q6OBwPgPqFzu$XQ7510;o6lrX^(DRk9aUp)=~kF(+eMn;AN1Hq6np8d`Q3ecHw}*!|$GN$={uLKc z@j#CvBqL*cWcuN87o;-|X%msc;^KVJiKoF{Q<2XQ!13i-t@n!;O^1117b9ya-x2IB zVra1BkLm3=C_Z~Ho3i!7P6JMq;#M(k$u~28zy1hGWq8Cn_GrRb;Q-64^=F~C_dn?? zK+hCi$mk1%#FBSbNz?t@!QbgKeR`MoR?>K%omd}_^u{^7m`RQ$ayN#Xt^3bSBgb^P+KoK>2(jSRNAA6)Dviu99&7 z47|7qMf0_&c-<_fGL5Y=xkDtaS23!K;IRyxeDQnvqiSruUyq zoefJ0xiNBcQwNu1FWRhQOR`ex8JPG?!?Gb_>$%5CEbOHX)$sGA7XF6}ry$S)b#8RHZ2)CdU6Rj5P8tny^L7UA9B8Zoaj_HE}#P z2ksy#nr3ar6IQASC8!iUj9^WP+{FG;Eu*}6GiB8_JWPi3JNnd^pTG4|%ynuH*@rsX zh8hpF4a7HOgr1n5jSLP(bAs+fnCZf!W)ROtZeIH^>$S8~-FoipDpbbl3 zuLe2K3d22bAtr76^A~>bavpK^+xS_I8nVFL6Y zw0wDZ?3&S+JhDkb^|3E+)~RA;rAJEy#Z0|6Rv17{KDPJ%>34w+KBovAt9D>y{1`^65bC0 zh|V)B|FN|Fpt*wlnE_Z4FVv~L73rs9hoG(4Q+Sc`khK5k(D?m?US9*D3HY?( zp`lWD@5#W+FaSc(`KOr5Nba2AMc84?r*(eef z3S_C@th&p3Jq%_?if2A-bM59Su1Pf`XH)vCMmO?I`ydil?0ysJb18liPb=o1Y(^;~ zuQ2HU+9aKd{oP5h7nerKT`nfa@yBuZpqUYul&mNPMi`K){I0T2SVjglIy4ePPxSfA z=1az)1JCadzZG;x$G|{%9MVJIiVI%Q3Fs(jCgJmS&kp_$+^^#_CFZAM<_fm;TU^;0 zz%H)5aJYH%CSD?2wXu1lxR>&XJFory9wW9)ow%xZVbJ>P?e>|Ru}0Y+#T&;pw2_$c zaYE_nLA*r&5Vlk1e{)^aBj^x>aUGy5Ny7O6GH`K$yw*OgM@wwXhmbB^EgvetTn5Ga zZNr)z_$>3V_z{5O-7)Ah;1&R0aqN9~if{8DhEd~#kH3dP&*cH{N9P}(vlqaYhGt`9 zuOFPxrbW(p}D%!tOt?Ksnn2-NLTGZImuVbIGl~h zl`hCM1onH{)E!APrwrtjZ099$yL9kP`A}2fPX&+-c%dB-KZTnQ6%wkz=U=dz1$D2O z!#KkVf;kMKWW%oqOjRya&eznH&LC7tSj{~$I*h>&aA@S3$(=)na2_$MpX^pRrd0%c zw`@&e+Q>T(_V))Pxjl8#XK65gIVG#f_3;lpL3|5`u$g}2&}EiPm(WRfHP)P?wVsJr zK&wqmQ&-$X{_XDJMa|LXF9BC#Ae6?+xu=oVQ`kXqN6C2eMBes)yCGA`-uS{D%O#QuS7`2goh9I5&BYK|8J>E}>xQ1%~+1aAeLblgp zcEJ2R?X846O~1fiKBs_8{DEXTFkLjR zLC)5}u8eBWy&|rC{>$rh`)t%}pj|mC0JEXP4WN2^KQ?Af1YAasK)r}RRInuk(Q7Qm z3_`=Z;xBKNDRq48X8_^4VbUYJ$=n$&1er_OkPUmtZUZIGw_|mu1FNB4Icbt;K<&-; z+C5v*ul)!us-Jh6NZyAqqx)x$`|13}$EW-kBGUPe4|*(J-FZL3oo43D5#2&4PY7F< z>hReONa6xk2Vn#SR7?0y0a%rHKV#9J9vl^&fNgKJ3ErpbEH|?uaznekUmaR-vQY)L z2m|X|`{J?NT>VSVCu3_Tsi)KGrw^cPA{pi<$s;oS(!#Vscd2;8KeY1#CZ1qK(Ve#MF{j7CDsKD$0Z6=ejxdBqVr*V;B%t>LbtQy2Xw<-!yv+T(5q=Jk z%i>3~j~3wq9{P!VS03Ud>nqQF0eDh0}%3`6VBjnsK%!M$q*)e0A@Sy zlKtPQXKTX0^fW}=*0PrTm!(Bz#|=Ud1U>v1Lg(pn=OTVRpH;BTqKbHbnP-T<=xoeH z&$FIS?4KOPrS3Hc$v`{QJf9mjxzp(Qm#WhgcuOEr%jbUU?>EbaBl-P9nYY#b9N$w< zW<4e{B~t0aCD=w5PKEy`oIe|uRM6KjUfWG{bo#wKD&6hB!I@&^g0g@ykHVOcG~|Pw zHQP!(s_iY~Gu8;Tj)d7nuc&&4?D)E9T1pZ^NN!g$!cH!*`7($7h~W@5vAe4dq%E}Z z5Wc`1H?QC7-GidSLOe4HT-RJjir}aK#Z{r*pFYZ)!1TbXf(+PfrHL0d%}MXw!w(Q- zg_z5vBqfpm36|c>~Bg9)Dza-+{v>DyWk<9}% zVtPZi709^SQ@B&0`5^F-+KT->vs?~S)sSQHvx6rm7|&F#wvAiiSG<+v#n8ahbW?e) z6XJ!%$Z8^AZW7gB;;AJGDLXc{WN(o0zTnFQFbHH|C-nteR ze^-0#cj!>Qn{dfmTmIoA$<-BYBVzTt>1>!_6a)Fz=HZCCtzOYgRN?c7#o zFSp&~FRW7QxiMpWNbueHAC)QwB>_B=1rD*ChB{G3+4_BU_wlgJhxrO%gs zR*d|6g$>yS?o!*`QC@vHf0#$^`^a|%(9GTIw-U}+JK(6PnPv50(Q@)r@IDnq`rAtX z&<9KR_KsBMv+|OX^t983w9l(kr`2PUEZxsyas=)wj&IdJD0Zj9a1x%VyQSCnm6 zOC^72$-K+AYRu7Rz*l{GR=F;Dp&$1&($P{AF);X?BkBou~cJy4>KOKgn>ty8GUwxrI=Tzo1s8+MdKko0n!!5eg=# zq`RlAW&3(hCK{TmDmY5AE^Vcq)i@hxKO<{>%h@a9IYejti8EFgf;*Hz5QXvqPJqtG z(Xsc~`r4Z=n4Gh9xR%%*p~wLvnfYFtjI2>D_|aH5$$`t*bz&*lGT1EOQz@K~EVKn< zV9alPKDH}nubC3e4;zkjrnVhlqhnv47;@8%j@JNb+dSJzhIeLvzqV?2cFVWG7Y_<( zX1_lxI?-`sMTqpE{MyPbzl^{$u@Xsw_T{b&APxVvm$AOiMc0AsDo+Lm`+D%k1I5I~ zCYU2@m|XSY3q6n&7uNtd4YU%_)&lATU@5fJKoJ313s4?le0Ut?`(X|R2_X>3s@y!; z)$FaC%T51H@jUF}@LZ-)TB6YrdLgF3`&n$v&QHxxDdv~+-QxUfIvcct3l(|G`QwK2 zZRUZN-Dr`$$=pD#w9Yh{V#8u{RJ`jc_U3J%N_pJ2_T>LQQULefp(jESij9%^$Xjzi zV?ebB;{w$)Ku<;3NkhaP*|@I7*rnvq!P_U?<&?85?%VZ{I-2s= z=i|dLz&jcr$3YW!Ya(m$?~V0TImC5&g`aGd9IXWCfdV$qPa56Od28ir5nT9`Uh8-Z z@s59l6Sy@AVZ_7nWf)o=!74O96l(U8+3T~8@k|sr1Rj?>Wvvmd3EEJSf0kGF<*2Xk z(%I^h*HORw2~ZRms5bGwUw$OyICc8L(9==}cq6l4e!4Ka8xvWKE(s z#hUXzo8g)|(?*;yRs;<@&HzLSMh7>&6+o>1`K$jPp1j$NvfV6w@c%)PWwZIy z$169(rA>ByU*qyTFQnl#+%qpvd5Gz&Lj$b*>x2OlJweD;Bu~e7I0@w!3*GEZ=2PcW zX|k_mKRI=0l8wXC$?}9Q=#p)wuRS5&Q^m{={yNwKB(Z|~7HDlcvOf_tys^`=0nrCM zLclU2VrDJfyE;y!)!fJzKnL}#e8@TH$POz#)QLy~|#2?BL3xClLMGNGuU z#F&3AYh*ZK+Pi=_cl(@1%Ty`w_bl17p5zenCDbAiGJFj%%Kwv9$Vlo%-6st)9g4w_ z;;ZhPA#cy5gD^BlNWE8`)LXJX#mR&{Z_IWO-e=V_-l4@a2S!7I;g7; zhjG{}{N8j#mMrle#l6lx(*gvEp-8=;lK(1W5PYm^=cRXWZlO^GMi&y{PKY}Ce%jHW zxouc{w0JO=_Qg6y#@i5vJ@K{Jm%5XGL1{BQ_=Zu|AeiyHn_xm4Aw@GJWoXKeux)K^ zIlT)y&fYMYp~brZ9$kH)nF22Ke}ioqU>VVpd$oTPd!sEBK)O1Wuu}2+Q=SGUVP9`IkR&?#A^gIjjA_^NT5j=bOXhbZb$$~n;mf7< z8!RQwtxSm?pQ2rF45lRWhI?bZC_h~7=;&bj6l-dYcKBTfYw+*~1Ow~Qz8oNi_N)UZ z+LllUDzjahXUg+ZXwwX(3z+yo~=$sPkFIi~8P#U0ehj_uVY_R8Q z?15r4!fqmW7pwar+twqCWKR>uN3qx<2!7TSq?&#tPfa{(Z8ZSFeIsR&+WkNQR>uyy zGL8qlRX#5w{({P{h%ZIs!-g=HF}c{UT=WU*1>0Yti`3GIsdDa0F`!|_%a|D`!kJcu zH{eYbV-NFuGv)(7M6^7=OXxndlt3P%w|Y1JcfxWgXH0oVSkuRqXUpvt9YfDHw4tQy z0W}k>7LeTJ9@XeuHICnOcP)@)Sl0o4C_DA8E+a%YJInJSjH#M*sD*x1xUR!EVDH zV`OJidaEekv^2&6*6(b?kc)=Q291mf-4;9^27Y_^#Xt30LfebKh;=*v)V)ykNhk0q z3{b}sRUG$a%kTW9C~j^ds66OCLg*}3^E3+{}{|5f1#6cvSL)eEXU z)q2VKCc`P=Z#_2}&wGf78`YUlK2t6@u<;HO?=z*SgR5$mZEAd+WDP|U{ukEbweXe^d9ojM_7%@+ zfgS;>08e&fsWP?&A_lDY8TO^QI#X;}OQ0tb!9JD5${qN;^TDqPu79wveT>Km^G$Bx zX=mTx7S$#-mq;ZkXeTU$n>(33WhP?1&R@dcw#8?4d36V(XITg89_?-I?UoqK=)mUA z63!XO^R27f@vu(`n(P6|PXEjNjPsFc?Jpq_+j!|NLA-3Lkc!HZ z$ff{?y!5G&*;C|v`zokYpZs5i<@npCULt!#&O3%j#EDC>lxxhWk5Z$IELS7x#D&bi zZC0Iz|KrCs&Z#7R!HJXDPXI*^lPZ=ce>zW+#Zw|hL`826ad$mW9;+dttQdY~w$IGW zyGLShQ7iGKtX7X_Z7SS{iqcXO*(T^@IEOAhx9G4xp67)z8yFEL?2ok*{Hn7&oow6j z?FBZSl=@GDvJdMy=RVN`m;*0m_c2AI0~b0{BmfM;!vdfcfQnJuDVl62>;w@D>H&KAR8aD+mKY2=x!nDY9W{#{)lwlYh3I zcB<)fs;m&Ih~k`){D*DURou8t{VnYmylJcqD%rg-LcNOxNY~2J5(PUBT($>* z{^j}u%7DWkJklRPb=EL{m|UplFPt~SYMg%W&-d^55pKlG69;eD2}zC)u3aUnpH7w3 zS>)0R_qTHW`h96e6DHPxk6d*5=Hf?gBU)G7%YO0k`$|8yALa-lb#5Afh)_Hs8+f6` z$%yxnEnbJXHR+$e%tNZTzC#hv0{+W|D@9Y)4s;qKSL!)yP5bwU*=~Ib!3X1YRo;t)o!KK)=<4pLp z+pw8&9_YYlP*DRA4Cu+i;=De2;8x&eL$WSjq#zfG-_$+!}C(O zAi3#=WhfgUkP_Z+6ks{#={M$WRhQa%3zR;Th;WC@=}Zw%5!ULH=FDT>Z|n%x!5$Ic z$j?r~GGrWFt@am{di}e*pFt9{to%hCv#9ysxY~soM51NeLQ=2&0C?HmjUMe}X+w5C z<>=;aC6)~uPE+BID5T$2)hgVmoA3QJ@x*0vHfs=%9Sm9=W7&{S4yha`FA;|P>U038 z?b>{ZJ=?rZOEd>!2To<_`kNznH^m$v<{0#V3_@FV6`q#ds0$Z;1^@OpPEq zEVq^PNx}#i|KKfjpwu;}_0R^-2lPekXC1y_aRMzKdJaWn1No-^ToWfu=)cVIHgsGGHQ=Y^;*;79KN+|=BX9s!9N5ij=f>Q_*KsPuBDh@AqV7tsul7jiAgQ1DysU-&lVt)I-=o&_eOI~k#qIJw{b@vE~qVP#| zs=i!ebZFN642P;VH#hGG<+Czpf#rM7+N}@t{<4{UYH6vIS$ z9lxxx5R)a~?CR17nXR2Qwwu)+XfH4^DHZ%Ye%wCZSA4oytfwB3lEMO5L&}*V|-of?K)i{fHdiF`6FIW z)yp11vGp?Y@?)fszBnqcI|01m=*|b$ms*03VF3Nw=WU*PF|NGc-+Tbn0L+wy*S`jgyf-|xe|&+SxqIUj|Xhk?rCg)tX1?bDz# z@!08qh6iT=*})TSDQZgiLc&wg4*u>p+nV ztM&ksa>4An?k2-Qm$m^0y#1vnJQTcClW7;=SBS{q;((t~>1E@IMd9bUVJ1BWHne{N z>i1|l?d$;WC!@t3d-tqi;aZz3Z(I+wsqLcfY3DS5?Ol<}nqfr65NO<-mf0umw%UvvAWcUVm933GNEm->PsSO9wNG3_s}d+}&RD+cvDEIn68Sdo7Oh8f z{kREJNj8s%l~4#}10Cgs%zLq|7W@oEd2xnUTFj3ki(n$B;?LZiV6>B{E&n~VD!~Lm6y$7N*uB;URD&xo+j2KR-}JBzjpxyPCEV{;U0tP zv85F6+_U8_zb@C5;7RS)y!rx}N{77ZYy9ZE%WS+F(?nO)1T0=1=+A%V4E&9(I$=&i zs>mwxlJ`@-wqSrU3;+nJTPLqehdK@e3o`ItC?Jve&jRnxoe@c-1GMGy6BF!Rk5^&P z5KFxrHRW1Mpw3xYc?2>CftM$O1ipn4^Ln>Mo0}>zdbs^ zo`g#gb>WV(08}DP^ziVWiI8F%y|otS*HYyEOSpYz@X>KD6M7EXs+FpmVAH`GZ?m)q z*(=|y8tp)Lt_g_~>RV*CP&3r6_|aY8X5jRIL&0OlcvSOtJl?4XWqLcl7ler)9ja_l zE^se-rLkD(zb9yu?mnNo5B|q_oGb z)cG5TNJ!)ZCU?@-c^ra3`8g-C8>vS2a)u!AoZiy(ig8s&@}IO z7yywAS`#!PNLrvv z&;)KXefQa9J%$2sA)be3V2%YoAhXC$F_`qG^__^BXz`~p%x1#p)<5Vbt(d!6`(qmh zv|qUjgtcer(KLH+c=lHxO#Jwa|m0e{E=`9bzY!u-pDVgs^4k>1m+-Ifo`vP zGotoD&P^IEf-=?^W)1O{Tkzc+$rAyCHN$1T#FS-X?NvybmT2B1x|1uf6OmwY|; zPqalq`?KqqX#ax@)$hgRq-N6HoFSViusSuAfXVz}g_v3}C7CBs=}~Yd^k8rBmst%@ zk9KsuM^BtLX6De-A6JwQO%k*`IQWV5^z?u=75uuL!fXO%OEw<)tIPD^X0Eux@dWI5 z!3PPFGyYGJq=9x}@H0uD-ig%`RyG4F1fVhjrA#fa)YdapWX1Pa6=I{w#>)r){5U&%!OMAd3Wpm~?ymhD> zvm!EiMVN>?MHP)!J3sOy?4iom$|u%H>zn*F_^+c~cS}A;nK3^lSXwsOq##f?8Ug?k z&|KaTpA18nYbmMQ>?z7G2BS=>0#0H{W2G+MYQ4T*6Lf-Hbo?5^<>65O&#oR7NstWm zQBU!0!$AiO145SnjZmyk0V7!u?%*ve0gBk&h1&A@#+fnrbx%olE^P=Nq05Vtuin%D zp^(4w^R;P95eH5!`Rk473RKs2mh6+vXDITD8+z#%632FVYp*j^T)4=94E<=w#QE=M zCYNdMccQ3wT#w#9xJ8@G=&*}1+OK2p5bO+p%XaEYm%fH1dh(ZVeA8>KJjF4U9oCgo zG+tbMi(68F&Ex%63b2X#%ScmO8wD(sEgRwkG%i9h!?%Uan;9HPLB_13uMe8)29PY% zvRr#ZMjb)~OICgS{caRrh)S5tjX<$TEC!gCzixBvv2)@ceIUoL`|{1(wBHZn^^kl5 zy-uY>441c@V^|{;H{y!X#E}1ffb+xEmZqbR-P*&V5T`&lb39;sLXSOYw|#D6A{Xtj z;&KJlcK6SEx;(h&55*YAEmmj_)tZHBsJ6K%g9-bs=rX#=W*l_q>Gl69d`b?ybLjb8 zt@H4K1zvz{>m$Oe3>2Yf*=OB-KET~ul0+B3(kM~!jBZouQuUkiEP$h`LCdH;!6a=fmUZ4&k}4 z0t-MkDTy(^WB_8@)H^RpUv1k9p3)bC+D{{bIU0)5W_e{n2CZolD*e|5xmKtDv z^2o|_SJ%splNTX=(_9lpaG$^C@u1tniJhb+-Sx!_VkpSOFozYgz~bAn*t77Hr4Jtp zc1rp~uK!c(d0F(;AKCJu2e8=t+M9YiH-7ch6qhme%?DN7HHO9MoIa&K$*DAt$fku< z{biOWQs;E@`!h{xLLQWS{d&Enp|d-KzeJ*m28nx=JMTaUdRtXSj?hum+KH{L!k$S4_`upBmikFKJ(^b}}--aEY zt(^l{l;e=I$uZPbK`m1aNw(MdlhF5|cePInP9`Pvi z`q}|+B0PRdyx;5n-rMhXl7^0y)s|E-3FqL?PnIV9;s)Y0E{tn?5-isj)I?*cMnCmM zzJFP&)3xA~xs_wGUaymz(xOC~ap0S5{ksE_T*G8zFp@c3_GbL=j}CdU&qy?AZIP6D zKPk>bgF$2*z(PQp!sH@;{WCE5KCry|@paCIu=bbor8*BplA0D5dEtVCP$g1}mkaHf zN@Lb_XyGK7df<;mX=<#_ zC4t%v9>=`@yxK?lWruI01Tq7}!cyP#$*aKr=YYb%4a}NKMpkt6^av1eeE(EAl0w`8 zk^78;`!!fDg`VF#>XCzaTQU;=!EqUe_*pn>5sHD&C=fFajDh!&A08`PJb|8>QzMs_ zl0`f~uaJ8VTUzRCSR9Oh5TKHEgLZHO1WC-$7ocOut|a^ru;*_2IcfKL-1s@``M`_k z12ZLx0_9L2o_D8&aPK{ae*z?FVN-D@g zC={O`jTgYo^zHuAjyU{u>{iN}vVFkMLa#b1SmEX-dAmu+kWF=xpqVW6h-;2d9KGH^ zieN{H%7ncYzQ1~Oa{6OXtyuzo2T+s2j&d>gO-`?)FWy&_qFCeh+$32)m|oiKaLn3B zjUH~v3eB=jcscBE{L=5$u)O&)JO2Uq^fSkZb1Wpyan|kqGiQPgvvU@dA~cDXtn@UB zD-`=o?L55~UtCDb`gkX{2@aq#r{D9^*BZG*h-;b6x}PN#+l_XQPG};-;%uG{x1us$ zUx@Wcr6FYCp5@bnc&U#?Gd37Mu5MsDRmowY({`@~L0H=$c$p23j?#Ti9A9g(6MIml zAB0UFk%qQ!5}AWU9k{ia(J~|6sgFuai!w^2Si#Y&uhLzakaQ~ zD~YfYK5lq%Ha0fO0>B}ykDr~4!_taICF#ve-AW(QX51~uAB-z0>~&jkl2Ll-ef@u0 z0D@ch70)9uG~NF`pzUJo6}?+J>s-`t1sX&R29wgjy15uDj zK%%#+(g!^mD5e}#gdkVX`q=w4+>aO#?izLEUhyyx-0w@v@b@NBR?F5DOJTwm(Pdd4 zN(=bnM(G4^h_+Xpc`Me*o;8>UpNlnNpt)~~$h0U>(xh(x6f0hgyE%W&_z$X2LG+MR zBY8XLc7(%UY<{;9x;dAKQWi}dduk^pIhpjD;3Fkj(+IHs{Gy`44prd~KV3UAD|||G zsLn_4Z^8f;1R6GOrw4PN!ep2tGVLUpDY>d(F^T}I4L^gir{_OeQ53+8j;%)eWfhPR|y=ooNPUz%%~dh|(y;6m@Q1qlL> z1jXqRawuRPJcz`pk-7wEuCArrd&<$9fhkU$!j{`hzA>|o3k;v-0~q5l28;Peq< zU$u!C^EK9}utRZeN5(!i^55M;nQs;wYFsLSJ63)Yfb8GifyK3xc4T_K&C`+>$=I1G z!$^Feu9@=}Ke>MB#^|Q%`gmJhpk1hURhT>ESoiW*<$rsAvLa~oXjQZpIGkKHd7cDN zSX;eQv?@){P1ALWPw2CtbEB86+JnL#z9d1|h@gyVc9V<*ZU0b9)t-j^KdvPqf6Md= zb#!zPh=l@?Bvoc{C*@;?z3lA&L)3eKbG`rX<8L!EvW}2VG$b=4JCYJ5$B~1q%o3T& zp2-T8O-7ssl0^2FhMC?eG7H&JHvjwG=l8w-*X26b=X`SX_If?X{kZS@aX&JBPP2Vl z`Td&^O_xqOh2eUM(8vyq^Iy(QNXNm74wTc~sZ^%~AH1&X{B|ArChCPoCtjJhLKJp4 zzd`S#^k~po8~JP-jagGo=(_46c+ctnj&x3rm6h4a*pAxHpdzz~ekat(D8Dd`8?EJN zz}gvvFpj>C7g|{J6=Zz7fz_;K8q+Sl!?*gYR%d=H`rrKXMs@3b#Z2_nqWQ`8!d$9J zPSyy0-+c^BysyInw#J?w7EG3ZYV?H79z*8oo08QwO0qRBH3vudAEPPFQrXk1yHR(n z^okAcNO~X~iM6gSwV!#MG_E1UosV}8DC*4`avpH_nykm4;{GGgRCI#tE2CO4nW8i` zbEKvfd8<|sTjQ6hcWV(R&mUuG`F?=6(7t0%e8Lr6#zDfJ-pQr4XBhfvTYB(s=l3^X z_A{RBf>HCsvRe4DDYq;h=ILeA0Inp3OuW-_nQ6?*0`dr$35pKqa=ossfP$Ifo z*;TUiS0~wqtob#J4^SM|P`fefUf(pHIen`bQ^tE7FomJMJyEpe`Ezmt+7ZdKm)3lx z)*lk5+qrLi^?Dy5`dP!vjl+1FpPOUv9ndJ~%@hfCr8Y4!)!Q|0@=s6$UY|BV{I7T7 z8Q-h7>na&jFTEb?GV|%xm7X;pD}C!%VqUC&?o2XGq8pvA=aIE?|F31k(kogbm286lnwe!h_=s7E*z{oI5TUYI%H_zYCZmELp&!2# z>6_GmDNBs+YVMUEE`zsOX?-%+facrwCGjN7@-LD&-j*ghg|a$v+mOYd(mZFHw;S%z zf$AHqeU2WDat`vpQE$X|PPmRX(<<;<&`*m<1yLCqWF`Ajj4>Av?1isyfR3HomDxT`TJI#nltbyG)N(*A6=G#SCYHpO}4 z^cp$s?BDaI0=mPBOR2FZdQ9T|TT!b{33}|G^Tq}9lJpeXvD^*o>+6)M-D8WoGz$)W zemqN)(;9}nJDtmC^OfywJxR5#u2{9}b0?=`hV5BPAumr*r4@gb-^G<5!Qu=WI0 zim;;(1&Etso?J4bW>Ie>(d}$N;O~-&l@`)=k>`F>?LvJ*Jn4+$kILI;zd7^jm-aVr zZ#T%Z;*xH3LY>V&Ycy@#ml5ewW}=0Yt@|YwT^LF--Rrs7dKN2$C}5eWZA4~u5# zrhjTC1$jYWB;#?8vNPbp|>s5##)%0At6a-@_c1cs==t3(z!V0WnZy7E%h zFG=YN8#SZ|0e@3jHav(YV~Uclw0KCIb&m+%Y4a!=vQBl#kBQOQd%Pud=7-I@Z`UJj zsU$La8;eSRU-a2y`SabD-iC-p^sQ0fYwPkrc~(wJ$2tT>=`*Pe846#1nU#9^lsJ7> zxGbHkaVwLSRciusNeDD{X*@TIiF9>CaXvNT*$D63=7hUsg-7cd zWw&S%(wai7jr5OO(aL?4|H!Ahc2o7M+|Qjtqr)(9ER3~Biuzb8WU;T-#Y zSYNan7cGJD_Mz$y^h^S^+_|34+c=(PRRT zfL*a%=g2SPv{&Tlm5H93&((|TM%k*&}I`WCfw^c0%#{;x;yCYqbb(5hx-O&{px&BJz*lV#GZ(z3crnGo?c$@x+0gO|Ksh4BV#`;arAoSo%FZgNR$Tz z9i4YKs+Z5E2R1r2*reLa7!+1aT-P60?meYa{^f|7X9inoh5o6^*rUtz*9_>5O(*dmWw#qF_%(vC zWB|Ul{Q2N!!P*5b&6&uFi;AIHS29}_F^DIIPyZullD}05I8O6FIG!)y<$mA&mWgY6 zfSVgJw=1xnu|TgV<=JA@r2QH%SE4&Z0WmhFEhpsg7Uzs(Pq$RI%|A`f<@)utTmCzm zyE1hY6{b;h<~)8ot`L8UrZG^5gLMHrNLNH?(`{>Q#&HOPy(;NoJ;7qeWGH+Ay8}`w zZi6ty^k}IhGtsQ4vHu*pM_cf29t;S}c-LC##@mh4rwJinr(&gA25C}=Osc%96kR8C z1%eK&L8RH!V#N#^(ZBA}+`Y~Tpj+|{Vo>Tw{;uhS2CDg+Afg@`#OKgjMyB7?<7#xz zzNK`=>_ykP(?uE1=PhN^0TcaX+i7P0v+!EJR#v(I;6#qgS`8F5a3k z+Cf>YHa_c}UDk%Ggx=THh)!N?a8`zp?-PU-KG`?T31P}}LCdl;>Cy+uMG9n@%pShN zpk}wK!BZlM*Po_e)jLanyN@*1>^3{POc#7=aCUIeQ_FkKB-^_@Q~P}7m?Z}_j3*1* z8}m(LixyX}GC+{}xz=sZhTx=YwQC}n(CuCk(!h_StJ?f1T7WRe4O#dWWhSo6lcd}8 zVBmAYtGc?Qiacx<6s^y^ss_ca$0mD?PdUTI* zJwuoVmPVP$S&MPgz2jGwHV!a7A=0}93{ggR8@#u5YfSpF8hMPT4*MSI-9bZ%_x~v- zYIPM3%_FDaL7$`LjT@X0`UEAk*|_OWhnBl#^oo|;YTVGj^qTlw?R}>tPNWvyTUDeJ zNiU1h>eIn4B@3=ZPK)dBB9Do@(&g%}xh(Z={FLZVU8$)__kzqj(iZd#`O@DNz^9@T zW7|!I%Oq%e(f9RAzCqF4IVRgyRjhkMH$5x#CN%TA*0b(2I*&bC>u;5H)9i6+HU((*WWY} zv|o1#l*-o!o?PO&$YjCtMo(_-8m_`h#Cq_6x5kJd@?Qvk$Pw)1d|7QvZ}_@TcvdY} zrb6YDE3t)ln7{%d9<&}%rdC6yI=xF^o;SSuWNL~MrgHRm^R=SA%{Xmt)|dkW3o_BX zp6>6qjEG%{bjk{f`)FnH>?z$pl}#NJZ)JLQ(_{+27Ck!PS!MQn-*#qxRS}o7Qq1cf zp6ly-*^ekxo^QJU>ZrujN1oH{hiP?)k%FBlK=jI9A?@yZZJ1%Y?LYqK2y(i{HeGqUKt@cYZPG3YHo^>-H2;1<~Z z8g#!V_76bcIH!EqZZgK-&7M^;@L4hQ?{^=>_R$wk4H|S>AHlf;gJsZYVuUjXN$!ab z82e?b{_oxpS%cFXyS~~9X3INhZRFcX9qjVSsXq0uwPaB1sF*~o6B0e%%MrCcLmDh{ zoZim!>&E`=)VRxI;)K^o8Amevt!%gK|Mql7`d%9jR0SXxzGZE9*Gdsg4QOvg!A%vT z3{DA2n(UBrV=)jYfTzR2#TbwuHO><3}N)*#&J%5X~wAcI$9wN=DAGp)rho62J ztSLw~tR^9zqHBfvl!=CB$QLq)coN;3FE5TPAcM+YwqG^|Bs}GV4oji11qk-o)7^ap z#@`>TNZSc1#*15euwu3!4D{Px>*43+Qst7Bpb z5Em@KGm}D`o?vH2)4aESu`#TBu~$z`ylQhgn}8LG{!A5!la?T#m@acuy&3NV;AYc> z$ASMjN5Q0gc!2%|(N8HNve^FZpF#7;-~9d5rKx`nnZG+X33r@2fop9%^MyAO4M z=11WC;McFMuowxSvpm=OAZ#V+03-D^F7^1+4@Dj%5j6W#rtc7=a&Fe=9Y|+C-Q#j` zuteg9e}0N*z!>j;Q8@z>FN)#v9WAKXGi5`S%ywcwxaJ3CpOW=#z}1om5vW7RkO(w$ z5t)}G%hz<@(CXVJDRVRG*QZ7XLkBh>g=d2J{GZrykJ8`C>CDMT%(Bnw>f-FO{EYt7 z5>tD&@9ULgi*N=Ge=4yaOa2 zk#b_2OD1WP;=R1W(#QYDq29UU$zZmtRWDL?`ax)HYJOtOl(XQFzINwuWL86Gc4-%F zi3;`LEb+%sNJGOX@t?*0e_Lq0BTAGYs%10}%~)DWm{35MbmQ`6txCaEyHnbph)sB; zDXn1h&6KX2*%Ngg#Ej;6DfNQVId?@&ki_mocFx%ceSya_ko>~E>0c*r&n_r`;TSjL znbF6rE*dikFkGl3J5>_A603ftpjW+^n5a-cE`xbc=cT_ZTWCj+G0h)s6LNS#6}Kx{ z(?-G~Go1P5m#Z^#bEhXjWDP@MA>SEy1@5Tcn5znA8NT6A@!s)vDjiZeWfwMWWzwd;%P${tMh!b-#6t;A8p`pt8a^v6(dqi+W13?syLEG( z*$?bnGyQo3zj{xD&GWP0oY;p~<+dW{0-y7v4^eb?o!D=@ipAsU%j!E#I(&F(9E^KH z)%V3vIB+Wun<&z?YOoa}0K?Vz`8Pd0?GeXOKY-#6_o;BViu)v!t*tFC z){?R^Dnfz`ezWoG3Y<$Pm-lwY*FtL@!#J)5$Ryi&gU;4=cDq0|00U!91s~`lW}P~6 zgbR0K^R=^=F!g}nboJbUP((IBlYC)vq`!A6ZJCW=Mj%pI&3);;rLq0ggJE?Yhaax@ zWglgn{@@WeF=Rb>(76q9Ku?cDH_F=CoX}{%l;i8Oekw!F@)ra-yv0n1AV);(;bX7l zBB)b$X}cCmW%jl!sYZQPs;0GXzM$a=uzirLFk|@TqlS_g0h$G~^q8@9I$fnb884jeTF^OrI1J%f!Ju5b-$FKJH!ts;I3dgupCcVbB{q!S zSwLe5AJ+*Sdq9p(alULbDKvZ<-hs)d8AJ4sV6gttv$#UW99G9n|;nc`0FxhGSWx>EqP|D zWr5{(-2{fFI~SKhUa*8x_7T@^4zAfgKTGAet`tMlrK6I@Xqsfg_gbcxmr^=+e=o0M zZ=c2cS2;qxJl7d+?h?5%weHt@=dy^<)9-pqZ`aH-T&8W(Rh|Bm3Mf#REiu;Rw+j6f zrXir?(yujfGefVyrCU2U-lcXAuFv+;xoQ|p0JqRLj|q*OmwKmv39s39)xUa9U(dnu z_mjUt=;??F#nXV)5l&xE#TM0ZzwzGqZ##(>RaJ*4IO(ao9m_Nc5$C-8il-|2E&09D z!q^W9vj*#zWWW=L^^Ko!(CFN35;1j<`|($OuSYMw28zFvc%Ay)(d{a;B8{4&9?8W4 z>Xe^}DLV(o0i?{0B9De;-0{HSk;kS5iu~3VoQx67IIV^%AJlK;um&h^Ywz;+&0O~R zzOh)TDxs@W$z{cH*); zDA85EWXG;|)V!MJN#AWen?*MSmqp9h{h=O!wDl6ZXAUW4@P9cWC+Jzt=`q7sp6C0XUFx(?^Dl zU#`_1S-Bq^JRX6e(ThUW`P@T_TrtloD{sT{&{+66>qlrN1GC`xtw{^7OhcNpI~HQi zpROW%T+lUN`P~1A*64lm3XYXd?TjzjUy_R)r{E%gS65b%9!^=h?+FiIoceLiT7A(S zcPW|okrN8oXCtIheJHY1Hcqc{AMShlvh;#F%{p2kgo`(Msc(a<+D1{y6xBjd<6qJx z$2v4zOsW1sfX;t9xb^(ynLJE0Lx5CJZrPXFNVO0i0g)ZpfHZ6(s-CYM)GFV z&w9Qc_>H@zf$Y_1B@yZp!)NFj5mamDk2140|M%yYAc`NM-@WyOM7`tsvn>*yu-fu& zVEPXdJwx3p@OHqpm=}v$X@bgwUwsv+5W;Oavb5eq72+Jen4!tJ@qN)7mYT4kj*dun zw($vvB3=ri_tM0PrYw&H$-zgGC=EnnJ~M_}zRt|=KBPNPQ9zcMlW4L;$uZw61C4vZ zg5AjDdUIzlkFkXT$6tXnS&ECq?ZnBV1`7i4XRhednv!0o(!typ1I}`AXmmw@!H4t8 zZ)3bY5uoY0*SVDAY`aHBTcUc}5$qviW0a53&gS9Q1-2dbvhV zM!K?3TrWy*8=U_2?B&aF7kN@?o85n<(YZX=SWGZQclX&?D?6p{LfWx3(dm+!4-J_0 z6x*5?P0lTJ%z8o;h>FXv>v5+bcrSNrgTJ$Bq?zBh;&g=n30 z#!_(7s-HsO0tsvwo134^?XCyRWnzpV=_ROE_;yy?1n->A>KKjtGTS=)rM#rJR$L2V zgRzjvgX;NIe2tf#Cj(yVPbk`DM>u5>FQ`(m4UD|+`jpOl1V zvCb7Jyr}0`e^?d8b-(C%U%jz+gxL*hvKdnej$R3ipP}<@S_RTQUD_IVFEYbVfz6ZC zsKU)Svb2^*q09Jh`4_DNY%CA|r4B;T1Ue06>O%va4?R=S?Xw>T^KIMOB z^nYFexB(F)2JQ)-ke-q6UNf_^4Nw{Tuk4n|G-DGP&|QFD>;mNHi-DxD|I`5w2Jv*IWk#8Fyg&P znD+JX_zSMM#u}pZ1H>u2h&nke&$(-wy5~;>ciFA)KO&Job=vp4 ztlydWd-d|1);$Hx)?y3Hg1TILv@IQY73gYwk8WjU8fO18qbU@<9O-|6H!gQ*o~{}g zWCW4va0fMRZYm8pmq*_dV~;}eyA~`|*iiAOgwGWkEq=0&%Z5DW-|3ghjR=2!MFDse z;ZkY&{rec`6L@p5xN)*Wp~^m2kD!l0*kNF5gKH7wRmGq)@ES*WkijG2?ez?=uMKE# zTX=uXpB09oF4~zFrRUT?bDp;bA((Iu_>l7-lSB8IA~iJwG54ndC9JbEBW$^tYu~87 zhdP9{%T=V{v)q(qk)i_8$+9x}o$B)0>ImS*`MRB2`x;oBv_seY5n;xU{RQh=YofkN zBxGB6#Tb%(`MIZYy=}v(z1Q%`A*?~y=U$;ZS{<0H9oGo=pTQ%VFgO-<_GU=Nnva?8 z)YniQGUprIw3x}w68OWZ{Bd7i!AY5yMjJ7waVJS`aQ1`F>lgpE%aohev2#Rq$9s9G zlJW7fQiv&6CDz&fY-&cX7q&8r+7UE$O}Zobq()@y_NHCeK*8 zl<+k1&894pgr^dVuFDw%s|5KrODa@Z!V4Z=0FOnE!$&oYj zWF*T_lgJk7;L7t}lqeWzdac);x;D;f_DA279%iJifzy9Ea0WUN=AAu#`qToXCn)S< z#Na94-ZCwov02=p-T5*!6!G>Oe42s8>5R!qr`NgWRhzy?j2>Ff_3Bg_QGfgpH$_ab zO?9vq61;trHBnNe$7d$xBb%kc8$a!N*@3;-wrt`mLna{<@(Ty^R7+gm`31LWcFV?U z_oj;Yw)W35P?Do`#OD3f->(kE99r)A18h(xx}D2QDk^S~I?F1NM!^RQdB>Ti*Q}A0 zc+W875TX-xJrmttabpBQ6v#W{LL*46C?p0dJ?KC!c%w;FSVxG>|K`non6K5czOD$& zaP9z`i2M>X{0N+P0|VTZgW~AqSX}Pa&+Wf5iU5QYsDA(#P(O~WY~TuV!Mrl#!m)P2 z8TN=KOOgVMm5rC;jRBX7#DFa#Xq-=7zJ{Dz7nA8EwR z<#_1Mruieic<;ki+tNtlr2rlhTEzyF;c7ukTU?yqjCF#|&XVd*sXZ%U*P&%G@e(!7 zyGA(rFIjPfyLXh;GSZzCz%Y#>&Jn@o>!Mc}FZwRpF*-#=`XQ?Lkj7=8xRX4jjJaU&7kP)Q_cU z9lF;TvrjJXjcGBF$~=D}U3$%SC0$SD51$;FNJmLdkRTJVE(jT-s@8Z`z1zX-%czEemE(Rfi=eb5Ox>bl{jhc zjjOQjwgkwA=!%In-rw&1vjCoIgTN^7(-zCas^?W=917d54A);On7R`4k|3fT<$88f zEomiY#bjwMf4*)m`uqSol7=w=3YH}NjX8qVSS_d~aYm&d_hLi_aY|scbl0fY^F%Z$ ze!F(N34pqr(AB0d{wUvYVO#HlOn#Pt-`UlhJltmwe@P=P;@-w9ii@`0(dl*;216Q6 zZ-Ywe53aaoNKjZeGe*@9I(r4@OFKm+X&Q5~vHXvbWA3zr*$ zLN|XV)iocVuMWY#0yUv~n;Fbwk5(O~l?%Usr3)whDmtx5dUiEZcUF5PpKsIr&MC{=xEC;N&Ju1lVO^wmD`Qy)Z(woFNEl} z=aN&|iCx9rgC{Md+O1YXhMI&^&NX67oe~x#w*ol@S_--uT=*>2@Q2yU9Lli%x$j`Q z6$dqa)14yumaZ2+Za(P?w&W1J)!GqhwElg-RPnT;f#(e(kx0f($v?9jGqQv1t4zgF zGzD2Mr6SE^IuFg?NN>6ETfRq^u6=5Pkz@WuZ*63IRX&;PPG7qF5hc6wFAs0M)x6V|ALYHg zCzYHs`uIJ_{s7e7)%Xcfm&HDqJTe5@vLV+&CkHGh3o+MxDWamHkR==jxs>F@L6K7K1YrCDtY2)IoDM=21{Zq+}byn=Y48; zZ?_2QWvRsMQCTHG`U$n<0Nrj7h-rxUWempG)`@koSB}wvmw=27#sO{}z#EgoV`N7s zo?g#sj^$OpjX!ZfCueKp9%9`|w}n-Yy*$b3Zq6Lx^|NaV%hxu`X?0ka<@!xbYp)D#-`kdw3PHt; zlk(grOZ!9H#^T*9cPS*jB^3%4C?14YpW0lwgDe&}$KIr0_1|^)Q~JNWG?X?oT%BPJ zkwf!Ip`XEMXLaV96k4JE!e~0^kZ<-mrnWZN@+nRgnQdK3#u83!brxLF5fL1iNVee&mkg>+5f7fy zH1*OcU}X^*sUM$|Y5W58$flJt@GPxEIcz(A=uC&atOh7>bfLR{ZAS`gZ-x653%Xle zabIs@P??DTLI~f3{ww5xY7kI6V=wel~s-m_&yk+}(U0Guy0B7G*%m$(}6m90!je6!8fB5Sr;eaWgQ zs;Spdq*Ydv>ig0SKs?f^Ou7%d=2tFf04hRV!s1U?^f>*WGlCTwaZA=k9YxUtUjhDd z@*@SsIYW83{Xlz-jtXjIGoe65UGr4OvrabW>Uw{-y;=+}fq(fZuc~U&_C==y$^XAC z-e>IN>C9f*y@!i?yCgDX^iTLreM&wj9r+=W;rN(u+}t6l16BnFd-u2}CD!Q!@hrCN zEh#A>gT$$Y_EX+`*6s_J{UQID}T>5&gga^|cV-1-*|f)YaK z7$%{G;x)r`s#m{uOXQic80i2rGi!l05{3iPGJbQon4cx`^{IWe5$eyw>!j}3o*P?Q zgM^Xyx~VMhui;;*S?1;IDI;rBR=vv`t0r5=|2PA!pQ~ln+a*QPudvKr?M=59Il%Rh zB08#PlPB!+@-j;*@9dPjVwkq>I-Q@;%E_PIYv!Imj+#~kLJ38c-#Gn?)Sz?Q69sRL zIUsf;ikZW{ze}@Po)p&}vKR3@0apZoE@{!?avMWL&TfLPup^{M{Mv%YPFMT{)>p}D zLs!bJf{%`}rd6H{xcUH};5!Y+5A5h*^i|24DTS2;BxNxE%zF#DW;k*QYAl_k`x|Tp z{Ljz&ui$`R9T!!N#G3?yfqeO(+a4fqH)Z-WXU`?fUMc|%1vC;9!$9)!0_#nfUWn(= zpUg9v4w?*}+_KkCjV)jfj=qXdNvhR`agc!D&1ACXiDA?e@0CqLr)1=eqdo*kz<5HoH?om{EtYaMDgCWq~6Dyrbkmp z>+3wMijVJTTDlYhc?{J0ktAd^o@M>_>B56+^4uhO005kchE%TMF2rL)CB2H#m=W%Z zMrkgxB>kncczvL@o-I7nuXdMDmHurJF&64vk zSdx4=2d^I6*O93lb<}r+u4nN3f0FFi`mdV9j|)a1^wQbS7ymT*H6jM>GGirrJE4zs zS_=He9g!e&K|b1S4g`w5jAGDl^xS^>{5iqTBOrheO|)7M4H_a@MO_f6fa6c;=W8J; z@>vYJ`Sj&W6Mh)D>px40&wo|mtIu>{q{b#`@Cfeg`G6fLn_(AZptcHUh$Y~(-E837 zBqIr2U}{K(A!&c0ZxW;2kHrK(xMrDcn49Eo&^g_rad7Kp>_ubB9Xw0XFyGY92@02U zGdL|!+>?5_+&Yyb3=ct#4ue0g4w$(a%n1LoTq|Ar=|*z&12!P}boJmcK~fUVfZU0S z-|aOMmC5<8U2@E`yVN#SnC;iOehf)^T2@>46#rk}r~O&cPxM!e`}^AW2Hs3yVQ|Yo z@!_7??HUBGyEeNgSN1j#C-q)@_j8h$tYUYsMEOsWO&Gnvy3fITmr!4CrEt!|?BYe{ zPrhX)_wvLL*v79WU#6l;eO*a~Ys3*i90msQBqxpfu&$dLV+R9m%fEcv2nxwl&g!J| z?E*(~?f^dsB=pb?%?tf;{O9&g4R>qvX+bC9X(=^Sre)LhTjpsjcgvy@E~-u zow?4z7y+^@@4xoE=f+}hGWD0dl|b|0(W4JA6dS8)M6J*$q<4y~L>>gnAD0iQRjf&3 zd;^Zp_;#CT=&6H46v4r8Z=$Ke0{kDMDA5&=7+TwHKisnSQZq1b*Og-=uQ%ohGlxAf zdIG0E3S?YTSm_}+!XI5IScnK%jo^qmx!PCkA10dR%K~>{y|?~^e`k$xn@DP7eOZ%L zjCc;Ybttu;ti(r#HE;-SxI9yIisJv)ed(vdXH~*MTK`DpCo%orKL^}8N3fYRt`7V4 z(z=v?xmV)xISH5--3C@SZd|PR9eoq>pgnEZ(3GU(2c9nSBA*vrQEi(_u{0mDWZ!F( z{J0J8C1PF;gX87@8ybTBOg8zjg1jtikf30S%T&72Y( z^cc>kdCLw?97t760+^f`Wg|t4zm4Q1b1oOUce>s5BgqCGBlk7XlEZuIVG@D+9r;H= z-KQ_4EvN#Gp2#x4_@Nd-7Gh3Ee`l=<|2;{A?99xKl-ZlHg3w5kV1$vj;He=^pxFlR z1{1Sa@yd1`q+v44uDWdUFsp$(E{-P+($VVHc4WMrdGSBC4bzlnY2iJN0z4V#7JS_s zg6n#DJfzR#$!?^5nGOFki!wkHMlrM{g@$avcEMGcM^zf=nv)~Y!S(%}mGBm?LdW{b zxNhmvsrX)WL8V&|SpB1Q0zmJhFMyWvXU5F3Kg1M2nl z#xA&2MD;V9`F0lh#@w(H@JxHOJMOgBq~{ zDr@cfa0I>G`mwSR?C7SLfrdB&zmf-gX#W}`nn*ojDImEm?}=IeX1Qr}9$C2DT{2 zy~WncdaM0ePuhdLkkh+_-xL58FqCA!QIbCYvAFNRU+-CMC9kCh=hAs0kcuVIAwaX^ z*_=!z+(Il4ifmEU^~PTw;+j$gXS!G(uu+a5f(Wo0MyRx;t}O3~46kR94nYv0fKb8= zRus}O*aY!YLnu(GH1RcxPx60DHp!Yi0ovQ(*hj5pn9SNgfo))<$N50vuQy=Ri(v0Ai>> zet=ycKDs@6bb-`XO`@Vn?PH|ViWCtrUg>Z)-GNz+z?#apUba5e+v3^E4%rL*WdjH~ zRwx0!qpVqhofC;3-`o`eE<#Wqq2rMA^69L1!DW^lxRzRz>NYQf#X+t8Mln4j<1g=5 z`C2dnbXOc?kHXe^-jUdz_tZ@Ah%sePtxne7^W@P5-e+%xj{8h}o?h8tHBniw)YHEP zWAl!aG^;!83c0Mk8S@Ug(qnvt6=5NnjQZ(AMd79nU)fqFXpZzU+A7|#l=^QCh55ua zgmXYDSNLdKN()L7ObH3LqRk`J02@#v`wsUW?fbxSBVMi_I0Y8Cm>(qS;!;FIxlfHs zH&3^c0<|Je5ga>^A4p16e}4+c4UMlJD7d9k?rH)zTf;X>-lENWgAy0^38|FOKF1aW zDF}BnQitRSZX#iil(Yfn8G8ab3k~r?+IbvJH}fgZP_wLau_|O!r7Uk{vY!J@SK%^3 zjv+Ndn;J#T__Vn7&o4oS61?BG(Qxq@{LpBu#SdBqv;WW3_)-&OwMi?<>UY8^e-z!DYhGAO`DplHif~@^r|rssOs{`U_pX8BTMt=X z4Q?CThzN6V^cDv$8d9zA-_{fDO}*KHF{IK*+)vvpWL*|%88?~^Z5wfcQa-jk)w>Et zN~IxJj-F)B1|Uez%1Y|Kj9;BKhHX6?UJHC`)WldB|F7{Jcgo$)UnRX0Ck2_OZk4W{ zPL@d=e)3N-%+e%Q2<4^(z3*}^Ukl0NsKd($)Xjw-stpN=R6c%37#H(D#pYV@?eH`G zX^r`1X8HK|b@%HG!26hj!f+$_qnhTDbIIxWORQ~&L{<)7wa(+3Nw8Ea0kDI$g4x4n!W>>Pr(pD(5BblVdySBa#O}DL8 z#h*iE^-^>iXa%h-N!%EQ<(KWk>#T^L-%%>HM8!o-2a!Fm6^ z7(f>r)1{Uf!Z=BLZ(@gafBgzD-q&8)E>oq#+y}%;f8N!Ab&txZVv44zxmW(RB;(i3 zn08^-YYgY9TeodxxY~W4w`R^xUtB0#-aByo)8#(@SS{t+SSq#M_1&uWwR08{n4Ofm z-J)j;NrKdNX5AJ`f>{It4lek*fJB+UjQb_sjlKJMe5^dQ|pLz5P8M#jAyO1p~} zU&Q^N7vQBTYDG+iTU}_{;|j_DAe-;hnM=U{4hPsY;IV0Kr3OI(1j$AVP8efCwrPRd zRDluhbWSYxoHOB9wy#bId0lpb3Y);9-qj2=+L1srdsN9<#UMr_s24`*`*th#J)R>_JHh-vC2f2xHinwli4zhY zS)IGkfW|{hAlXJBQ_jtaV;15p zTl9By74S@!Hwae`H0SeqmmFSUC&lTDiB}Cfo1HrZ0@8Hh+1WpQ+3q&2>bxY8E$Rq1 z3##pkn=9g^06hHz?9%c=F@e*O>Lr;MJLeSYV063vT)b*1l&NRghTMO`eYnvn`}p@t ziI&C)|N9jkzdWQ#Rtnh~;<;)z4*iR$n+%LE2R91)aBVdz>xl{sjO8CM$?LPfo20k7 zs;$5HApdseT{7Ku5x@py-|Kgoai<_?b6mMoWkU>HFaW^JAZQjy9!$-9R@wlDQjRqi zIin!PF$GEk%brB>iYF~%GTJaeFYcFMw$Ph3ykI#ZNO_t?WK}KKYJS~ki(4B66f5dQ zAd1M{N1!9n2MtpIX@xd|x&;s>k*U1}`a*aeFgo1tz6Ov893Bq4>z;ts6Yf=Jf4&(< zwyE5@@jCC|)Wa;xk!0e<`A47QoQ4eXDawld7y^F%6A%Wo#%AlaO=^Ju7H#|Zf1obb z8nhiZnr<+@ATmL6&AI}dC@|vxzeUAMaeNpo0h~693n2{zKt+T&%arvZV+6dL>LP2EJKSCF|`; z<_?m+I!^9bad%G2k*1`GQeRYaQ8)w;m)lCzuGq_@mu)JZv`_`E^KsuHJn-nh zsxXDGI;-!xr#94iWDm$YQvaQvT`1sPuU;;iq6=>i6lW6IHvDtE;>(p|V2M$`PEoq? zzC!6Fk{G`PxeB#3i7H_hKpD9?yGM1Ci6m^{_6IRcvU1V7Lt%RJW_Lona7UKvo~prE zSVpWI92_(rW)M?WPI@J9FaffIg-dz(gznHxt9?yy)ZU|`s@r3#r1mr@s3>?qIvIY;MDGODOb>5tnxKVDgvE19tLO5iV@TuWohs;q5_oj4|! zn6EvZ^4Uw3&)#Lp>Lw4~M7&pvIzyO9bjrpzkjJ+X9q`sb_o?9_LAFYSDdA(0kQ3G* zJK@yCJt{KCbZp)!W7f5%=Cm;L%qPU@7Ocr|U^v{uqd=1Tq5a{pV4Rsy*e@F?g$8Lp zdTLUBl_dv>)7<2HH9M(1 zU+fmuORuln`cl^bj3b@3MxW3=uIZXgG`+yN>^D4=Co<(7xN=E|G>66&GYfowJ-GxG zu!)o!hNmvb5=rWNsPLTSLS!?{=V4$B4w|~z@O67sXN6lnfb-l7;nSqBi8cS)aCZfE zt``rEOtD-sr;pe*_8IRSYC~R%S}3*M*XiJ<<|k6PY4lGI3m-|M1P57wxpBKGcq+ zkO&lTxLj!qdLy;@{Mc~zBeDwnI8OOD2Y%ggF4v;R;j!e&2jrhooUN!}l;Vh%dc;dl z4QcjI%2R39mToW|?-FRdXlZGB3d|q@W;pq2!9>CyV-(@3Lnjh(vGAH79rC5D2A{dq zXK}KXCbn#-kENEF6!x@RWCs;btho&SG+C%#yU}}>p=f$)E{1VNR`3MhzAWkgMJTwn z>xnoh49LCu=iIvsxqtuX{$BZZ=Ogxx9$)wE5w}j8a2`)~+W-D)j8!^5Td)4}@rqGq z$d_66?zf=DNOpggyBEaRtJ>sT2PTkt7K!}^6%Xspq%a)+L~{Vd<+C$W+;aWXzd)m zfZo^DXe3O!1-L2LCN30^cX+PoJ*fB$}uAUc2g$lV%to;=!wW9MUUS(~hyJbw9?!v9g z@&QohPh6MqJ@}==G_v{CXti&nEAfi6b0e4zN3p3;l;op^d^g6KuUxx^>cz_5o(5Y6 znxqu?di zq<;}K_=ueTmbc#v3udCqe3Gr_WV4y*x5|WUG72FYsdvwgr~N)#*`l-Yz*ptykej~X zR>sD$uDLWA`DYe=8GlW|hl6&x%zTpEgtO~7uGlza!87{T)fMvGTB#{zd3w>D%ec>#uwqghE>;1=oTz_N zTibQAO8~=BEU#Z@foKdoDDS%}fevyT8|1`mk{s7r8|B35uy&iq3Dun&BqM{#)7RpV zs$f|{fdv}qst=W-F|Q^wKlVk|CXzpOhU2s)NjY6rY_L%I!YyVZY!1H#pgz^s=3 z(x-Vn?UVDzsV>QQq%<%c&PK!HrL7Br*Z9yMO%GX~e|ItGRQS68&r;)t zu3MESr!HQ!l9;;wDvx1!vO9P3$B#y=bdX!=bbs~1NBKXqFGS+aqJjc#@+{0QWxcfV znF#acx37uoMIkIlZa4k<@5`QwZFx?tAkZxVznMb{*hYi6|=i_^BN&knAXs10pDPF@nyp z_VF?Coh5mL5c*7&wY^+GI*}iZCcgT%vZ1BjG{17@-+M@*qwx$Qk*F&I3kLFv zH2-^H@W{f^aW_n2Fx25$Lg(!f@c@!zK0iA*7d|u}fj?6IE7lJ~9l%H8oQAkc;skM< zF2u+AQsNZH<%vPa{()c?H`}u|X)}F(98_8vaMRdTaFW6AXkn?Jo^P4Nr1%u6kx9pKSD>1Z1dP*KFPg@cWRGehy>Di(PB4Fy|xu&KO36R`q-NnYUN#`0m z%CpADZc*>$5MKIP^7Ct7-~Jy}rcEaFr~a|> ze!uL~`{Gx}n_%h}tBTZpl^Shh-JQl0rI(W}!^?D4-C%xu90Q}FPY7*2*^#XJ+cFJW z?|+WgJ_wRqSDD*8uy=lZSBf{q?C$>5Ac_}JPRvhxYa z5?m3Oe!>0Bry{L@S7-^r^~J9t!*%a`rjpU2GBn2HnQ(@+j$!tAf|E%x5up&4rTr4P zjL@Z#tSf>)CNa~b-U9{%1vTb_iwFq`xn7Hs2c;0~$)Jy$Fl7b103o`!Kp>N(l2yzf z5^$wjQRR_#Z{4+?>Cw~V@HDmbw%$&|5Ga}Gm>6(%=QlUAPei!P4Jbe?8in1KgPU6u z^79=K*~+*C@Wbm#c8+uvKdwb@ZZk78SV+ljk7wxN6%v@#FZTR;brK2trqT=tn7Dyu zC#R<=x_Y$vS2ZkBJIRVUFJ9dgs}*f~`or$lbaD%wqX?6x6`!h>J!ck&TNguB+Peo8 z`#klzm@gVEys+QB15C z>zQM*L+{L4-G>IaOHf1twI#fk=6_`L#Q5KTo4$V+0YJq10t^Cs0b8i>L0F?kH{*Df z54kVlsuc2+sl0T6jnabu6XC&ZW>;kCW4tTj?90#E2`>>?4w#9zg9y&;ZA=pf7ED+n zS;@bA_yWF>WLHADV+H!}``uEOt5PX>2@kbG-BsmMsB}9n9vSOQ&MU1;oW4`@xSB}6 zDs$vc))R^oXMfpU;wK93IK13->B$prE^f(p5>3u>Mq41e()9|WMWB00&1zim3>tJz z(KSq_kxKbUFFEWv9J5hm+eT)0(g| z!r-M9QaiXi>69=CPbl1!Ou!3pZ8X9Vh(77ACr)Kts2dr1l@N>*0u^BK(v*88gD}1& zxZNfghx@eGZ*L`1o4Y)BE9MFN`1m}7E(n7szHqhxX`obu&}5C67*cbgR7x|cX$4dj zz741!>CsctJ6N;$)}uOdEB0UaeZ4klcXlXyeqRHd*?GHxoG8lW^1;EuS2Vcg0=*2DAXO|2G_#OEI*EshCeh^VQSDro#W$es_g}eR*6`-o82w9r z359Z#Li92NSZKtR_g}$AYW!{SciOsKwULr@D|2*%%+{q4q7rrOt~#W8Up1?4_3?>R zca@uQmJ(8zo5_^Ucq$>#LoSf2k^iJ!k#MzjVn5%$E2hL|39L>I~?ma?Ef~hn@T7niAu^WWM`I9lu9;b zr4S{1q+wQ)QbvfBnNeg`NM)0dofR1oLi|2g&-=c=TPZ1m6d8| zM5;aBaXe4Ej~+p(w`oLzVg@+=!mBeXUJrVv<$rn3A8ec)`FwCfG&2rf<@ThKS|bG( zi4P1o?Rq=vhNcNy2K=k=%^RqcR2ZlBp@r zV;w=fxoT=^S=M#jxB-Zh1Meb!iKQ*JzfmZW!HH{`L;+g1ef_G4ZW{UXP(p|#NetJq zt1#Cz9++zX<@(M3>I$QQ4O`&3lMSt|uCAK83W0xMqBrrJQXOl{p~nzSLaDaXP_U%U zGh;84qnx9F-0$^;#;q>|1<0Hn_lkuZycJ^!3>0; zmDMmmSgb7n^&g%#LOkP^4^L<;jYWIq2J@OjR(Cc%^I>D4ZGYaQ7<{(;ciM}+EW=?p(|@!2~^k+1U9 zed#zepMhrIt+*?Hmiw=yZ%4_*__$hu5nC~{@rYH+sTb#2H|~F^g)e$D6?T3jyiMrd z5Rz4HAsV5oxp^bXB_!`zOrHn8A~pvymI3+j34MG->OhkV5zLG)&$Lhceocaz~PCBs;**>4$p`$QoX->iieXfPnYQT z78&hjwq*IbO8rk)VfxKc|7I5*2hm40V%J?99oG>TR`D#SJlf2|dwN`C(7j@$M3fON zZ%X)g3Cc@Bw{JjsFyv|nRAJ~fptNSTov*B~Kl&)2i2?+FzZ_4chO8i0+@#OXM-n&R zf01;sTK&VL-1RdfnQclyKDwp&+iw~cm+ZK&W(02Xhm!=KPyLB*6|0dF|d_-6nVH%WgH8F zg1fp*nn%;GJ_pu(*J{@82d~Clye*S442W3QvU4csqps8bE_-f;)WkwbDqq@rE|W-^ zMi>85=ULI`I?GLpnUYfS z#dJ11N*+tsCWXa)nroTgqi!a?rX=t5qrkC8&JJ^v8;2!MjQ*h4@FNrBHWnE(U2+ciFZ;bCd| zi(KgT0R2OJ$M*5^-YAH{l)*Xdj-;k=u*!&v`gtwRKFQ2n3*iC*`ve39!7W*B+>$Az z#5$gyHhJmdce&KoQBYydUP6&UpM6^IUF@=?IE*U3styeaVX#q-p2JCAHTm>G7w&JS zKxzoNb}qA?A8X;3TgE7y8w zy}qlmP+s7O;poFTLxcj~z7dlcwlc`XlnC3VwVugwXnRvd`?xhw2|~Mf0a#ms00^!+Pml+_Hn(ydfO4gz&;#`XXwL@>1sOc3BiRK7yQ*=# zB4d|hW4TkAB96T;Zie#*tUShgp5@6KqVn>4Sp;W~UMjLad2;jY&yE{pDa5ZUrPBvX z1Be6>{~e|a2g>svRQ4KkE(CvnL5gSDhw)9O#F)CW>if3mU@Jw5*8$6fwHAZ-XG z9{=0k&WUXN)r&gl}MUx3B zHQJKlpFacZhFG)wBB&`h^F#L6tZUX%S#aSxFl?`}&QSco@Bxl}^$1>+gdvD3lHfTa z{~bQMy)3>ieN>7YxQ#iIXqqLImP3;xX>O|odpWx7jMk~Ub}zHvqv=jpYG1ikaGdtx zt3%fM*<3cqCSY%XI8*Re6x-pBwzl=|JTKCdR>P&C$)5PWUFQuVTt~ z%GebU2nZEIa8!PCH#c_JY92g(e691s#nB1$y<}Yhnu(BY!D@-b8U<>~(Z8IB)i~&_ z>+GQ%PVE+t^pACmoo)10WkH9lmtx~;DEBr|^eT2S&?|;*wh1lRrWn7{T09l4T_*X~ z$(44K#G6BzCvb&PA2#YfUNevlMaW2?wW>dbzLg_DHbYxmu&7D=>B_{IW~<**b2Jgw zO_UvR`Y}dXg=xQaB_623B6i{mg?jSNT`k2s@<^^)@?S{Njbl?Ju7F3oFpr~02TlzWhT@SJE(%!2r!qjcp7MC%UjR(UB#2iP zVV&5!Yxr`08QLkzIgF-pr0KvSu6}HBh3Jbk>JX4j`K|Q?>v;5i%ja|AboBI72$JHa zU(5X-dq2Pn&Q5giD;|wbr&aW%nOmwIO*~WiAos^2OT6%(=37F4AJ7Fcj>EAR5)wA? zebfJH0Yb_~Tn=wh#tFvJr+wVRG7ot7m^gY{A~nD4k3tIp>e&w?NCeC#YV|zOnRJ*Mi z-g8`j^{^p;+^U6dKZc$@sF;uweWA8{YQn`wxI5s)n$Dr50B-F=%~fyb#wCnZc{x8P zIk~uOgq*{n_NJy2B8@SgKkZvcv><}H$I&Bh+K`AwrTr~KK(tjEux{0K2A<3M9ub=r2u#pVti?1zpShWm>UnAPX#YS_4?s+SIzi4(1x9K+ zH~M${yg!;G#2igkUe~zESv$Mc>xIm--fl;u#}}9zTt3yRycK!J(jmFPFy2b5s5z3n zwr5(cRO$8|v#p^XG^``&`h8w_v38%Kv6mT1o|-U zf~i8$-js`rOI4Qp22@DUo8oH`m5`u?-+^&uax!bo3$jLRpI1i9I5tN7Ma`9KFxAYz zQ&>7ggnDJIrOU3sw75){`Zi}{)&L$4^&5UbI{?N*00`r|&{js@;Saa_01rBWU7DGj z12x6;C{$kSr02u=QQCXnh<=VAxPrW&Ye46rDO5H5QdnsCeBtlkzpt^NDEHm^Ym9UO z6ho~rbWRBDk-luQmis_`0upIkM$-#^ZGD9e&Z1IMH{nuPN55vPFA^1$3UTYr{QmvK zFg-}OyRFT(X*2H@-{mlGb@7j{xh2mUn;!74v+zGBiG>m7;_Tdf+}9WEQ@ zJ!o6JRG8D(x@&L3uBnB*nBrrFb!!#R=;p3@>D!rfRM0N%uDH#k$B$Kz-!W9%Iw`f& zpt2w{>5r>kUb=z(BlgEIRQTrK5jmH0diOe&Jk2APJ%i2#`~}|&4sKlo%{TnEsUNUH z0b)}Y78Z(4YH>zJMnY+6X=o6-EGG~NTcsQ+2rh&7P0DSO7k3GKh@S_I1Cd9&_ebW& z`fX(itXcwZ(G=c&Y#&OY+q59>>Rr>L%_B4+T=o05zvh!dwM0qN`+HWI*v}45$J)4F z=CZMMf1NxLaaVKF*AHShUz}0jYcm=dw{&i6oGfWeX_J=Me8FvB$V=l+H-#2>kxW@g7 zX|C}z?#?hxd1z8xT#Ooxh7h#|Gd46=34-q$E^i!WzVVLlruyHF`P?o{2`}Gj3vhYK zx3acoqZ>o$u+vq@SO4(?DXZDz!|R8Xx0RwvfwX_unk@CWkOOi`YT|5%pWe-ta^w;* zA99r#{&~IA;T-?)_0CIbf>rMi38jz5E1&!*sobGC)DqNAX*Wq^-9UFRsbs{Cao3rc zDviXPvzvO*NH==aSOtegJX?h02qh53UAXouWT( z|5UF)E#bF9XTNrfGwvd|o2`Cd zr#d>6XE}L#cO+QhZA$ktR76e-?tJTUDJ5ITkl8o#Y{}Zx@uHJS^Vu=V;W_<(Ey@O` z|FnPEL}NpjStMVms+`FzFex9GDO`_>zftIU#l&m2yh^HvRGhwM3AJTvg53)b++t>O z%6jxN>C>~#2*A8$?#Rn~E(4G2^3Lf|-F~mq^khY_Tg8Aa?#j=U8Sk>#U(N;J?yESj zG%VltK}JV7z_STxtMvY+==Og-4DCT0prmW%U@28j%+b&zfb1mx`O1Puo3 z0PQ>?v2f+0|A#PLb$BlbMV1-Z4V4qewp+igoZart6J7<-m|rs%u8Nr%mo2__Vh<-f zAmniC4?Tdn(DkFVr^aO%b~9xx{;R7B9jUlQF`X8&!3SzsmqGY*UYzck~!Iehv{kKkjQ##=Wm+o*6Wi>OHHq> ze8`9|dx82DLoujEN)I4S8_xO(Y^hzJclKrNe$meB6+_qJ*H&!4s{J}cqop;GdiDQr z|AfC$k2O|NeG?E!4tP?_U8-YPsH5h>mlC1ad8ne4>TITw4(foaz8_sz~0g%BLMqfnLgl=;zseBvAKhmJFcL*>_{ ztD$b*=&LbB!Gryv$!_MCf-OV#s|qTIgJM zSdK@F%Gqcj0ojlIsoBP7Xz`#0FSbHvY)L>8J9ZjBdFI88{=XyNHG4D_s-&TB- zS8B%rLsY89+jnxe4|gwp11?##@Z*KZLoL)|vorfVp}MO3?Iw%S5s)dGb^@}9zDCkP zg3(@#A?h0pB2pzYYEZZS(N?6QJ*pw}4ieQJ-e67v76)%D`k`Nc;mrk3=h#z5h4!Hj z30qR`GtZ2wh>D4*=ONl6dC>h;;h&yqap%N#>V&SPPE2bDvIXN4eh9ROa%YnH3S(WU zhr(Y5T(|j)Dl17!2HG8KkrSm}Te^z3>5N2xR>0SXTFI-o@@1uYMYMfuOh!hDL*Xjg zftqvTr0rysIdec_I!u)*UFcn&+KxrUv+t45$bsO?Xs=+1oI%Ugy_~XUELBq;w7sWC z4t&mfQyoG}u1uG@4H0|-B85CLTk_e=`{z8h1%o|Lgzk^!@bWY;KR^T}FU@Pg;+2-f9qR$`j2TYP0(tpx5+(T>gL zT&f#_tEz<pM9C-rCn7&O?F|%NO6l=G^5qXAH^^ zy*$hoXddEAOL-tIbU5$p=SST09GlhL-;77*x1GmDre~|ezX4Srt_TuyLHw)4mjrr{ z`nHyiP9HHPksL6r1|^bpNF{jcDW2dsvz<73TQuK5d4SE%xwZ{)m-CCK3crmE6BsB`uI11(g3O*0%_jXstA{7P|{!gB#ByO=0wx5K^5p6+w> zxesLzKfWz&jrH3S86}x`C{s!Ms-RTaZ}oMGO~Zc1JG^6AB{wm=9QHO?uCwebl=#PZ z`R8jf6|9{cnh^!8zq?aLbWE!+cVGP?z1RB1E2&=P6I8j!qW(zE>HX!PU8PZ#a_4fL zC7<>~#!ixalkeSwA_qdcZGv$f-Z7|OiTbV{Oy*d3sp+XzT5152?m-T76N5fZ8oKsZ ze_$S90M!k~cBl#ZJ+RAIXJmfq5-TVtl2rmz;Uv`P&`mIq=!S4))!b|7iL@cjj zNL9Zr>i}RjqQ*Sh&~~YIZbyx2oy1V_xeaMm4N$G32(EX0x}V8Qup?WF=o=F~|Y+Q$^;*g#b zBIbCs9CtXwuljO`u>Ny(5iaQrP)7hR_R)p9`^R9leOi_8JsjwwRy#1ggyUXo5D}NRyVJkPmqn|LH+P?z7 zkQ$p4RQ++=9IM^wN$HvaX9tI4Wj{-9$%ozIV7(xpSeREe;*!5Z{+pmn-s9@Ln#{Sm-@+!8Q{l?sO+0pB2bJA^oV6WKIURC8cN z-g$vvTe#JX$uv>vXeXU@o+0AUz(GLsX2riV{2$rPDFbrsbqM zx`w99p%k(b!){BI6{yWDEG(4oUKUfDpF3Y{=66k@KYBawceFk8er)kIP6ft{~af4V`wew5=lm=hL)n{pG;?-+t zsehFKK}N5z@a0q|xLveiQi!!iQ^`NQ;10`Y>Rl{)V|rSMkY*UW}R9P4vI_f|7s49u( zjPXwa3*9G$cyVpyX-w&aj1prct{9v5NDs$qb8lXWrCdu+9bI-ZIn#AO;QNxtUycQt zAHHgtXF5N9mCjdV=I1!~!2Hz~Dk)*ZilNA{tu+~aU5aMdue!Saj&TK(ShxDC8ppb( zvS+hbY1gLKG}=g5`RwSpS$D9?>({*W{@{omU?GTnV`*tffn@oCR);1KDUYzgK;;d@ zB*aK)BNNbc6||6JnkeglIG~IKV-7trqyYbkrHFS4!J%izc4QrN;s+am92UTQ+qZ9T z42Z>IAf8o*cH`*Za6;#o=SsuD>O&NNe0R%zmJJ^U>L7?uPDyz>AseF;{1!Q2%BH4q z#{(IQZ0@s`w#r|}1)5_xl*lSO*qYQd{q1+%X-&Y#%KIN}Itm5#Tjct~#RmDVz3bYd z zKF&-|iV)EwR-Qs|fI9=A+(=Gh)?@h_h+0?Df*WaOCU@qQuCA^nxP=YFbEPYPaTW>j z&h6GiwH3*$w0#KppQ*A}lBGDG2O$Jr8S)8mL3iafOoZro4VP}V-lt+Z!|B%f(DBD^ zQ5s|6ovqbDynVW50ro-V$C2!Z+@gyY_hHzgWy26`6Scsd!&hr}D|yd`ECjp}DUYsh zc-rQif|iv!#Y(I8P16lkX|24O>E|Lu*!eFhpR|?mx~~+S(KSMeiK~w9Kn;A;c}jRl zT!TrnLw$Y^%^QE?!oq5FlKayl+{fc+{TY*No%T}nI9iy-XMB*JboYWl?zfYtw+(n7 zDb;u`?$u9deDr1ifDoVMAs-Stu=@?dLnYKup}?PsNJ<9&b1annNuJrwBkfE}h&9aK zRB-8_6_Ncr(}bq>_0{R*&nm5Ev-9&(fOxMpz~rmj+r9j=8=V9RbR-xvY9)HUe=&EM z@B0sS|5-!!WA~GI3vi17^mg7lxqB1GvFD2`>gwtZxWbp0t}Y=AM+wgj+=pOmkd|1d z`%^F07b7!Vg}!Br<%k*@Y+W+R**gCaj1&NFU(U*{prnw?L%>y{fFY_xF{Wl@ztZ^G zhk8h>4l;Z}X`vdsas7JM#cuhKu&~2aW$+z>!}C*&7p80axwid587q5Z;jZ&n>OZg1 z>-iHRa;()qh?bfm4I#}SHKt1cPrawhdB9$v)5V*!`pb!NRHf#!T6u+pK^gW&g_MzN zu@jjz^fK&pN0&SeBwPow#ifU&wY0U(0XGA_bNu{*e^HPHSwy(-|L|J;Gco$8EKkPAF(=e z-T0dcc{GanWsh04M)C`!xIBX|S7CW79E$w4aFk`Xc6_PQE`&|ze*gBsV{<#i*6k=h zPG8QVk$29oWZcsjNHLD=zop;B>0&xb3Ru&j`tIM_AC0vsofo3a0!I~_#(qaP*`uuI z9{c-DK)31EYz%E;Zo90uxSU&wyIjr{eFX(iUE_*YpUf8`5TSnksaBXp7wYloew5b=l0edT_CAQ;97g3 zdvjY^>|Pu7X%#4bpQS3F_a5DgCL!VBMy<{PGyEF+@L!D&RP!w`VlFgw;3(ACwI zAM2v`x(>-`$43SR2KGB*E8#nkM7Fk!6cKQ3a=rci{X|9wwnIyRRem-*9P0pjZYV5m zBTQw4pl*=G!NsgLJypr8UE(Ie=|f1Bh?LaM1!3^-(49b626*^oIIC4_ z^#Q>om&!e9v8=}%R#6v6<+vN|VFNCKpTO+N!!vo@Z0jV!o9CGb-&fnnAs|9+B*kmvGA8qFBnRuPyR)Tp|kzQxb@Sf{722o)z zo~8gJ0Z=Lov)E9Dca=66AhKj{Cv0+iKxN-MiEBQ%l zPQ`|e?+^ll-VD}94)yo_Pws5s46dM+V!ZJVz{j%|!`%f|%#-cMLQOJLb?ygt{JikU zT!$^tq|~S`M)^?TLUP+?+VE$*HQuc{y|NC4-9`$moJKu$M1Ihrt?SeyuhA3cL6g;? z_I`I^GGkNRaO_!Xn{VQ|EA>AW3?GOg+vjczqA1xZYqub(MB{&l?BOI4 zic8aVup*J65$*uwCBu~X{?J-ES`^Mq&jBGZ?=dWcfF?y9#SMEqRcx_T_EX3u3IGDW zb~VSlk+$#>ft5Y>JDE>v?k%7$&;{j?vOvyGq-Dg8b%WcT0ZKZ5*v3e>BcxPqn>APH zCKO+C9U(mg4<}jmFR99$x zYh`p+*et5$cxLO?*DXv2=FRz3_ojB#d zD`9sMpDUzz9u17~rDeUk(4#{+oKur~ovDNGb;fYOA1x#!z<93tt8O%*ib`)XO8)Lp ze5SRv*u@9tE3axapfVjw)6YHJ`8I`PpcHl5FCS?=&|lBkAqoQKZInMJPnF!Z8$;87-uJ%L`$(x6 z{e4*Xpb#;oq@P?vnT6N|8AHqi+AoTb7^|hLiyY}E@Q-010L8Gy!k`E%SB!KG9$hWP zmUkjUB3}XY(!Wuk!QWw3kXR#&FGD{Oo3XO8I<$sStz)&IrjjWe_+}6v^AlEPk}L)< zDTd0Rd=~2YIj#G(2_MEbX3ficl`wjL2O zB(VfW0#4M+yXVh|aS*}|*eg&V_#CMyMz0;I&;b+A;y#S0w=OnruX)WTA+FT)&eikn z--mqeh-It7Ujacyg)Rszs=L*0_peI#{F^z1p+pcFPmn$>?6y9@XIHyUulSn}bzPhZlwh4C$;t zgs$t%=YQukWamL4R+&5F$A1mhNdyLK=4Yr~Zj62S^+&9W0sAxFkFh4pM%$Yt1p2iG zHMLt$7%{zU8Fe)(bYVnMgFX~y?h6Mep!!1!Ca(9xdO90pygCTp=zIU!k^dG}hbY_b zKhs|aeXiAoF{rOTA4@5_4S^Zl^Y{*Me#vrKNOjS~Sb*)v8bRond9c_R(?r--Y5(3{ zbJR!8_ceoGKIHz>09^~nP+@L+UZp7XBx!@=l`F4&S0BHiozkRN3@h?5 zNU4uoXj)fN>BIbXjYI(u2AV)zl>!mSsg8_~hsz2d1o$#m)xFf2X{YABsUUQW#f{;+ zBbTUC>n7Xux8u$Q*Y2BUKCj(d`M+9#)*X~S$%fIFmx_O#nlHKXJZv;5U|iC==UMCP z@!w5xnJWfOPK41jhCLId@R!0+c+P5dnmnug$(4g67B+<%f3<;*C$4nUKXf0nHWHRr}UUXegxf^YZq#6O;hmGeNgB+&_A(Sbuj;*Y)Z`;~H` zR|flMhO>*wYrd_{`#LGe*!-meMjFnMV<3Z(EZ^Vi{j(L#0a45@KCiY?Mo+aj>Rnlx zF9VE|2A&fh-6Tto5Y#g>GpLS;fkOx)J=`724p@QnFBs&Rzy?MFrek1kYHFfpM;*QS z+HQz@jp8ZYnN33*`=0sin$H;CV1whu2H}` z+0$GYDTPwCzM~@;W6;FU=|At%o1q@*hgd&K4#5?V)vBd?Yy z`0c6m^yG;>$Si8L>pxOD$%^PKRvtxC3G`4@7_{e(i#zq7(^034G0oK%*vPnB=f#?` z{z^q1V%#;>hUdFYz{0y+J9VzJOGf+x) zj%<)3`HillY`7#zR`xMt>zCLaS#e99Z#}TJ)X&rI*!YDQ1V*}DI>7ysUW$r{_yRiw z$A4rXicu&)uJJpLJP?g*cXi|aFLgylMUktEk%CGVRAF%@i=c(0Uxaae!u(bJ`%4SI z$r%$Lx~en_vIi1tzhM&JIS8MC`~J2jM2M`)9(u+}QD*+`%D2U@RpXVBV{zky2csss zjYl6!T%tSJ8hy_E8>44^bv|9Hn-(+|IXf8yQj&q zG{X0f<4fXs=M_k8q%|mEskX=;q+n;3uHW$d4>*K)K%j&eOQr$`tufxutD^$ zHAoK3hC79lore?vMflbuHP> zUbq)f?U=Qo~N!(-4)o-`-I(}$3fBbgT>c4$*^heK!rHRIFp=f^1>rO1?eGxMB z@y9`>RWA>_$kL6y?4Ox_*Wc{K+aG-G6UpGVaA#-+?-I|lQ_yP9UNhp;VD71=Ce%L{4N!ABMJ?h(! z!vOUcTy)5Px;F|(3$E7VPV>w6gjaNyWdxuW95x#8ZJmTmUfk?-$TlhZ%;1_u>=x%S!rcc1s}W z5#1bU1tMg@{7pq6|FExgNesak-hW$tiqlsfGGg`f=29G`YkDg~fJZ34xT$eJtk>*B z|6S)jD~`(m726EcBb+YqTCsC;V`0V1`7~U$hz=r2E@I4G6LT~+zuPWlwhXF9)cnDOTRa1|z8Yn@hEg*)!HJ=v9i%L}Flq0)(K= z?Cds8jtyzb#^qm1f}J*pj^53^vLGPvwSC?TadYo_Zx8uK?@8*@7r)^vYHCSz9NPb* z=BTRtC^Vw4CFR@s{Q2@0VSaU)%w*OdSKCxPHCy>4jpx|K;}dckHW?XQ81Z~4g=LU1 zot5Jdqa_CE?j){c5qSll6q7Bwvwg)gcct`!bAv&Flm^XW0{Q`k#kM+ffWN|MHuv?G(Q&Vrq`-;+2wDNbN3dXLk>DW2m ze>-Y4B>^=a_HAowqCu;3Bx-MObE>}cQh`xM=t7+(g!*tst)i?yX&Ja!vN#T9JLGW@ zi|P$q@;Qe;QnHS;4L(Q&7LS-Nqhj|H{%7e98!GrR?q&UnSB=r+l<;#zeY(m1D!|7A z?y`YDTdYhnCBVU71A$lL+r#1a?B2g7bSR-UmAj(qcURk&^0+HMc8f3$YxVtk#p3>Y z|G~hkWN19NC2otD-Ge=wnN~Gy#INOG+4{iIR`_1+{yhuZU&hZbVP`w4bpDPJ>_hn_ z=e16#DCtAabCcbXDuUZF9##v+y@%?;X{t|Edab}=yoa#7lEB6(5?O#&fB+h%OBJRc zy0~wt5JDbT9>_2rqRs4v-7vAihIArm(g4W4tc#VtL9JYa_cNQ7<_%5urAu|g9tT?> zccOflIe8J5O-tX4yjxy5dnG|d)aD8An#D57-=~93r@UlFiWh!MBs6N}=AMcg7j3SV zquCQCU_Q}Il~Ua^!M-lkft=)S{qk`};wOkam&VlIr1 z3JUq=UNksTf>+@a!#zkXTW|I-l(QJr(bLhjSB4BYOOvI>#FjYOcx;+SXosSZnb}dz zA*4)`xR%HG;sJziFaXAf?l#w*?gh)WTVGh84vjfy>@!J3%OFEddwS*+hd|vD*1`ve zQIuBACXU{sV)=M=Sz-+*Vl zdVgM_!ykaV??jwA&bJHTbh8N;L~!?+#+H6`(Y$Qn}u3ecA)f+HmO6%+6Ffc z@yhHr>Ia+m8Y%ktD8O5G^DMRS?aPRMC4UU0v{RqO>&%>;^{&b0%_k!;?;?Vidaz}Q z?7&ggR~3K$THX2cS9Cke!{N&smDjN@OygpH+c!>y!{!it+{6;pzl(E;kUH&dz?(!e zNxQrk9Ue;S_`LTqERKt3Dz_4dMTjW%axc%al3@kzLy|>-<{ktKI-vDt>8+!9a}%nR zecpZnx+rLWvt-xSHNlz4bHJ?ha?OmZ#p8bL$YY?T{f^Nc$5?EU%lEw`?X`Is6LAxD zz254b+it!e^7W1?WBB_fte0WW9Vc}S^^6Ouo5 z=d?i-E{8EPv)V1kn%b+stwR=X-?QNd1h~X-oiv2RY%8lxH|$>VabD*B{R_ zzU`XZt=C!P8N!g8WW9p*HKJW^{i~sCkRF0KoD?=P@uNHP?fh6=GUuMQezA}JsK`98 z;(MmT@jqXD!;?SWP~P_BwsU8v=2o31qdfL-HpfKU1^!IQtRr3%W2&uj6-mkpLPj*Q zg4)(Zl+Ygfb9ejvH=D8}bKO7W4Szn8Ib+Fi-;Os*Gc1Gq$Nqa*?r4Vn0J}{_RYr*P zgd0jk%z96BrypS0hC(mHv@{I&8a%Z)C+Htib1{hgQ+5JrHu3s>;u|((&5vIx4F)xW z?i)!#=%s=8YsMWsQHd>gkdu;#2PC*tA2%s>|5pm_@;C(q1Vkhxng>m(ddOBaTmw^( z*bq8cK_N3T_93LnB##UoM~?H@So+X%-Q|6tjP;8^a$%pqTSBz-wOPkiprsgligtpS znjjbI@a3T9Eh;S<+L+Ye97a}XtRTuD2sa;9BpBvN5)MrApU}-S#j7q3+DPrrdDA(b ze@o0t9dIwCY4FzFyqI9dJLkB19kh>FLxuP!GWio=T2_fa{d2~dCoc9IO%wgjziiAE zV5w7Ou9=dX>DIp%X@6gp!^G12qDT=7oa6r?CXcc*I7LJSLc?vO5BQX1G!0A1uwLry z|I~By7T1fZg$b7gO(pi_$6)Pc0=v9=-v)NLcVpipKFbb{=Sb0V?01oIn(R@cq-XEF zr9zEY6yO7&;g|02wXk0(@hBk8!vdo-MhhI{d)-&VWMARJLc#1orlj5-YsZl(H%I&d zFwkSGyxFBo`p?T;>SgBuU?Ik82~nd_QmzK)?DL|JWBW+>XN5duD_NIbnJ|(4^F3m| z{)Rk%%M8LVD84f_R}u!HIaNNA)E^_~!G;SgVzHdN*mOS7)EA+sA3oeXX7|wUowT7D*pNPx_hvVUxsQz>%A?f z#_;)uo2;5!9TJ&F}Ld2;(R(gn8) zWKOOKODRbrTi4Yg(z!x?+mCkYA4c(fiW8$WW*O)oNS+LyIHjI0r2Xe*f^E<%%>T>u z|Ic7RZSyk{Sn&Mh4TTWI=b2iE-0d6sxDxjaN1=(V6(3KZ=nyf-m7?jNPjwW6_&^_NPt^+PnTfwi3c1)o{f?a@c6**;+bn z97u2~B)uP;KVH+F&o&$fd^|id;s@})uP@%QpJ|Ik*@GSQl;^>df~y>RS-^AQPa!hS8$90Z@ETH=pWok+?Ed1#p`?9w zQ^kN60m&NQ1DfVBAcY+2ofZzL<`f#rhIJyMUp~`xwL;6!BLzk>RI^Eo$z&~; zE;@92hWtUv9u<;ak#!W`{O325({f7hbWi(ehqo3FE){1Ci}$wnImO&6L09qRrJCiH zr0sLE^8E`O$vnL|=}O;g?{70OhUQ96IUtRePRb&b+Ga)>&dzS>p1*$l zY)W#n%GZ#4Be=dn51PZZd$DMW;x=e0a1m;aLffB_+@Akwh5BBGiWXbJIx z#QphfO?X4}&KRRW0cxIokb5~ME26#D62r(l!flpac=ZlT&RkrMd(Z7#`L&Oj@CuCh zezn8N+Tv};2G9!Px8PD5-h`ZY?+EvWGiBRR`tUJqSRjr$+UOK((IV)I`45`2ujYpls@&Fa;-As^qHdTOR~n3W*22GS;WNur7-<-?Md(zu$WQ4 zC5wHuN@P9pb0bef&beEjIYFAgk7{#$ak28&w&RTOYK#Gvm^2sdS4&oYl6N2JJ~$?i zf5FsB6g#B#N4*vs@`7Fw&#H0z0etTNoQJ6!vo;uKw!)p;`lY)fh$$iuZLhLbn&W8f z3}n8y#MDbMj}}eW9)#M8l&sm={Nsa~zt2$+#ooT~#>3sc9?wGuTeK`ZJ&lGV;fcgl zM-qMh&UNpz%K^vXvE1GE8V*bh(aNn&=`<`G-MBOpk08YfF&MyW=jUIC*JvTtqZrf0Q1I_=DK3K3lEcQn6l`&sB(XP zI?veXZ(>+uytpmC;)?|z6zFh#^}5Kc;*FnG#X=!$3;=tLQ%H#DUVGC;P69DuPJWo^ zg?PoHUmu0$Kb|b{Cp)vT&lu<)f_W6ssgN>`kV0}^p=ri!3;Q2NL0|}cM`a`>cjSC+ z`?8UNmY)%yUu;)EykI7k?J&L_h{z$Cp-{kc^*-ue65#e26Qu}mnnn3Elb$=$SgS3- zN=HYBZsi){mGO&U2rXEPPVM%4FSs=zPy%dMk-79SQ{xO)P`ynImk+|tKwwlR=xU=QhbtMljzLWSQtTEb;ChI&_E_^})X*22MaeroRVitbwc5c}fwg zP#FYX8NNWw6Mb6>F1V;Z8m$~~u3mw^5n18*bPf`i7M|;zJ8k`lJ5!R?p;EOS^D|^s z{1YH4pX!b5&kq3zUD&R z)pp}Z)Ap8A4nuXWzutb7VclY|+hs$=+@RJ)feRSg9%`u_?MBnLnE;uvev8V~&SWwQ0?6fs5zQlXR=CYZ8&dgs)q#_#s@M@84fLy2#Gr zDv;^^GwX1Lyj1?ltRTfgo^md_>oAKR9};E@-pMS^C@2yM7Jf`!FM!Yju9am#A$ zfaX#CIC@q`lY?OqP3hZ=gk;&e)MvMI5}n*5y0HgES?!>Tv9Tegg5ck43gycJ7&+LJo)dI8!sH;j`u_6zi3pn?UegUtL-2uBNFhZlM zqjgZ3T``}~w(OUw6Y3FXw(ho;de>WGm(BL^?pf)V!X2qxQa8B-SO41X#CgE>k%VG! zE(6oX5&wL}&AA%>@s17WZ7iyEwj^ohd}SPy$lqRdU--xu3(fm`?k4QIduWYy_l?I7 zC+MBH*#y*#UqzG>mwsm2DAdKKgHM$CldML-m)_lOCEr zv>A?@KDEU0<`JhsT7-|8y_yW`RWEL>+?`@M*mCA3yH|4%Zw=a60F`20piwI%^eBYZv zLxwOLhTZ+F&bE1mcj|@$1M1=*FTF)TRg68?)?a^^XsK~2z2ug8CdyPYwIreHr`8qk zJ$U+Pqw>Y}5KkBHv%D2OHzz7awL`96h?Gxglm`$G<|WS%zh`Wg~Hz&;PBe zh5qj7^Y)+nQN?!ng;({1LdR7A{2%69R_!}@*qYvW6F?n-h%?JRMfRmxJS!XIR(a31 zZMKYUx8JHYZ|5!+46uE3{v`ZQc1i|TT*Lp?ebCdt8T@cXh6~HKJ^y?%WpgQXq|nD`3@*GIlHvL^%bwgDuQglzw6Tv%3h{JbGQ?^4i?H!q_qTmoqZUb>M2H{R#l0_WCz4 z{-D(1FC6|f^?K-FrO+W z@U`zSr>mFb7PzB9vPaO_?e7?TJcToXP%FRiU*BABf9hk77{Iz?{mLAee~5Ubd=Q%l z(Oj`UNx(9g;EKjgMwDTH{nKaT|5pppX?u3rxqt<44b)=(nri(y>XLs*Q$bCYI;-Cn zWjWwNA6NcNr&jWK7FLKsJ3e=-^nd^rr}kS#UBMrF&QA_CZ^E48Y{D|nagVG%pOEmT z^TMXZ^=0=d?7^S3Ee)y!aW>I>f2m;C4g!UxLGiC|mSHTx3CMTyjhf-ipxyDG8Ll&qbCa{@OI zuCLcv7&--6Ka(*KuxT8X^t z+Zz0ZLHR2Fd4`X+AH#wIjF5ZkeSQrii42Z#T%9*xPEGt~(5uv6_!9=b%jwf2jp_}< z>I?eb@;hfLuf;ywALgF(FXaKlsVX*%bJDl64#(P9{O>BsPRHclY5#y)P6Ue z%f2l@i!IDB{l3fo2YXmU9n*OO>Qbj39=Ob#D<&}^-1@l6%JD@heZJd|Yhu{Kgc_hp z>pL#0N|NgHLYHj4yZnTClr;8^i0)yaKC{(DJ3GPDtg%j+2b9|9c}_NE$zQ=0@1a%x zF$8uPq7fk+A)v&2r=Nqmz?e@kcxLC|zyK_z>OnI39+;A2y%%iB-2o;Nn{f2%`22TMW5Hxavp9TY+su2a* zxs^Dl!6cf2JbN@-s6%6?XSC5~5iNQcLX6ScqS1Re4&3>DIMuqHuZRyk)l+BuH5N1T zzd^f)1_Os4Dgi&}xFDlAhQEd$vS7W`<`yX!U)8aRQSLzrmI*MTQKbG`JK)TB&HeX} z6Mi$JNd*lyL=l0v3$_YA0d*?*<Y9E?a zjI~U;3kAs+%^GVg_MK>P^?b7Go6BRW^P6rxv}qcmUMF)J%sEUI@${~j0;k^Rt+T|s zL?7F8{ukO;+kOj?40+IUM$Z4bU#QMGmy~1EPLdm!4V8_@F8>;$(5_jn=pX-Pyzod* z6_8x049V%sFe>mzN*X@c(VhIa6=WVDr13zP8x7Q$f<-3#0962;&qjGN!j7|_mq|83 zb0-R+V?D*Qmod7*lJHo9!l+DEHIuzr zyNOj!)`gBJjsPui1r|P^Eb_rz1rZtV#P=s2vax$@ ztkMlwb&6UFQ+MjxY_0lb^F5Hwt3M~+eDr&-IEOWF#*?{dpK(X2!pf~vuMK&_>Qb_4 zYUAwJ@U=b~{qQ}8DkAw()@}2xo$rTa5W(9&<+4e~McqVU?!TkW$PgS@cK>4EP*mL2 z7>!G%4J9Ln62hx@e7Wr=aBWP=IhQR<+Hg#6;_6!Yxq`a?EStS3*yOB{t$0N?`b({ik+F2h#!QnfqZft zdJz!{S-DzAS()?MXAA-UEp&dEjA19E+}(NU=ZrfcRmC!X5^g&6xI4W+ zk*AZKHVOn#q?bR)o2pcr?P;!4u7 z2N+`FI~p2IzQYGG1wK0{FpSX^Rk@LtOcankC^{kwh z<>cYHfyK4{#m9th#?d!vy@~y-Rj>V~H-vFZ#HARw`rHU}|3sh6z-9^q&sPTX0|KL3 z{xc5_v}2gU<02@5d-2}BbBHUd1jM=ZMs1!+CN`Qv8UuhCF)Ku~2qnRW@z?!pz?9&1 zhbj}>Ckw_g8uhA#hleK~-wjE;=7IbcJ9C`MUdK~+=P=1Vb||L%dHIaLl#Hs`rEee4 zTr4Kw8X{Hjr)Z|_8lNVNIALfV$6qIp&5D1B-!2e$nt5C_%cA~cgM-%WAMv*=p%@LU zS-7E=1dr)*Wasm$BzVb~?fe{N!gRMkg=>y6?U9JWaub(O1_uqz+tVC3N2%Axo#10Z zKt$PH#yp+(uG@l)UH+zxuGllk#(89{Hmw?ZJfYi8GeWQR`S;E(-jd#xWRuf{?e`u| zr-t4>!&ZN@Pc%sS*Gl_=5#?=OYgxI+*K)R1)V)ql09=FJb=;Uul!5#Rzxw76;Ic2f ziu)Y`H41M_!A8s535_fo3aCX$o|8+E(Dbct>vz6G zirsfV4Nw%j&M55L8UQi>`&ZLnmrR73M=!`w*54kGwC_&(e@uM`IM?sqzmcsW5-L=p zp)!*#Nf9a)kxd~ovMVzqS(TQ(rDUfvGBX->8Oc_NjF1`s*WK?t*Y$s{bDeXp^ZXjV z-_Pg1-|yFq+EMI}qkR|mQ}GM8m3l?1IA_j19CDkUU%s-)-;#Np6z16+-no^joza!! zbN0!PgL>0fL-bD$d~(owEG~9NKvvC}V>jhpja}IzjeySqe*?}UJ*eI{VU9&VAtqN4 zL%ewA%HXi0slJ4Fo|%>q>)w?IVJ*{qc6)l~+$hvYu<^?y<=T;+zWn3mJd8znQ8)V8 z?_7>x*i)L@3oe@kRULRN3=b7ZcZP%8yNLbfU;hOTH~iENpYM!2qH*D4y!Qz@DNB9M zp%aJMkJR>DP8_j2Jh+m}l#)JKyjiVQ^62AL^C@MQGh%H~+gRnKxi*!Jn%GqsRnbda z@U#;{bFIv?{Yce@WAb(H=r^dUrt z=G=LeI1d|bUZ2_f@SQofY36n7`teazY#+w}m#@32FFPS&`|_B%{82;!qNxgxZZkVAznUAU}xoIYSmf;B>$Scb%P=JAb{3zYm)9b^=M^A)ID?Vr=D?O7QuYLKJ%&)TwK{7kcpp$augaT$wnuMfi&8*N**BOk z!+t1jsNU9Oac=(ipsT}r@hdKqe-{q22L+zycHe(&Z1}ZGK%#t88s(zhjy*U9plWLy zML^4A?vpte*pjsc*+-j?G|?YkwAAErmHX;BC26)pV=tX&!~8J`so>kkJl3HQNsS`@ zO?uP%-wv0_1E?(;r`%$5qJ@D`npTW|kK>`psCSGn#x+VhbG-KlBn46FI)+XpvDU+o z36V|U9V?`B?zmS52?;PR5|s{->WyF53Y4s^p<;N@BdP1Vyr187tPqNJ zS2Iu!9vuaUa?5?|VKGrT7P;w)Vx?p5C-FXx=&PNt0*7@xJxlqjw(1XDE3lgxK67U7 zvfVohZ;hBEceOZP>1IHS49E;}DIW|x;2|^pHU5m$Qr^yM%kvF6BG?ml$2YeI zr#|(!YiKPAq%j?Lzy6if4nhM=E^QDTuk9@3{w*V@fIfjPh-k=igC0x*|Wq2U=a#C^Y9U z4Mpnzgvx&GYd#YuES${54=%(3|L#GbawF|>-zxojbL5=-zQN8h?0Lbl>BhmivyAB? z3DDRB^|==2#1fE0A2hBjlx>j3bS_R<6?;(6gNC7$o%L`0w>e%($}XU^cJ4fya95$u zyUs>3?ufc*l5NtdRyj?HQ^ER9vg~*Ltb?kkfI5Nr!TZAWQ|)Y@*q6!~^;G?=%lyNs zU3aTP_pNdo*C?-Whp%-Oe;F?H`|ryfhw|i*nt`Rs8!_$pi~(=KM1YVl9+xhs&BQx^ zkD1f9B6FXc1q7=Yi!dW6n%rnnmmn?W;qM*G!#no;7eHUOFRR#xqmKQ{fIr*w%)`z#=ilu>o#igOMwJ6?O4E^+*F!jha}_A# znbtK>gqKGi5SeUOrc>uOL}oC(Y}i_b?jZd&Yu(zuoo1(K4>a+H0Ofe0snmS0m844y zCDSd@x_y`K9Sx)CUtL(Vvab&D=FZZm<3~Alqi&4RmcCc`8SpS-axYZYEuvJ|tgT-f zr}g*{I_h410(0p4WJPc!$DeEJXp<^?=gd(1a8&T^1DcN%f)|Y!K4X<IAaogR0Z zep;~=eJ*;<61$fj_FpMYfl zax6^HRY^uCpf%_m-U$oGsdL6C(>60>zQv^9Xyo+nW@kR5doZA%wynWy~g!8p2wP`}bc(9DFP6*dyk@4ao(p$NGmh+8X3F zpjne(0)a`WmtH6DPC+3v(|EMvqXvJt{y7R9Hh@2%7r1aIPw;nhPwv3Plq;(Ejww9! zyKf}azDfGDT`GR zo{0%X?|akZn3>+20c%S>Q8t_4K_NW}XBu8xc-PmMZ(A4O*l0P}Hm!5{%u%M&=B(-E zc9kKnY@>Icg-iAMqa!0MvfO~ZaBczI*<-P#%G&bg_(&87>yyi0XHEVs-{ysi8CA+0 z(H3$C_Ekx3|32Zhe`@3Jmp>4ew#uquY=7d0saG|fqeTGZTI0~ z20I8EZK^}KK=24+Px+q;9q&6X6UY_ei@5OkXQ96V?rLa_H{q@(9tPY`7=*%4$pvCN z+$QbJ%f<*v4sjd&TP42hr*R%!q{fPp0s`QQ z8*MUTT?s#SZ;3f4dcr8jcQk#3ibTlI1Q$CvzsmobUb4FUp-JS$xTq23t8+INw%Vz; z=O@^Bji(9qnQu-#w^xPE6hJ`dYug&w?kKGTt0=p={v;$MWR8ng`CHtqe#0&$Y_3>8 z;l!I#)G2s^B_lqpvR4|IFME)Ry+V&@o#my*O0PR}pVXQGbbkeApIunUXq1<9g8MM5 zjCzW(PnCN_Dk}$te%#TO&=pnBaR&K63pWWYngc!uuQup@EXY812z!P;xeCCDgfT*k z9AG&}?K3ONprr%#0^amir%lmXoS1~Gz=Q+|Evbt0E_1LoGk>6H2f7!Z7apA&a76#g z;T4MlW{IzDhM4r4e3p$y-6hdoJ~n!jfuT)jPcJ%Si7d&wgy!1 z*`ef`(_1k>*)nzX8rr^a$KUwJ)?OMH)u=1po0Ej)V0*dyTmVb0K zJm(@J&Btc@9a$HZGPecQ&wN)K7uUa4wN-8?GPg>xz$0~G>kS!hf;q!|dGfGUjj4=_ z+|HW@3lWWems`}9N)NF%7=K-BF7-s%c_V|0z{{{u?bWr}RY8f3{OhY9$)}@o8<;gff3-Zg+d`vW zK@SV>&9q!PXlc1v=oXeQ%C8hV7fQ((_A%5Klowqieb&%)((!jf z@g@=hJ)*<8x*5oz-gs{E`mOSKz4Z+(6LT*1>z#Z)wf)pM+<>mHTbwa(96}s7hcrls zJ2`uyPE(I*u4(@IU(S~DuvF^*RvZtHH*F)ydQ1HLWef_+>30=v5RGw=avw0hl3Gw4U$>B)V|;<$B*4Vop!Vt{d;KnfjoEsX_xC|@+wR=2vXjrz=i{^86nvCJd8a{jx*nBRq10VOh&MmYF!G#<5e62pKXU-Q3%D5v zcZI({u+|H>;RzABTfw{9`Qq1qzRkj3V_9rt#I(P9=|ky&L*CWSLoCZlJfh9g^TneL zjE1&sFMwO1fqv&MEYwM+EnAIEd6UBrNGbn%ksp3ZLet^K$l!v+Sed9w!tXsHftL_) z0>jW-g8($$d0ar)SbW9Mv@!7D#|QN9Yaq6`0%8_qsPNfFo}^dkoi4nlm(_+-;cHdX ze`);tA##t_Sygu8#MMG21rzQ$sjT;MXLl(Qx9ruyS5sD+HM7Nhy>n|pes6dzeTrx2i!vdd8ky{{ObOR&p;x$b&Vze{`Tg8 z+6RVx5U>H?#9j2;7GBDm;mQXs*HBX&sXxDWQ-SSP?dlt7Ln@q6zml)3U9Pmm|FdXV zL)b7W>-v(E_FmrW1|5T3)?YS%)eQlcPcqJ zK(V8(-FWEl_nZ#ic+Xaoz(VS7)LEr)?c#Et#ADt;UC2 z?DV7Kma`{yr9FzWdbAV^|zt8EW!0|J5RgytE4cm>2K8&Q5t|+*|AeYQbi0& z!0%OBIS$)cTN4Vi^VL>)0BNOX0UbC35yZK zIgXpTx0L8U@*eG(z&kej>A(Z_q$UQzK0m?FlX4rmZ5=0d%@vORcD2@8UXYTb9*fW3 zePq^MaWdcQUfbxVrXBnrx27K#<*zB$zOEmv=ajiV?oxxX8a^CB_AT=>0OGf`Uh`5kDlS9maw5Fea3>aszu`qotl^k6s)k zl_83Q@RcOD9UqQJQPlfBT!`4H zEPeY-b%dV7f=rw)WI!}A>EA}50*(uGJJGb@o@MFN%+$toO{kfE>_5I33wJq>-|+qD z5TnEUJ+`4j4W^pTxOH6Pc zYZg=QGG|Xf)i@$95SQQN+mvMNtCN`t;cKALpEZNze&a{O%4407p zH(LXF@pBgho4Lfe&MPO`;+gNS^sY0Dv3d(;9KW>bX`ig|a#EYY+3eu718Br{ejBl% z6zN>a8D46&OHAyJ3+2W4FMinOu_j0d6ox+!zZUbP=4DtfXWho-?pzCoex>XQUxS3nKTSgD=V5cd~?Q-|E=!=8p01w_ETUVH!_d z{16XTxPP|Ium&~=P>Fq^{bQX;Rh%`Q00cn9~)2nzkU#IINx6Pcu0d6gLnwWD>&0uXhs}$UF*1v z&u3_*ys4cs{%U9?m(QY@F{k95T+kZ%N9p=QWjUcU`4K)e}BZ}k=hI=34!aa8G07>Mnb!#B)Jkbd}IG~ ze7SOd7|FMD+ggr@X@+@Lop$aOMjG z(Y2Ra%I_gKkbT(Z-a5_q_Mhd=C6tcVb@-V)z0<|trNV!`?C{es?Xzt=FTXM1^3STN z6gq7>X|$CkS$gAOP2R0|$w0MKr>5ibbINkurB_@NTK5{=tv++*`nxS{nl9WNe8Q4# zU|CAYo?H|)&PF}FH+E{e`W@6+>W`b^oQzHuyw!Z>7?RdpvWHj{F53P|l%k=cBG3yAa8s<5)cI97O-O-M|wKKn7Phk`|zR zz-vr(tMvw`U`mQT1_u8uQX8=`-yd_sY!2XW!mZ(k-SWIW5jIsLIDTcUVTJkL38hvt zUAla;r$hE()hT;EB>I%|{B7bfM!;+9{mEZi>AQB8UU=}uQ5+91(C=-~mF$nPiNBZ} zw)$qm%E`?+0E9exu;!^MDk5rg+YL~@z1!lOl}b(GseaiX?rkT;7dP?0#IcxbFp~hi zr=ooCR9ayFl&g{_|3g&}s781+E8K>P-8AHysNB?2-BtwG*w?xKy1vcZhFi8FulLO64?VaqJUT1dHh=-%D_4CkwH>QAX9s+Ubs1t$c0u^FnGog458qHCB>HibBxAu-XECl z@YrEG0=;RGue*^?m~-gKK`9-neZV+yAA>IOIf!AIsJ$^d!TD{6URkJI!NB+355ey{ zG@YRN3{e^QtyQDDK?T?&R*~W&)n#4T3oh;J`t`DTM8DvrUap{=8pYodnUFO6O+ zSTp{d)x;oA?v;Q3beyv5yrXcMCZu7N6I;=dx&E%X!Ma3wvJ&>F(DgAWC) zQUXK`$STD$($c}UzhyWIpC?{yIDfl}Tu?DGfV^{}iXoa0ZrM9eW3Mv;wS>;=W;p@Z zm3DZ;3ju-5a;xp%M{EyKrg?|J5M__DcxNtd-W@Ujy978IRC-5}S^OJrJpA|_+3$NZ$JLMF8xdJQg z?RXydEpwM*+n~mrr@!(I>@Q1@=^2ER=h%+_Le8E_we`N`5>S3=Hl~dlrC9MSZKJ^dww!1u5a&7u)(&w$Z8Fx=UO(-~D z#=c(PrNeuFO5w1{C2TCJ|#}M1xE`4{6W2oaxK@&T7hA8S+@!n#z!pyrR4gBXc>2@=V zIoTz%UZFLvRtn#=f{da~O1~rB1m$>^rD-MbM{S@V^JU#tZWJ-vaDcihklyKY8->Cf z4?O|rLCeVFA7%&N{&4&GPEX*MvMYuwD?01?>6H7~A&Ktl#DL1&`3WEt8oCZ{C%RjYCNdN@jv-}Qg;==O=~ zgUx4J>=Sr0F&D$P+rP!llk!A)HL$wd+%=t3dM~Tj#y$Mc9}jr~Mbxp)`btK60|?8( zB-C(8h+|AOQ%7m&g5+Q8X&I;D6t_OLKjLeHg*OF}X_ekjQU9}|ep#=S@OD_sj+ySv zc=vA?8j6p?K)UVT_?q1QlQxI4AI=Yl?g?SwEGk~Up-+=KoiboF`xjz&$i9hw&o{k} zcOUXwpqlvQOg>m52S*B1SWyQOpbK~j1-GvUBL$uReuB#mh%{1#; z)I>8npWGeZ!R3DPt-)j2xWKTS%8w0&ASvF))|mAlReIPYv5ApJ=1;pR>ZInU!aReq zEP}FA(K<>rXJU|gL#G^v!N3S40(>OM3dfj)D752Xor$!>`G!Nu!r4=@ew6|12cYS6 zU7o6$!Y+T?pOM15XnJ`+I}=m{fWJvKj>>&VPgb`9+?{{zxgHZ1l0U5 zu>9r^(Kzoen;Hm<5WGWjP|20nWn+5t=5l07V3)Iz1Dn1eJ8N8p?BikT#I+ZUdbuAX zxX-x=ZO3=tn_=4aRm0e$aE8UKA7 zoTo&$$F@r_cB{jVAqXEpxF~(}jodM_7Mp%-7T#l2{(w;F2fUT|soO#f=QX%1Tq@KvKy`0Z1Z$8M4H#NN53t(F=xXPFfeVZRv$4o zIusMfRH8M?{vQBOhxxYIG56}=C|AMAqSN)Wbgy{J91oP`A6Lt{Q)d*^_zr(t1_QV+ zNl{cHYPgl7AYXxw>!e5pOl z+L;3b@8Ka-H>-Npm{iToh~cIK41ho)P`OAA^~3+G9|?c@cg4D7zf?%+nXZ)``YIyS zyg_lBHCMso!Y7GEjl~jd3kjOC6fBL@uMWqG(TWcmvaZyI$~GL^w`)rrr}Jkgp%*l* z08$=P$x7ZW41YIX;6F4{|8iW*qHlMd%jbrC|EUoQRi-VL>)x&tn(evr-Pzfzy`ydS zT6V6P$YCc!JQk{3*-uVaz75`{kR+7+M_u{)ot00P_9qnw#l>$Q`;KiB-$}wxNUVYX zKx}cwZ32`%0+E2E;ULD{4`Ftb&1({%$HXj!00l{CK{?kmTC-DVYiU8G2XO>MER@z7 z{Qosjiu`Q3l1~I1Ko*|B`9tO=hy(U$wrCS+28plPVp~kIeE~L++YVxSB+5?wW|3Mj zWzn3_|N1za;>znz$Uh1TSM`ow2|8Vx++`D_TF6eDA|msbF{t8OC1i(e7e+kA7}d_E zqbTtkPxU6X%TAR?f?i|GhTK?nDr(MO3p2xdFDnE(6;>}S+?0cL^~mfQBX%!^#u26` zcUfog54@B3X&&2FMN217EtoATIp-<^k^2S1YU}QI{$nipWvxqdUr@f`AFQCUv0kg7@l+<2^0SNVpx($p5h9!oV zUg|Z7Pb1MpI;Hs9aCu;+!(q)7a9Y4;(AeQG<%#1FS;jTdqsLZqGoMdSIC}fesWS}- z)tcNb%dC)?uIj{2-PekvXDPZ~J^}xNZ`=ed6!g7imvfv!DIyl>-ZXG{62k#N z2|J9RYCc$4oGLfQ3kb#ma2#^;%~!N@F1*9qgD}3}H5upj5(Na>n_;}-4ryMkznVd5}SSE#mNdgPOotc1NoCPmA)0y9Y$B z%W%7`Wi?%_2dyZ+sJ6s7{&v}|=&j`AZSBq4Fw{_Wo_gAX%NsYMcf-&vcwW3)?G6k6 z9Q&p%ex6A|TUalsGe_u?hNo1_BloY^@2)jm7#w$$f{sq}^ZI~FAI&5r(1OgXoqz@i z!7Xh53lCR(gsFlW_0Gx-_;xtFU3hWkQ>e#dzrDyzrl}ipgAnbQr<3qWPyUys5?I~q zKHYwg)&0p$QA;!Jb3xB2FREsbv2z(uiDe9XWXvTNUWXb495&w7OBydUY-+tz^M>@S z6*Eqz+%NMr&3UrM(pMD8m*hJ3b%7EZ10xPCFt9kc;7&mLAdb6a(-_#1K;9sE0y4*i zSQ#l=C%q^PNO*bh(2};5b9>8iv*N4=zeH#a{1!Lm3?KJ$-=gHd={T>%wPCSZ!COdz zRu+30+g0h9dg;rlEhAP2(vsi5sLAu=}|GH3^%; zpm^8knX;hd)^#J*r?B`VD(e+TpVtF^hYz{C6 zxE(^YiQ69dC>~2hzaRAbLd*~-NF-i69m zpMmumuL2g3Tu)?3f#MI`E)^5{DcigT0b)$zA;X8*OWdqARGQT9hJ@4!+Y26;UNAGJ zQ)uIRh(n7n{JjfXKE`*ClR;^}+w~6q6>T|4kk1G*XhvlETV;x}vcf>>y)ixerPbA$ z!Gl{Qf2k>>n<99R4!&#wTXeQfx$-fjQ2*$W;99Hl;p2A^JbsuSNiZc&Lag5`$;Kwy z8Cn1V1Mp{($Tak*tOg-0CiE*peILdaR|7Iy_fYj1uPfr`un!=KDDyoO8d~Y)fb)Aw z+`)L`TLh8_mv2DbD@hZ4yQYUdSBmvmv&B6$T%I}gQpGc6)>HUdDSg+LGVk8!tUF`H z5j|;ONq;y-ya|oBXEMEyj8#|rH!|b#COsV`B?p!rq^{Mem?iK*xS3tU8+9Ze=b%qO zNsp2MnA(Ir*&NAVY;<^qof8(inUy(`Cp!isf5xj+PP%pJNz`qgTe#_C!uv@}e%1HY zs0rmnmj9h=U9RiZ0nAxNwb~jT35q!pRY!|u9ZqOJ!>+ZhY-}E(Zp{40md#O28mI)Y zl9Y_0UjV9I)M-(*D_uXfgQeqazU_{8(wM0G?C^y464~ght6NAo`+sIa(m{r3M%0k~ zdfkJ(^=iy37)a&7ME9RGT-*qITyWpmuV0(w-}iqfZfdL|WYwRT3-a3gmtk)2;^$MS zy2ORLXM``DjqeR!g73rUpx8>(^t`g;;592R> zFmIvWF01LAYHU8{D&h)-*(leMl=E7%JrV!}SL%3dV{4uy+PzE;GUc6e&Q%ro?;EFS&8l`Ckij})B)*UnR(#mSv zl{T>TI=nLP^MxsgB&X)M?lhG7`SH^#7j8JxvhA8dTzf&-1us00hSWc!p2m**_Qh1yVgV`X=Bz^=;B;6GR0fQu5@ReuC{YW zOe$x>xnF;NM4$cL?fCT3-FzVPI7Yz$!PL-4-gxk;unMJUveX>y+vMEjR_|B_r6TZA z_-}$%$7ipzp!5-ge8Ujw0xon5#jy$n@TRn_e#YNyBoN{kLK;PZ19b<~- zCaOhA9h3#m8tzw48lhy4^xog?lK$m>=%%cYV+`!-wYLU!En;OF>&hhzO4sQ+HovG! z3Qlw^rtQAS-^V5QarO}H;lh)23cXXyTigxF;pxJ)M@>v4mg3cE9ROoMdtsHs@&8-$ zyGNRI&=}WG8>#ST7?)8lpTEi_eFvaY#dNA2r#r*(TL%9nWxp8+l_pxAXk;t_QAxa` z!IFcy8*(A*o|0__S=#r{X=ED-kgpTkI?M?q{0c`XW_7GTcCdP3HbM#?=g9lng4LC! zDhZbFgN{=FGG+~zzP1t5pxnJtwPQ2jJ25zV#03hpDOWyyHrhO5eI?CK^Z2uK_g#Hd z1l+?k7z^mGuxQ|r$72NN50V}T{0}WB!H>YUc&^Cc{lazMeSLI4=Nav+HWErMvEjRd zeG?p87&j=omKSkd(wt-WFR;-Ihg{>M=n+S4q3x-=e za-jo4HD(_RE7j{aZ|EtAaEp{WkL5L}&LK03=5?3z7W{x7(@{OQdzqxg1Zi=(l9f)_ zdxr$(&F6e!W5dJslDAG^+RUuh>5$?`5%v}KSq_f>u^P|{wm-Xw+4_M z<&-Q;gR`t_6*74}vx*vV9u^L1Jk2}l71prM_+jL}4>q!UHbQuLIg!=pr=wJn>i}0^ ze8EkzZE1E_gA-5a?Oc1Y&3k9K!{6d9AUPgakM;@A%ucV|eSC#hF*U;ZYRmgAb1eL= z%Yyc&jO-uynO%8qA(wHW`CiS(2Kj{V#7k!IzJBk+TFJ}zpAX2dE;OXX7a(bEX`++| z@loZ|4f0_cPpNV3J4&rBa(AdWj_7Xq*75P#H^|N*n`h_Nbpv>h{i{sMiO!OOMEU?3 z3?R`xY^seY2ALc=<;ey1quixrdK-+peMU>jOP!ga-}n=E3h9CjK6mnIi|bfU%{vL< zXXcMDYz`6E4V@9y4;H`nScl__=tu9mM8=vBOW$ZDHDm5k)9iDfUHS`Qgh=Mht4W z(fVI?phE2V6Ki)x7 z6@ezc|CBMfFe=17k;qQMl?cB8eLe&;;EW+p41oEq9=%i*s37sbXj4FgUj}jS{d$_d zAE;M>P*VT&U+g_BbUTtmyCU$&l57pAXnC}BC4F-7C1d(HU!K*+ zdYUSKj(5Xt&6bBC)cx>k9q&ll$T=swccaEsANXRsOm?iZ_?MV!NaY0+A%(jU=#c8P#r@v+ zcrJZS!z1VoggDsJM8loO84ANQXJy~SQ4DMTXw_vG)RKt(;35%jng*9zdxp5-4BQyANq$fvb4 zOvVQd!llRHcjX+#WHjMEapU_1e9Gj14Z$?8!C3#FSRHPKe?E0M0QyKwA^CF9LrX$u z!RP;z!joc?iTDAFbD9X@ot;8e^%|-jw^M`au3s(4!j?_&l;D>2tmjiC`+TpRRSG@k zM4=g-(k!)qW4=z}?Eh&20(m3U5;dQJ%kKlOUH$HzlD11>lSQrAhB`|+FA)1%pkSuX zF4!DyTQ83EZ{i+DD*^7(9rx~b{6TW>yZN;23A@8)pSscPWjDzXGIXPHmGkMH4NhfK zDZU@W70Y}I&zK+MX+yp-%Dex5KJC=a+OBYB zCtBiqPyeEb7;SqK#~HkLAddC*8!!lbs;g6(yM$dGcr#R6Sd54d8fY*g8DPZohxP_> zm_%m+cF;w?5cv8)ivec`9OX!w&g>2{%SG0W5|spunx8NXo2 z^V;b5>Br(`@(t1tmyaG=X<0s7B7aMA?fId(u||%t^fpl zx?A$|)OZ+Rcr>`Vj>QnWFPIB_Y5*nCGe=Cklmd-edU6T@m8;j%OV8 z3@E)(-22c6eu$;+IXN{oH6u{WgcEh1=njD{%<%6|ZR{++iwM?>z&37xDsb>)Y7D~_ zpI74cSBa_d#IMArfGKWl@kGpPb^Qa)0E1#fpznbFe7DkY({a0r8Jx08JMb6A6NZI= zf+Q{>d8*2|YB(#kD7oJPxeLjbN*J2+58ijq8FZvC*5KJvQaj{(StXE53uNyBI zXEq9%yuSaPsSHo#0VyK+?MfZdR_=Kx8_mYH_ex$GUGFyC%~D62r8dnR{l-%u;4tyW zRfa6!$P*%{IjX+^9Z|Ha4KFP%OT^%i2oNP^lE9CC zg@E-u)zID?OWFl#{Ns;(xW=)6lSdaSI`Bm_h#mvfswZevBSDhl zemvC%dzr*1)ipImj10lzwE{!=cxu6xW7Wn<5;)QLJ{RRZ$(()*?OlclK7jx4^m?QM zP*ULRz}A419|pB+?hjQ{Mk5{1_B+_&oiSlv`7=CZIK3smIG$4n6)?DN3-+h9Q!Eg}B}GF276Bn}9P9DY*C-mO?(0 zGXCUoTtYm656WI(Q#_#ehBq2d#=l`5Q9W(-J)#^ad)Kcp+G$2d8S6%y+D}4%wPG75QFtP))D2r>Cmv^W7tBpPC92Z?Dn2xu)e)ihLm- z&@Rpjyy}kNAjkbAj-aZ-2N4CdYRy>>AB+y^xOC`5H|kT<$`-y!JVVbmDQu9p!pvbj zE%U0xR*?TmwlgI7tLCeQu<0s?dv@)Qrzr8DOT6lu~;1EbJp2*^<>MiXB z4g&a<2eD4K$AHB3OQ!n*c&cH- zHOjYPj6IVUYG)lv^Id$jpQ=&6c&G$zoqXK!x<#pDc0HGx)#a+kGVM1q=KqhWb6za2oR-8-!l4+jVWN~}95wuNXV}i(9 z!Cw=&9R4p^NbyZ6fM}i%<|+c;7u^ossci~tLn&_dP_JB>m+YOcGuI888#asTlB88%bV!I( ziK(?J((1*@mU=ZZwN@yQKZc?GYfGA4oe4*_c=hR{olYVZ4Qb_6b9qdlQCPiLpztFD$D@A z<6mHuZ>|kWja*4(swj45PYTrNU#WW=1$BwtuOwH!MVfB&#LN>15p1-h|1w*4OBH3s zH@AtvRP>^RuXBO->I%!k+|1cxZ7Ja&R<7%r{CtY(1cD|bOz+XR?_F*d)AV{ER?U>G zW8tkGyP4HRHo~hRCDm*s&Qh!^f0$crXR&@%;Ft)Wq<>jlqWlh#4pkv>D)f>U$2>&t zHoadLjy^W!lDzTXR2Nm4_f3P$(ytIMYmM$i&?^ZA+4AF>Brz9$zs3+8B6CSA3Qcfp zC8q~&1(L5vfSE|O{qKNT`5JX(=I1{gz)pBkF$Z-qn!L&9fJp^5lHGBx1CWZ3A` zSd*o8Ct@=9qxzG$brxcRk%pWW!{Y}fuc}q(JbOAY)Sy!(py_?Y`$x$XcgwR;)xz=K z(ZPs`a!;&F*BYE^^yEzi&k1cGa!8h(y?KBu#D9%)w8Y2J?T)8h8pXbKr(O7H7BiY! zbYM~Z<||Hx-(N#M6i&2SHrgqfiZnR2E^E2}A{t6*^$B6!vIh*CSTi6xyv{eKTmtpT z#$f=ZXZeB@fHc4%3IcR9$)&>WM_5z%)4>NAU%sq-Um8@it>;oFf&d_< z!XG<7h?Y+z2_-=s#udV78*5^X&vzM<-aYrWe|hA!k#@-(b9UneYOW(6Up}Hc9w{u0 z7PZ8Kiaq5`nEBLCpG!7vGI#h&e{X{n=cTD*XCZcYVYjk}YYxlAowR4{XH9cIwFk&) zNo*W$DTwR*SJ4g%jUr0j4{yiHt+`5MaNzc zXXv`#$C**D{i`on-LIw^{(p~|6PLl--fdrWrHo>feh-zguWC;}e>pAod)Z5U2;r{6 zQc_>PJTwZ3(4Rmq%JMa%ldi{IP8}|NFr=<=9l6jr)R6;;ld6o$1(qs_o|~+g*j$4E z_&vO58h!jHx{v7B*!}p#3C!h=$S+LvB&`W9R(iFA7$2QDFVtP${K&+FnfXcf#_^h^ z^4>F*LQVoFDnADcNog(4avc0CYuGT|7%0ZVGNy^7x6CEgCMjC>;tBK_>u!X(`f}gCL72gxeWtrsbN{ly>?JFi|tT&FSyJ z8#Jd@df3!Gi9m7??1TWBVYUt<4=!Yb-LChs+tbmqN;rUOvTQOpRb-;hY zddhme2-`_f_v``ivb`v@;?vETjrf5k7OFy>**{BvHDh+A*&K=jPQT+v$CZ4g$xsS| zEOB?5@ZHx-K_8e%?wiJC8;WCpCd7)Tb}7?4g*)f?CAXD0RPW}usdyvp24ed_2cU-U z7}hkYIgdIE$EIHpRF#1|!>rf|@C2)NMkA+Lm6mhbW07#ORLaE@h?Z5V*O&6tl zkRn*xv%W=){CF80r#BRzd66p0yXy(xlS|@yb8$`gMj5Fa*L`#2)(VL5vJh*nNz(^} z4ErJi?2w}cwF2buFK7Ou+>#-4_r{lR-n45)Ohnhzf1qennWLu~b$430Y0#?Ha9W`5 z3?0kz^36YvDZ!;}GtPhBTK%EaVff0Cy&=uzmHp)Eyd0?19d}}kE>b(`=Opmnqx~84 z;KoP6JnOEPJvjfU);UcG&F&ozs5NL-i_nm-;!CAH&h>)TwaaxNMC5Fz5Hkjq4j$Cs zVW79KTq{u~rp|ealhN-kNx)G$UR2mxRZGvMe_%7hdI)9@j7w%|ERT?;Fcjw%$axRq zO2%>`qU{a{fdI1@Anni|L{M=UQy@}BTKK9DkSyHg$i^gszDmG7R7UQr479g^SVIAk zD{=p-R{9qt+@xqnTWRfP12jQmQwQK8IRVB39h}F3EMG=y2MU;w6y#^jfge>uDLqv;1JYQk_Q^n?cqkdk z^!AFL3gQ0s8hHxPdj;QuW#aE@u-k>!RIWvU4X&)j8Fjl;ssqhDD;{MqV@3qnFBlVziWvO6=v27dlmSh*e??!VGKzwT}W%VTJ5@R2$Cf?3p! z>V@=m$lIVuirDq7t82f{G3TYl7t{EV|HeQUfrkS0XIfw3{rDx7g*AOzUu^TV_it|xG)Eb8`IQQN_as;c5G8 zTOJ~FXQ3I8J2{D1;~-!Q;IHG#J{~L$#(@Gb1`{Wt%F!4P$v6Rvn!-GMVVpODEu+X~ zq8g5>Faiq0Uysq?`6NEdi?3}SnvD>92l~1I$o7-92VWzpc{EOj_XTr^>BmR=5kYxx zjWlaFz6KNN8_!0f01IJ7{yq&o4U!XB4({4_`}_o?#gg1rA0Y)AYr$_$>Se)yf+{0H z{dg)c`rxUsJc+5MS^3iI&RykrS&r?_g!vcS&MEo7Vt6>vt$GMY&HK`^gei(oc4$T&ST>0}2et&hil{BYzT?mT59KW%ajXzxo{AC_6yoolu2Ndt z_SggM9VeG4!Y3d#sSCU3USl3B%-OMCcl4NOo>cmeLW_7$&y+_m_nrT?KX1(Cs#dZY z4)H>Pz)gCZue?G!I+rWGZkMx`@AeLOrSV;lI|~V>NX&*Ai)8)6>S<`mgZsqnH^Tg} zsV7%FKd&eLWJlx7!cCmfM3?FI^H68uybi*70ErCt0F|R?y>$oV7BX@eKxqSGz|tj> zUW{)6E~0C{aO070o#YDQkYmZ*E`HaW$PMo8vdYEYHq8zxslCUyn5_?`%xJ8wKBm#xK5jTtFo}D-^A5X?uU8j^fB!0nR75 z1{orC)N?z3Z5+O*O0UqJ-noxH(rJ@!R(R^#D|gHUQc6UHn%#dEnq<H!zzS)qK#hZ>C4HIb5POxZ?RE8U^kdOlo%mY*_eYC z=*=X^qku4>5bkY zI9>7MqbfL2HzQFiouS=PDn>~CtXGmTM}J$vK?oZ;w_J|o$Cdl_Yb4(mOWk)5dZTN8 zu6b-0CK;pgA4sY?bISUalks8TQ#dD#o$md5XFy!7ExN-k_=V8sk&k_H2%i)jZd@s3-Z(UUaWsJXSKKJ zkKxTQb_KH{uaX0?w{pB_J7dZ&-g5lAYMYW_5^+g8=-;W`{N`ESoGMdjc0<&<3uhC% z8Sk>XT2N#x(IB80TU03a*7sv)!)mBo#8QS%-m=CJgQ*K8sd_UbEjxx4Yd(1S zY%=Vker7p${TyT4t@FCgeJXR1YAY@c<-A!*HqN_Vx$1Cut4PvoY$K4@=1+cg^>q9a zF&C6HjQSKr=>f(8?cbQ^mH`1a9)YVAZ}RS_hY&BaRaM*M)-vUkh>1OHjJXCcyr#LN z<8BPnwewG>=dh>yZ%TxF2KK=kvS)rGL!Jp(R#k5i%Nc-$z& zyKBqZ1FQgQ616&q@-hbObI=$qwITPFTUQ$<-a|L+I#Wh z`Qiw@Hyi0+D->K>ef@-{aevW|V4d?)rkXD}$A+i)BO{q!?(-69Y~ocdeRPf#6r=d6 z7YCZ+9KWAj_J|aXcmo(2vIx`3uMni{zOQp#FgG5;6}M zwF>C`jqyFJ>?^6mqPBBNqGn1zye(4F|{2aU1 z^2NdcUoe%aW%BbTOUb!AQ%mp%%&7wYqVeHfkn zz(>XzFgAcXfhQo78cJOHxA=kZX_!JUNWxl4J|h_3z%*T?`Kpd-m;By@se%MlW6E8c z`xgL17&9Wh!)J)3EkMy&tmeODc_0?UAD`{Ni2(a`$)w-G`1JIXWfFV3UW}hT{|)sa z9`(LLltP^P--g_HW+H_Hvo`&DR#VQ-d&Sct7O+e>WNw)QdNO4UQidG&Kn}u5Q2cOnGrs>in#+Sw9F0g8A3IhK}FG@2Xh^F%})A~g=Sm^?Ap46R>`< zsF+RytrtR>3A&|CA)P}EjO~riPE5{3H>33YtDZE)Z-eG)&gkLzW3Oq1B8($b`B>Ci z9yD1kZ(WXfXac!fbNy1aRl@15=_PueRCa&nEya6*8)3|PreRkck{K^lc4_?*x<_sr zxQ8tiEQqG}F()$Ibc>Vz@+iMIhTXScG=Z8<_PPq)P@zekeB_T0A3V%wy;KlkaKL{ZIJDKbkXJrMC;Gafq&f8B)pgkCp6{7Z<`N-eUR-2 zg+deyTcdynfK~^BY=@u%8%~0K%FRdDCA z1y#Me;s}`vq8Rv2AfmMKYX^5i{{JKDJD|Dj`~SZ-g^H4q5u&tYWv@cYXv!vJR`%YK zl2vIKnJL*yDrA`Jvd3G zyCwHW58^C^ftdoXAbW<%C*;znF^&jolM&%hytbm*Wd*MMPRN3O}wTaqff5!5$OW+F+27?XR}=ih)?#dZhOZ*eZqKLSv;`d~tm-bI&o!3HSk zB}B*S-lCZW-L^5$+69_E7Fsa{1VlwXXm^&j5;!qicddDDiHkb(3S06IPVerWJ9i?G z1|i$K)u}hF=4Vzmo)j)aZWWFuPsUI5rotHy2A8;uDfXMBr91h$uX&^ z#4%}%B!c^9&kB)5m~!kUC1q4(B-mqfFE9Oh+sMpcWq5bNZV(mBMBe~Z+Kmbuvbb=- zeP9sXMo$eR)Zv24=vw~V@^!CHG!I}q`{!08zykOF4t7O$HKNJ zr@POA_CZ1`Ho!+;sN%2sE}z7MJoii5&DFK=YiF$N;JU_BcT-M!Y&RZ-KWE&qg8kL)F3$yMn}qTNT%_l;LW*Q_gJU99EpD2J~Nu0AC~*rTW2)`}kh+(U zK>_Don~A?1f<1y&pOC#5CZ1e?|KGr5br8h+SS=~1xR|3Cq)8U>xd>G z>EQdn(~A(N~;+V&_p>YI%8wLd;=Qekv1E^Ph2XolxzsC)+~J?Y ze~J|h-kfsilFn+OpAs{Ayl`ycgPjmhn|jMnwqMh+gXMY&UoUVRJX?MH=7d$c$Bu}C zE*XjsS~|BcKh%4DiPET;Gnnp>>Gi;>**Ota325r4SCBhC zAR>wb!C80}u@Y6Hy9=v?k$cw-_}2iQKw{uj)HxVW=z&2Vz?c{t%Z4?kpI~{3y%0hl z(D1#Oee&C{;EtlsFB|$e;0P~#Mt&YTchH51yB_#L57Zv{o->x6E-jirO56_6)gWGTYEH2v!Y$p@1lq01$7If4bJDZyKq-*oa#jl5J9Pa9_ zE^{!ZncVX8r|uttfruPh;2g^pZ?1I-tz4B(2(gJH4Dt|m@DJ4b@a~Y0uix|_Y124L z>#BrJn`1imy-RvrYy4IxbjxaAX4M}E0Jv)BLal##vo6iv^8z`ow#wZ6JR|2HURE)Z zxe4kHA?GuBODhmcV(K718uDw=wP}VeAd`rWa43Vw@ICiA6i%em^kN8zxJ|{plk$&7+LT^XqdYQR z@AP&!zm`!@OCL%8F7o9-N#~-k;NIQ6W`DyD>=lYUR}f5|n0DS-yVqS`Q25cqhlHu` z_wV1YDSL@Lb;7mQv((^@-xofI%gZ#RcPm|!9}%}8c=a@tStwNC!%lt!nFu7rhT955>F3l!^7^>yj?3)-c7?+9%l7C_>`sI=ysj>MQ3A;=2Mu1EqJS2g@cp;H zv850uaOeX_pVoguX#w&PQytOhi&`4&E+r)fiH|hnCDa2I7Xl$!V~aY;{o$k^5MSoR zCtH&9(kH*xDT{OtTZw;(4E2;xKW{H6bG_EGA~9z3YCKN7xmC=8_W^_T&fAyf4bOGDUfA}7bh23Rkyg+SOKOI?QKTJh_CCJEmsRaUJm!zs<>cxS}vr(9t>< z87_W2TDH6QAt^F2(Jfs6MPfyST~$SJQiRssSPxF!yZb77L&}H59T?cGk2o~-o;G>Z zkUW!k=ktk^GW*7h1@8nNxSj{xg&0Xz=oM*Gmx^4@D*5~6DCdpz_!xgW**jA)6D?os zdf6do-=E^oACu<1np_GM6`~9|5)4Numl7Vx<_u~LI42iUy6^_tC1)+@Jg6de_0?+* zhhDdg9LFJoe5@TVI(&f)WCu&_$vIlsUUB!kpR+raU;m}g0d}AhXQdD_4RZ9}X>s~v zijv-C%>Sw$F%99aC!D=qT@fXdWgK9Y3|?QU1o=8uxy5fQm^xz7m)xZav>Ab1aFF9_ z$3!|s@c!ubg=d#=up=M`Vgf$xTFLEN z=iS?)k79j?MXgRQeX`A4WR0&f>)Jjujz^ZUM_7_S%ldj_Nbc!(E7^0Tg7S?`9Mn!g z3~>eAx%JcWzV!>;V3@6p9TDq;3N?hk={IZ=&okJ}=)?diO`>xtGC*is9RCDFWbkX; zV-AQesvf!^xFk~(GQ+cnC9g+F}JeU1)F+mrC5_w6>+ zJjHP*Z*DeZBsa);Xt-s6_4pIZ{HNaE-@0YNV4nc57U;EEBX<} zGKR`6A{FB;bFXsMN#Fh-5 z2?`Y9%vZrblnAl}djF}zI^`UAKyUiWJ9- zmr(1`B33=rqOj&a7i;I8HGh09aX(!RsNz=Bhqp^G8@?E=Ne+oM)hufM+*?O!m-(uD z(be?Bfcx1XI>v(bY>Sus=9S|(N%$3CT@V=&^OU#j7LBFHk4>16&=Uxh0PC&e*^o;R zFmIwVk}(5zc{m60CU}Q2z7WPzr0l8OS83@8oZn`mpYf0s)yg{GXVb@`Ie@@F+~EB` z+DlaLa?K}BJKNDT+VL3WGXHQIzj*QDqrI)83UE|ng~DA03vGZ*R^M_r!jRsCR=-#< zqA<@9$nr)!NQog$)R(q`{)VCJ%1R^)%Mu;Up@JtASJw`Vak&|XF)$=9u0e@}bhx}@}Aa&R)a)O!Ew zo`h(%S$E@w%yS-*{xNmXMsDppEmVMhM5txR|FuZT-&x^UAV(h0K_m$nYKLB#GoonPXS+vqC#{6i8wfGouSK2``h51s>kBPP^dCY zGtw5*loG?awrfpL$q8KgaY|-&uBh~ggHL^XH2`;`GIZYkiSFBhOGoG_| z^i{*{BCQWC{%%2@f3|>tu|2Xm*}$z{Fa0?!i7w#8T=NbmX}b07z(~J?M?J~!HrO7@ zKP3BJ1BnTbG~9(*k*R1iR+=hwP)SI%9e^^ZYw#dM_%#Au22EK*D0k8`;Y8UJz|P7V z@Z~o~u6xEGz(>GuIM8mDv_n{%MsK%yS$_CH+3;A30tx{O5jso{ zh-cwLRP}{+q%px?hXMy)>taG_a9s&mWdFKjv*qE@S_eI;x13Hlh2!RYxcGy|J8YL_ z1-3(`PP$6EdiA&=caTfM70X6MR(z(t?G!mFnpHTXzKav8h+U&jB73F zf$ZyXTao`BDXTqaS{@f38==2O+cX^h>Ri8_YyO7_ld!qd9wCuQy%D1s=SB87@bO$` z*%ct!gG~U&Wkyj9e@LZ}jHotaQf%5?P^>=MgZUij3pT0P*Yegnn^`}Af=#*IlHtf8 z6TN+0u5E2>ocY_VEusdFV(EXiwJrT@#-{^D35!h)NelND0&Du^X1z-9dGz`A`3|lD ztG|~pRGJ8c?RIc(Omu6-K>latr#_qqMgwlPIvd>(STj~|UTz=1!uIy8iAVa8l&|VE z-^dgco=mh2*%j#&FQ-N(!ZaT4~#$f-fB3}lW;}g zbg@{P8Gj@FhBrboE zD&Y*MJ47Qft4-TXhZ<#R`uAJKT?KZ(wQ}mhDom99!0umfNkmxkIE&D(iEZS>dXmz5 zexel#qwBw#(9dgqYy8X^Xy6(QqZsoWgZcg5H$jHS@}c@dvRZ(*UDKyH6a?4Un7_-? zL~qBxxPLoC9Hx%*9||}2c(k=BSzB=4d8%UaY3OdYoS&+E4e3xznCJeD6muqHgLLhu zCVw=}+PzM?{Ltv2rCbC+yVtK@Q>VAI>qP9C8XJ%3S8a$elA)0{;$r^seAKokc_q{} z&jEhfObhTvd$-#%tF_FQ=-8QCgiZJx0K`Tf%s*DB00M82*D&-zuj6zO7ZG;mVITor zSUQdqfjznOdtFq{Uq4EIK|pYrl1G z;>xOt(Y9w4ZRS-c_E`m`7MyIBy2u(ZJ3+z0_wy|m{nlA2nU$dv3VXT|7ZNWxnm#d! zTDU(>OC2fA(@f8pxz2RUZZy-6#Z&X$VK1=+;+HfCrvhGQvIvvQ6vw4EZ2-Uxf za2?b)Usl-t>08br_E*st#1KS=Qc0Hb)!&B^7=!H({c*Iwd{#HKL{sucCMVU<^a02C z`HxZD3XvF1qs-_$r?BdYISGzm7urLOrw+)+CnyeqTAj#E<1+?yg-@)lY+igRy!dd^g>54Ato*~bNtFjFM9EJh zK|kl!z_*hn^cH(P-ut;o8%Dn7qs3uSQP{M@%k+s=Qd{Q!su> z#R`%FaTB@}(kbsvj|mz`mT8+5KsS2(;Wf4g4~>b9-S$Hm z{7~jjA!+Yp`Z0Uu-Mx<(y2Kh#hiH_GNA>0WCJzHB&gNX2EHF zaL32(koiXhR*MUk1T3iR?-(1Z|Ls&5F>3Md8=JZ7e8iY!Ms&v0wIfM$S|MnCv}<9= z|Nk4C?!l(m^Yi``TZ?xk>|7}-+@`oq_{a~kLrLZ8LlqisKtq51N}K(=wC0D80=@#B zU}w~7|FF=04E+_DQ{wg08ix`e!l@?PoW@1V-y%$*rWgfMSEcdBpkER0B=FFG2u~Q= zTrf%!h6#8|UdiiN4AYMA&^4TVde*>>6!5w*mGAolGo6Ql5p11tWsUq)DlIUYWS^1T z^{{9l&2(%NY2$nU75ZRZvP=0Yg7qu)nY86XItFu?k6u1c7ph)g%ar-*1LMF><714d*h;GeMCYO=Ot9r`scm~jzF z^?ahMYpjJ|SNs_S;&Chchf3^vKB(`5|BVSV85a=ItF0cnVOqJ(_G-M_@Pj~a0j*Wo zl(oHmUY-0=dW!#4TDc4Xi_W?6Ts1xX@yGgP7qNGNPDt#HBdLHL~%r0oN;5A%!r@$KvrE zPwZ+<`DG$CM3z|y_QomMH&}njS#!+dstu3!QxAD0`<8h*; z8O*cIMXo{gky@ALWAa*q47?hSMljL_>}rEB0uqJgViyu2qkvYc)r{n1C~c>+N+*jA zYqsG?439XPQA{D9fPo0P1cdzyCeJz_Ao3unaE>G58@M3G0;=8@amf_&(vH-^?D=Ez z&IyY=O_Ss4mZTKXZu>cf;QQFzYd3N~YCrq8*XXks>qPT~{_!$|%MumUunr#@dIibJ zp`(6Du9pj2ZRwuF0QuJ!Gdb>jT>iWvwUe^ZjnoGQ*LXhT z)Kex<97KxzL}!sipK#)-ix*=(Gz6jv#0pQu_-{Cju@sU5IIg`Vvwt@ovY1TNpTmIz ze?o~gJbOGx9O}m)Y9M9?4NX=^qGNMq@pi!djK^@gZ zOXuWB{PUSAa|BtvK0XHjH_{)VSq-oc^2ueUGHjPX>`Sl_7^e=ed`OZ5Tuq{pq`0s` zruZ?_v2jSQ&(G-4BWNvtOXKJ7pMEQAbwKDe>%;Znas+MPqsM}TCasIrN1^guhEo-h z6Nzl4TycX9Nk#K<8EV{lR2`otQW>@E?d>^MA{z_VyYK8yHIR0B{E_uWEn|xqp?)UB zyF~Wn0rOdCCH}V;#!~NQk11HrdLU<47fj|F1TC$;8!x4v|lNjd30IiXmg! z*DZB&XNzdB(cM{+*#ra0@V8B-W8~3Wm*qu1{VK>&5}(|CUB4_Tb4-6K{oLc*$7 z;M&0%!1sViSVB?;suetu>N(;W?5H1`+tk~?UW~YcKVlZhGZTjy3I6Wa{3$vIC$GHd zv!h*H^6i3`lM5|=gkao%=BWR5=%nGPQ|>rJEX;3yJ~jL7_Zu;DMBc)RU{Yfk`#b3V z5l9DZ0|P?0A+?0`6N+0@(!TF==ta0^Rgf8X@%uiaSpl#bkzD&OrM6J6CwQBqQJ!$xI3-k74i=diaR2|U84=dFJf z^(%4>4}J0dyR!DO;qHkv21(ISRFM647TK4#Mpbzv`l+-O#g*BgGwf_LP2500E@h3p zKJ-!c6*d#db+!$gXQzr^{qanV`*YgipWHFQ!xp|Htl_nGM!r^Nx4)~~x0SanMEl*z z;oJV5*g^f)#!LpFb0gZkK7YQwo#)?U_Yb8Pee?4mM^P^SR$+4MtgTEuBB!zvf+o_x zzw%5dWi~Z#v!ClsYDmyz#60S2KHk^j6|pK?2Re zw)ZSgA`cKxGXU&h-V@bMIyd!HtQy~PCSh3fWEOKJl2>O&Qx5FsI(2h0a{AWEXtJZ*4s|;%X!vE6(kRR8P)%`t4e`yo@ zwDj|i1|A8f&=Xrvd98s0<(HvtP=7CYFiER?Mz43~LvmblVyi>hn{x*+_lAR#B{Vx=GsqdpH?&{&EpkdF6#~7aXp>>!IBCt{mVCt~WFl5P32V zedJK-Ul!Y@kiLTwo2Y>SzV6BM(1~zYtT*%^z^>QJ; z?c?`suu-5KW1i7LAPW4#TbylMv1TY8nC(c6^KHi749HB<&%7cL|6%Jp1=+)SCY#fz z^>D((Anq$M^n7!J`tS6ZKL8a{zdjFd#6J%sZ0fmzg%y=k0aR2IYx-gj8tL=ys{0*` z`RsjER@NtWUL$?7b!~+`TXe}JM~8^WB|703>+SungFikqYjsb}Za=Q=$&Tz3wNU%h z%I>S8N@lnMSv8qS%IRT|z=Jw>Y~qYn)K1hi!z$taZh(`}R39yyj(9C3T$7Wp9p`fO z?0elaSYd(bvur(?Xo!Y;oFWxooO~^*Pxcc`pG?;LsRr zDIfVTMAe!;-Vjj4gCCQSPz_fua=D&kq9fXzXGtE@ic zhv2nsn{E(=ibU*p@mTJAsPQn4?<*T2s06|QginpAq#)h{q@X4G&g-qB!{fzlDz~%? zEky8(c^k`zeO3p!YkqdmORRSFtZ^dPo|5#kv885set+|~*b}BsG5*6e(3nxm8n}Oo zGynToG3op`AqZFAjX%?kyJ~CKBI~5OxEsEU<+X(Y4ze-fi8=J>%T;DE$&(Q^$go~4 zP*@Qq63UK4z&;SA5P8wW_d;;5m~Z^#ZsE&$a%=qihr{>1Ri*qjOQfa1#>#39Q9F(c z%jW3sjpCplA?UhiVRbe^okHl-n0M-po`8s8x+G7GE2!A&*|w}qunJYvqNh0(fI$|v z8NWv@3W(@ESA(t?4eTv$Z*SiaHL?71U}eMRzd7{mZMrLBQ@o0!loRZ?M$26P@I3d@ ztYHePcEG2Go2wVpF*`hr;hUe3wo+_9+aaa>AlVUlE*Q{NtD8nn?~p*i;N*fiY-f^w zt8c@v+5MCHu}Ci>5`xkmtPh~xAH}Zwy}Z1L1D(jbKicU)0p5^Ez9S@Y_o~|hq8Jmm zdzDgWFFPl=I6QBsNxLUHmgoI+j&-Mz;~s}^Z0?rJfehmA)X~LflP^^3)eDH>?2|v$4O*C{RcwzA%cQ9?= zzWn6v-G6Yh<0O7n@}{ur)bUGj@n_yyUP<4PHy2Em<5@X547`{qr=a2TAi<)PwD>^~ zgC)P{du;S+Yq#mlb-ZA}qJMvfa)5wtb|V&p{=H(#=>Uuf9@D&pSf|H#p%3EK-9%zN zEN(b72|+$)EppPk_2n_;8|#1U{lhRhb4_}+m6)>`q}quGFoi`30%d- z(cGq~P(w*RsV5M9ccy@;abfJ2LiMmbVye*j{4WA1(QnxXyI&}?*s(hSZ_x1AC>LFd z2N$$K$R$%x&!UKZHRcXt91nWiDd08>raGZ>U2F8EcEX05+i{8bt23n*^dye^E;)xu zSB9sDe+5k(oxC#C?|%KtT__R=11eFg3^^44Y1#%gRn=dyuj^iBRsWN(SiyZ0Y_7}j z-OTFHqf=7rUrqE0P)k}v^6J;%>p-cF#g{nDIs-Rb1)ZF@131nMtIMepVJWjsDNJ>d* zk&14}^{#fkVRBLERaH?gi*;{ZQtTD7Lsn#@G2a{5%dQlCiD-Buw zdd}@f&G3@#gE;%x3@Wo<)^Q6iKI>)NxBP8a?*;|ORn~+Y3fM-k-hN}K?aR&f&YF`% zt)9*9c(Cw34t}CkVd~mg=&%$R?;6oSdG0#_7HJ(+=~@7$7RuBM08nT^ZLIs0MtK2Jdd1Km$MK0owzp^ zYaBP$76zb>|8TK<`9jRIebbxG84t#>haTsT{qpu^L7OK7OjTe!F^qvlg_TpJIeq(TkuQF2mSirFR&(sywf%;T&V}~qQ#Zd} z$&F&)&k_dI<=&oj8!J+>=B@~~DNJp6M79EQ6sgBZNw_0G{Cx0qJit!%fpzcJx{g0? zBV+x03m~|EEaglH)$xN8K6uFgi8Q3hM*}7}FUT-zAx{v81?GID`mjBhf;bc4uUw_gCb-~06ZFLonfHe8q4kQLO}@psnUoFo;>n>UcdIa_rtW9QO_*Ra#T5cm z;=s?IbqOL9C9eMSJCvi?7gBj=zH}OL@bQPB=6Tx>v2LK1dJqKaU z8Ieqd%@p4(zt#pID@ovlRY5!dp|N-N#V>PB@+zeoTdqiea+=1ljA50ziXP5h4BS_* z&_W#7Q3qALk@Mif3J{qiXthKBB(`10#9fb+X%KyXIeh1em8(5R)~@Z#sl2vut|Hg) zD|CK&qaZXe_z{oG#$wb)1r|usY0G>;K|$cLL`F9e%?9cUm=IA}ta-F6*tSrJr)yxk z7hy;c6H7Yul87iS^fO}n&UlS?Zgsh>{3DJb5^Z&(r2)6qIb`l5OaJpv9Ge(ZZvgm3 z_A$}bwYoZ_@TjmUIo{VcWp^E8HVve^(kbe4@+MO>2{0YYsF+=UHRtqFq2{5scD2@`~ib zF23b$h_r{OCNmhAf`S4<+5kcx*{sNE{Ygoxb!*C@|HoEJ;PXaRH-2%Vh72Q^@`CHX zkkC}hYyX^p0IeZ3qGV;-I`YEq+YdOLFg+1&7bK4NBE}d4IHBD1|10PpL{!YfhH5{f z3GkPg>EV!?x*O#~3Dpi!T8!u15jPgm;Q+k_aUw#4hA)s=Tb3{mM)~~W^O&mq*P+fV zsFjSHIH?idr2&(TIg{xL_i_$W+M9OEfW%!vyR%L18C##E%;5fC@p`>wV$Nkiae8Pqr9+Bm0|e2je<+Y)-e4$ag~$C57Z zh*|rC2+0O;t<;#x@th2qm#{~79iSEli#o0$DO#za%JB!0*dK{3HzLLN>^|fOnp74v z%7-E4QQg|AK+ndP@6_$G&?h~gk|{u?9J;W0oOgGvMNayAsR^HTk47v%;7zJ;BKuG8 z9QiufV5F?h48-cj;?u&fM0m9!iSO?2em!~H(s$%TkdB=KzPz%fdV8V_gm7HpL+x1I z!rUjF0{shIig)ip6Lcmm%={d_ek{pN**`YwyXDxk1{A#yizNttu`I+tE@51zmZ3GJ|F(kv%45SkTOc1PYkS$>VEtB|9 zKc3gN86Ob>++g1#lIyP8dvib!2s{%?H$;DSEEm%?pLjyevu2_HDWcV+d3KnVjJ8+M zx<&4X4?|$a#O}6*sN}mBOxNQd(386|jLt2c=o-==)9@eCu89mA>(P=+tS_~S z>y_VZnwpvJw%M(yBa0*15faytu_v3}5v3EN(3o$iJ~m?T_hqB$%jzh5w;F|;}|61TtmDWYY1737!I$) z@q59llPOxBryzuBmq*o*l-{DP5CO**%3=o+y(jKcewZGpfyvg`ckTk=VH!r2naBFl z5MIn9@NHv#h4f(nx61n=`2|QXsi261I2{U0gL{#b{*<=c{WepQ<3xivNMwIvKtgKr z`F%7zIj1!4I2DKJ@SuL=Wm!V>A^d7btUL2EXuDercg#6Po?XL{9pGJR92=Hv zzVqz599Ptbvl?PR&2S@E3e#h$WewSVgoVVGWW`Di#R7StB1c%D*|mh z5V+xk!@mp3cb8DZ@V{_GysP`!7SVcQx-ij2lCZSj0*nMky#D8CGKR#m8@lWMo#l&E z82X7kq}p2bZ9XZYR(IZ?+)TmP+$1gz!1uG{PU>hwL1}LR0hiO{$(rF&_x*j1gLDP7 z7dK?faw}#$^aFAWQojt?vZqWq582H+_suL#5$6}mi3o}Z&kxUqE0IZGLuqpA8ccPf z&YSa8jUH79n|SeSXn6aVOP3!n+$N(56rbc|J}65aSjy<7TY0JXqg5fo8d3g)X14e3 z1y*nY37I~g@mta>`beK2S3h|9$xi|{apki%zY(pRjF~2Y%^+_$de)E5P;d3FH#?az zm@FbU0#6!|i3)ob5kdJCk4OP~fH1^29E98iS|qY=P$1CoO?uSG;0hpcXegJkTjIGV zRWvni#owE5K6Q9EMsIl1Dgm()+VjJH(_!SzM1^YKG4AE9Bz*e2S?T-df7;g|IpIKn z6M8EUJcG6_|A_zPxmMQ5&mSRz2XG%(xs6Rsu(Uct)Ya;wla1DgrXPW>J;&puY}RJQ zL25u~qO09G>AI@uuljLEENqrkm`RxS#gSEynRTX!UyRw>TlRA#UV31wpH@zAgH@%a z!Nt?%D-$J-U9N3?ch$Hmag;$qeEMsl$6l40m^9N3Pu{y*H|ndX+INzE>0V*$^=stc z%De@tyYoHm(zMw%r@!f@2%JJyxGTdA#vXlCM2RHg z$uQ_&T^4-DtvBm~qB>4j%r#L{smy!rWFBa1&)Q7^R0#~pZ7(q;*M6R>N z*xd5)?Y(Vk=ccUB+tD-$>}mna$7kx&YH+TVjYmZCYiVb3Qe31?d1Pxq!@5n7LC(}? zAu0-8>VjhBl>NiYTlO#F4STPquzZHB`F1Vg3A-Ty)EBP5VLP163Y?jUC*r&AGrkoe*LunnZ zy|+M394AW`pwGh-elpJqTM2+#2C|bDow6INL=Y~{U&7l%FhU@uVY?ki;41+`JSv;r zV~9i_0CMTtNgwf|PKRpyD9@jNJvlsjpe=mm;7UFjEmeH$x#r#?6UTlUy)(NuXWr<1 z)nhL5p!czAa<=cT%_M2YUM}tYPYSc5`las*ymHyJDpa=xDcE-v@90^Za3|*9Py0~> zID{?V`inK_ZmzG^=e?GsGBri_=}rq4oT|}&#|;wj$7Z~6zzhCz)xR@~-)%q#HzSnh zLUCg6xD&3PHRNs)nFxVl|o?gLEQW10yC%Xe2U6;;!Xo?F2VY#1;b%PIJo3YG2imrfue{ z6DtU~-~?`3P_4H~ z7%`Hg3iJq0X?(sQ7YP<{d-Vd&>;IS%DC-X#JQ(UmlDQi~*>3XA=LI!6SPFV8&=?Z+gkf2cxQ z`02x2xw>|i+b4HsO{6tbaA+I~a{3eI;uNFW)tj^@H_FQ=tYe@EBz+nEjN?_0$+Wxv$4IaR6fEI$6Ln`ZS$O! zIa95eCei?i{B*(`fXV86jsfz2_m9=v4Y&?hg-6a1+@bJ4-6`At_&A~QIWLTJmsmq) zQ^)jrD9^g|7N5m=ReV&AC2Tj0sJM&po08KCm7Qyk({n)_F5Cc_MR28HTU2^_Rtef& z9LKZNA@45{!dwVe?u`KNkij*HlL{K->TS7*IRU%}(In;!KR@G_UjQ^fOadVdC`G8Z zp?|9=UFhB~l#Wju;C7Zpt9Xw7xzT`#wCjw#Zx0zBm3Az9sLpgdb{qXDmrd!shV7Jl zg=$l3W8O-~NU}_2QQ0*CPTI%fxD?M_(1WVrm(Sp?Zp2s&*LBZ{YBK z3eHMd<|6&Y=vwCb;pYDI9Gz2QiZP16xini&{$@`bD=*~c4QTV1HlZOA6Otp$CgcH4 zKLzKOh73As`=le?F&j=$fw|0=+Lk8$ZMxgX&v^Q%vR@nXr%ccvIjwg@)2|D z#{mMn7{huWy7^s9RoKRAldNXG=f!y;#Jupqii(R;HRZG-a4I;TNGf+!r#^UQ>E8;u ze;L#%UVi8r!4aAv-+Q?P6D_`1tiN@Rzh8*NhM%s1)Cx?T9yTb0^%oHTAtX7F=(}XUGjp2N$iT{OYu{Iq!-3{U*7IE zy3jku$}`-(u60I=OPj(QXeZy&)FjLa9bXFk1eU2b^u zhSPZ6KjYy$h1!?ClTucbYd=?3%WQJy$QEI`y-CdJyz>aMrlhlgiT2`>Dg`afE^D@Zsp2g@woCFD^*Dd@m(5B1c4Hf3-9^B>gIya@Je?wZOw;C$e_oK@+9DFZ_4Hl!pneH{cB>1vM*YL6i{f$F9D0ZldNfTpMZX3HI2Xsd#RHD zhg8bOq{e;QTzudqW$Iq~M|Q`MRX&esLks7Nl9Dh;UjRs8Xj{r{60{{NLs3XsSapbH zfv3UU4glc~EcXmQ>~cmXAZ6la#mr)0IONPZytxnLMs)QeTAP#vG&K}qf5HR-^Bhv| z;o|vFnSWCIt@Nd#?b?ndT|hC+f-?8bzQq? z8Y#W?>kSlc?(?}KV9W0JOs$y|9b2Yx4;+9?t!sL40xva{zHH>P{Sz7#_RMp<>%@ zoak`w5GJH-%{EPjzH*k4DhY@dN_C)jSgf1zE9kWt{QOt{;8R0PCIvaUxn}nE+S{Ay zl8lXwi5nF>tkUA$$EFij%QD#+!up$Wh!KT4I1w<>EiN_s9~uxR<6#M-`<#7x?=2$e zW{3277#6;NXMuqc23eeI)kiM~5ppG<8+aMuj$cJsZsq>GcR^eH7m0=eV2J;soh*<_ zjHgOOIx>{!E5Q>Xu9=_9Yk0R0_Qz_d4RFa`>wF7na35{qSL@)j85Ud33V!~<|M{$L zHay0`dj&a_pm-M?P^2R&oz2pdgAFITs8JiSM7Sr0hM^^+AR!7fd zbi6sT^qq=S@I0faL~Fo1YL`?HQ6o0Iu!7eULp|D&{<5XX5zT5;4nMA11!dh0I$%*y zWE%30;!avTm8Yq)ps8aV15-2k$AM#e){#5IvFV+DbjXI_%Si|bL>>w!Xl*`ueXRO! z_VJV{{m@8soB?~-us1O!sB~+cplj`NRuErRoiRHaFn}zVEkNz?sUc%xPonZ@0z@YKSR4!r^q#y}w!8TtYcF^($z92R(hc3;a?9vYHiLM(dcw-{-k)r$S{HzLfZaSDN*>pXjgj3ZAeF-y~$-WX_-S8p8lA@ko@@Q;FLgSCaerdqVhd$|CmsA|8G*D{I&vds99wt3eCutDj#LI|XHc)=q zNB%nNV=M*`H&K@U{DXeW}@T`EQGvbC3k%yd!rOd@w#b!pL4e?mM5i)9SJHsu6WCWot{kO@Cke>|K~jEs!Jtn^UwBDl(~_f1QlaJvP0 z!?l9JI8nBVg%uofzzl=ljxMY?$@$3nGH+=2q}k}kIk~1ES|K1x0>=eBa+mcvfDLEo zb6d#(01=J^vok)%D#0?T~7nbxJo+V{*N(`S}^kn2G3E7)`a zbn&aVwPm+0r7NuMBWm<;=M&;;$gz@_ewC>`IfE7mkmj3uxrI-0in^ zk4IJ_8dhY=Yh-nJ{1XKYPlG6$xOH=ZbefwRhpb4hj*&fk{bPNE@y*pF(OMwpm)52IrUR6iCKw3 zrfpMp@GqOak{8?q={^SzF;+Bf`${KCK`weDu#uL6i$Ps*{C=J{Msz|@>uE`={o7fR zJ;Gw}ohrGtAD=?J-TQuu>&z9B@kZ_!-Wm^@MsPaW9v0SpmMI@q+v_OC4#45_qC)A% z;E}Q8flV5>j~=lW_17kVy-*yis)=-j!)x#!nAJpK#%Q73+_S4^fX$qdbT zIpR$rMr`ES{tF^dZ~G2o7kdQOl-nd!UJwbpq+w64vKO>NH0RBdRn|5^q;P1j(&OEW`fL;d&AY$^4qYO}=}|5de=i(e;^ zhYmquc#U~oU0w25>k}HsPe^CD%Z4D&Kr9eH5|tNM{E?uLg=pK#IlkX_RN~>epxyS7 zZCT3l%Euw4s1^R>T2EKQir>KIiHurSL@sZ_r*rwKPYlllCm zOerJ~(BimAAJp=Z<$C|m?pZth8eu1dapZVacIJyQRARyw)qnF- zyiSfrpe)qEoi`LvDt|)UwI^ZjmMNOOO-KJsPU6&Bq+b7}y-tZ6$a?QLx)HY$td2OS z-g?eFQ>+1h5YGF=k=shS&P)k-G}zp2ARv0LZ%H-I3)`ZB!vvL{xT^MUuX?{l;Xvl! z$9D2~j}dzRL|yI4d(U zb#j<&=KJ)@@3Ybr$cwinO`7jg>QPN#T$Xdoi2dOv&DpLkwdq^<^ZufE zU*^dfnvt|7E{|Ta)j|7p;*r1BReWRGBOIh)S*X4&o-TmSK6^KJ#}z*tv0pZH*`~L1 zrYHo1UhxJbO=?kG)r9y}FwsMKB4LSrZf@2~ZhUf*B7nC1#a#lDK&HwbKUuELrHEWS zIUPeq5s`}5+oVvBuK4o8<0IB7El-}b7@C-p*9aWd4J!y&meFe!V3_r$nTL`qQ(`BWN-v zH%}XG{Qmu+3q6Sk_hPKZ$(xE<0b|z~IQKFeyXnQ(KRe%9__-JyS*A?F8a3&-4x z#odhrJETLY1)j{hEU3%4clmy=JaC;xZc{{3jd#@sMReq=iMkoKOJ{v%htJ3^bUHgl z7`n5te{vL~ITz==sN~=#Uoxn8%YSTad|`!Lff%z@pWqK>qQ8ctLmV;N3y2Gb`h~&A zxnE0D_T4*kA1p29^Gv{nZ8hMoGA1##@_bI~hs~ckFPP9md~aHFL=2J%FmuNkMh|IK zBA1JhyJ50^^$3lrGcPkFx)GZ5TFT}i%0^W^0Nu1-$3#92;+B}?fyffTB9P*_v9_lq z#$9f6kkk^Vo%&Y0LUyFPCHk*>0?oxAf@D$-B@aO279mB%eTZD}O%TzX5(u<*VX;aU zb>o%8~x7D zstpS_1Z~5IYV3x3j@Odiys?4}6gMd$a$UXOI3(IcCblVHny7HYG5+;j^Yh^i*UF?G zw$!af601K)K|vgi-LX&4t&uI^!61`!^fP8lngE5i(A`<(`X|C7^*7IvZbmNu{r!cQ zNYX^K)IJ8^jO?U8lP3Dqfzu>i3fU+e*r)O6qk`%zf3kVjc_F;ih_tKXrr@!CfjJ6{ zo`*610*(?)_(a|iTwGM^s_SCRYPnQH4{RqmnDrAZUVa&!_Beh9-X*%U$T7N#-r+fY z(jC$b6-oxWwwmSyZ)%WsuI`C}^hq>aN$SsZveHLLih_^nAG(^#vPTe_7{E$P5?^Im z_&gX_uW(LTvy-(2w`EeWP+J#2`8d-n$^{8wl3G0#uUg&7A|D;J7GaFbCMywq-`6Bu z^2jdJX1A9>LD7so@*~(HZkQf?rS4yKH>9&|$yqsdL}vD_N~yb3&##5TpMUz1mn5)0 ztG#i)tY@|CWlY`i@D&PXHQuEEQc;272y;cLEHX%rD<4K6c-vSXwgAF|^g-qJ1qkCH z;Ip#O`gkt)$xkG{Qy`|jGHRZ(2KFFKAfj9MUJ5Ij3JAhQ<;Mu*;sgM%Yvo1t_@%Z-z{87L}K7uHyIF{}4Z7AK=EX`A`f zeqnHSi>7)1kc}J@dt=S`(IT4oOViL}5Sk7C*k_0PDalyk0_k{_&It|IEE?Kma`+y| zOQ_*FyCr}}grH1tU74$~-5lX9jeYoi#05|dnMU!DY&vwq)(R}wU)l3=Cl(*I{FZw8 z;aX<>Nkq~Pg{yDoOc|ta+2*+Ge#pi6-TGoiuC0tPlz-BVLn^_^-!8_1iWQ_AEt4%u zib4;_g)?rQlVuFJ^eX~#nuZ2O4AgJ~edwF%+!y>z%4^&~bT9udE)r0x?UaZrou8lo zCFk`~BHGN2lGWm+ZM|uz5p@-L?FG9xKkvP2iLqvK%ZXO(dLi!I{8n{UD&^Oz0`rAj zI^hv(>%Fq1V>C&(Hv3U)?xbS9)#TzJ0&ylx(mJzfcN72gCEv?0H*l-*BJ1I<8&VHVe_{&0P08N%WPvEHgD8MJ zW_%~!r+dMBnCM7%M)tJjnbcr^xz=Ramk6AKl00uH9I6s}Y6@T=vC3FTh()Du%Hbu5 zL8cu}0v!h^og*Y2s~}?0u&-*w31(Xu7tUecue!sVH^RD@dCaW@lkn49mE=W-{vT0a z0Tt!iwLOG1C?O>v0s<-`9YZON4k(C<3P?!`(%s#y(k+Ss0wN(G9STEANQVq1-Q~X@ z&-eY`TCVe+_bkzw=ec9=YhRI%FJPYE?XvD(R%Ar1&-wA~-Q45goeAcJ*T*p$^G=#$ z&!EmjMzhwc-FR(u3>+KtPr?qSC26ASMA@H4bY%{|5*+97dIl8=+;Z?;%(ZmCNolt2 zNk+2r>sRyEw%e4G$NP~=$;EWVNqSGaD8Ju*Y&tw<@L$v0ICZDxBdVo|D#@`vdDL8x zuG4zJ_9~?U5jQq&bOwTwN!cAm)2NbSud#lHZw#}3;Lb8vSS)L!Lhc!0A3nte9z6-~ z1c{a34&3+)x=UQ|p~!vpqaI-A%&v3F8JeoUVSI;gHyCRJ;AB15*SC(L(kiU30&zUm zW8n6ibWJAWv5T+cWLAQV@N5)mG5y`#ij>0IC5AWXxS)c*J!*isz! zEwj-lA9eV`+gP_BJHAN`3WRRyZ4SbIk`NX)?)Ej@c=L5;bT`b@xaY-E5%~;;Z(((- ziMq3W?$Aqif;GY6WTZm{m+?GbHrc0$c1PvB*VY%W)?2tj$+D^qN({TMXSzlfDmVTztrhyx{Vh??SXMPO zH!J|sz24q<3C{a(W!O%G|CXcB9->h><2Cuop?tVR$l4vxU5FceYnk*}M8czU-qy(wIhm#_YM>F_#Hz4yV9Di+gVR zrBv07j;RYUR?Fw}Y2D;u@sf~cDHVg`3AkF|0OqN6jIq*@j^IHG83v1_3jz1M#kyYk zL6n1NhQPf zz@FH4*CTri7df=TC|~eU&zV@w1t&HEMraSg2oZ=!5p|4`8xX<)^=bd&SumSZD z!Nf3bGfYPZVsD{An)iZA9Be`^UtDTEe$ieqUP|gW*lbw$_Ibl$BjHQV%YN-2Y&!?@ zi|?G=sBQQ3>D0bf7rN0`lzS@Rh5`Xf0@Gb^E4M3CHl-MU(BB=MLZ(axb#6kBU7jj< zFS!gJ{y5s z)b%AEzeGmE>nR8>#Izcd_=VksZ#nRJ<$ABu3Z zO@fh8Gc+L}D2{A0#1{qS3{~O{BC}WSHTpmd1N;+O62C7DWTz0Q2O&d_kF$Z=6Ba%U zyq|J^8MA?ioCMU8i#N^%7&b^!0q~MQs;riy_x>laE1ND51>CUnmR7kuvuJ}zJwcN1 zOM20F*RLKm81usQ{_a9(XSyBbl1Sr;j``H#=XomBJ_}m}9zKd%Wm>R9z@Eq&zecSPd3V zfvYPLe+r^%$h`SaQwtb8!gnPhTm#Q#Ru~5%0Vxc%Yo|u5oNlq>0MZ6tpY|BI3qu34 zC$X_yCZHq290bo96riZ<@lyJ77M8kl^ad6{G535)zakv*H2zmJekA=3@bi~vJr_lF zZ#%5;-QZFV?ZAZOe zUYBS4BQMRW-ISmpD4-sN2%aTa#JVd!e~DblNcgIM`GqYYzMwArc7~2Lq7SMNlp>#v z2(zJ&&y$q^h^Yl^RGw;8lsXa~e|gdisP1nwCtMgaG%!+Zts`Vja@2j;Zpv_%{995~ zx^3qOK06DqedX}B!A7fO7J$RxAlnddS{B-{3-Xdj&p?5Y?tBtC*iv{cp%pf|BRUG2 zT_6rX;K!ZI`_j(Pq$B=1Al$kDUXZkzdxQXX+Re03Rv&Hrz_*_1c({QRd6G8fd4GtB zg-6X7coW_1!lbQ?T0bx98@W9q?`t&q`BCF&@bkDdZB0#QW;)78G1spju~|6Wx$B zx-(%@eu_+0pI6`p@qE|dyY94Cg*~Sq*||%w+-#^H{CDF*OyWz9kIH}7r2aUf0b&3B zRaKE}*Z6?*Z7Qulra$A_#q`RYZVV|8m07Vc5lmZXlcH;AN;4~D=SF7Ww$np3ZeVR}uCjBbtl zS%_~0u{JzC+QJGz`#;`S0Ja4jrV>UCt%y_}PEQyh0p-*!MpxK*!i}o>KG9i}qN2*V zuLHWLh0{{3aIVuUwEAPqq#UzHW5@Tt!DlTKsP-NqqNlepDEoFRYYJg%|hP|9I0 z5)a=zcw=d$vX*UZeE^r;L*SWTk%0=-s6Xsqa3qxdvDrWBpbx;h0zfPCf=NLKaMacG zRXZN1cZZ^cYk&vEC(KN%;O*iV|E!cXny&98wE2!fyyLuASf)aS2<`ko?>@rGwXcvl z#8qz;=WFyBZd{N$HUg2)8x*7D)*+is1=bDN%e!wtM+0$Uq@VJyLY@JDLvX@PA;Gvv zCTcz0EeH^wV+u7J+!+IdgXw~yEj;UyS=w$e*{J=J=WtL;Jn;!#q&AkB(v6ENk8Ls!Sg@PkF$Qdmr#&jnw?2_ ztS)EH|7%0vDznjP;In}GNN!ZC@zK=zlk6#`JfAnGyBlne6tLyV&dskT(o|o!AN^2v*{dYdm2*A>Z$TTuD>urQ zGsI*{D10RhxKo$qEkoW)V(Fw79MQp*8J}EuyMH( zzAnsOQ0xshf!{Ruf;B?gsaemqR?lmAfnhAHmmSdHdVjN}kd!rp5iF?TA(#~@M1H=1 zfE@gYNhrdkMy!)(%t;>SIzX;A3@dt-2K|1!N{iL?cOD6Kvf@OGX|p4!QL`uJ<4mim zAk6S1A5_{TElZfIF*9o&{W%!M)Aj1C_f=no8R2H*jbQ}a0M0b3E=#DRF0PrxJ6{94 z&$pPT%Sq^XJ@>`Z@b9@Qt3>}`#SNyA>c@xw%LM>BE1V@9Cr!rlf+7?Hs2Pl__iaX1 zp*G{X0!(wwiT2=1a7?~YLl&WBK z;w!M@f-Vw@lFPrLy_fJll5lo*2J#F5jCP=&MbS1t-w6ND9iAhO1VwTgY1{zA0Unb5 z)!BDNMMa!I-i4U5SCY%14m%o!`3>k{*S=pVnuJWJhST0_1kiy^L$nLW$zst788RRX z1Mqs(=kKvUV@_|?{Q@4#ut(<}sRSt5rtjHXOQlnR1`>irK9H&eTJ*;TcMALE&0*g3 z3GR^trMJEM;h3&Nty=nYP2TOELt%BfE2h!tnD%emtGKy2AVs54@N)bqCPnY?Vx{q; zo2|9*I?*K6f2Fy*k~!Sw@CCbCM;FY}?(x!n`t~gbbh^cN!BnOTltjU^sc&x-o(r1i zz4Lysk0uP(oe=pnGHP}2Gn>ruX*G#SJtCGw|LJ&WIFNoHK1{lW{CluY&;Ic)rat^y zQELR#vM*fJw$So8Lr!N$m2s8+Wa)hWO zpv)sAT^Js9=*YQmz3u4de{qwMf=EI_qVD9R)^RI2cH|lD#piIzeiE1eUhr;YX`5p6 z9@E-mQb9%Xsqg#|d!V}^SB|jz>;>`NbbvF~SsoZ7%oKsYJ(8VQ@j4=Yc zWM~TSoITjpy>{FoSYe1V@xH&W?@*ozn-^D>^1{cha9Q_^+Koc?n1WL$dp;-{l*K!u zL28NF%UXG@6o-giiH$h7&m+3X3D%9S1#uB{X#Vb*tMqD|T2?+j=W~0qm77~yf-h(q z?!M}tgX{mwgF+I;w70yAxdM{#)p$4dt0Rel1IIY!n%`CjhY(d-vGNi+zq9~!vtOm;h zNFoktw0o<4JENp;A#ptemMZcxb}=UqvA(Bvz`6Lze32d%V9tCT0_cZNhrjUd^@HmN z)ZT^kIWuOKH>m|~#3e_J{(57BK==A6&)jaTMy=rj>bw-4-%g?&ibXsUYS z;lF<2idM;ThOon%xEBV@>3JGy$r!6WeyP^k4?R<7R#bwv0|E%_KD%r1qDXUw)L~LY zBuGyraFjb`g!$f9Z{%OJKM4gT-3ZLTAd~BQ2mGDD%YuCaC>PX;wt%Di-5ywSg4@qe z@xl9h)xf|)YKL*x8OmxPvQHl^ZdbjnC5UB@$Gvm&PLWbqf#?zwX_kFYX4bkog~mFk zS82QQ6OWHQI)Bgpnx{(CnA>(0vi7_$va0`0<68#n85#HdiB-Uvr@(jx#qO{4h}gIO z{R-QBVUjY9Iiu`goK<_-Tvx?hAdO`OIDzK&ObvlPo_|-Kc$+1v)kZqOMuT(GiV3yO zrbV|Bz(5gC#Z5E ziG2xJ4X&?KfLj1m46aQjnZRV7GIRLrRH+NKJ!4EON9gxBopcQq^d3mq;ADrMwLw9H zI|1d3)cep!H-n=%!U+cU+M7)8NAV}Xzv5JhHh`rJaZA9YMN*s)YT>_;dW`oLC-gF^ ztxk4!nz6S4U=4o@ylB9zkHmK+oR126;(*z6oPNiFyZD!QrEcL!Rv);i>sX~E4oCqy z5N}eVfRz_F_LbV__Rh~|Tfi^zu1OZE|9czQT?m)Ux6wpzbx_gKd|j&c6%MjSe@ie2 z7xg?gec^lpV3D3~x9q3ErR@9fK~xQP)aQ7^pvQJSkT#1>fzgK6DEFz;5?gn{9H1~p zzUcp#^<7K^qzvNO0NdZ-DMi)R z(3Opmw=Y+~0o^>Su~*m7I$eVp2*v&&erAW{Wn*|Ykp2c$Yr{{@K*=*C1|n$fgx9fT zN>)${7V6i8mrr<~gF6%k8gQRfXqPyZ>GCYNkC`926b&X&8<<{vz@1b5p!zvqD5_=M zfyS5D#kqr?)PYetcB~Ua&ku$Y`J4LAc#QBY_*1Z^z2x-&ZL;>^LVka zwyn8~Wa?!S(5{%4TKJxlBwn8 z#xDpCqAPMT`d;%HA`qL>oA1HK*lnI!Z#xjuV83=giEA-ZZYUeKU7GY`&=M=v6~t<+ zm-ut*l=a-KuFF7Y(UkBO_;BeO>?;0TIBX8o<=GZFpuTGJ_PA<*0L2|d%26iryMqG! z6Tuq-l*yFMbS%EGpSSW?h7lNx%}Nb_hKIkST#e zU?5Njxm{f5&=t+I9cyr=;D5`#LxG#6IhA#49cCR+!6MC~Bm)_Z z-7NiALS4>u+q>0~ONGt4C{=?-Y*{d)rDm1Xr5T~gpY_)g?mE93W*yuO+P%l{bk8Rp zwK-v`DPe$LG#GXQTb?E?&@bXSy}t2e?w}emLshEHT>O&%DS|4%EE}HZNv(Mc44Dz9 z>k)gaxcazdNQ=Wo1${272w?hY^4^&sc*>d%2HZkSFp2^giSek^1!;@~ij$)wZD{`Z z#$i4%+nb?62!;y1Rh&U`ffk`Dysy?T!gyx!lO5lL(i6sPkRt4-HQBxT$wLNK4c`_`(@Os zExk)haM347MOEKE!U!MVm_O4UjisQT`L=DPkR3~if(al|96u_44X7f= zfXke@ATD1tR8PbncBg#gg+U&igF1YmK++^5!-T`f1sw=bt=wza5b__AEBv1aB^Vdc z3`r4$0Wkv6hanUr9@%wLgii~LY!Bqu*P_$SQ5$qnSYOWsa}~o{4`BM*u@ePW1z=Ig z6NLEyOkczalIU0*#nZ-g8VJkYourlqPw{Co-q6!z?Z1w5;V(sM|+!9dTI8q z!`FS;=z_xlxjlkpnGS&dLdM*Q?(m@nLT765xvOpI&oT1a{~_T4&!`Ib?aRoi3AtZj zzNWwsvKe~iMR+&8>v^W`JKITgkhtUr2qXkj6%AUQxo2V)J=p2gqsIxbZHGDY)z47G z%4gfAk_)>+ z#lkrg^RMPh-Oe8z*V-1Uo$i&-(!EV@q=S0%%|a{{F8-Wh{Lz)vJ%`dKRd)^hS-wKm z=(+oK0*0e!GrYk96o&R-k)~OoJ)5RVJ(AH10Z7Rk&*H776>l@ zZDcs0oiH|2SWhvpgckv%J0LXO2(x3r0Rp5$5E67sLA^U{@g zCOma{@lZ$M$BLRUzDCH~D>WGZB#dQ6vBo?q)JW1+YWbl{7U^qFo>zJi;O7phHh_~$ zJ6$yp0|RObRSY+nOsc$YA1uTryA^R&Vv*0)7jz0F#eAafh!h za1(*62`@9Y=NYqi`-;@mQ&Zk@eR8zbN1eh8)`0yFL@a%%9LD5tqnDzq^661$PR^ku z&A3ae8naek&smfPZDu_b8$GaP zsF~hRCex`DLtAbC8+qBVPbqNiO9k4OVLJxndwjev{6P;M0te-SJzvtDQ<=qn509`j z>pBiFK7aq;WGnJqZN_>ByQ<4k$0q^j`=W^tALu5N2o)?kqNhL2^L1A5JQMRc#}}t| zI)N#(%S`nS5UI3(mn~Yo>5FrFrya?2bOod$pVWbM@E;=^XfJj^Y(Y$2KHevXTAMLS zd1!PLQgB)T;)ZM~B%#YsezR&pvCLn9oWjvJ<<%Rv=>vD@b%EDaN6C~pkMbXLE<8t| zNH5i~<~%MpPA6Y=!H`~U;) z9Z#&=6G!oJM2IxDmwr+1{vo%FvigI}-Tn@KPh^ zM}PflfY}uiMu?bVW&Sm z8Qg%nIQYs#E&+3J?GbQqe!9?~PqK}-d|G~tuxaJ`z+>U8TuXu zLKnEeOcF0;9#1dSRPfORLB^G!XQGcd&X8nj6_5;Of}N?*uNXOE_Mo$}1d2)9?lK^{ zy3_%_g#SB+cO;v&hmr&;TD8J5g?{1GvOWSE2Esn7c#TpZF!AK}Erc4IT}*E$H`H|m z+(|D{ZTREtK2Z6Y&I_@{0Gr^cZYWiQ&RMpY*7&UR;2N@8y-5W#7ez`ZkO~0%tXQX` z?v^*i&cgf!dhY-@AAvF(DSSud%IngRj>2n(iy}mrBZ}x3#^TAFBTlxttCaiJRi(H3 z((JENwFW*`m~b+?!AY|xdBk_}m{{{jm!4OoLzm~ETK{7UIg9;uh)hG?)$y^HU(%+N zb{UQfrJn#Ga_O)L-K`3usuAV+YN~;U9o^XRS z;J+CPT#6nZ=a8!xEca}|S1RJkrmOV7U`sf)5W}_#yBWkt0gUf}=)ZT%Sqo2f%1eZq z^uX8SLeP4}#L_gE)Nx))vymV{d0NpMpX?LFC-FFbSLu-Z-~#;`aeyD4iJQ*?XD725 z=1bZ0X{&vyu^WS@o{b64xvdZ90Alkmwr1h=t2NN(bArtZWVLG*051?in1O(d5a(H_ z0M*GIe)heIA)I7GBJz}uG>2oxN!yeJ%dRDuMnox7(%QMgRW55^nbut-@i2Hxu=hB$ z$@qv4+_Tx6x7lf$fS2-_Me71@v}N*Bmw^AFH+CDg#!@Adp7K%APta)cQ>$wvs|t=5 zT$nmUvb~l}h!ZzEhA*1A#H&#e@ZWEDDjQjFBs0q(6_VO=*Cm(Yps0X}okR`{u&04E z_xge>!Yg&u23kO5Ub|^2v_AG@Xtk$Pfgk9~ec+~-a9N>ys1l!m+BXXcM{PC~=t4tpYWY0amc3m!sX2&4zq{r>R^ z=;VPhs!HR)o_OIyl&^cOQxu0QE^Tz0+iWvQN%sT79!%|(Xz3Z-cz8N8IBqQm7w0ze zOK7q!XE~&c5GIgT!!0zPr(B?eG_`%a=g81PYR3L&V_GS-*`o}m*?f2=2r)E2Vaf&6 zFmkyO+$OXDGn11jnArmg0(b>X@VmtVIUSxGaJ0ymLR()bSlK(;hg6+aP_}~u032)Z zFK|tnOt&KrBA!c+CxqC25W`mXGbCWYnETVx5Qvbd|B*K_ciUh%z-}6T4!}-2l8V}X zJ;S&Fj`t~45DNheK22Ua7{xv<3Cl>p&gycO>jZXBXGx16xN}VShP@;uf6K?x-D>$O zz1HVIa!SxQEM~4W=kG^qZRTFA)4)r(-D_&1fZA~b)AI`0+Ta4Xpi3NZ17WD^7m*L@ zMl1;KgtgAla7wa-Y|fVnSi|)t!YsPizV6ERxd!6Udgkwpv1VUr%I}61IpEM5dKhI$BQRaW6v4N-hsR=8SN#MNY1_5PW zT{^Zs;fDZ$y4q0~4Q(6RcWOv{o%g&CLm)q@>uubbPovw7V_W%wQ;UdUH0TI2^ow}D zw`liY>`y;M>72ji%Di~N+&fQ$Oyom+gIZOe4MJDLFmOH`q9nv8ngHb=oJ}UTFK}g$ zCa%n<{497V0On6nu@DvCI;evOpO%<@*EtCN zdm4^DKyU#hLAo-?+~xwADaei1W)p5tBYx{J+JV*%&N4A^aUe?qsSWf@U^Z`ea^!}P zY60XGe?FdAlTe%3&Y($BZG-YBGgyx$%~me9qx%hztj%~e;*5EnZkcr0jHZ3|K7&H2 zxs?br(NnvcYbEU2Q*%`_8a_?Osdgc-9dQ1F!TC0J-{xcnz2jO2QzEccuGkrPu{YG5 z(5wrJE@;+EwPFBgn2Z4%pC+uWl%9eJoO@ocH6{gf?n$r$iF^Ef#uceKxD5d00gAwx zCwwflr0o_QfGed?@Y(leYJMJww4Kq$|36YvWIg%R*A$#eh^j1|p%of0cQcOwe}#{} z)kNb#pwmXXp{1sP@WiV#Zj=Q$95Fr~X(E_Kj(hFuL9q%r!2%IbMi2t^-mcGI z7m$r=rr>l};5tbae-6?OvZi?4+n;Rn+1BEh~1Au-KBLO%{}O{$bN?7E%{ZK>BR+(D6#bU&GC8ukYG>&c_Hr%=4{5s z3RNi6x9A8a3hDi$jne@l&}rg*Q6{(}np7G3nCL;hYrq}lYZpnkD-0_IFyt}C z)J{{zKW(HGEJ3e%R6d!{+p>@)(x~To(FSQg0# z#)Qjp3;CYXsJRBrK^U~EsYt+kH^;0LfaaU5YW8tyMGh^-zO3x8k>)F_?;PYar|B!h z!j0{oEal8|W$daST3R1?c67UV)xx6*LT()}4AP>Q6mGyp3DWhr!|aTV2J?A;73SXX zX>VarEZkT)u7QLPTM3HO;HKm*8>k)U=0Iz?QG2m}u09SyE&ZSBB0{ktQ0nb5{3;k@S;o+MRyYEID2-zfv-yirQ9#FFLwTK%@)YRMhK7gh zTUv;~2mu;7KpqrBL+W2Mjkk&p)`#4${e2)DdVx^WVQtUD{$$Iom_2v3IA8qJ^@gm3 zvz}wtgK8CXoIxFXO2WA3)n zLCdmFaO!~1_NA>S}u4@0UHhucc_hz=2hex;IJEa zU%HA^aQ_e%&>TzyQsoM^nCmZ!JWnBzF*3SG3~Qky17kk?i1E=;B$mpAFQJ{p6Ye|4 zD(seeKkCBq88)pR)SuvI20imd!{_BA&>RF;&TU;B-4%Tr zePric5fXHA7HYe)k z=hv<9g`?@a!~o!=maD)jLNK1miwSC%`r*87lpG6Nsh^Iny$!&#c#@bQ36hR_xteMEc};9!V|hydKkULB?uFb6J=x&%(kB~*O20*u{obT<|T z(&zVBCA6EMSmdcBZ@=_+W~R3eek073UwjkWq~r3kbIh^!!TUO)x4nLRt7WoOauKU| z?0&4YrbNmI+ee-bE}6R^>74=76&jX>$`W0xLQVpyPL+#K(srGmKR*rM8;Sz}Ji(et zs|hwcegu#7%kJy)s%|oY-Bwd_&Wc+uQyPQc4_hWf86GYEFBc%uPs-=HQ#X_>*e?)d z_+Qu(P@WTi{2qBLHtW%M{>~b;FWt&#SH=YQnxc|TjA0pYfY*ThdA0c-fKIR~VGgb4 z3Nu8m)#aomlNWb9G}s@4lo7;5aM*w%8z=75OH^oeYjG z&yLjRwMf`(BLAd7_V~yM!Y$*aqoJ14LUoX$cjd$LEnm3*0)Oh389`{4fspXFgSNSD zX3^F6M0s@`R%VG9keBXQdVXBVcknT(PY=7O>9u7MXlA7&+It(|9^meggAyGyH}DJEV?+|MY-rgGga$M@hQ9VJ-#T!`=-cT~v~xJ_A(+qOLGdbPy_ zsQ~!^633U95Ib9lr)pns5+xgcM(?V9vlq3m;cZw>$awJV&b}uRSC4_fnQyKzkd{c- zKyC&dKIVBf@bZMx*EbHU^cO_YuU(TM?esC&a>al`AL?_sh2S29x*bX4J=k6X4kQ_* zHZuJuCI#~`_}G(RaSH}rk$9F}95-OO!e@T!zUaDk8@xb)NU>~)*37>j31uzx*}$0x z9UzQUkc%cv(L{YgykfQFj)vYjJr=`__NLgwT7KsFLj}2P+;C~=ce%jCO}B0m?x^XR z<3aO$Db}tIO>xdKAlLJp1PwOM0h0W}B)y9-&SC2zME+)|TMi?k6hdS%gE#Hu5AL?y z2L1f2YfoALb%a+rDn zX98rC4_m_2IFd7Cq{QrZ95*cSR7=!UUehYGR-04p+e(P=j&C^qN5@uvY;jMA^0^rY z)=uJsmaw7ei=-l+Ozi4z1RCwO0Gv>u$HCJDIzNpfP{feuzq}0Hxhg~29dpWKBink> zeg~xj4viP?M1jk1LBI&NA)dr?Mo6RK0=;mXL2Mn@i|Sk4d*`{Hn>yGld}G{W+DC0%LY)=Mas1*^N!qFsY$RIxC61Q6;~$$~f&Mql4BA|j^ovUqFE!a^v6``TkvJ8UD9Bxsh+cin9y^xFnWAL^% z$XMad?FlVmj#B3MJYX(Dh}UGpWw@#68q{bAR|{0Fxjd&5sDK&3JULRJt(OC zR!Vhly`weknO#`&FHt5FWa4&;pv3#=Xv41`uSJAWl$T16uA6Y{?5FR6!*VC=Qi@OE zIdW6WR~p@5BEdm&orA+s5YIRA&{!G(Xr;-QN@;*Up7G*t+fsr$a|Mrl3iIxX+wZeS z%Vu&3c4aAzg+2N}!|pz%82HJcY41iZqfR2ur4>{vI*$L; zV|-yOMIls$AU9qOKWfk_AOt%o5R}yuPC4i@$V{ZIDI4TSca`;wN{^}OJ${@(Edj+V zG&_ar4-U6$dc!V%J$2x|kJeM{QLA-(Su=OjN+fV4#V7U*%c^A2;~0v*R$OULOyiRA zU{G@m$Px(yZcM$gx=LW3C$lyTm?q4j;c_Hu;}n<@vh3lmjUFokg*ediXMoH7R{L^1 z<%U$NUu$plyDB__fJjnf48BLbjdJ^u{D2*$D?x8sNl^)tizK#+NId*^YK z+AC$ZI_B;`4*V$S+n%@5BVbRVj=D2JN>Xdv!mR>wF7>3xCQzpuB&sXiKTOta5n6BvZ zGl0H=WAL>TS!k6}J#V^3L=2+x(Y}mC{nYN(tO8I68-`@#2jFxNgC^QFvyLEm@c-_= zhtW>EQ?JkZAq4dSW~;;!ZS_Nr9?GzB%|H?kIuBaQbY9`bynS<$F=nigdq~_)$fjhWj%m(%>)ZmvW;F&=Y0thpK zSz0Ix-T_QI-#;h`;>&t>^rb;+YzKbdE+4c=}fTWDi4 z7v$}o$9F#J{6$C=(il4#EPr9tWx@*rF>>B^Y^FE~y>Cre*Cp*VwryIu4zv1fc-z6C zI;p>4_Pr3Qi$CQPE%o(&*+Y3#eO%PyvJhq@gw1w(2td8 z%VLMe-c#92J(0gwp+zE4d~D@qUQs4?z)f!rqUk;Q@LSQ!2)T&lb865OD7L^%i|I6) zISQh>3F8uOzHZ7C%!Z6wx(mJVctA@7@**%aA~4^3ifH@;*Z}#{7-5l&xzYW z@52d(CqNclcO5-*+Lnbof_L=q{P~B0KTnx(d~?-bZrRig73hw_Lv45*IMAzzlxL`3 zroZ>cSqcAu0Gz*nTD$}sKK>`{ly z2?oC}1U*oBt_`M&P;eq-USo6JIj#iO;glo# zX|UJVG5SUN&JFdKW9p_*1Ou_$<2@N{m%{b*QhNrDbaWewUEgd`k8ZG&0 z)zQih4PCSGrfgCTiphFE0Br?-?R0DImAdRl*6@Z~u{qay>Eyx8RY&LRSPKBQcqnpm z@?hWC=vcMfd^`b7aIP0(qx22^7CSg*E)saS^3QcLFaBc$+nnM9o^U?fr2VN!gleg5 zZmk||gLZ$I^5*M;^sLz;TDx=H`105OE{8&K(dC=WoZa<=SQUo0XUI?i)?O3a`?SgR z24Q0Y*!RD^=Fst(IOng(1PQ8Hgslc;5E$+SHxLnMA_Oy#81E*6-VMG!X1mK_mfP%afkP~w(8jKyz zPrE$syDO0&>A@KBU2zq

    5xK6$)Dt3I^Dqs5;=D0~AaV{#)A(oVlnS??IX^#F1K~ z>cC+m2)wjf&r^lSx7tgv^hUiYvMe8glR8*(1F`-x2a*d=eURi%-EZEk06~LgkO~AN zKq*v1B{6!gGSlvWe3f9=Q9U8|ynxHNpBizdqsU68sf5^qBHC@xazU5CIc(oYy}fVD zhW z4G#b~qTPWl!V4CSqTIdEAm0H+1^gzcRfT%*E^V(>?@>T13!nn)QODj-2JW`XzI?dz zUhI7U!T}zw9u5+bl0Xre-NF?l$P1?>~+y$ zRKMB^db`E%@4>D>>|J4m1;DEO^?xp4>MaH7fLo%FcoW!$(5z5j=wro0+l^UVy!|*v z8O*t9xCSgmm;d|$JK6R!E$UbIo~P?hke)o_&q{iKY+8jEz&R$qdq-&qJq`!IdhH_Vn_@TQsFQ#e6N|jAYf68{~jL5#H zENLA$!9s|#FOVF-Fn~!=0@w#RcdJ+PTs{S09gJeJOH5J9LP5UuMZu*@OWmig{2O$Q z8iQ3Z_(#E@h4+@#JL*f?2Od9UnlV3m&bZXRNNf|1jPyvR9caT8kr|a;uy>1&Hv2}TU?{(5DX)~A-19qHxUvKzTi~SW)_O8Q;D0ceX($ZY^<8@S1i z{tL4$GsO~g_j5(*)Oq}lQq{tskrqo0f|O-`al@86LYuZtgK32%2WYOR*!1oo{-Tw- zF9X3$+z7n5K;r-xdqWo|*DzT1B(zV0l7~^>yTOQxHEm6mzw zLeL_LtLf&{_Ej_ZkrkFnig6ud7Hi#I%Mj+B_L?dd4ZprAdx^OzCpvg!;d)q?*0%}R z@C}GP6m)av##@)BY6fEObzYgR6i71j1+$?b2k)hUs|Y)5BXa#1She_~WLUd2s_Z|3 z{+4GAi5mI7e>zw1V5AZ?aaHyfePlOsp#ox8`xSafXmddP4ko0*Io!L%S`i(V)4xQ1 zMbx%KR_m`aD|{I)l2wa(9{5IhA z=&yY{5(3v#=rMsA0rr1+!WvHd^#*XuL_=i>{{l6$(k$$b-3g!bV>S#h8X)Y(rb!04 zN&`77$X4Rnb^kcp+l*EDY{hLuP|oFc&9_QC&RE1@?mQ&P&zv$VzJ40xNV1gyr2%0T zb{86XHSKdz!F%c8bBe;YZ|(7Ih(g#Kui3$E(B^^KW&LN7_r1c*){M-|R~k}g$#;cAXpLbM1Ad2!=Ynd( zRBz|d-bu|(7)i?gz8w=w^0n9B@DW{bwSKfF0aZGawS_P_;t#;Qz23Mf{ zU0@_pjhj|>Ow;swgVWsVf_ZY?(&P;nybhS;v!?{K1g842#B_EU?)u-LfAU^3=t$c6 zs%zJ=g7{9gJ~7=?>2FTb?6Or#OGLPP$%)Pp9&3U0O$xlQ^4;X)lsv5Kxle^xly8_^N zf>c$o+B^Z|IV*Bsf`wjCFsB1Ze_Z>WxJ}SkKn2+XGKV1fy5ndMw%t!X=3I98UU*KT zTeYlYL0sM__LG~O1t`Y&=ag<{8=YNGiv!gzc4daiNqx&pFSgbATQ@E7b(mS>_C!9d zOGYZc@@7WwU+~w03Kb~a5tg+B_YAXHe09H$*}T%U{3Q+|F+dxpfHJ71?GEYPYZHHu zCy34-!QboY@h2D5_K;3QG6fN$Zrq>qDawL!dgiSg-qe`{UL{}9m5Q?e{C{3Ynyyi? zGUzAV<_FK;%`Q@15=egf(eUT5q2a>&?@{cm&&P&emx5?(!;M8V_kBM`Z2N;V+Eo5m zn;;gqDdh1oe3@=z7>o^}EPOr%ipRv7 zG|x{EU@+!q_9@$Nh9b$)cGmeTaKxcSr0hykwqL8LBIufYiEF{ppx{FIM<72Rey(_} z;SjsY#S*7PahdDyH4hiI^Y`3#|Nc!UjE{{yMN>jUbDb{sA{kBYm+q1Ntj{S`xivS! z%^%+h960rjOy^_qqer@*q$|F@{Yd{>=@&5Xx@TIs{0Vn$7S*N z36kk8v}I?2Hr<-jM_Uc(gk6n!Htw>B;JeVUb9(gGE>!pQqPcEY1^ zHFn=Ssq9hOs^G-=yFR#nE(c=jyF9{14IeU%3iEE245kRCQwIeFJ^JQdQ{}Q+*cfu! z3W5&Mwv)bN$yPhfOsR`YOFv7^Za25KjtKVik+}d=`n7McDZjh>&g<8&hnKjLuFTJ^ z&LhuQz_TxTc{!4J)R&M|FItTYGbsan+nTkM&CA(bMU`rEURc66Q_|)*>8lB@sTo#g z_`~lXT$8eJ(Z4Dh_JLFz`_qk+lk-nDc8*?W?h6<9Lvl*WpWv@gM`LgQdJTC>&}qDQ z`fzdVYq{+HEG;FYz;!8?m3}C|KKc<5FT!s9Rcsv6(9l4~!0_haz};)TnZY?rWV@iC zpqRkW*7lRk>JZn$T=-2Log}>n4$ZpgpMpl-#N6wS*dM|?m*y<}Hs6&GD>r5@&zbdo znR78vW=u*r?eJ3)hQz>Ij_I+TYh@l zl`k$!&KqLprgG^IaxM-#tYbzbZ)bFn=37W@dR@7C^@U@JaO-GBSK3*<;^`Kgj5Cq{O}3oO7|z=tYT`M$>}vLU?ra{f7^e;n*~~MlqL$ zd!}rW9BnH6r!Cn$d1yAx{odop@AYfkhe=;CX*|!*-dY;G?Xk059J4SdF_X4JLrZHM z^Euw+wB1XS3GO9$SFmL7>FTD{n6@)K@yw2mJ>vzL8wWe(-#&ul-1nJvUQy9|KH$jj z1oWvzi0|rwtLBg4G?EjalarH}k%25uRCLo-B**cPamjbs&y^O&ePI^f;->SSii&~h^S4T* zvN})1tEX+0cO-qp?l$`e1pMhajb*&(RO?m$%JEEf4Fa4yL3tO-n|zx%)O5M zK_MYm`1!H=3eA%Du$aHXIKjEJrY7zI6~EL^;Zjv;@I<5-lW5PE8YHPDVFW}QbJJ?x ztLvS5Cc*NHP>|N3L*CN#5Z=oU=?l^{Zb3^4@gYYt78!T#8ux82b@iz9iqy$%(4AgE zqkrl1c8q?%h(C>CHZrxQ?4gLQ8yCWFKzs}G9^ewpQ+ z`TaxDL(}_8e}+rnxNk4M&XDoA3_lJB)fZf{RD#2%EY4OfK?fZ&kxZ-IcY+OyOCujn z!l|dGyqC`Zh>5A$3@@LR$sCi!VI@`i-28v`zqlei-Qf5kX*I@yE_k00SIdO8pwYli z;jqWfSXNP<$8+z3_Px<^jh;A+k&OnrW3^M4Qpnou%X5wVj<9s5X-gV{1kY5BE5c1< zY0D`=?j86_bC**cqP-h6Nl_XL10Pc#(3qo@tSl|7m3smM19>?(8Z@-h+btU3`(mfQ z$1h)bHRlE2-}#7?oV+x_3U|+~R431IV=pl_)*xn4sND)e2kzzmwH2pHcnSd>s;GtYg6^0OLL&p z@$#y9_a4I09$HNNkQNcqCM6|}8h9k|4P+TNglV$hy}KDg#abdI3%V2;RzV@5UVUkP zK0b`s!B+9$Re{~x;^m}HEst=rD4Xm4(fqBt*#euZ9lBh7BjdR$rw@17=jhqF_at$f zYU8_d;yeO#muKN{TyC16c*LUujf1a9cM|H*gy!oL6CX14a>PyIn)JYmPwnDGN zo%RyGrzR#t4&OS~J~cHd!u|6$CrAF`#fxNQWLm4^HTN_%v!|zxq`DzG>H+a-Hf=Sv zu(3uP_ms|0f;gE5^_VXUlHw1zFn6541i2-B5mqbQyj)D$M#&H-%*u#W?En0J(}7t- z)V6TQ#@iW=zl-C^^XS`Y9beArM+x!B&CRw*{;pqsB~0z&EF{5j&Ji0X{IoMHU~{(9 zE#7)AIF(jRm}jED&+ROn!`kD^Zyvs$7``ycV&eu|=*6p7BMQ@`Omsw`Rj3q|>QHlr_6df#g30iykzZJZ zDWg;4(CjkBOOw{)U_q#JzyjfWoSJ<4(tC;LRuVD3|@hJo!w_2!CIpk;@=m54wX}7+0Eo>!vi$HA6}J0C(ipSgDgXC0$@kbyb{)3hOpG=ak?ph2u;? zql+F9o;o#rh3l49rmWZU-&B)v-2$jy1X`DMY@K z)HEuPX`vC?$#JW=xOitGzVdZerKL%*Rf+~7t{)FIo@N!96nD+k^^@8FQn|o31TTjN z+1c4UADb{*i)lN?5+UNiL01epef~?)EIk9m2x&zr=P=h>?qXq|R#QS9OUrj&O8Y=2 zV3zf)Ffum&Gb_u%As-hPhlcVC@=LPw^0=ayMQ;)iQN*^+^o-YdoMmDv=Je z$A&ye*@Jn`py1#|NMawzS5N(H+)DL2FYoP_FZcgnd*2yV<+fz|5!7SE>`_DvfJ*Y9 z0s zj2`c`!ymBO;oIL@t7_J)SydR?HKh50mz(=j=xxhw9jYcUp%c;k>w-#hT$O7bq#FvK z0PDg~;5*CQk;_*UIgnc{68q6XTd=>m`XGN*T4}d=X^f2b5L5e-2OnSW3s2O$&PC%YF)#j&S2}l$pDw%*v3kw8B20359}=9$TKwc-Tcvj z-|@-Q@zRICBqu=QRc~E(^CqSg!Dke;<>=p?9>7%WSj$%W#Ihe4UNK>x9T|Dt*Vot1 z$m6bJhy7*IJSu&=l&rr#quJ@xk6ea?q&|y`33G519{FNbdcc0wbD`^nn}-zEw$St> zoH$i|)TL!*8;>;?Z#a2ivRPy-%V~0eo16PAP!k)66MPbGW24$mMfU^CE8%Dw)wXk( z06BB>@DQgC17DMEZ|SrO)6xUP7v=y>x%v53b#y|2#cJ>gaxXUCIUrub)fJ=_Cvs|; z;v;yKCx(Y;>kp}=3o0)QW>J2}G26lX=5keldSKhY;l2ACh5LII=!z`#Oh)}9Wtnes zV&X<)>B*z{4p;S`^ar$FDfrT7DAuu1I_Nzrp9X{1*dnquCoQd3cSozk)sDWY-`Mt+ z`WzdjZT8-_VMC2^0#nsTeWjG*^-P^MELBQ|wr#`+we#SP(9`3mL)FyO8joq0%pI|2 z#uqAMtdQ+TPX80$KhW381Y-=BEnlu|VDNnL$}N}P2O<749_y-@sObWq zbyh`1MHJN;2TiN@%pTG`d-fR#&nAzvu&~s*Gcfh(2X;&PDS1A*x~+`E;cbG4ncVVp?g_(cX0UyP6)~AU%C{@wolL_we4KAwCc+DKKI{7-vgA#0L+NhBIfD_Hc9&SDD$WVy{aQNOT(chn2EBG zB0hcdhhQ}kJhxkrLqRk(`az@&LlH-`caFD^(OOfBbOXk$%vcMhJu9jN&L_$QE&2*) zY*e=C6i^Nv6Q+=vvIrgwv+gS2L5?(30B6sgd(2Otx)_4iaT_*l=&6XfE%R_|3;;8Y zCk3%6fkb#EM@&YBdgaG<%f8RACg#s6o);`wDCsv2K2{$h=Re9(8L%SgQgvUR$uXY? zf$sgEw{s`>GO{c9( zH`}|)7R%ksym5Y_W_sR7DRgUXP0e00vBqs4CLMf%KIg4REItKIyLUL%S~0}DeEFNx zBCP}5$Gw~Pem@-WSZS3x9}iEmtj`mlT?g9t@7N&)NOT70ab~Prf1muNG+?*qm6d1N zjyS7heeJTJ>_{^F)f6C-I@l5X%}kWLL_CkRbQV*ovvWlq3MwQ^zxgHmlxLpz(jeVfuz5^O z-*fn|HnQr!!*vOoRB|{)U%_Q1=8VW=cba^7v}3|(;Pb0vH(XeU5%zYQO)L)AyyRdEkdL=aMk(Fdqb~Zzb0QmUl-wrcyQwb)vNq|rmps-ds)2u z-p&;d*7M%b($yWPkt_dADBxRivO>Wswkigj62ZDXuuF`>h&OMJ_BUtO(K)rwocUuu9l-j<)YLUj4vszI;<>4ANs_mh zCIxD)Vf;lBwtC{DY{3q9O7ymYhN$z@OLD4|n%omV6-L4RUtHI=%kYTktECqC8*C`@ zPuu;Yd)NNr^J^A+QuKn>9c`X@OYvR8mJf*D;X8NGp4`*8Ek*PK&ovR-?v4hXS z|6x30PtYd@YEG#jV+6s|o;`a`5Q9_gcEf7RN*lS%Yg`NPuor=YipT~FH85)Z$8%g%?)*ffU1mpzi5IJIY44O9!i16#F|`O8&$9{&4|eX_ zHSTHYe5|)NK}RQppa?zqi#M?Sz5?tSWPv7*Uq}sdH_Ee%39^l@!>)7=4 zv?FTy#vz&LLfqmAa)?8YEE`lE65W`QTfynC{S0NMrf+^-blWIfwAC5wIN(d zM+4%W_zp7{?I~&{IHO*)7E#5GH7tJe6t}+%MX4{MltN;0LY;ObVVF+ONYTDJy34E$ zn#%HUa!R|Q$6Tsie}j;l9el3qY-6h_s`>+k%mZ<5$Ff`Jl`=Ck8#1m$>_2coD<=Uu zcU7RthjrE%Oj-Hn)^cBv4HEX9A@)6(MN|HGuQGXKGxCkmEFi_8Xd_{4d*B{8Oa_`V z9WjB@rbb1rJ2oz{5-rbd-)>Or0?Ot~tU?>l$kDY&OtYV9un{y`ATHtEr`>c&^&ZjN`UQ*i;8-e#9O49VfB>rqho(+UEh9? z%C#b$!TNGZD8x0A2n!9hPz*hsSz+YKCRR%}{`@GwUVK)TC~ZFpJ+Rpm*yq}o=#~jT z;K7tDc3!#Kf#&R>CK`NhoL-)5Ew)4g+7qe!8E7ob<}H}XCF#0O8_<$4R3)lz6vtK7 z*f?77!W-tIZ^NIzZ*v}!I(gP-wj;%9(&D6d4af_^)+B|szPxag^JAc(ncuv&p<$G7 zaPVak*_X{DeU!$bf!(azsCepBI+wx|tA?`0Qvak_^6J3GpC$ps;RGP zf+nY27J5nLezI!ZL=Ca{8FZuHLmYj!dGJ#bFQG17+dDd9L2e-2_p=$v2n!C5M`Q99 zS2xg+m`40i$juc<9%K+_$W})}DWOi|C-Ce{lLm*wr4$Sg!<~yt2-wue99RJbcxpnT z_NUUw!3K=&e>!fNJMQ<8O)M&VAgjpip_AD+c;Qe&C4{|4ULZc6SIl+W;cKUXKWf@P z`fLDI>px3~Sgc=~!vaH!1dN^4EvcJ}9p(?ielA+Fq;a$baqmyuupgUQ*GPqhvf2o4 z48c$$=Se@~kKUY$eggNMu{>%x07?8e_849fB|c z2@_s*;)GkS74XRPH)SdV3g{kd2??I%7JPV}fGluln%Z6-gZ_~uHl^iGG?+-piqrV z_cdiEe-t&mbm`zsu*aR}?%BUBVRPr<)lB)&+ey0ed)s{XRqOf0Lwn32K3aT0*I*;R z_Gb}aCMp>AX*G7R?F_7TLwkQ7mb8CC&$dQ|o0qp*@5jid+04MeK(5>G$W;vHEzs-k zbs~#ejdf&oq1#;L&v|vBVDX0a>r)){kScgK=rZUeuc%l9S<&Zql{qxHJu^N&?l@K< z%bIKVwQy;+@{ZlRWf6|mA?oT?Nw0mEzJW)zEB`vvL>ZKJ)gCKZ`=$bLE{cm4a;LuD zC-|}`0eb;NmSv3*LzlWX9PF}e`@z~8HN6CkK#dCz&!<0c0PW5xhvQNW*GL27O@N1H zvY{t^Zsa6;-4?3+EJ4e#J1?;R6@!EnkKJAcLNq|gvL!yf#qlKAaqmczs;F+XwycVj z^GPwO;^N%ByVuKYE&}Lci}@%@y6eat!4}cQ#*KhicrG#Deaf?z7xV4u5y;H-US#k5y&iyw|)q#Xb+0r-G-^M?(g?h==gw(;9=4OnG>C7#;$a zHKK?=TR~!`!s{F`FVZR?0F>Zw!gRPKB=pvCDU=)d>qHTY3eKPk3lN8!l~-dcG zUFtynmafrAcgePe_W*Q0qlz;azxjZnI!5oL7O{ytRuk)%kdbkS2NdzlfPJ1BSg^3h zcm{X&z5M)Xu!k1lb~JGL>E7Pn@i-_=nn{=Ls%0XNph>*ThBa$0Ag!l`mfR_pXF769 z0u3~3Z!B6K4`zsmm$x_zZCn!2FYIN7c`dl;akLuMg7H;F^33-2qD|`9c`00{LYula z>aYAJU(gs2_fhX%-5&A9yuy!H<02@hPXu?sni#BPKhl(imZI?p2eK7#BF8`M@=}MJ zQuW#<9*@>p8aSC|6C1B8bqJY37y3B${A)%e%t{dXC)0;LE!EL=|4hUPI`-%#AkV4} zWLS&TYXa6;xPEby;mVE2zCo<#Ap1-j>Csd zXNe}hG%DLRKG>>9KFk$HX(mgQYGAPb50U-(RiZy3KL5W4AO8;YXH91@c4_|^QQW(C z?<}wl0kk?Ee+w*b-0Wtz-zmi4Zn-+B={9rj{k^=r=QK3~X>kmcPA$%HO}eF?o?3y* z9Yv~5tB`AQyVT%CWZXDH=5{@J_;BJ1wnuMtd^~xL%hq>$3%RJFl^16Em6ViZQz5;H7!)545Xm?i-HF))l}s#$%cJKM zg_|QmVkT&3R=N%lR0`a&ai4BeyAh23k}U@e9}ptnb$+HB=d=p@ZX6|11PgdaqR>%U zO~=-k1Px$JgI~2Ejz#!;Mp}9i&v!=2-8~P!?R&M9D&Mlzt@$=jmpK{4WZsY>Cn6#; zVU3{u)5niz5LEdL?%lIzhH*&X8?)k?qGvZeN5uW}UnBknB9u0{bTIafT>%f**w>x1cYO2t|I;K$Z{(`Vdd_pF2rOsvX6}gnzRgwOurK#@RUsVc9ut z?Y?p6D_35@3OU+ zxOLRR`we44zd#HSeI_Esuw=`u2rz-5S?wM%vFwkNmyC>@eElOK5p(ht-u+V%pk7Rrg6`{eRZI-`#J$|c<>@f4JSSeQtn|mgH+o>#*G#kR6ExKcnLa=8GCL%AcJ`8 z9LT{ag@B!Mfd@^zfz*7%!uH7pidaXH2x`&tjmC&>G_!1Cj?4`msIk|zSkuxqUEtA1QWF$-N>M^QgK znR7iYvbwo^{rpT3dJv)+TafhEb9xnmwA|i9Q`OY1ll+;-F<|o^kyeRMwcXru(D;#R zy!z7%1s-zVtF|5rCsC+(B)m1POf%gg1QF|QIY%T@1@+&p&~csqPPUJ2oz4rRP=}~> zXtLa9_w$snPQuEF&g$OAsy`J!rO-80#z3Wi(@ievjZWLKm?6J=4Xl;OzqcQ&h(&Q< z{wSP@{O}J=%>O*)|NOp$-IBh)aW4XJMC_0YRAOCEX}Q)X8EiRx?d6+-0zu~~s|3x| zPrc&=_T1x1JPm>a%ufoG1~QGm3R{q0a<-A$>_*!>Y`41^%#^#^E-A|h009+p0&*Ni zQyfMOJ-3RSg#qH;yVoRX4w`viMv-|eXV}8S- zexp-BaL5Ixuw%OMrR)J#V)*@G_}!!s3eppQmmn7{y-6n$#F3JB`|!2Letsk#I>~Z) zNHStXxY@=B36s>T-{ogBfmPK50s=I1uDw#L2a^%)w(z4N$>2Uh`dnT3r(pb$irEoD zHQ7l?XAy7#l%BS+u?b46*z#|-Z*SK(THZU(m~RapzcN!V>gqEYN+0~Q_E#drU(Zzo zP>v8J9mN3=z}<#LV5?)2k}7){s9$)0toP?2N4KOKB-m6004$+uK_2Ut?FLT+EN3|) z4r*P|Zyz_eX^m0q)gnImQ>WCxE*|+YrQhtfFi*rve*5%VE=?o+A&4bmW(AgI_(cZC z8u(4)RZFwNGQT|(>sw%9KcWq=l!X`w>evxJyogh71_Vpc;WZ?^qOSf~ z!(}m*-#oXMUyfLXz=Ey+l+hK|qCYR@vjp{BW-10-Ev?N+ZU4<`QLd+9S!e>nKr{GB z;zAMTM8nA{2JDyiJ_wc^iWhDmVef<19SYzaRF`k$E&qM#x)hu4vo0VD30Tc7NlMxe zuKg5%hIb^JnB56c2j5*_A<^og663a?#U*CRU%7H+2G|t-fY)U-sI~genq8uqizv$C zD7g|!-8dOhS1n1;Z-7J)HvSzUvHI&^&CLj8jhh2eWJp+R!cW*@q=gpIIo0+4Npg5@ zBZLfZQ4&eAkPk?4oE$L61!)j&$rf{AHQ@pI60N1BZ*j(`42rOJPty7x6ARk=;2TZi z9cNKb#-CQy*3QEHz_%k^Ix8l@6Sua(z~VQmjTJ!e!e8;F!0vYW%)r1j8m+6u3Szqt*5UC;f zU9fYh6+)(FW`Zs=HgDg)jWH?<%^Jw+1^8)7oLxi>ohsx69%WtX$eN4B`hnt3X~4BF zz-XI6pZck!L`6$~li8)iLmm@{1)dg2ETNhbBfRo{Z1InLXvPXi8LVs6 zx%kk5MBrA0-6X{S-roMX3o++bsL6c;T)DNU22jdZ~phtHtv&fI%#SEW~r&y z^lf+AIwT0tzGtHwZ0o|5vbHxOJL(__1Xd#zekMZ3q$E&q!Wu!NS#A6ojJNO^{8(B# zfj|INrWR?=$}*l?o`cA9GB-lQ(*}fxacNBOKV&;GGO3j}5+4wF*da;AYg>YV{yXC@ zZ*IjVCf0)8=8*H@QalTF$jIm@ryRokYOvQNuvUEXo772v{+iqDuF>ENKR5Csgaglh zPF;OZce~s}n~`PGXU}?LB@f#6oGS?x*8*5qg%AfDn%}_4DE-=VZA$X|PJV)+Pn0)y zMFm-$H8F|lC=H2w_G~AldOan=ttp$D>eDU5ZrnVz@^nVK_sKC(UR_UbZ>z*yrfBe) zCU5U;AnsMC1B7|D%T7)?pyFf>la?HZKnXWGM>okOf#|etwylGPi*WmnFwSBZycj48 z8QAvxg)8i1{2sI6OzxIewEB)K>^6T!!yw#(?8pHu=Kcl>0s~pX750@a;ON?MqP^iv*TZZ898Pl1sj{ zvrwy}?_8nE1^zA>7=GVMxBvXpBk-?v+7B-OdXgbL_wV1uim&_Ds)H8I!im95U9p-@ zec#mU)z!>>60=$<=bOxIiIPLurc7TqP~p4&2rm$1{2uw9eR5ZMPoLK;Q~rX@Eqz6` z&+jLWJEbaUHQt?&R)agSxpIALAEQGnMGZ*C53g)syMB+Et?NAVqcpRR!PChuY?PJV zq0+CH=}i5@^Iyd7NFKehiut#7FE!U@%yDK+pA=`Hemsq^2$9JVy_H63J|w)y6ra)@ z19km(yb(u2W}s)4*=LWGy;R0YSsh;wH+{`5R))9D~T~l&KegDKi2;( zxKxOL)a9s}^uONif)`zEE46u3n6{s`T5&^Sczm*^E{tVLY^_bky}WJJ^QkR5i}Khh zw!Nnx3S3WOM*;b&U90~-<`7*WUQdZGd%vlzcuI_6js*nAK8vLt7BNWN8u6^w*W5m^ zjwsb6-A!rv-S;Vl@+FiFM|$CAw`}R?yqZlzvfxIvZ-%q9DI8Lw=&xHt-P$JGv9lRc zSZ^Tb8*=~ia^{VHCVPz+ADgWRz@LK8I59u3GQSzVgzEYv%CjnM%=%7|`{1MS0^eU& zn17qFGgFsHuiHdv-qa6t8qOBEwQ6)iI_N1`ZH70Sx0ed3KY6OnS6>?NX>|rg(aW{x zhE=ppX@{B{CfDAcUzeAjc%PYV2#nOq!kd;_x65p0w3shCF}X_^mnmI3XqUD$uTk_s zsb5|#>myuEUTrDaCEsZPx;=~?ZK4Diq1SkmTbAJ zO}76sHqT_WY^kVrQUB}cU5?$&^fDRQmCt)u{HT0K&H2;3togpDUFfukzR7BPDck0j z(BQJ!JNeiaQ)`5o1EPA`_U(@Fz>TBY_{zP$@a8wrjrUEhTWgd5zSJgr-Hm0`_VN@1 zsWV@9xF@&BH$d;>q!Q|=9c zJcPhjj#8)qFO-TmgcPo8GH=1f=X;pzj0p+;Nuq=JNu=@4ZPLB=G}LK8L~b|jG_Q8X zX_9?O%IWm$wC}Y3x+)i{jpO01>t4*c zD@jrbAH8???)R?zkYIow;@-2zctjkoQ};XPUSZ)n{ygN?fKDBJiK+!n3nR>rpwHF4 z`}eDeMhiWXM@UKutono_9l9mpCq?@1y?eV;zL(@B)JJh3iO@|- zLQuWpL%V(J)?R4wd%t)!Ra$rXIZ~3x3c2jBDmPgv>rj*;LgAax=@OMB-0-U~G^-`~ z3MjmSoKM-=rKvf{Y34en>d+C5%FD}-a4TzQ#2|-$Fk4ZsAtK9lKCepW1_l2(P2F3SZr@O(aa}h zU1EKbKN04R1^6oywV6R`lSiDCYnH)#tuaG%m=>`c}9WHe< z}QOoLo3AEvI9cfy(0l z3k5dMTMTOKfMK!mNGeekR9Fi)S>1%P#KSOs)B5$1Ve@@PGpJ*&ZSN(gh)iA(su5gW z-nf)9lDT|!_r+f+J^l7@H&FGAGP0$OhTFEnORmWSf7r^}z_e6!ZsQWEl-;8)rc?VU z8|H@s?v^48i?c8G4a(26zd~#y4Egql77Nz~s!Pu@43rO9{*kAcvL2syR(aGz z^K6=6yFJaHhJako?5$9l(Diqk=N?YO}}T8tnT{`+P}>a6nIc79d3SS zen@bw4fF45TMuV{y%$2YSu)Q5+U$2cOHl0#{O~PiwyA|H-cZTlGV_}=_WWK8QER>l z?I!z@Gt^K*qTN<5R|X0mA$*aN{hLOWPDi`9i0f_GUS!{76j;rMH=r8AkilkuM1-O`I_+LOh%=b_`}dj zTyK};%5~o#D!9sh_%i5GGV4!^qbT#EywXm8lWO6@^I1|22M$4{wMn?pQn;GI^Y365 zR2F>wxl#VCh)IX=xa4l*l7uswSsK3v*{MS6?pUv9P>iCK((V_qfig;4fh^PwXa%0@e z&@y24R4OVe?su*OyN<`{nKY!B5X}sc&|pX@5A^JW+YaJg7^^yE zHa9h#1)oZ|b@7?5h%Cs<#+$6mlXBBLnPz(2nne|%#>Et z-rl|kewtP2a`_~h-pGV$Au$Yn&1@%z7CbS*Rbt6WqI>@N4XB$&+lRlkUs$mX1FI;T@M(OFBMROoli zN_t`D?`M%7@nd69tbKu9S5Kz_8(UEM;*Fa9NRRt*n9a|O>z1`*$a?J)0ez%$pqxrj z({*^;n+bke19eQGdu#D$Be^8NnA}fIRs}0-J%wA0HT(?bG0P^sRD7~Yrg5O^h1WPl zD8kd3KebN7KwC>#{12n(q&4Y$m3$!=1TjNx6}7UmZ@E&osg*M|VZcL9A_hYG^FYJ_ znY}VZb1Y^Z+y10JtB^R|z{b|!+mpd){?&8B_<@i~pMv>C4L|6@9(#L#z1fht{8e}H z6ziyr(?OY_pZ_n9Nc?XoQk#a6j#d;pL3QBd`?0t)iDJ@(><%-Vjvo$h)>~F={)u z2%0{II#6GtbNCv`Xd$Q;o(}EnyG$GLPH`MJ&2~mY&G+%+c9kfw+}JTMi;(7u%gNCO z36fM4uam6`#y~?uqd(0Joa>(%z$^bHoa=uEGQ0_r1r1|{BT86$Ozug9E};uR!BBk} zG%|p;o_1s_A)N-`)nmfwEXhc2(oHsKXwh#~enO&@+rt{pBRk&wi zK5yX#wxTrh)OAF3QeIxZu}++Wf+SXowWhOJDkG@5{ff z7Z%5O-NM>S8u2kK#ALhE1pXNYA@{yUit!)&_wOgdQjlroR+6tCbe+tWhi)HI0c7&NjAt2W1d7=wf=wraI1pmS zn98AR0E=RSHUy*$6gM+a)HaqVSpu>v5=*PO(wptHA_hxP)|UH;`D{>oXJ_xT`}{=n z4|NqW&LGaO`I>xe{REEZAoO>LQ1(DRPr?$^pH~EAS6XORa^X&yjfqdADRq|$*Q18W zT-zFwh~T)Wtb9kY<&L7~njl8-mzzfZ!&E*!QS-&2g}G7Np*E@6VaPe(yP6XX?0bnH zPa!Jk2CP4G;lk6z+zCzg+O&CS!3p`PnPPm#hbHkKhGaS&Xr5g2BFr@wDPFVhpL`vm zqOpC?W^Yr=pOSqb^)AOxotV>Tr^G^i@3^F?4+f6OLQz>aJD5kqYkF~K2vtjpL;Xdn zTIGp)54s>?-C@!kQB67y3A8a1uk_E)lWV<&{vhHb2bWW!&LeWOsgh7}+9`0IInc#yCW6dR661MPwzQz`3krT_RXQ-(B8_1W%#&rCjK4{R~q3EDaD< ztHNzT2XM)My2R2|A2m%{i1k9Unb^WF1VO1u#GXiP8zv7f9TO5^)e+&>*!U`D$3sYLzO2bNE1K_2klI>rN$nb)uWg8n4wN<#=+H2uw*) zGc9d1GL*<8))_Py!doD(Jz{zjHWR+C-W;OpaOk%^w~06K`}p`opkx=p_|vN1CXE)T zk)fdO${8)KB6BT?hIYd2dJ7jqPVYz*B%Cy@ZaTF;}tSUWU!uS1}HSQ5|c7?kFl1M&(z6(3R{wWYhHs z-41ep{KC0cK;R4^x4lej9FPSV!|wQbDezN7xYWhkI^_XDsq|qym2%CJp zGoE7p^<`0X_KsBi72n}nZVO75#|N6l&NrG6t{U55(Zv@V53#gmZP&VHwm)>;seq~jauebLzOp8({nhv6f zLE`u1vOcnc;z-{`fvXVo{CxcK)ty3%wym|XSqj_ue12igE*YqGPC~^+G{DucFzmG} zEbK7Hrg4EC#e*^retn8!;U2UOto`nlu)yH8Zr_h9F)7>$c5mr91@FEi)K+M z-|JlIoNhcM@OSkpHv18qDP$rKf{6nsm+_Ti>BcLNuJ{OFR4!VLg~mD`z0o55yN8Eq zb@Uk$-OmR@FbbXV*tsmNSp7o(fg_u*@OH(kR?5x^L!{RfYAwk`E8EV_Wc2T|E4z-=S) zopj8_W!Kl&6TNtj(khhmBtSg1l1((l+AfkUBt|hX$+lNjZe%7D<~(K7*R%SCT$Y7V zemjK7j%9e4ZdU#D0H01)HBeTv?zJzANY9R1K;c~4YW3CTU{sUzgJ>m+Dp*5 ze7hNR`t*k9$#z*nF|n~pL~y4GGe`vFkd8SP5eT0kwV>x@-zRU*^zKTN*!H!5_(bk; z5K12AmEWwm|Ks`dzOT;@@0((pTPegE@%p^VJzk^zC)n;~g?>p;epWX-(KFmT<=him z+T84-XKO#+ZzG!LS~EIo-MOXtpie?V!WPKZ&{xYeRWk>kT7y`*a*!ToPchH8nM3 zFAgI(A3&` zROgUQmBNugX?!Rf8=GzEwD903v=HNiL@GaD($mYUuC!DsHz#NA3*IBFqVU>DC_E$kINkJ{iL0OG@Dk^#lxrc$E zhV-UZlIWRvH4zQ1mLz!+N$NU@e;Jtn=MVp1-;(;ttE$)s>*S70o%=T%!-776AHVzl_x}6W<58bJ9GvTVU$58exvt(kR(?Q6OizqJAjlp)yr+gh;4k2Q6A{2i zl+u6C!hg;>%RbT|f?qyFrmx}u&pSMP=8Qm47~y{7eU-_wf)9$i+}CnZw>NijH*zvV z*c!Pw*x0++SQ%e&GjnpbvbPgJ@*#P-uUNXcIEeG`{O{+H_D&W&Wh)`H2*ee{qkDHW zJQA1dJU@)P`ktQFc8_^mpcKu&lb=_(5~RfUobo);Jxa=ZpQQ%0t#ejVE4L`DV@9U; zwJ$CGdTabgo8!stJCV#~Z5iCM>XgM-*n{3l#hdQL*YG%FCeSSVQs~WtT8zM>D_2CO z_C-Sk;w4h%jy?U6YlA0V50hhmYP^PiI3q=0U zizsCU5&S=c|MRNX1h)yQ|N9kvi0`*)|NGtO5p)ax{rf%qEBJc<{VA3R)6XRT{l;Vg z|F0i{_kTa+-yZ+pcKzS!Vh#GgI{8og`2X8h?%j35B)MOudSi&`P2$-b3t{oQ9QE)l zh0M{qf;193P&mop>ET+#X$*5$x9l~lCMA*aEqRK&L>v59|I@A*g{=p2n{HK%z1Y~; zc8-SNuB%mjx~+X^EG2TEFf57uP=ll{BN{)a0SGZZJ26hHg>U!r_h zo%d#M$h>h859cbVrII0;5F%p^_#csaZ~gZ|{2RyN!#_9e z8;;#_wTjNj?02eAzPX1$ta+mk3k{pj9KoIt=GX0v#2(X;w^w13L!+WDxVXE|oE)yn zd@VYDqvCT$PfyQdZz`m(uMZEQRqMewaeA^lL))--PP0J&_>jJwvV;09Odx&XfdYfb zZk`54CMG6JYwMOaT7$3-7XPv1B-i;!$-hK)wHtDb?qiNxl@3Oe_T>b1nmJP+b?lms z_BZ=}{dy6KtR_kjwr!qn3*DI5tsi8f)qLqU6-+xFCeYiMsg&T*cb_&jBjX$i4R@n$ z#rTes%1cUFMu9P>?pu2c4`hfDTE(X4uSPvI%rg()a&I`)<2I;g>@=mKkX%O~CO1<~ zC3_{-B!47JG4%BGi0+O#-?eV@3}-oBcpy3xCh$&?y~tNIMuSLd!=@Dj}8VK{H7=_%6fZCRM*r{cX80t@t*BY+PT$ujz`s#x0>)7CM29fC=dp`kjaq)E#|4k9g=g*r58%|txJ*I*^ zW}_agzY?i!V)C8|7fGwAp!Gl9^^f$CmYETfIoXJuDIc|KdGb^*xT1bL&*NY*Yh$3I z{!)h8Vqv?Wu<)yHWDV({zV|J=$|-^||I_2Wp$_R+0w~Fgu?Bwo7i3CcYq7fCD`i8) zW+bas7-pYE1p`?X6^a0SIZn^@Cc=&5HGdY7ahHo;US5SB8^(ynhNJF=$rXEliIauM z6QUUTbGsAXJoybLUPoJ5GHsWh>RzZsnM6vxVmVn4soZF1=$n{`fL-YJz(}CqjMuoE zK#_+?O&Axw&MBy;SCQJL_S?=eFlu{y2@&w-&G|3=i!If1?(QOKefWHN+t|UGvI5_{ z!=&1i?Sc-G`VBIh;o`Z^*;BX*U2Qn^8}mC{sa*P&^O!Tn0ZNrENqMFPezZ|ICh7rm z({BB)l+VIl7FquIRwnncK-_jT?DyC^w9{EI_H8mDQIeaa-~Mpw?^X|#QUd_3(Fv?dZJpXobB&9sKfZI!F5(yX|#6iav2;x_x}K zi7I%S^QUqDXf%RJ0^niY1R8A+*)g+ionOW7Fb2I)jqvelw9Nj+-Ql|wQW}Jh{TEV0 z#w>C)^S841y+Z`vZ`Ct^be#;U$E^n%wZm9%r51;wW94?1t5V1?# zsgO;j+^bW|{*8hIeV{Y(_oLO|!)sLAc{&x`rD2=$ z`saVXd8w$V_#Pi@r*<&)`tOM8=;&Z^?ICk~*Fxs-8LT0YkXdwLkAQ$+iPpf!aq>V) zN@`okdVq0Dve`M=Yl&lbIA5=3DO=;)NrZdt%?RShdP&pjk9yBn3 z1*{rLMN%4z|7#Xb>^E*~gc=;3fn6k1mfX0vyI1CA=Dk{FF;Wz(>vUdOeDT`u;WLY*;E0Hb7X5<$ML}q2 z;VYvRGgBcf?SJRG@%?2EmrFLf4Nm?R<_o#6>h7+==``gRTe&t=nQa=a!5w6l{ zkh-sNeSg@7#^B&rtiwv#;B?;umbT6tDL&sfa&@byFv;HehsS#}ksW`Y8ic1D&EAMh zOuWZUhVo#ho$T+<-^fpv+l<^e+n&7%)7(y zuPcyy_UP5P;WY}*Wz^I#KywZe$`2-?C0IH^e9p-sX*gc7r@;EaI1Vw-6gtk5Ip&Z) zUQ{t@c|{heZR@W`Kz=z%8ouf>1A{~^pU*5C%bjeE=7Vi)79FN`;5zJ#)?l%qh)Bpl ze97;n$F8%32L4jh8=`)Hqf;tT`Sl0S6P>y^D);9THtN=V*WQ_k&V5kN^euN;RHG(7 zM@mL!v^rMBbFfcND~(Zf-jH2d45qyEZ&i+d1}}UvZ~E5hpVo~T5V7i$1?4S9N*~;u zIN7O~c|n3~#8sl_=6q^)_IWgX?5;!#%c7U>_MfLS6W(iW80lTHF{p?B=eb-l#BvWF zP++y8;_M_h=X+XCjt{e#T2S+-6qy;-eV%uP*a3)wgUO+_RYzTQpUYohQA(BKSCIX%JzmM+-)ACB_O{ba-)Y}|o@ zR#RtbZ{IOkzf;zT#=#VrbPI*~WhNcugA1#P&b>|PkCs?cNK5O1<>DKU!>pG z`^dIak-@+;K-V1HmBf%^T)wuI8`);Ww0>latNtB*Ti0#a?BCQx zpB|$Z78gBLFEBw(@h}SCtihfA5I|SBaSSV(Bi-f2VMQP4XRYwwUb)v{^)53qwmxk* zc#`Pzx0A=3h8Emu0K~Qq=97niiWFfNxd+Ij7PhJdhkK`{LwVZE2K7U2ghM4(EAiWmFR+~Mb>L(A zt9mv&=`0chaZ^9gb^vj9)&H8%LdwZj79Qge@{*{bi_FfD2_m53u%^Me<9J5qgbfFa za<$8dSpbL^y07W~+k>S)IgZ0-v2|a)Nm&0?FZ)LxgNO$#zSOuMv@wkGqbbuSv-fCW zScj=}qmb}`WD1`CeFX)QdYGfru=Y!u`MPg#7+3W4Xj6|+54U|hT$;I!r-%Nh6sHFT zr*CmZ3g1S;ZCUhd;K|2|+H>fGfr|AilrK5U{`Uukrkzwc^gLAMjOm{Ex_L2W@9eL| zQh{HmO9dxO_YJscLZ=!|unp^Q9I2s8$EtGE6dAV>k9lH}Qo~h!q2darmP=6Wup755 z-W31>b{}`8#Xt`D9ylzw8C82PD|V3rg4*FB3-*V-9WQ4E-WeJ+a4ErE972xNvey0fy~(Z-VP-z!@C z!T@ppM^UTC4uG!=06uwELm@i$+8n-X^GIDIft;TvDX0t)vf;Z=N!| zSD4ni2g(=E`<)#(M5DF-CjB+4^Shp$F|yE!-%e}?(K=V6`Tp5P(C!awDB?+Ix zLjV+c$R7P#F8%AD=Qm^Ip($G0*%1UZI!YgLGgBbNe2{(o zx`l;@<7jN0fCc%-TRN{&j+ZSSX7$65|32sRKTx1$cJ|uyGWxW>o9yiDl%Z{7TAnMq zNX+V!JWbU+&2cm>>;jHnDSYH^dPyPu8VCw0De32i29_H4HF96_i3;t!tIUx#)=o&{ zqRWWNqO$VxH2ne-CntVh@?XFt?_HhhE!mwu!fbjfDk@g)0vYG7kdbT%+40eTiNU{J zrRz2`Uz3=a=+ZIxTnP66H`Wzicm){0kI$GdCYUn({3(kR95HwKuBte^%gpBVLf@N8G8|sfRL(5VeGW*CppWHvs;bE?N{_mL=OuqQ$ z?59tk68Y2%2Kj(yacN%Ei3zX761WeYskVGx3I`Ml(F={gJD#b5s-p?5YEX|V>e7VI)#Q5?9TBNlt#f|)Wd6usp4W{Z!$C4cgefv_zw;aehv@6QDlD! zWul7=0em7NeQHssPcbG#A1-cIq?uE-p^@_hzITH!-Y+v|=Q;7`iP82Rh7PE~Ys?_JMo(NRTqB&BL|#=LuY?J+X;LTDQ=w2M0L-L&*{ zd>APj$o;`m=k^q6O)>IqVxfWfy(C?&_pb8U=I7~7dvAo>`1S73prB}2X+l|3Q224b z1eUd|%RYHyKByk~!LHqyIa(7&7tFpF{TLO9Y*j!6^M)5VRfV0L_N$u}&)Izq zwFeI#z&M8u&ZNVfc!qs)=FU(%562TZZG!2Pi)~JOCi}Ym71m3VxdR#}t~vAa2EY*o z?lOa$`M4RfjBa27Zrr-&w=0q8Hev;+3@Yvn0=G}K_;KY(JO?^ScvLMdE&n>d|B26! zcmcw@ckkjJyfk#RbhT_l>_Fa7p%FfG@0sH2Bdj)CSoy7~KzH^{8G?&jgy zg{z!uohHhrP9`#NJe`Y&DheC zXwhY)^ZI0fb1?s#!I{dOKi|CVNSF!+@EZ3^l}S%##}0bk^&$bLPxl`kCTZ3HE!G;|C+9vAj#IR0&qZX^Yn^1p$J zgpH$bGsEPN%hPOub_tIP>hWFoTw>vNKOqONLIxG*KbGa#!Q^h(`Tn|A zp&_1A6(fkG!ElUhMoQ1aiD{dOl!=&{GNKN>AA9v->LVje7N^?2m6g>UXgx(*t?*>{ z<4H$GMxep-dGFY4?d*u(4<_Is8;<`qd`q}mVTK=I18`HVIxis{5Z&6{oyo6RZ5|rB zTy8r`V8|(}kHo>c3y~f8a)sevMg^V4RiTwD%N)DV26M#7e>X1~s&r%nItasgY66C3 z3+_GXB54m!^6;BEO*I5djemRB|{}F0Nq$4{HJq^#1Z# zRew^7e1@*+kO=SbmSg6%$cTvP9E0O;(J&*LIx~6_L`-&9$33Rs+~5@yoDK7)PaJq% zioH%YW+}MD-&UDyR`QHCV{K^(2Xx$)hXSXjrUEVsDk6i$nyYqH$vY&+(dQC`8ZD(98k?FvY-{JuCw9US=}$!exNLH5%)H;MWVo@`2}v9*Yp5I- z_TzYsq261)-_ eYe^H(q@SG@X0UUaNG;f2VC& z6lvYN8s)I9IY6R(2j#L2P1g5t$EY_^4A*Ahfx_{1TOE4?3X6${$Izd8)wo#s>i{s$ zrZwe0sNh&@#4C_aF$4KPbn#2{&(Tp5M4X5{^T_CEk=u&)mwqeS`KzTva01-GTDG7(XiYyX3K9UIED%|*6H~O6<;I?f&1-dTpuws)qXz+0KlQaE_@L>ST^pfZy zqwMQ+{QM}FrQz+6?K*g@V&oNe<8NUhAS)8iQZaq1*EMFU6v_uY1LI&Su@{GTL1BOi z!6ztM& zh~KP4u8x#-i;3E_exg$pzSz@@DgE0r-F;nL&PtSml(h2qE&!7s2_mS@>-68<>W}#L zo;@D$puqasG0`3Q0b;q`5W{Qp2CpdooPgNFz2hRM&Mwu2IYTq6Azc#3TriMdv$YcY z>kKLc{u&$%0cB&Vv|srybkmVC>mu7xVN!DP-ng{35z9=vztW8#K9>*}gHQxTiDM95 z99dVAluf!n-hzgX$A+W{J*RdFT86fc4!)ALjTf`^q^07G=tqEbrf%7LhIUhaew^{% zi0xecy2XcK_cMFh8@|52L)ETaz=rkCBT`lA!sYtL#=?w?7U;XR?uW=_+Alcug64=| ze9UR};XPmsm?D)6QB3~QDHMWECau-FNQsPQ6ip%7OHrQ0r}gUAq=#khe&4<*NzGoi zxnn6(^WQ8$S6V^kkYzZU`kgD*Wf~yZ?(w`5Yet-t?bUOw68XJDv6SKW z5(auqIAW3Yd&Y2DXPv~J)|)UT>mK@8WZP=aXX)Up^W&(GGLp|Z^yk;Gdsll^t&>uN z+P%1GNG_+<>lSk?2W8lP@OC=_ATeoKShg#iH?D%Na8@LI>6)lx66dRtEAa9|x0!o# zj6$x;Ze+z~_pYT;0aL=NJ`e=S>(QNbOdGO{BoG^zCMsI|6UM34#K+J70#r+uqe(&> z3IIc@D!|dMCU1m@n@n9;wM5Bw=rLh{^?aL46VM%;4!<^0*XvRC_Lb1Yw?E$$E}57H zrEGX8lxe#Py@ZYdbmcNVy_1}t7O_OO24`TVgWs3_AViJ0>a;U_D;_rU#VG=Jxqp(S_d}uiP{_bR4?wuc1se!ghH%~U7 zJs|Qg(98)@yi1`#So-!d8_SV0|Mt5+kqjzgN0+IBwt-5#fWAIe$;}@EFr#rx!qLB` zrlx=?#iLSZhH&=s{fuLYlJ`K`+*U?{p#@cuB5OWAi?>?4Q)Nn~gq@w!8aBz$%wbLF zss6zym%37NNUjr+&?B{y$aiSy4R+r_S9>6sJnD&iv%Z8gcHbYp`69hbl}S7dN-*F0O)mI8Yy{Z;odBL7tb}R4m)$ zm3u6{3E(e3KmWGr3j!fty-Bt22NTPM;?4=$0}~U{J;S`}#Lpf)2&r*L#yabS@F^wi z$%$fzZA9GZSNyb1K)=H^P@oYw6;nAo-5C*={1NqA5gz^ERz+HBQ?E5&&wJw3Hn~Qz z8yc^^dh|{1VB+_58u-j6%y|I9pIf@vB3=xgxJ-nGkdVmg?25mdHD+gRY}~xPV>qM46=}OQJn(F^+6F6l184#m zyEs=7)bK(W2Y}!z21dRYrF>LriLsX1sLA1WuQ=84UX{^%@wqpof@b@sh$1VB=i z(A<7L#VYE7sHAv3&}5X|9wUJoSMR6W@ki?xlg9wbt|xI*{E?jV=lSK!m*449bzJKY zRROu`^=7XG$~H$fmlyv_z*+|~oN@8!#v|7wN3ZoHO|_sNX;C6Oy}uD9EQ+C@@0QcxzqsyXiLtR=G1 zV;D=6X11hu{py(d>-O{CcYa~GaoU~|MxaIbh9wx+32Yeya*|5=uGio7H}Eo78hTB9 zS`xu1Zndyp*n^2Pr_1~q1%g1LL-TpO8*%`?06sTvH14tniF)B60=1S!;G!=_fZ-x_ zxR$kU$wopRS-G-2y^-IoQdcdV8Ohve@q#&#t0m;N`B*{)>t6E`*owx+#@mJxkFdIk z%voTbq`A(1>LR_t<5B64Z=RifpG47nA>27)cqjZk*Wusp)VK?mB0HdqFRKmG>CLOu z3+NBhj|7EpFK-TGro@i&hu)vz+7z{Lt5L!JoZOz?sAS+Np;`v@ntQ)VRfb8G4 z#4_})iqA?fXeC4_wY_*T5o!7JtJ&EmxrXBrar*FWFDc4P6>?vnNFE7FB{EtXePxiE+f~TlMelEhk!Y1{;M> z9o?F@=}Pb6CrXg;XG~O)U@2GaQ=B23RJ#-J_vn5knn9d84uoP+ugcl?3b=y`jtCww z(~)+^6R%$r6U?t2@YTttEguhiJ;xH2*TfdKcGKGlGmY9s-+zf#5#ymI{yK=w&+Ys; zL5W@7vW|ME>%VDbjx&KYlt43<&PgXfC?Hsmsx&g&ifI2 zw6Yv?uKAek4XJ|8hvJCcs+9XQ!sdrR23T9rE62_GZ6+-fF)30;MQf2tuAcq`q&B5! zb5|lq?lfw5km(e!Gr~g$g{}VJPr)<<797uv2^X_!h+19-SW9sAOYSWeX6AMr?So+f zgcGM^n3}d`yjNvSbu#TA^T`O5$6Jf)G1uGjWKS>1&bHFt`h)%$KKSMD{gyxWvU2A_ zy~4gY*L(u7I1?e!o6$F_eb<=nuir@RDXC^($pz+2g@NZn zgAS268OK&!)+0ZKO66`9JRia5b{IEf{IpO z;Wgb?@hMW~m~vet;jdqdL8-daRlgsZKWFZ!qGMqpq+AZ3u?V`^rpK6b^98c?!h1z_ z$1%r_DV$f9Eag46bE9$VHE$8KFV?Yq{2B0V9D@Q0AraVyR*5;;&gyvR#)jk8_Vzio zeh;MtZHtcE*8`$d6Uv7GYJnhX;^6QzW4PaAi;aPX(^OHyL~!3>QVSQN$YJL6C{IUH z3Yyc+mGu!uM82i0z|$@=KKCjl1kBlY%30$RXyLcY*Wa9)7a;VzCt5PyMsA9+9qlkm z$Bxst+bNkQ5b#}3HX+$e7^#}u5cY1495|E@&vV>TxO}wswctufMmsSpeeAuff$-qT z!R~H`CGm=jdwq9a2>PO`{IZ~|>`!L~FnZiWx_I#-UeyQXtRgTd5b8qRl728J@^V`9 z>wb{WRCia;fp2JJY583U`z4I1oA+w@zBZ^yIk7T~HY-&z87{9?l$8mV3i9}@vH5}7 zLchfqIo41R&cMmC!0{Gc=)t>1oKbbr`F1~x7ZbA9CgQMow#aH*xI3_;Fsz$?*GHe( zf=Q4u!S>a2Ok=$|Isouj@vDvz&r<(g*NDQ^Ls`b0hghmp_@fSF8y zu<$vfuJ0l2olb?5KS48tWBBnS4K5A?S~6g0oKX-=tN(g_e*X608dTQ5hxXIPB_;)B zT3EDN4Nh~B7AZt)Dz|DY)rrL!F@NFV}CyC?HO9#n2|?yiLS7 z=PSvHOrO#Gd|_X-+>k)L;+2>a@Sh)~K5m30g6N_=AqHGet z_?+X6ExW1rj;Bic9?hKEvfI35OFxm9NKc<$trT)J4$d3av&{ds86!biFQx~r=x=( zg1H{&U$+?pn7daA+x{8bYwgl3n5K`W zL$BXHc|n~`IlaKfEK|tPzC2tquH8jNuLh#ExcJg+NcfOky6TS<85U6dWI;&!pagWf*?HBymIur zmT>r4hpn| zwFewc7%(IJLJiUeuT97xKV5qkSMQK@0p^(#$_bu9O~jD!xm${b8EPDW!*TVvu)KT^ z4mL9OuD^eSM2dGr2^~{^S$4orXXZ#2Oa2yd8%}=eWB{b&$J1>58qb?W*XhHn;7hJKpWvZ%hepYiS0; zQ-0}W)e*te%PJx)JYW91w7uBAQC0sja(idzjOZ12VBBYP1S7)2D$QLK2Qzi2Kanbm zMcE$IDrKy$uC_xs5A1-SXmlhbTd4f^WBuPiE)RDPnH!-*99MQ&~mu9%$~0)9<{cesHHpHU3&oOIH(@z z4VA~1)kzYgc;lI9_41N|acSBPqd|B5$iOrD5>XF7mz7-ts{(L*f0(b~w>yS$x_=oC zp5#2i{FuU&v*3|=`SdZ&@E6OXmH9@iAMrs}U4La{WMJGOuYDNEy+KSXX=UjOM=D zO;gj#*3i9MHa~B^wY4RBKZc-WZOWo9Bd?-Fr=QRDg9&kH<=)y0)WhJYKB7>iI~B_3 zS#(w#9WT(Y014_ZzG$O)Ub=HaVKwBtB4R>Es#APL4Mc&O0GTvd&_ z)ELAf!3(vraxB|3>f7k-d^EVwBlqprj)1?vqxj_`sa}rQt5L6={epVL*!GRW(Khn+ zk+#I&*W@A4(odH=@pEj9vAREuvg7K(D|l*9kCF!q>9}`=eFv>^_t~AfwJKu6PdCI; zQ0X~2-E<3(_xRiB+YUq_7Ftvv1u zOXA7wC1H)w2hzmsz08M`iCtUFKl~AQ*snUZAH@$^oGYBh&Dj)dai$Mre2}%q*}Kr*FEcTbCB{Cy2Eu>TzgX~6zP&)ZPnD^4DPHBsbNY|M zT{dRX=*Ax?!Ra#c0o$>UOvB8q!!`qADxUsa=r~6+fs1kZD9;%c>!CxT*+d4pj5j>7 zmpw5x(}}7l!M+yD0_r!Wbl2l;!)pwl^ImkTPwV?S``+UTgos|V&4Sz11`#p6B{A$5 z(7~Zj-vI_xWXCV_KzWm|_bP5> z*|uhGgy43Yao>3~81D7;(lFa67qe2_7T;3mQrBu|9ckN76lifP3-oe)wcVu85!A{E z+2o7vZ(3cnlR9*>_b~j{U1gg#KUluO?B?S`U_I-^m?-m+eEmRhFZL*q3Ge7j* zYZt%-%JKMP=&hMLcW#i`WA7Ep@vtrJ`!K__K6lQNvVT!K=g*krUife>cj+2WY`2)$ zWtci;r+$Lty|)6aGF%g7WS)$FB+<&%E%r|*S3}1B^M7`55sl_!bDo-I{@Aw|5*B*r zMm)TM{(k45z3Juo;jdV%3-S5g3o&I!asiWw*S7hDZFUr;bUbXCkyLv|^#u8L@vbcK%h z{*ZQt5J5BA&b!^@xUMhzVc|1<$YCI36Et`2&<&@)g{U4WW7|4EunL@=OGX={NIAn4 zS?6}I1LAr0!iZSp()Ginsbdf?@YinT{sxWNy5SisJ!4k}Bp=2)ucMzmFo|f`-P!4_ zoIt4eD%PYEs2AC`#oIs>xk%Nj^QeAUa>%qriWt*frC9<#8K zeo$q~)*(1hm8rA;9W&{g*Q7MuDkk+o_iy*m5h-G#d+F>LSCUg_Z&VNejPHVnnMt{a zv0|hd+`(ZzO~DLsspdpQQ8eeap$6S?l2u!bwQ{ zkaKkm0?0#H`zJ{DgVhumeHk`71tm|Cb0@#t(SZ>_o!s>ROtxiPr8}14&#^}3-aSq- z>dYN0>c19U3>6r23ZLOAvnqc5GW4~|Ald@kZre{E(IR(iFHtgrI6>*YMII9-GTYZj zU#`N|B_+y&+2j&Zj<#8i-;+CiWFXK8JABMHVt4H4YkW0|==Z|KWc!-Qk=N&VpM;_a z9u0V!pc}U9v~L8X8Ls5k6!?mSbwQ+&8Jx7FK9fPZlha2Q-m;9$7!pBETQMrOoIXoxSf3txjoEJS5K3k!t($&Lvv*HuP}gF= zzsu2DwAhh;!|W-@$j)8ZU(bvbQfyoj_Ih-0YVy9* z^ebMk$+D)sn}KUYf>ZAjrzTGvM^~?Bk#b;R-sF+Ve{&WQl*G-2g0~WS2Ox9fBEC}o zt*Fel{ypL>+h8mGPA=b0|EPqxcw(AyIA21&O@~>+A~@i*=L(g^jz&rVcc*=qJ}JN1 z_?yPIoK;2Zn6CdMoADsq*2j&0L|6(%Y%QT;L~xUhOq0$?Ui_5#Be`-*f=S`qf(A0u zOWejWtcShJmGN@+ObDZ)TYtXZI~e-lZ}{!w?<`19aCp%i);&p!p?p9KCKPDpF2^OR z!{EaLB?sN4N~p_R60gaRO?WP0k03GJ#o70{y}kQ(k6`JMP%pi&Ur>V3P+0GoJ5{ap zW(jJxMOgk0xd&gBIw_(R2F@>|2R}X!9&d>?I!fRf^<+u-gu?zhKQS^w|IK0u`FEhN z$$O+||1bn~4V?1jv0!%Y&8FUS+tKk$zxS7ai#5+uxum{=JTtt zS9vLa^>hB{zD<781(+Bn{l(8=%n(QgmX9W3HWM__p)BvQ;(JpaeuCFQ8#Pw`uO$Q9STi(?ZCuT3ua)&z^n z+K`E+I`o?IgS(R7q*JEd23JpTIVHe{XY)fJi-P)ePcp8Uhf7u*8@I;GCYRYFbTL{HG07e^*J%R+itlE;wA!k_u=>C@kORN( zX(mqJL9NA?4{h{M+VouG&G1m`V{^F*!V#^ixgJx>@I;G#w}@%pAX@m+^oGmXpU;{e zB4VDOFn@g3R8=@rd?7NP`lj47<07rwoh8Hxl+!~25gJ^v3qQNfOK|oOrHLb!5uH>Yx_BdiYPo=ZcEKJb!RR!3Z0%-u+STZC%npVD>0O?G6l&_7dNG zxX57nR$lCrd`4!*m;Rq$j*RQdc)VK%RG2NylJb-=mCZ}_p8g;?WsGjQpOj{33LmHZ z9W%eFWl_Q-k~9laQnx_sHA&%5|w>f|%jKKGFqb!bJ_ zURtKniCoEg$UmZZu8TfO^WmnX1g)@ZvPogXDEUGdVlU%Nkkazep*DVk{O2dLai7*? zL@tTDJ;bPpD=atUO=G!a{m^H`Z!CmON*(?7z_w0#p3o??aykxp@~acqQKmU=%ux+) z$Y)H;fBv;72naY)cLcOe2v9OQ{GtiHKfAgAQ^Ql(4?lBO0YYZr4naW80Yb0%qE}*z zhq;qI=jjj*-p_R|KkCJ7!Xj~O7<#r&?19r8Cz?ht5xA>9O8SM5H4Y6m}vZO=}7WdK^ zR`;P-!%|$E_3j%^U(=LP$SQ%$3;x$ zz1{AIGOJhI9EQ)Yr3`Z3DAM{dEJHZ_T&4;IOQh=1S+B?`;=&X9CBSAG?gHs=7Jt@~Tcd4- zDU&|l_TVfkN0GeM53%{z$g-ocpY*>`DLeL?y%#%=ODN<>#*iSoJb6&pgrs<7q)UGNn*OxStyPEs`@e-FEAa)WZMa+-MC&`?9>zuj`iR{@DVanu zBNmTPyjfs;1sZ|NU^QF_gRoK`B$XlckXBHDy5Gmq3RgKGk(>CUMcf1Z_=`Z}>Vxj@ zBz4n%zdsS^L7GI!U07+{ZE*Y282~S@M!%o4#&|MfnpZ3Atb6kuJGUJDdcT+Csm^X_ z@GhSe`rZ2^fAi88<08?X$%;OUnoH0v2q#Uh$42}&3-J8Vq$sZ2xKPNwbzpQeW{EkrFSx?_1da9Jwcddtg= zVfjN1{xSpkdJGQJ8@gAtW&jPolh1gZt$|CfLvB;>N-QKI_~7agXq~ihyU}Sd%?J%H zkk)3^X}QvNrY596Of3)V*SL#{JKTALgkmpFYu&+xuJJVEKR03*7n>j%8V2!) zG`L4ZtLJi|R~*aTYRm`j^woGpQnL^Oa$q4)LZS?0UI;?N zMG42KG4QK_;Ca=p(wAw?d^~K`o{8#n;}qX|J^EdOOgpD=J?n{w58EG3x_Z3J1AA=) z1<#g;hBD$hocu(YPr`dPmbY{NwtOv0!843er(ZI14U){t%ez%LuAdH-U(IpbX!n!Vf z_i-&7l4Jl4-hl6bTPer_THFL`@4ypirnhzLflM~e_k^jXN9+ocuYz@f1}A**bq9qF zSy!n|L~Qmix4h!R=Q>rU>6L=*d9|}DXiCBt$`2TXb}>8<+q$2|ky1r7dTYRj9baJB z^5{?*59?7LPog`R*VpC0xmMd?;D$@N;SpEF9dPWu~!UZ2sa}kag59K^Fp!?v`mSqatN9O z<6xtgDnxUUDad&r|K=gChNS}J1lS8Td*v}o2vUv^?lB%NHpBT|Zfg_ausu&pI@kqk zDZ+2dy%ZC+YiMJaVoXrtD?zE;e<>d?l$O`@gTAbA#Gcr0Kz;P9D6iQy^*!*`O>Atq z-|fV~xO`C8A$9wz9% zm?%<*%bwxKvm0-fvM?ULa~edBTaDX8nYpM>LL?SRt{pk%^fSdZVICvr(1l_ z0da1ZIoDa_$G&n^>){YH=TQw)mTyGiS^x!^oqo3;d83)ru!fuA^BRrNp6?_Q7MaUj zSj)-Hb@v9N6rR}9n%5pexR6yWg3E@Z5!A-@?`)Bi0xA2XkhDxZ18=SmR8)vv8O^kZ zD>s&vm5H&{RaFh!hP01J7-I)^LJ#npa#I08`(^1C*J;^AD;`#@nU=}%uc1;jkwD37q*+~ThM2Di zu5(oE)%uwSFfpX0$oW}YTYpv|J+E-Cf@AB1CbfR^1v!=YZ4V{k?xO)z_B>s6* zpd;H%)QbHi>Xj>S{uhg#JIkCj)8;<%-@jy<$oG5uJO7tWaGpFb&ygU>%Rsu=3rh&_)^7pjzN`#i_rpi0`MjA~lT}G*8r6n$r zkG^}QOwRQq@67jwFr`I|cZtyS*5oKrVB6YPJ4|P9Qn>E0bGAdU*ae%}5%WhwALRA> zGkyMk3LDdT5E)*r?)fSE4h5xMA{2^rrSgz@(vg3I2Is#kU2t>yjFT0*-WL}XQngH= zJEtf_iT~nnv&={A#`o{9vF&yhOJ6S7gpc4NyF~RS{mCe60qeI;Z=wtn_^3bTnHBXS zx)i+`=cpo6`;>+ox1;#u31pbaKbQ>cTQQLe-oE%I$eGF%(!CNh+e7z03_qbcJszU{V@X*f&GEV6{z+j?CmqnUPf#}gnS zD9ngPp)$P8Fw+Emlx4AuCbK=3n69aUEb55pyCMNkq#1Rq+?Ap0<9_CBLyQ6)jgI@f zKqC7mqGb%qcM|3uIEH}PwVoTe<6mbcC1l-Z+b_^{JyX3T@ht&YceKyTs6vi!uJ4>`_$NAqRcG{PYMITV z4A_({xz&_$_a4OE{g2DY-Mb{P8aqj!>yK7}IEg2@q2>mSH?=?Hjg_@U;KjXHNTkRy z7~&@EhWFoLJ?Mp<&1_NvO>(I5L#|=@WYa7aiY$7jK5)gqLsSNFX01Dga0PkwKaT(i zItc8$HDHoDEY1V*w6mtOzWI#pt(7t2jMYLIH;#HliuA{2|M~~x5A2_>Iw-eihE0ZTgeiS@-A6v_77rT-k)J!^ zrU?yHUKkmkWO7Kl{P;SI1(cjI%Z`-*9S zp6DUIT-3BT{+l*JmbA3TH2gg57Q=vWYvH3U08ArkOTvhYknw<{QUD|Ey#(?bA z#;udl13@|Quge=%`*c!hqs4w!t*#s4msc_fW5TsBc}?RG>9 za5t#)`v1e!TSrCteQ~3NG?J21ib$v^DIF@^jYvs%$IvAuB_JiKbPgfiNFxj(F$`Tp zHw^7P{=V;f?_G<3T+5}y^PJiHQ+prVr5SD}L`Sr(r!MA8!w?#MFqg_HSlN{ij}e6JHdzXj-wYFn#0Qx1O`Oc!%pb{WyCKUV>aCTE?TDgPhJXE(URc zDA91trbb7~2{mo5YtrMiXnBPFR9^u@SpLUrU1)QR%YFIXXoW_ z9feg_o-oESZkma3bFbKpT7G*Q%d)HYY1Q;=|48n?$XL@qinE<${hZ6iZrWWs?w9&6 zzs7g(e_gp$NVVX6EN^t5(PM_Ui#ZwF*Pv?FRXQ}qNh|u==Fa*YVuoKen)kT~0B&Y? zov+)X0|*@m&Ckrt{4{*o!r#~ZoX1#xBh3E2MU3mS6pL*}6Xc_^v2W#RmhakHTSZ5) z7FR4y;XClgHQ!^=@GXosjZhc^cFo|o^mNSFr#r96{+p7O!QCkb-3+h@Y_y3_K=EAq zN1;>Nfi>QNzN}fS`ASTT$hd^&KAH~Z{NmyPp?}~W{*5z4 z^dp`+Y2)RwW+@ZndrF&AOI0c3rR(+Cx<2P8liC%z9HAXdLpZvNGXok!Cvz{02)0LgrS!ZAoM)7I31blR^s!d0Jhk~@2+f5_UmB0P(J zFQcN)VxgcKFuRBCa6OlYlJy_zkG=nV+`LH3$PmdR6;0GX{#P3K|0@m5sW=K-m<5FY zrU`GaQNrKt>*;I8j29ySz#3V~8jvlNRD7H8CS9qg0Y{(}MaM`X@bEsc0lxRLy)rLL zk}DT~LF5>Z!!`NFUm}Em%nFUI=H3o*JW_wNRmLn>HC&C^4zf($eP}c{ zC(I=19`p%VD05ALeT+N1Z*03uJ}AjwU0Xdo$R^|#n8VZC_I8kr%tIs&lLRtSX$wEb z_Sx?=Bcd1MF&6|G)c?(-JfV#G2;{tq4ZDA!SsVFU7QNt}(0!AF^bb(MR}|}Yi1mx& z1%G-T@m0}(l3v$u3l|T>3vsN!PFZkix-#{Sh~UM0v9I(vzHExnQ@JUybw~d`#P75qiY!&r(|A#GK{N4 zT}OpJgjv+WFnGzWG29IF)kskI_xGiBbf^L`ds7xS$#E-C{%c>Y*S;!vw65s&*Dg%C zU&mYgooz{eaZ=Ts^GUGr_Rra?8>^Zm`me^S`3Cy3Xbm^XgyDD$`Zd?;2Drld>uiV;;(|g2nU+f(F&;ZAGMewUa z9rnCQUjc5@e}kf=K*)+TA4>k%8H`0uLn8?Whymi-X>fSBFtf>~G1u76{))wy?Psy^ z4BIP`GI-7BX#1rVM+BL+_#S}(f}UQ$m{70h6E;$Dj2dV(G+vH~dtviqkw)V4z*z%6 z_g9Pr5dE2-j{)<3RQbl;p|J#r_sQ?E?HV>bKOpsIgaq0($`%JwMj}9Fvyd>9&+jC6 znQ{a@eHWg9CjDMFN^UiMl*^RDWQ42TQ6wxIk$qF|{#%zda+rHbq(m+Q_+*nd6#rYN z^Fr?v26_n_J!Pt~t{+K%9W~IN_BvvaMmc&Q1{sqMK$~CAerT)OJ z>r{lMyZ9O6^_m~fONF|KR#tNmdpy*eE1at+955pTyaqfLXP3yBg-xf*``1=Kxzjsn zyUrK1lP_T(GpYTJsBAT_r|Z-7+ivPdNC&0F2?B?&b}N`9R8fcY3sWCg3JVd>(f*2F zk9Y_p=SEZ_OesL5jP4N#T7iy14RX-{%Rn#S!1f^ZZl1(@h)0UAOuGa^K0y!O+Gc#{iBWU& z$%sis>(q2b6h%+x)I6pY9fiEiR0b2JjkrI{!%e%x4gH&0Uus2yStx7 z$jq1%2)q6ANr%_s>{nh3;$rP}#kb81y%;JywiDmus+OKT)aeiUb zF>{$oRENw||55iWeL7<1+`xzr8*=AYsm(z6p=(_lNaU9E|Ke#0_dEZ2KKqjha;WsN zGjV0?^$O3-PuadWsj%qi@XvHPmS!zaZEC-bz+h*`>k3Lw6aQrM(Z)O}9z{q8%2sY- z7tK2W1Kf%`&8ktKGJ_!4bED+S>N(rTU87l?EY*b;PESW(NZkxoQC?VbRm2vc|Wov1pw?CxnJd zR{ZZP|7G3u>?{1O_CpWGl~KKUSmgl4&&l;bPeL^i?=WJ!+7r{z&=@fQzF?rQ zzqvk?0$5ma+_xv?;_1Z&CuJv=EKQF<1P-h5-hds#j-LSbE~|5lvr)AOXFH^m39BZ) zNim%YW&{07-q71I@%LQf3xfld(#dD;z7xLZ3O^G>q|9Q?UQG|U&QVNmHHRPX|L`K6 zk+)n-LTBv4ysZ8t`;%`DDPZwpe2m#VbEh>*YaQ>ndA8h_zR~|69_4x@xM}XO1+(_) z?{9$iqkBvD+6I3X410k29y(1hiA}=OK`p9j|13%V8&6b_OXz&Wq>WaptFj}bsI%cE zSh|5l%CB0!FB9oy%uexag$OF<)6N?iI&6_xAxe8P;~L{ieSK6iY4fNE>|~&g>%*FD z%6l{3we=~1sAwVUm2swMhbP6==JR733=dCQ$fD20E#zkZcI$|NgvsZ)m;KV5h&p@S zPi8LpTCH?)9MVy}(BH5qV)24`)jw$MMR1ZxAEY;VSQ_c)KegkoRH)%Dl&L8yg~Ggk zP&#h1=nqjtAoOg-RXK{hZr3DJ+2uG#G* zZCX_pQ-ej5YaLZF!jfpn5$n5z@k{l_G#HW{PtxR9AN(uUVVNKj&memWBZC;4ES%oq zvt^p}L@1TvhMzX3>ue!EZwE;|-IGRraw#Hc&MCBJOuspXJ6C$*eRnwKsll3co z-ne#Cli*buZ5o){=2iSG0~|v4dcm~*)?CZI-N^iQN8QUO5fR_eu2-I{`S+I2L}Fjd z)rjC+&h{R1?JgMl@lWDFKScI_r}kkmj)njQuHQ$dV#)@|L`^3l$lmU*;>l%8PCrAE zDLfnpg@*5wmP(ABHuix_ScPvoL>$&Y{#)J$r}#H+4X1$4C`<7sge>s(q)qs<^J2uq zUG`k;=@^WZq=uSwAOmbbhKVcrTBs8DjE%0OSrhECd8 zKMvH<5=hRq`>X8kM!N&nbUu@*GB-2x^;)(Ui-p@IWAq6qh+wy#x+;u22`F|xho!I? z_qO5g53#KoqN6NlsNgLA$y&jFnop5FDfkWbr1Xr8tcY!UG38LCU%i6!qMgDxZS!*Z z`>JaCWm~=TroXow*pj7_9HWcOH+MZ#obCK7qiq~&AGGt99J2)(-(wxkF}Do=iv-OO z!#T}G%+{)9rF$P@5XI#MUrrRImG8eGody`c^n@+zc5wgG z`=tTekpb&Mra0Z9{)YCz4bHv91Wo8XXHw_ZGSe&z@o8SG!DH2s)>Zx>vz$_|5WPL^ zKW?w6WjRKsdpR5ZB5t=ejYQ#lFBIBd9FjVASnn>ltnIxUd56K-MbkOkXK16MU2|YH zy>jwb>vnpK^U{n&oLE=GAw_n?l`z$)Od~I=@8n&?D4c+(zI}u{qOR}y@{+2ZfQE*c zMoFH}E(w1!JuGc&U3F2Wz|J+#ive%!aG+ss`MBL zfA)wean2nZot)JAzNkN|^TE_S!|e4eN1)&3$q0G9VOl;`gngAem)9;KU--muBPWJ@ zY^R6XP>Ahm#{?YXF7Bk8|H!K@rW`FxH72MH`e@R>@F=AU&o_Vx``D@i@{HPcD-yH& zWH4cyGjO}Jfd^Y86?w+(^DX`;B~Z~BJWEW8s`(gE?2Y4NZu{2^ao_{0i+ks#_Q+qE zakHP+$n@bi1X;ejPoa^KF%z=u*H$&016LrDrD$wtnnQwUGf*z zeCFWbz?-J14$alT&2J@P^gAQHx9gk{D~zE!e7S|(a&zlQr85kdl2{Z$ag7fRaU5k( zwVv*V`w6s)a9qJGYToeuf|H+I-Yh}CtSE(-NX9hY~a0#e_u&l%_{AfzvRwuHI@0HBK=h=ALxqnDu1geVwjE_TYr6 zs%%sF#Yx1TN90n9Hj7;? zB5=k6xdfKX&cyL_%>{fp=g&I8cKRFW>g*H~Uk%&IDzmh&F&Mm1kRTf6;Wi|Xk!Bu7 zoho{lYb&^_G1pSBZkjll^LhqDxTloe&uxzXy=ZPlaiJvVjRkdU%K**gR%qJE%XE$X zBm!togG7do6<(JgL*oID5N*}VbmIp>f{a)=b8_E_5nFQ$QTtz=rhduvTnY)?WcV7G zXd$+O)d)v?9?qjjqvoa`26aTtc)s@-ac&n-8tGqhoEk>q2tO8D6jr5P|4`@dNLkp(+`IlEY<;nIC&uR`;_$yP6m z-glVjr8_O}>{Fw0XHsJ5&)p-?P0Na!H{U-{bCqu}3PF&Gzg$AD?W};l zzr)XoeL2SI_4pn{q8)CRpt8)Co09@34j4{kyHHEO$IEFjs8gT`!0TT;jElZA@2`VN#)F>N_G;T@B(}%JF9fM@GP9YGrmzhgQ}0s zn0B;!4#!y1;8wJj#MWq0X2?K{9ub92TyGkMJ0RumTczyZtFm~)`RZKRATE|#k}pCo zvYJT%drK431QCdmlty+P;O$WLpasG5S)G^A#aEo$j0$C%CbXsy`_tWiLl1sMM_-59 z)auc``Y6mo)VsXoTht3r*Dwdr1^OWblRQY3QyC%U?%0cY1=MHkhKfx7rMF`jGS zve8kxo4{%|DA6u7@P)g1tqJR{jg|1C`8TK6vv=Tu5z{vYXT=|V%Q?8%+>`~FMUWXB z`{sF0tpHK0u1o5;zDT`I8dqqRx7LRy_e%Y$%q+*)<)DVY{@&ht-gn9`PM`b0*(!@R z<(Fc~SKS<~jjYjIV0>3qb3tIuS18}Jbi}@C;2hkxRxi}1O0(=ZRh=2SS1{vW zo7c{PM~FrBI@e?b0s|E!Yq;vQUQ>R{YufvK>BGUeMF$Um>b(FZo7I~SmIT@}SuSVb zmfYH;jeDWNT#+@{}4V2!%fmuxjudF+=});7eMq%|y9@zZkYa?#vxCGcIS zPQy^bED?0dbrF(z7D3_9j>wM=kNY3LK{tNaH1B|)T1*DE7e5G$?+J5J`XVKs;$}Mv zSqx#}z@B%gA3fO+b#b1mciUl8GiPNwGvr+qqwRzcbvzqhU=GJzM*o>jaJ%IEPASit zIXFB-t?s3Cv6=zuEUNW(4o8Awp+0hZ@2I7CFp)0E{~&j+NOu{x)5dssg-g(gkwE4R zSRlqK6Ls5nO)>p^e1Fvwzf&Nau!B}6-#meO*y3cQL{3_|H-+k+>rDQ-%X?KpR+3XD z+1Yw+sSgtA@gaU*Xf67j2byym@5M8fYv&y_xFv)#2u9A$`HSp66xP?oX#VtMNcABW zko10!g+Q%NI@Pl~6t>RpzOb$mEh#$?nvJNIcs-jBh~6yD;cINcfLM)WK2MzI>c5!Xi3eMBMw!=ZCbs6b zj9rYtf(ZObtf_~rb?U|7Kg~DQH#96*T1dl9AR@yB{#3E|vQ{!Adz3_iw=WKf9P)Mz z7=0W#9Q2Fg8Am){e0;pK_|l$u>7+bpDyjnx^$1!*Y>@Q=^ywcQr_cp!ir~+=zE-+2 zJ%!xTbe?>%5k=1uN8zo^6EzJVs(oppvR&J2rq7BmLoHH$UFINjTp5k&c(8~`NJ{{WK2eNWPF(z)Lq>Pz=LN&(EtD`?i| zoV2M05;*>?9Vi&=8PabLG+&-CI@A+)&*9EpB5Ka!4PkZEZ(_-p!$`v)Yqy&+qQE{8 z?iB%Q=jTKL8llqqBPiqu8Q%Ru4+Rc=f<90jB9Dn>@h|QSoT>D(N{8RLqFg;$e@QJ4 z-dh2~Q}vTJ#q$of0(2#az3t=UNNcWU3!m|(Mz9Qf3s&|;gBL? ze74jQ{diCE5t5=%wcB{1c!goqXGx!u*Tq-G!33xBGUKh?1O|A`k@qP+WEgi;1&s9V zkp*#I@o*lp7LV%0+JB7sA(8R(B`&Bv_ru@TBJ6=1m^8~GJ~ce7^k@LHQb8;&%r?e@ z{k*#G&O2OZ>wqkIxF1sVAJ0LP?xRlys2u!&DKf>xfhSmrr)TcX^JaNhw`~bFs%!16nv1(72z85 zm#vEjwnCYd@8AuwoBGQavhrz=*XL!0I9CbkarA5t8T}A*ke><*!RzSz#;@3-ahPS}PKG~5HX3}^ z@|;SX_HwM!rBkhyG=s#Sp8157SAawACbV@6pxx%Pxa*TOF;t}B$@KKJ_5Ba!$ys84 z9C8Dc`uh5Lk3$Y#kMA)HcaT$9ojL^!&E^lzklyT{-$Z?~06?3}B@h%F?4`$+4)5v^ z<;p556F06LoeL>i#hU7T=$2t+^`)JUy;r|lcAJw(mrgn$haOjIlunXOj$YSoCbF`{ zpzCGaZcAGK_UL0uve)O0K@-s?SZQ-&`tePO!US3dIe6XK#Dg)oujW=a_uU!&v45PY z$BmG|mzSSQj%etF3in>5DENUzskB7lILM2V6F@?-wAQ#Q#mxPFEX0l2sy9v~ZiRra zDS?{XF(Aa?cO2mKnw%+KjFEm@16Ksh0xM3rv!GC#Y7EF zm?Ck1Tx_eu@<;Wpuh_JkQ0oMzR8w>I%gE2oyXx>uS%9QH4L>{c6 zi88O=?+AHFH4bDaP=3J6@xHt9`fk%vN9_bVS1HuU%*vwFw46W0%t)J<&;ZB%O|O4F zVryfgAm<|XD2#u4=WM+3{MrwQC>Wu$^r5o#vC25p%R$fZg1BV{#Xl5;?I5natFV>x znPpLX&U7%+e zO}DAgYuWLQ3fSdUvY@8_Vq9DtK~g>#n!Cmeke-{H`=>fi+H3)Nk3F8?hGx&hnm^rI zfR1uDMB>jVD5=HeeKg8XNVj~Fzj91!n)ammJfd&K3$^60R= zR>7RYETA>o`@s8!@6;ZdU2W>p%Nm6z@PiToAMTF5gs1`+MT~RVv;gpYg#7KSjTx(g z_oFfDMcWw0EE<)Z7Yh_KR5`dG)5e4WvOyU+1R4FWa0EVl05$Yxe29($Xx3(b{{|As zLXWLtKDcwI2U_Hx%K@{5l6&{21tjSv=!3OO^Fbvav--Lacj{nmBp7?U1`Z6My&bqR zvjR{lOggC*5|_7v^H`?>an=4di@k811odoTN$;}3xk&_Nh*P*Q?kI6?ZfHoq-aCAw zvXNEUu3#%(+JVN{K~&kZ#Y{F|*1bN8pyrKInWvw0qQ4DqWM&Wjqg-8kp$L{4*#z|t zr5uV7mw@x{m@7^`s^@ypX@x&tsb=E~9!F)C7}&_r)?f>mpp^G(B=sJ!5tTfcv17Ax ze3J~0ZTR{B&|uGq8adj1Vimb*9Ii<{`TjSXNwh9t-aN&GWFIp5=l;mhvzvIVj@oXt z%ssiyN{cE30JiCIdoU^x*6y@BQz1@}Zx-9FgvkJKW}^ao8MeiNln1 zr(YI;HuQb2{0VcJ&;V1A8PeOTm-^2sBhNYau5Xfu%&#(@fCH&{bkufp2j=X1| z&ET9tNk#IQOM(I}wG3JOD}DM@JB2jhWIJ4AdR}eqg7eGj$$R?UC=^~o<_}q?y+0`K zu@(p+w5glVeOnl+F&~H?RKktI>!S<@VyBH*Hmh^nOd>JKlT$y*6i?PZSUlLB902$i zfyi4(vUIxSI4s10_z%S2c(DTzY|S~*{?A?Mnu0rLP2R0plu@A@Cte@RC}GqABT9u6 zr-}e(fak!z>3Y4LWJcBLHl`i>wsPMi63GVJkX;3uAQn1*J^pJ| zt5O)rW0uY5-v7K|SRVVsOD%f1^zLpxV(`)~1STQHNS_W7|6y<}(KKd7SJZgz8Mp&v z3xyCwdvgLMC{B3)VF<;-i6@_2Qg8{i6fMT2G3ArypG^u7Rgmo8>IEvkhWk)OGb;?= ztw!6GPzk7wf0XL(%aanKpG4@wJ++)+KV1Dd@%t2ARP@}M=iLkhnBvpWNEV%Gg^^Un zq5cM3M;(o_Qp>^zEUeC?iP&^;+0GB^nxm38J4nklIjrZt{DeMt<~-7yNtsyjYqheE zV0-wXug&Epki>7h!Gqgl_1MD;&^9&|kyuY#_Zak|0qY|ar^uR;(i3enn6N0wV z)#hh3E(UoqLD^V}L_i&D;14hiW0xf>SjYZ}=)YI4*-h=`OrjK0hME-g2g z8SK3Yetc0%$ft-V$L_VnGbBo&a<;s&@l?wT?{h0G%}#^@FkYW8X({KTo60CLK|wiJ zBf$z*%o~9OvL5cIbONjkak*6W14zm+EVm&-*;R+n}ok9B1QM zPw}Hd&Yb(|!QDHljO{g2%?KCNY)BkHVJxz?v`_Pv^y(7m_;zhp1 zr57XdO@eHepJLUbrWgqN7~??pekU21stn>WbhHUmZKb&%DyKmKgz392OV7U86Babf1z{qJTqJggWRqcu@DmE%Uo+w_=V{LXvODuGKZ5wG zS|9P5EGjRje5GR|d%pYnJjN$Ugrh~+d<+JY`Ew))y@5cRpzJ6@Rtjk>jDW1uFAgDF zJtk_#N*A{teRD`+%&BfT*<5pViSPf|8X(i~d;qwB5?GwtZN~8q6jWHm5hMdczc2zc zP_q=sMvLzyx2UUV1C|5k!x-rwt>j-*&a@P+-$?+;@bc12UYC57T;KcgRCc>E40#!C zVDT_mn`}oFj2OL1^lW~Yz+2rYQpD1s&zZuWW2tCB6B0RXQaQ-S981NgHF(v#dL>LR zGW(8r)-<@Qcsrh@lgnBo$34c?(>$OKg~#jQs=9}q)fHaE_QlPZ<0A!?_`!MB!(rivfEssL>hi9MH|%A zQU~^@#?zRG7B%lxmB6q&sBKt$+EX0b+066m9OK>aFo~?oL*E4$0nV@DrPB;oqnj+R zN$e)!Aih{z!Xh{)!_abTa8>XKZIaq5O{ix|AQMxA1wx?j^<#hW8{zfaqbkQ&%*?Ap z_sMGR%~gpGzL(AFc>DW)T?(ruX!DPPE-sEt=jY}g1YcYzM*c)q%R3S>q@@wL{+6+8 z3M?WR@p{?pCXkK5bgEalS+L?w5bfTt#P(M*hn;at@_EmGA3yP`SEwRpOsA4bPQrrU z>r>s#m6oG@k38>u*Vz9b!{Iv2jqXaB<)8lhe~T@N*-x6xk~?GZ9U*eUpEd z9>=o>o0q;>)&2J%)G%H59;X#1-<@i>eB z2>Jeg4*;ST-s_v@d1avW07`>nVpB0EFV!$+1*|{%dq_j~xUcRUQ>O*OQVV}cPgfyQ zU%oieGNN~~4|PGvH+KlKbAWLqbqqk($J;In;wLniL~@<&a79p|`) zw5$8)B4I193?)!$F`8H-B*uH!zR@53@g1AmS#+g^`d`{a*qCzHmW;?Zq0{z}QI_)Z6d!lh2`O8T8J0Umf_Qj+ z5~xP_nMl>@82@IvB2U`d&^9tzF>XBDcQ zYH%dJeV(&bzFdrXwT)Tlf0Aoe$(A&;HgStgro2FQ56u^cYaF28cItAyxj*|cFRbTt zQ{42MTahj!*JUnZ*<$bRr3_ow?JNxf&t`+G^quvLAGu(|KAAAn3Rv$Zn3Tafz13;E z+vACiiNdCBM)9oVNDcbroyw4ki))w3e~sMkA+@a_RQV7{a1Kt=KS^N?BepnTF=HN} zmo>DXLu~JGK@AS;G7!QCZZitg{HvMjl<;p`&cjB%@;_T{Xtd4k(h`0;ERALLcUnPw2JmEn#2FlKd;L#D{IAi!!nP2gduoYcy4tFrfY033LQE%QQjd6{8J;i75<6xF zOlBZQSTj0ow!9=R#QZLp5$WHaO?U=IXMR`!$=+=rw-v1jv4AtuC^%Ts1>_LCc&|4m z{OR-&J!)x3H2a7>eywfGn)jWTrVoR4U|p^ZNF;LB4!EGw);=SXJ!382`$>noST0L! z7r6YeD<6r2!TPd%o#ahSFs=;#mRtlt@Dv=9Oyer^kNP5;hPIA;s6umeiRr*{`CQ?o z1s-6NW`JQE8~${_y|xY1vv-d{W$WUl>8BOTYq{WKG}wB)phML^8Ht-L7{*q$9M0(YqCq zueaw>X4Sr!l6M--so?uXcKu4A9wz=*KYdtw^{BzgU|g(m2|<3NZXf&Lj{9qj@yWe< zokqX;3{G)s!k>mvzD#94hPcTsH+;hIYU4S?RZD)C)D~U5`=j|;6p-hwaF*H*U;h7U zZAP2)%f_FDsg0&9aChRBG%uymO&b5`_$ZjZkJ-ckypa6wHjJlLC|iHx1(CHnl@9nzr1FqmJxle_g)l$vQj@6tM@#&G&t3eMDR@^SH{p-zPs}xR7 zPBK4uAGAmAiL(d`vJ$bU&eQ^)3LR0cA=KT=>wTrd>1YZIRi24(GJt|sr_{Twt zVOq8&IfnF%0U~Z``KV$1(z}&2;#1mO_@H%`&0m2J_MglP>4;c9^?yZ30k@6z z%u9JR6}GzC`DF5we0~B3Cskl43219?EQCi?QKNkyvD$fqB6=$OVq2q(_dd#-ak0kszza^i*;%*l&bK`vx5F{g=2|nGCx@ zL@=5{%ai(FSW|PHj~aSUaT!zAlpmEY&W+le6Luxus$ zV!E0$Ei)(}M=;etojQd>BDF0d7iSQ;r&A^MJ^Cy(^JQ*l-+{bz@7uR`VgG_a{m}~Y zK{-ERL`FcX;d)K2E!`;VgJWLPn8~h;^+T_`K+U#xwp?ItecgN(M>++KwuxRDLm7+0 zs%U?FK{h)hLv|KtTr<`O;ta+5=H@Z%m`?_)Ly6wgu zx_M_6Gyp&+H=!47znhs?QBSeY_SaNHe>6#rcWxaE>caXP0y-GbK22<#G-{V7alU*x z=}@oXLK5?(n&}om@|fkd_k*sELYdnDN3yWzT7<>D&b1-NJ%z+rQX)sVWcTIA!RCQP zN*qb=G=pH9;l(WKvvW3H<-89Md8rBUVLnu5qT=G>B-)`aBW*=3peh|Jc0BaC0a_<< z@TjinxzCE6?a~2hi_AIw^p*z|3xtHV8{#AJK3~K?SzGY@Vew|m#+~d>g&8_u`c&VH z*IMVm#f9|$A5lT$Zwu;k*$KFak2+3zN#|+F8z)iP81+$=r-=GKY9FWzUHA&%U+`$c z#Ph+HVGEwKWiK?mBt$7EwH&b~xcz3?`$kXnFh5v=Q2$jYF^rZB*EkkHZLaIoB{%^AD zl?gUF^SZ(7VK`tMvgO*(hV@Z9LBacW=+=Kl#6WPUj3*%0`Nga13q{ZmVeg4)!EH88 z1>o+RNYnbiGdqURLgm6ly{dmzf9fq2O059uz0kYu4L@ijG7q-df7{?l@?l!PJMIaN z95yw&U6kYMa{)1==$-kB-;wXdT~FiD*oK7}h-dsy3S$!DU5I)yFC+yxUL1ochi2FT ztaym1oamf87OR8RoXyCWvK-a zuL?~3JRLVv_mmTvG_jFVgXfeuyuL;cJ<)tKW&x>H?Q#~YN7$E;IPVKEwrLBXjZ&IA zGMIQ9CLQ_*h+FJdMV}sRnn9rLKdHJ`U0>Z3c%7kAxZraZx<=A^-DY8^RcQYaIH%E# zC#Eu%?Eizo!QZPteg8?d;(c@BybNFNZ29$GZlvt^{pQF*7iYP4=~puk=?Ab(S)aZF zrKjX_^76+E*&}D9B%CQ=uX*{K9kscs5BUw)&@Qr9pj|(i#Us%>vuTBz?`ommajn>N zur`o5H5nW)IK_qxvzMAoSS(FF@(>V z|1Dh_5*hyD)V_r;Xb*7c|5r?x%tRTP7KxDjSd4-}{w5Jrl4_d#DV(t04mVvCc(m{` z##95?7p?o_%XV6HMBMA+ZQr(I?;}igY2dwHZ=z6xuMkU>4YIV?xjI=3_UB0DM??hB z+)q1xM|9~O0^@%LW%gmdVk$&3gg26OM%YE&eckwyukEngEj&2|I8oEq30%di$n^nA z39QUjNp?5A!oR22o60eZtR#J9>w+Lhh9cJl3+r&-%Bkc3$fW6U4a=G6S>sPvvRO4b zZ>(3A+U7gx3nafd$vS!G^a4B4+#}L9=Xl>hz^wc;+L0KS3<)fXG>A8-CiHhA+>s1KzT&ch439v-iUbp-zH+-sTV(_E?La?Tb3lX^k4VW<5eFb{uT8qa{8C^YKq+h5lSS)Tfs`jX;3qG8ArT zB3_cA5lo5Bl4Zp@-e76l7D>;d6PUo3#xqhc8D0I-wEb!T7uwN~8Vj~QcXCTI0r-Q~=y!)+n}>skjq1Rz2w3W}6cZbV>)xvY0(!!E(kl0v z3-KXt1&~-fIpuncWA1k0b_HOcdM#q_U1(SgMTkuR01*@;a5amR%El-5x9_89TYdr} z_Xce9b8~S?NHy5?d`11Fxf{9lOI%D$S+b>u1o#I89s}9GK$)zistm}Q1~EO+MK1=Q zfF>SjC4or0pg7yf$Tpy`TQmoXLi;jy0jqv0WzF>8&41g=DRQ3}&=6vWeilYP*h1ax z4}8|`MA`WMgWdmym@t{F&J3R!t{MSar%Wcg%C>skgrmkV=f#K49QpBV`gSIPDA)$W zd^32#v|-+XAlKyaj7kmsj~Ta|n>gbhR>la`w4D03*RDsiO+6wqc>h}WIc4#iUzVai zv6vepj|MOI1C2Kkdv#a0{_;fvs~w>$PPkr~_&7vC1ns2#&551g-e5j2t!rM@+9;AR z?@j~DpyY{CqgD?yPm&{MR`*ey3pi7F9K{nyesw?kA#>ed^h^TL*HpRlO31v1cJrzO4zqeuv5^3UFHi)$M4M4Jbuu?s!`Mmu0ruRxdniRU-#Zmw}AG*W5B*}QCJ*jgj6~>yZHcI@0Pq>2nhCn-UVL}sorx+_P2@> z^}5d!#vU=HXwFjY_6}P9NyuN^^T0Z)leOGnjHRS;@O+Syq`@b>N7q13A!U|PL4>~!yk zD>ZvY8EXwXyUv_8!N?!8%FBX41t8TSObb4PL5sE{c!j^;W4-SI z9?Knib2puy_WsUIrt6cB{!1aB8fWA5R1@6% z@vIC~m1&P=CEmA7u{4q{ptAVI-0aJ@fPM?IaudM+1wKide+46?{ju$fJ#r%8Q93zh z6d(tv=<>P03oKYJR;(~&Qll}4e2!yP6c8_AXj8tM$XsR%)-2bq`6qU&petpZmjE$u z%S})A{JT^a5Bat$NYNrLYVKcr!YPb7DQj+PQ^u2qG9A7#2jk%tQ++UEcX&wRer+lc+-c+k))j`uCB=;QE2LFD4PH~^T$p|y4wYrcde9D z)n($Dd4kp9`rC+s9I zzJaW=V29rL;z)~!6@-%zIcXhB6=E_K+U8q#1m7v6ij{!xx@L$I|L}WQxFBdS7vN&p z#@`ku@SIb{ZL)AtU(FRunCPXNdx$bzeOm@;xxxZj=2 z*CS}Mbh7^Z;uPFVU4@tkozpnhBTju?w`(=l{66fG)s5FG;R@y7mU;F8TK6;V(lw6q zNi5zkd_-5Y+irr62XOc%TF&PkbVf-c%P@^_9Cci|`0JOIB`wprzpJ*t7Hb<`);!aV zKR-LvMh{^85^-*}4>GMYEcH}bY;ifLth%Nd%Y)~5ZBU04eP2H2)$ji|7T#pm=yyu_ z!;s;y=gv{O`;ewsSB_;VCCGg=h($)0#DZ&>WSQdi^V!rGOHFFj=T%50otiWOvQ5e& z2&D-wkO&EcCz9^>H%x%ri`7l;wFb(@SqlFhk>e=uPdUq6bk7Vtc_jGj76#G~Mowqv z0L*3)gS+-3<9qN6#NTR{YL$rj1nwj<=e4TeKt!hg<>Gd$nFiw|jg?B1aI)=w@hq_a zSqNJdJT}b`xcFuPZgh`m5r|zlu zzLnO#8|c6I8wePlf4fl;B!z|?gl=1ll-)S{w>8F?I#@9Gut9JpKfs^!U*P74q*hXZ z-4NRYvDL5M0k?ojt6CdpYm&DlNHED$q{u^Y_QtplVNUvtzrX|`{)0E3Yny-17n)>I zPY>@2q<~ZKYgzSQ>MEc=qFz_hL^QAz-nZ29TP-Gu@O&>e4NB0uZl|;Hr-5fpF{=8& z$jnCI2bnKJO)@Y%I*a6qBZjh+_B@2KHlBFmZNiCR*#3RmM}#L58J~(7qXOfMV`0ZH z&#O*o9APfaymUka&v~DnhRu+7r5HopdAq;0^x#f z>ALlFyM>kbv1#WS&We}Rh2Djp;7-?dyMgRTz4NFL$m#4ek}vtTDQ0H6HkEpUCh+Ld zKNWL<1XpjK>ycIFum#qYN2{R@V5x9;THXg!Uclcd7uhX4=sBWMHnroR5&{VOA?CYsN2X-#ux0(f2@*{lS~pB{z3qA$9PefZyD`v{5+dk5fCfz&ZiF zL=Tft>^6+yfp^XTzW}z`AHhZ0#nXkRb(iAOQZU(YwOI#@lwph9LY3@oa1jC)sbIoG zqZhQ(ii?60@&W}|+-8(aiaCh3LciiuX|H81{~vi(_Ow zy?@nsQD>g}rv*d#%TAKhK`twa#e7aJ`JqpT-}LOo;@ps~fpKOl2FThneIyC^S1uTt zsGAk$?Efi1L-*3vS~KLU3F5D~24_RI&%D3mzoh7c9JRb<(wf!TH2v#SMjHY`V#`jt zIZG2OYe{y&=D|W0fI?F3Ce#OipI4WL#V$4Bj=X2wJg$N=T=2Npz^#DAUDdSgR0Mv& zhb**B%mXKd@@Z#fTz~2+?TV{tU8Yn4#`)*kzGNo+@Zs|(3h-K~I!cODP^@CItK zJ4MWhW2f3i9Cmye<4H*1@JUmpwO#7XG?U0no+xaE+x@ZQ=c6W+f7Y`A>s`ESW@R;j zebb4`M|dr2MyaT%05yl4k1X5+jEyWF?0{RM$H0p6Z(=*YBQ{T6ly72~!TxLw7Eug* z@kI)bdxljT!kWnv--9KkKA9e0f+{=`CDx65{?-<4I_+OMF1 zY?DO9+hCXA_s2#SDJF}&{H$U+)aKgd+T^y+w7(4n2S9&&2xY!Gqm}n2B$4y=K1;T& z&}qv5@Nk)2JM7oTk46qDzU6b$m)?D-BD3pSRlyXZy@YzoQ}0&H<~47AXJ5+)-(8+`q?3q6~_P@ICPcFSkQ4uGxkOPZ)rLqq>^zIKmyaVNWCGY53k&#m>xHnYWaVX@fDkDeTl8N+QPD|+V|#g26oDUDvS|I_Aq5o& zk3gN6|9$B|9>Nx#IKf&?7+y7y?}3~6(TsF@bEM>1%gKCa+A)_;84c<;#Z4no(KQ!* z<&6hia1fA_LrZD1l|$5OU)&im`TpgIIU4hLb3drdqbc^0jkXtW!FOan9Rr*I%QaU`cE4Cu8Ud@+M=N0nu;XxyGvq~#ODWgd>{w) zxtR)kpUe|v4RQMob^h6g5)_bHG-fFhZQvA_5@l5tm?+2bck)~xF})Xh5Z+1h2H_DB zaa6Fa9PmgP|3P1m$#;)tKM5`~>~gmu{6nVE(nfWzKrGy@7b!z)ngW^13Ba)z%2cL} ztOKv|hq-yl_UP4C0J9t#(9OQ7sEnHeR|rWULn2eKcu+dARRn(K9d>SRfJyYVzgq03 z+SRq{5phnY($brEZHAg`gQ-~h^*EQi(>)0yl4viE1B8WM=uH0453uSqfZ=2b0zJh3 zJO9MX*qAQL3!bR+!lFlAjiZbE2jrh>vcYq_TJ}?_+Z1*J&K_% z=kTmZjYuXnH+eZq2+Y5EUK_{(6vXWeB-VcWe|{rRFiQ*{%sAup(9=_;pLm`6?~*Y+qGXR` z7BWk+H)W)7jAW0jV;|#ia2&q()9ds8{cg9{tv}N3<(%j9@wkuceqGm{_^r0%o#w91 ztPYKro+lAYYD9|qrD_3DU%F|srynx%uaiJEgqEoMSEg8|XWZk2cP_1^v8rll*VB_vAW-svz0+u!J0=oSDjq zST#)|feFrVUIb(^WYtV7Fj%4X4W)>s{H37Bw}Sp{Y0qx0-qvRP^Usx7+l$*>&^(|<^$@s<+Zf*^xP*@qVj^WvQy(jGLxin*SMus z^;-qyi{DDY>3?!-1JNmwu;VNF!M7>!n)62&`39u0p&$%l7%78i6JClu6mCg!a8boE zPc&*BM;1A5zdL^2*}8W(GSRs*x^f`IwWkbi10X+0mIGNIvY;DG!ILrMBx;qEG>hkrI=6_5dhOGVqW z#@h~25sTH8d#8#m()eevAFq^No*2F9SWqHmfLZfIWSyeLUS${w(9HI-@#(o-Wnv@k zKKINUg2#vZMN2a?xA6gHFs6jsIYt0`B$#JMpR;XxZu46{z1`=bmEXztlPsVy3+sDw z{rg?=OtRZ>mQN&h?Jq6afB2)om-B%&%qi=~-*XC`eAyrtk=XOeOi$-Qg-ySk!q+Z7 z;}iIsunnHRi*9*bEe|s?GXZ7fsX+W*1XmI^8Q+A$E8#g17(MDt($ms%nhoOuHbS7X z*wQ`TF+0wUmObF_!x=d|q!$+&x=S0KO<2nMrf zEPq67M~6}}^;ht+bJraR^g0+)!%&&P%`X{R%G1wD?wEUeZhgk5D7wLRP^YG<&3(haR zBaa>@``wdFkCKHgrzSR89Jri}lfI1=n2U$gn`L^3S*_`RDW)`G&=! zM0EM#kM%5%YX_pH!#{p_+x_2U2f2^&DYee=zqt6qx}GO@S@Z<|i96OyzXZwp|K4?s zx>jHw^4G?p#{;oK z%D+PX(no=z6}@A^Kq^PEetKvg+ZcKE?D4KdP5(s04nbWX;61}ge68@$;V~G5h8>}S z*SH!-@hT&Tj4b3j5vk3Zyz~@hjD%Llal6o_8g!3Qu)i z3-?Dx^2}mN=7T=-0X4<|v*wy7E#Hh3V!AKg1XGgd77OlyJr@mjqvejo$-7*BhL0%+ zJB&71=GI3xgyBPb)|G-meZ`$}sg3m_W3=JpWYuY@2ARp1Kqq_gAB#ztg{+S{CT-jw=Zw`F@dQ78eBDxZ{jh#R^z@6rlT*` zC=Y8G37D3-aPQ8J2d9PhANKWzjk5t0hdD!p2@#1^S}Om+TojdmGB?@J#oV(tt7oD* z9ge*I^;1|ZoZ8zneVM0A-w92~W}nPzV08eE9EoJcS#G-tq4zJ=BZzxW$#<^1OOi|7 zX&YaQ(?kDS)xAp=vuX@nZg)w5e8QfHOf#=_TJ{VKJ#H{90{+U%rlRz&WMyp4rx`a) z)zqNSiICH{lM}3|7}O|_?E|IVF%tzbclSU2iGLc1SZ1YL4 z@y*!puWTUk`9FG?1td@Kg5VY=&8n(0UZCS>wW2dTS19WOs?j3)YpJ;lN z!QFB_`yiem1G>%@4a|-BKNR5x7IZbkJjwyVA|KB;4EM|S@>Fl{udw`5$t#V93CL<3 zlI^9sW;63z+`s@len9Q*M0Me-fQBW}!(MLLQJO99$yaPDgc4v4mp34}`HXu zrhU)$G@D#NS`Pzj5_BAKRAX!R(=}nK7`$C!nlVR^g4JPQa~?r}!NdB+O7>5t1nB-h$O)cH|9Hi*WSeDfmzdRo)#5`~lQ3=fY!BHwI(>?e}hT3Ap9RfA6VQbBZ- zK*N5VZUyiCMCdIr>via5nC>>gTiJmvIt+q>vh?D+$2k1^Z_S&O;YN4NLh8sohXc=@ z<6dfMw6bB}YuG+g=oxZJ7T1Q$kj$um2Y)Q*Spd#Bi$jg4k-m%TlZ|t0`cAgo_8^?e z-vTp@wMUxsY-e8Dug(Kk>8}KuINKd0R^fAAhP+-$7tji+A4_~H9`Y>Ed3G|)vKpJg znfd0d-)HrjzNzd@Q3Z^T@uyN5Lv%iOti?S8r3mKJGk=(i-fO~5pfLcjU`(_7RBL(r z&M4hXd;QW?TCFocqs@BD%qk-&5UEi86REs|S74v^ z#&)B?7={YoczHVYnjX%uEc^j(Jm}3C+TP=wbt-%{ie=8mNxWfC1hvqA5fTH zBJy13V2BeJM6xzP)6UnDft?C83*7t8t}Di`yYJU6{r6kV2J<@S3fPCB-XWYpR5DOiP4EN4^-ae&|S$1mzJW>m zoQ&c{{cRR{e^V3jcP-2 z)ln$|nz>(WxMB5LA;sjwQ2H9ME^ST*Lm&$NFT%eiXG3MFx4j~M9u;lz8ti(;}zxP-Y9~LYc z-{pfa1u> zXI>i3{qb|=nWMTNulBvinaRn?OE)r%=SG&}`F}nxtYclm;(S*=RZ(54{qVESuwu5c zzGB5-@WB+;wMP1_+PY`w4t!uW-zckO)f0O)!V;~>u@FRFkxCuePu|=w?2NK)kFzc} zU$=8WU?;phTwS@AJYRJV4kmK*JY^caxZcm?GS%ww)I4jGx#wgc_cwYmrxpA#Hx-xm zOmw(fjIqN1NGA>?u@yVM=?UK^_7&9Xq57e&w(Y(Cjp-4|B#`3jg|kyzpdax&o62}~v&(m2~S!+rNSa5|QXu{2#Uw3|)dHV-SV$mz;dIOHRA6$n@KG*le{4 zG!?)+469^65=*_;fZrWk#^MCbb23K_Ci`wgC>R%K)J<$nN$@dQl>375P>wYQP9GfU z0o7p&)Ql&Lhn`5LvytWWD8@VGeHE6ySDU+Gl}(i3{Y)j;LuLBv1n&vTBekD5E|kMC z-hewlCh(oll|10mIe3V=4xEv zB(r;@5$R;W^dLs$De0?|fUprt0Ud`@j@a1E-k5&^-B1c)HtMtC7OU5@gnlkOXYRRO zQJWe5L|yoQT!4=5G@}A0b4i|uqZIGMXMRJDe#Fpsk&bA+e)@s;d}5GpEh3Z(b!p;6 ztoW6|zpgk0RuVl7)V4uG zHProBpn40b`}DD#4yIhUAjj0r_p?M3fB2G|LUB?uxxI9qOn8FRV6OxUrVjb!#{qoZs~1 zfg7mE9qJ~Q32^~1p~=8we>e*D{X#w^2{P?3&KqmQmukmPdiy`_f{brv~ z%ab~XItXat|FIOO?ZoGuDjqWEs{&}!y56i540OQLWmM{tzR!p6#2}-l^PqVsm9PUDAE25=1osVCz70s(hi(M& zCI$lfnWcK!GUDK7MP7G~{*7sh)6G->h=dOB{bE8|JkTE|mQ$fS17}@Q zN(!28L6mG2%SE1o7?zkE5Yxq#^lrR=SJtCLpYyPR)#aaLtWOP5N0uHy;DQjUtS2zI z4b@;N==41hlYI26iC%lbKYc=|#_W#G#O1ZU(=P7p9f=8VN%tf(Vj>2Q{=e3OI4ePr zwSSNmc+()D3=_raSA~P7swk&r@E4+M{-fy?b-t0-9zNp>J$=r;{3_S9&P!>Kz zf$2(3y$HruAD3z6Ttw^Jo^t>eP9Ua?l-W|eS{pZ`@ipO@L^5znOkRqeNfc;e|8j*k zC;ru|Z&}5BtXIj&F!hB2L(*7a=UuyI?x==aequDZPmuT)*74gihfqEGUN%HJ1Uc{V zJJMYRqF-e{LL^9fnDUjRIMy=jB1N{JQjV^F)gYTPwq^PC$EoU6L@!(bLTAR~e(4bb zl>;23BF;kP0Dq+LA(2}##RMoC6rM|mhtk(ivjD}g_ zA10jgB#NBIm$Kb#!;H#7Dw&s;N0IonBt!4CQhHr6`cFZVLYyzChBi+yHFd6wf|Zar~S(r@oPMXjYQmy{xUHz0KL+ z?6L<}-2MGO!83XQAJEp;_MoWgfWTNfN8RMNuY1k3UNr)E_9g7cdmRTy*rpP*!x_ed z`D=kGEU|ESN!Au4YiqALwEO7ZjvuYLOLED_erWWq-p%ilXFBj z6$SgZ(S=98Hj~#gk?|&*9zlf-@R@yl3V>e;x~_kD&Rz1LQ!5~DwV%+_H42V8~OqIdG-@B$Mz zsx$~_7q{#UCTh&B!0lTHli0>Qv!ktKP?cjt(dtGY*fN{ZXQztPbHQfBz>YKA^CNg^ z`TiVLs(QB3um(u;Xvr|xS z@FQQUI%N;dhsR_OCrP!QeL$Y@IzfcnY-%__pZJqbd@JX3xD<4J7v97TsO&ZjEOArq zL!vdo9}G2V`fr1LYX=Kpe}nhtZ8#nSOBi{1%2D=mNiq*gZ5_1^37D>st6&DSnsJ@2bzFaJxfaTGfPq`tKW| zVC^DMl;OWP6RuumjdtW47u#Z&6ceuIbj`d@wgI$O5p#zdtZ7QMm(47K8@6_MM8v-^ zOaSgw*>U)iE#{c;Cy!mPH zM1svPym*-z`x*7*Q}4*h&p-16G?8vL9@ISkC{zDreI{fWKkf6{i>CRnt-m&?Oui92 zx3%Xpb>_~!k})XdJ}`O&v z_`-mLflOXs%bb|90D|8Qay(t9@MQ0j|3o$u%~b5(g(1}1sd2=!%%B&Y{&N|&aM@_g zFG5;;5*D|+8*Y24g%=qPY5Aa4h9CQ+Dr7oi%11~yEDz0sqkUA;dt>v78#8_)nn7vK z`$#WEs3@z9cV*`x_?p3=gmMl<`*5|?b=_pAA&SCq0NsGifT2bT$>YKfvMr}%;L2yL z#*Xn2)!p;0FuASr!Xs<22y*n#rc+x=99cMtkIFo(?3w$(Fy^ z`39s#AvG(0J&3`Yjx$F>*`IKYKMO4gUNQnB23Yri}$jgzi9{kj$9XH}rr+ z08Nzs@3U5SrgBCcVf?~V*II^iFvGp;>FL2Bj}MWZLU_mmLj-w&$!#Vlk(5Qi^9fN_ zJ=i>XoMQR`*-TLBlg7`oNw8qy$|qa6+;DbaF&DiI`{Q%ikN1o0!G;xH1}-FK0*D4p zM=MRshD`^FP>JHX!t*1F_5%c;{q&b+u~yegk$=V=Q3J z!E69NJq;5jSre2|VfzcFV#x`1f%Va&HAp*K{K-O!5QPh|cWgjC#7E4Xc^2fFR1Gr# zHdS15?ui7Os_4LCD2wpB@M6DpHSsBqGrO(@K^?D}F@43C#-7=^GIwU&+>mlttXt+E zx4WEsvmV;crDHehw3F@9J|iH~nypu5OOEh?ql#Gt5gJIV1cd#@KgXzso>`|_I+Fh8 z)HZ3?yv>+?K4VGxWvNDHz=8ciBt^9*dKa{L?g0TA!QY?xuRO9JD+$D}biPO!$pwM( zH$S&s)R>QD+NL$3h-(po4oF|k>n4cK^1}B1W!p$A z2g(_XtKKCNSA_qNubl%YMVn-4g>G!Zlls8qx6*O{b6UDZbjyy3KfiYp$(M-jsi`e^ z#0}MI%LME_e@r{Lu-+BUsYhcQdudNOUAk!|5d9$axKZ^Ls)m6=; zX3y)xTmiIu9@sJgRs?{zf*KEs>3H{*y8%c0C<=_Kn%eLhM5cb(MfarJtC!uc%aG`^ zh$`n2TtRNPg_xtOIyc_ zt${7>Y6tunc-}k{mBa+C^~m??C~m$Se8Ty_Bv&VhN<1<4v)_*5#sN~if%AZEi1c$-*_qayR9t@t}}#wh4<`Nfg<2Xq51(p*4vvzxju|( z9`_9$=f9tEnJ|9MNP74d_%E#gqU#+F%GUk&&yas_6cNApp)@P(tKa$lqeVW`jX!Ii z^c6Dq87aHKgI1y9kF5{rt5#rzUw$!{Y`a8R2{7D04LJbPemk+^ei4uF9B>~7jzGi* zmOrO7FP$M0Ra^{c+V6gb84AP?#W|@E{nb}hq8bNG3TQ^^A~EI!%J_%o9j@CdHhv;0 zIS8eaePA)r6>OS5V|k^D3ptN;=rMl_`Co_Aj)2{u(!DU)z+j}oe3Zm%vZ*-kk;Cq|(=xUsx}%34bzn@8(PPW_YJAbhj9ox=b|c(=fWAS?vedUf|OO zDXxk8sZ$WL^p%?G>#ty=>mK}KDmCOnzux- z&Sa)hu`ZOKL-LYv%cKvA75`oCFa-2nVwuQ+Mrn%{+2On!>>FpnC4|#x*7M{PSEX60 zvdwmn3C9=5Ra4NoDG_WQfTmZT{oa3bMyXIcZXzimjhf#KKD)t996n%_565K%UT6d3 z13-jys(Q3I;ntS{v^pSP*I~eVBjoN#_mZK@`lT*J z&^y4Cl-nLD7~@2W1D*^^HDt{=%3`gmvB5H1WdA#G1POL#mYME0vxtISNpzWvmnHBwh}%A?$_=vng$C=Q-5A?^v8t*dn= zyi=0Sd#h)V;V5Kg9`-C};%=gmU~OcVJCA1uAKChF#9!yP!C2see$hBGe&g90QY~(k ziWI2`;U<8x3H)J`hQ2N07VBWRNUKVqnpRWK#6}}`@%>Da`wkeR_q$;!MGfW zo#0%6+#U`_0|!vRJi`B_3GBTVu=$#_mixJ@(IChDcYcwk08Wt|=yXLmjI4Qv?Vd0t z{Vc@ZWcUvcEA>|gU+rgAj|iv%8Fz~hxF+h4S*&^^EA^?1DI=F|?4C{3{;jae+Ac&K zJScKOw37b1UX=#-36Nm)DpH@pkwisBQF(S=ZXZ_sOfXvpmix_A9(8G?8+$TuL5#Kh z+pG5zjP6w30ORx#p5TB{@-G_W>}Ho*_kRMr@I>>2x*|G%PtT7{xVokRXmVBXhbTF% z#>lZ;k*h>KVY7cTy?HWPpXF@g9)3CP)>|rf#5(-|bQ80j|2Xi+nSX=rDBptP%&P^m zF+lS10mtT2g`?qZ#HQb`$GOLJ3Z2@bT+KI@dc$h43pWxxPIH8y$pHaA2KZqFvJg0= z#p!2V@eNuWT0BE04!tUrg;w3FcG~G2;;p?_#mw_}c2XR^adKs0uE+#SrDeaaRzk(I zxu|t=Mz&Pyje`STA$QH!FQ;e}bU;}GH_{i%bFfXrupe)-hXuXOq-@;xrK_%EBSsIr z)S}~CW)G?hCHmFKZtMWp=sWREu%!a%S6#CFY~;|4kAj#u@8P&mO*dD*hoG+!(sQJy z@6UG$Rh+FzLpRVH)Ow?CeuNy#Fj|!3|7Eo$o&D6~gv=qR=71KwxmgN_7X~qOy_Yz4 zZTZ#6GqCz|5^SC)FD~75fEpQz;~x~MBTMZ@N0)GVGS#*2v%pip;1O~4BaXaJ4yzh> zt^$aOhpJE=wc;6pTc}J#M`XTUITs_pP_lDeWk5;6IeUM|cYFaaWAQPe$b2!s>3M|H+h+bG)8pyLZHUX8!xkdNei_T>B~1_!2R&uoC%ZNpQ9&WGZ&}!lw0;O4iJ*(7N>OLg>+>-c=`5EKi zG3P0ezv{B{p_VPZ376*-o1%{}`Bf9${<&$1rAkr-Vf0DUE9c3He+~=`1e^ZrafKF3>KP%1?pDAZK6;Kyy90{Z1_mKrL$Q{urIFr-xnR$OvcCwhL)?s0#~mZd?p-&{S#O7^Fvq|}iesuc47dO&TS#8=D{`O?1dTOe+e40fe6 zpl#w477=8qE}b@WiPJHwvK{WR=Y7j$7PpF}GP9?&bUDAn7$278Ch_}=g1oMd4}1u4(Jib#@ZbPD7n2B8ldV|7z^zz>YR&^aNr*=3n#1VP=dENf{7sY`5x6wh{5- zC*g|#EBc?OPip0a<4u6F)eStzFlj&0EppEvD}K7K2Pb*8VmKRq7(dM7jL?+ty4j18 z5$CmEeJGxh|6-m;P44h7jmBEssTqGA2Jrjcmx<;`;abC!R%slWG_D}hV>fC4o7RvK z3y0qp?9U)k*47MT&R+hRr`x9k$)-Rz=(*zDYyYxzy>zQw%esm4i^wyKk?<2>`>G{? z5&xBl{u(Z!U`HnmxL_5ucq$W|akpzFOaWf7RD|URU?FMHlKa8xM0aY1^Cdw2=ms-a zNQ}J*E~rCsRQ@m1Xyh7@tYWx)=@mB%lanJO^kl2`jHKpijh)sX0F?oNa-jt0)3y>J zv#9UuG6eKxECkUI@b^ z3N>dr_NABuO$!c@Tk_=^#v05MgpV1Nu^AKV^O~?Zg3)}RE9Cig_veh$J(R@fFiyUQ z+zf?(;^Js89_QDR-fGkjT1s4C@je~XDF=BT#(IfV)KX_?n4PFg^cJk1y{GUIIv`1W zRLk1p2JPT)`?Ie?+QFA9?Qvp9Xjs*GXA{kl(Dj}RwFFIz#t`xA7Th7=EFXsI+`f4r z!gzUY$8~IW>-3Ik9e}KJ0PXCjXMVF6$u}FX6>2-D>&9|1rJK;*<~CsQyR}#rt^fTl zPZ#KhHj)yrse^)A(u1|y%g{nr91VOFaE&e zg3bcIg{Yejo%>Ppn!wJr@1|V6C!CT&vCty4*<(4=4*RPL=@1O>npx}U*5WYQBal49 zng@!0^@tF>dL}3+C%M!mzQU(7jX&0( z$4mOP?-&!Itgocs;7+rVkM>t?*H9!hUm29tSM@y%?<9LF^E@v91@iyTU!8q@-wC2H zW)@!x2h+Ez^?JB?uAI0pZgpL?zNtw|!KnDb7dGWJZ2{zIuH;ofGTILG+HlvYehehy+*ltDH9v$e?}OAa&G|Q{=uJymr=9t_h(ro zW?M+-MMk+CvVR`UvL8Qy4h1^vz)#N__dm*~qRe)CN@lUTAEz5jW{6lbJ@$s{_8h^w zC16kfdTOXJ#(6qn)_>5A0_|WoFFQ15WKC9l{ejzF`B{6Fx+n#%NVcfW3Is0cR7RV6 z<5XGMkaiL_1Lc1+CBw-KOg#jKd3qvDW@?3II+1?VtPYWb7VJ|CE1adP4xF(w*~{Y# zUz#*iqoMv!O)hHy%h7e~||guO+AQdsrM3~pkKyC9itFaa{g!IV49$|cI=QcF zpwN{+xFQOpwv`Q}Yo`8RylG89%Jv8Lh^0TWk?eiZuCZny7DTSa{28c*gKK*0|02;bF*oi0*9~pkHqL%)9ESqwpwvTd9kBh&*iT34} z4;L|_aNn-2SWz<$nwN}!eN1gve-n>MWAv@QxU`tSA>V=IBPjkV0Bm!2afvMyg<{XX zKBfFrzexh6OC>P1vqb2E>iYP8c)XHCzCD(%#{R6w$P27tacabD75iXb=zJG~!-w!0 z%98t+fp>!`)>*TaM*f54pB?W$+gUL?J~;b`vyeT6-wuWSj$LOtxG`%sN)Bs_GH4EE zf=u8s6ikE{u-Ft1b5oRR)MJ?}l!-LN25bYi5%z}oowK%4;7)#bDnfe16XbygcjbpCn+8p8ZNQI_si0 zO{4bwj-xEeRdMR02AG2$3BQZ9icl7nd=#|3ch{5VTa8(X{0107&7dgjN^g3p4?p^> zmKY$;@=@&I?Tz0fCgPf-62;-w`#az>1Znqua&)Y;Z1x2GrEy!J+{xiAfD zaFuYLl&3=!uE%!`g+5hh3L97X8H;q@(t~ojGg0Ui7&=Gr6x# zX83BE#uw_JyU#M6C=}3;37+r6eWUV>K%iv5NHseBS@~pboH}cXl-rF#(Lpcx=B?S? zad|h}2@u@Kgn&dNkM+T+DcjBd$mGaX4;$;lD0w;0oFIgf zq$CO3q2tQX9X(tL#Fy+G;Sv6O8w&lJ&h}6n3*IbF!8x}!PaG>BdX*}1 z0NCvFJT$B6;$eClf>v=J10>wX8T1KTvSW)$Kr0)Rm$G%j84+kDbz?)t$!BkH^wL;R;IcZV#w=^Qt3`TA)sg z;<;3K8toEd$kUW>?!AR*^_4LDX4M0lmpI3_;8#Rf2QiNCpbo8(YU>$cN zhMDh8UI<$!?_lO0BN2;&pQyZdNw`D2cq(@7|-Jz|XJ; zg=SY4L1{UYvzpFg;=x$LCcyAo>I6GD$ zICQ($fju)F>sof6?!xCd}CTky)T3^xGxynz+GE=Q4>EQ zmlrOF@8-UH)?F39!~JMa_TM>XGhyFu!<|^JEvwtRb^jI;Mu`jEslRV48C(?49Xl)V zyZ5FpT%OY`lXtPAxda&Y~5D}qU`itqQ*u^E_e&j1yb{PBd4 z&&+rMu)qKQ-QB%eArHheeDd*@S^0$14xt`Y_Y^o{UA1zn{?v9~CDYz)2bA%-oZEMx zbfR!RD;g{w>S^qldzW9umogZ5bev3EK~z+%^4?wP9EBxubYT}Sh&^A#3QW?UJebQTSu~&@TFDqG>jJM?&kl9n?(#$^|kxVzr}i%YjFP}UeiD9vR^U&K34ic($5jOEi*SM<8wTa zd8B{5Y=$pVQ9G>`<|(O?^A)%K%7IYpBA_RiTy0>q5%+lsHGT5dEf^p4gaUodbhiE{4dfAC_o zR*whRE-lQFG6`Lwc~9;!9O5jr_3})eRn$)Jk?w zwDG;ys_WiDN=j4W^uLy`V*A%+UYfi@&uNic*5hPOUM|=$g~iN%N z%^}Ss`F8M@cP7Ev?1savq)XC)tn<-Vj~cLMJHI()ZNKb$GJ_;PSl%Ob`6v@|?MH$|v)D3$FAGl>h_ zuUdYucz~gI&hPazr@uL4N+fv3NOfs;_nCVlk?(ebdTpcC>uTn;>nG$d{UD(fl4v@W z?*i^{JNfK=WqAFFf)9Jt_xW9~2VE@^(iVdmZCK+?#lb9bHWrqg?U_)Wl$II{+wH|b zap}}<-cq(K2D(rUC6|lsbEPl!djK=2-GzM{t2|f`4jkJ+B@uO&%S}JKo-Ym`Iw7wF z#DZlxeBN=+)ZxOd&d!gv87nHb)CUd6Z#a|}I~khLK>tHM2O{lgvFc6bx{!y}MSdXw zDX+${R~ZYPQd6{jsMMw~Ok&pK$I7e1Fg;mh_34;tkQ*?C5&f8FIH&136&YH!**S$Bkc(9+d8FKb~6 zMzAY0cq}!3-}`GnsU=+!S)!Mh7eHMR_H&*QbNr~w*=|zxZG~MzZ9i+-XRW?B(vXex z_k2#|iC2s9bzUE`zNbGti-o6tccg(taGRUVyzK06<#z|Z4WL22ml$|ZKOv+vrqwoC zqBX{bkl(mF(=v8}dueT~s#B=uo1ACF_UHTm*oZlLdmH#&GVuA-P~L6+7m(GZ{J#;Q zNUanr%>Owl0I8-(x4;heAHWQmN{JbNA$#q`D6ZRu(wL~n{#SFy6ART#_mBpiRvb$w z#>q{%($0fiE54bTSmec!z)XM7ro!eeNyFYs7k(qssOL8*>!;Fju3`@}D29}Tk=S;0 zH$6wdx~f=?D;m+hJ(uEL!po2eQca*CE28Re#XmW9^(?RsVH66l0Q6Gm$%cWks%IX~ z&M|*hPm=HUjL?6!L*w5yr=ti*oOG3L^}StkPP*OV+S*fJb|4V^M#!ezh2ApWL@>ng z)^lu=6*3D@y$?Y z?*exQ4G)%!N~pR{RcQ<>*eZyJT&`4eZenJ>#{AD5Ly^OK1|w{*l9-A_#p&m}T6u#H zgYHxI=#F=qc?E)#uvbVyriFE69XRs`V~Z2tIl~P+3i$2XQN~%_r}-@HnKZmyL3w_n ztT?bQ`Y%p7d$Y4-JoD-`&ytJN;B4E1UE7xPM97 z`eM%$eK#-L*owbt3LXgwZ_kV0%upo=L$`ii^YHf0hgJ;a2MM~w=?1hTC&p9xoC$p& zyXyVhoKbr6`KD3^tdD?!r8g~k;SE(o4^aMjs2jd^N>SBuGmt)}ORzEUrl~xs=tJZ4 z=xm3TgLs~PxrWmtW0y%e_8~yXPoYPDIF1f2(8qTS%k@Q($=S^Kj}73aR=LHy4KA-S=Z!2xyABSLT4x4a$M(y1_hyqi_7~?>n;9~8Qh%1 zymVt@H3}Z^f7~`M;M>2~+5;y<14A8O9wtxWTmI0e&8lR_0xyex@RWxKiL>t@NNHM_ z?h7U=8u6B~hWu)?j8#swa4wjuwMg=GS190TLnZ8pGnxoe{jMn^S*%mmjz(-!QDZ~1 z`k*LTj^!3cHNhD70ZF2|5*$(VRFl@FVW?vs@}WX{BIu+w?Tvy21q?EsGY%2xA?3($ zD{ygkJp(FNSIfOCg|+>fc6|4D3cOv$;qLmcmF@(g4%a87U~&6Wa?@e%WK*D-bD=Z! z(!KebZ>r&HRJQ!q;D*OOJ~6>?5L)v2bDS~-IzuEVzPd)%_5xtw8Mqd=-Lr zWng9^gmTzhT8LZmBop>z&SsBe#Y6Z3%&lW{tdnOD9Yrl{j`WU_MFp$r3`JW+wa3sP z_TPAawQJ~Sg(^QV;l3f_LM5(TuNnfbR)K$W;-s3V@ZYf3a5%wh+bx<0O7}(KHw!pEzn<{~=``c++fAR<2|}3;cRTa=5Cxr(cWb42!}S9B zuP5r}it8hxmHfB0lq)~nH|7k$0`LB^{!MTUeGH7v+KJB~_AF!*Hhpbn=`os48Asyx zr(jIGlbgquNQLwgzs#A#!$a+*0*;QFMa|cIlF}Bw6NE1Xh{g}s@~HcSf^t_%K1xVY~V?StbMZg z5Usmq@>~0RBFLw2UMDGWyyX?(QDgPfnqHJy;pnfm;zw z6^|)(%E)@-c$vhJjsqA5gc5QYHs_jF`$s|>bLpp}D8`j;0*EjBjFcU14Rb6#1d>m5 z`#LOoTJ_XgugiL3L<`FscMf(O2riwgbe*hfVN|tMfo&crQ%vL(#T*qZS)tc~omu9~ zXSBeU&oe^2$2*JCT~d**0_o^k~S@BCc6P|lC$ z#&?8f!$Gh!ODBz%Uo-6wsv4*n8TE~=VhD!Op7y{^TsyZK*Mz9g1lN6lqZkC6{r(^d z8$P|tk{aciG=PW4zwLyYc=^P;;y`$`D}L-qf-b4}tZv)VO=AW66v5u9FWlHdG_%*Ea2>&ducHI z23EjmcM)MX+Kw;qK@WiciaTdb*t~U6X(a}}f=j!*mF8JAG&J;KV<8d+Oxmt&j9iyg zJqnFjekp^h&bwf-xiwZ?GsI1htLH?I0q9nLyV>7*@-pRb@2t@_xNz5cwSVt=d;>US zphU`t3=Xmv3LQZzI-jO&LuK(i!&qQzUC2W7sF?R$f({h)4^Vdu&zTE@DzkUUEHJ$g4SyGw<6zAKz+>=mO3v?h zqQC>%8Vcl-(NvUliwt_ZBJa=J%WcnEv|&29vka`?D-{|U%{zsahR3BE+-K}qo0CAB zcjbH-2OgMllH%g3_@iMhlKY?6h_4YBJCFzM`+=P!`0pms&6g)wB=>SnKMOujx*9iC zmBXE8SfJ0wlY}>Vdh;SM41&#qS)+?Xs-8_PgKyD2Unxy{RND6b;aRD!`28M943XTW zSsu=Rh%VU+D^O^O%)oewUDmJHTv+v-XvtlXi>%ZqLgpfAwF&4G3=V)^NWLD&))&=a z_*!;G{Dwaiq!UgWf+zghXam%xBR8$5hT2+Th%HdXn=Np&s+slePB5RTXZi}z$Z40>s0Z!nsA377$zwj!3-3kZ8PMl>K zkf1ll+Z>%Cy##8PVtZ%+dLx82wPs*h-EXOckAJTH*K;ubeqh&?s}ef_eJ+_{CEq6P z8r|B%KCq|04Ez)xskMg!!@2ygP`;#%$2M0)R<&880my$Vs|#knPY3Wu~GMxW#--md{Pz5{)T>TE}A8zj9SJ9O!amC6*qM9Qm-r;(6v~{;+7`i zLA&NNsVQe3fO{PXegMWL6!vIX8Ts&(f@seIa0xz))sc485WD>}DNQ=IoKM9?4?3h- z+VVG-JF9<`8eRR~(()XdE1)?PP?hr08b6@ioAU4sg#wDP9+`aBxSq5RHJvUmjKViN zB_AFKl8k~s30OsEX`xpXl7CKd4)aW=_E3}b2`M441=hS%*-dnp@y)pOvj>s>^ROv! z7kzS26`kbyEg#Ou2#=cmvr--?K45pu?B-t8SB?6CQU#XprV^G?}Sxh4R zJ(pMc8xgM0QO&XnmvWvqFNH&73afAxVyp_8pZ0&*NHbZ4mb(=3SCe`9h}+Nn?DPXL zXa~m`Zpr05W%5KBgvx!w;`4xw^A~7_Pxcq6-WOyb$gWh?>Yfzxv8FJB6f^FL6!DsD zH`PIXgN?f2D39V`n#_D58c+_jw^k4Oxr>qc+9$*7v9#duP%sh?f*#<+aLY4BV*@9I zpe$opjVl|f=YqYvpdS(i5Sn3Eqz>e=bQfW)m1{0Hnv28XzCE~M&-YXLHN$bc494F% z>3MXlN9>~^4;S^uqP;p3N$?;o8ayzqo9lYbuzrP5ZbuX88#Nq6>KxYrbM9PB z;O>ZR9NVJngwrkZz^bY$0ZNrOi5W}4JB68~av;_#Fb{ML)@e)hFCSBeO(KrY(9oP} zVPHm-R9j}5{6D7NJD%#l{{uENlD!i$vdW0ESCN@5DqBd(NcN_zjI2;rMn=jm96KW_ z$4F!xGn-={9OHhSzSr-*@9*P!eE+zv>rtHZ`Mlq+*K@WA8x(5Dzz^2OW>&~)<3Bro z*CY^w^s!MM-9^>S^2^ zN_MjvbHUzo^WG&)O{d_6!*TMU%ZB-zL;5}HP9Ny{OSYu>;X6ZZJkP4mI|H#9$1_aL zdZ1cClP*mkm6&S@2j+vSU;LWMZeI@oyM8t@7Rr*nOr4Fpp9`F9&D#rwTJch z#-C2$pr|l?SZ8)gR*W?kmyWTwb{{O?5FOJPcr3H_F20_-J2)W!3RGc28H_2Mkg^Bv)zJ}ex@4}u zji}q%`8mxDE5j0)LX26@t4wnF=~8hH=gj=OHy-l_5-J;-r0qG$J*Z%&Po(=Ww47iLH`;=^byiJ<h_Rd|xyFT)VT8{4Mxo_jiH(@vP_eCo2YPFvr z_XBSHCpHj_*V`x>8K{c6*i{5NN%^Ph<78ar!0AR^jhFgya8L)!hV`>^POo;B zT(~0m*XUj247I?Lf&LB!@l!#r($sX6aP+Ml%_)uDu+rwi>CaA? zCSOE?<{K`KcLO0x0A2h7`J3dVKfJEo$D16dZcmA3yjj=Z`h_>?FmiM~53CCd3;Q)D znT-T~kGz{K3!=+2pDt%7dFEjojyd6D5)2|UT{_5o7+We>B}*+aoZgP{@Ri#9p5QK(!=UshT^ zanJOv#(3l-c+{td44i+*_{u> zg`F>c*eF(=cl9E=+Xc(@AAiX{VFkh`t`(+>dwX|43kU2P0CxfPd~Es|`m{Vm**hy@ zZE>Z6p!Bz?fGU~WaqM|k3V}zau0$-iZ{`w4kc!&;6rx*=cu8_l>cwH#)hy+x*OzJ6 z>c&IbFwn;88oj8$z&=AN?^qblmZZ430+193gw+mwVij5=U`Kt8h=_pK;)TG)>>Ctv zJ`R?UBL;0VHO{T;%4yF3SudS7djB?dW48=TGmF&PYAUqY_566HyZLi(2 zS*m-%XUE+p~AhF+P$h4o(&B{EXti3VFZ zcXvw)=g4s62qlA;0|n??CGMy0;hD^Ji199M{*;`|_ka|;WGOKE9zx0xj|OQ4W^B6Q_-Znt;{v`El=7r}$T#f_ORT6n**;7xbn(+h0zICCCa0H3uXTkRE`# zm6F1Vcb12Q8iD6%KKd(?WN!29&CbR;qM_jzC|!a3pT0nX`=H?lo0{juD|BFm6Bt_4 znP}e|xV`>Dvc=y^6+oKWVzNV*&(05BD_Z~QpecHuDX%Yr**%+ zOa=;REbYS`3z@#Kmg7+VME1jelMlw4&$&6t&l21Zw~^5fasGlxjhgZ$wkWpOl?!P* z$x(>E9ukCFqrFTx%*k2N)})Cua~GSp*<;_}+F6#qEfvC44Ilf8?#Y}k_+pQXUVUr= zV0%V}bW%k#bueRz>9uD_iebuxQUKuGFu42%cqrG3E?HAEx?HcSxbrZLg(IGT>l_V9 z$>X`#vdZHkmk9*FuZz0Hqtv>@i1K*&T?bq#Tpz37dVKvYPw?y?zfirskbrHA>m@5X z*5DF?H^TAcael9AMaDNgfMAJveZ3g}D1KrQNRxUMduvvox2-thXgp;Xc8p6a?(+or z3O5wsJAfst|M)_n&hPJU+>w^II=63tF*N8X{!}05zb03{7Q%_Pp^c+7aZla(CS2DW z)qEb+=$D#|V^bi{UA z7`-9$FPM-G08h^Q>iutG%_O=~qM_TMXy7%1Aci;BX}i3io({xi{>4UR;cJ=#T`KNKHMS_o-H0(-P3_DX_^>{VQZt@icrc1yUx!&WDW`v8!n}kZ`@@3c z+HAn2PIlgDMom36Rqx#fMZnXO0m&@cBf{$I@yo;{(k5LDjPq#M{0%^-rj1m?=_~gf zXEdb&oHX(;n;0FQ?bjWTT#dMlyM$sTT~LdPM-itv;M!iL^^6p})LJOy(-ru@Ws*R6 zbiR5XCP=WBufX8|_;$B2WGB++V6kGA9s7SUe|Ud``mJ$oDxlM^w*x8VLNB-NHPLik z6zEcg)d7gj=$-@hG30Ff2Vbq1@3Ku@?8i85)&+QW-~U;ZYny6S*})jTFXzaCO*R*F z`suDnSRN01Qr*Qhf@d5;d~5gSdP@cxNS{3g3fm7N>HDT?b-nf=(8Kegk~*5ne->b&7#u!krTEu>uO6 zz(&WvSQ??JeD~7m(C>Fdoivy2bZCYXq61!7qxuq z2T3m3M{|52&p6CJ-44QGW(u=tYqavbxoY1sLvLE?>@m^#sJ$#0C#%l8V!R9lWB5NZSb{eWK2d#UmpOZm~Ffsj3SCd_Cl zUT}^691Kr~!^po}AKff*4=>E*VA;*v$`$4GjH;QEwMs3DMr1{kED9@hO-8^b4HOA40k62iwRZZKu?A*_-35J(d14FF=Lm5~$^izak+T@`EwB z(s8a7KoQ|b&7oeU`@T8pM=i4Ut3zz@(_F87ttiWemH5Dnn;byV;KPw&f8j&@VUVa+ zl$iN;#5S)0*%CxU1Dd6>Jzu9Gn{dri2>%nNX&R4a=LQ4ZDvon3)hEmuSoSWTPpkNx zQVwMqG$3HYiR|uiCW86obhUcE(Q&Q_ZNWXrAaRxMCfjK4MN&v~%&fiqa z<<-^Foho7SWjgizuIo;gH<<2&FNpRn8A7G43NSuEb8xLwyrcdtO#)n-_exW2nIk-d zf-I>o%(BZB5n>bZM9)A?4@67>Hc_dSa6>nd5b-Q1s0<>QAa)75Y#H4}fXmVEs_<#> z(_mJ)=CPWotCWyT+X+@L{m~_NKK{Ks!QZ%E?G#la15_AJM^2k9%96^L!JXm++Py~* zCU8-1N`3_^xL(2gW7xd&!T&S~FL0U!DIQokHD07xxO4QkIeaJ3ElDGa7ar%5e=j>r z;ijVjPtM>6ld>JqaRGu<1Oi@AOv5*sn9TMLw!gyxJbcFnc6{D5a)RXsfBs4}i*zEq zku@)e4jvm9OU<0KX3HR7^s&I7t|}UstU-rcm>Pbr%{f&j`I68S> ze{gis4P`!}rObRuRVR%1OlR+E^z@V*#8%8v#CG9og!2=gW~3@~ev8>?79!eqorj5f zmoI3GkNBP~#qTQIw!Ym4BNRwPm#5$p+kKO&pXsAWDEQ7UYJOkd^)12Kh1FGU9ADrP zxc&A)DxkpN;sH4=m$uEHFfmku80W$fXp@h>`rF8{*a?qXmI3C2&8X)%mN0>Q! zSnZ|C=pWus@S~p9mT-uuSce^9r>SswY%Q_syjgJ;Pl))2{}lj$^d}(ihIaNV`%@&( z1dZrl%!qn$AjR=Az(J4;yL8s-!?^Hf#*s^B`h6bLa_p|}af10)vucnqP-QgfGg%3n zDwi40LV`k}{#BT#x}~iZWWt{T&iU&JnM5PU?a`6yi=3h+(i(hOjSfgA^@{$7C; zq1clf=DUZ7hx&RV^6z`KR8>vNw)`%ky2`z;b8u^(%H-M9iiB>1QfAS;(?_`@YkPI& zCZXOw4};NIqe+IgqNTe+VJcK&huY34JJPG=)=YtjhiuUJ1C`*``VrUiSB0%>l-8vW zyPgwk&=Bl`sK6fG3u<{;ytwSL2@I2zYI1Y2NYnleMeH9Wc{ax34K8vjiwTgs&RI7b zlnP?*G(Jz85=0C=X(o0d191P5e|vW){#KrvC+y^c7oe{o)HEpm=0W&h6>1%V)wx0^7PCgUIne$hJd{W2t_oC#ELFE!*gc&5j|Xmw z>a5VWt|9T#(>ig_ja;RJej$>VvCwwpr zD(bTg)27{BdVYB1InI)h#QL|x3S04mtj0>dY`iVCt6naV<5s}rYf zYalq!lV9|U;fCDX#CvPLjXDy_8pWfn;_eGwesf^A<`t06xA>F&)80{+m$yRB@;vr? zhL?+05o}`iXRr`5V5oQm-hN~r{IP<}PR|5CD1JXZnLPCixiB5%v{Tk)@e{W$37;&N zoe2H`u;&v7J;`)QZNoXIk@SVjy-?C%Gt-dQA5{%s;w)@Db*#cPqWvO#fchAW-DcBy;`!wKDBL8(ER3_ z^#`(?Kjje07yj$$?+JAY7*WMgN4@8q2=34UXUWmS0^<|Ve{lErJ|pGe-Spz#W4 z0X=vyk=tznNnb65IzoeY{NM^vsc7yM7n1_xi4HePiPgfX|=-Ol*aIcfjGaZ#*1u zetw7Ea=V}JLD#$5j;RZR>?!v-Y&+47Tyz2h6{qK>FBH}#TwBP(*P-%@HoSSA<6X_w zDK-h#bGS^Pkxurhw0-US=#E(h*Jn#!g&gA-uCFI;S6wpUoJSR1S7MlxV&n7DBt0oG zZ&@?G#PNYJDa66@y*)DEVDg2m29L}?)prwi9YNoqBzt1CVgy6rsxU$8)pPWYOd0Nh zzrK_0S7^cV1^);@GA7*DiPWr4f>m1VBhoc*esh;aa(7;mZvdq3PRO-0yXXBiBVJ6Q z?k8njx9F_g@+vf}w=P_(((0wI1zr6QR!l->WNsU4WGUV~hD9$v{T8=C^txfWCBREu z9z2^q^Kyhs)96;UU7BRu?**A_oBgf|lUeSX2z{UR`|#2;K;T*GzhFH%8XPAd@(Y?_ zjR?N+8-{@P3g#cj22$4q76PwdKe+?qgO_kBfoHlpI*HtyaQVP4`Ku~+uo5Ku)aR9{ zm(TPW|Kw#TTHX=EZJ!`R*xW`5@d@ZpG?ZvvXY(*)D^nk`pKKU-3Lq$g>MS@WpPcU^(bza%)0G`nKs`>)+>)7>m9bgDxMko;ocx?2DY|iZr z6cEwU&yGia`t|4^Ec3)Nv2x1P)+htDYP9 z*W4Qd2f(Xl7k1&1*%1@7Rx<@*xS86Tecz}RY0MjZ0 zBmo}PECipBKjRVnuE?O1?Y4(Hd*RI~rXH!uw_++ugzFb(bti-qlKB!Y?0Sui!T(=i zjip)gzXfVKglkC61TVh&3n*|R>tiaSm+K$ABb#qfjA@BcipGm2!aKu3$nv3xS)cxn z+k8Xl&zi;o?cb=B$;>t++BNzpE8{iEx2Bs(F*6c6m@esUO`;v|z+2Z*LI*rIP0#wF zokE_HxZ}SLCh3LG4Trb)P9JPjKny4drQRu4m3n1+Y@(rHA?3^nkz5chi~eG4Pn@Ey zgP6)8N47}V3h67QsfD`&lH9WgtwPxi$a4IX^;hhVNkViX$9TD_I#1KxVl{jx?WET) z_Q5QCs(Q7&Aeul6AAX$>)z(Q7^|R> zZXT`SALNy1@^wMIw335|0j_D~Rivsyy8e1pTmZdWmRJ-$M?&W{vJJ;~TMk|F2y+|D z8TKm5vK`rmp*SJ}CjnV#f6@9MDjlkW4sefVtwkUPLB0doP&n0ONax56FVC-0_&nZo zUQ&3>&zQC@T6LvyHCVo(NS4-d}*mgMPBZlvlR3`f`yO&%r72lId5VRA4k1b z3qlN5-L1mZs~HKV%kgE?Tii)vVJOs$I0Aw^k*M2DFU}K|SrNx)+R;4Y5`|}v42aV?=UsfX(zX~5< z&%dYZCUfv|e%-)k22$P416k^X8eD#^CU4ea!8v+RWE}vUiovn%Db-N%c z7~#pZywbtn~NtO68v*ddI9v6*|${@@@LLcgMIRdLS$$8@T{b zg$#73E(nP~f6(1X6|XcFCWG{(Gt!Dz`!u~VO^+)9C|5`1^mS|@L{UI?wAW;SNzwIJBQ5%p~KDYr~HW=2Q zDv+U$rCao-q%wpSkBMds^xd)=A)GRvt!J^^6`8@|Ce5@fK&QU7pjpX_IkbBqr??Tf zFmYUb8Zo>AX-JNm22YQGs=mlCa@Gb+?OArKjM4KbGzEANpy3GOl3-{Wl)W;_yBayh z%M`thVIK0;5a@2Hx0y*ed6ak-HA^urEM1^5%FBGGn$Io3{=Kc=NFlu7-*Bxx0J8=F zDOqe6%!z@`3?TI8lif0C(Zdiv3;+eZ<1f}>r!Ql0oZj{%yMu=jfBTa>Z*oNBgt3cciFGPC*9ImH{&@e9Vth@0*z^GB1^rJsLy8WIBd~D(wdiKgUT4w) zj@#CdC>v(y8f19$G9 zGU=0Rw#X5V!+EhOg~Us7TrcruFh6}^2|LSx?~7U_R0NCyvUi|}59fmO+Vhof^uq|- zVG9R~;kr?NK*|@h0JcO}yvdQJ(7R!s4P!Qh1k$(6QrFK80B>odE#)BfQg3@nHJ*Vq zE8+BK`4=l_kB32`UyG)EyPX{QTVUM`cBWJVYMf6rP01+_S|Dgk`-R_yxq-`ll^LA| zc$-nf_ZHdn?d>pU4sUL{9Uj9$^f0vVyIi_9dGrdU;Ma_#n(a?EABi6Ock(5vBiNm& z*9;oiJ>#wDY3}@<@r=SO!QHnL?>;^~{SJEU$jKH##|fOZ@BmHAil9hScB?BscaZZSOpeKy=^2vozG;0Bp9yz%mKy5#eQMyg$ttG zEzoS^EvJ*@(&rt8tLH6~94i2|-hV4gkw13^H-m%vET@JhgIx?;>-jc8_6O2wt>hiC z!AaZoGP1WgR6@kiT|(cL)Gpz*X2~j|QYk$q!gL_bSiY=B?adcU61A4%wG6*T3&oPB zee6>yM}Tk&lK0*L`zXA92GAkA5@8H&y@q9|RLj6(Tw3bVLU0L%cEo|d1+&P_f>z91 zhqlHW37CbLXoOIayWA>X;gny&S#L#qZGv(&{g}SfaPM2yOdIKyJq8dHL3KW|mgY#x zF2hR^9R{>#=%+KD-TI7j2=k}L-nzeUCCCJo;T?|L9aE^6YEJbGspfk*vFGEeL;Ln* zZES2z&C_vmI3ty(+Hht$Rmu*PQ`As%(X8P!^c zCLcP|G1-T*fCH`nyK_Mxpw(RFfB}39s0_fsVVV%g${MAfMUn+a?hK}@{m&HYE`GH>LQAx$?pJeZB z6vwIIvtKFTx|^! zrd&XhPOZYC0rogG25RbscYaE}=#0Q@fpw7UQ5kW|lbM8n{Ti`phEqj7BC>mEv%&86 zn#tI_P`gWm-nkHxp62Phgc)D9A)ZXu179B*>Kb@^G^fF)S2m>#r0mvGC%hU>B5;~6 zcWF=|pSNWF+4+&h{^qiQ*tfk0RFjAs3d5ao5HF2OzOVQnI2=BK_0=aJ z*iTN^C3>o}t*xf+Q{w$Xp`!rjT*kDG3v5mrW_Z z9K2T+aOR6pLDh9#9#?1N@>i~@jEZ>0N|FXaLix-G_zVk>&sElZBEzcy;#Odap87XI zvV6WpeBQ1}(5}hh(Kd1yvJY0MPNt}KHfPl!9n9!si-7IU8??OZ8>t;NHqpW*@l!Lw zJ2z`B*T4RuRd9JNzi`+mKf!X#HBwAjVU(($n+dzQ9iYiCii79Rp)R6^&kmBpS|*YE z(yJdl8RVX1_Gw?1`rfD_#jNC*HXaBuJYF3DvI)Z2Xl3&}x`!8|*8(j^^3jG{_E(7J z2YQ8-eY{%bP&Ia*-SNAV+-H_&m&&z>CPsLFZ@siU;_Cm(LKO`;T`Ogf)iOBBvm|md zBeH}0HE!&%m+uno4p#*I{T9iNhB{nqiN@TJ!XL^ELcj15?y7+&u0Ff?{d?I+VYg}No;-3Cqjin@fA0=*JBrtLU z9X0Ng0VE$n2UJjLe^v+-0mz2iALN-3qDHJbzVuAcQPQ4r$TJRt%-}`_F=zZCm)k?r zwZZ3T4 z@Pt(g06PT8@T$5>ZJHxQebh^i(E8KTwj@m4d7sEmN8Q_!#EvP)Rz-UP^y3J6MTqa~ ze?P9qNLBUp|Fi%^pZ9<`hrCQqy;Nui*1GkdoZ?nOd(J?L1^%Oz0iELs!t$$Ovn<{? zk55uw*x~iAo5n;iyt6!>(wxqqyC*+%udLLU%J3X&luEDcqJHG*zSX zUKSj%y12LumYV#Q1;coxbm(M<&E~_5v}$*ieL3WiKpcv8sC{g@ z=WvdevUBg}Xf3<^7ed}3NNc(qww zO(=({l+$l*GiICaC+-?AFqhFc(dKHLS1yS|pxE-Z{l}34s1igiKqGwhlXHGu>M|w6 zI5pg&Xmv(s?;D&m5f`tjrYw6r&5notl~{X;ex6&L@U6S6tKPmW1lJM!K?etvT_RLw zp1W_Ak?aeH-@79Ur-{J-GGwSTZr%@{;S(_SrwP7!c@=I6vQF=s94L&4-URF>_cMaI z7p`-o>xIn#irg+VgtJ&h`UodJSbONGQ<&Nlc6Bj^Xo}g=w>#sK?xigE&J%ByK`YAI z;0xHBR2LT7yK@p}8=YGga&qs*DX}AQSL;a=i1>iVhy2K2Obg2haC*al24mVJgk0yU zgQ)R%ze`a^2Sn+*w$85nwJyIK|H#GAv`x=i6!8OJ1wX`GMt?muCPIF9jtoiI#Sn3( zzCrPR#%IW0)))ZI-a8y9eu_CgvBZJHFG@&;lCMtZnH9sY9_Y$Qk5)OcoR^33)?nrp zM!MRg(9zIXb(}(`cB}w8gBS0r6&d=fvjIuRpZ(G2M8xFn0(J+k&6G#Y~ zYqbZadxZB>$Q66xI`Pu-kaB#mW|Ns%l0f0AmrQ1;IehOp&3mt!{SbK50Au)%3W3}T zTzmE9tf5}?tlj6r>)43Stv*3uBSMKy7NC0-soK5UVG)SeGL;ih;N>N zobrEV-6dv)&L@!xS`F9<*b7vo5;iA3#f?g4Rl=wZFZJuD{6Rp&wCr?}wQ)lknHyb$7x|G>YlB zeW=#W_2}=Oz-=cg~{UU(cvzaVL!JxNt>a*>%4%Nu}cq z6*)M~2uFBnhj|F)B6*jlR`5W6#cMfoRt3u=Z*xxX`9{DjhMw7*ojqglaB8@t6DQOD z9FpI5&$P-AU8QdJ|DO6W8bA2y{C#ttD=SJ#@iQ|Q4RP*CZc8U41N@mcdc=nA$6Rj= zY4Q7(i)SWLyUBX={jj^`=J87jm8FY@7T`e1`4M1Udu(}H7sN;s)1)NX%l}KQFw7y; zy&w8K?cNGLM_0&_K;*M=>InFC5*|jC4idWW9fHSvEeb^V1=+Ka1~gu31Acf1_qWpP zjF89au8&KrtEl?^>U%(1mxf~Aqj{w8fIKW;5Lj}0T=}Ss&)A&#N^iw`NmF3fdT&$D zdkQpdA7KHYOh)h)Xf{-TpqG97V^aVz_nG?q%SF~UM@rNrNU9UABqW#oG#ea?%Z+tI zwBH+#^BH5BN-w7ZRd{(AOf|)X^ab{z52Sf&CIk;dpx9QRi%>AUaLF6ALu`zrE^+a_Y>_4_zq4+1s(p zyvfU?6oDD&<(^{U-vs+|;!cnQ{7+xr1T`%%Qk9?#&UsBsm65tr83{C;jtgBi3aRs= z5aQg}>5((0^+jkfT9wMXPp<4HeqF@BOx{MxMzP)u6Y{3qIvQ9?5JcS*PK6NYs5ip$ zec}+wR&vRY_icI^bYU2anmrc2#0QS4r=LwBqCzJi4TpxBH3ja41>`PdI*BHkj!F-Z zo^g9zs)v{1kVpcb$r|DDb2)dWrtda#umjMs^V>N2)2HUQGqwo>BotI(&h?OsOz)0e z98meV*|zcTg~Ks8N)|M1m1^#eioLOES@w)B5x*?y$Vb%mrcQ)e-A%_Qzn(CW2o(`` zZh91c;%;G>bqJCu5Gn*iYq%YeTgy?)wL&_PZXEkiLUUFAbWG{Xdy6ur)u^!G40%_} zIXK(@@b3Nn0^lk0ssU{Ouph(kwOm(N6D;o@t^^3gzbN3WNEkoA?V!KS*dW8CKRbTP+y3#d2w=p#R4lKKY>Cq+7lxNNpCmDd`!Sa5}ZL> z1J0$Q#Kf0SYd$LJ`;z<<;YICL2K=iUognyt>oYM~Z{qmE6@kVtigu~qirb%_!4{e^ zMiA$|{H$8QLLg3a?_rt>qFTg?$1UDG^tx^z+SXKqv3#;u%60zyb-d3E3_*`cv%fCX z*3}8{54)oQKWH%x^H~TaSa2uy4n7Vu-xH~T?!1qEl<-3Bm1|L5_XYgD$Cpv$C(Jdt zhHJWzO}9-6M~7O`XAkNj-2LM0s{DfoYv1E$ElFBGnuOYJtl8Sb7P~C(#hPyPX>N@` zJ+%SifwxHfR>*sadO;~OPWc_fJLFYwIhlg6{uqZ%KND{ttU33nS+f`I6yY%t7{0g;OGV%45hRbe!-< zrHt4PnT`Ho3N`<pLw3AhO zJi&AUr^H7!9!|Zx7%#71WKj^qu>rCA5Pe6pTz!H93ECV2s$WlnS1S6CbfWYI6< zy0+bo#xoQ7*Gi~`$!8;nJelZ(R?fx@kxrid^iq6kflx}7{hRwF_K>>Z!nwqij@>$I zuR!plY7w7kjns7r97Wh@Ydr}Pc!#@7Pjkf zh&)wa%ADJe#voM3y!y#2=EUSn1X6E)&RcZez#%Slq>1(9lIUBfEG3IDvWbSVU1HKu z3SzRQ8W+~Tupn;HpW@k?$|17WDV3O!9DR=u^m6Q^h^)ve0yeSom!45xt2Pztji}A- ze&K|pEB85S8yjz5acS_w5T}C`-W+E3>tH0U7x?sTo%Jf)R|ngcRX^g{pZ71;UZ?ng z!+6YRSn8okun~SU=Ab5*)%3Enr(x}KF{HY^?F;F+eMarSede$m3|G#O3u_hAx>r(6 z;smP`%S{!}{LJNIp@`I7XX)IVvjoa|U1G0etYiV)#kua!u!%`VGwbx8`#I*H z-qp=fBFlG4=%JGj{PEH5N{brXGzHDvO_yZ$67mebI~Ov1rm^I);3uQE|DvV&Of&nw z(3E_1Vsn{vxD(0yg4>l$(96N4ZAwL{Yp)k+ z_47wW{0{j<4&X89!x- z{7(Jfrw0#3jsnMvH~X*i7>xJl*#)!9H5UeOd9y_rYH@MjaqxELIVZ(vEsMS;CnJ++ z#OB6$p%)I78!x_MMzK^HqvaNRqv-G1ggP|%LGS4x+^Pi>HLOI_GLLj8wxIgnqZ>W!GV)Z_mk@qjhBd0T@(T=VDTA5?Bf zGaJM)5{c?@*;iC2_QfF+Js`G`e@M$SYdqWJIQ$g8jE$<8DU|zSQqtK3oeXML5c6|&Zk1%= zE5BiXM^Tl9pbDGrP`Y2+Mpg4pz|F!PrJ)a(2IB0IZtGLt9ECxh0{#QGVoGce_EMg= zf?msj0mAr1C+LE7L3&**80QXQSI^wtw~GkY@oVF6+WaJ1TD|Dx@mfjy5NBT36@6X# zLwHjMW{wy7CJjv9E?el+GV`ZWRWZ8<2f44_Hs37BH5aV%GN|A>zpJ|!!tS{D6&<^D zAtU}l@t2G`^HJw7@%C@Ak;W*b!u<<=VNYVPbB`qy)N)q3sSoD@bh!&qLW2OaU*XgM z0hYkNpmVB~lAg2|qTM4;A)0U6T6Vt?EA~k|>~s$E|9kNxEg>JfSaXTH#wNTDO4b@J za4leP)x)$wd{4ZS)ou`Y+cqhBM>TYwV5LPFKpcjmw}`XZ?Ho+Nv4mw;D(5v%a>H?foYbMKn=u9>L^-n`)@1UpJg@7@3eJ_OOx8$T`TWkkUx!q*bz z?um~PXN_eKcrCw2egrNPAb*}J)RC0v<8Cs#N&oGin9%|l1OOg_hyYM+efTFTWfYty zvLh-6#;*7t1@$ZUiZbBtQAw!YSqX3c>4BRoz5WWiAyyEGJVJ?T{y`+7MUP;9BVL$$ zZnx$T4Kt_Xi}Y>ZEynwtYpY=|-_)6JA@M^UIi@?^dUaMX*cVxDRI7FC5Yp4|b>b4@ zBY1IPynJ!&u$rYS4y;(QYFjpxhk+ImLb%vt=UxK2?UikwTYE8OjMssu{d4sHCyJ6H zRB(Aq;mdOF0=F8+XIX}UI06oV7Z%p2$JYg{?!z;r36-1bt)e#4%!XcfM5n|!=jBSn z1j|g^xjQbC&-B}(hwRsB)RYBT?H6Y)YId!LUwAiU_oocYQ+sp;&zePzC=+m>$jSJg z$mRT2+vGiDh3I5oXW&l-q!wI4Sw4TAE}x>l^MOjU)~FmVI^|}(h@w*8_IuW3wPBs; zW7CYA=mj{*iEGS$t+}^=oX&qr!ojhy_V%Qgk!C#kUomPf>${A>GHYp^mq!bL1tfhY z-?F=%m>*lu6v$5CVQM%sw(pEVSEzz*Bi^^Y;>C!-7p=Z7`8wqxrYB5IUVhR1P<#I^ z_4fD3QbN7=Dri{Jsz!KMc|FNa@h=dpN@sTn1DNGbF)4l1BwkD1~+8rwyYO(Zjv%D(L&oo zHtjD03U@gs7FXma+i}qzf0Ph~)FmnMiY;o#wJb8P=<~L}V2yoq4H{rM^x2lg@|ogM zEudro=!W@9*S(C&+cu$oQP>!(b16?bK6ENfkLdmMq>*D&pA=zAx0Sc^n?XL`*6*J> z@Vy$QG)707@EL7&TgR@ect?|DB`0*&sVm(!TmMgNO5#6Vn76~`3AD~@Bz-OZmPKUt z@lL#istqTVcSJkNsu$9={3*X+@^0QQq{>2qj3zWNT)a}wXJwR)okG^XG0V*L&OPWs zsL@hzrU;;S0DZ?tHCvSF&BuhZ3p&RRpX&KSXR}07zlSP@1U%it+lzKmMbOjik{&tH zUODj(5&w1MLNV$`WFp|HkXH<&AIrTy`jv0t{3P&Du_xO)=6$2U=aB>Fh$ zC<|GybhcCUOjF=x3B|?G{>T9)P~yT{_8x?+Y*b7R3CYR#ZS^dFtHo}2)CHI~ITv1f zN{M!{mDl;cr%Bc2U4BKNg0^82_2Q>oIgcr3^$17~;3_q3s5jJ9_`0*xClRvm)|vGi z=gle-^?-D$vO1P3?q%LU0w48DOSpK7XG@!gV+M0_H1my9&~)8f+7=JeJZ|Lj0&wTU z`FVODnLmLT8*?~14Glt2UUJocsX3M({kKZk{w1uxjUYyK$cR zlMux?Ok6M?wD5+%{0vv-gwRGJIoit6m z&DD{A?$e^6x}2L&kclcj3=aGXU(=_XlNs@IAzKh@g7cq3KHePv^mCk&Vh2X#YWA!U z%mjdf-~bZrrz_^%Z=7C)$v89|&(^aR32y>0LxM99<=}d%a1}?x__Pq$%KgzqZbBT3 zQC>j=W*rV$Zh?Xbaz1o3IB=m;#ljx2=p57s9a*MkXt9Mlfv7t{fq@o9Q;44-@8;2> zsE7u&#-|+9+kcM78!R<0bJO$5kNm8ZUTj$dW5zISz6)G^do<~GDaZTQY*FQ3OW*oE zdqQ2fOgNeV`T*r>M#S7@v8=BU5(6SMnJadlkVnOfmDKLeT*a<006T?QEzXjaf& z@aZ4b?EzWDE`RfJag}IKmIo}!%KweYNP1gQ(kvTBxst+9!%pB5xDk9=o?V|2IUN^c z!mf7M%TlnNv5{i<)LRd!B7cN3AjHLqGf}bLkMm#4 zc4WExo>rGdJgUnNot?%P86ubz$>1zgPfFv@)0xrJFW$PgziCn7sut!Tm8p|7t9AP2 z1JP`-+oS?!c;R!E^WxF!dCUZm^S|2EQy+-@$qukh0eCGiZA!ik+Zr}e>2)P*4$}E6^camdg3N!&I`(h1Jhk(k)R>|a&)GeXcXKU9H zla=niH!jG|7(0v@itXFGm~B4~mj5)IG~N5iU8zgNN%bYe6Wx~jD+RY>dCIHW7uERg z-fn^R!Lt%x=y&koYAj8z74cn%ijy&*%dAi zs!PGLHAEet4FCWd*VW1k0ukOdqo-tT#r3l0P=Sk_dgdE%`&<^45%D@ijtYKWpH@P{ zpfbY3$Ahow?n&C-yK_ z25%gp`v7DIYuV_#;75TL7fj`!$503{=wSx1wjX_)2SR1)c47ksc9phy%**Unr~Ci* zIN$8z#d@nhO-Lx*YT z-oZkg0}j*vN9(UZPxqj-k-*Y3zKJg@d1JRlJ*S=x!xhK8^_(m&itoOw#FA`#dKz+} z;Mk=p+w5%g5jf-ONzmt0jf$jdzqW3Ntw%njZF7@K+u7&d=&Z1t2|0p@0FavAgH9Wq zLz96(ZE(8F9weO`%H>47>0UY+LhIS7o+++W^TEww7~+oLS+X9kyq8{Y!GU7at!$qa#j>g@J z|2lS7{Hg`(e+au?W^%NA7b+-rvkkAVqKg#dp}7Ab%yAE@Ej_WpxY!1bzytIFQ!7)p z!@aU$9D%Ks2ry@2y-R6^VNGx%({RkgKTSOpn7DUw3>|JIP5CW0(q_(pHCp=702Xsy&qe9znhbz>k)0?xmY;< z)m!d25H#|u6(WgPzTmxP!lG~~g!Xw{+7oi&aAP_Q9Q4;Rb?8#6ArGarp*v}O1GG6r2ShA{Z{HQ7$FdgwQhoFA(-y*#iBqu=y4 z>=Ow)R;i@R4Ffth={4BJ>y8du-|w~CKqC*gljnIKP}>uj`2MstW>G z)-^F?3my5x%|5Kc+n*5i{cd~P9a`b$nro>unP~U^KJEOw4>5*Qc+UU-X1`%#03Hnw2fEo!8DZ2 zj&g(`E8`*gG=h^}TN}~^SNlW^u~tXIeS->Gon6yWvTp|!Z1LuTgD#txaz6T_{mQ9~ zmF+S<4~_CI@y8bM6@dbV!IQv&slt7Y<%?F68c}hK&1{yuhLTN9DRGoabC`Sm$98*H zG|@tqnVSF3{(h|}*u$8^-&Of5&9=|%b+jaHcVJmFYq7ryv; z$y4r37h#bf{{LwKnm?KgBC;b#s@5VgD>lC4FUN>X@0Blu+;PA^#P~0U7Sp#z>;4<_ zr$DFBP(7DWxKPd$W>O~f)&`PDOf4B?rty=UOQ|hHL(5swy6RX=eS%F30B@mZ0P}Im$0kP(APxV z6-Ee45IWnln2ZR{REoOOEGHE=nZs}9R(u13#pCB{;s5Y7glH124D ziRx*nD^cC&v)vU6O}&kQuym6sT>DBWN^tcwJ%}ViBz0x7&%mo~EJ$45OEfDRb$CE^U3MlS|J>SL&;RDox__#@h=d{#b z!nHx)7ssCW72*7VAr=?)24!xDxsEfIXI0PaeR<3Uwc7tT(=E0d=pTL17VRXws2IL^ z7f-DX`}=}L0p{c?1>-G6gYURe+-13OnkY}oMTeLVN?OFF?in$Pt_L;~z2~3ywF6Zf zpK`i)5a}Y#BG%#-$q(_>0q4is^w$w!n>zBJB$Yd@b2_W88QmBY8WlyMd$n9AD^J!4 zq+#;+eVg18XMFvePdWTt*d0ua?c&QYCCfjr@fH)=+~8DJWf>z?7ot_+aT)6PSijlh z(Zu%p2kinLw=!g*LUBEsav=lzbgz033x7RGC$zw`B3;G?SKC6#?Au=3Mv+X!z3=UoOy-KH z^UQX9eWdm#j55{u&kahS#aym`Psx<>bGM{nFk}{4lVzsKLwm9C+y#7CV046`E%CDWBXQWK-dQ1B_dZ%P@|6u)Id&G^5><`u@2eJNlWHLQp3`i*IGthacmq*k? zjOg}WH&0*9f^SLE^Kj`rDg9p>sJESUw_<;VZ=)_Z2wKXBVK4-g48GZu>P*Vpg5cnEuJGWY3|-}HzNi)e7*2wH- zInnT@7A3u6(GyI$@l>PhLo*Zk3s#aF6{adsM%EZ)FzRuxCNS8ORg`&8CGEGJXbhc^ zb)Ww=@+%CHf$wT>yrbUgB+RpLWkr{mZn6*wY_=59zN42daHsoW^1I~Y?c296a%Uok z0xLBA@@DeFxf$ZZ!_Ux@zj*cPlKO1n#mT-t>PG@!uh}SA7*Q%_EA!q;p$v*$q;_<6 z_6$W8(%h<`m$D3M91`08GB_CVXHWHowTmL%NREVn)PR7L zFqD9VfaDOu5E7C~OQ)1lA|N3!bcZMiLx+F@(lCgm#1N80muK@l@B4k{{0ZkL9A~(> zXWx6TbzN&+Yb}x~7g8vLdI9y`i8MX|foVviWp$JW*G-4B>)BkN%HL!WyNKp2x1#l@R3q$EinQF$*4G_G@~YDYw6*Gt7$XhjuL1TY4+^4Dxsw z(aQ6t1?s5w%bMx|jsWEA?y(Qn*t@u@iUK^*N--)u3J=--&zMPu_ua1EY2jL|Nw z(|s@I%*?PW4nm)Kjg7x(pO2oM0CVC*nV4G?!A}+4B_t$72^CHm#M~1S3eL)6**`eA z7VL=ZP}^VkL|4Dd-MSU2<8G*(x{h+#A00Z#`xTfJingzm4u&jBJ2;)2qIF{Rp^7lu zI2G(Fc!o1ZV%&nIMiy|*94)r}OuP(MTFD>dhPKz{XqmY(C^GqgspFxG-a^Rs4GOYG zL+ws5_?=s?t%Vt%Oh%u*hxxy{?c%fXQ2c|EmR5YCy0JSh!_4-1vE+7+a+x59H+uPX{@5bN~F5`f4ku|losKIk~52v36cRzbK z(~;!jjK4Q&iX@h94WMsl^4m<_8vPj1z*@B!AljPNU2}LBBdPY-I!lbq4#t*CjFT{n z@k5u0x?B`H#c{5dXcX_3B|M8$$zQC11^mRn|>o-0OULg?*EU0HqJD?3b4qnchwFr2o5X4xh27H&<&RJ*}&=zqR= z{@inLl-&ad@g|8*K5w`nh7;nQq^`5~c9Gp<&H$A?a1C+^SvaV|GIt{g;yz%tcO=z@ zF(UBGwXFVt=@iiOd#k-yql_Tn{W_zupwdQNab7Uo)m(s+n#k4#+oB`(MX|lds z3n#S};7j4rHgCsFj(mJ>Z||y*d{p?6d-(|m8+4whAJ_$?%8+tDXW%WwWWJ#ydt#7@xtP3VM$1U-&`R8>OmyC|jp!`? zMiTwAgt|>Z%0I4>ZFp>K$@j-zbD}`$=F?!Rt{9B#*7@a5^IB^;ZX3I2hPf<~qMGa7 z_xv;25)sAB`%GnYgNu-|;X!Nw9w_?xGo{_b{kQODir@8E>lu}CiF_-;OwGoNc=ARg z{s^L*Tww#4tezyk+Zy(UR{ZILVuvBSpTtp)xia2eM|8Qmnpn_73{$xXO7F zF(ezVtJ?0rSNe^Qk3R&qfNojMby$wu_oCUeu}vrOTM&`px1(Wh0&hGYEI!HXXt_ob zQ&VeYBGwWQ-gGN0L+IK*S67dAPd^@TmrEaTID)2x-hL1i6tpT+{g$bx91kHGG@Bxv z=Js0b#eM)8Ie9ul_|LEq?u^CcqvNEf+3(}XV(8-XaAK(i)VSmJ$oZ6h6>+NgtXv>m zMtY0AA7@GbWVG5Zz6myE&OPmrvLi%mF(m$kcJ*S~J}&wwcSO}LM5fsb82e&xX37_0 zMQY3(bEOO=xp^_TnG~48P&wJI#7+152oyrVgW_(JN|&npMoElaNVc~$izUf1IeDx{ zS*|HR-AqIE4h5~uMWBPGKh5vmdcNZxY^yoXVM|XrbU<938jjV-n+w0=oijU? z5Wma`v<0nm;KcAS)tj(*&J;VsGfe}}Fozn(sJLfmGtg8}FQE@jetSNdj*ul;!O9{UdiLsmH6Q-15ERGVMAt3bk+3ok^AT2Pgd9^dXa->BBFA znDYBZg>0WP(&gsq0!Rw1?$GS)7LRk9MmJ*}zeCb_Tk*49O&t-q5c>H&uVa(;;}3ZTRLylk~%bO9$`s|T0~;Mo+Ymym>N|g+duk+ zD1V{T$GQ&?J;n}y6Qq1mR&w-ANAoUx&C0?8=ezX?nt+mIH0^;~ z(FN8%czw2_#P;<=b~sz+#&@3j)*|Zs?;>thNB{|FcRj1nyY4gRUftJSd$mC^wY_}_ zuco?W=b2rDk#HL6cOzJ?PfPDg@je*lcRrI+u>N*MD+&A1#=6<}hIKk4sUP&;N#QP9bNWXDMdIy;8LNqINe{t&;vH zu4uXEOt8+noJrO_O!~MlBQ5uj3}Tw(3Sa+7pPTnKeK|23#%$RpAYjI6BP^XFunK7rQ1 zwih?0R5v`Gv)!o~64W2ECp-Z5nK1^1Aa8DN#tDwSb$@~;1Fl$8T}=g4uBt_&0##{l z-v@&xq8fXRJw@N@KRkB2V==~XuuRR|`6p$d8L9v6;-!`EUmn}yFM@g}`N$HsN}tJc zk1Ds<-6&XQ0P3q(v18G|iyuYXo10|!DtJ;-F_{`%Gl(^7&7#p4SvsBwBXcEO3Twjs z!^4R&-Pdk~PLBniL{j|+hh^U2AbOD6q0E;1Mu)~!!#=!?C%}ZG7#bB35ivA89CGE( zmUxaub#*A1tfW~~)YVCPmp}1^b)Jdr^{NIV{kHhjboA%+=N-%>Fh*6O^^m&25Xj1a zeF)QCmPM8D6LNq2kO3W%6&};KYH8ayq8!rl_ElOHU?nT?Z^yIA>#79NkbbZ}C;qZE zFY?pY&!S432C7F~m1N-t8#u|f&hG9aU@~DoB3GVODd3P~R7vUQMH0U! z&cpAVatEq5*Ox_NO~sh(hfb3YecfLBY*^RZm+B=UbWKAw8iB!gJ1#>wI5-ejx7lUf z6y5i1atOPbrh(sOdGAij$eJi|xWa0{!)gYJXY0@g7FqWPbD#fitrxU_P5zIK=)P7S zrY4`a`R%-eEAXih4qLct-uo8AEYzYg&NDl^ZwJMA%4?o6E9c zRVBDztjMPYK4F}UcRdIDWV^-e9v)oB7<)c3s3N>j!ZtSS_-IVwVYmVt{LX-&alV!A zqNz^&@>3y(m(PorFJjJImRg*T>Z)^J{&n%$4XW{8|{4kV=Tt|6REdHB39B zEvv;P+;q0~sV;3CP>*%@)Htmu3=Soc$hV@BTt&5iC+mOr4p#-bWhmJGFKW` zSdJDxX7gpDB{_JA>mpj;-L0ImUFU;+=2fZuqZTh+VQr)I+b#?el_5u)=mFcj@f>d5 zT~wMttlF7$Jsow#uOT8KvC2;BkbkB_2|38G9c3`ERgguh6zIyAz_0!h6x5pcC8f{C z`tuV^#=Ojv^7w(9x>Og_USj_4D1`MEODmsf#v0DBB@8fL-YEpZkI}^`cXCh|k!xjm zJod6xGxX5gP)Q4WP6lWNK`-S@>&Ez}p_fJvJn}RBoG5Ro7U;4O->>$;u4tcWZ_OCj z#|>skxaO9GE6#zRA_DNr(v-vRgf+l*UFsst9^PxxQ6ZS2uSzdALTxD)=yJOi(o zcBTL6iVrR>?&`*dJ6u6ol94%wt>01*9KySI?+)A?tafl^GAlb8v31Bt8-|Ly^!=AO9? z%MkB_H$^Q)#oM**7L&C)TJLcy}b5!tub$4`Nmq!feovWL;k31&U3ys1lQwC zwDNaO<@z$ zVYt#J-C6y5T#jA^D=Q9T6BFjz1m&hfKF*re4^S30l;QRl2wHT4NT2ll&6oZk&%OpH zJc9;j1VNLDb#}$>#}8SlVGX9llW!Nqd@hwm2P>oK2!3Z6y^V~J1S|2^_SDOQs^JytUnF6iIFE242BI-qtrHgVkyn#@!dO>U#RX8#p* zqC_7_XKF@5=g&4&xP@cw`YOfgPXuH_EhAZT7jjIJ2+LC*6 zwtm5}Y5L9yoZpox$qd_;=Pu|Mgy-Q4gl9V=KGPbqC$x3P-cr=@gqY$C{rvg*^70a* zNK#xe`D`1MWhiG5~JjHuc6Zk9#KZ;VMue>#`AV|C8hKk{gy)fk}}U2>9O9J^Qc zsTdgjbI~7-MQ(~VQ8XSn0{1`YkeZb(7CjxfE`@^_=1{<{82JTnznhn-4_xz@l5{$k zerT~IrPSNh%F<@HZ??7*!zCsz&W;>xTyI5)4CruR!sZ$@(ccfM7i=*5`C+dx$pQx9>h=41xCfnBOI($AfIOAg)td!gU0O8A)Td29xwf`|X89 z1Wh@9sp%B?<7i_wC#jQkyw{lyN=sFi_Z(-gdEOAg3!Q-jJEH?)oOt_i!KhwywCzSZ zHnsg@QOCdhV?i}ZjguaalV=B>Z5PJI5-;U^KbczDdt6EeFbcJTP=GbO%r6#1##1w; zeS`u1yxCuE)E}#qe492RJzkST8~fgq#kehELtb(GzN};=IOt!#h^O3?1q`13qKR9m zROlWg1Mrs{A&#Bh1|l)kJE$r4Sa;3~1jlXTYi7}VNooaeho=B*UHLwE>((vPf`y0d zA@ASQ<&WCe)YMpveuPw_8>05XG13h={mIk5pyi;pg|k}oMvF9r`f7%h2ce`HV=q%j!WK|e5#na{lG}T zHBO%LcBZD(dnPg$&lhF#1FJ&I?}SMtRsc}d;949>8r!Wx>b)HDnVp%5Rmcgp7JiKo zz~~ZP*)Obi;rmadJX+_~!>CGLkEE(3E9uC&3ZNKBIi%!F*_V2vB~XH!*$R5omi>M) znfDaGpWkNQWxyOfWRdf?l2LtBSd2)&Qq&&zdNz2$?BpWC_(pa zG>?E@gg+gehGAE~b9!!WJjwv~3GbeCpKrX`?tPfUfS>CmWKz6MsAA z;-ZJmtirguB}s{TvY-1bCKIm~^J8LmM}q1dM6DYh9w=P&oOStfbiJdZAHKUwQmAbt z>^xOfUlC9dLRIi)(-}TrqN`x_BmR%@NIAX$p0zX!h0pOpBz8Xssnd2A{_4ErzNm2t z-0lwY#M#%`z=K=gjCVc^8UcTJAhUDxO?D@|5gbUn7g8sq;t%7j|Adod*mp5OxMEk>CJm!ox zRnd154O)sti;3AfgMKbZ1JqYII}BNS*9369TDa96$v3lqZq>!Cl!o+O#0$s4y*#Op z|J3r>IlIqr>kE`HJsV4-0iAWVQgYo*F!HwiIc|i6m~V;QEaO|c=;T@j33D5*ou(_c zMe#CxA$8T-LhPmRHE$Ukjyd{RKiCWZqLAK#yCz@i30qqTJdJSk$e)^;BTrwrecu_p z{tpmrOXAhb7?GFPXb{c`jZkhNb`EW>N_JBYQN=Y0r{MrF7f{BxKc3z5Tpvq!JssBh zp@${u6jD%sTA?($I=&*~!xC$D@X`^_!uNM=orL?YSVI{tdR(>WUirqdA6o2_LU`QZ zHSN6MPr?FKgUfEKYimT!auqiQ1FQ53HQLn$o0kT1biYQ`D|6PQ%VGK?KYBC8zGyX# zW#!z%j-XzGShzPxE@XZxM?35z<``)mE-S}pYp+-95Rpa9P=IU5b0rdOZkB)4JPsc) zvg(Yt#JEW=VNZt4dPP|wHlnFxKIL~G=#{|p5xPCq%08Z~?Eb!ukS=J7k$>{1Xx_(x zEFzgHq6+rGr+?VC)*wEZtWr(m+?)r?75(^wII4Esb97a5F1%Mu zjQUB{D#G(raNS@tbbDI$najP>a4f}x^&YKpIQwD7R)v>@cB$)>v;8Ic8<e zVfYFVARAt^himLx;T}@LI?3UV=WyX;$)4=cH0+Xh()&ss7vkySsE0|{it+r4t#|7o zpdo5}$Eqt%oNs0J&0sZpjLMrLEg6hd@3YUTwTQRu|J4FWx<7l|E&=7exVTWgLk*sc zyorfP>?ieJ9$Hd5{NMh`x`S56ZLdp6VQ9;021ecy&w1?mOIc|ZT1Tj+GN&lROSvIe z6#{|3Rduzsk}%j2rMW;!^|D?yilEx15YiM=SW1=r4rXd9WmMFjq0G2*^z(9^GfB7cVMvqWSz(8^ZwAT8Ey=XBbkC-XCXu0nF|fW4mB z2Im;Ah%{SOalMFYVqH7PydkYZLNeT*op4j%ZIzI%zWZxi8;D7tMeYs6cAGD#r zXGx+aFnD{(Vku%{LB-tPw{T@EAwu;_xWNMEVn0)ximC7EqTC>O{45q9l;~byk4VK} zV(9~P@hymvX&beIm2``^X@bws{D6_-ejo2os-6dl)=LdrsE7=J9Eib{RgJ5u}S| zLw&U!dX&R|!$xZ87_wYf?&&RP$IIauUc4VWX>V_TJA!u`ejcgx70#$9PZ6U#<>7SW*TylG<3(mf z*~rGv%b4VDeeZYAzVn2CQ5ww^msaMCnhlUE(n(e|P(o*+4wATKUoBcaEYvLt>n`uL zc6a}5A~({L{D~rFsMzRUmvsex3OXX&q37wjqh z*k^pTYBn_lbrUVOR|zwuecT?8rOh`JCbNM!%GdngwGJMRQz^Hvgw zF!tmqx~FV4kSf7HdBsENMFV!eK!edC30*H6h7{Cee}c zg94Y_B=5^6-|Htb{3%b|yfu^VwH2?D*I`Tt4L2Wd` zGhc0#G!N6h_j_?{8*-&)(F}c~4FWx&JGD;|qkQ3TxraCO9)D$CjjRQ{tu#S1C%JD~ zyCM$0#bxsWU5t?hH0DjSvL?|SPM*sVNrPRjzT9=37$|{GZ-#O^W5Gct!+zLj>bpn` zA6G^+jQf1T{<3iwv!Y0g#|&EH%-B*ZS#6_nbJuP3Lm1h{pp(6TNh}fNKyR4Y5F|MkMaLD)x%#Mbu0p;O$}^g7_*!JF#!zxOo+w{umC*_v-7bE7&Be z2R>zk9HPBtZjaW^O`>yE+F9c($!rn^ex<1Qe*5jW%f;D%hAuYrBlf4Uf#aqI?dGjs$v@{i7p}WHmPA zAV=c&+sSHA_m;j_2H%{cA0=Se1sDX3c=oiq{oD*)@cHv7?^h;~Ir_P@6=d1(6-+wc z`I~K^%Q#Z7wJpl~IEpHBdwmw9r9}}uTx{p%bwB;XhbvZr|Dm@4d<(E0>t!6wa##84&aoGO9(#Y zVURET^phWmNoE)VP@>Y(Fk}N==MZdsVg`Pm+0BEt||sQWlq*Ju3E(d`~tV z-eM7P@+3Prc@<-71=Qf1BVKSs-y4>&-8aTgB@?gKVV_oW(fuCYPKB1o{N9)z%SK}y zh+iF~ivdU{bgKGFxnwRjHg>?`Dut2+qOkhMT#u!JR?CdAO=q%5BTbhh#al48=9E`c z`abkiIchaYefs_ZQ^98u)(_gga+pmi(-XqnuuJ!z*Y#kOCEWpL zrnfUnql@T}kk-4>geY)YRbMS}r$1?w#sPzfd1 z!QVCV03riQC{MniGGi0Xgqj}{0|TMty<`43&|53QE+H@p;a)JFx_l367C2_YfiYHn ztrPTx{JL_UU^=qs2#bzWt5T)~4H-oIL0c0r>fl<8gfWO0Ca4uKJ=Tt9UUoxiH(#&J zYr%~@<_pNA@~BANE@HIH<*ez1qrne+Turim_x2GlKPX(9Kj+CGU71)Y#Zq7_b7R z9;2xsw<17XWiQuK-4|d)rt)KR#~dvq0S))yl@L9QxDub9U%Thx-Zgd!Gdg0!odN05<6#SuRS5c>sKGS zb*(8Fjl+Tj_>Xg$H5veaZI5T)Zy zz3_L|pEWYg8r-4n<*}1zVJbwS}(rHH?U+xS4!q#lGX4|{8?T< zydJJ_?fLWP0_KT6fgpRJ?_J4FEDT)@^3z-ca~ZZsJt+21jhMj&Ld)^* zYc%?H_cS2Z2dVngqwBSHOD$O-Jk_^69rz8N2}~J~Y7Jff?&FMocjos6xsDgM{Eqd> zGf`7)8gB}Z;;O_%)ZIZg$45xaku0WRXZDxngScd=s=*O)X8;h>+5YTzb5F-c|3pkQ3ugr)a=G$0B5v$~hY4#{TZIn$Uy#|n7 z*gx9NU>}!2>zfQz?18t0h*7}N-=QX|sjHK67IR0@@*9ngjD)td$O30AdjGzZd`90g zP!7bwq2VBw2cmsI{hI@{m<0u#`PL78O7cjB#QIC7hoi;_Wt#WZJkget(vivjj3*e| zKe+0g3h1{r8bQ;>CGUryw-6LW;u0!FKbm_cX!N6fB1#0gp<;0Cg#1!`5^r4oIFCy- z^(VI}rUqnpzzzXPVNo%$D?6ve2e0m3c4OkNDp4`727Y#5Z2I1-WZL5ND%#%^@MnvP?HZ+_TEC$>bhe2}zHqHy&LW zDl#)9WIFi~flq}G8gtz2b#_#FNpCW{d!pm^TK;;jaYe*zvS3Pm-Kf2W3YpEx`@1F? zc?H$g!;F6d?*IW&HXJI6`ik(8oh!;yVO(kP=f0)AAi~N2?!~_$CL!`k<92Gf`ycQ# zwRUwwmPWtwN|ac?75*k&4MKrV;?XNB&#n}B9dd1UESUxcoViJN#43F#e1E*`pT-J78zZs3`1L3ShVrl1=6GqjxzayCVt` zKl8qSioZDT-!9AHVSL7lB6(&Y7PWeM!xU4Y-O$o1e%i9G&oDu}ZO{coI~Ur53hF#E z8GDf>GRAxlj~J1b<)7m5Sz{*(3%NkV31rd?jmiP`HI;gg&_#8+o{SHkFvadbn@#`P zz>)jAJdzy&J|;`=UZQr;Y{Y>M*C@r+@|LIVwL5|9Fat9nOUAca{8(Y#IbsYwN%-@#f1<=|(^3-9sPQhr|Y z@woSaqT(w)7ZryuD{8p4N>k_OVo*)E^f?b~P-jXeN7831LZuyZrd-uR5hn(XH=pB- z$D$n09dEH;%-YF6s4&*B#d9A45#w)!@xmhk;c3|&t9rjqDi5Tnqq}0%fS#y(h^K0> zbhwpQYc=M8w_7a|!-AfhV}&;1$E+w})4`dl?wK5uaOT37~YSZ|3EX($f}&!sO+$@zQ7nHcQk_ zpI5sRk+;{>{nwPt887)KP)V0sm*Yz$^Io)k)Heq}%h|cgadD;7(DFbgM*p=DBL$rO zE)Yxp37lss_ahQ=C_W3?XlZkpa|$W+yB%wutj?bb(oWx?zb^+eoLeb5m$}v^+KNL4 z4$ThUsug4~u|Obh%G}JEr+LZ+bZ%B-48c+@VpqNpo)S@!JIzR zF`@W(>VY0G!q9IA`3S&^*&<1nN9O0Vt)lERQyo0>_03TTWdIBJPR_+u8tS^Gha^`K z8Ul=m9#=WQ7+kyk5p^v%l-AI@pI)I{f+e~O+{Mt;)Ou2u8;Z#xv0GZ*JE#3B>&yvXJ1XES zP~PB4=@ZjrHZ_5&b#``w7e;k2n6Hirke<ZA!ti-&G4aTC*TXtZr6b-sk`mXnl zbJ{s?FJRrc8f^RY4Qka)^#+R3q zR1la#+N#PVR^FsU*I60 z@n=Cq^2I>-(eK6|*1`+`Efpx8@H!ZOH8^sI-7`9lMg5t|6?`L~?FMABgpTM+PaXeH zLdPszni)|b)i*Tdf>?K%_YCBd$czr8D90=QZ;eC9zKonD`Pj;1`(-R}Z-<93f!A5W z`p|nGxHs}nGq7cc77*(zD&qS3`7tk>gx?L;Ubt7Wq7KW1WTeaea0L#^_Iy_wD#D-I zdG40|#gpFsmVHy{wGy>7gQbFdnzoTzzYg8UE_z#y%j0)UDtT+Tf(KrWspu0YUG_L0 zTsS(4WDTC_Qn5L9u-;tz`w;L7AU=uGER{rpCsDbD!z>n7`6|wowm#CwAOAvdRJLxN59eF<2WL?E8XNpj=9u1$28-fHpse4~u zXh_AmAd5J-(==clKT|03t8RiP39!Z$Tk<63NnD*S5XpdDr&0>y{?%!lb)wwxk9PS= zPtbi$NA#HjZ!h#K7>h9s(1ZrpE1*sXp-2@f`1*ay7q4*JhJW*xgOs>wPR79oI`7DKLKz$?;IKdswW_|2 zhu8FpT6He(RcQFW1if;@#dX&vS)kCRxn4kAO0B38HX;w&?`95Hz;}ub9Gh6txD0nC zRPerfK}pHUBcRiIX;u%VK`xdsNmtQ+=M3+pyLWWVz{wX;Wq4WyxcG$--CYFlrmq>$ ziU57<22C!Nrn0(c)r2GHU1sIvDre(b4HQb~oUjPSwXLT6l;XO5KuE=ex#gDRTrR8$ zsKYzRa=xuQOMg(2{}RiV$miT8YO?3Llaeo!)zkO7Jcm`Kt8`FsL2i>}UGWcOlEz?_ z*n8xALT6PretbNOY#~`$J0nj8$efA6Bm1l6JrKdo(Pgy%0L1gAnM`*Fiq?!x+$l>s zaS3lN`8G4I{7*$hYSk@$4`uA{U>8{4i7aMEH2$*lA_g<>#gjrftjV+uF@B9Y`VC(P=b_Jr#~CYaEl!p-NEayw?cp~OXoHzEc3>F+>s>w`p8<1<73c+ z$W+T`E=|oxv!^u2XR@Ui68XWw$caeo*ZpLN#k55GTB&D65Kr|xlff&0*A`^gVk|{0b2jG*iH*NFE!?}iSExq0-C29BqawII&Nww{ zgEg6?Bz#gru9+3xFPOVD_)G8Xwf@LC8UbNpdFkPX1oa%r zh?)=N*A+;XPO=fdr_RC^La2NcdV-SNgRMrzu-IkKyC+xM4uhkUXM`!!@qS6p3jh)j zNHVSj%HTG~A(g&!dVa*hAulA;xa%eusBKPS==V0HnuL{wfLs6sG zo%0`z4jqv>{djJbBW&^Y^WdRbxI#PatEU2u3V57C(CkOgW7hxvkSyu>FzaWYw!_!p zKHX*q59tAg537|c1CDGmK|jQx6~wt&eJ9mN<+{uS`1tJ6Lw3W=10}PPrENP|5Ijq3 zZo=!f4-*FlzJ+PoAGM3+v6q}|g;i3m#XBtFa!}o|VOUKEY6vkPk7h{~P_$+HOMy;Q zm#t_hD8K{zHSYbuVqh!{WQ?B>0)Py=)t0!B0{YpKk^693U*(Po2v4tEUU6`rKf$>J zx-x@aPVa~cc;Q!aF%fjJP_Xt^Q?JCQMbRKXN4M8hmNqm)!_+oOd!MUE-qj!WYO3F% z*6iLfttQ7|G)qdj`u-tU-c4D5Xd^2X#`VH9^M>_(zyce0cYV!Y0tF=dpI^_ffQ$rg z-mGKn8)3_efFe+({qFl6U|@vPVjAD0g<$;~AY=_*lzpwloFpJt)IbK5{t3Z6X*17Y z!`#gen4$V2?UevKpajV@;I_TfMLhsr`GBpE9eOi4?f&xzZm>JeyFK!lFB_l%OywSG3++LPW#HJHq9$Vy zixd0Qd-%Nk{T6*7mZLvOy~ULr&^)o7C*E=R(#zB~x1%RduEO#_ys1+yX&4u+iHIYK z((Kfge1wZ`Wfe-V(z3h3$WS7?eQP?YtZ8D~d`krNZF01BdCs^CDY*xuhmD|GKzIR! z^8mPuyPLgenBD?&*%$HbK;v%AzqO=@f&C7^k1Q}_JhV2taaAF4C-G5=h_Y2Wek>gX zyVg~0L<+J9sp8KeV5r5=+}t+%AWa)T1AdHwsBQQ-FoHM_yu9i*62RulVb|UXqv2VJd@cgMM2vocX^$u*GG1(aW zPs;vjiWD(C_CUZrko_!9BAK9|OJ}Dnv|Bau@Vza~hohx~m^(DlT@aNBWu*IOJ0|Q4fU$2yrv&mq+GmYHb}g*q(Nq0(a);Cv_#>PzS>OE9M_jEyKi!i_jBe zs0~!p($Xsa+t|ywbzqIJE#Qh=k*=w0qH7to{A7JK;s~OGKX)s$fuE;`=3XE-kDtBw zO|S%F`+=?0t-Z=~?HxSzf+^lDf_0OX@o|3ub_6mVC-IG~ZK=apAiMb|cJ(pQg60Wc zlJ2M4ir1_qsiOAOT#wV2!bCaN9GDUudd`Hl5ga!lY-mE9wWyfFQK6!C2<^cRva096 zOHB7d+S5R~=$ghW?YvK?q{`3j-X0wsu+c@MR>hL(@6vR7-Z?;KD}2T9J`VzCg~;d7 z4Rc&u8;ztdQhR6Mit)qi(6>FQ5+<5l^aAf!-xgI+RDNK!s48_|?r#E>voNjb(MY_D z`?*5~ZeFiMth*fMt<|k)E-)DEWxz+SHzN_K_><=5fS+QnzaJWgf$>BzRKBusEJtkU z=erQfN=#0z?(uyjsDk|C_90|A!%2*81BkB&e-bd~HF*J9u;d#5rB7s(jZ*k8qUV2bclbi!IfzVDq@GGr(i8dfMhd z?Vvt-jdF*~WR`-V;FqgL6Gg1o+^;HPRpGRUpin@PpP^uchXzMw**1O-DARyeQn;`r z%G1+R62d7LuckP3w9Hp_`a-lT^hl430E3Zx)qhQF_Uc#=iPfx5<}a||ZAF46cimrK z-*hB>(Ts!%`k28ol|j0ckD6ZJU+>pT`?yAkf6OUx9MGHVI)x}X=tbt=(rX%4AG(pk zUjVK#Ha1omFsWcuc&Iae^L1ER!@%KlXbKOSS8nX>$|+9q&(?s>)Djn9l*FD-1Be>y z-XbzlufvUp{CSl=CDy5slq7HEy0)-E>X3algE#hsNnaywQ5;jffc#fG@*02$+l*6| zzdS=OAXnRYjesc_5GqnKGPVytV2jB*x`)9w@P%-Cvj=*5H-VIGczAdr=`O_b{An0G zx$OP>_d`B#;^WR~kW6J3&I%|+(RJmu>F20v!Kzq+_*4JThDE02w_4dmlpt*=pr@1v zbM$|t%_ zqB>|Sa3>`=q51vUE#`E=rk(4MTeloRf@kOI_J67XO-xS9>a;rpsvu{uSr4PqBbs*o zK|Owqd9~^22ZoCxgyjca$o~0<8W(c`F)=YzM`eF`hwo}ADw5_#G=a{A7#4FvI~_;af#A=oc6 z(V#a9D0(u6&wT9pN0~{mKySS`Mqxt z5I!>q<>sN`m5>DDLl$U*V#q+#{ecjaBjBH!j8ULsSlq0XaxJwaYG{blRI3Lk<`4%H zP{ZC#$3Gf{k2ZE+uDvds%&nZT`qpAB((#9_+iVkseH`3KYzh>dA)0YuLNyFjC7Hg? zbJ_#XJ_}Ho<(ahTFw@@Mi}n61603cTJo6;?j&UM@{sez<@{^7FV=DG5C9FL5L*9r{ z{n4q?cfwBr0Puo*hZWs54*W{N6du;q+ctb!u*$R3(`k3!s$)s{pVY}#{Vhu#G$Rt= z`Faez*j&>@je#6ojN{zSSd&d9u1&b|my^1cm+k?zGDQ=%I?C_2PLk{q=H>#`deNVj zY6^I^6$@#XsU5S9`K9CXKr*f|6V#JT+}m+{CuH$p_4Igy<^a_?aEU23T)h{lf|CsZ z+SNTb`FsZ2W0u!O7IRLoo<@EIDjN-??8P!mMEed!r}^JgLyZsOn^!L_igB+h*2Pmn z@#E`%R4VfDGJT+QxdzN&0*f_>&&yNZ03p8~kU-p6@dqXNDXgGw^)J5=%KG2Xu!Rr< zDgG-^o&+{3PXDs?|GvcU6u<#S?>}!|{g}kNQW5;WzX8bke}5mOg6M-mI^f@{{qy6` z^{c!3-)lyg;#}pp{`VsvNv;yV|JOBNUb`YM|9j2Q#a4VGRqtAP{P5va5)$^Y;4W*NDsPkIOAoW5p;R- zcgPkz?##%4)C%+8xshKLDP+Rp{g*g?1w5BU_UId$hNIjumK|Y4QC*fs4IXRt@ve*A zvB#?_a&N5SW$3^b0&jTl53BTCNFL32vCf_hcv-IWr+u>)_C6>xkG;BYAgN=lvjv-u z-?V|oIq2-yy?|2>z~h-bhUDwF8a6;!ToKc9SXN+N3B9_vs2-KNiGZUS`f8){6-;ilz|_LM{xlKpy)1Xkz)a5B^T7sc zuW45TGi*8qh&@wt@f%0{*G>vKCRkBP2@&h*P^1f(MuIk_|93M+WXdo0$>6+t zYO*_|NYOm4Sls^j{!G|noD})lcI)4l=8ZOebpGpl$PU@FKd->b?r&R); ze7aHPzs%>l(@wBR-+J6Nw=79-=FL{9nfb&u5CjqsF;~2@~h8_@HyEaAaib0qBji#S@Ww4;8ixPL(jof?oN()=};s7m4VjP+-M=^S!wCN z=h#%RYc%Cp8GJCdSw37KYpdAPx*O{ZW`WF?e;z1Bt$gex?~If?Ul^)3Y+l!w5{t7R zEnMinD$uaXp7C)#MyDBTQ}u|7m+>io)oodwKJc*4LvRr{Z~A;5?;?`1yo z*9Gb+ma|PhMkqJV+5N)%C@kGw0t2F5uH~i-ameXf!<-V1WFU$n*7jg$ zDN$>JsdXjY5&ZW*TS8Vsf&rv236SSY+&#-Dppi5=&J8|;^5T;}ZxzXj1|%^V>5C%I z`UzJCk#Yiq++ZP6=i6{8nCh-JC|z{d70nQHhk-}^3P|BWeiLP-{+^!*=SJ)Kvfxa$ z+~sb(JP?rWRL2K6MRqsH%we9J7U`E6;NgURc+v2k8T9#WFMskmG%pTTx^kYVB`Ya$ zx|-)@cMfdqAVKhaX<4N&Dw3){^&W@Bb`#cY>nQ_i#AcYzG}*5(5NEks`$;g!6I5y6 z^Vzdz3Oe64YWDS9Z32JdDR>qjX|5vvp^Gh&DfEML%g=zzUvrmq7-W#_vw@7)fO|9_ zPLa?fT&{s&T^$Txj^JWgh4sped9|LIUfHE9st96#aZ+0n=O=?fm8eT~^Hb`pUk2hE zjyQ?y@p6~Pn#;9vJ8igNT9x;P$=rGzo_z3?Spm9Y(5WO*3aoL|D+*bL&(D4+f}$B-0xp){`GOiyIRaomWO}$g8~vBxU@L7Q;aS zioa~@=+VzCPgAg?!3yoR95oAiY<1C_#{kYoz~bG;Y|`Q{NiKKp!W4MCur+yQzOM`i zF!x?wcI8rg0kc!EyXGxSps_^#P6x?MHCXblX!?K7`juIX7y!$+xcl{{R(4sL;~74X z-FM@G+nBpLEf&2=yx@dLfTab;+@Hf^;PhZ)H_odG_ooxx6{shWJC>I|o_{4~fA3(g zCg8#Xggy<;d^n#CX2k+7e`TBl_J_f7TsG+0z+{8To8t*<_p6QgMc+Hrz7h0aAi26W z(0&Nn^wKn8WVYo&N66JndCK{Qjmpj%`_Z@GtzG_9_xwI;{d-~1V*?1@W8kwVxey-1 zO7)vxez{E97cKOeUkVE}{t?a}U3>fc|1k9);8gx^{P+>syFzvnm7Tq#tTI}kNVbY> zj=i^JC6!H5sq7?skCSkaIAjyY-uoE;`|11pUH_lU)#Y=2IOjai^M2p=>-D-{_x(Ov zZK9j%bo3WLT8&U1C+6BkDG>&{CI>9zT981{Eej=C8qF)P=Ebfd`ETs_Xs3JwD<9wa#A7$PIZYNV z3h7Jm;rG0mlE>|D%=_~m$jpDrcHfspZmfOTNH~Vmr(>E$KleW*#acT!jM^0E!I@SJ zDCjwVFV-c$GmHlN)@u5SFTblV-1zoH5zNz^^zeI^ORse$EBZ*mONM|I!XwW>(4rmc z_=jt+-)0^k;ALjthIB)f5Y3J<*{Q^-#jeC_aDwq41`RdA>Go_chD#SS{I(y!;{)}~ zvan{mkzaXF3)s-orr(*{3;PSE$E>JTSUpOv%Zr004AAI^hoi*n4woElvBZJMuIy4i zR)FR!j9c~Xfj4gZTZI8JNJ@ZlMxW2}qmW2W!I24{F__zF1yI|;6f!6Xl94Cz{XByp z9|9}z6qCR=tJnQKufXakj5E}vQ*51~V{x$@E2F(JV7U=8Ekaz=k~6%B~m ziLg{=3A@$c>m!PS+I<_l(dx7O8J-rMoW+s{Lv@F2wR@e8;r_xkn|Dy>T@pRJd`3gs zMe5iceW%!*H#(&)d)}!Te78HRtFYGGt>g|MVlpA~fR|Qr^VYCyuTGLInon0|BGlP~ z5?nI@^d|kgHRfe1KpCB&tpaygedjX?d;(KZ%hu?(RaNqv51`4Wjyb9&#kOuM-w{IY z@m}V!Gv?jJWehu7+o?kgQ(mWEV00Emj-U(@zzxg0ozi3MC~_oipHvZ59ZQ#Sws6{= zh#-R?zFZ9%jufT3Gt5~%r{hQo`;2365Dqi%gKPx2iA+y%>s~jA>PS>}TPZz%dIwp> zDxhe>kbvQ0XrVX>Ry4QIZt^#rl^60#ptyBP0a6EoI8LL;YmX0C9JeYVK#)PeXejAY zs_H|>$<2Qn2M9yWQMFP_`2Muo(Qn~l2yot%6%%@r2F0D>Qz-KL`G(W6#%_K85e4QS zA-Te}5OV*?oOE}?PI0BRNIeo%FqAV>x<%HFZ62Yz(7vo{EvS*jt}AsP+^?jmwYi(Lz5j zf>@mW`t!?1pKEaWou*KEPwW`gP^IpNJ5Q@x&u*oI#1ard zq7n#8li4cGnG_!PAs2*-Q){q9*#Nt>A|M-CI|fB&K?JZ%jl(+)Ph9kdIy@^YD=Jzv z6gDCZs$YZXS<5%#=pzc2*AlE86{uI9f8#aS+n0UD2%LbeoguJA%*gs|+kuxY&!~d& z_-N%g_Kd)NB*`WkxoByQRe~b}W4r(ccMCkj1;r8hw% zfA2qkL4Z(1$SKup2;$4n&)=P7u9KPB1H2{!J1qK?o`Tp{Q_s@~)dTgXat~a;lN!qV z3|ROOFMeh1Vm)d@^n52zA**W?aJ70ylgIlVBm|G{_m??r;Q^9Zju4hE0&h^-ZJ>L1 z=X12}wEz7`(_)Jj-7(udH#k*|g`GVb4u2M|T9G~GU}1Ttpx3ph!DEyDYi`p$Mtoi# zAjQ!U$ROhISXN65{b&F)W@W+xB4YWRzMfcja1uCJK<9A}5@hAK4yu+bsUp~2$dPh1 zI5gn)DFA|z&#Ob#^DC`UD6IcDk8lmu5p_V5vT#Wj;MbN!!x-%XER7rc7{e$GTbjFt zOdV5#NofOoY0j-@5y0(ydy zNnz{v-SVyehd@qHNE$uC;_RDeTBmfykOp;3s5_1VFtmiggCgI(b$VNKL0~3RKv`P9 zK5ln{WQc5bD2je+C-sDkgzVamOl2<9y`TE?qlqh;8=p47J9I|h*4p~2hDHRTYE(W` z#+e6#0ziSD;o<5&f^Mb~2dcI7$AISu+IFFGXVk|M%tEPB_LS2%;1ra1U}6`uq^wT`bKZ`my2=dgnAObd@Ap6-9m|X-!C_f++rx4UM0Y^Mz;Q9QmuC?vY z`~0vC;y#-)KuxDkN!<8PfkIadjH0QiTPT0fywnwNBp$1{ZQua_VH~)@fP=X%yHh<; zIb8pF<)L!t^GJkEb84i3*1__{ukB8gk^JG5KV!(S3LDzKv)8y9%WAiT!0iTnIP7w6 z9JBv^Z|3CokR#@dzEKe1$BF6saRHx*`*ku+g)- zy0Rzrln*5!tAz*bB?dGEk#QQJN8yj00Od{sL{qFKjI6}Yksuzb$u-YUE4#?4-;*h} z1%vaRPdbt&zAT?Ozv4Sm=_ZNifRDfYU})Wshww<@Ox{{K{(Ihnc?1V3%5x^!3Q-I^ z4w9`hQ~&^FVy2wgeODWh|G|?f4jjnd;kyZ$*uF95FG0|ff==`x$X%R3dpV?ns; z8OeZ^2{`saMMHxOx)fj_p28Dc^jYyIW}PXLF;c z(2JH=rrQ%O;fHd7Dylox^Ivk^t%ac&CkRVKp&FJ#J*Wz6DP2~bDPa&x$03GHCE1;y zKhp(@fOU1Mv?HhZGf)xTjgf%*A2l5vuDcA3C($6~RFbbPnC!?Q@e%=~x6aS9w0-3N z6K7X4KR-rQ7;v~C1_dYI!v#|q&*F@MVEFxR$s3OeHkK{nEf9KLHN)SoZc593?oD(C)1xb^Kj9M zDEfN4L)DU0^^(0~6YPR;$ROq`H9M`xhhxVRKxY&=eOFbW#bz9#rb-*hU1s3+EA(s; zSOv{bO`R8W3M~8jrhbx0AgG=ea%?zjl@D63aRBJ-d{=pc9orM^D)EVrP%eWd%Dm!@t8yA~!%e)ptJ?s%ixQP2?;+e@U>pTt{)C>wT4ag)Uu&L-Fg^p#^OWE`Q$jt-_0cETVs_aver1fQfT z8uKS)<>pcWU#3GSF`Gjo)ck``J(_f5D89fW2DT=xOYyXpk~|9$ak$p=5Vvu8KU+i;Cc+; zrI>}nI;G#)2YxF)T(w7Ag_iK}kjM_iJG9Gh8J%?H@$>eR{1B=_fau$$rWrsi9nEl) z0|eo`KbJFQ$wgoR+!~5}437~vSPBziDXQptP1bt0v0Zg-7{Zim3C^3TD7}FFUPSVY zRan9mm5q7}Ac+8p0Rym=)?h8d?68kB{>X!b zl<$H(R0P*%1RQw{gEXGy>x7co`%|TuatLv1fOq+0e>)cK=^)v5Ep_aGlt0bs1T;^r0&<){Ag)90-VTZ??Kf0qr;Plv6u{sL9Ky7EF` z7>Kv;@duy|n)NANnXDP{+97XcfB9rYOoqR&z-L4mC2}-?_{u0~Qe8f?3yi3OJFz{? zkU|Mq^S>(?KU3(b23-ZgP}~0}DwH0b`zJo2f)T;YQYipR8%W&dTm=5F0$}b+vbLzt z_TspJ>E4Y`ExPn1DljY+RbQ%<8?j`JgO86Sw+7Jp=egrGNq{p_Djn~2;S`j~)sOVx zk#mCzL;*%?r}Z{|joP@u5-T#;kGP~M-tW_dYQ=@qBq}hNJh)xBN^roouqGIs%80rd ze>?^tJ@`5g!!T^xA&L7T4WdV$a~~3e5jh}9$9yKNMCE_LWW$A>DmAJkQEFt~yNqXy?$hHe- z+n);Gu|2Hp*seU@OQcI)aU@`9Xte(r(w-2;sKVvLF>JspWjVP&dO5v^V|(di@r;k3 zJZ-HzXs=uB!eY0tW!*Z~arC}>43cU?fucqsZUK>sDi+T#1NuAyA~Jo1{4e7RvW2BN zd%)CpUuKp;4Je0z01raE=6kL<3;|-C-Z4ZD1LcIaA7Ud8Wykyh>->kw$;tk_jB}9c zn+{8?x@d*YS(%$VTQ)JeMr9hnDPI1UU(W{s%Jd$zSAgyAm)W)Ra^BaJ4xwG!D^)8> zT`O?#OMr!ig+51rVhEs=!sFTOI1>+fT;t!rj}Vz zG>;LOCeS}~d=g6k%Jk%$$J>s_+kMMFCD-%=w)EkO&L*B~ZET#JLD3NSRLY8qipt~7 z(A`4*Jl)n3?qOK}?UGEjVuM$=mJ>q&A<-k+`q~=Mb2%Rw_CtRzBs+y}rR>5-_pr0G zLzLorH{%>5;VCG2?)EAl_Ac1q4LyNB+=l6*WC5#W8DjxZA%zM-lh5cz#zG}_Zv&6i zM!l>Dj@w%b5C0UJJ2=p0?*Gm)%H&Vb@?Es#YBD`&F|E#fPBI3Jbrtl-p`?@)?+=yF z5ee-dr2vY6KV!Rbd_qrYkN1g{)f!vf8e5^e{`U2%kyeCJ9`tY>_T=8-!8ZQ;vN*PU z@A#F8D$4H}=$a?E4reviMt5qN;aD~qxB1gYP)B3%81>>u*e6LygML-iu`LK#;=6F^ z(aMApWu(6hu&l|FurYJ(<_D39;R^Kbl=6`!R7ANN;2k6Nt8OwOUj%ZvMu5`UG z2wx5o2JtdcndIzBzAO>69REb&(@|>BGUW@mk6v;ql>yd4K-@oafn*0owH61agMPznWYPc2E(6<7GAdK zQ7%?KD$X>gs6_sZFQFmpT7=1xgMq<8K-15klt`UdzlsfB`kEQ;LR6;2fLoh|awDTF zRa9c6rvfcX#(gm<_uj*cryBAs`2)kWInEYk-vbBswl` zsy>JqnV*PkUR?;1|Se5OE-E^Ti5 ztMCok!9TRg9OcAe6^tQ0F&f8?)e~j8ekHjXsqI1sWL`nRJ0oFBC~%U|ve(<1Y_KAm z|5Kk0d6??J=%{)jE(Ati!Y$3|Re{&b?F;V0C)9og|E7HfQyf2B^%`gh7BGyIUoQih zESq%;zU%+|NwKxF3&BYe%wo%W=iZL3oM(*u;d98rKpVk8O**kd@aWWm*PfDrBj2n` z4P8uNiffHYqSy(i^g_a%3@z%1|_txok00-NC({Q z4_$%eCgbv#oR(8zcCK?}hX8FMyJZ0c>E8=(uu$M%nb;7+{O=39W}?qP_x)xlv{&0_ zbB;BJhlToGpG_9b#$cyy-_MB?hbag|DCh>*^2+XcVI_vTo_%{5xYj>g1H!_Qnon_c zb@bkz7qb516xPX)mRF8wZ{EBKWwmnOy(@n_7e^yV*(Rj z2VUfOU1Vyx~xfqOx6f(W)fvh+>=xAZ9-WP%&GPXwX%4{Pnr01CY8xd#hZi% zJbHA!pvl&DwKC``Jc1KwG#bwQhHoemv79{{G$jLf`0Lp`+yXgiNP*Ivy9}Sb0tLwl z_>HeY&g>fdV-97--@k`jOFUQ44uW^|u8GynIlr`SGV260E+XIq1aM&D$4G~kx4f?` zU*!&rk7tlo-wCpu-63S~rs5{jPRO&lz;Alj&}Mc?ze?MXkBzY3@>bLj*i>9_m0Q<0 z?S=HzN^%St?sjx+>^s~-)}UP@EXF&Z%^p8K2@{6lajHYl%IxgL{X-?j$k4Q^($X;a zEKV}fH$L!$x42gcJoks>eL(S>GYYxxkprh-68g9kAp4nT$a1H>Rh}^TX z!$2AKC}z?vrIQaj4^f)RP>+C!0HCm*W_d1Q`e1&ER^we z`q!C8_oTH$LXD1znE=)CTCqVh@F3W#VsdiNiOFbTxm&uqoq<&gCLJes%$D9x9d3c* z($Wrrg@fbFJHy;Q`pE0u4rQ>xVM{pAbevTHT>b+*dwn*@9+zM(aErBkoEmiXYV>@K z2^~ygh0qnFeob?8W`?g7gSnmC_d=TwV(9xAOG zXLQXcAI@9BrprfwTn=}`42?$K7W4$T3;_WF>$0J8IQtZ$6byFjS#s~ODRuYyI_E}8 z`d5L4`gu>_Si&db7di=iLndBkAFcX%@%w1QZWwzJ%cUF(<42*r40a#<;9Vn(;}7a* zqd=)v*IYfp9(i3KPtgS2e zl1NYMeBUZ=FuPSf1Hm9hy(uAWL259-kH+D-^)qg5$K=GG7Gyz^(m3(f#;6lpb!DhY zu!7YhK>-dN_tO8}4|t}>T4Edyt|oyZVWe1(kuq%YKn@TVGyC=KdmVpw z?BHRx7awSImDSb?kB&o(%> zoJRO%U|85mh{~Xb+BrH}+S*dVY0}gy@K5W2P3fayR(LwV+o6XO?vz>qoc3p%PX>DO z+?9I})==`cHdQ0)a_MijHx>U9;J#ZFUkp#NlcK^nyT_wZE~bM@V;i-tQ_e=UzJq79 zAmc=+D;gLqN*e?_m^-IK08w34&3Zwf3-%0%3BxY!LQd>t2t$wMkPj~|784W}oUY){QrMrcGog+sg2d*#ckh0}EqpCP#?rwe1pC>*@bIgX zFR~WA&ppwUG3~t!qZ~x9TnWCP8+Pj=%vk}w4=f|F49DmDa=$*{u9k8(;bz#{^Zlv? zn+He z^73bJIS`QHm7g)=p{Sp6E^&V}sZdf2pJvV2p-KMIQvIU!37zgDGw+}Se`TA}KLwU^ zE#vq!Q~T4Rm~5?z)|)knNUEmoaErVx0ZXvYrf;kI35ibK7zP9|zXLG)sg@1BelZyn zLj#oPWI{p$ij?F{Xkq~5Ke#QFVJD9E3y)b5od!|ZDZKb(9m|Gxhw(}gupsjDg&;9Q z*5Za?Jxo!N#fCmzUXU09p>7BN!h?i70dkg^zdt9?PDJd0vB{vCoJ^B*yPl&={V7$_gUi5 zxS-lRwDg@N8)!OR6MiGhKoExDT0#&<{9dr_r~Ny+x{&f>{rvppejHA*X{VG(HGqqn z6gCus3oQ$AE09)UdBdvxZ6gU8ugRGm7BSMWJ4hR*nv|Ayy=3r}rDx3R*RPE~2gFX9 zxw-vE4n5SWyo^v4enB8iMv45Cb-h1Z`ke3{A_iQxom7?WA^>$FcidxGns0H{V=GnF z8NNCK9svI6n*hDEl}vsN!$0kMBE!xUSDh&R`kWsO2AOj;6kLXz1cT#qb8}(Ioz|0K zRtb-M8h5hhulH2_s`$%q5bZ!iIcaHWi3$X`1>tlO)E}4F z)dj%ZAc3^Ew?k4{ujclGV?YgzjiK}N1^uI!57mYG7Tmlt)Oi@H!R#dCe^4&Kn%8GD z0hVMa-@}oA&#v>Reg%aGPz;bQ<0+UxqWY>LTt{2`huauf1ICeO2Nz|*-3yO0TKF|T|3%={2nj{`Hmj|Z9cp!VDlXfk zuFbRI@)^eZWjl^{&g2kW&n#SA9%0SI1Jf!v zv-#v@I?PAKzpUxH8vfESLK5;Ie8X<8+BbznOB)eZ?&#>~v)$Bap&}sXwCiV#!%+09_#Q z0T^Jc&BupZX5|dDKg*){jKhbisw`*fv-oG-S{+`LNYz<$FR`C#rK!r48#a!Z^*PH} zeSLe=D*yh?(bN;V!xAa>vqownj!}csinMH#5M4Kdc;0rX&_*=z2oKJ=u7X;3o+*k# z3!p2a>lcBUX>%_fX5m7=DyNnG=Hn!}Oy;4XpF8t@G;n|dbY)%PL$H9lLOpSDU+Q$=xe+UdmJ z{d^kvb*Q~*%@YyozJ3L*>fF?a9g{M1bt=)tj4^RH-H38@!GL28lXVEhmscy==F$Z> zKL)AhaEjWf24K9h*S!4vjF)fo#IvLbTbUPgnIQz#O*KK1QLV-a?1V;@t`uO)$B985 zu%$&!|3^jg!@T^RO8ekc;qWVWi(>3!qod9I{5W8kG>^M_@E7!pAR=>1atUeYMdBKf z>kxpAw*Bkk08`xg{LQw*+ksph^T6?h&IQ--8F9Nzbd#4D{#uu!dH8pNuaZ8Dy(HqF zTXC;~?FMaVsz=FDcuA)4->GxtDX&sDCe9pTzh5k$lB6BwpJ}m;+Yxn?AJ;YSo|25L zW(wK3WB(mw8QF1}*oEZxG(M=J;^H6?|FtMj@ZZEiz(yPmZ$xcSlYNhpq$%zRCjuwr zVQ;nMiGSva4N`gZ0F0wxxj9)4Rv`?spOEnG<1?hj|2V0X?e~jfoO{-JSU6jnne5EW4ujY1msRcTF^a z0T@5HrDd9Z?{Xx6a+fwN;ZcyHZxo)%t{aZj{n)Nj2q`KF-`*$b(PM|nGIFyB-p(yDiInLaZr%_wpM?yQ2Hh6u@ED(X6ZLb}E7jrm+wkEx zcOE!mH7DKJHp88SDJZACVnXWhot-+6vjnh~=FY?+3%?NSkz6?UpDZM1^@#8 zJNzQP_!m0AJ>oV|O`lE0pT7p0_p}BE`+xp?-jR9fduwUj)Zc-|i6UEFS-$jI^=?%C zumfw$r3|vG--tYG6M1}~Qvl>Ou(=sOo`(+j_b0o%E!EG6K8{7Vi3te{-=GZM-qy&z ztqmu3_0Ek$8M_!HquOSNw#QT;DV@=H%wo=*$Fw%T(EulHrIqB7=A z;&7YmZ#*fIpRV`YNwrw&#Vv}u&@KwTf%V47M_W!&qpxGHqgnciElY8y9{aJ#NO*+@ zA6WIC?dEKEl#V%X!f=Y8*)wGdvAP_MGz;%a2Zf=n_4}!z5694lHC|~2*9va7FjR)J z5tW2HZJV#*jUtIqC6(ZzNj`>1U3XgLaBd!;q3iLJ1nt6ns} zP&2#5Cx#dxAHLR&)HhCA0YvsGPBhmZ%{%Ate^)L9zVS?@LuPo{0`8 z@t%`aTH=sCPc4yjtuW?nC|}1D?6Ih)nxN-&M{4uP%^xwAV%hu>n}^vEI*z}S*^~tp z8xZP6)`x{7lZh7Tos_O=3sIY42u8w*EtVAZYKws!bo|$nALhUD^mc2eQES}vhJg0i z@4W{cD6zswOzfVa2Ve~tFVLFKCwIxe@_pU>vLPoIAqxreX+K_DTf00Xz?7{>|B?==AAm?$Vf04Hy0C0+Pnz&)Xrkr{T+O#PM7>*ZtlujJq1QKr9hLvv6B67O z5CrMHToB9QSO3P?~!~?P86ar4+PV^e^}wgtA!Y%o7p|5 zu-$$mbY>pA(piO_+pYm_pXBOrt3T!OR0?QMZ4_y-(k`7NS`< zwzCiNzr)_WLV^xT z2R{oBC<}}#fd7{4n?N%2)oM@2>bYh$@}F|@DmKUk9h zw;J#pk+)xk>Lzx*t2cmaTaiJ3*MeS+cb=JUe#^j9pa=tMBG4EuPKlF~uKo%exmpKj zpLel4fEDgW=o{LYejMpA$-}7pHE+9wa}y~^wpp@Y%I^j)4HR2~#8p7=yQF1BsJza$ zG23}joZ}7MB8w6n1qK`nIUn^kC2-O2H`!wY1YT2uPOGLkLLG^#l%UqZnV?xkW+(VR z*Gi9hOf-vsTY+{2iO2?t_#>=Y($3Tb^6PDFcg zcZgaAv+;&oq0OOp;i~~0k_Cn66QD;!oR;z1mjL#Is5$M^?>Th7K5)1h;s5ldhDGNc z8BlyCoLGSL!Q=qoyuuUZ<`;TVWxK5T8^>&=j(4?V-Ww!f&4X=C-rx4Azx2Wtx@*AF z_e0sQHdd*-u;S8YCFu}e#*4aXqVoVPelVQ7n1T~~!onM9JbI$=z!j}T&gh0l-cW;9!(9*J&0A$zH{E#rxnKjz0&I~?UprBEQ{B?j^0gFV?A zYZG(7y!>L;7%0$CE>w=$+H!;S1oBViv(L0_IXmeaFz1^$o6$o0|9SxuN2v$# zCc!-Ry}i8#CMIvv(Ay8>8OT`&CFgMVAMRYL@bH;K6>*_>$vDT$SUY#YvjaEVHpebj1)oY!-D8{px1#Fv1^1`wQG*`FPp!{xqMD~-#qUA*o}wmlh;swqKg zD#+Od7f*+FfY(%Y-rwrVSq3f3Prky52}KTOw=PF#!i^O>z53uwO`Af-``6PyC1yEr zpH2Sw&|Xz-2^#sEF~6j+4bsNH?i}45=hbl)rE-#?jVf0v$7T$Y;y|JSect_22l1-l>(;TjJ^5c*arMhr zT=qGWWalJ8t#4mLvm^Q+7t}J4vw zGAKCqu`7@d6>Xz76h`_HZpkk1P7QfN#%Uadw2V$5(kG`4qX9OZ$H&+IZYFyF#7A&lPGnBWobZnGeRSR9TyYzzchgQ7uZl6ibo30O1=YIRln?I zW2bIpqDXHCfFfFINVBl80D}pBk=~qoV6bR4ke&$a{@tM6BshT1A+9UHYm`}Vg3q0V z{!l*S;qe#rAof_gx}JtVhm=E3asnwf2%FX-eTYyx(|@=bYjYx3F?%@lc4(}h$-{>U zM%N7o;8ViVgkCe}wMn&0_z!5x2o;|dw^*s;l+mf0d!vOX3#VKO`;~cpSQ>H~^3(Ul zU&ow}Cx@IR@OvcBuK?dDVA!xA&he6N5u4dNma0xi!%<;o62itNt{*p+Y_t93_elbj zdyIEN>uw{tmJEbBc(!BE*#$L_3x9V(aw|VRB6x5Vs`c(3gB|5xe+VeDh)vR{+Oua% z0W^hj&ov&nn8hosaMpID(?w;G#CFw=-Lq=51N}(LvxDZ`)>!}yWnX-ab-nuB)tRd)vCpbWG0RpJ3JWBjlSO<|Xe z5^EYAha4OMiEd%{wBPJDYYtv!E7Wo%G&eU3H@TD=p0U7+aY1?a!yPRJe6)XRa&o-i zOZ~IAsiF=^ZgvZSJ_lkM0*yd-gzD?fy!;V|Dk11Rk2$Aguk zzq!!D1I)RVLTih|RG$_V8QY{Ka_WovK+mbR5g0`FjE$;r?{QViqW82~iunf0s4he| zXKMXNQtL^b(TK3M6jbM`%aNdG?L{{`Iy*CLY;62e0v=M|M7>C_lAcX-)h6riIU}Fsrir3lbs5`O zV&ZrCkzXDJ7_n)&mCF?Bb$R6Wslv$-U>$%TN)2Cs{elYpCL$z66ewVn`Ba~GG-aTq zI^#Z!p6}tUx%0W)Wu|0M5GLeprlNtC8;|;kfUry}B_(B);jPYAl&{JAwcS#Q+PfA? z+TaxYE1x^=P&{VtVS<2Qt4u#8P=lMGS{azGbGvRVVr(TbETP{d;nv&^m^yfhzC$R3 z*o_!=L6Agr3NRG+$>1gyIzCIaeWcale@e`qHTXaI8h!)BG#FM2%l}<;SS#vsU5R4h z{*)cF)2Uwby}u?EIEAjwdB%JBJ74GZv+D02EY@$k7A!*Sgmv_YF}dI;8X(=o{MuVaeTDU1HV>@*wFTE@ya7Qk;9} z`-G2?V%U2jA0UXjAk#I-r-}jA7x|#B50yKp5+in3q~3>^Yr*6wr2r)v1Sa&sG1onF zuDrhK7EgFBe2>Ixaz-3(Ql9A=1Gfm6L`nvmAp*ktK>5FRw?*Wqfk8hE^M+c<&3UFa zS&DO+b%xQeZ9nmS-sD;Ewd2$Xl05vAcV2f*lF=bNEaAocu!nJMOkuKqAznMt?)+1{ zxDlJQXFlYRY%pMeIRakjhhjFy^=TQ>epb9u%@+=;=z;4M3Hjs ztqi=~`$;fRM@}7IlKLp!i;%L2UjBT_OmUWpaQ3`k)yCH%&j)6^`-qkH!9BMlhq|%E zuaE1oq?eMlm$lp_&RTvFzt&os_$T+#{i+f7K0cgAcsd<8z$j&-L$n7kEg;aI^GjTuLY-lc@rr<_FsRxxvb@(;C@w1TZTLom<-6Usv2n4pLE)yOx82 ziu~t$yj{s%x8Gc%A`O9zCG6-b%5pkxxub&`1he1nctaJ7pJGp6+I#oGP;UWQ93V+y zJxQ9wN((B*c_@y9P5}jZm=}KQVtMQJq|D^0jFc3Yt;3NF7tS*N}d~ zW6yW=KNn$`lL5sSRMEpjW88nZy0esRx2Ym_I<+Ndx4gkB^VK*}aQi|Y8PxIRfPq|U zzMJ64C?Y&dT|C-OoUh_C=up-|Rfvu*^%%x)RIEozn&W-KbvGoH=}1FX_k4vJIMBUs zr9Ge2phXI0wYaa%JrHMR`J4Py^~aQVO@_hzmUAVYLih$=?N`m|Up04#zKNNMs7Rzs z>sm(Eet+uJZQ*W$v33h6T<9jRw>>f7rp(e)@AV!xmTNr1^m;@#(PZO_pu`wrNW2P# zLm`U8E8*(fD$1_dW$Aw)Zvtoz|>m##Z23f>ZeXnC7yhM zLpNA5Mm7r*M=B{NwnsJu-e#YXB{}FMMXu0$l&ijHI)#RUF547W99w1nPICZ-R=nEX0)DF@>y0oR+@r$ z1a3KGuVMpjm!h+VH03y$&H4fZkcCzh-D@w+7_65s=O(R5QWX72}1moO3QAx>Z z#?Fo4QT^@^hel`i3MDtnq=W*PPzy$Z<)89a0YMxgKZ`Dk18zY54>$awYE!#^Y6W0) zPtWZ&2`I@`Y8LPXnaq!cCR<`#UPVn053>WLVq#`SoOsC5E%0~?ewp$5-J9vBy2$Kc zFZk9Yy*YN&@_Cw<*OyMQTO^wJD+{8EFYlMIr}I^qgt9uFS~d4t!KFOhj%xJO7V18s zCO3%+6ezsaBw%G?`VgnZrH*~N%kspR`&&3s3~M#!_m%ECAtBaJ1P4~J4krTy{Lw*L z@23h+u$_d-g<|sZQTHyNL?xxChmEa(0Fct23QQ}OXpvG2x7Ux}c@jFW4jq3#!hCY1}Y568uBYj6s}cr~u;6 zpxC>>)-*`5B$jjQ&qPy13;oq!H$>z_xmS6UAQ%wqK9U)o@)nm9VxhjFS-!1Q zV%kBW$IEzUEPW?vqtgclPrN5#MgI&+S3H5gp4+)0g2_2ELZJ=`xZL)g*KC`QumW&D$(5Wm(z2 zHK-(@MR=Gg!xYX8Nq(NOEouFpcrp#MbYZu3f#xQi=+K6DSLeB`mS2G?DS?S*>0XC5 z&+?Sw-kajzrjBxotD*)t8q7Fz@P>G-t>~Rb{6<^&?FCklQWm*x1?u?lCyUgA9}xrj4!14Pl zfUD?D3B>jCW=<^d_5)T{9ng7^w^7RUoS5{>Ebmk^K==2U+XitfvSTFpAp7_q-hD3c ztkz{?&Qwb+WQkM0?XfOz>>aGFl{(Mt%4#CY;`-s7=X9a?9UickW<0a-F_~5s67;|G zzmjP%NmMF}aP#T)6Yfkup@ubREo!8jVE(+s%S&gD<0L6>`h-*L)Q^vsQ#+sU%a4y5 zF?7(qFAD&s1+LsuL!BS#*vB9FiJcNpi=V-{5llq;h9$xENSy08jkqZR#LddKtwL+`;n2f&m%vtpFFC1e>Q2ctKy3fIY`Z zxa_7+=!wUmTrARK!IJ^SvV&hDhm5Fk*!ckFT9J0mpB21AhO031186;9CWP5Qj018# z>DFH{lB})XYJT}Eu@i&Bo4Xq~)_V2^Qhd>i@RUH3Qaw6*_H9#j%`03&+uwp)o`)v6 z74V!Nba~Y}nEEu8swAShqFS2o=Ho9xZ4M@?xRKpZ#OZ+B5JOUw$id83CdL& zERpRuG#wOlZ|C1{=`C!llv;+th&V^xYxpiGsV4R`P&PdP`fCjIR{$ksrY`DgkYN0; z70MjuWy8xU=J9tmU2 zDWhgK0>BChiXTLzQ>RW9pgjc@M>e&4H?(dSy2=T04h%WgaX_eo`wb+ZadMIe++Ik< z>f4Y#r~)#vQ|qqc-xL`mpE+?9o$A-^bN42kP49OoQ;XJ#a7?`MA?tBZAjdU1#g>%u zyDx-5$^-85Ga>$>?G-BO`7p$J2s-^8{}^sBzT0|y2?GNIFIECo?lrEjf6W^+RLqc_ z)lQz0yRm*VTTyWjI3>6?c@(UIRh{kXObVkk(zzM>ZTV;ftA%5Z;DxT}doSLz$xKO6 zl}dFBE3sv`YG2*cZ9}M5zR!!bP=*E(swjQbpSz!L28!~=uzdidAecrfPTQa>*b%ux z--p^Nd;a@p@-dsze-m}Ov#x<#1>t~~*;2tm1cnr3W=;K7jzp>&zU*ONYsWm`PW}sw zHoP^4=IPy`hWL*@(-Gp;qtu7}9|k+z-NwFQOfju;d)8UbkaVec2=|{n; zR&L`!EdNLf3~LE(rK@fYk5q zm5J0Asn^?s8fwF~ra3STz>SRB7j{mT_p-qU+8m*RH~=0u=T2r7HSduOC2U># zav(4upsxDFTTy1wqJH_<5XAVUUuc31)Q2v>h-{5GNF~sj0G>7Q)jWGyNvlFinxTC+ zl5OC@dnhqM*@>22CJ-pUiI+oBc~ObTJu<5Rw}R4ST;4)p>ehEjZHvYKTyzrsdribFLkk-Y}^HF*ycNZ5ZzJdLoS!&@g3k0K=c4BcUGJ zq*QRnUN1Kx13;_FZ6ki?cjN5WMjvpchZezDB+y-uk}@3YeIh6@w6Sj4t?(LjXg4+n z=Ss;9eI!a+U{;xS_kItB*%xRt?%>vL8;#eTgH!FGRY(=I4R8R0#*|Gw1k)@scpp;D&V3^Hi+iw>)@}Qq zv`wi{JbLq?!Jd zp{8fDud#>z%8*^?-TXoE1%Mg`WfIupkkxNz*h{bq6R|P6Gs+6XDX7vy8B*F4shM|& zp=E;V+#?ocp}!z}_{{h(eAju|`Q2@lCk}`CdbCpH^Pw>JW@_@G7-9OcgxE^FEG=hl zHf=}kZTiUZnP$N%E0b%P;(}x*IU=Hd!7Fb2VV&~e&^CRWm^eRMkFDH9fGl))o)G2! zE9Wu?{qZs$%k1o!259oT_*XDp_hzlAD7oJZ`qhmoXgg_!BEd8Pngo!MEHH-&EL~0M z9;1$HAJR+B8i;kC3@R>z++9Nzo}8wI_Ha)VF9Q7V3xkd<|w{@bm$oZ>B$-=qSun6k^u zAVav5JwYB>bEJh8s;HtO@@|gq#Cfhgav<1t7V}$jP|p=MDh~v-wq^+CJb+tk65u6w zSXT?4+aZ8j5Cp3l(XYYHU1Op*KlU|`B2pGbk!-bFB3yH_yroTZG^BwWthE4K=jzg#+2c&epH!5^E&H@$E8@keT6yb4!t*pw&DOzA>QJkIxDp5%_q4mv$>?m?X5 zHjDq3en8(w8Wfd~fe4AOJ~u_sS(=-xD0~4FuP`gO`5@w6VSfI^-OT;}jer3|E%bo6 z3`0T0e?G_m=YM1JP;0-RYyR}9*3VBp^2+k^3tv8CuNcd1rkRYN*NA;~>vB=a(F@2& zoozmhk85#RYtbe=k^S=v0RO8LFuv|KHF3yv%$K&E^gcR9Fgm)O4f{g?RqzA##?x}_ zn}JD%Q7iO)H51#vap;9AFStV|!}>!LCv7FvXPvxRuLg27wKg}0e$zNli4Unf!3u>I zaIV~~sR4)QFV?{HL!y}8z^doeH2j9E*j!^^ZYQcvm4G4QMMu0rFB{@dU?QpSL!sWsrT3*a~*DT*5#XnIK z2(sn55hQLOh^mg<674FzWc`u(PmRL-6VDp0T2tMEZ%iL8<>d~Ha!V2n(Bk82W}U;k z=DfIj_R<7f%91%z?*ud3Liw(#ntsgJycF6_Jn4^n!MK1e--it{K-{Rnoxa`-Co8eA z5C^4Sc>=4b8h<^zr(VBy{S5OUCCQ1e-w``I3`}6Wb3^P#@S~_PWe@{Z=aJ+OVFK19 zgw=D__1ty7Amc)60wova-rQv19c8{EHrb5c@B;X6LzA~tFzIg66QEt^Qhh1-I7G{K% zn0L}Ir#fqf5RsB3vzYsshm1vctvjV!gsc@eiq#;gYID!2&n*w)px<)(5XSASXrE6{ z1@;#{&|@^NnHOIh+l9`tdjD`>1O8H^; zUbbhk>v9^EX#HE}a+O2|P4y}wsTZ9!cpI$M%HH`U$(v<_0lKplQGeHfc`R|23L7sXtck7{|E(L$j?Z_9cg zCuY6W^ORTR7oaVHdmVmMGYp7BpdST|Mlfa6$b7Q1Q@G;J+@JoYj`sFfrA&O6NB6vp z3T=O1{TXGs5k%O-0bNC_sdmBxAVUx`Uugndr*Z`LVgY^!>`fG_Z2*h?oh)Fl#W~Q& z@_?229jd{li|DwCtVBQ+81gd|3JK+zKQu7OOVd{&>0%bcE3juW2N1 z?;pxtm@9DcUVmCEaVxni0xEAh|x?zbdNnd(yB>N`vF z4?+j8o4L6;$N7|_ETvkAG71CzI|P-E0vodfnZRLi*a0}Aup=wXx%dL8!G(p~-rHDX zBX9~qXPEw=?CrZJ|I-4HgCYY)O+Y+o88JSVm@;`F>0zi1et=vSgUx9`%378H^s~C^ zuo^%tfufh1&!mfAgw~N_G&LdNHV{+~oV}T(#%uj12=CnUhY#+%xK4sRh)pdkcvP`- z@M2pev!t87mZ5)2;T{N2H85F)OjM+q3VNPfs&igGA=?E71sM;nzRC>Ke1S#f@MfDw z*#?Z+<+thNjd5(2-%T##U6*PC=nC{0;c$JodERn4er6~BL#Lpd1R`V20GC1h&VC&l zeQ5tam3*UPqK{c(8~m`HbT`>-7z}6cBu~}9D=hY=73BGnNhiBiq?tppYiy8wt-(A* z+Nqr~qIc3RhQ;r?VHCn*GB6iJw` z_I%joL8x#vv8xb)a0y(go!Z*k4l|wvFRRy8MS6_m1hKR~{$jAyaao*I|7BB;XP#3= zf=Pb;xaIkDWhsJJ(z7Km{p+z{+(gJ$c3CUDX)Rjb&~)nPpWp{I{GJ>*LDc^Ub;b!h z#~XXXp#y{JtH)_XFW6Z9ZtJ8_$df$pbFWq1upA(I;W(!HYg;3m!=_k|sc>CF5P`#{=>Lw)t5P*6mCTJXJ?-amV@f^?iA*Hw$%SPj`K-Odrv`qb028~S==CkDNRRja^YV+W zQ0fquKSs%`Q_*%n>MLADknIH;BC&AOcbu2qsm^hL=nQIsBE$VJM1eZ^n}mC`rzw9n zmhdt34dJ!1r)FS`1&K>#o8Aq@=1XBA_s^@!-6&I6QCVR7WgR#5`Yzis)BLOF2yz*< z_GF*`%nK{pcuQDAwaZw13!tvU;*!MX%DuM|&=0BL!++!dxzS1-coyphBA^!!GDPI}Y4w zE2@VRyOTKfVs;-dELisYG+d!}VB8Sq-9!G&{Udc#W?zS-e;-QruZ!8aL|FopBbB^5h_qJK-0FITN;O$s zHUe123u$eS?;$QAD52QR3Z#;rkPvEt0Ss2fxHbFVeh!NWX)#yXi{o88EvTvrK`{Wb zOz;-PSTH^r^G`{(nT>%S81j#`RGk7A38)h^qZg}ZOYot>Qqu+>HKJ7?eGEh6?Om!_ zFY=qd{)#_!ZR06uv5x~1Ko|k4&xoF%Hc$j4TXV%aeXM3&_2t9MCdFk^370W_>urSM z0{D*MpB@5JajeAWz2HT~DB-+Qnf*bns0^fpJz47POw^wJZ?UkSX+t2NSYkWt!BxO> z8lP0F;&xz=QTWeh2i1i(s{PmJ@#ST zwMkOSR#Z2tb;@O~T$5|NHGTV(JIh5z>2@dUFZl$_H#+wMFK3?1WDC}O^g6J*UO#pD z*|W{$8}ghhZnj=-)JkQVH8`&KY+jy`o6pu8$b*1wUw!Nrrv0YxQ;@i%NN5%Snd0%vDu;pv2cPK_jq zWdiIVME0JO+VcyLp(1}h_73>4p)L>@6fvSszx{7#3x@n4Y}Zn|!^nU@Wb@=xWOSnY zuVA>uaJYk<^=5y6TyjvtOz)f}ZgoL~{hQ$JD!>fBDH%(HW()4NWJ&kmGm#egWeKcf zwmLn;q$`?6ipjU1V4*5`xBX@vSaG;0c?~MBdh;`%FgQlB1_t8aSQC=HjcsgH4Up<%@3o5uF#lO< z{qXmM;hkjsN$$#5JcKxjaP|OO^F_yiF?$b!zkgIIFMsB~MM!JXvvICx zQx2eD4#Ef~^fmp~HSx)($V^z4db=?1C|J%My+d#k6YdNatKn#3%HnD`^zqe_Mr$cn zUJK8HAqp5!?xOxNt49u_H}e!$+h#F zPBUY6r4vp8Z+(&Q3LXnI4`BHfsei0rhD?Ifm_S#LMJ7-JI?{`<$S)NZM%@-*{OBV6 zl~id805x)SH?c~T%7$WvIxFM{=6V}0awWmfJ>HU8U~cjk7voV6u&Z9D!iv`K)# zkow~QG62&-2Ml_73OTIRd?Gm>y2g&TTKU2l;t@8U#F(P$AJjjvhZ}2pHRlUuC=XdK zavI4a=GLstwF|5A)%~w5y3FGK)4zQ6XOwr|q~9)o-#L?&rF}`&ImM$mq*CjnKUS#2 zho81CheiB$pr5u+L_vqsx0TBo+~O(WZSnO~F)Yy(i~jDJ_RtSK=fC{aXS2Q zfCzM(Jn6GKHhQPaN``HYC9j>GA4YB8oRUOOweNr8*LmqnY#&-`snO`n5#o=6)|g)f zHJK~*tGuQ*{Ne3$o*w=k9uu|U3fi6tV2R$iOALj7KgPwz8Z;j-+_$RkjyunlDcNiP zb#ZonO#YqWBv`=z87$M@e13G5m!7h`VHZ*^K8o4b5L2#ol{|tCbILyC7e-KlPM z5dE8(!2w;WRv6O^cPY#!pJM1lIEKzyokHW29U!H^tY0zTIn`N|>BZS&$B_}=RnEf7 z^JPl?#Qw}?Zh6znwDM=FlpH(sOg+NgtEuU*W0p3OE2o}dQ_a>T02W9v=aod|%)h7{ z+HNJ=*3iaI8O2Kv!&$mxsuUwuZC;GOo~>XKKs|$9&!V^$T5ah!Q6y;|uaN9?o0$kH zld>ItD1wVyRy`BGHJRix#B=keEz7Zi3}BaIQ4oxepY%W(QxCWLea_@A45?3?S{7_d z;ZV`XDFMdu49eTgsKL{xvXC8ga8Mbez|O_Z{p&I_Si6BNwJN#3`ST2m6@o2r~!nCWVqNb zeX~Fp6GlhxKJh6TOdD@mx<2aHKQ70HoT$||hvMTN-tB_Lts%wNosxn+LI$jC(>6)zZ2+b=i^~xtQc{Kpz@cfN z_0D~V`$+4#aMjQDd)N<_nehqQN4f=N9BmP(bFkY%TZugb z1vv=&&ey*_(7zX@R{iZ_2nz8_3;7^27QY=~1{RzdXQRu%h2P312o*8R&aUrvS(SWz zf0GVpFIxG=^!Mr34)PWb5o&S4q>t85DHv2cEotaA&*^U`mAw}Kwy$&Lw|VoAIP7rc zMTC}beyp9Xal9x~ee*7ZQciZXvjg?qWH?K zOz)y&zFbOzgx<}I{9{QLPAy0=>$u%v;oHvi@8BbnfpilC@$Tda`1yi;^~`JF&_Mo`38rW_ttl% zPDNVFZm#r<%|z2M^r{EtCoJcfpcq#j*TcT_**!O(*$c*M%Q=6&;^*S=mi=h_cOpe4 zAx0V~p*VNXQ1sc2=T60+rTRH0_e#RKCcJxgr!sB*#MGEuo5K1HjCup(N-wV;ieatW zaty?)X3g&+_^yg&IT1Q)1Qn0{6p}y(-1*5K`D!{fS|vryi4>|VG(@ytNAS);8!Lmq z-dQ8u+H}VPplcrf>K70O?9O9Tipddk*B z^$dv%b4mdn%_l!}KYyi=^k+}}Tgu(!F-H$r{P&loq*G{2coJ%{! zts=OukCAs})Wjk1pS0Bl`$n{mf6c*xbI|#`A^pnBJHaZPUGj`$S_O=R|CwSc@2s2> zA{)8>M;xoos?aT@+6)0D@T8VkQcN#&*yea{iyIS zYHs`;z6hIrekjf4JN|-jK}7eLdKK;CzG*kjsRQnq*T2}9nr>e`@0jgHuwaVduHy(j z={Ia!K?RgDuS40>n_LDD*g%!+<703KOCkLOuSzyTQtnW(U)2gF0Dy$X&%Xi~8I!AoTk(10!wyH%nCSyDXWKj^NQPeU|Lz99Mgo+;c=+7xFvK}e196l4>% z`wB8{>J`8Zb2@}uL3TpXW5&3#%f7eeDu~)u2Y;Jxv?L}1aWI($*xZjzFPvKoq zB6?j12EvPmqc3X46|SBi;&jd&{zRXuRIYl*!lO;Kpi~-j>2Izg2!B{3ltE~1k8`s!ubgH#>Pe%%1E0WXx{t+IRWU$^;0JS zM{Hf1EpPUi%thx(^#QO6EKvS|?c&+2LPn<=In1d*kg8FOV~sadaaYhlj52<)ua8amZN*SN#D<{$Y^Xy7}8 z%IS;J>8Uk3Tm8N-&a53kNZ@mVE)xf;`ZFwzS(P*pgCJgS*Q!?5NHtnLs?ng}2P(2p zY=+~x&aV`MXOC>aHGck*XEvWwnv&)wZq~s7jd$-Y!$CPB>JM^~fU{!-SKOTsE~4%b z-UgpfEq@1`DzE&gdzhZ_dR7@gh9zuRRA4*S?O!>6N=c$t&6aAX)+e5uFlt8UyqM&9XRq zZ)vrokGmdQq0&mttJI1{vB^Bk~%%pV=wW(Lb_LaF(F zt%$k;1N)mLy>0#;+u5@*Q^|12LF<2ZpZ)VoIbu1m!JUx~6X2NYFz@-arfzd00F5LY z`#H%?CEV~YLW+)t=XzsJW?$R~D}(Dx+I8$)5CsJwY0Ag6nMfkq7f$?uXbL%fl$Oj$4~m9`I-21omfr%&&OpIzDbmYPQQrdr9!);nnZU$d83gF^=O|t&PcsLEBY<)? z0R08_Y<@TyMk|yb6-q{J*+Fyi^ycd>=JYBoz%I(KBTY}x+;jD?_a|~7dvWJ@C-cnH zQDF?bHWeHL#`%pjfRWjgIwql2x)o$g0be^el=QBb7{YYE+|Tec z!P#jP+&({s%%A|x+^3OL{8LC!_%G5+{Euij>h`3(-?K$7B2GN%$e2n2pDPb_){_BM zKJ?qWF&|$i5ih!k$c+*E`&pl_RLoAmbp)3UFD`u!e{!|Jubr?xWxt*!!=(7fj6ADp5_<>*arNWvYOy}rR7Kp8coJWV_F9^S(P8p8xWID+Z!9-xm)MlEYaf26&+bL=jA?18oCKRjvuol)X5?n zJG%_Vyt(s=jorXtlS-`S=lr$0|j^p=I32cMoINt{^jsFdLnEaDQwy8I?84cc<{ zEU$sW$?)%u7rz}Td=7w$M+?EJD*9umSMm>4F{RW>xB!)}ZOck3LUmuTgo>d)1D`F5 zm94#~#|AfErY+5}&bqsrt{{^0cod6X9MZe3kjSMpdEqtBSqD+9x*X7(TkDU{val{ZN5wxgb?uXhzJJ7c?C40wY0RDqq1#k0He(&S^8-z!2k6=ZM)wKCb8{w7hHl$#m|b5!BzBca&RZy5Ep;iG@b<>~Ex z*zdrBlg7`Kx+jyK^*Vp*B<#ji$$A8?nhg&r_Jj6vq-Q545nW{12HVt`{AJ@&oQCNh+^g6*B;fl@T8wgM*%-wvk#xnPobqx20vvtEATOP23;*xp&UB zR3r_N_rme{uZp7{a8^1*5eBVi-||N)UVhC6@3--@XLUvC%qQr%$!gxbn&&Tw z9?$Brc4F;%*rl^U=fqn-JEya4WEF z=q~}*K0uZeblG665k7L@`SSSsk9Lvm?d=o-{0b=h>h6nXfpv&uf0{`XX3IJkMQUr| z8(L>Hk!u%I0}!{951Emp%lKikqkT0UB0M}ix!gv%ax>wfh8pBgv%jBl9Hi(171{o1 z0k;L(g_QchXBKKiXF>j^1H-Xx69k$D1s0sA+O5^O&M~pDi02J>^&!w%(%e3`0Mtmy zEnlYb_3(HN1IUXC8{8XY+avo9Gke_Rb-$!04A^Nl{QQ0(Y#*1V zMdwDYd_GrUYgO9v@GHGSum2_51m#bVsbTG312wV3{%XvtRmSR;5duw|cGi8VPir0q zhMk>7gu{0W=cFUUPoEz-DT7k`nf)rdb3M^V#wpnD6j?jxR=cTF{T(f@@X-9fe_amH zh8B)l+mjaMQ>hHZCxg^mg`$buYa$%9ok{siAD$v7cl%MR`5YjM+F!p;s;R4Y-2JA< zd#TRkUEI1Bi0)yee_KHx6n-PL#k|;UXC=Ll9i5u0bLK^O;DpDwsw$gCRD158RG)k0 zo)3-mGh$oCOStcY*5-FbAckpfuDKiNgj1Fyh{?hw*#us1Y%_f`lyl_-@b{T1Jg>IHO-r{Q+F71Z2z1(MzXk{}Zo{qazaW%Dh zb_6DL{$06Cal znjzJ$L^YXIXv1gI)z*0F)R#Gopi>V4KVEu!asY3U_S;qFGbqgL?+cx!p;@uLoT8?S z3+sL!1XcV_x>3V%o)@dv3Xy!q*;Ezghf zUevL({|mGLGaiY^d5Nt}>({&yI+qN1WxOb`Jpr&eI?BCQMryDth!+ljYY z6l=rh8bpGDQ-0}CHkZ`K?^1xeh4*a7BBvoYKo}JpmtY_c8A~A`u z-z$MRabktV-tO#wPr_v;Q58bn`W@xzs_@QMZ`LL!KP1%h=fHl^u#e|*b^EbkY3gYk zVvRT|xK)5PF^p5v61e{%Z_pxKy+9$LZNHDvee8$1F}=}BN7sRlV@GvUA7NdpdV18C zH%Pn!=<`cCV|2v>K4m8`O)74Bi_#OVY=iTeJ$O-i^H0LZX zDlIMlNh6eVx_D4^+$yz3Ge`S-GJ`WGZm*y`x|k&exAV|5{(oA4?y-3XTFZ{q=;x>{ z2K!K^ak=cB?(WD)cjd+6s&q>-yinY1eiu#@fUgPjqoSdZE;4q z_C=wFMZ=#Uan;28`b&!*%r&!;ui5kr44?!&wTE%xsju;Skz_P_yxef&`{{}!ZM^H< zrUt5nGf$+S^ZkSrhemrMqGf5(GuQl4|H5c@An{R)iJ6%pAy+d8e2vGyYvkM+=^e!J z%G{!g3V>u^!&$Tafx7)UhY(ymz0aic=D%*jaBv@v7Iti&{$|~(Omm4VP z-%}PlojkEVP4L=+@P_xnWO@PkA7@RnnNS40hPn`9bU3>iRiYFAvsUEQRPi6_sg)HP zs1rL7jmJ|8;gTzKzN>TyTjldy(G)&^*U{sHv*E9hRz0%!%JjZ(db_)eedmZsLBO75 zv{aMqS5_Tg(gQo$fnccoC!ed9nCC$AUi9^=G4B_SUdhywI!Q7SP6wBfTpMhn#b2rD zmXUeS&YL$f#-WM%UN%t{FSJ6DsBRS-v*&2R8CgA@^oA#vNaqZzE3XuWU{yS2u-!CK zUvtJ#x`4~phh}nbZ-|1eLFqTeCS{tAtZoibEg+-0mgud>h_+YAG{?9AKkW9Cv(;kg z>)bw|WbUIE+zAEsj7}VXM5p@=~arB~nI3b+|S9#gle`g-Zh zlkJY#rSgl*!41i?&#M_IkEG=)gRLNH#;FHS}ITS}n-hYpz8N(pH^FdDE3hX^*f(Gb{x}Y#~m^?U5zGI=a zTHU}uYCDnRWl+3fUAG1QBI&kL%QWSm^w^6)VH;p$#f zDr+6^A5NGo9YT4Bkx0e0JriXbn!G3QUilMKRG#Urqz+i=ZX(DyXuK=#{=+=!$tf0# zetEHvwA$!_CbPEK$507zl|D8vn7r<=DE#&>)8pl}uQhR5ICZq%rCOL+!7mF;&UCU& zC!ad%fT8P;`=P~z49c6==LcdkUqvyQP4?4KT?@PtR!Jh{ghkU6;aZ-QU z!}DSv^^hwwj=S&g4q`5^3kBqr#Rqd~PnSam3SWvE$byM#JJQs+&OteT24RWQ^VOA? z;w{2sooCnGA>ie$JbP|If$jsM(km^w{90@Ttl>VFgP@~HsLm&iGP8H`Yk6N?tAvm8 z3xpV{*ztN18wQ9G#w7Kh7Z{&HnQ-biqe8sfDag+k$88h;M&vfiBz5S>zQjF|dt%K~kN*Y^}H4BHR+TS0r1FgK3v%l@~>t5mp()ULne;#D?-Tp)%kzwWG=}L5@H5@r|aBVMOEH=O%RX`|0wrg$%fF(vb6~#dkV?{UF$a4The5R$-gE>s#T{5Z^_?-z95d1cfS%~7Uy6!} z)jb#dxw^_fzggb#2H+Wx2ZFL^U~sTVWnmqMfouEiMx@tX!u05h~^kIn<>ehClM$tp%>4$Jb;KIc;0Wo$3*(*B^9+)*U)$1gqJVaHUtb`*9dm`j%Yr z-W!6VY2#Fezn3aXk)jjWOqBhMNG$1d%-;CL$KpX3QBc-dwzjqkD=WQF`jdK1X*?B= zyHt|~U2NKZm6?mDd@v}`-AW2^(D7B9^Neo!*q5_D1c4O~&uh#2!SW0AqHD#jTi+x~-ziQ2ukM~$7U zmYSkyOj1K~4QhfY{>R%#Qx#A|q<(r) znwQaNcI0#=osmJ-QXr?j!{B1<3I(S>s$Z%wNBC-}>9( z1yB9x_AknBZ3bW@fpXS^tsJ%0C4JJe;w=8_&!2SnWE$s9HLhrLVFkXqc9p&7f*yIE zu0>D3Z9Yck=+Tu(CvRi%&NticYvj6gI)5*KuI&6NkQ3KD5pP8Mmnok{_~2Z9$Y4X) zEkyf;`;3%b1PCxd=C7mX#JCPC#@3DaYVAc~1MnLmCzFoqF&VxB1&as1HZ~oKGEMEc zNwV7;3_$3C1#dXIP~UpQLbfp1pCE~8x+!5VxAR0SoqR@<=;+dav!F4wq8H<>g;A$( zwXn4SPar3a772hh@}^vQY3cJfoK%0npm8>Tecg$YlsNj@{#}(%`ub zE+|8HsSM}S9WQrM@*S6P@L>n1q%b2y68^--UO?EopT?b| z?H;rasj8BK&x`ah;YybOnEdjp!}##9)5z$B_QD8xcKD?L5C>SCiIo+auJKc+9GUa5 z1cdE;moF?;O3+K;8F*fq>D}-|pz|hwarJS6_|VW-0tm7}SO_;tG~vgv4O}j;r=cwo zxDj|(7AYSlWhILEuBLDIz~T*9a{*!1kkRmQ=&c4DXLb*Vx z7MakV$Fk&MH{!g)Femk^?_u-^Eu;=)A`nI zZE9%C&RdM%`VcpY%ZB0-*Ijtykdwbu_MZ#FNd%XkZ1&^wPmK>JJ4>#Ff4=r~8R9574FkWEfm}*ZWE`3s7i`~$(n#jugL*Gn6UK;Ug8Fvn@5M7ws;)8b9 z)@b1>7LJ~deG|FW%;kU5NWfHne)kpyvsp0IkifcHhkInR=7v@*bYxPb@%QOA%bA)8 zLn!_>%*he%*xaf|j-3nN68pMMc~aD1>7TY`3{m=eX zDw+LyRS#{L5iK2nF#ZfpFnjd$Jc9WckjF>1_a2%~D-$;#!pHs>!4EpG8-sF_a@jkFe?%gV~4zLJrvKOA@A&An)~@e9w*Yc|2aHn6N`qL2~U zSF(QC-il;DT`TZ2R(VE~N(Rf`nen$gMlCBvtPFZ6ao9UV-}1>iWojd`ZDxT80l=Rca8BZm{IHu}9h9i{(6e7MOU6F+E6HGwE}6l&d7%FMXx z*epuCx!{gTdzIgJFt$?M{od&S+RbV<)8lrS#z$AM!+9?+M{rpA#1~S#0|`P;?A>eW zS=gS71r+YeWFKBjDc z*!FgDnQ^9aV;i3&$$L-@q;$~0*m1Ax%ffa)Vrskj?85}H# z>#@qY4&1umyAMZRc0Pl1{wlt+8*q`9W`@XE*OLc1{ z6#)s#V^4}2Z%Vbb`<3-bpmOk6&(xYO=*W#d7i4rA>FXwa zF5C>#)nT|uJ%=1tPnG2V6i{9YxrN9L2}w!k{G4$y#`=d0XSFo4sCQsc4?mEOZ#W4f z_rLD<)gODXA_jx$mA>|Dx#CySK@sjYQ-RXzYI)wcVry=S3rd$V@G%nWc7D2Ac|6T- z2QxFD9_2JmAchI{-Lw1L(V=oteWq$6kEK^5*nQsyAiq+NynECyYURyJk*+-7G*!f& z(|eONBJ=|}xPf0J(=Na|p`4r>SqAE62Z9@qvOJ?qyz?DyQ%5=3)HPy+!@HbRoM*9^ zTX0k0?wYXt?X)fnxJ?dCmu}uJ$=I2jD?@uCLZZFG{zTAhQCAp7Jt?yR$IXn`}%(Mg69acKPIhw}T+GM*VcMtsiD-HUr9!3}=zv<-Zf^id<5IM_u z`$IwgD&=R5Dxsu~c5*dr5bVrrczELT+p6y8@x}Y50>BpEfsk6OI_-jdDGWuv%uqlW z!4T5j$*U57Q@xaP@+7nUI13N0$fz0m@YT6)b|adTej~r!Y(H} zPzp;(NT`V+mV-?Xk3QW{0_-p3-?&I~{dCqhO20mz=*y_@=k1j)DWyc&)7~^sE(zg@ zR7}GX7|u>jc5Yuy=ou2L6*8JC1&|Q7qHS&pffvR`KyjX+x!L)1x6PgVj8xI-;+5+=(11+G4UWT|V^Dyzeiw6@c>Vn?tF6Y%A?6QvD9LTd z9#bzxTN+@s#O#?yV)*Fmm+MUhim2FR$XFBWaIQh)3@n&s4$hr}Btv0Ycgdz^hoG%B(blh%{X1;ps?J`4i?4wztaP_vckci+q?qrJBnz<&znV6%*p zQAPwZoOpK7EAa!+Uz9K9*7w~u=FXZIGo(s+&gS|*JpW7};pxiljz%|W#vB+u**^iP zzrVkam?cE_%k{LR&Sv;b1Z;O0ooIrcNDBs{HIB>?AIJeM3n0K~`_DTC5DoMy+^6$N z7)mMhx|+j+ydY@-P|AVf;bMzBj;ihENba8YiGcCRuvz%ZFa=(8SryZ*9&loJ)(XR$=v z=a0+tng~x_9(`^ls@78aymg;!cFm_+A&{y4FYnEpunbl_iKai9KyO~0@CYb4<(B;K z3Oz$EiDZ^iS*%x|d6(a+$s&lOla?Q-&$-@tLZUq3a^Rs)%JHqjPx^E)?V6~&1z^ou ztTCQWs&JA4)yIqftZ|Yio@h5gsQi-qT3{oXU7grrE?)}WHiKI>QKoq&eno9Z9#zi! zsmD^%d#{&#@ZStt#E<~-r!SKqS#|9419TCqJh;Cr5ehpvoILW@%X5R#YFTQ2ff{xO zzA!K8y<#{QXd8p#;Z^qxxVnv}iCmnKZL9`CS6XKgdQnmD-?u{9y@d{{u} zEb!N=kZvAByd?1tMwZ*j|J*LXN8D=gEvAP*P&Mj{?OYGO*J|?WCObY$msh_*XvzVq z!rOXRoH>`K>O7cWZq}#gPjkG3vMQe$`}X?N;?MpR!HK6;xy~UYrbzF#NG}tY4p#1J zpSE53)|dSK;}lmkPm7`^5&i9~o9E&!QcLpU&D{|3f6%r}hvnYYhRg#`#A>neC6guE zw^+2W`7>vNq;AL>QXr>c0ucd3=z!`P@XM2`|w2bHj2Pn zRc-DWA30kTV{COO$~h(Y=TYcBfwD``C~f+wVa5HSC5s7vG5j{F-1`@i+13cc>d28N zYo+O)(q%&}YA|V06$4?;ZHl(lIanF+lQaWSinRimFe;?D5@T zZf6IHy^?AIlm$%?;T&?MHhBY@#h1q&W7bHRz`jBR%QK)JlY}PBscxJg@c_9t-XGr6J z#H{5?HA2JDZx!7Tot*PgHI$LUd!(dq=j{}`JG4NhrKN?Mk`P7-H@75FsB;^+yRcPI{2@4A>*c6Jm((Cf|@m;TUe?oXP+R6PE}`u!)UD=A`3r{5l>J z_q&M(xZZE$e>nA6B*ZRiwUzL)xA%BfKwL8qxI~N#hZ7Y8F(&x7p*&T z2!wv+r3Vx+e)q<1z{ptXvDvC^vg4He2WKr@{MH(<0Zp4B<*>YBzocC&U^WX3s>)q# zz6I32(q?#A8Svb_7{uoTpt{R1WGiZm=J=+Ow%M&&hO(e9LlRb;~3 zO)4!{H=A4HFu_-}58-`k?6kLAOeg8T(LQc;ID}pukcJKHt`;GDAEP; z8PBTvph-zVMz;)LYAdS}85?&O35a;hYv^@g(tvN_iVnSYW`{we?$-;q%1$G`LP{}W zZVs$YjoQ!7DX$~M^%LYm;*{j2cH|7lgg%Lj3fU~jV>+qWGts51F6F^D()+_sn;F(t z&JGj|u78@-Szg43J53z}FyAl<9p*oT&+C}vJ6hUw)B~$|k2A_AM~K#F%NaW3gO|-^aYYr|YZ91g4eAOASMO|F?OIJq6BJKT z%fJ#62A`JblzcZ|aG`G3O|kr*Xm&@E6`Kw`%w6$VWwFg3XH7%c-UTrX`^z;E;GPCz z2SAl$B3b9|(HYWo|0J;lg!}i-+Y4b^4X^7Gpd`mdLR zuJhGpKJH>KRoxd3=^5+dx^M6y!KR879Go~kX#8_mVI^V^s6=|LP+PIUi<#iYucl*F zO2c1HZI9MXHQo_%GqU~L$mm=REi@2SPcs8BHzCSGl7$@tEq8VXu7p4mW|>~+tE;L( z;9gtT>lH%V)>UdTo?6wm)YL`JQOfh})OIk0=9rI_4YC2b-6D7>8)}~E-Q<}XfeBNF zuRgOOf_>p8DN=0U?1gihDBd=kuNGrP&JArRV^@lWpfxx2E?i{5?L)iW`=%!*M<;I* z1TkBw=EaulucEG+H$TyAub#9TP>P}&$vv5S-)D95BqXD#oa$J2cnkeESh?ZZ8NiMK zVe=Xxx+cugw+okuNfMuVZ_GvZ)khET+t)e4A}!Du0j`t7jbrlm^0KB~fpHij6tqWo z!MFoV7K@CJH9;d!6PY0?Eut?0DX<~+SD=ebm2@{AoH{)8R^d9w?W8KuF|-E|0zlV* z41u@?S^LP?+s*BH4N?v?9vynr@okfb?cc=5P)R*N4MjHqTmdmmp8dd(!tfT}D-EQK zo!yxT7OAgqE8epeCI?Jlz##MfHmpDozjQb_n2-X{$8l!tcIpp)WI^4FHRac~IkiMynq-qe7}~?2oc6kRfnr{hY-YN!YleTk^zRt6vuM zyp1sF_ub81W-DCaXN|MLrs5r3Ry=< zLEs%G_CS8C=k!p&mi#scIeeYjBR({L&4IwK_YRpV2atAnG2V0{qDxE;xz3>rZ$9P3 zj`-21c5T#@_KyxmeCcj{!YX`)!_H1v4=j9qDGt4Pl@my2wAfH855FZmHL-c3$I<6NPzH+C0QhJ$AtQ(7q=L!ngrUrpOLC`FdCRA@G%eD+`+{r9w#HSWeqVPUbJV6#jSb@wr_vKKk*2$jEEYy&BX{SZ^#`F+*Z?Yp z9g~ioeEMxH6_b$goK{VSJp+>>Lm-?QCy6*}ta_<7x5v2uWq(>v#?{5kqR+x`uGKjds zKbuUC9Z$af7UBx5N~}KGo0-k@7sH+%n0=7OkgWzh>{~v82Sl|k#y18rrUKy=lBjOi z7cUU)_oD&(HDPPoTPrPzu7NfO$eOmF$br1&^mFCmk+TlfO3Z-=lwXV4scKHs(a~+? zs;jFndc_bPnSHLBXAsq>mkB^;e<5WkeDLJ@GIGs*Jj8`(n-`C;yvd2ri2$X>;^$^2Zcy8|ArJP`KU}lPadr ziR(~hL)Pp0C3IV4#$SpD_WaV7R9MQU+y9gzr*&2AuO2U~!HH{2c*Y2N4PqIQyt-be zbus(m59o6f%Kf|AQPX?jYm!)k)##yTdE3))bVYv}!TnM8V{7|Y%YD9^K8TToKWE6v zcdP<~Vg*(K)kcU6_JL&$UfHB`@ci>c++e*M$jCvBp3zwj-V-J)V z(NYA-oWXr?yC4&$;@x?a#~^)(nwlC>ix?JcH&^s6Hg9C2o{loVy=!#`A^?Q*qVDyLHU_YfroV4 zrLrRSa$5iZm8{;l_$E9N;J|^ucbRvI2HZ+E6O~sO?v_FXd0xaB^3pT^NeujxF7i5Z zI5Ofs=L(OG#}-ENY23*pQA5t_6tlPAQ73oCpcY?*83@)Jwlhb0Xf`?PH>VZ681Rl`2My-jG{S$c*bJ!#wDNVri zdh)4%QhPq_mBfID*Q=|mZJUUkX!{mlfSlGJ9t&JmZJBGO@F1e&_nA57mZ;l{hZ#SE zhdj9y(4>SNQvu65L@K&Q#(izN-wCP%B=cm_cDcObnf&H*wPQuJfcm8zyFcSc_>IHc zQ;4v7ysm8Kpx{^NpC&Ku4l5a=EhZn&Vm2=pXP4fn+IrSIN@uBgO<#Od= z_*wz4{h(y+?`~}LcEY+Ov(8N>5zqL2U=h{If=P7++kmZIg_W|RYo6E1Pw<~9UHrwD*uc`x`}Vfxr$U>sk1vOQ#uf*MB?R=zO*QaWIrn2P&%$oiX#)nJbitdR~K zMhG&WPqVv8K#On+2v{qh?4EZ~?NTG=*RpK0ss^=@$u++P=0GU&o5R(*{q?Jp!Vr>c$K2eBY6SYCMu9NNMciA#=jpqrM>N4p$G4 zm+)9VY2+016OGwEmjj8x8QU%6QPcaq@UQJ(m%mFo7cGF+F&9xz5vBD(>s9AG)d{*x z#2KU4#PBe9bZt zG+@;SHQgVsTjIp^>64A!^5Pk!UJS(3Dw$0h1i6lU?f{YiEv5mc32P(l@OHIp0D@=1FB2`q`F13ESHnb>Y9>O~~(@ zJl(Cr8?&f3ZS)I+cI0;Elvg%2M|If<1ysrKf;nfPO4-XGW z3bN!-^)26KeZNHPKspKC5pIxzoPRh@H5*jNzu6#vvZMy;oF@+01m(5`x!%?IWoUkI zb%HwGi15sq9v{84o7;2XmFtKJRyh7ZS;z4Q0Eh=`AkNp+F=G{hP{?7XLKr^>AW~c> zF0>pp*}(nXhNvk!vDQ~?0k1&}oDyb4cMqC3V6xtAa!;qJaKS~xWr(JbC|evQVF~J- zO3LJV7Swg<&PxvPa~vbar&AT-6Yz5vPcKbw6NbFQ2A9f!1zD3#(*o0r^4F zL=k&!NpnPUG7F;SE$@e@2|=Wn{a{C}-LR}}JMKp*5T16wIM~`6h{@qYxoOOB+ zGRiq4#F)4|z&Il!1dsg;gWG)sAinTPCvZ~f2Xz8YhDIfS+!uvmE^25EzO1_qL^Q~7 z-0F<2dY3%vN(u@=ASNa*-t+YhTC1!a7J+j1)2&@x^YTR#7;|a^RFOPPXTo8u77Kqx zl)m65d3kV5azq+A{?h~h8!g&K6y*J|7U-Uch;y10W7)T!?!Mc*=h9mvlGruU&_49! zh|2}Mbz{4*t;1p7)q6(fX#eB=lC%f~IM_X*TnTjEp>-B8jMZ`C#i<4I5iC3U5x zr9A<$ic660e!H_e9SkGXZ}MsD>}`z2pNnlC@K2vAgX{J#SDZrTw#<;0f#vkjFBsnT+N&_htk>JQ&k(uy=46m8i(554oFOFdgJ2830hK;{Tvj-X>&EMI{>FEQw6HSEQ@3 zVQ}d84Jbjpd7ROcOT>jjb+fbP(wDOBlUK9(jLJscg0wrl8oA;As5$>=@ONB_;*I2` zpWo3~u`mdVHf?wm{r` z%J8>?gAC3qoVQ~$5%tD@EvW{*Yil6APU|>961Ma08~*3PYk<1j34bJZVNP+_@}re) zyiX>d!FZ7p;%}lxgZHMZzFjR3Ji^9P;_wJr!J~Xu?$l5oB@%~GZ@lJ-iFC~(86XFH zW(}o%Q713+&+6zQ(HOOa^1`({ z+>8)M%Y}z=uXyxjxR5~b+5yxjv%4k*I{nz44G30xYGu{IJlO>NBtlTvW1<6i(+hS# z3niNc+P)f^8-zs!|e)q7!adaU% zt}ikr)-9pXb*%AK5Yy7Q`&r}l`dDwyd5)#i-+`B(=YuPz?ghX*uA(GHY;=W`-3vlj zmhP1GK=YEVL58~n>Fz0}d$Sfk1wX zgCNz8<#WOJU&iUPNUl^%ZFpLsuhi4mFFDd^yPeg43tnX-B3nOqy@Tr5K6H=k(rt`5 zH&}Wwzb9dN@VsJ&NrACm?#={>Z3m8PF5mX!*tXg2=;c54T&Pko+QlgzuHc+j;$VI& zep41}*F-rC!Ow$-e7*i@H=49^F;!Goe=)y7+T~x;tq~I@Z%!RsLVlBf`FzCFhQ!EI zZxy>bNif-AduYFSy!#~I>I=oBqvPi!x2B1SNx%Ju#*W#Wg+)a@N@5AhkmlGXr-_Wy z_azyl_8EVBu-9t4ygj7S=-Bx9T@4C&4Q+AV=()Pu=sVGX{xQp10K%2{=Erc=aojrextJyx#QD$4rm` z5~JSp8HfI;4{Z7scK(nrd$8%if;lLsAn3A7fSa2|3r;+Py{}em)qupzQp}4uwX6qG z6}I|uHL`JjFpYT#=v*DuCb(KdD71i;I1D!7A| zW5qLReshdB5WQ*rN3I}nFZ2rTe6t5AQ`m@L!M|;GxmNp7l~GuDAvtNtI|q%Oo|_{C z3gKq|FLO7CkD;~0Hm-cBYNAKEYh%l?y^`W-s+XUj4VQT9I=SPGD1pvXU! z1w{Ph_vJ`pml|fsbm=*=OKO+YkbVkJ5`bliy&PnYRMFm%zpDpO=P)A(fJlf8$GINS zSe=*O3%Tnt0H`#9N72aG7|c6a;|?&89?={rEoazsu(NpJclz#cpuhik$VDg-pwZ%T zq(*u0d!*Qcae=F)~RMu*Mt64eW+w7f$BAv)rlCK`Z_ zq2dmR^AsIK9;#wodpJ6hyp}$qR=8~|YZluq1FSc)HLN&ayU}uA*eRRtZgh3F=qjyF zpB+^*P8+j!!dS)5l0E@@3MIuwgxm-c5sV7vNMwB5*4Ac-^tE|#7o$JCbSdKAIeI<; z0Yj%?v_)4k*xv54AR2coiZbB?25cJ~6{YD7^=dSJ4u1PmU1ZPp#aN@$1_*ChBgR%F z%SMvzVK!3fBc;?glT@FcZI}V9mx7TXLagg|e4Zr(N254|Kx~v{oKS!qqy=QE3k#o5 zmDZ9lg^Qy%`!mWmg0m;Fia z3edS)ypn6<-9KZ4cqOfAofa1=e?{7 zy6J4$~C84@q$nA(zp$-SRr2gwEj!Uhk30x z>}|BNol>9eI-b4c35Phz6}gHb=Jmw07{VjMDm^|a|GwbMAu1U`z>o8aAfn2RTU-Ur zi@qClQ65(e%d-)XbuZ>A8NID08MFGIcopD2p)JtLS+3d#d5=RQpVv$DPQxB)z$l95 z6A|Rr>^A!PT)(W`CqI_AQltx6rmB;{=t`s6+_Mt<_gc+1w&>cErpCs05GH*dw9e;C z9s$$59{q>H4VfzB_42brcym9h*=|iWZ25Gb2XVqk69vbjqPi_bjrG9xmN#y5R^G#o zY2Ta*L>3XCdh#pet_PZ91J{_Q`q$~thOiwM>||eN2p9EWRugaiV|+h6;Z_o{&Bd$Y zWGox8VXXxSZ)X;&1lU4@OCQD^q(8lO6@D~c{t6`GLbr*!!)ki1VLJfI20$t9_uu{p zkVE3@lN$oyGul2r9&_G|I!EZ#V=cn;dvemVw3Bch2Avol(45VCRXaSMIp5tWK+r9f zp|SJ{&$2Q4#(KFeS@sdH5@uAh2;HMJvFA5kf3`7w#}6iR@6QVaE?IOQFduJ~OJo^L zA?0sh0;>3_KGshz8U$IvM93e2z*?>WHeiRUhH3B_C~0#Id{%B>wE4i&--25qY6D=7 zy#7zs()GBNw(0EJ{8;mta~d%+{>=qvMGKpccRtoZj5ii*`^PAzdEgPcNVgZW4P{LW z=o-!KT6+kEn>gx^bvrXnXI1X&RtB5Lr_XXOVP;_NjOEVn_zaATq3y>JfppA`nrY1S39_YO*BwiB!DbX<8CEWki~qt`A$|m6_&~(h{d9z04d&kV za72k>v<9vnuuu?##gi-L%TLu%W_7x8_9d-3>-8<3a1fCfm?Mt*j@o?*E%%wvg%TlB zb@KnJKm4?Zoh!@iQpCmc}XZCVX>}_zuQ?;A#;PEqBe<2n$QCMH6Ok z+R1mOkNv}$hDP&B1jL1_ml=w(AEugW=Fs(`P8Rdy z3cr0;!S1o^Vca9b>+D1Ja_?_~mD~%6>Y$qVanGu~A{Lxo-@_P8WOy9@jeq@QSW_68 z5P91XUDX3`U&eaMhZfN6!|}ObTic00MsJ#Y5mEuUzFdu$u@y5g?qu}(;5P2q&{pg6 zbG=%BD|^?-0st#}rw5}oOIMbx77Qqzf4z;oOe%LLp;h?d8VQw`DS|nyUTruj7(GiD zUjs^=m6esLjg1W*r#l%T6u?N8jYu+oB9 zVNzmekj>J!&)$S#Mc^8V8@EW?fneDl{aSvoDZs){fzdo%kdHa^!L@Lv2^2?AF2F|) zVAm3sviZNcQQfrl8+7Ej6yDj6X!|!(XxRVUAC$}`Xk=n-IhUGczBbQ7-X;``nxk$` zPG+c}-nAFETYhkMh0}zk=(rorGRjPyJo=&uh7Uu-5#Q8`W9Z4C&vqLfP_A5M@_h4@W9L;?{~;6g2VlpNU8tp%BQmiomkff9DEHN0j^k{ zuKy*%C@>!z;mJdKkcQHu83Zk zL|D|%m!jC7d;zDjn@`58hheITy>PaxvuuMmOYAlvZV~xXS+vQFd%}gv?6Wp`Cy|O= zaV3-)Oub7Xxfbzv6HspmCz=F?7qHbsh-jo^V~S*^{ja~GQLnhHM{Vq+(hTgSLaE_q z0oWaODB#Kq^ztyXth02Y>}*Wr>ZcZlGueE}Xxl;JF@EX3?Y4$!%$u&iM;3DbH1Ibb zvo};!%-8pN7;uzQY&aM4Bq&!IWG5(drjTUF`XUuZ;8wu0COn3A7>c`LvetE~UOs`& z19a0V&h7#t2~BBrS>myLNHVQ7h>D0Kr^0G4X zmPnYkq>mhLDvF3u;zAAoe=Wc{z_+C|>}gPNuKF}M>|ys9zqq^!whoaWyrNAo!h!An zL<{iCzw#n6@E{RaL$0kAT4ov+&=ZH^0oXYwBhX}T0H2))lA|5Cd#L;sKY>iVV4mYt zj^}axB!#bs-{N709u*$Q%i zq^rD_{CorUI_WmtSr=~a@{*Ws*~juCGZ-^*a-Ph`f}V!4D#$n5Gpv}u1z>Sg=@Y@C zobiiIibaC6p1j!=MXyMr+RXi)E?OmkmlDd`9bp0b2-PMK)4ct{NjkP7I0*fpMG`gg z`dJ7-sX&~r_7XHtu%Q6K0Gk=k1Q@9Z%oB7`!}NKe8p6g7 z%etO7i%{MrID^p}-Lo7JQ?fMp1<6rWQ$sezr3q!ribMr;DA))hqb4@V$R3RxHBk|>Q$?u`wkx79%b+c637Pf8{|(&aBXe52iB6& zr^{B?w3SuxA9PBa&PuMwdX@DWh~EK$VEa_ua^2{&27W`;#rAvaDfZeym&wu{@~dmi zZd~aAdvLe=dwshp508B?6j|{5C?!-%`9h%S@BahO({DP~; zhqt3bqqcxF11cJvE%0!_JF8HHu1iR|a!oh3xA|3iB6`mEtK!tr-?9NTS(D-*H-_Ba zykpxsvdJ-k4s>K(j08({K?C z+eBm=TU$baG6U8O3;?h?Fsr?1NUF#>MV;j#f1H5X9^n1GcM5HnS^NK zliU1JIig6NY$3(d<`wM}Qf+gph2XfK?c@SY7@^$Jim>Zb?%v*B6|vqU>k5M^Eh7LT zqp}WR5SvPXT#^cNqL1A59w+WNrTe{WajryY`xEBhNXqv`(Jfc_|9|U-D7e9u!#S;W zU&}p-Q$LH&Sa?dt3ecUl-b8uLkE)%u!^!h5l3mY>3&@2r&Z-k~IahztbvPD$F6jB? z^ybVZ6>cM-C<1h?j1giUr(Jv%a)!v?BheKwS~5X7srxM|X!RFqlVZW-`X8?N%k0A! zw+;>(z6VR@U|i?Qo_yd;Qw6cdEQpp72(bN2x_Gh|-aDTmUzFE~f1E0MZce^cLRdbR zXrHm)wE1jhr*65)w1d&00B8W;DVf{)pm#8e1Jf>Elh-DG)1GgW)%qqT1#)`0yHXx- z{F72ZZvaNCgt!ay6(4y@b?kALgCGztftdjmxKN40v^ro|nMQs|zP5b?bIzp_sqLoQ zn?sSPYwA{Sncma-_a?K4?8>ul13^jDrax+Fz_LO$OjmoBL7ueJp%)wf!?f8CZ!Ap9TYdH%o87AC$Qv8ONf4>!H+Xgrlqhq~8i zW@g?+NGti(jaRylB=*13vBleC`L{EP1VhhNr<`L0YK#6Jf3EY5{NKti=Cmvqo9m+l z3U#H@MwN1poxk4UQ_!GCFFd+rJx(eZSCr&-d;E{}O4j2JgDI}E02db;tR7Xf&;o1; zxEHuk=9PCl+9)m@rO=LkdlY&OgTd%jsY1RN@CEPhmO{Jp5BHPQr-*f}q?ISEcTonJcptSkTY2c84)oltk6`ajZNMCIKYufNEUbN=^em8$IEBa5q#g{_5R)MsGyMJyrV|s%7pz zWsUT%`My<;3-{FRqTMGqQs>Gf%?Nn0)hk1eov;DLpgZ^qAY zi0lkzJDwr|s11&yeN5n~)lmwv1{k@rDBD-G2p#$oSQGND_EC9^nA$sgyKs1G>VM5- zyI{r8A?6uyt{T!=hqM2G-UN02i`n)zPi@_Ak_;3eorC;E;O%FAy%A11-%RoI{pwDd zIa%zpSTqE0V`qO-zLzS(dQ~U&t5gP>Ab)zZhFs=G#_u#hl^EJG_0BJu!$X4kJL9nMC6R1ZW5XpIA9U8#68o01P7$C(V1j1p(RuqM1_Ad<%$e?*$m8_X_Ihj6ZE{FNU~Ev&V5&jSNVcfbzPAJDmP}?bDV}P5){` zC@1aSi#CfCP(ow!ks(Yn(~8lkyG@Dtl6%;3$-?3blP5;ur_xLp8dnhKlYG-2NsB|5xb{g zBAVQ97cYi!c}<6TP2VsXfl;^;w*%n0RDSl!qlrC;ID!4XiKCH#jR(rv(9m#yF)Nx6 zXM)jnC%y(t3d~L*0h4SJ0D<4+`YiNjDw8<9>)qtwH^3JKp6vzR2k*rC>Du}XWFkXA zqPx;CZ5UzWW~Ad3R1f=Wo=p*KCyVzh9b^Mf$A-0fJ`il50JxW%)UJ-NcJcDwErZ+5 z1|9+Fqgzq3Q%jo7s3_u>2)?&1COdA4+|@5%Zsi3Xh5t{s%%SBhWagfgotc>2Ft>eR zsNoqI6vuE-(eLbEpdVMj=#xBj^T-DV^yWQc5}t+%Q8DBopg|{9A(cixgxFe6F)QZA zjNQXl6)DaGH)`K( zn3Rznk+{1xtio$x-CE!)`t%Mp2k#i+;6s&>nkq3*w!A>qZM=72JYB-~=*kSme07N? zBd@$KqC|hMx|C@33CbA<2Kj!#=Yix0LLaa_4DW-U$nVZ?rZ>HqRL$d9#@=&T{iJZZ zD^}0gwCcz8q+?P8Ytj!e^U0kRFXr!nHJAb$bopuTt(&N>3~1vDLQ`k;qE#Q?#0g5~ zPT;#0CiWm7#hySa4eVU`;!$Via{0r>s~{+g3xr6jBee`7bTLo36P(;QULv$t^f7j0 zMAaRp*a4Bt#R=soRD@6(_BODwu;^bF4>=-f((DE%^b}^6Gaxp$<{#qPBQdMLpg_`O zeI_j&!b>>yvKl-2t4%(f9S}gsw!>L*>6zK5xn@e&fRdJc$-fETy~L&gBsBl`EXSIm zGwUE57th>0GP|yz?Gm7iyz-!e^lxcf=A%+ zw4#tdt`k>@WJwowbypDI)C+0GKxkw;2-)w@xGN>WA@LfCc+INRUq9Fd(~In!=3USbjLOo$wRLQ zoQA2|ptQ?;Tr3)eHfwUE(e!!w?2me^>$^K($V`cgf2Q#bE~2NPktJANR_Lrd3ourz zDZ~jK;8X(!1IQEs(?-xHS4>RRsydAY?!O9r4I2(Tf4RXRDK)tn%_iSo6nJ6_^|If= zd?LWDpH1NYbxB>UsJ{i*BgjA5od?+yf~Rphi@#}i-{qE1J3af!e>-jJq%sKyp1*f7SS=B+l5&zqvwMu617~bDsw0@d(!G-?^)QNCjbtc;uX*L!r3a-Qy z77W=`j21}Q5Mh^pD@R1InqG~`rU@!=UMwv9l=>+}o$J7b68Rz*=JLGU=tZ z?wKs-UqR1;Yg>kF{>6!r1a6Sfx17yH2sn9oATLuY&jZ*1J~Tk?>_Z=1m8A556o$)9 z#B>k3$&hwX(lRn`dIR1IDq)Djh{I?q7jeK294VVs4B6WaJVl+gSY`OX1Se(}v2~#D z$;xaaz#y6u0jS?09g`|~0-`p&*hfTbv-J0ewcAWEgodZpfOth3iesz2w&Zco@?=ai_tgDWQj}yaCCZP`VRx zH`$dL-3L#WV-vc|{~Ew<2+p#(9lzC?8GQH%q3bKX=>V~h)j4ON(H6rD+Mg~jlS6<% zq!~BE)`Z#}W*40k#z{Oy@{)+d)dYu+dq0ej!*ZaA*8R z7TXEw*z+mskq294C!?~o!fysRAgE2xH%#WD!~1{xjJ z42R|fE?eW0^|!qx%aZFZZo07#(e91WBWvIgX2{CnFpUiSWuI0t14SXnJ}ASAo4_9b zr+Be!>S>S3rpyHQ2Rqpg+d=<^KdVyT-_gkI>R`%{Y+lMd*Lp-ervh9sf zKB)YmN5YA3;M$WrJKmPQNKSaxyNO>I7LDZiYqZShbcqp-((Oqib(jiDS%35urv?;{ zdBDLuvH{8rODX@@?~=*feyWz0({WVUb%xgTJHD9 zfb|2^jH{OVpGn{u9}a*sAz~W^Ip_h*T1oO$a-K-dOdq5zf;LbGYsO!0%tBvVf1jM*F{X#J*kK{6Hjf zFfsqg-V)4hc+3dyxWb5{U1{BGLS-X_wkOp!o1N0OQ^6^ZXgNJkkq?fBY~p3Q1T&-6JPBZB7A%gom^1_7i6?iElSC|(g`c8h?(t}-5?K#2!uJmbi)*fVG)#Ke;K zZf4POlW|Y$-bQUZ4K|}*qwb4eIQILyt|j-?bpt>|pt796ZL{DpX17F~&~Cqc@xz(M zJCsU2vFYEVxfITvDOzORY;Y@Y}M)>S1tExaS1P{v@PRlgS{cS+Y#)Thg1xvDg%M;uwcbkb>_Tn1c-81}j8tdimv5M(@RRTJX8HqF%QUB6~-o9+dUxbI!?b9gc}O z(|*6HsBV!WD>n$lG^}G>FaxwG=a+WnX-`yRnr#c}c}4ubxSQUb%Dc2*`|j@I-oRut zQIaooV`Qg%1d-Ip!wRjv>T^p|=6$y6~@;<}@-jl@@L5h8S!kz46M^ zG{P|VCkA!BFHDrycXldbzC)Gq(&@$R>342#Q!d6ntqr#&G%4GUZ%}VkWfT`408L@( z92{*n-=N%wblX9LsNi7mCEU;|7w`e_9CocsO)3%ldnJf$#%U-)LI&^h!Zs8kDd&fi zwk4xF%q`0F^SUsfv4D^nEEPvGiAUF4$$bInp(6HBO8yQnH7@mb^u=sgm&jnes(32} zDVQWdSvVtqIxFu4Meo+F8)1DPUj5`fs2h&JTIF_Z*3DY=Na`?+TkD7J-uhz0ZWjVV zB3z1stv7Kuraa^H@0WL(7T#1&Wk~45{*iEJi4BfR_J3tijU4Hwu|*HU=|q{MWnM|_ zzDfIKZS#wC;KSl)?oZF?@kTAh?}?u7_0L{6$Z+%&f-c8puOf?coT$bkKs-Sb1`qlS|==USO#2g z$Euwn6N<)jZL9_2`ryEM4H<5g*-#RI$htR88o|G7&0ALHlD`YW(%H4~x@vbUJxq_n z85+?&&o>SZBtxzgcM2ld73xidi=QRAj3Anc(oQo@mohd0?m^%p%ZlsjE?m1ME!(bK4N=3hQo=WHjb`N@)&Z+p?YYhh zZmErw$z!gqZiduty*!=>Bgev|fcxcJ>j8=TtRYHQAMrnPPiI$3S6!Umd>W#Czv7|R z_~}$A6(}~~DCU!Bf{zsThx&9%4;M`2(f&a8h*U$DgW?WsiOZWhJajQMW7Vn(TE>jT0QAZlm{5ce=gG}K31&(007nFbw9Tc#q%817mkC~%TDEnWGapzQ+wzmOIP@pywO99bT$_Ew#XZQ*z>7Ya~ zm&=$1Cbk_g1BXg;D=QKx@P2#Z`orhseC;`4K-ebI8S(G6usVtp`V`Ly^L;lO*8Qmf zZ<60{p?XtUs31wZUs$OMa!3oQ&_!S}gnM(u<5al%?Zgs?Lqc8vfdmv)j@C~?lHhYb z()aL2qSv&?WD9x!J@+#PrcX9!6(J4SW9g&nvAq~x3~?q!Z$kK5FVZve8VeN{@dFk@ z>dZ9Z8UT{){Pd^^adSQR=!FlHHI}sfR8gqs&AFR4`K50SKx2k$4Sy+0Ia0jWzvf)F zXhd>Re>NG;Z`aXkF^ls~eCHL20$8_KR`}S{6@N;uU!#xs4}!XUgrYN*+uT%t3p9Zg z>I&(`^eZ++{HG_np;p;~Tke!I2|{WWQ(yvE^EAIGLN;@62G%V-!{7SN_RGJ=$BBw% z#L8gCE96WBZg6M#g%tVCUw`<^ec6M9ChT=uCaED{Pz~|;SlUpXVGfJWqilq3Jdf4!J5U8f4{Eq^WJRa4EW^ zvht;_i8s#WakeFJ_Eg&;ahR1*fkU#lIju-o;ZeA>e>ku^E;aQo#6ET7rv<{jFf#6d zS*ozNbvCz!WRaEC6rnY)eUv$(d;ZGPZfim9ukG1)AW$!>woItyR{D@@$jKt%#UD$* z-+t^ym|S~tC}52WZMpLF7Q}nry^*e*zcPYsOH{aOjjh*_G6G&fZ0lY$rBUCdb1(4W z1_t-Ir1&SQt$XadPa!z7uI$|}6+kIYI z71N2>`^^gPDd1}%;U4=%2ZEqKRgQmbEqt8=A>Ro`|HPzELGP1Q3!)CVJm5M?BKLtl zfBWSVhMd@e06{!oxOTxmafKA>zei=yk7)L4p{<6mfv16s3XZy8@fX6J_4sh@=2(p@ z$$_6-X&`JWX*SxgKdWQ93XYsNYT3xZ}Gd>5@Ie?ljJJWW-g!SeDy=vy~8JJ`(ORDhNb0Hs*gaz~0^jK8T~ z;ZCN!^dcs*yZ~}1^@1-Sc+nX}nj1r~48Gx(0g6mVDR|yQ;6#!&`YOd8;oA-ybHpxM zcca^$DqiMj7|kXfcw1Li1msqM_@4rwuTJkZ<`8#z`=CpC7WxTq!KH@t-T|~=OZJ+h z(y04*;HEA;78x9lo))$Q{pQ`i3@$rsEAMLFhTGoSkKqqg6p&0NY zy<4izQreQ3n-DD@iQjt?na}#@mQA3v)02p5HWGy(Xb$jgz#al4#tc4)0#3X&tEv0leDZDGH3ltuZ}Gvwqg$XCi!I=`g5k$&DS;=MJA;OvRP= zch9%>9Ukq-!lwf&2FN6QZ?0we<%VT(FK>+yotAsLLh;%TFB1s@Qqcp0qvZ}s3v|w% z9b}wY)9t`5r)@W+=B)2ijz+AU0DcaV@A~Cp+>deGMI0&QMblFFvZ0mb%#47kc9Ki@I?|5^YQiXROgg$0Fam(8%UMdV+#Cxa%7 zx)wgg1uN{Ix>7}7I+rg+Gp6?U%u*;=B0M&Z^J@bTO>iTvl(kL^(gZoe24(kwF>Ek| z6n>|wKgQ(z2j2EqpHk=5a*}7i(_{d;OW)~qIj?A@0&Q}qK<5q^G{tyV8PP#QM5{EI zFk$uP=4kdWvzxz>k(%*QTK;PlcE&S}MQ+}!R+xrm*C+D^M`Pg5w&1GaY8^?xmF?4@ z<}a{*L2v(RxhDB2=Ux-U=A%smStbvMt2SWvz{O4r7webaav3n^xP1Nf#AV+;eMwVJ zu~CPr)h0M9Abu*oYd%5D+t*jx#ZSOw**RgFzzz3YbVvg(XTKk^@f4GwUTw>IDv@@9 zl2=J#@L=2zh9&e6Vn`p+_5JrmKwAF3a+b+MuDF}C%DkE3Kk@o`YmU6d_cc2nN9Ic* z;o^s`hgafLuC1j6=nEjp+OgL5)A)x}?0nRJu4xQ99~kFsGUP9=K)G=B;vwX%(glit z@W`~yw^iU4V2z#~E-$voFKzahsJ4?7ljwn`x|{?uGBSOa)jJlvtjp&alA87%-%K_d{MR9S zHg2;RH3f_hhfNlA!SA-}T{eoULJg1|9*;(myxztc-^axOF?j2 z04ZQ0l=P5*H|>V1LJ2Med9?dSnLr_sQ5rdXJwj+3gm0@h-si5QwwM2% zPEnmA*aG(d!(pN-%+aksAIq?II7z&D-5!&jX;037we``J5}&r&CHG~DCzPYIGBPF< z$6JX_Ai@5&gGKB1a*)uA?18KUI$?;SfvBdWHKhgWG2S^^qYko9 zF1*ZKO=0%#^p7cmixIRyzicWVNXKz`UK1g{GJp-3ZKwRxkrcn+b(1)G>=e<-rk`}?iAYq+6VexsQ6gN}N#D4P=P1s!y=dQaIYty+=sBIeosp7|;kRP@Gr|HD7e(UG; z{r>2H=Q#gU^NT8nYbuQ7ZH^XRU{clwo_ues-Y<;^zV{h6zNCx2l(=~>&|$+B<{R8x%H*;bbTE@cOrM3DYg z?QIw^MoA^#d$Vtx#Dc8yA2B6DhXMOwNMCaQ$!$m)pRqNigeBg>n|9td%&0pog zM6LTdKrB{JescFAN*9htYC_W1K0@_tf0uU2u_irDBnriJ90MjG(j^^FDR(nLi<0|NUMBNMjKEdb+8as$bs06l|6U6}K?lloKD3Lkr-6cw%uFP9gV zdlf+a>zevsgx?6JeX|7~m$0O?2;VQpyEK^y6*ROVh94C!j_DFDsXJCk81QKA9J@5UB6%?9KHhZ7rX!v z#ieY2uKOshY@XVK^pKNMF442Iyd8@AzBNnD(GQ&4O&j{S(%KAEP3w_>FA*QTyKlR$^ zst3nrPJz~O((d!U*iMT#FIBaj2hh4iiN_=M>;F}xp&`|qJ-AV4iEOucTMnk|;6P$< zwgBgh>lE4>V{Fdz{e7Z!CBEQUi5}nWb#!wwp2(iLuHy@PYvv{;diK9^A3h%^#gdQFJ^zy_wp_E6zg6Ye`UDPM*`Aaq`Q}3-W1+9y>UF zQdII?Whmz@_fc^-==p{%8F5`fF72F_%l8x*-F)!&$7DhX$rYOJJR!+@+Zs7q z9RC$7Z>TK2@I%1{d(&!uD<9*Qae;+S906J9Ks?=s0u7)l@T4je%eRJ0yl~JOGVUm= z3BGxK?h{L_m;nrAX}|3FzIieiJMW)YyJ1;vM;;^+F;zn>CI7hedL;Sb zsM>kHyJ!hiI$E9;$1$kHI|7jbzQ7SXgCG^1KkyrI1Q#ax7Wkca_+!FHe+OVtwv&Fg z>7vu+A}6>OA5QU58W$yE>M8P5Awb?YvePBt)q(c_MpmYfw;nwYFj%mEb79H+x5t({;>q%$STqq9+)pjvG+ z7L8r0x$3zXRN|7AWv!#YB3Y&UoxI{t#)DU}@`Eu$B_6y{_p8b1$O$&R-{O^obICEE zzp5Z~T^qr^*YWNZ^r?nb4!{&9n_XaG$R)e(W#^eTHaTQH;OmjZhTACg(0Rj+9(kXD zy1(Cv@lNV*Jfju1A)BGxVT!(*B3CuH%tKZS+M7XE)cKuvqt4BqX8SLy1Z~xd?z@J{ zR(roMd!X4SAr+IlUo(HAZeqW@hY&zjO~1wsEp6ZT!X zUjmtz@R{``WV+Q$s^!I39n>;84HR9QyE7`ZWUF$%IODP&AzGyJQ+*Ac2ZHNc>)sMZ zX;6pA*{nt=%T>>P+(=zQi0rS_)`8zAn_g7z?LsN#MAm3K>_k8Wi5hm zPvoMJ`HMOrm1O58lb9tWB%1Sz8ktIrZ4yN?n|HYpAn&X|yg$ki3eqDRw!Y}#66?zz zM+d^!!nD0hgm^DopFD3w=^!fl;im!?vGAoVt+_&$oN{W%C;r~&_`uwHSHf#Sug9I* zPMozI`uE>}-CG&Rj$^BdXgX>FbD ziiW!aPUCaEHC4b!fc*!AIS>ely+`tHM6pDV0yupyY(W*+9rN)Y)`}c;;Q^AX*xSTw zEx{VS>IVT@I3$E&F8yo6;z~C9B=YJ2On{Fxo|Vf9pR;(Qo*$Jp_xrNipUw}ue=4ib zVid;#M~Gh*q;Osx6@?f>&_6&`aG6sFq+i&bH-~`q&82&tXZ5tTJqNfsYM85{F89l~ zS(nHq^L*vEFh8$OOt-=$ZZ-G6S+3q|bXa1HPY*0K&-IBmSS{f&RO6ou6PIIoa&nU0 zpJ#9lJ(UV#*o{vc@YUv&&q5|!XL(zFO>j6N0|h~UiLq2`YVaiQPbV4Hs&3g#h4_aD zpJy{gL_|w{WN~=U8X|waTXZ4sFf&YBAz8}rRyq5sPT=^@%H0s#wCW}evEcI}>9OM}7_-XKiR0OIAjxbAA^rWf;& zjVKMp(veV-%5zc0!|L3+!3jk1$bIi@6wL>Cgpn& z`055{*cKfk975)%rmk!;t&&A&-Xjv~_(gwGX)T}#T^*ZbllbSrth~k3b3tD zs8k4-iP^(!AN=rKhxE-gaOho*=z$OZ|ByN2D2meHXVl#$vN~Ubzs9xd7m1iq%;@gK zT3e22KQh(6=UFtET~;IV*Gl`Lcu|*)>JWT~>VssCVWbTIRP}_O_*45J{6)rSAANYB#)Yz9%|^ z!wcN8@BAmSm9~yiK8?q$c?4fX{HWmEBGKAX<)8tPDS|(@;vfG^2Umfjf%?U?Ur)^X zZNA%Z@BPwdcV07cIH{}bN9cVN?s$4L%c@A3++-M|N~jQI#9t+(-Yshf%BjI6J*SRb zAE6|X$~fD^_k?IHD8w%OR|B$W_*d~F^GA$#2OLf(UwbZB6pa>nH^6bW78XEK{66+u zLkbp1X$b5`G#7SM#Q4ek6L7WYlEuIomK{AOStDj7pS}LROKiWRGJD*-0F-L-xw%*rR17S(#-!ILXeIRpQvPLpk=y%Kp7i z_w)Ro>*|m0>sE2T-_LlzCIRIG+Trgz1yA*g^`f|FGLw85R5ROMIQoi}l6Nf8Ke45! zL=oN+C#JkF*mG+%Qc%V#VnAkv_fRgF=J8@jM@QdmHf0eqNJ^eS7;t_G(Yg0RjQ83* ztCv*Tg41xz%W~zZ)J&Ft7q-?*$#<0R5B$_~KZi)|?(;Q4$gA8{V~fSpSU|q@o=u|Z zbLAY*FdD>7?5!r~Oal^7pMtkuK_=D?n>sVm)ypfegTQPDa6GLEX`^CEuP_IT>X@n0 zT}y)OvW;Hr%e`wEk&gzMB!P}uP9iPY_K|ckDXfZ}1SoUaRpr63{4H$$jl4#=tkMa` zdu66H8EEwZ3ofeFtV3Wg&&7ki)TZL2$)tKzdU`q}=#G%PQ-|H0Nb?99^k95GxCaOn zR`mb}M>yEYiWD%zr7ho`{5P+`CJIgif(O^WFNzG8K<_KRnN<21y<0QI4;v?K6zKi_ z8Adk3O%9vWKpI`P=v{3H(0GLAq`L;f`8|O&q&#$4I<$-ej4(Ytt@WuL7R`UG2IY9Y zG3g>5{nNL!42)6E58BVlu*%#J{5iAac!!qZTtR0y&K2H1Kz@QG6kw8^{y}XF^8zMU|OxUdNX^y1K2&-k~ zjTWVOB2w|yo-WVgT(pEIT8O3BkhMZ$jRlt&?ytn*MvZGcD7q|Nzdn7Mf0U*1IrCV% z?ub9^-wGlsmJ>%8fn2+97%%*UQ{?~!Fec=BdruPYBGk_SBBQF5mXbmcxcz*ylwN3L zZTGgOMs7bobj)q;_-iOjz>wIFck^y1Iva@Wh<>)kW3?O^sdN)t@z39R!XDE+?jSse zYBP706bn`x#17_s$89Rj#O-EytUe0%AU^m6S$Y=Z*Q`Ff9^Qg_B7DI_=ws{s+xnL? z>K>zmI{h_MX(~Tsv(60PqiURjZ0~g-xgeK(ln-u>5Y!5T7RfR=00Ary-U5ufm%zEb za*tpF&W(rTk`na~lj)+l5xX)LrWNy`gk^r0H(t>^XC! zDd_nro@`^T!2}%>@4`->r3oo3vJ^g5jG&7yP1LS%IyNEY+DZbBMu4>F-48v5-ki<% zN6&2Mm4gN{3>a7tv5T+Q6M2?QbuOguDDgMq%0F$xRbIY;PvUrQ&pY(!)SR$%_I!mgRe;Gha z2+ZWJGJcXE8g8(AmMvHLF%I?V)ERYPHd0Lpl5FX$7UvCLJ$YxTihW~P-M!N%BglkF?6mT zG~<9l1HcJ?4Y26&o6NeU?`~2T{H|>K9Gw(I!pWi#hRHyAN!%K+wjB&?%14_P5V8^t z0n!bl{DX54*=G53BF*k+vvrAQr@PvC!RKRjDw50Nz4p}z6S^$)r@x$er9XG<(9VVs zd&Ry|5S#h!^Xj|m8{V85q}=i@hJ(I!OpGX4OJ__f`KkJ0 zb;P_kjjw>$Y08UOF!@(pDX7P8!dB2?#+Q z-Gz-yHfuCRkK3{W>F|bhVfBna`C|*?r*bcnGjt_s`c} z{bLT!9tlT+zw2KvsBcBuF3xUvas03mPOkDh5C+b7iC0_|Ah5!0 zPfi-kkEHgCf;a;FF0eDP5DK)HL9imc*XM|L-1XLdPNGv^>$2}?tg$I2e@vh zXYk`=xi~exy7Otz2|X!^=g#r+$yEfB4wFaVHpM_DCD{q^1DqLl?YM7CkNJX^G}^8b zEM?-}RV#$mc&>p7VFo9CBIbXzWO8r$N-P~HCBUx6??|EdqZ_bzm;&8uCT>zNT!==K z-hYb6J3*-RB1k7oizD=L)gLFpSA|+2bWRpRmsyg{m&R#qt!D$eB&`eLnz(A+8?_2_I7?$^;gpPLb|K9TRB`VYToW~AL54a2;% z^EYW!_zZP|EN2vOTZ@Rr4Y%8So`~{gDmV1lzIemwLwZd3QG8(``^<(YkjUy!6T9=r z?DBE7h5Wxzy?{ikeLOJ?ar>Z^|8uS@nd?L`h?hSS79AI+HW*yjTRwF!;!hLZ+Ci8g zTS4UP!Gr7%`W;^cpA?FD7iF7M_4VO|mCg(GTw7-*^Ve8n2TEcO!)$vhwWE~OfQ=5Gnp5Czt^Esvja>`~GJ z)%@V`6TqH5PJ`@GFvr5PAUBcyhdo2ZY{Og92(Vqj908&X_I7eIov#K5!Tk$@ASwpm z4n(qT+i|HI3|b6U_n1mRavz*9`z>#!awXSg%GOd&c@#Wb?facnH^;|8-!9Wfv8dgm zKD`sqrTsX5xXnEF+r26?KF6Ly9aF8%t-mV0{l?OhrQ*k7oOL{946;Nn*=t2A+Es#H zM$(m2CQ0WErI7)DYj(63M>)!}Wly@v8Za7OUbR)GH5USvnCpSZu^TRagc`(4RDgQ~ z-6(ARppgf)Nht$}#6Yc@h{Zt;32+Vfstu!loF&O$CNG1&&+YfIw$h;D#2PEyvkFT_ zU0V2|<|S~w(Y$A;FU@w03WHr~wS1EJ)kOV_-KD@FPH8mRLZbp#ka0R`)cD;bUND&q ziTfSxyTc_4{DZvYMMCN;<#q|+(wW!<7!dcZh1ZY#=)5z-9)jesiZMm-(<^0oD+@WX z<&Op#v&~8tUBM`>uX^o~D?lF2c)DQJDsE0=T6EN)pkkBO$-k@k`@is;}MoFG{#*4dXpkPyoG1|dlZnOFUcief*GvhS{E}qND zV5a&424B$1LEQ6$Hs$w_(w~UEqd5ODH=X)|sVs$64@S<(t#6*(CJ;n$&|zG}--K%( zBI2CwwiGy^SJ)`iH5%T2q_VY7hoawJ<2;qC{2G=&m8Tgd4;|h3?HlJ1ajINY<)&W% zfFclhU!kJ|=T!>+UJrJFNpBpU*JN00IH@1OIQh$C!jUCT1@dm- zLiMx%zBI%Mw!RSF*(M6lNLwn7Ao(?D&Pc56Zh)f?XQrI&XmteryGN^|Nh}*YIBi(ybf+p-QHj`K8T-iF#L2qe{D$89BjX zjS7RYgSL!I@EPp?$PZrX%L}qT5u~V&>bk+8elQ-WK#fHI^=+7BI%(s?kf!s@U*?@R z(%I7;6*B4f7f7Azj(JKvd|zS7`nz`#gwegV7$mf~5pp!cS(O=eHI}p1W5*))-DS`GroC-<4?6sy2xCM^WN_a!&XXDy{-V_kl!o#4YCsKK;jVmjzESZD zp$s^ZhQ(8(@<*vg8@i{y@V0=i#mKH^B8s&uD4Y}4t#I=FZCAGAax-68+NfuT_FxYL z;YDWei}5ut2}RC0{de8XtRM(3+2sx52h7}Fm_;}+{eeOEw(i&>8K$q{aX2kiJ%RnI zH|U~aA81VJQN9UkV?9#eD_K=2hs&_rPK5wulcIT355Zq31^f0pmQ(T}!Yl5K&T>05c^#)9_O7&s zB~d9v>F8X>mZEWIL5vgVmeRqyeWdzLoMFa-zxvHgaWD!!dMQn4b`lT&h4Yd-L_eP5 zKM|LdY&|}%U*RAh`1aeyV!s3Ko;N-`ZdCa5W&mH0nYc0|c6Qjm>Zqkr?rvy+xlFF) zG^gTe`7nVXYq_g>X%g@4ZzFqj%V{=zFYjkjrc8=7*?}tq`2_cL+;|Vr4iG5dRlcC6 z^N=pg5?F8sRta?CAZ-UD$A=Mn{Kg2>9(WDmk3n(8^}uif-PUQiV)j7o!itCbbA=I- zZ~j`OG;2X8T{u_OjKaS7$2Vc{`Tk}KL3crqcyYNmS4+Y{A7~rZJ9VLOYJeQ#g&sXY zG6GDekCh=QAi3Ob%e66e@LG;^4X4yY)#ww#v z3CuQ-3Hk{Ba10x!UYfS!tj&?lIe1i5_-9u~M+f<$Jh;A~50l;>N`w*k7m9Ijel2vY z5^HROe6hf7T|TPAm%GUigqmHwN=LNp9UM`A^;51pGL12+O}|J`vWRQ7%#y+2h9&9rSjlNDPKAe_*1=6|IDibWSs2Sc3IZ zc-tTgC&hjQj-|2qxFNKCTJNT`v~(q((;`*Obq@eT2^t}=!5P$w9yN8^3b*oJ zuf6If{P^ng^LCw3JbznHQ01z{K@5)4lA0R3x3_m9N~Bd4YV{y3Qgm>tbc7o(f`)-f z;JcttmP%{^6l6PaWU(a-IFB0VT3+}eM5eM86dK!oDerT_Z3yxsctoX2!N8DL(xq&YPl2?vHVmw{FnWY%xLdcqjt>>S8T4pM`s z2RjY`rvPM*&k*@Lg7tp}?>X28fNE6=_JFU~lLYBV@SeT!wf7dT7PPUY@POdHlyjw< z(Mju%m{tV$dzguN$yp+9PObsQ1ebr-ONn_FW{EGg%cr%ytuDYW7fl=k@>>wS%y0W# zkv}bKO2~cB%;h$KBXDyqLYQ#Ify@9u9DxhyCmh?*ntB)VtMGKgKf|U?A#wMIVVSty zG23#O5T66W4AlPS1%T^-r3PC(RCU1gA{jt1gem^aMz^I^ct7?KaVefq%7J(V;ZS2B zIc#3Lzla9iax3ga@xiXhK_I???cE-z8OBY60PR0CGfa1!%o1QUZm1n?+TTaO+_qv> z8KB-27<0lAdHYH@9z`e5HaHqmdD*RUI({J_RMlH|UnrV{n```!FFc?sQi*!f=j4L4 znp)KUahxAf7tnLrv8TS0OE0WyTi_1hor*}3*|=8Zwke^ev0hqx54ku|KJZPLBhFD; zdMa}m>yBg#LA;R2L5pXo5v;UZncZd3(cMVHhArg``kBSO$oQw{1cp~xcGdha;RNq{ zhTAM&3bA}?mvzzInF&PnS9q_4E5MnFzw$wr5#IC%BQ{?48C0~YurH3Pypyc`UbFQN zIB4L8OsE&ZXZ~?+^d{1FglO#()~)5;n5PCgX-J2XkSC*$RC@Gy$T2w2Ck7^}uf|GE zu6VGVU4>gMuv&Pn4%*}hpJq8Ci>&mnQ`6ubk(r<-?X103cb@;Es0-FX+FVWnlYZG{ z^h||is~I0WXT6IuSmoqyRJP@LlYXn_gNGgjfiE(6s2JXL%(?F|Pt2a2{!1|NWmZh~ zIu)H4Qr8_|SKU2de~$GBxIhh}_CZbNVwkm{yeRMKzF1L;&72jI zWoG3gDIpx7OX5wIo9~spL(bngP#{sdJbI$h{+ilXWJLBALp1vKDZ>kF0&WyE+NA~S z04~BOChob){qkZyOS>y*4z8JGen9!IUhd8mxEmiV<%+b|Cg6!t;=Xz9ZICR^C2o~~ z;RYjUFdee3nWA%#VtEYTi+;LvhV4Em1dZvzE()W@!AYfl(YsR!gB(FG$h%j)tuXqq zn+#NnkSrhr?|I}k5ds2uMqo^b*diE3Dli+HsT#NVHNp?-*obKcO2~@*23GyaxPv{} zny}s7-1&{JFyh1dCzdsBT7la~iJsuKuA$qba_X<(at%Zn>H^mv;%H?LB>W%oFIfoZ z2YgauVlRE;w>)3f`u+KO3zAlwck6d0OLNhqrxOcdWkRsT5y&29|=t*W%N; zXZ}~u*#a`V4fs$AUc7jk|LLG0t$2!*nzWx512hPn%xuT4uSD+omtgecMulW>LdBP2 z`(3FtE`>=p!MW9%AssHDCu1$^3hz@#qHtgy&el?zqHF+{?U( zpZquF-#36}Z!CGFQf=n}TWnKrZ_LOwWW>Qy{mYaR1+Fuvs6JWn#w)RMbNJDY?{JJF z*_efX4q5Q51--QoTMhVTI&%OG61GJTKA*tDf=Add zD1`FBKBk$0m444QEdtj4r{iPgtvekc|g=HBqpa-0B+h_wo`fyMg=rz!CCBwj95TnB4(QbE9zi5acn&lpRAb=3i zCSeLjR-xjeGY9AnAbhFlA3hMJdm{2e`=VIUyHB5+e)eXUZdlcMlEyjSOd4)}>Hvj- zFOPfwldRHt_krPpT?LDyLLmc0Acs4iPGN9O+Y*{(>#o;pDzUPc7+B!b3P zJ^rIZFkiqa^?>^do=0)=7<-8v0qv|qu(OI2G*bw(sSznmw2KNPH%a5(qaEI>n+_%j z--Jo9*BZ~x4Cu99nm$?%7gwX!&vroa;2~>HhDjbb4d4ixFxH@)# z{%F#D|GYF3NxA@IIxG}?Wi!Fgqur^>1)efjnBGnHzUgwW|DFs0${UXW!JmIjbK^{K zhXB$*b`ddh$u&|6_oJncMc*i~SsT0r z>13fWz&m8pmVkMSK@HZ^-BqJxF4ZbU6NQm8q?4iDnj}}cUv>W_4FnVm_Onbk$f_1N zZZtFIj-(0EW;BV;B}}4|C4DJVcHxjeGjGjEXJc^oUBs} z{d4uJnvG)+vRW`C@@ndMGQ_kkWwT!jc}#+RKfAS+cIjoPkrV7OrY2LFFUgNPLr;ah z9asSLQgz^u&UfOYhM!;o z|5kf1LH;FMB`fL^S#YC#Yt^tb2J{}0%W;^7V}#>WedIs;YZM3zA#~OQW=MRz_lk%3 zhY?wESrr5&#X$M*XXN|@Y?n^U<9wGU+kU=oE+jYJ7C;QjquL-Nh@{zq#ilQ|*}GPn zbOID=!KR~$@R+@sk{k^iEK6U)FSrTHWfc~>lIz12NaB{1Zsj-f#im9vBy*sYbE!@i{j6n{wEKLXeIC5!}33Bk%wGV1G4ToA$arfieAu(P)TjC zR~4)i!X5T%AZRUWcSh|X#Bk@`tM{+};C9FaMAcX?!H0e2Bbu+j{x?h_@C%IZ;Mal8 z;8lsByUIP9axRYwXZd@A(TO4|ZX`p%6);qITUdhq9s3l9TP5Sqc@~W~R13@ok=#r* z_n7}%wU+sz0a5eK)H$7y>U{Aj4F1*<1POJA(@e*B8_r5OOrV6xecyzXtYA~aD_`N~ zgbnOQ6CHZEQ6U3w?}hIha=$>Ib)Eb!p7+q>2>A*^?P+K@j3+{!k1GHVRw9g$Few2KcAXqrGeejh?n8nxmnN95~dk(gIBkR}8$9_O1MAG~g(#(pp z`@SeT9CzF1@VE-s|A7d~Lt;Qojm7DXYQkzd^&fEkn*SItWrmnRP-s26|T?wWwft_X7(^m$Z5N;4tpy+AwCS-BWkZBTQpfl}C zWV%d5y`2o-T-NEeq%tLBhr9eW+)qpJIc=d;#M6a7W_;L`+G1Q_{ygYfuw9{lo674$ zNY}0{C3aGcO}j&`rvQPLT`kQlRVkQx5>)_?FyR`gcmg&>0zv{Hi>e|p$@C}a-$Q(T zeCXcCZu*hGpeA46n@lkFISZ+j;N<}V%4>JR6EY~D!bAq5RtTKxXwl%fagPkaRdD&X z>%nvo3AH$!nP_t%I=$syG@B-`vdnc6B>Joak=d!Aak|D$MFy1+>;65;S`J!!Att~S z)I6}8Ztl^eP^g#&@CyS^Uh1Tc)h!VU)|sxWt5XW_03-+ms{lgOwY`$y*aheN=&-0~ z9ke(?f*6VPnN#NmzVc+G(nl)BcrSvmId2(6`Y`OI37D+8A^w7u6;4}wHc3B{(U{WG z(vHF%*Z@&cK%#{HX`6l8GNi>E1?BC&U~>V5dA_#38MT*}AvMT@pSQaPlX`&6A1`<= zHi8Bc<2~ad_E@>XCTbIwI&YozLP?Zh9zE*I;>PIcyY1$fH*Fc~{Du3;WL+DcT04f+ z9+N~T?kKEo&>AS9JK*xQ7(uqPUhi%}gM}*9B7U{ZZq!%HQZ{+{&rI*XtvPOwXCwYh zdeisq&VK}j^tm$H@3zjx?H*QWB0YVsN*~}m6j|sQ8As1B`QO`;+?Ob$D_b;FYi2*1 z2-BLwPmU5`ub&>9Iu_=PQwg2Uq&ECiw14C;FBl=v@@T`uW`%fL9BMsEDq+&X&k!m& zc)UPoTbfQa1Wbkg-V!Af38V-ncuWHv|BtD>dvbG>yRy`XhkWT&S@&Sp$s|K_wriq=%86l3~G9=Pv*i&Q+O%sn(8CgrgbX%zq zvQ=J4J6Z!2XKuYW$WWnZxVjWVvH*y#cePMxHP5DB#Z&Q<#kej#mK~ zHJgEaLwLPPORTdhsmOcA{Y`ef&^f22FL3v#3M577)a^~epbQ>NNNIdO;RppvM6e)t zS0{*^FTRHQMDq{%4(2nl9x~`k`lKPy(Y;h2rAQ4ZZenhC{v$vxnlIWdPyBwL%i?-I zgVqY=C?f&ea+|3K48Sz%u-9BHdJ7`{rg?y3AjpsvW|(zG=@QjK=PW zz4`mo4QgP!2q?@vZc=|>~!3W_E9!lwdu@u#100)B47t${-5rBufHPRW(i}eQd2W|=u+_J7C{`l5oBlA&{7@#L!;BCu7l*sFHnyMJ9+H#m*RQ@F}i+z!niLLVx}#1VNs4 zi{opR=b}o%n%$}ctF@&oc=5}xYC1=)DB3>c{D@-}1PT-q6@|S+2_xO)d z5^39$#FZZE+lC(s z50(hqZi?f?_dv~qOi;KlqHdOanIr1U!JMHpo!tsP1V~tp{6)cGABU#w4J3=#k()oe zRPHd^d=@muO;YWp3mbcB5a#&QQt9h2IxankJ^Td8M$|+|NnpLia(#2&$!k84Hf}G# zZO<~Dc;y=f;if--meT-1jEOP$H4Ybb8$Qwg+V)`pL={A=FiPW-h#~zDJt$YA*8)A- z@QT69%ohY21sMM5@?Y7N50EZ_~OVBCdu zjM`mI3gpP&28qWHIoky5;VRwI8)^rQfpOZ-$d}aQpZXWdMwBQRfg0vZ?$YE*Si!-~ ziTMoo*KWT!-0w4@ujU~(s`SFZO))8{DB_+`f&1_YCwq4vA8O>9&y;4B#*(HhvEuU- zL5#EcyP9+yAke~_Qjo7;&+up@cVcizd}pilcf_tYJpZp(srzQc}XRft33d9jKoG`UNWLxX%+WnEj8iJlicw!V^JC z#AkZ(vTd{n)QrxmnK!8Pkm?cR7MWvQ;-UV-H0%2E?!g=-!Bs*BsL#AENT8^y+s>S% zrWm^DMttpHf6Mr7aTl|p^lTJ43K{n!J8-iu>j|b{UduPit|N=+Uc6C78u{|z_4i-$ z2Nb048;2)vSY^LETFp}X^dWQU>nxXg+KJ0;9pxX?uf+jBE*FJM*49lD2b@k4!5RE0#JrGj+Rpw!(zy~l(uBL9nQ%9g4rvqTslUSnX( zenE839DNk%V@T&AqBJ1o>uH$GnEa~LPy|`CAUdCj(0vg(jPVPrW!rHjIu|6uc3+Tv zPJlL2v5i}k&I^uXxI1v(z@sf$${!s96lH^Av#tYH-!`kJ z_;%|)BWtDDP8+J!{=2*a%2tse1s#t*$wA=+b-mW6pdC^y)fTiCmPpw;b8*?;2=S3V&(LQ^ZEleM_>tc-qQYZSwn!4K+1uv7igs9 zf~KRGri|4uJ{sMOxJePlXmIR)gyv^^*3~-4=J)_Ber8ZafRYyiT@5#2Cd>T3Rcldo zk@M9MBnUfJMv`Lvm*H&zMG$<6;!wDbA6fBYXd+x^GP`2aG;*#u;E5sx-45K!wxM&rYuLI>=^X159xzNlxvOKWJiO{rqgrZqhlt4A@%OZt2>QtljA#_x1LSON8_S2Ib{h|%qw&laO1K~Z%N^hN^XOB1 zByMP1_sJpb6#!bgvMIM`&Ne>ab(8s#*->8u4V*w2y~}t#mRsz%w|p}I+A?)!z1Ki` z1)Iu(n@>K|YeAHQxF#9Gs#L%`gO1RRHO>r!l^r{chgj7#ebqx;kcNBW1ZcVEkF8Nj zeOYks-l*$^i%x0Gqx>oO*aTE`d3b$=gCYbByPv}2MchrzqE0Yx7*FWP5?ha!p`)o3W7kAk65?!h(%_O=#>+btKvhlku1S z8MUL}o<|iY(h<3mes<#tBsoeAx_nTQ)N7ez(AqmL^G-H@XNiM4ZMdSqtP^#EWYUNV z`DNmw8P7!OdBTO2)dQXRNM$0w$o8x+ii>H~7W80sQTjy9ezqqDdlh>wk?GsY#kQ@>K zu3mu84y^kHZ!TX4)gw|Y*8wPcJXAe0lC-T)6yB2ES^roldA62)l9YRX4YkGqP?Y%&fWp~)E9yYAa~7X{N1(7Ia(aBje$k- z9Q)ZPA8g(vZcf>#>IiN_+HFj^xRg`{D<38dt3t&AWMfV#pqUTFhf507LfL<#pq%6l z$m3+PwO;<^Oc=SjqG0tY#4gKk6W({#Q$daaVhhKkE;#<1hRK+Wc!DFM>_(KAq5J(2-+`ijrjejm-&!zeNOzMAjD+0^r?o<}#^W;V&+V2#sggWNdj? zn)g>Q7?jS#!lY| zNp}P%05d)?q2Q2{r~TkbU^g+rup+K)=?K*4_ui1Y%`4FoUkMI!voI`RrajLoLCjsL zepn%6fRfm&S(5~z7heWNifECs|#f|#g@Pkm+o+C zT)gh!CrGD2-0-%S#8CUMjR0*KjG(Ig&mLQkGLD<%Q(oX6EAXj{vMsk&HXMgJZU7+XH>1+3OFYz@*6YQ6&G&}uN=f4CB;?f02ot;n2sf1aiUd1<7 zpCma@^wG3{rva@tZ|JbHV!5UVp-(bHMRYweJ=HUG+O`?RwDv_Gxu^m)$hl4=Dt20{ z+xuTt_mv8nTDpWZ2$+)cMEC)5uqi?`SG40;sMpJNv&s;W6! z^)VVZs3twUhOS$_oBM(*1P4deSo!M83hhz>1Vi*%>s^q@{rFlI3|@FU5?-PqeK3N( zfhz0U;W)1gy;V?)vP%b>XAu&<8+$+N6P@+A9sTs%e&?QiS$LsM{mMK%l#P==>AO~+ z-ogqjE4WC1Dsk#xaQjUotzHO*OdgIJSW^oBt*Q6a_pF3AVmDv5*xsbY%AZ7}Fk z66Y{4A-8R+Xq|0OL{IR-$hI~`Rt~ubQpRv%e3E%5`4jHoLiguo3F^yNnVO--`$hi|@Z;7_%T8i0%{?mzg&4RO#6A7tp!vxPhV zvRA)kw-oQZAZmm_iLU3TO(pyAH6J-hPHJen#mh8D`vMgP6ZE;r*+$N3jJbk(Oew>p z2w9ZM9HRv40et7q)Zv^6OqOOd_V6Dd+|JQ?pWKy`zp{z&a#H&YVgfMF6X`9lh&YZn zetCZ3wrp4YofVQ{`8z_ohBYlyBb(hSwdiY<&!+oIXfvn)2f)v`=)vZHMImhf*Nq51 zF#^V*!?oZa9eQOP9=4DdrW5FiCVv+s{RFd7Q=?W`9Zd#Ci}6<8uddmLW$Fu-wr6xX zpg3+++;il|)ng-$Q8$0V5&~0>dF1Ex<1U@E!%{B(@jAZ9M58!e#0@gCCYuJPgF_>I zQ8ex6Nr3;1fo+2W6mJUxElQmR@A{MACxUdhBUhf1O#Bu5861g&E_FktaNj_(yCzJ@ixU8zn=}Yr$2-UsAMY^DZ9a7=P*bJr> zG&T4~DZ@qEF>{4L@8?%OPeYSY-*VV7#@cLwnekdFABIpLSVF=0SUN}vg#85Gze_cM zzJLml{X|W^hllTRp~`OP{#CN#Td@<90q#feng3n=MK0-5i0zjVeWu zF9T?X<>m((tm8k3MUKdoj-4X#kxn)N?ZK<)=;({YLA2~}jL|RTaZ@l)MAYq&7VvWq zx{R$A90$i8B=G$%#2}IG<)59z``PWD}OyKEx?O-Log=kF;5JyE4v6&LE5A zXD2IzX%>1XmeAiVOCeFEcnxk%$m!{QKPXzn_Y4DMnyn>UIo1m8%XXPW?R=J>cZiAf zk@|m^lW2O%A532hE3VTxWhxYYzBny?SSTIam7l3}=>WS&pQPEh?IA>^)H#g%`429W zE#2AP@sS)O0(Uo9SRni_Qk94&I1BijdpQA`lBqi(O^I3=K?+miO@1$e9|On$p9jc5 zOL}3~>a^64?e6BYBJYN3kigbj_r5jvDYtUtzD`jT|ENi7- z3|*xT{QqTdNS;XW+-oVqVDP+@5bXT#c*wc74;=}wMu^zff*LE%{v0ehf`3ac$T{Dj z@k2tmbpN{6Z9{azVMJd-{-0t+)ez(6>>qqTaVgqI;vt)zd7X}}Z{r3!SCbw&R9bbB zU>CYIJkj6YvG*_=g-wqiSgm*OO}kwIL<%J7Izd&>veusNh_-Ic`+mfve_NM$e$9H* zIFAQ?wC`q_==R=K`N_CW#5qr?n(llO(`Juv2s==?EYZ==vR5eo9)W#_Dq4jNn`SI(SRqtImDb zi$U%rHW#T8h10GQ#4po=V8Vsr=3+1*knkIC3aXG{Mh|pM`6P+;SoM?aom(G-x84BG zfKkFL5L8Sc6@yf|rSSzfX#KeTQlkcX^8$h0ZO<-xpSS>;*TAG*m3?oxy+fLh$`PnG zNZM-Iiqc%yaGx(CR3P^2nrqPTB)Dh0-7Go z()_1W&(ihe9IEih!GT&5GmAo6+vszAAx6?cW|z0{yO^$tGZTyuys3 zt7|)Wr^cpOZlK`)(E7DTUShIwKj*iyz7ZoN9D%Js2XU+~>WRw@Vi)W`@@Lqo{x&u) zO)g~a44Uz247=NO0LN5Jf$%iKf8eYswUGg}7lwRj&wue0yU|0gF*V1kmuDF})m_{W z44JTdkSqn&F4NA>OQede4Z7gtlHg>`Zh05=MH{JIt}j$_o3y8Jrpk%1K;8 z0-yL#l29;?7kCLXpE(8Hi1KWf^NigAkZ_>mQGJ^KG3B$zp(>c42O-Rj5C;PvP2HQ9 z#Ec=%REeKYT#gKdsqQ&NDYAfAR!JejBltjac(JH*5+A#d?x@$t46l?HR&dpzp%`|= z{(~WpLBJj)%S&?geFtH2{t!{;OVsF{ih&qL>=d}5EFx&{W%K>Dd=ugyf&A`%^w+a* z*wQWZ4iCrQw{LaH+#9p|02*{oP!J6elzIN`Hq=)I$waW}>_U1iU zNeyBQu`crZ{PqsA=L>IycBIj(GPGdv@V)2Ll}5Xq)BF_vdzb?$NhVCVYfq5Eg&QF@ zTDOg^)9$Rtx;OeuGTgq`9`jQO3|1-7&=J^u?4qMS9OI&5?m0pP7qlEDj46pR7P}Zf z8II7seCbz-SYPxKmMm^exRFm7uCl^^@*s5b*Dni>$6w6t4hTa0US0Y;=#AIo-g!F% z1z_=`)`1FP6C~(#eVv}Fv?37tS!CcfGI`t@vJnBS>*bgF&B-so`sGuVScJu>#N zTpu&&D{}pt@v2T8mW~@}zYp#zr-2NE*(s)=BrTedU11jfsIGqfPJ}B$8SwZQ-yERX z0Gt6JHDmj@3oS1p=LU$XpiL+$fFaot=uJ4G`Md8)?hxD){L=V%|2!t$(^>IQ-0Y$*MFdQhIiS zFi~Hkfos2p?K(So-sYfABwp`Wa2`fcJeUu;HjuK<;&AGav8W*4h^-F?XVh8UoH&&bit`Yp}(O9cL_{Viz zlvweo5th@KKEQ%j{(o}j9*f8wgb2B&Yvihr7=#$n$rhj>FgX@phM5bQ3sinB)bdJ{Q?)>bNLrV8muJ&oy zh^8eu8;<9d1do_@FE}swErz7MUVlPJTXWi@Zr8hEO1Ea>JTx&-ONK;{kB^!C5oozM z?EHeI&ufU2yg6^9P3LfCMvh6!x5roNf_c#8Vk8#@X^4zoT@<}bH=RnOfmVle&G1=^ zfhFwMEx-UMo`30aP1!Zo_VDte8Iq17dP*PNp7iFXNqGJ++gPWSHMR|vmWROmJ*p zAExQF7w(j=VKCmrg$pq&QCj)Wl1yM%%6m)Pq>8KM_3vqh%zmog)VI?WQzGk=w?K`T z{ylCZPT6~5FcJiV>Ht;5SSOj8rx(Ae|HNyTHi{}?Y?;8F&fi*KHTS)90#*VJhyqxT7i-Ys~)*XoEMG&#oKy%g)#aQ;@PMt9QbDZLmz-2O!CWEYOPW>vGH zvJyC@i^4(ndL72?fs_ZNFy7GPRO^f99 z=}w~T+nala(ueCISyr?NT8jm-@ZlI#X8+ewJ2xUTHQgoImPO8#%+OLVG&;)BuE#zwK^dV<@CbH*UVZ^z~Pnr2W6Y zy~N5|tH>G*TS-y}OP{y%my`thDU*E(3u&pV&&+GntfUmjN?=Xj&KGyyEKe}3iK#8|ESoT(Vb+~1Mmb|^WBa08`!sK2D z+_f+$iidc?*AG#FLOkU!jfTW-ZO`?!MRcdO-6Sa$yzt|cr=;hsXj)9iPrq(Ml{MPw zgt;HkZFHq{j&BFv!VUpU?+xfNma8+q^Zgp8bjO(jYOHE8z=_L19O#A3>DyaUzJFhD zJlS}+F=(3&J{{hK@oN_@X(|S(d@%?KMxv=x{vL^6X$Yt@UIHh|pi4FRtRg@5ssH}M ztvc^bMc9YW80lZSWXy6&_P)|zwHD%@ujcl7a?fsfoK6tFM%hti^(H3zcXfLEFA27( z$>Tzhb?nB(IKNDL%fzgQ8J?ElBkYc4eRDD3rRG6fuU+$3xlPD{xpL<7oqfvQS`RzN z*K=#psBT@f&fwCv+DbLHM4TQ~!4ki4kW!N%L;q`iE$G_xQ^&dR$mFTJA}{V%V0YVb ztz+%2>&GiJo65;_eoRiwM6X}N5i`BMt~uc-(lNSslI*kQ-++P4CySv-#k(-eB-YDn z^TmWj#46`p^{6)NrfF49=4X8IIqUYH73>gbPAN!zS}dQkO-}rPLb%^*1SD2Ir;1<^yu(<4xj)sju@pd zuix`cyN+A-)I>>2NiF{UD{9WmE_pil>|{$ufcV<1RWQ%wpDtBOcpBpWJq?KG{T@91 ztM{h&KyLNZ`{TC;ONr@2u&UQ+E3ehHIP|8l`gqV>`l{HRIbtlHe(&3iea-N5T%9!A zuaXUl?X`}g* z>VwjD;QE+Wh2IE-KQOQHrL4pG!ON(h(E7rJrS#nV0Yp3r@NB?VYk8*Lv;VI)Z>;~4 z+r+xL(ujM0ul2lV!$B$xPPy>PLw}@m>LfaCd(MVGg<2$yTRYc{W|a>P6B}-Q+@%C z`a}0ut!8>u;jJ@QI2x*|ydEegyk4h!ea1(w+3HmO2;5!5Usyew(B$rBXSuU;JgD() zPwc+S@uhKY(hrK44M%Avyq-0_Y)JmJM?>>)Qcr?vZ97EcP@;o39V^g6nQt=wp(}*m zUrkuvVn~v5diFZi(bm>wHRm6OZ&HCWN2@k9b%6jCP>}2_a-$zX5+XEjjcZSw9wft;Zg{%qR$E!B&k(;cY_!2j zMG_fmFRh+}D(Dpv2Uqg0*!$)0A%)pm%1)EDy(1%!EbCfLT0<@X$V59Ub?TgPJX8d| z$6vFzG}_-{G0zXW80U@-cEPg>x3Gt~{b#3w;K4BmX5O8&C7p+F=e`H1GRZZu;typw2gV$Y|yp2R$RZp+W9-E zpYQg34exv%&xptvN>krfooWgV4K=wl-g|g>DDj%-R&d|ko-keH|0C+X~XAPuS90Z2uDLhR#w)r!m*vqV;u9~B-_EU{T^Pg&-?qk z-MaNhx#2vo=XG6=$9+AHP*OKOzXy|=>!#C)zqC)86|VUCOf9lDyb)L@-ktY8rQ9>Q z`l0UW`~2$dOFn*8CbR_E{xeA$mFJ)t)swqrISd5R8DN2zw8mI-RhgfS*hPWL)3bB%Om=7j`Zg3yB zvU+A@@*u60XoFS1#O%U)-4&dAD>%OQ>l)qFhFd50KjZBbxUx<1;g8j#8~5oVwX9^{^;`79qav{7N9*=ph*9hahTpTo;f%LaW-(K-MtCBpj~c!Zz5|B!}oH%xecS>t?Q2W{z6K#=$P5k zrNC3ot<*Hnf3}jOu)Sb$M5g=xjhCt!v{g@(7P%xB+>N>s{+rJWzQKe~IH;V);0^mF zS=N0c4kUTv#IPzGy4IaNm@yUTs`mRDpZX=}&4d3&FP&AKxlf}wA<1`g4cd@~rWkIC zE=R2totMQebon^jk&zK=N5?zx?WbLkZBr+NpF&6GBA>5i$ub_Hz3R^#guW>YEvqZ*yEpxpFpjX8KP24 zk@k{Y&VnFOf&AHS`1Wl1-f&;vTPU^Y zSl{zMuL5xLuz`b_o;+5}X4WLU5!?trDiD>$*2ifA7uUT4+v5VsRw9E>+6T~zZ0loC zK~O*Dt#^*CjZ$Ren@h>ZReu3BzMEY7a?aTBFw8e(7(^5f!;bmJf>vk=o&iDv?wXW& z@CD-_*$@1%zkDE)s$|$+@_f{9@AOkj(ef!ezZ3&CcYGjba&CF~s<9Ah=MZl6equjp!b zL>jq>S5-qf1FM!^ocg7}lc=@unRvVF>DA`7$5@1rm9dhE6sK`^%+Bnr@NRSqBW_{F zGBWT*4J}*Q*$hW+@3fK$M1h&;_<&f-hb@{I3R*K4gXeaW#1xNa*^u)`|Hb zae&+MFL?*9U$zT>=Z?Dkg;_cvn}#yTa{G;1`)A_2C1@R%w?LtalFads3%d1vY)RXz z^J9-czRvlu!!yivb#*;0jsraWzCWXJ;S%L5AWNLzHZgZbsTkakjZteBc$p)XITAdl z;8C@nn!lo?WO8$oD_{F!!z4~Nzj8`~Ysf6IZTbhzr;i^a|L*TA6^jL@6?GCq4q^^A zV^UPa*5|3|n?qTyl$DpiNe~z|zC2CayVztSFHPpl*4^S9i-0DtdCN(r7vzm=o14jW z-oLW{IczdB!aezdr$iFvVfpf3XIj1whW!{<%X7NvCceN<8%=K= zt>rSWz^+{%81Ir~f>;2>D716W?oUlIy)x3YM_sDR7h&{SE@J5_^G(K8l_NQEn9#7= zFs!^;Bo>Bw&F(uhZRw<~hhzCHv^jUz?;O3QATg~Wk2>?*&$x9F(NH6E8d7#G#4Qi| z5eI-K+DS1|&)TNN*U*Wm@HIHGUsOu5GPt_C3tE$|w3B32UmIUMeI1G+fcA!)C!D_3 zJ&i>&-WW$lBXd1V3?EjG^g}c5;x%g^h27zGR495O9mKXiIAKc2XRG64sw2Q z5}~!Q<5kreX;xxr?eDKlZRJ~yUN`cw^G9_*PA500n&cgiYeQGkkHU8|aL1h48EBY0 zWg|Lv#|aHlv@dsNUI(vxpWylDpACO6#J_taKp!b6-pR33vpYW(tz)6ny~|Nu3s-35mCgzF*jd+i zZ>r>l-%5!*;KAzoWw;2ZKJgXyo^yL)#~53o#$k||b&^adyM9%PmK`-9td#i9jQX6T zsH`-u?u$sM)nCq)l8+CTk*^=pJBx5F31ru?jz}ttzKQ+m{iCTaylnb5dh0c1i~sD> zQ@M19C}m#W2^Iwha@I<n~AN=Js=TC^ICmh5i&qe@cfkO52cEQkm<6&Wv$|5z;P7BwJ} zlMEeK*o69Z<3^I(M?%c%D`f)49VX$d?449ACU_kvbkF;H%$BkI8IXBp8z%jQb-lx~ z=)KH))NuM}OD$iWdGuYT288O_m(HIs0cd4tjUZAt4GZtSpV@UH*O6YWZMO}tDv_I( zr&+k@G<6r@{5cERjc`f!I+RK*)WDkp*&u7+E_FPr7t#1JFE8&9(6Hnm$Yp6< z@yvno2-m1zW%t>9qe?=BvlY5(eMt1W${M0G3kF0_E;x)CW=(P*)zzgEK!NY%;}uZ( zsjS#~r_q^Jo;nU2#|B(13>CO^`dA>v1wM~pG#qB_a(xT#Y9dOK!+HHTI;OB>nLp7j zknYe*tyiXzIcZF#=R58@E33SYXYF;#hu=7{?ejh)`~OkieRaUBS#`NmKMDNS@HvVB zU;6av)3V~~sr<41jnb6!yTAeK44z(Jg@gwJFXUOCt(NGSeK5CfSaYzqbcO&0vKMLQ z_SNr~-`*1TMlFAT^KWYsv`tVj%SX3o#ouiH!D6n(7LH_~bHR%lx1M@F#-W*dbPt+n zm`vM?Y|Mzjz9l2L@XJDiOUyU8C6>q=&ymaf_yS`w!L$PYG`sSeS35VJQvX`aSSN3< ztcG1uJ(Isf#(FyaA__>!4h;@=nTxlWKmL>7sgI71wF_gX+4hL&x9?3QA&;=5qM9XM ze(F?4kq-+mWzR3jA6<%y9Gf#~kmp-l~=lZQv?+cRHYo;zieC(tS5O;H~cSfnuM zue4O>T{sopvw-L3>?3via5p48|Gd6&X%9KqoTnLy7qSc%|$PY19)bDu;R?6cqb%z|GlNv z@kEJH9Z#v#TW<5{6DPQ*f@9%?Bynmw!hRE=&Sd#|ijX(omS^*1XsZzS`j zJ&I)Z*CTUM|GvHTIafz}bXPXq-C$E&vDQ~&%59^%F7or_HQ|_pPAs5xX1@|W#AIq{ ztuJ01AJuahudRf!W-k+O!boeW-~C~z_$~>Aoh)sb;Bcl_y>a7L zJ~ya7%re<%Rkt`VJic-^*jA1|-0C=XCO9~lA!WMg+N11;&1DeJs&cVKltn>6Q|EW` z=#1l7^@%3li!G#At=Myo*RSQc?;0EGN zE>xXtIVIs|-4l;OB;EQPD*|bIyzC1Z;YCF1N4&q4xTLEhmR>2BrW{`~TXY+Z3))Q^ zr)%<<;VBP%uM72JsJ6KuWg!QQVgPq>yx;UeJq)jYWtiAp)>$H&AVR;{h(LEbW zfcE>pcl?(vsreYu0=u_o!mjCkK3|b+x2T=T+ECKGq7n3`oLmJLv7oiHukq-BvLubr zmx94TSGf-AV*?CG%cSiZG@%I*_c(irZC7Ts+AvqVripER;P%*Xle0gYKUX~^ru}`mWI+R3SgT-Kb@ZHP`03z*lwk=X>|R*egv%$df+Rg9k2B7M-)UcA zm1xMJvb<6`n6h@opr*RI9}ZZ$)62VjY7DdM1WXh8TG!->*lSI79Bh@?m-+V09=%MU zPX1KAy5Y=>IKqjR4pqj1Tb$oMZO1wbQ~sn&Bee(?I@fI$v}+YrRVPC2&9Mf!KNLrv zdHAy{KZ4P6bAX9`g1Q>&E;l!~&yCMN5D0`HcU~Js_1l!(@uOuqRc-zs8oRt<8f%*5 z4zA`;CvoW~%bP4pA7$IORGT4P)6%1-2kqLwogs65g7y1wQ@G1hIyz#ml-FH%Kenw= z9p5yAK`xHAt-NVaHEvPgJ?K@Cw~odxb?c7xLh@0L3o1gEM2uTuZQgADIqp7h@D37j z0K-?=9mKonRC6~gUu)-MhKbl}@)=D{H{qR5N1-gM&vDJaH@-kq@=<1{$9)Oj!nI58 z^p`U}Y@jGq;N?%EN^G!=0efrgJ-Yp`W@$#ni&E48q+eQD~n1g!&ZwJmp0yMRddp}n{b>>23(tC=+ zU8t6n_g7-J?;Ol3TLeju(qpLhQ7e`UmLN7zA8~kmu!}v&QQn)q<8`oKwYa!=J+&i9 zYs6EbAAkV;;rNFC*C|q`5X+99KQ0;3`?WbUlP8|Qikh{&=0T9UX{_8Cq+`!YpzM_* zS_G!&u;_$^f48bKD~r$39&1^yP(`hw-C`w zdbd|qKeSa-9G~Q^35nV?A+kPNXEOljoul^s4YwlP0vg?ZNF-a~-`x>9J^hXCJd_o-dApq{n)oA=p@J{#-n=U+Yzs>iWMv#>n0`9%8LU9|_p zC>hx7Glz0wnEmMFGRq8e55d>61eQ(nk&j1>6mC-AbaqWjSfN?oUROApQu&w4>m|(V zj(wAkaOCO3v$V4Mkt@ftaC0xo{Wg-oFTQL?kJUp2;!~ZriYe9eoo`E}D!%HXPNzTZ z>gb4qZRNK)K?|MFU$~_!YHD!s%R`?CUdYTt2&Xqg;#CW~!2kO9kjk*iwV~lRZS5+yym+8u@Q#!78FoLu(U_=ipWqu6*WW@2ac3Qb+pY4#G?578riim?-+UfmiP zE|z8eUrYB$cix^vn->ys8936TAt{#CZKNL=d%@lu4UcTMDVgvz$eSkiA#ds#oUeC` z`xwU-&CA=d@m^9-iw1QFEBY|hQKmIU_CK%j+i;2%TLVAn-bbrANTMYKuJOtPKeja+-ZC#rwO7{hNmZu{q6a2ww_~pDz2W7>V*mK=cndg?j);p8xTMr=C`|Ya}RQ6V0Xj0*ard}SLj}C2+DrD ztO+lmI=ILM8%S>t`72xF-?oo_sCAyz3<_HPn5%K%KABG4@2uXtt2Uj#fZimpgh?2 zI9ME;Ev?zh&Ah$00r0%1gUW!lhep2L?ADfMjk8uoSsBb|JT`X@Ynbo9=qd+T%XX9h z?#(@LZ=MLe(=U)Y8t1ZOS9y|snQH-*ri5>c)0P}W;MjqA2J)`ArA2E~o}V*C&P?zV z3{MKS^Qkh)9$vVm_o8aXek8MF`;Uo0x8=fwBQ;C^oLjPO(9zMyqf}GvEGc`Cdujs5 zdctW)djjO-=@ir?y$kNa1>6V@s~+EdP9Ui6!c2x@>UDRYi&bEu6;};$D9whzKUL+u zwfI9GYj1+d{}2EqR6YZh@9}ec4_DHD|+vVvLCm}xE9f*_g zo{<-e<7yi-qc4+3QDN=wo6VO@7)OvLVs;{%ocM}1&qIy?Pr-^?(aI{ttC${Qop%+6 z?M(D+)gRtj=d%Mv^~l0KeNir}t)#Zkw?69)WGW~sT1-u}zs1>AW*MOl0uJWMvRK&> z>F36#^SbRj1IG8XWw>JcmdI*9>f0z}G|~$Etvgvxo$?#uVQ$0rrjd4?tYxvf{-G?% zZU||cpUCP4^A6j5q@|i2bjRQFJB^YcoE{2R=;kGqcPgebu||Hfctr5L*c8Y3oNE8D zcjBiYXbd{~Du(YqusR--}_SGbzh1FGhZ035mhB%oWsq#a;_EVq#wA%AAMi zueNEBdhg6#6Dh!~s)ELM+#2i5^TI1!9gjC5(Z(m-e!=BgI{dI^441LLCgfH*68jkl z(-cKo9Atw^bno*c?s#@+QUj}fF4QVzi!DXM0I&ajA-5^uW;Y@7@~LYow-F|(()m?i zOop(8Q||d0#40~Dx?Pr?7Bfzrj3}tZl&p7?E<~`4IL+3iwmL63YoE_Z8u);6-u&|0 zlr%P&+%Rb8_@?R0^?X-esdT+r=dE<#aXi(R?eWJ^y5v7k#!|V zIvN;eJ@~0$R3_+1)(G$sPcffqj2iG1Q>DpZ8prwx5!1$k`B|w~WoLT5(J=2ak_F8^ z(P*1q*{q!!K%MV>sunllP|B`zgm>=o_P+VJ0s z?D)j}IE%umm7UBeyDKI>yc4k=bU*wV%i=4wiJOXIX6?y5ljdCb-l&4Yl`!mr==|vO zDF2pA#E!QtTL7^#Y?OtpMhFE4??lxZ8P{W3Z+`0P_h`@O6|L8_?*lm3=X69Pk2ARf zq0`0_?3}*_TNHr4?EtF6G&m&SLMSm5Aw*1Iqkwu8$D2PwV(!_dTfN2#8r>B<2E~r;MtP{ zDMKKw(81{a5u)i(ED`Mnb3%5&nDIEdP&s^~^1G)HRMKmW()uCR~xw5Zz}h#KKOA z%|{zrXcn^c>Z3ZanrY6l|8&0+egd45`G~;FvoESC$4$-Sb^q+4^NuWIB_5kAaDbQH zh?2IZzl{5mI(JJdi|6>1v7SS1h>ogG=edZNhesN*BYS;=FjY>3u)4ePoz7-iUyJWC zZ*s&CV@E4)k6 z($d?Dl}j$T&rmRz3@^k6MrBMuod~XWSmhL}oVFs~ALi4%7SuT;w3t&u5-OMuJ{I4) zhRf~W6!g+W_a3>KK)bwRBx84U_XH*npz+AD4iXq9UW9A(pZDCKJgS51C+l9o%`|OEUALV_bCUT2@%bmfxpsU^Kf?; zuez_Q^ZR-Dwssi3IZ9{9$P>AjURgBb%NYDg|I(eAJtBrkVu?4;!^NzuttGrkWO-W4 zA<9^Ro)Q%kF84Ff4^)|tbaPOX8*xn?l!$?8V|YC1R%f9;+=hr) z&oQ7Dn`6CahBIzcUo~@K<$H8eHDnI~+kj16Hbv)W=G>w@0k`;_QtN{ckHbMCab}VH z+R$m{9Gfz(VN5ZsP0={_5j;P(rPJI+nIg2VXlvsWb!kqzc}%mi>6A|9rnx91{zu};ifu)iKha9j!6@c&ab8>TAq3-Q7cvw)lxcWya5v!wDEBvFeWfL6Y?wAGN z)~emt-4c0?xSBF|?o}vjOKNJkO*~SRNh@*Jx!{szzB<9`uw8DLdk8a<(|$U(AGdw$ zoe80}(1y|o9O)!EuYxS~Vp)TPn5d$uXwqS?y|6_=dAk2ycJ^hhW0t<(WYdzpXH#Q> ztLNbvpE*RaeFP`Br_0>m9Zh@0$80V1Mzf9A#fK)vIlHeFo$+EH-h|Kwt-;%|#RiaZ zl>&K1G{LGo#_Gjw(}hruu!=S0+`Tweky)KUnV2w`sX1tpFPiKAD{7EI4=LSi#@Aql zj(Uf^Oq#R__C)a5Pc28DG%MTOo}AmDpd*LMt|4NDpIvFciIx}dCAX!i)mfl;B8tm-U#e~G*JP*Da?;chtz8B9%u+3gi!2?nHrliL*7Z%~Y z2sUVV*hotc8Z4}VBg-I&K%%5G-&l<_HonZXONmyFL28(onEb{1?-yXx9VRIDU-pXpU@yjAJUzABq6bt`rUS0^-D{1zohS zXB63gZyjeumIYpp=Rd?xM^j+>RIh&Yu5fr!v4|>S$|B6nvp)#S;~!jm*oZKc$XB{N zVU&8YQbv;Et)-$5=mhFFd$u7inZXi7y{1DZ6>vE@nEypx zn@Ni`&Wk94nE)YkLId*+#(G!zEf@`$jLQKcy}>EBFXEFJK==B{-_~-$px@NDAy^DE zJ7P5Fyw{DG;Y29*a*hN4S#V;N=YLv&9#tNg@6`(`z)cju-ws=sLH;NBAK(;bl-bD6 znv{(UHEfmpOm+5ZAyGG>g$I6=anPOXig~ggE~57QD{wUcv&J^rJee+?$BL{ASGXGL z=>T!Tv1nDjDt~X2bZ!zei!b*l+`}d!zZ$nybIP5h5bxOfGe_~~q-6c}H~myYA7{S> zpkhWLd9954ZOxg8#&$f$hH|Evb1cPb=IUr#Be_*ubW-QmA*yuzw4AvJDN<}CtY~bB zw$wu$w_gtZ21Co*I?N?sn?t-4| z*!S=5mFf3BH2Pu*S0pIA29oLz7%#5BmRL0=9crPXL_0Ppa;T7Uf=;{X*St8rI27vC z7X*@Aq{9*Mk4`h;lRz#2OhUPGWV*_0T#rP4waNRdV0kdYxcbn%KMTIg6shdp+g^{J zLplI}w68WieeUdXD4pBQ9dQ6<`d=6bIrJ`G!T;r9V^qwd4Whpv1}psnx*vT$xCToK z-8c8H@*B2I;vK!RjiLuZwTMogjMDmyuHIlRPgAsQQTiH&Jv})S?y_rXS9fT-itv#w z6YE5@G75X+Lu^()mi<#@xqQopEx|PDrTjNOGWJI2+`8Amd>Q>yNNqIlcymf_cBA~W zeM}pUP^;^6dmo`-DaOrHxr9)k**p09`Mg0Qa0X(uWe67L$hqH&wekQ!$O;vOziz>u z?iY}rJvK$qMc*29i*I+H@A!3%V7S#{9!H<(sRalAG2Qu<^IEb&N&G6E zyd};!)}(JmUsgCL*p@i0LG>5>J;48KkF`uFwvtwNa&&25uI`9jx{Pd7E(*yR(^RJ} zs)4T%ffM8ZID*_q_hIR)Ovb(+B%>n^q zd?YsAf^b-X7;HkN7hIIX{>8ak2Eo~OOz}4}`;cc;k(DXk4b9ZZ;&`*6t{j~0!%Jrl zFWW3*6cuv**cE$r*2cUa`{z@2@{VSG&8Bs7w6cTJ^BLb9Hor4o(kUir>ZJ5GL74F3E_AWWM9E*;!b*)=4i9ozj0@Qe*#qaC?^4VSl%5Q83EbYq4Y?`0fOJA_W%C9#H=CIpg@3Y z3)B&CgRVo0thE9cum-BYCZxz&D&0KWpW!G|4p?eQamx%$J7vC7J8;6YGy)Kg%1Zfl zuPT*8<;Jq<3Tibjh{#~yuL7G0ZjZl|3ODs5=*4;VgfzPr+#g;%?Fz%_H4o*tPPT`A zlW-MyKvK}!eViA0=)81*&>I6CaM@QA!*QH?K#1QeV6k{3o$Y`zA&fjL!voPIkO#62 z`5h6O_Q|_@5q%L!TrbN3g7^REo{-WVkb4APC#HnSwyZ}*B?vUe+Kw(s4#+@E1R>Vh zqG&v2{7zI-jt$^^hpQ^Ac8nK`EFtQ4$|^UX?*yCbBnIl?=*7)*I@+R@qm&{Dm> znp6{#BQ#?Ra>jpVrFfo#9^hY{d7!$P5=J7b*1}|=9Ot8btZ966U)s8dYGkCx_le8P zLzyG?1?Cq5W@?05No1JmUh`cqX+J^mnFox;Y^ zOwXshm`5S_g*uI%2Ta5|CzPk@{FLd`1Hg;Ou=rx-lHyVftOuQLky6qv0T>DcnE#Jg zlk(~`2Y@R5uTa`O^7N$O{S&fHPRAkq@2EjBi?xuaPrrZ{NBlnnML&T|8FBE4c#2?{ zYR~RNM2PWQ>Ls=p>Z^5bp^NQb5GCz)8CN-elLC%ApcLM`l)Gcd$vz?z-f6o>V0)oV z6L{pH5?6zWp-X^o{_=J-dyUqx{Q}RV-{&(6eIw^1p6KMViz{ zCa^OlfIPqn1x$MM%{z`$B%f%zT%4o#ZP)quiK(>6Y@EPO5rb@HLhjc@R0{zPBFc6! z+d`EYT0`_b|97mju=mI zd|~N%A4dx%({r)25#wl4VV}oWmr`}V!X`Gdbo)jR%-@Sa4XG+Xvwed~SK$mz*`EV; zvsAYVG=2J4{%&s@!U~-HS8x$D04y&M+RUz46-{=&f;)Y>B$MXho}T#EjgyJaSRj!A zFBR8wkvfJ9bp`xXz4P5*6-^AAXT^G+TQ8Hrf5VxIGE-;4v=$e+F zV!v2;d_}Seft|@6Ua%=Qc95N~>`nK+^ChIf6C z-g$VT-+~0mf7wAWDJkh$I*e9=R17)|Z|KbBl$Dt}mvt-N6oMWe+3=K!%O9`2U$z~;@t8!-!I?V3Z38_yhsh1GJl7_gHrFR&|{2^6TJyq7cBLg-O zkj+4{A>a=@HF!R)5B=n0JnfJg~*RvL*~DM zD?Ho+0u*Z>p)eILz2o!$)*?J9?fK`9Ng6F7(!3s_jCh@mBtsCk4_gDD!PBdBgCogz zwOh@#Az@e_zuKJ6x1`U}#M#U{tea<6xOj3);gs%}Lw=V#LUPt%QZwH%BLeMQs1?xM zBxnm4C|ipRVq)f>vgK{(%nprXZgqB2AeFd3kT%u#r?P;1#?NGoo9t{6UG?OIy04X$ z5JDk(NtLpF;}CB;^{AC&mt$r3S*wtJwY>Sz{SzQ-PdZAz8ctcT;*t(5ChD?tVyYF# zUR9v-V>8c`6{TnAAZkj0Q_sw0V1y77^Tk@3NmvwgF!zvNgw%7DS1O{L|GU6F9W zbjn+(hmRq+_`hAgVUVkfQ6FkclRQUKS@v>9}=}6%H<^`WdfptKkq8OpiHy{ zWI^CR1ugYd7iS-@qu!$%e;Q~F-mwUnUboJlG-q-NzkWrqMDJ&fNCt!{v-K1vUukk% zKnBcvt>MEfxS^7>N*guvOcY!sFFdX1v423#+@&Buk>Om{Vwh(C%4Zw%`v9Y_NLrE{ zA*{$0S+AIFDn3=y8j+U_&`sk^xQ?cFj1T2NEj4Ar>WKwAz;)RRloa zGX?W{%DGc1rXDiHlnAt<*!{l(w{(lM9>4mgHopY{;8vKr-PrWJ2bb7n<=j>nO__{& zfqeP-mqd9Av3Ok2wyaX(3t*)|^jw}WQiFGy;+it}B0_yYh{5*jR+VxdX(vdqxLaJ zUhy|7@1+)3>1!WjJ8s+;{#3r}^W%!*7Af$K{J2}5FeE@geNdK>xqGPBUmI{!%#*g_ zVAju9i#W+%^5!A7(h~C4gIbPfyef9lv5tQWp{dUppy2&*cI4A$B(9ILJ&C)=7XSR* z$iJFHHV$usQYoIru=j48<{el55Y3Ir(VzIskEuK{_@dE*2G??9%Lfb~jk=Fn-rW4w z^#VTIm%67vre~IN$0lo4=KB{_GxC+b$z32gh5BF-~P~qovmwhybVjIz1oXCWb}1ZjjDs$(m882Lja0_YlVD@tJim+h5N-?#uzQj!B|pS zdalBWr|)L8?iS13vF`ye8zT=~A2d`lvxB~4oMZ4nphmm#vrzdIl!piqADgIpN%%*hCOtvat9_Y<1 zX}0(jfK)BTizHJdZ106IyNg-Dn};&w#MBG)Ib2GjJ;I>dP9V#LZ`2?KM>)GF`G@?Q z#%ZShS-!{2gCx&Olg{}s-q+jTl!F!@6P1j#tj0g+_`D0USF_HU!h18(L!S9v2sO?^ zeWZxz^I)L>m*5T#j1x30ta!>Gs*8%~=yY2IvNA&+%KA2#+(nn7@p#%(L^?BH|B8)L z#vJ$?scAqGOVOuQbQuBi{y!F-E07MXb~kxmiU4#23>B{9hZ$au>nA;8-DV7?OGaw7 ziYo^yFHjUJu`(Qyg}j245*Td`mRgIM7Ne}K8mLhLTsMyqe);jX{*^(pttY)`Rz7ZS zXk_GJ(O`R77T~}k<${{+dn%8rjYUBZ#kDAWi%x9fh8K6d>ydIUJ8}tceq_*lS+Wzr z#ZBD)4?xNJ_ZU#}Zl0dsCY+Mr6vA)}-`!0}5xD`!A}Eb3Dl3mqrP&=`LmETA0)RKd z1@ld{)Aq#7aaIGvBt!WYzZRWn2-WO~2Pc$Z>g`8~N8=ldA6&`;bKh;+IFhHTuh!7w z8#h1lN<&>3x?P=1L3_?@`6w=*sz*Fu$fL>GgFQyeE5|(S36fCrhRs9EQ$g?ugE1}j z;1dh*!Ik;voqEb;CK=@DG7=Ci<$H+Jm`P_))ri3>Ry1hW*6^x;n8F(O5__D&^X|pN z^-zWNXpk1GB`#p0(FRW$lsZB3rL+d=I$pmS&kWdXVL8=TE(E9bqm(GBR;ZTOVq2TUCdr&h|3?SWw zf1P|soxr?);Wtn8vX|{;(`E%TQG1(#H)pj|H|$NMMJ%K*q%j#>(6}5u?UFL6BBK@E za+&z7AW=#^AJEZ0Hq*G?g9FknPtV!)nKiakUQ#`#Kz)LQw zd2Z$%qocRR7PfTE51f%E`AM>Z>thb1GlX@W>mp6x`wpXYLd!k@8X*YvTgrK#JSrBM z{)zo=YI*?|Lsh_ zTl8MF5H0!WO5+BD3%+#7whwFv6>B4)t<@fZY-RAJwAneFi}*({wHgj@ zsSDTY1mD+@f8CyKe&6(+#-zSvjL5L%k0Qv`^n8gfCy`xDS%V$XdWjccmq2nxaP{`z z%Yf@yMOJ`LgXc?34A%Yvy&R+_>->y6o6g9fBiy!95{3HH`xfpW7>BCfO_KOJ;$UTH zq3XVuGL3bTzVq@T$?n(bXhLikEQd&}rr(T$c^yD>Jav8}7pYc_f60bsWL&$-1oc{q zoJ)Q@87FX*4INxUP4rL-mgP3jSWj^S3!Cx5+MR>bt!bH7AMf~YgTK&ns2};oL@$<5 zG*kI3U3-7;9ep&k|Koh){!R9Zf<0)adTDDG^o(Qib2A0a;mdDZAHA3Re{dOf1oKp% z^cm(X8Cz{?_PCIfcXpLN?#7CTnL$ItVyTtVUXQ;p=ks0>YOYJsWhGI|v_ui3owuEh&v#n!Hu#DD-P$`pNzn?*$^lL0-%m$j zddRmkYu!f={5(Sym43!sFgabNER7k3&@Y^9vZjO_!*ECNLMUu-57k<48RSLObRF9UWRr&*0iP? zs_qoi(WSbfL;0WA&ISm0xV>6Sn`=o=k~=I93x~1e9#KS6q_0wjRoDi=2Dx3yRK}a- zF>ouIFUz7*E07x;n;txmD7|Qu{0_Z(YX8?H^5V-lucf#j*#RRW{8 zlk5DEKZO~E^mQ?V*H^f(lQV1~1t)o&m@|YN>)PXQ#_y5moQq05)mRR6I$ZHqik%EQ z1@!zpgY}IgTKV!LJL^r<@8qS-FKJV4BKLN$l% zJPGdpN{@>n(@sWx#JlrQq#?UG`!yq|vLa#qSfGyDH)DEr%l-`OQE>!7E3pq-5r-x# zWR>X9Z3S@GTUJdNQ=BpsO$u&!GDOWSdv>9fgZU>2IBb4>wo_hDO8AFy_a+=dGOv`q z_t0xnv_Pnkcq2TBNM&W5+DtM9)u7GjtG_%Z5+kQ|y*fSpfq;hZ25ShBK)iFH8ZFVk z;tHN&5Yp<@29}%UtF7b6j22 zf)lf4l-78ZHFL{tCEseSDmS&*T6M<2O~v;*VoH?Qe#V^LrIR&X24nuVgRf*5NiCjd zOJ2E_sJ{{O7pUBqKh=x7BBJJG9MA?+isoH!Zg^oTfTY_{kGqkb?zu=O<tLUYZ6xI!0j34?fnWj7 z(FP3T@bSE$2Ys3Sg_`~Cxn(;bJv(|6ap3Ovz9nRN*dhqI39Xwa)613hC@f$tiv}Mp z$8En5JLmH7jCtyMtx+{{W^{TnGS^u26@!fADAFtuCDBK%ss9+x?`8279eK$^qh0d0 z*0DO~{kNzSPbPpEHMEj zCi&~3%ozLGUDFKh8h38KCK7fkN|{lvp^LmJ!TjLB$VZgS@zjBW{W#vaC8UfpBPOc$ z=z`DWDAB}=xovpFypxD1F+9q5BR`8wL67^ZS-1i0$2s7Wpk;<;qQ@m(?wMx4tK4B? zii_h=&dPy5^t}p(rVgQ`|@FXn?1h&Ee${DyO8O zvurk4!`Jz-=DKLo>n6gq8xtDsdSy`{l|%fhU1C)!+W!tZy#uHr?i;PeC2wArUm`$PJWYM&DTEFgj( z85^U0$z{JpckDfS`8`l7&3N_%D*Vlio~9HV}4^ zR1V@S%{cOPIHUWZ&4aIdks`?zgJ@BTxK5-+u3BhX;?G~{OxyHq&f^(&KgDI$rK&SS zZ#X5JOv=YuHxbqw&!?cRjwmGG%X;wZ%Ui@MQhaQ`JPE^qZ;lzWzAeEO^Dyhd4Gp?; zL-3OdSt8$d*jAZ52D{&j>&MJoOFb}PTfEK{1Lc4H=3rVu-Rl!a&CaNBQO0oo%XM7Y zB`F^i{l_M$`IBIp0LXy%HVH8k$bB$>X?qFQ!mjb(?#XaS0tEjh{TR2)YQzD8&7!m2JSU4hI>uoDUB5v(Q0^ii$qSeFT9s z;?&iknTG!Wh@WlJ*TW;pdQ3W9cRi_Q_aE@*z`9r@dz&wq&ndDjN)nxO%lX`W?r3`E z{wuzeP(7R6nDUPgT$t(;TZ(zvp`H^Utxq|c-Yy){B{8fy0Fa$HdjQ;9Qg@lf#OJPc zd1nHq=bK#tj6swksDmXHz;{QBiShiDbnQIE@<4<7h^K?sxrkS zvma-sSZ+FtBFTwva??{c~!f|!E2d@^ljMVh?EelHz5+)Jn6pDUURbi zhf8;Xmz_r_d9hVg*sPey_H;k0V|`W1de`XE+n?+=EjZvI2{;hx4umMdP3Y1rIe1+J}h?Yip&5R_g8%1RunQ{ zemUUVWwNB^P!1>TEdVo^P5vgdF#D4_q(9{OUS<6Tc^p=MAt#1sfLef!R&p8{vPmo) z@w=_yI7`VL|E!-X@61~kT)o1ChHfone$#&XIY1pvZlcL#cI{je>3uHkDNbyj8HosG z*o8m_7%M5D$lh4)!UQ4Q;Iud@AsKYe?*Pmsqh+X0C`@86G+l`CVbFTlmeMl5jg&!Iy+lUYlE_W#ZM%!9bjq)AC@Aw) zRAjl-uf{NAs<6%i8&_Jfykb<0&v9PjL+B*AMFn9$1!-j1aO1PnuWmgD=o<)}=D|Ug zYiIL%=2}3LIN(|o-rk1#W~fjgh6cw*8N#lH5L#$-Qa$9uFW zx6kG%&Y8*KNMU2b3Z!+uA~wUh4C>XZ8tYb<25rVMF3$M~Kk_3xl$QIa`+2v$7+r7Z z@M4+W#b|>x$vb<@>hC`t!`K7*T-GLWHec0W_{Z!*GkR}G+rBlk<2=ABLB<)xYdD>& z-U?i{1^U!YZsY5J+QZu>{6Sa`SRrJp?M;jM(+{oyt~U!F2iTEN3AT&uFk+B!$maP= zr5=6m2V(*?rEy@aIm}Bcd{$y;QBkRx>TVnN)29E)1{ZA|2y0pXLqeEwSGCz!|0t>| zW=DK5{&1I0?-!sDIwDD#ck6F<6t1CTp;-B$%5!W%#011|FuDpz84W*T<`u!(=(3yT z#n*x(_y%Hc`B}zKs-H8fOr}n1o96M3nQJo$Ftb8pU=I6@4tYX^_X6gWedh$Jb`Fk% zVl-jX+1DKvI0A2K=yik$dZvyw>4?kiweZiPC~qwcJ0yd`V05PrJ3G3_1~xyBJ*%_= zVJ_9wB+ata>3_qHR30s|-48Q>(GTAc{70YfL)@eWl*aHtO#S!VLY*oeLwlJEi6U2p zvL9T6KL2>;U*Ia>!@>Xuxn+~5#9pzGz}o_q zn3DEJDt7XesIW|IdjioqOIBd73`+9DXqTMlbOZ3X*dnx zs&JYI(Tfwu)H;}Xpk};yc9KU0Qa@hPW^kivzx@N6h=~&5tYGuNxdcQC+*0Fcur#m3 zh%*DI>ObHoU~F)dP03KAuqk-rh;W1hz5tTa^D#}TUlz%6{6W~4rc4C>9u)17%m1tA zc8`Qf&|iCQz`1U0@`@wAM_*7EYk&=tTTx@wU9y)t-z^w1?l8MD5MJ0cXeksodTUXj zUfP^&lFI5Rh<}-eowDHM!56Uae4UXK9BoJ9X*$x0lm_NwQ;oz6MklGnbrO}O%p#tI zvawK74iQeehDjWtIITEDb0eGBtlE4dGsLUZC?|=x51j{X^;kA*5oaYky<^!(#j7wy}O zEu*gt7tO3luuR|OP>)i5%$SjsT#SJUy#wF>A?FmZx1V%^$ZTkX09iwDu{=&2Bw?U) zbOVd_%&7J+4k)(3@6?NGvM_<7E62z+al$I#1810RqpA42C|s$hsG?S_b6kWs;IoI_ zc+FM6yhQfj)i2)_mgW8%h!te611M^2MCAjnSp@^6_z_rCpu(7M3RhmNbXCyc>N={q zJc5qwpjo}1^cZ$i+d5E?p*moa?@VrLq&}sWR_Y8$u#tf)CfSPX9Q%bv{t@g!+}sbV zw2_cbQv^v?Isid0hnq%G`v{Kevot^B)5+%F#}FrXrVL0jwsqI`_Tt3d{%Vav9^Z8R zLsfI1a}kY?(xuJI^sjvHdSM=vkT(>Enu~msOQ>K>;b46Ap;UIt6G*#5gpNfMe<)|3 zISZBmUZU*upjW_kj)s&!Ky?58IYOo?_1N!}bcVL$J)~_Iet%QGQ8Jj3-%|Rqn)GE-k-tXs6fNc&AQo+J{*ZLfW0SD?a72Jho#H&iN$~Mqm8_CId*~ z4~uCC$Pm*plD{?UgaaTR8hMD0_>iXEDl{u<8`DzJM*Q62fy3U(*2BPu8u~BE>z5Wo z=g;Unpu<7kK&=iX$?|-1o-!I*`}%q0yulH=_w13*odcRtIs4jAC#E)IpA??ab7vuR zu2;7pF6jPbOYuQ(@kDT8FQ=xVbChemGxr5nTV>M9Bm{#PYQvEnwPCi`K1Wd+G5lR@ zK3{f^y)J10-Ra%dNhCepNJvr*YnmZX+z&j59rE7uZvFq-dlPUf*S>Ffk&*_K$`n$D z5JJdIX)u?0Tq?5_GS5S4phyv7naYq^W)=&T$UHBZMdm4%A@ldY?EBu|e%||jp6~vi z;XU5tJHCC?-Zsk`uIoJi=WjayClU?TLqfKWqNbzNe0gbG^YXEN^Kh61tZ^{h+?Y$P zqLPB>tp{pXVuOZK)0Mgq-0Wg7PmX}q7LylB0DGcC(wsUG%M9pJBsRu<#AKY zuX{}1P%>pi>CtbQ{Q);HglRkjF1%A;S6*f58L$Lei6HusJAL2bHX zCZMk3;^Osm!O$HXkzo~)hI6SB*C~Fs7;LG7B)9@hWCrR!Z1@EV`EaY9H0Xkm^9UUH zYuX$75Cp0twQT$KE{{GS^?`~J^aJiv%Cn7oxd&B=%Y5V&DYY|~O+F=s$Bp^Tb7%YU zL^iOt{-9sh&PWCu6Ap!%_mB4!k2Pmo0c!~`GQ0}3A2B|t4iDKYgV zY|sKkeb)wGTG|FOJ?zIRDHMVItFS5I79|)+E1N^RXxKgs3`7$)b|EI7A@mg8^56Mg zD+B8kUl<828}u|K5XD3K6-ZB382{KNqk#5=JbQQc$uRa29sjY@rn3bptY>4pq1z@s zJ*#Qo7}D|v2JGD*4m207t`-RFJnsuDt$S;6(7gr97Tg1t?no*ixn}Z z!)E<82rs1g^qCDxKT{a4l_R@JN*K4U7fyozHSp;v&LA>CS}G24u;|IAhShdvQ0QBCt7eS#nKPt(Dblt zu)&dDIHG{{_P2MpZ{Ag{?`>aL`$G3hK3{baeelv~#EFCXIlia;E%bqN)A>rney=Kd z*f;Khr#ygd9~V24%MoytB-qop_PFa!>6LZ9O_!(&8UMwQhw`3TV!z*b1TSjjw_sqT zLHfsFeq8z)xJm{3!0kUthLm!A`)mOuTvb)I=n=2i4MUu-uWt@4%CHSmn(V*>hY#$% zyT4g>ueRs+d7h>V8Cw7dOYKa)W_$UxdE@S%SAPGmT?5y7`9Kvo4*YhZeivl*U)h|a zUnA)FP$rFcifA~Zxx=AR>1WdErQ8y;w=8`FEhcrBCQ_lTtS0x5?{VHw-grjndNdEa zX}?9u$FR19^~s6jrLh+zum>Z*ZLZW%&Qz%cvf0$l^~<-+Y!UNT48JI45e}Y)-FTu7 zs&DIdJW(T4QwngSAHM4F7oBf<$w?wdNh;{$Ycw^i7*KNIOvE;#>6U);%qER2*xcOf z>rn)wB9-cc*Ym0btg-kH2&hFBTESjXi0`<%>LB7Gv9Qte9+~nWu%>vi4s;t?*4R5?pujSw=y{c^qZK)uX(P@6G=x| zpO|_Q9@!%s?>bz2D$CNswm(JvPS%&NnLq9je|6XPXXy}4(636l0@!#3`))7Z8}Z6r z$2NRVo^|ETF=PI;f4p9_`KC}5R&D(}8_2&K9}W40FacqU5cdMrTeiJCX?kIIXTjOQ z;XTrvCOVS2?RuDrLQBgs6o0^*veU400)Bd&jkvq%yKBcAsRT&^%TmK>IBjyncz4Ek zYHQ02W3(i0OWOQu3<3{eH$j#MR2wGPFyVsPIRd`bJ6jyw|I4QjsQWyYMBF_lV!89p2MHCAOKDs9=EoC+1H|JB%ir@tkl=TBOM~ifWyn7!qf6xl-@{+N!amLWX4D7kOt6E}PPj!!8;`l0ffgCZ6 zqgAl`6XH{{gG1%+umxmoK6Yo-Zdb%~9NRQb5QfysADa^n`|aSbNZ zaycv5H}8gu<2#NvCaK`J0k)Y6OLjC)NG8ZWWz9*dYU;jR+#ROW^6;2o%ls{tAxwO} z+9%PX+)?q`kvR9^hewaoQ$!Y&Ss7diwn(JK;=VSQIZ^-Wbriu+uUwvqL-tIO>{o-} z`5~9Vp&=#pSNWcs-yod-8twV)X#GoA-5$M(Erc!W=OtwXi0?I@I=?z{AGRnI_jMuN zkO&jd$U|COuL6<;BsP%-N4lII2TlIV7F>m z8K_+jJ!=IS8p0Xr8Iz=~V0~X1&R?5K)Eq6k;i1of+`y1mxG@k{@Y7BHlG z_7`LtFhlHSb*d1aYR=*NK@5_V89hVE6)Z|}n)cE7=$(C!xhSiL%zQ$x)SRe(yv|<7 zw&Hn{8S?wtB*)|>n=d+2au%Q$<_r6nFPu>tsa-ll63^Pf64E2IkbVA_$VGvXJ68s! zT*zH?v}E4Am1nX&3-SwxH6>Ek*H;{ zg71U4fy;5V5AO9%Ig$3P$}*8CqZ7-KmT8?DmCKpKJt_}Y!I*`$8}wK3`b_ae>SAq^ ztb}oFrZ=2{94e^S51cM*t@K??!BpVOOoQG`{++UrK=cxHvnQ6rJ*8T*AtlRHav#sl z#AGU=8J@mIA6cc2Pj9NZ_|kz3oyf$)Eh)IP*F=%tfG=_boHfMET68OCw+i{>1=Q2* zTdD6_%zbF3p*(*2^R610xwwi7$_aa7>Gj0Lk#z{uIwcj{yz-gf&#ldzIPCC%t>Zr3 zZ-CsUjX(MYA})~d6`3}(n|(9AKc1JLPs3(T_eFv6#{A_kir1w=VmxykA|KW|yWD^3 z;^Ly2rs*L1@P2D~twi@38`HUlEoH; zvm&mV=K)9Ai}XBGh92Ffzen8#MA8@0Zum}cG81{B| zZmumjLxbIhhK7W#eTZS!(E~}Iw2ZakuODQ2rG#fgN$uCFKEu1^6f(8HgHUJY-K?=bNpjINZr(ZBQajv#I1~cDwX%TniJ0bw;0`SkqmZ%&GzPub+I@SUNyb)Y@L zcEILS?Hl=ydj+KTd`Y>~G1t;^B~P9rl`aS-T#@_1^+H;|GyT>HE1ox}_T|!^eS=lZ zI-z%m`rA>qhi52$&JM9;(oe8)(w*V7a9Hxmaz15h-V!6V$u=P|6op^=&grY&UE(;= z+%xb!t8A;e_O%|ZXiQk77(cVF$>CU`6ob*_%%WnC1G+}_vG~K8^I^@45!&4z7HiTo zwypz7rMBH(6S|5Pv|BjWl~_)Uwt?4ijV9vdfT-&JgHv7KE=PDIkul7j@R(`u0QPzAoXz$)?aW3tVK zq3$OYIECVs^TL=sjj4vfv6#9{Ei|q*xZI*8?s;HfTObYRn^&)fg(*_PZ*}9(*yPr{ z2TQ0gFh&?#=J;8iC(y}9%|PwTD?TSBwVO9T&Ww2ZxPDNL)zs89tsQ^<{E$TUt1D3{N+EAJhAM6_wQSLgcrY)n^Lp9ci| zVlc7u&L&)5hWFjo+4()BFF(|gr>A(F=R6nJqV)tESkd}&NLW}{+~H@yOC|{pxGuOA zuO*!8LRQ%@TpGQx*bwD>zUL=So=h{TeL4L-H}NHlOm>`&9Y)zDtx<8JH|)NmLSM z7qr#LX7~!a2!!7^X7DjIO?p?4X37(KY=&?L?-V`@r!!FaSg4l4_y2=f~kjBdtw512)i(-3h(uP0jKi)&*IW@0yU zuiq5GkP7j%u=8&EqpQh!1p* z(bm~WO+D{CLr{Si?}i?rwv{1WXy@Ym-u(Fs^8`VK)h7otvU78DpTBsKKS6ide&n%g zytIuPj`rBGyOCMx>FrY;AGEZ!Q-1w=z$D?)sM?sdU1T?ehR(pno*1~%J#!XKMQHF> zgv5#mYomYVz>P=K)GE-;z@$Q79=S}3t2m;y#{e6C zUU`n7kRU|i`qCm>qPay3ZHRlY_9cqVfYY}*?4mL4q0Gkw+__t>F*ss&o^$P|Xtt)F z?Q+|eeZ~~7eML6-8aDlD)h!EeKJ8FjsVglE6E^m%FF$%PUJf(k%-Ae-?yk}?(5=M; z$2+N>_$m3i==U^^<=C;?IR;XG5w+81T1G}$sv_-K0^9a*@Q zo{^m{D)82EwqPay=fJsI#fur~>9ZxnUS28SOvRr)4mUK!(beUuE1K*<4f}+V^^A9{ zR3BT9(I8Z8Ep_#KwRbYM)`=5c$x5_2gXGS&UpCYIS8}>A_0d#SJ;6in$IhNTdj)3o z>Z?l`XhM=x^XNwpU9q7Fkbwy>d8VcKTIf5nYSWyjJL{xzLda*jlgsm|OPyy>X?Rty(n}JH}EBkjSp`5TyG)KnxygAb@#qrNyzRZ;owL>RP^Ut5YYPXFE zwsKWf9hbcQKsvJDqdK~)X;5E#jk4%x>=_9b5)1ZW=lH{R!7@(F#l*)=>!;l&PLmK6 z?hRDgHEGVyp8QeXdWScE$N8mm!Te`kT1@8PF=tPG-OLsvYd68m3h066tkvS0Bnkdh z-TY~TU2%&Cu1n6e13gchM}Jlyv=qB{@7`=~kGA0V)>wN5AQ{E)-@l)g&(5W}sz1aJ z9~M2t$;!(5{N>B+wQouo>5~~~?eeMCcm4xX1JP{xIGnz**WwfJXWtduTVli#-@c{$ z`t@s?3RVOu{Y;LTFTNA@-?KQK%crZG+aBG>3+;uW46n9kK(*Nva3p+pocW$fF`kW^ z<}UNjdU2?^dO-1gmcUf=sEbdrIL*rBq@|XQ4i7iCB2*!9$8lx6-gH(T!Co)71s8B< zCE%mHy!?3%j+!`3RcWx61&>~i1i7E{1k4QDK86EhLHl37e(h51IB|a#-q-4W(uobE z?(gaw^~BN|vbnRb6O4Z6wNh~~Uy*;{LQ_x^V_?#lHF%J4jclTEyoN3(dxPLYIJcO&mLDf&yW;e* z1})y#y1qH$rCs_}e9B%mhOG``=}&wc8)KvAiWlNq3s2~tr-f z&WE6z#?1qFS0)`Od-9%2=&2pZ#oc8znSC*E`}S=YDgn%pwxN^s?JxHRSc?W*99Cz0 zJi<)%X~kv+y^RQIZDX|04436~eXbsH^| z=R>c%TXy&^#%y@fZbQdld?rlH)sF?39K1Fx-yYDSH&E%IBJ0zk`@?x&t34Lea8P(c z2FWEXbam|GR7UkbD|t+KTJrdg{3A+3j*!~K#2X>$-kYnEoD6bJbcrxT(g0*NPEwZ8 z*;8cgx2O0NM8T`G z^zT`Kf9*y6PVqveB2Kid>90a(35|0%UTT)P%$+!X{JoUtDp`sH%J0+%TSL84hvX|) zt~gD$zBA9dp{V#gMLB9@Z7oXB?76zSdU-^ww6{K$oy?$BJ8u}_O-e?6^2i=fXUP5I4MkIMs_yABt$9&*1$9v5)2Y9naE*z zIo>K!g4YtS2Zyr3F@mb_1PoFhPL9l!sNu6OPm8@rnnWlnD{BIRIzV|kmDboR7hT#S zy{X*O(_`J89g7s3?kHur!?M?|U*{GOxJ@PfJ3)GP%Q0u0(PTL+ zeoG#i4j?%>!?Co}&>g5-hoBTn$t0fi>J|0&2yJC6atO5Z!k`h%Vg_OBH>>jl202?e z=b1~Et!Lmlty>f1Jm7cB3A86pfV3q~PQ~20u`)9^YCXTWCX2aF>x#<02v;pRDd{{v zzuE$REiTS;UJNNV9_wR~>zPCi`UsXI1Y?FK%t!9ym>R3g0)qL%9)}TpA8p(3X;)ba2L|+>lHoE=J8kj zzEV8kf`tmQJee4h4)fCkPB|=aCyqDxEWl&7hjzE)IaFg(tvXVsI@2^femtRl^ZNC> zxxQiyjN{&mMm&>C|u@9d1s}8_2t&WR^@#R*JM< zn;+PymDzb$WYeb@I^Gl` zGxIH4tm{JAAz-t?@BRD7Gndm_4aPg~?R+=;7%txNTUuIj+WKuj_VedtG$uQDt)tS{ z7r#``<1w3C>(nINJ-JxR63GVWCaukxr)VKh%lZoG)v+DF|~SKhmZr@9RxL3?b9%<@7!jgB3gxhnQH;o5)B{-paK> zPnG-kGsPUog9K{cetkj9s4$M42V|6zo?Z`Um-XEgG$^{C8wq)Z0p&Q!(m~H)2I2Z3 z_`9&<9HAog(@nkM)~qnt74(Rw={BICKb(~@qj6ZYZZ;Ze2&xTsJ5wQBz=4??q#@<~SvvA}nJ|Z$AA{thhu<&p*N#+nETUa`-hqjnFio5+vcx?)> zq|qYY+nj%>$Oik`l-YAuz_X|I-BlF_hdeR&r6l|;vS07DDR8p+!Xc=MrH{+8-r^Vu00DZKu+KdE70QN;xF4@L3qZmA7AFy z@TUt43!^XFzlXt^Ts-KZ1kVx1mZg_}9j5R`jcCOW=gcopk9KJ37@4_d`A)>TYHDaC z-e7jG#sqC9*A&mr-glmA|GMK|9dJxhMWt|bBG;t0z@mj&B~DTgp2DTn&TEtrMopC& zi;Q2&4P@%Ieo$k{iT7NYPHt_z<=JmwX_@M=GCii10s*%sEh$vh$@4rs9dIOf;k~bi zvUGDlThL=dTcCZaCN%ZQ7Uk*-_nD|%ZdcMTdGJnp=eJ3$gljW;5LUm2!HF0#N0?3K zS;m!vJlE%jDveK`Jc%|MjE$+~?h1JrEAFiRjFO4LC>$IWDy{oEEg!DEfVoCKDzy9MJy zTO&pJwu?)Vd2>t}OvNX_oS>wTQ=TsQxfaf+T(a6I)MEMR(f<0z#%2A9MK@3l5|Dv3 zQ4}fc#i~w9T57`L84e~u`6GE>ajYT1`NVuj_43i z+>YPfx&aT;M&4{ooROV(4jRAQEU_>-j&m$ji+z6Xw zya@vA?8$?ho!2hx?|&jNi88#~lV^sOk=flMh9<$Gaz@A|AhxN}i8vy6zT4u+c-m>y z@N=M$Q*e2T2EB+X@gck1JUsckzEE{0VXg2O4Hlg>vuKW4>=CZe$w7NS1ui$2^xd2* zcK-eAhsOfH5#3?C9+*6b4j<-U*9EehRW^@PGQv5vDe>cf68UzZOPrR0LB{^Wr#cN> zcedO&-(T_Y!1Wf{WWd}W%&?7Q7$RgDd;nY|03paCcS}%62wBRRE}W?o>Dbi36M$=~fqvd?K_c}hwA<%c*AMHcVn*W-;*i9@?PYv>u6Ny!BTm!Rk= zBI_#DYGgJB(+gd<=_~4j`&nq-#K5bUC%@M37$WXGoy4*|d859ep#$FMFdA`F%X7JPJ& zk4LJ>>|(i@ZKpdhWi1ww;`mHaJ$cq}Z2pZV6l(i*?L!Q$QN zH2b}+0NAeAjKPrLGb)yG0Sv135v-_hpRxFScbe<%Dgd#Djh#KJ&$g^76RJW+|A%-V z>zbEL7{CfDUS8!Q8e4o; zg*xz_t~4N?WLNHZ5Lf%`uuyHfJ*#yGO4Qc`FfdC*LcJ=crBB&>eSXsX`^UQ++;G0R z-O%6>X36hc$Y&SxSWY!cDJmjJu5@Z3tCRe-Yf){9H?UBmM1CI>mzb zYp6*j!&Fql8K6*>Mu!d^aspUm4S))PZ3!8phoG3LhcHVm&*ryG-;mk3osh9Ff#TwU zzrp-7a^whxuiAgJl?3_X0ZGl@VLlA@|K&$eiI9H`6I2p-{Km%?-JF&uZx@1!1Ss)g zHYKWnp@t6Xry^8aZOilBwXp#zC~CTDjx|;}JF}O}Qt2?@58xP3t=3G^Ub+C`R1z|N za94An4qmty=J_h2SP(!=q|=X(iyRyrRfQyeghbFejmlgKE1xa0-=jg19muWozS(L( zpD_cmfFqo8C~B0`kL-}|WT=PN?mJK&VAy+u{~|^4DY)MwhyXmm?`dgiy%pY@*BWwQ zUEAJRK``|JD&|Z;s+~N<1>ao*z|LBLD&RJJV6-a-UVUvxoD3!VidBRJHH>DP^vUyB zu^t;Aw}!(4;X#p4kI=sl3OW)V5wUTh(s@Q3DG)%ox}YwH$Hr#CsyH`L*3IV#OklvF zfp@w)Cm!zJGN8xFI?i}aQ&Uq%rc;A*2g)evDJ+( zrozQxx)Hy-)>#G@(3zjq3wY9F4IBO z8B1y`3@n-BPBH+cb!=?109WB7KvhgHDfvDj0!jugud%*=g(@+|%IctkM;G1L6kG@0KOhiFp&cMpAzW-; z;|fFIFiWLIXmnhh$8?%`1}7?EPoi|=5YIkcwW*XqRzcWnuTgu8o z-G@=F8-H9PT*;<_t!*}kT3qIjFV8RlnDoota?{h(--LyoQ$UsmoYU0%@^VRq_8@wp zOV*v|c@0Z$L4AeO*u!0BzrQ=lqy2po08R>r^4o+QNHXD82nL1rqt~|=Lwq&m?%puSYo9Nm^QjKlGN^Crb6G-oE|%%GJu*s*4UkZ{k;bG0{R6 zF98x{NqcWt4&a;_E?K@Z8b@x>(pW=yWaI~^&zis@yP#^N8Q7L4_k>WPT9^KKojCu& z`d^t>e}B$5CD|R_EWTTCl#e|3aEXK0E{@9N?{(+z#l`RM3dBE_rvF19VkxH{rwiO3 zC3|bs^Aw8u=06cg<}IKkYkKVWnQ!Q6fD6(TC$5E*HYmeq{Wa#8*!|)hy!hV3FX!Y$CD>B=xtkPPR42o^L z07eKqPixK%;tha|*Ecs4V3^_S&CJcg!7*8#f$h+CFTWr|h)VEozvnxfM7ZTDCvS`+XX;66Q6Y0Q%FGrEeqf;6Xa1f?UPYx{9 zmui2YQ2Lkm-sJU1^PC_|^#bo~@93B_d-v`gfKi>hci*+xz;wgcE2&?h4)J9RjMgKYDWo)Aie^xLi3|!XGSAR*p5NbusleCiw9DdQB+wH_~J z=Tm^i1_hbp){|AqrBD;+cBz;pb-RsRV-ZmF=n?6FOP|e3eM_{kQfX=FY74xfn1dv! z=*Hw4_CQ!=WUoT;;QaTBM<{&0mN==CEG#){((Ba|8*rIGc5%a_P+1`cBcby5Nl^)` zuv8ppX3oC*VO!zANlSXWo4qG1oC z>ntBE1wQcmZ?M=5MD_5PN{(Dc7AGpH=2YFz2_JSeDh_>+Gj z45kAOKD(PkIv^~88n>0LaJ&Zv+2(p3IL_0G;tOBf$eG>RjiJblV7C1nIKL%JB;sAX<`1$ugV z^q3TL$b99l4>Ef9ky9?Kjgg?_o*_eZvyj4R|06AT_flZr;cwqg7D|G22*b_M}R4k8(eDenU_PPlX z1qB6K-d#9eLnS#m8FBHD41tJE?_`IXOczYdY`D4cn=!(e2pI9R-LUfKYz_ON6y5K6 zCuE=sZrTPa9nYnZ^{#duCWY6aprY#jc=rnw4Lg3Kn=Gg*OaC6;H`kM2>*GA%uLo7U6WF~){ASQ!P)Et%E8=H5Cz<-DrmmlLVZvU&9|Y40 zTzNsuudSsO?%?3SrVz%Vq7ITKRANpAL_oeC%#aLK1sJiZ z&YERkQ5J~iozU`OTcv%EN3@6pEKrfTk+>tlYoHfBxg=*`rAC z5ZPNd-S0`YVE3a?#(~8BCG-^H)ba-}qgurmx2aH{9{$gl5E+m;qd+V=K~J9s#KC5$ zV*CAAccxyV&-MmlTKJPw5kNUCC$xZ7fRG-rNID_801zJoYRHb{pO+iqHwFJWelu6t zZ4J7{#;-3_D{GazMqFH6h@=dtGkWGDTXKdMb`jzLL&2VgO}WW1)suezc8lL^Y-rGcb!{7Lx|x%wPoff% z9h3}15h`)%F&TdU9##h>#%2Jg1@)6b#>dNll-!~zIvF&jO+@xG&*nv)`EI26=PwPY zjf{?h(3k=oCT9#F8C0I7QWd!8iS@;pZdH&P2r8ljNwjD;Ih)>sw!{48!;bN=>_R|k z(E~0HOL6tzfDOz|Hc3fCP;xbVd@Asbql@QdWQ>8vNEa(8DG{OiVnuAMKbAXIc6D{> zpsflnbE#!gIh{lmP*=0N{@7$h4J!d7JM$Ldz)L^t7^J;Qt_RV*1N|M+6N<1eM6Eal6>A%C} z7R0gvU24-%=hm%Tc0DEbqYULU)G)h1LKQJv@jJy2B}9DLNgGVq0y_A$Pfs05$Y}nQ zRDc*5KBNdkA%cehwc9`83IB@MT5uMw{KPSClwZS@Efi|^++PZn+Yd?#)S0)`-KYY# zzdXHPLQO0T++qG0i-a@?Y#}uYQAuQ9jXdW=RRxv+!?^K?vfxTg5};j%-2JHR)Bnuf zm3x%^1Vt_RTb1085cn?@FiX~K)6Zu(7)hyTf5(*x7OqRIrnPlC zB$0gDmcY)rUFoxpR4Xu6FfbBVaezFgz@ExQv>2DUUId9CG#JFB>4h&qjwt?15Qkj> z6y`QirItae1vk=2zsw~I1jrBIP>4+Yo8ocf#VOwQ0;>*S;)x{t4p^=)je#~xc)?#W znZFgvuJi`DNR*I8y6^6e{>M8HZA*R};5EW&hMr(#%mAQdwe@>(y019L7B|I#d|$$K z9u$S!j?4_yCnnni!K5cc&3{=x7z5KVDJA7P@^etD@fR*#(gfv&8}Lu53h*c$cLm^l zmLJR6v@|sl;}QZ`e5NH$Se1XxxI;al+HasE<}xf1i}Z7T*Ul zt#Ep|^YLX+e5^qwp~Wi+U~Y^n&sDF{n<0|5%-FzPq9T@r0KO@^YI0QKeeq zwu>c0+?|DT;!AOtS+_Qizh!Io6r?{(`Q0Npmj80aN;=Fbhlu5HIg-KZFaQ%~^>P*T z(btE*{}07(0RWQy?*KrdN#IsYQu+S&XR`vW2E9;d)^IAASqkS)2?Y1-u}IL_5LpK+ z?Tv$4HScHyk`LYt09FUoDz6AN1%=lFDO?%kmlW%1W}GLdGG%r4$R;Rcm(CAbYqJQfzo>qGyE&k8Wy#k`aBWgfr8{kfZco; z$d=NyhR6Q$P}vt%U6!D1gt#3wr2otJ|9na0i#@5EjJM_!3DnQ8?qsC@F%Zk{)-;ZIhay+pxHt{3C$!JAVV_7$OH$;bDT9 zu1t3!=o>6>@HhC5(hJH1)8XdjUD5NIA!H%mD-sZZEi*h45{S0bTjrXBxY>%u{SGhU z+{dHF#etns?@7@JJInh|v9mcrgf<`oYXW5ke7V&b zu%;hoA4esG@9E!G5K!{o8^kJU z1jNnPGC9c{{D0(93>TpoShWrIXc?f5o}XH<-0%x%o%Hl6kYg4qSDypdU%q_@6MI`r272# z^nQ&BQBs29p9l~K1GG!J`{aoeodzKFT!tu6_NQ$m83bOo)%#wATkT!MuS`xTpSJew zk)nbPQj|Wehf^V+7a@xlLQWi4FOr}Vtf(~}{u`*!dcWUCv}$f;AF7IWMCZXM(Z&e8 zC$b|Xz_xZ-n@M@8;CIcxvWcQlzo`UHS@ytBs~*xY0$|aO`9KCX!atShi-w z47vY!NlUYms9{xDqDfGeQ_}}ZuBurW70iAm031tL8KD$MT@uK?3I8+f@G4;w9Q1}a zp`q`;eY=^Ql48BNI!DV}3C8ToOt%`OKp7<6K8oD`K3q6RmssjJ!7V1H2Y3R6lWs6@ zx7`sX;|j9mAoa!aKUH7Gq47g05_&?+6rh6$J4Av;5d9GWVpT#0D74sEHsQ}z2Vq{I zKBUCNoJJgOu%UIFoC?rhi`U}EA}{E@Z){XXL>t&$*ZpY!VW%%gMJ<6ChVu0%>w?RH zNQV*&i}qPJW0LaFgyM&3zUm+Us`^KU5BYDVPvL*Se&yp6f7h82rIY{g(W6>` zmOyC)4yLP{+TU-OV+JL3RiPP>B%(7cFFSd91NQ=7N(&y~xqvSol!hKzkOH^aHN1VB zRUthyvwX|nf5$Xn#*ROi~3(@!q+0Z z*<4}mtG=5$OZ3Lp>ov@yIyUFlcVZawLzLE)y|akP?}*1Nr1qV}4*NeeJ}u@@4VkE7 z+ZBCj$RrEht3DXthj{7b;QXM0dKs8QtPjFefEjl$hBktUjjs=46m1S-kr|wjo@l~a zuq}e^Ps<-!j#y-+@5Y{kv_yaP{@yo>@uVlQw^&?T{rka4E1&m?k#tvw#Y_iGCeYIM z=?bl-g5nGC8#wM=5X{MN;@PrTw?wJwM3w2ybP<7?NeH@4gJguVp5Uua$*CE7E83eV z-J68`xu?-^6?}((Pn3T@mgS2q1~DB~&C50{B4S(%9SU^cG;#q#^&o(i*K2BPTf^izIuA=uAb@;Xr7Bo={u{J55PE%n ze_@&Clvxi%G%{u>k3HiUygo&2% zt%&p8afhXL3RV;yh}|d7AUXsLjSLmW>=s?*lF`@|-0&_?@V2$1DXw9!+qR)SSP=Ms z-?-i+GYWyHVk>*<{lQCrWywfg{ipfjV!?m#(a3R%P50mv9-^RF^nmKvg7#gV)sx!# z^#$3uga|R-kkix#6&8i*U*6g1uADX)+K3Q$ozH}{XqG{7GX^hk9Vm_#WPc!+hiFMq zqdddKV1ce6#x6i0|2ojDXmRIsh#3vUJZnp;NLPWVu=>IphmcT5hVsQi1<-|&peK@s zfM`ErzQK}SUVmxaE;%*zCRnt<7PY|`M?zqb+Yp_2cPXl-pbnx=Guv@qpxm!<((!^{&z-vpdh)|;{d~I1 z4-!CwHLu*+S*z@uL7Z^J@9hM8anBQj7r{Yza-VKrijI~R^2?U6x=42;R&+OnZax4Y zKmkgjpdv6j~;VR+-2B@qY!!T?G|+}+(tGPQ_r;o{H>ng+BugUxga%mpw>GIJxhp^Jq`s4_56N3C2v1wlLf zO2l@4?Wh2Zi18ka_`=9oN%wXjhi|)$eFx}F8o|ppWsCCNA;!BzAu<#P*s)L|lh(Nx zIGy{d=}f?%zdv72Y(7v-u`0sF%PSn(Shi4s39{-Rs)xd$3~5C!uo@b+rgbU>VbclF zYn(e(71eZ6pg;|hGi`h;kod{w5nd%*9`jPEh8jD4R;263{q&IUnk>0oB@f8);i<60 z2lD0NadEbPS>E>P=8xw?77&s20Sa~^Hwoad!d_$yE~R^+3MeR@FsQXVL?Ec9X>Xsa z!&qHY1I|&Gtv}?|VES8ulIRizD*3nklRQg);E~K+K_*8f}9Pa{vk<3zzI;RPIcOhEV?a!VcEF_r#j~Ed6mH%25{$A z*F8HBA4h7|6~xc#~VsXb?8a{!Xt z>a!`{|IvJB4TN$exQQOUYf$#Iw^}UQ5wZb_s;UH=;(-)!yiy?!*<_?raHg8Wkn$c) zZe8H_6JC=egNoIA^e1yLDB=$x_|UB^QcgZT)o!$Bmh}2h8u)G+NJ}s|mbp8kduzm- zUu^q;4%3A#nSupE+UK9K&*&s z-}JlHg*!Pa;D#xNb}uMgEUF7X%Ll`F32yaicw_Ls3?Zx)2=Nz)Q7MBuia&x;Q+95JKC0ysvUxYb#X&1WVC<7b?Gf)&h zX$_r8#qRb3772{QmU1j?zkCaBKcIzE!}_9GkO_+dFocD_j0)y$@2{D|Xc-4^>|GTYAPD9p!nD|r zEaqSlB6&%OR$=*!aBnGSINl<83iK|L1ZCM8CQpI=#T??|z5UMJM#y_6$+Yk2gM964 z%>`liB~z2eC$&A2ug;jz5c-=ma(o~}LF~LaX4`LNr zzk*B|DE=q;6*u) zy9OT5oUJ{)%-yUY2y+h?M`sU5JBtfQD>rvLXD2B!39*}^7i>K|TolB`|KHEWoZW21 z(aYhS5Xc3{gFCl%y_1(xd_L(ml^@nrk(cOyTX(;C=$7!KT((?7;{29tgG?hAXI|vB zsEH7sj0aaVB$&ipqnM{c{7M%)7pjGx#M_=>k2x`QB;;nTXvcuEazJ3v=E{xg?^%q; zxWAZJrxDlQrK$uxdY+;{r0Dfis?Qw{xe$Cxjp*_^1pZNU!44J!2Iui`OjAP|1Diy zdq65D^5401+JCiD|Ez-O(8HP8Yo{n9_aTWF>$(9-aa_JothnVr3zDJ6zXf*oA z&!2T=uG8Ik{6cK|tXtW7n@C~181_QKLvwKCdaoJ^{Dovv_ikj<<9ElCm9D06TfAd zjx<$)&||FiOT)!f;xl_Lnp^4^q`-gI&3`M~^zM~2b;CAkF)!&Er+V%Q zG;!M`zs$-KO!gY{fdrS9LU}#9Z|{IBaRGsBL0QJM1dvC=ZBl)#`()pq%J5(cJ7vLs z(|7alg(R(GD^=uF3ZeI#X6Ub9zb1IbcQj5h+;$<4dND#mz}sYh&$^|L6TDVx4g&8Ij(T?XgF3Sq#hP;w}Q1Img3go#u|HX&oQ1ld`* zC9mvkV`@e<(Hv?_Paf`1Fsd>^7`~?k`u<%R-y6V$(9s`bxP6Kt=#Vl_52@Q(n^tcz z_UO4w7d(+@@^?sa?{aLA?fPj$3*n=Sg6!S_Qx#t z_VytI0|OVBLMC4GIDwB)r3~XnByQZeQJ04$;qUf^og#?2xpJy)Dx{>Ocuz$LfxBS4 zRJqYbT2T)_*#yF2HC%O{PFq`>w8Ac^W#C-7+xJ*C##k&I1WedLV3#~`v&=XlAwh0; zCVrF%wsOAztcXF0?UOhh*zp#N(;ZScmVhzm8ft-kzp%87%p|$yP#H22azc!W&wcV0 z=MhLC89VOjH-^U>i5eC$1c7*u3>09X3k2Uxfi(lS-=N&>8)c=S;iS5yt%75!U@`D86|sq zdfM9B)>is#+;o|!?GBo5j-iL-7!)44b(@GB^&Avac&?@S3aminZB8{u*S5AcXuD?x z>>FDN;p-_i$G*)OYE3%K_sFXUM9g>~PYre1d2PrtZZffE|Eg2fmR`pv33}E2Nw#-5 zA{|61&0n%PmJhbc>j#4Tn5jNf;c^B@!x@9zaxND@GFjUuID74qaxr6S(&w8_DlT>B zYMM!?fPgpx0hx-+$kh~WpP*O!>Xe$lJ?-U7X}IZ8ukj`)?DE_}HP~s=($aF&`B;#9 zlU?eM%T0!{zwNYkT`Fvu`jwqmPfHkJ|7HC8#SCIeZf7EN5EdImT{LA1xgc$*^PemI zPplOhu!QsbUJC>}_f_cwShc@AzyIp(CG1KyNYrCr-1)(FebX{swp>b33#ys@2=mSS z4HE0#wR^m-43K}JOr`EE<}*TgL7o^rK=%K7o{npMaRwYgG33Ind-oVE3c0Gm{hFrT ztAnllAkW$Df&&x0$?zE_`f*7^HE|*et^CG}WHiGzk zRcP5_F;?kATYa$g<5UlKaRvoubQ*E|G4yOo1%z>a-@oZxk}sI5!MRzkPSovyT@eFw zDnELU-{%U*I}nJzX@E>>z_uYRJ%74segrul;FV)ibw0mp`+TbJ!gbpBw=SA5+`x>4 zJbSEsF28zD`1MJ?ND2wdHak0u`of1}uY`oE~|NZXr+EkSIRIjFZQ;uOVZ6}b& z{HDRFu`R!>zSxnf%mdz1HXWNe5HP`Bd+zz89fLFU4L`!0d zSFI zn+z9-eUhnxD5^Md@nO{cFH85;-sFCI{rcGE;vk!pO;;ninp|}Q&ifyPq$2ZgC&`qc z(Vn}gCKi5|S~^s@G*}hThHd^!bdECno8MKNXxDH#R_+MMTssW{2f-j5nP?$NTMy^? zspJ2SSNMdMN`~x*z;&FCYmu<&;spt;ywMeW@JR1nfH%OeS!6z8`EO0!wPW!A+Yp9b zqm#bH`Jc~by7&;%^o4wTeOKwD7(DXVFX20v-u*l6p}FHB5Ql-BIMai`V^Z8$8BnSmALUIb&rYY)C^t1%T?y@e)loCk;g z7c_}*BzNz;G~^2aT5I0viVU}dV`EQ3Zb_d974o(y2R-CPu_43liP+_(B@ZGx3FEKA z1_4X_MkA9n>TSzS*p{M8N$B!H!R*u~=uUUMovdfU0n+pk+aTvIe*k0#$ zUNF8@$7g!24CWef=Mocm2TxR!+UyKYPh2ECp>N^+{VQrM0HBfOnU37aeB$MJ?_PLz z@ne;=d%_8B%l1|?i$(+wBr?QZRxthnD0IS7`C_wfzF zPOlViC4T#s`&Xv&cw#i(by};>5*;YS*p_KqXJ;>Bp|25jeb@gl*`EdTOfrdORCSSk zG`DnEx!C>N)9cc*vh>$VWKD~Ui>3aAmuc3E;qUo=PpD^WD;__tbDSNBUsMm?24 z@&rW$WR3T&Uq#~4+>jTN+=EV_u>Tp;Qz6NY$d(DLtpwLsO_fHpOw%Ahb?3763LQl( zvArAz3IUgd1q0-ZX-y!wn7}j2@3qVyqoF>2H}i>yhez3-QO)c@8%|=}OEGa&)h~A0c+GnxU~qUi0-TG&K&oAK z{%AYEewpA?NPOFKDCenn_xN2`Onc7RJ)3vviwoMfq#>TXJXjPI9z@-OOtgt1gu4^b zb^t2U@G9M=7K#Q!AhnGV?0(NtAhSauwI8lOgFZy@dr#0M`!4(>4SCx2StY_>1Mmj{ z!6i%!uBE28kG^ANLYA)1@!URWw+YbD5#?BXclw3+dB4`#4WBFV56B^tMZ-1+uuJa@ zzMpOsS3amr*U(YoUm0MEymJXa`bFuGeaY}U}t&0xbFsB z{vC^v48+qZ6d)(e2bZ0KN#qC+UKO}`kBWA8!NFzHJ7_{R^trx%_}wv`24^O4m+E%_ZD3>EsPWoKurd*0aQDYWW=z{?m>rk}Rm>>M0U zaDTmJZarjjsWRgoxO*L`#oasNf*dxcaz-%NXw>;@9mlMT4arXtAdIaxW8${Ax5dQo zaA+Th#kYo;xa~hJb*D6k!w2zoclsABJtvSXA&?}ktf*UtN#!ho1X{mPcmNs8dmarC z?x6L7b8eN--N^Rt;bCqCl`|1#oW6qbw-r*5+4m#v906_D#pySM$abEHJD_T(T>Hf3 zu1K+(g4$&MCb1><93ZTW^!;`;cva~g?{hj>Qh33JZq7)+kvzdsz0F{GQ zw)IoD?D|r?#^x97vr!P2?O~hnHV=UME!SsS^E$t2!xEu4Oe%*`GKKKc)*W14{?7J& zVaM5xN9S;9ajw(N<%@3Af$!S!g4zp`0U9Hu;oai#!Y3_T1qw8r4$*^h zgYbxQC-@LkQe3-1yJ3aCa6YDjQXhKBfkz~Mlpc9>hT>o~Nof!{IkJ+QQnox)HdR_M zJ@nS7(Bf!t$Z1`Ded69r)!&@TmiQ98GE&9j2oa%;qV)xIkXV&HTf6x0+EBSvC7*Q- zJK9RAk=7RbvL3_>malXvZFF>pF*x+mGFpzdJF&}?Uc&C#id|n5r)l(Xu?-eE106q) zw%gxXBON#jBYbDx-7BDO6Esl|dA}_#HVlbMLxiGVb2IP1C1?t9L|sI6Ry?O?S7K*w zFin9Bbp6E#ZNowR6iZYG8$mGMl_=TprU}A?Jv%I#(KInES`bj`hX3-`vSi6mBwUq( zvv7DF(LWeu4xkmmUMvho8Mx+Tpd%7`NZ&h$ zQ0AZkwe?g@6E6!k5-3s`84Y;+y{{`+`ZVVGFD=1o{1}OctZLGa-oX3p_>|zRYYAHVfgHW^STgAj0&&CM z!J!av4Hkd9)43Epek^ADj~a^+_dXqJ?cg33dg})U4f!m=8&((&<%=I&rr=@=KN(VT z(JB4T!$nr!pm|;+VOK2Xd%roo>JhMw@NB?)bjl2dRTuk72kdRe^a{d`kM-g`{ye!i z`6Dw{?G(e#vUAX4Ac3=F{v*uEmAnYx(MpDPywGE0_7GTmpN%N*{CA`le1GC`dn6(i5D0(%{4tyBNJ_t=q4aD7j+xjoQ;gLY%k23Pb+nk< zNJShwu_|l}BIDUJ_VYYEbZ3n_LECAp*Ect34KQf=@IB-cZ9sNuWOi){C$_(`2=Uga ztg0Hl`hsqtBBwB0&(JU_+Y(gLGwlsxFPp6S%LBKoI$syTzK)M6ZFJ`8599?V?Whcu zJL-*DJ1!p-M4cUnnb;M&8wJRxvj1JsAYnhdQaf(6dy$J*mVCEiH8DP0;iKdG)kcob zUylA29rzH`o)^p8kkme_mc72u0~@`$azeHAtz{jaKp0)qGll)6V}4*KdR^=J^K$_C zxF;0fQBQ77CNw%*I?0k?NG0<1YVyGMB|nFI$o7rtk(}sio{QL99}^NLH_{H3K*PcO zapx8P_G2bd1E$Mnh_;7fd%siN|QnkVl>qz<2 zg>VhMHIy(E=rDN;kZzrwousNQuq<-q5zu#cdtQFWMC;#&hW?UjnED8KkJhV+5C~X_ z;vW3w=t>|bx@ya%9eD&+K<7ra1^W=`AiO1*+*v>QVh z`;!H8t~z(C4xs`7n*sR((@neHul#m>puvqf&MtT#rBi|TIHZ!NOZpzUd&WZ}9)}+L z8*oZ0B(H2LQYXtecGgn;)lrn`=Uan~Li{t?zy5pKiIovq+cjxbfS>o+ID72F+PYg`SUj9VQ4W-Bhexb4#_Qmtd^^ zG_LvwMM7&oMPS3mbIR8+NkKtDjU0BjukAO{OakLu^t;HwWdsG!G*F#b+@bz%`<)cC ztcXn;;q1(fVU^T^IA9<7`T1SjmV9@= zjF?wi$^n|5bjM%3L>t%AS|jzC7~Z^m?u`NHup?C01nNDkJi1Uj2teD#>1KRw^|W7S zz}L)7deC*aM<78S0KM7RH)n3JW?~wvp#Q7S&aXbdkhxDQR^56!fzT}vh2=KKyucB0 zmXqfSR{SmdWDj-?%n-)Q3;o%JfJdkV%_oF;<>&gk8^p!w1Fujec#-?|qM1W?7VThw z%5aNA&lk4vHvr~wuC1`VWFDjsWN28bZQuUx23_q{<#;GU1@T!mNTmzVUb%XxtVb!4 zJWgfisQxS(S(8<4vNvaLCDDax+SW-GE5)~G$09|YWh|uHK5{48 z_y))d9-yvbCsqPDExROJolHtc!>EKas}KjWn9P}oJJ*KK;r3ANoLCmuOI%`>g;zwY z>6x)(t^wZTBNjEY+n7DV8q{G0r{RuWTLI08Z9Dh)(RYi@M9D$bYSok!2aMcw!db#X zn7-F=Rpn3{BW}lCO+kb)|NUCzs}<5*;=4GalotrlMi698}h-l-!GUmFf-|bUh($nc61%NseH7faCu1MVQ0exXJ`kC~3PqEj5 zmmU=cK74}eQlU6Pln1jwv%Y13qOk|W1Cm3ZbKnL~G`}*QiVVQN$R;)~W5#16*oBZk zpR;WrAj;H+r)2d|d6nxAA+?|h8OVhis#PMMqxb`N%mL;0 zA_c#>+Q3E+d89D^)ub;AP!i##kK&!gfT%JLlBJU}$O+)Q6Ka~Z zL~3sSSZA-b`?6F zuK{5mgfY0At%y0w^9Xs8l(}@oiBNntYP!hX^)Fr2uW{$jt#^d3E(fvK)jFR~>4$JBY%7qF`C%HG*LSkr1hYYEu~Ad&3t z`C@`dAXkRHe*O9_Op?ch_wrxt@u;mnc7(#={stYS*akPYfSw0*7D*39W4OF{A^ZD8 z)t2Kz$rG`#H0ba|r4VANI2}&|)ASI)xN`XtX+%!ft3a@I%iW1nImKPV4-lVao%tbV zOGAa}Udq@u@@ps@aMyX&C{0wBHfj#(yd#bGnE#e|1Y+I!89iJw|26&*Guj+P0O0K} zA{H6|@ewX@y2H8{C`A{|Ck@R4PY<`J-ijOs)hj!G|CHH#iXSc8_IJJ&6%}=>pi4u>;7Xi{eT}g;B%g$2_MU=w)@f8O zMUljm!MM|#*R7>@HNK-=3%RWhu>B(EV={7cJJBMCuUVPLb&3PcH5_0tn5|pGg5x3i zXj_}*U@^tS#DruWysU5_9Fv>pQdM@tU}yJGNn|De5#_;@w@kxCzzis3AYsy-T^9nh zi&yOSHgaae$EE}(c@5$O;}JvEgfuKSX3JN)S*9r}D#8iF%nALt($GmivvJguTAa*a z+~LY&w_OeGD`#9OEn=qCydZVMk7@Ht*#=nG2Gh}&Uh1X_pjx{r4fqq+lrY-|Q38tO(<|q^+$jgQ6G5RrdZ~hPXt8=A7JDDX@vtx4nZKI)$j% z#yikC`XGFPEJg+{|0`k;28^tQCKvBD_sk82=*F z#T2!)GSUoC7g+s@=YgguS`P)Z0gv*mfP)gewChx3HzW~FLveJ$-JSu6z1GKpA%G(n z(G{m0HrvM+ek-w~q5khW6v;@z(Hy5M*#|%VY1EPzZq~EdZr8ft(nYJ=Jl5cWSLh*6;9AWGycfO(A82G@B z?3}QkV>=X9HNkDAniV@*xwD6K-tRwM6L`y<UDq*( z+0q>$2cf*sm97@6^-(^b*CVE*7G6)Y#jzLgJ~v9+@o2!RMTOJh?rc1)+|rJU~!ZZ!P*8~M&F6oHs4d5#QArGipS3_i?xM?gvrkIL`l@lG6bM_>N1{ywZ`*0m~2h|+I!znD~&@y#c6Rf@iZ~;Ocze0s4`gVMTxB8kYhuPZvoS zsnn8Oyckz?^Cg-vQlPVXVsyKCX0O=c0w%8MOkoty2|p<6#`(VHmLgPIjqG(c(ef1U zS{stFv7o8$es!&@t6=PQQoF52a0-gh*;eOC#ynYzu{`MF9KC<#%)>_w5;jXnPKMjp z0zWejE7@5hCdrrizigOwzn}g@Hx6`d(4ywME^*lwbP8QeN4oFUzC;%%DXmW9Ky%M>^YEi-@1o|No{^EI zScIeF9XLEiD#US{Q2qGZ({!qw;gTpvN81xoz29kYOk$B$Yss|XThYSfLKH~7gar^t zE~>w@Qd1I>iz3<15A1*CxRWp8O0U?BBMybI43zo+& zV6s=fP4Fy)nBWlD`VYx0(U53=%9m?#~{l zdLXBlZ?a6{QFRAhn2d}}9?J;ZlKfmVq^ z$6&cY&cY1A@?AM-1h*VuMnu@z-Bwo*jsD_UP>2T&y$5H!7-GTXUoBXhZV6wgmT~9< z+Bs40162~V+tJVzAX1i1zUDC=20SXwl#nQ$CvMkAlVzlEO3(0r?)`sMl`1yN;txtE zn7_1Z31KfMVG{zJMHp`Bdl|ZiPY)ZW$~?MqCjFEA7+bG3Whogbfq)Q8KSw|It2yrC zwBhq0=f`8;2dou`U*Wv&6>SVHm8b2#x1p5h?mHIoCcaqi8vY)@=@NUl#1QV#0F;J< z<~75)Bdx=#!~IH3Av*rDrh{<^%&?bg?7JE%#{rc7d2g9FaH{w7SBu1ard63;rBddj zwN~30zxu<{=^^@Be0vwnv8g_98k=g!GrZRsFkEF_p+DT1o!k0*k%1Xef(kR6PWRiO7N zlC1+}A+HXI&V^Q~>TlbgLRV>e9ekm8A{9=E<$P8|m7LO9k{}L5BelIC>t)8FiTI zts7pQW4&3lz}d?z`3cNKz5>ltuKwneiJ!!GI55Ub>9Vqdl#Vr(?W*!CbmkXj3fEcb z#$Dz%c3W$ghCCGbBrgpy9jW*Gv3E#jyv|$mTr139v@k9q-+yK~Jld80QLGC~L;fl&u~ySVHF>N9yTyo$FA54a ze6;rEE}p{hk&}$YI3r)qbkkEhC`CnPNw8neWiGai*zhEWlsZ`3r(3*MTFenDUM99k)xL@NWq&St>%HqN=3d0(zPDlcThzW88TJ^QO zu`UFHF2}Qw7wDv*?8#!Bm#3Pd;EGm~NpDY-!27(O&(iS+wGg-rQFuTm<<>tonGKu8T@`}hjM^^j`i65-2tV1?w0ctB(2C~#o$~Pu>KC|xw zW|EaNPtIk2$4dw9dnX6(%`5lP7}i(#LS3}oKPc}d%Hhs_3iS|0^B&NCBh84m!`Z%^ z5~#Uh+gD=O=K@R(uu|CJhzc(Zwr1+sT->g@zU;^2Y|O3pQ=W%5$LfCZg=6 z{V#1C%1v&c3bX$55-n!^F)4nr>A1@K))zk>CG-Bs&Al;GWHUQGJVKImppa5aE1q@C zw&$m%#Ia9t9dzWC7bLZR$aPtb&RIEVDkfBBG!SwPcK0bwR>0HyAt{0IHlDs|dhKm{ zx{tJr{LbgaioEfI{UDfU!5)6weZG7e>%a?S+H7>yyZc}N3V)`?svpfU zU3J8&YIc#ky!vL(@g4d=CiLhcb2~Pe-@pm6v&8R!O9B1}CsZ13Q(9SB<-vWL`t~vc z^q)U}1*|ESX#v}G5T&g@&%`7+bqtkMye?R8c?Vt{~l{pSe0s_7fp$uFn za|g<1wZYxO?9Tm1!}Qm4Vj@R13!T1d^Wv=Q`O{xTz%6D<^3yvrabjA)nVm`ret`2hwXHk@Zn*lsp23Gk9yS?OUK;I$@A0k<}S$+I#h&Qk9j)gER!a_ z_K z*EjF+H>tq;cGO*eV4zU*>nnYQ$nAiILR|y=5uYWk9%AqwAm?)MIl{ONQKV{$6ES4` z8KMZetcjNfo&v;ERF+oKR9>+d!l>NsDySFUWZ}6jY9=Zy`er*Q)jYPz0&YDhuX2n@h<$Q~3n}LJ&Sm|KqU=gPNhoqnpD(hr zdCwEGVHD6i?_sMk+~!Oyoe|L!idvBB0uYK%8^cLz3DeIiJ4NFmsaU7=-=f}@>q|U_y62@8BsuM>Nqqqwljd%U>*Qr1} zJ!V-5g-%COKG&p4^JG*iyzjJL1BQ|epr1pSHBRMA?@0Xmsmlz^VSErXAdwpYuSKw$ zlCN0eiDe-iF46hI-ne_skL>IQK%2}&e`#)R*73i}c52zAsP1>DR2~+NJ0^P&nf*5v zlqp1UZyQnW659(c-X?Y^hX z;oAlUDNdU9eQ>YQ*<%Gf8QMTB5qKm&`{6CrFb)3!FYPo^hA{bucOkVv;-BWXi{}dh z;)x>?abCgW+DCCy6F0mxEepIbe2i`ty!ci7TZ~2b|KmL`obezwTr4&G{Q0xavuB|| z*Do_vNd<5$Y=+2 zQJj88pbqL=D~cz+Bgg^5d||RBXfGhz<_i_4)Zi#`+Mk6yYGG&>)f9wpqsxD|>I(2H z0)a?B$KjU>3^2g%mcj|>k9&rOhJTAw22Fbk%2Z8GPdtzGMBt`dnD7fH^LtmRM89^i zSAnn{k+toUt5U58EkXN?5HqjAe`MJs!u&8aNfdx%7DQBoSWmg}$>-0XLzt<(rH_l;kKUdbVb1N^Rz!Y#eC3P* zaJvDm=m{Lo3Va)VbA4GyPjEm0+*;AZZ_@lzz=1V7gO~Oq8EXOGS9WjK`2L)%lUE4f zzJcd;q&%;B&)$yRH%psMdGl?%#0A8e(Tkj96)hW^@9f;;RsBG1f*ZFQR00u^f~ko) zdTI>yE=EX^Q=p{;g9$fDZ5o+gx(iAN$C(_k)E_^7e4G8#d2?0sbBt0HLh7_dX#KJK z$!A})(u-iKejB=IbL}?SJDDN*kcS|FxYxvJWTqPkOI_OGcZJKB$6nddq*FoOr*7>uy@7A@bh7U@}h5&wb$ z8wdR;U@^oh{$4WnnN1+Md*L?+YYxsq3dRE|h^GkO&7OO75Kse0fHV!fz)N@!%Mv>v zO^SW2)Ge6@dmotxP%q6}3-3Z-FKONWyb=NJ1U_hhoEHme->K@>0Lu+H+c)u#6n@%r z11fMW=#U)b#I|A?D{mcIGS8^G^HL;!oCEdjU#|pt^&lkoHtLbmT4OhZnX_FVWu<0i zY6=(|RE=)0gboQebtFjv%ZYk~9ZPEN45u?tD1icGi>$(Op2p=6oT=PFf!FhaxeExs z5N4@(THjyoUoIlm;^LYN1AE4{bYLonMHN}_^JiDNroN|;f97r9A%Zxr+Cei`vC#rutwtV_ut{*$213n7R0`w+cam^-X+^J_e*> zA~BJbY%9G$3IM(=5mrE!!l(9j47cZ?-Kmy+_#B3B_;x_vb zSQsDUb*KIZl{qst7CyPuxMb8)+!&bkyq%$)RI21h!g{PZmIx>|iXlGs|H&I28sajN z2acI^!+; z9dSqJ$&<-ERsS8A93wO{l|p9JF|aCvniN~J0vid9Ls+m!IB!`F-c_xBn@<1^Bs}2* zUx=e;C|sjFMLxUGocI99p-|cVbMhc5F*gMClF|fDw2*@>`Kiz~DQ$Pr3^Ke1<_IY>6cECZvrR04R0=!;D?F+#P?J6*>38D&F zz7jN`24ybkSQXW%?XIHIH%%6KH30ym0z&H@EVpQ-oKH?KUZ1c7w-}tveUkwse9n^X znSQn&sfs>9m@>u^Kq>`KVQX9}>n(f<+My4OCK&J3f9$2@XER{6uFB9Z^>wmZ0@w;L z9LDaQGBA)AuuGEqX~1rjEbs0@6RC$h@S8vx=N;ee@`6S{mw}v|PFDBA>jH}a^KIa# z69kieLB!qGlT^qd74AYhf3d`d)yW3Bvm;8w+BX0{ z_3KyLa`rHgv;ki?*6#(G+HLav%>4kd~H~W2Jl+Pqu`vtnaRJ zh*c9Vv$5=dx_c;Jy0@nhhd#m6zF)c1EYWfb)&2vX{q5UP@KMJgz#SA$%02A3m-&Qj za-Qay-uxzR-V}L`R9TrOQ7}-&b&YEbOk=17hfMbO_MVZn0Qtt@^7!i3^vM8qX&`#v zC>^@niyw-UJ*RA=j<(xg8m`LI<}`Mp3*5{Ucz`PK`A>t(H4f+q+JHpCpot%N*%dGC zx4k4b2>}c>^v&GJDLrxwFqDW_Z9a48qs1KL72O_~6T$XpNmw>Jo<}{E`!Ehn9L|`FrVf3ee~r_jK-+ClN7co`hsR-{jl(^m4o;_%8eRhn%>{? z`I_rUtg5XUeW+=h;7P%+`MSnKG|D)hO8WBo zqWuFkgKLJhmW5pB&!1PDy*VUTu=weTWs>VM9t>>&J3Uh3Ei$?3iYqrlV>mYV=g-@~ ziYujejD_pe=i`8z;gWOtlKmJse1LOdbeHiY@85;hgna)ds^4V&tDTwn$0LP$C$sf! zY%Xfv9Dkf@M`X}G5dJJM`0?R4V^z${uL93n5hL)i*MQMzi*^{_6&byi9z-+lb!4*f zO!~t~ncKs0=of|7>V&REo2PUA3_+_aJPVZ%>qTrw4s%$`a~#iJjeo$|t_UWVfEhQ8 zMLpch zG)nRA{?PhDjXOP;dLz)N8Tb0xL%hc_deEs4?*Sm4-2OaoBYG+#GCyT$8%twmkxr`@7(t0R5 z2)JXQL;jVWJxT>SC5(bhN`6Bak%OJ(?~Yp2ZLM0dwVvTzA__AsAgZseO?!ac6*8&XNRIsU=Met`bPqd zRYZUgO){Q~w3@vpBC=Q{@V%zyr$B@L5?;?s@#E-9aGpdQB>98|SbJL}6xI3K+Q!H8 zCexA4mGSYWwOlYWKw}&n0aS)>>!6E(MH@Jzv6d(l3Jj6J<>gWxz@EPYxZJ27Um)@p zS~M|xJw`mQo1oYfw^nn~<-4r59T^uN;~c_=Oxk1&QK!zaiG{-3YlM=i13@QQu>4q& zb^DiFK0!^aOf9xXumaRqW#>TEq7_Hz*eZP9`)CpdQ?%lP4kyURx0b1_15Pp%B$yAw zTufLaBgKToMA|q6&2di$X-^by0Ue0CVXk~J@PAY=&R>-#i&4kx|FSq_A`9h&pF_89-^MKN;XUw&uYt#TuyHt4m8o0%PFZGmVbM*1ieD=pmuAwCnnC^J!161RjI{l_f^wp`t z@Kjcozz7-v_t!;~i%m@QIAgrTN2`|N?$u5bUe_L3TZrSd1Vd?dn5Z{#pw^PE zBGy8eLO6lf8M|`APQVk{CYVCrzMrj+4jVmMY~03wqZz2 zG;n;XAG`G#N`eI}NDp-%?Q=NZ1 zuDV;X>+e0T_vqmZ`ZG=YwA zf~&UiCqNo~kF^F>g~m?d~vpoXRTcUdqPnPN7 z-k{vVk4&}k2dGj$MA3tn|K%4%TmmB{|BOW+AOLhXeBwzj7aP_>b-pJ^d{m;p*0F9a z$(=Zn0os#X4g>3_W7{vU&uKI~a2Vq2#$9o6(kd-#E8hM%6K0c1RWL{rtz$C<+DsX) z)RPsbu+9uAKo0ckA(t>vb{xqh5VqN$Y!Iz)lv9H*RB~9!f1kt{teV}m8m+2o`jH`f zXv0QCY(!N)It1=Y-5ZLoNoWXq;#}U<}1AG-D2IL`2 zHc@!RpQ{AJQb+DFA0po%5x|y|@He?1T+U>GK^2mxWYSVmTO*=LL|5-oHapgUlAYCb z1`;{th`?_yWanFegCo;0f{3Doji&=yB6(#QFG^^xvJ<0eKyhY-CoP6NMD z8*8s1mNQu=SGHsx3_{U&Z&BOHeE;0XUaDWU38_#+4lh&GM^VEmHQ77!Uubo`aZJ*s z2=%sVX=7JOGAY{qyS=mXJs1o{=?HPqpsTTsvWl2VWV5M@));iM1gfL)ABcp$6w+LT z|dD`MNVCn=2TJL+a!1W0L zJxwUZ<2}U$Ujo!>+SIy3e$}xr-Qq>VOVDg>0Q_!PcYKA3d5-kpbLxK=MoP zr)Rfer#Ed}y4td49jGJ#5&Bb$uhRBGN8uViO*V@wA94kKdriJ?71|bvTb|`{i z$8PYq<-wjkn{K0xeu3%ZvONF{EP=-;y ziR99H+b#3Z@s+4R#kDV}7_LzAhPC6{I`-#U67=sTkwoI3W30$g@ql^FA&`tlHd>Fp z+k!!jfzyfJ268aT7UW`uo-S9GBT1w@0$~`Y_r-hml|IRzzO}7R#Io|?Vm=oQ>i}LO z{pBkIO=pMrrm?P164L;aJ`M?SN2W8djQ8V-L=Md^u1ZR zhNxp~{Lz==l+FZ~JlOo7fY5k^a#M*%Ag*Op6b?nPHbu}mU|7J=yY}zdY%qq#hXVdS z(MzVGm?FA*sSG6tXG6#3Prz#tX*IQMA~)AImErGoS#ea0-?Y# z2rJl9lxtkx+ayPK)T{b=wqCj42heR6?O2qgYo2!H1&@h<1jCWTM}zkI(v0Vxx|546 zrINIMs3c$KV9IB5QKXw360ZpY)Piv0{VT@f*0O3{x`@F^%4}LoTT=_342G4`wJQAi z-GhkdSQN)55;#+vFo9FJb;{an7VM6jBEHzr@l`{Y9d1i;r7{$RYuFgil0v&v+jd@o zTn&xBR+vrfS+Jz`0H<^iUD-h^LG0-5Q*Os^h=`on%5;P-2HGIZM05_2_cQ!_HBrFI z$n<5kYrh2V0VegrrwTwn1zblwzGF4}v*Or|JWUiJZVI;S`-*WYi?O4p5DIIJ?6MKi z7#>+MT?}h~7I=6;FSWY5IuOuxw8>XfbGgJHDJ`GZESKA;7NF?` zkGXJdegTquMvtv6mVMl_t4$isRO zXhc|dZ^LC$u?F=5e#o+#wE^h4bLY~@N7KHSmvaMqOBoMW0goUiEumEqvMd$k%lJ{k*z+ zTu1JNa2lt9;sxTT%aqin?l|)~(JVbdeum;FGf~1(O!>Yr#UeA;kjw)r#j^3uY1%+4 z-C1jm_V#v~z@yLDz;YLQN`77&upHv#O333z8)_jK!+ar)23o_EB$$j`L+1yu-nwYm z4hCVPziB2`EE@TA1{e65&CZ=;Qk7t9AfI3oilL25E_-zxmR`|OGH*15EsyA#)CjD} zbQV~LhEoLdNkhf08X|*Hi}tunLN_fM`tlH~kfu~_)#i8Yof2`5pFBN0Cctw2AG+Q; zD$8|i<9!eWkrWVV8&E<7d65)Bkr2e9I|SsVOBw@^P6=O91Vrf+kdW?FcxjX_k(NI5 zVSjs^GsgM8f3|ClvGxkNpZlKkn!oFss8`8LD(%?<&M`CV8T1+EFCe2u%7>geVdcd) zg=serU-j~#Qs?8oK^W$*f6F;eyy_}wd;I})xIt<4b@E=c1?2mvXgA$vvm9pe5JxG# z-qnTZL)OV3A(WR27F%tyESeJqeNQD_5h5`{G091aa6JMp0Yc$8pv>VI{a*84F!a0k z(*p_#;I&!A>}k5!|8F4Je>w)u@TCnifvCmbmg;sq5>O2b7TzWG;^m?h8!}nZ-4uqg z*RzGi5@0`}hS27pTP5dd)CX}qRDs#bf|lr4#l-=h)@RQ9F>IqJ{Y-|OW$;=F!juUD zp9UR-g9BBczIZlda7CC)ygzm#67{uDz!PW3xI{w1H2KUvi$z7J^{% ztA|z@=#4;&4Ld9*sO@eSY*r6GjYDHM@E*HMD{#jVavC|$I2K@X*KBopr(b^G4=`EU z-+#g*ofCf%j0S5Oi}A}Ujh0T8qBnD-{w3~zg+9|rNGl*>!gkf}yUyi<(kux#?O=<9H@3(5n z=me3ys__{3_Nsnns%;3Q52$9cJv`_q&z%_F?J#&+zoQrMA9oP!xo9a@5> zD^~rhzs3jN(k@}w&x()JmFnAt#>kWG3!4wvEe6X9n^l^1J+_Ti(?ArQ2o|;ck2PW9 z4Xu*313G|r-NfEclyFZeoP%D37}0WDsZTb?%3J)$^mxy|P>x~nSr(On=D;u{{=he7 zNA+1e6Kpk>T#@jCLDFJN?IqtHk7rxrT_|rKM zi31OEHaXNf9Z$0>D<$Z3O*J#%smRcK2-<`8@MNag;%6gLIW_x#T8;;Q#wXc5YG^P? ziyA(qv)gi7nR|=Ri+Ytrf59{5aP6VvMnQ4zGBY3A8|QwzYql$|)4s8OMMHam zE5+U9n4v=A8%0;K=URz3LEp&=QkrsiemJN5I~#U~(@Um{5(UZCfAm=%o2;1j zWuuiMnplBI0&p3SSFoCI{&_>wli&ZBk${Sdxe#W&^bkIv^WYiX0r$3#)PtK3Ka_6f z4P_@vC#9s+ZUlidTDgYV@n`0zPbWwV<3mbzCOkT;iWrm}qo-a{dSYrD_+E!_NbM0w z=6MoUC%FFcg9@8M@|Q%_MxQ2{B7z`jDI(<~$dRAlIXw2Yb9d+hh&a4|s@=%T8Fn_Z zva>1ogC{;Ld6;qG{tQGOxf91ZZf*OO_f2=DL7o#MbPrX9q~g8ot_e^h4reLhz*l@0 zO$+H^kBq{!#|n^0>R0nvgkvleO^*Yvc+DhWW#Z{cS{PQTpvfr{M3S6rEs1krXtpmH zuHSq=b>?&x%jtH?@Qd+!Wj*Nh>=N!p3GmQHVp{iT5v313aH)f)QRV{LQ1Z8L!|%+YHu)ol zG7*n5BDthR;-ST~b?BI~<-B%{ijg>u+{YwwZQG4=Xc>Y|rc{PJAmPOjOrC$lz(OC8 z*Rl29kc@}2LrCRc>3C%u;|IIaxYpv9r6+Cp{56~3tOBxLMJvzyFf3M$To;e-xbXWc zrP~8HQsO8sRcW!bw&KT17vrwP1d$2+_^R!E+yrn{bY_l>Lvl?G$`pG-DAJ$3IPRvw z(41C6-h&R6>6;0H&|Dle`J@%4jOjRLgR`tZ4L%D?YJ;&ANhNzK^IjBP`jTCCvbw>n zcPd(U)cnXvGy$PPD8IO~e0Z>|oL>e$K~(ekBLaHSo=DLgIMwSQ1j*$i+6_=EiEljF za<+TkyFHV*+)`C!)_eBw-#rMpIGV?!&7+sp??%N8#bTOKT8pyz&tHyaLZKKxo{;}9 zks-mT%A) zF%b4NGh+fGe_5F|2uuR>0Q!w3eGVuoDE|jyDZ#nIATs5c_9+J8;G(=GzC{qjdC#va##fIgSXEDex7K{$H3#b zz1m6#vnPKa_r{g5O>LNBLCRQ}5w&r&`~ockJjp}{6e+L4L*c}Wn`1?1b6>g39J9ge zGV{oEcSNHq@2gA-%$3>?1}pN*?54FBs92<%1)8Qu>lt6Pw(G@`6eSeR;ZQV?$-`dLB(B=%NKW>ZL{o=qvW`4M-df9EO0u)n&jRfauMz?>z ze3So4X(ksq8e7pjQWXEY=dp>`?laI_N+>KW{JH>tKfoo*`85tp3?b*lRTU#B=w0l& zGp}0Z4>?^LmTwkdOz2^;1AbR31Wo^He%|}QbLTNq4FqczIGS4tt-(v9fGfUWQ3jcF zEASV3Mws@(BfQ{Y2cyDsY$1lgsUu!6QL@MNq#3tH>4WqQqp7p*>km1#ZbJf0Q+)*$ zs^bQF!-Up55V778hUMUT{qVe(wJnptTRi7qS{G{r-h=XY0kmeh z3fDM3z zyrD^kF`cMt9Y`N&3de~Rwv1xd;Ei_p6ExbS^BJF7yqlA`faKSprIKy8(VrF&Rr7tN z@$53qHV6$95OQQUH2N1K?Z{h8t{=?WK4p7%M2&*}X|Qm?9i{pmQmba8t;W@BcoQ}? z@XPrmaE#RpKupH(y90dVCfyyKyJQ7lIGA}iV`-IbSh<@i8f#YXAfB5e_R)~H6RqPy zD*3%yZ%e{s^QDxoG2t89Wi{p%J#tCYb94dq!{QT;kf?AMz=tO9aP7y!GG#D)1c+RB z@Anx31PvncgP%E*!G11A5r=6XDF?^!c(O0sF@yzjoN)JX&`XI8znBR6Q=<6@hn_FQ z+r2BLn-k?<5#}7lzF?Rt%sE)>Kw8^ecI%AWY_cz(ehm>K-kV0o`!z{nSFPOQq{VwN zXMQ&K^=_S?X@@&Gr~(E#f$lOmkH4?aV)-q~W>#$4(Y}1_tWSFvw8J*o@+OQstPZ7SPG^ zK8&hs7z|rhg_i{qBtZO~91JUwH^XAg>V0JrV zS&!0p?z4}qY`aMmGMx^}Zf|?O)af3pdg80+Kv8Mc_JCnP`>ZBz&4I#IMR+BpRD7@$ z+CydV#LIKm?)PfhWU)TZc=D-I%R^^_Ycn>{S#xe;^5M5*b(RUm$DX_Yg40_Ix0n{Q z9Y3q<*<4XGI7!j2H5T7_+aSVcWC)~6m;S#eBQBRtuwDX+O8Y}T*xZ#b7FzgQ+2bJUmvue2of>?8y(ypFzeI>h7<&e-}Aax2iGAgg%CjxA`JV)c4ku$FF=j( z#v!++V+=7GD8Z0_*f{ERZ$MpT;g*S#m0g+%f5sbXnm5&{pJYjiPRKq8l>c$p=HVs( z$m2EIJ%lmHu`n&_?ttowr2cc9wxzP9cg=`zODTAThU%mxj85+z+^jO`^r(uxB+z`H zUw^cMK0sJ_X1B7$eYs#vJAoo;af7%xSdmKXFM{a7EZ)5VHGOMHi01hj+27i3e!vHC z@=9gF2wi}p3Na2(xI<0va|_-kxRO)cD1Yjc8xi2Y*}darF{ZUpe-2ZKUybf zm}+PDW9-y7`^v``!e*nk2<^SoRz+ugvRhyB#mQT3mfewiaQ>ml4^_`)atgywf(!c3 z=`GTGniN&I?w47WS+d)e+?;;$Z(Zj8c`{BrqJ{bSQ2EqArd$@GtGsmiBes;Eewfxr z=$oWIB88LP@OA#Rda|-42KO%LR&;Cg&T~`K(B+r59ZAGs`?*H`4eDVi=2v4ELVg8+ zwPtp4@rL~N?1Aaj=Zp-wgJ~Aisn=89HyfaZtVU$W<*S&+)nPPeTaTcEaQ#Xy>a5$mkmZKURYo2Q{tHXGHxj!A*~c5sWoQeM}B zmhOUEBL0@Up3SuOyjU-!6F}q2fLtPZ9Kzv=Q%XM-P#?IH+fh~?=_X+n`TLi9oS@}~ z+JZ#r%h-ueR1)4&w?`)+VGT`xvsJc^GR@C7W(>ry7bNbJBYz<*%{tuxmBGl6o1lRO zIs5~41P#ep9m>-01>SBY$jZ&I>n%ahi+djqD8AbwaBVnLLB_P2$QhXqx6_~n+8T?=j_pZZ#yg7!ZNSfpjYpV ztK`|5nxJ!uofl*8xA+W;cix3^!F|>lF1#??9F^EQZSg zWX?c+ZAx#c4_aB8C6v&s^r|gVE#!cNqv|VV%G-Lr4E%7E-g|Eo%|$@9S%^ zn;ZD01|kLnG5ysgNmX!GGC$^~r=U4&!dBJ6VP8IiS~d^_Z9~r<{3TH*8)T-aR>rGS z`u!%-a;d?erPu?9C?{#1n3g}WA)Ckzn;@OXg9^zr6r4Fi)0ug!gPI@YPQ)jOnq;SR zod1|Ec`x|pf`aH_pTGUxyQ!T%WL-J z;Y0mMs^42KSi!t-6>jy`m<0*?0LF!;g^iPpKcP$xgy1Ab;_F-$5j^_6a4o$AyB~Aa z&$abFO{->tl&U~{{QBb2x6l*YgSe!ct|F=^ic2>ElZ~PbnQJaU==)V9&t=?}K z_EUr)!7EU!!U9leyaPRBM|I4H_!>A(=*5&yfQ$SHd1 zSB2?DZvx>c7v0H?7}}<>h1?4zLl!T1;>hPZ+%zn1_a(n7?Alz{ntr)bB9WM*iM#oy z*{DY^)%XO%Em4KV3-h#!+{%Tj18$a{G_dQ#X${lh_g`vb#mG0GyIhhqIvON@QpvmBiG{PQ^Q|e01XB(z4MnP#n?h3E9QCEjb8{nyam6Nx!@~7DLSNOl$^Er zbJo&2xAjubfO@#=!fe2dxB}1hXD88imD}Ptu8s-!NMEx!=ns6|eebmt0c* z=d8H5r}?yL(q8uS5Ca%jy?6lzR`QStC62s&hXERlI|RW-*({RcD1|4f2dXMf@pm>i zlf8~mOSCdNF|iwLfW|#>?=L*Sx&YO=`$n-fiYH>%4O;Z_%a~en9cPbr1hv)?L0+>8qz?X{HFP&x}yPwLWp&8R9E|9cDNevqX?Z-BaD&D-I*;)HI8$@4`cG z&e-|af3T@e3r}e^o8=n>7-FE1q2r$N#jMC$Sj3LaCY#>Y=R;t^?#byo0FcM^i{Q1bS1zba5MWJKbl zq_@CxeV5T@dLy;h3=)i*Dr$f64O^CQiohsmbqHFuAN~CbxW^fE-*j@^Hn-i5IqKw` zc_}H`_bgBC4tqqHay96CKYspfHpxQrgXnx-&gW|o?c0m+q`G?XH$9(nLX)2tG7Hc| zU&J_7Dd(2BE_${ll6cc6FFE!m4zO##y2~XDrjuz`^W$=3%$!^iA|cmVC@->5GH*PM zPg;J$#OX$|zMJ~^&Zp47+aj6KEq5QpQSAw_5lcXb5VvmSFoUR~%#@?kyOoSjpJt=( zL2ees2dC%)N1c8$pWy92sK5xXo6@&h@?WRNb3Fr+y`1e9umH}2ve|T>|e!0$| zcT=BsA*2)F%<5d!!Wgc+#G_B!nu|UxL*6I@_%dvFlorz-FRCirwBxTBtz}F4=j#L| zB6Qtr6%GObrZAgN%nC0RBFFFuyP!*ScHr;z-4YejZe=4nbulaf4lj^6g5 zlEYCg|M&Bp<1hV|K7%4xysOY7rXWw(^-JIn?g*cj|R-gh{dC|&Bh z3$cE39fSyBo2f-Vl2#G^KGJvM_FuixGrKUD^hQX%lEsK$-zIu}dj*T8DQ0CU+Qxx* z3-X~jdoOJhw3NES?|7sH&DvibI5pKGP;>*A`yo>1!YK&Fl}B2Z9G$*A{$k!LBChsc z*+uE&+&MG*@O4pGg={uBI66M%vJPKY zcJ5CsvhfpklI;JUxY&ZiCBIj`iuKQ3=?<)Lo7vD>7+ZLaR%~KVbZ~H>jw>t+!Z%?% zcIN^?PQUr&36-Z$^M4oe7s+v8e$8!&H*0IubLcns|FOCml@-&vnP& zfENg2)-G47zH4+W89@l9B&;$Nu}EF%lVw&q}MAGFq9N3)Jux z6}^jc(&%Db{Xx56_+Y!>J!7;EIY$a_*J;eBLq%7FlibsRzw9}egtX?sGZVintkWNB zTaey`51tRY13!^h^!)PIh|{r(*Q|5wCQI3!-*74Ses89toS$VLrLUm|7z|7b>RiWd zOUV~LQC1kJGiwY1XcA;nrp0^lP&7>T?cIST#}v?X83Lffj7@|E0M<9T_sy}`NLNVb z`H+?-lUa)85~qSvsYauPuMvI@$2eV$;AsnV2!m?;n{d0e20U{6>HSi!6Q<bSL43 zq^Xo$5E*JK4u1&#vT^WSM45vr=b>-k%$l62{pVv{?&5RGBIzYcntKChM?;o+1KULs z+h^9A-SUXerNUeKQnFglCiP5w|NNG^?_Ykbs%MU!^MK0c&+Xt@7LjZRd2@3v`fEDe zo`l+$Kd;|h(bx=G>T;#fn?AKBy#C6pGrl8vQskE%G>Ijq^u-rx{7_(=Mn>)FQ{y)R zJ+DvNhg9a}8xIWN?a>o-K6g%YY$s~3LJ*7EM^Y(WJPpv+kN7fr5%qJva|8$zP7tJW zb9O&>|DJ~Oa%gZh92f+NxnUMQ>9?GXpX7d=w$%o&mCS1IE-kJ@WP#i8>(!bS+3Rh_Arvo zZ>}aQ%VPdXp3{pw@mmB6Vgh9q`%jn1!92+pa<7K=_4jkfUV2Ub05IW^hp0i_33!PZ zEq-i=i985$|2=s5#ax$S)*I+|QYwHwP+pBYpD*%YEyghTl<@*!>lixrs}$t7KVC=) zI-zuo(Sw~~LGWX(ZM^y8v$GlF^A0Kfn!M2w7>M?UJqWRc!SOoGJK}vU49lrwCagXS z8!kc85o~s>JuS-vRgj2$Ss_XfszZJZq=AOlD%sf;a4tgT?};r38qSr!X=8T&D$3g| zCSNloIL%VD&QXIuEbK=RgrB~S>546hX@6$S{URne-(njCW~-yDU1ADMnCu*EHCUp> zRDiw{BjWH`%!yC$h(LDEAtuA@Lv0+j`dpL{hkCt`YKSr|9l_hrXQa0fu<+%XK{`ha zC`Bj~hfGmBWsiVQ8?D?ArSyBDi5w0}G;yj<8?vfmm5e=M34aLR8q~XYuy4!%_j(sz zo$84d5G0xJXDUsbR$mIXDIX!meJ&vu=U zDukUYBRd;YbIM^m76NSGXO|}v!Xiuq8ziE4kx*Hps<9Az5ev0mcZtN?|D*#1Q=|*i z+suB=%vj&Vz95=yAHONGD~iSQ#X0n;BVM3l8bX|1gWLAL#~f8Fjj&v-3r&9he3ytn zyMOX*)tqqGd6)M@O%p2&`Y(30+oo)ZujpWKf-y!VGT9R=FUq`kS4%r`rIwn17wuUt z4HltA0!4TxD7ekyam$&Tk*3#9)ie6Em68!1vo0T@`Q75A6+PBXKF&>5Ken^);YSW~ z*PW+N-~D3k?q-Ev@KIDodY3tucq=Tg;7MhU#}}G*UqC|n6-CK#ycO$@5aZ!RGK^xp zNRe*d+%?Z_GB4YKqDq5afQ*hIH(^5x2`i>OLEIIGM^Se|PFGPUx+9xDBI=_EDb!)^ zDI8c0n2Uzs*MX$Aq&*s5A=Rr>tvnctbC{JwRCw8;zyXZQ^QN?pJ-?68czF|wVN{yw z`&OSeBE3l9enNpimQJtlBylS_fwn)n?&^JZL(XI)gX3kk8(-D0bIZMcO}X5={kpBz z=BhHCPq$4(pRur;MB=IN`6s6K!BmGir=Q2C*YRH$zjE;8TcOk^=|RpNz7NvXUfDr> zKyp!^ko|Mot`>imHGvU|1w?NbKMj>b3+ZX{;Jp3?!D>aW2Ou7w*uYv@3E{24=RrW3 ztlXqb1FyUr3Z%Rc8|8W8pZXRGgQ-fGh~mH6r0{)kgyTJBs}ITf$CP7XJ4DM zw`4wypIB(?e#Y=|Z22B1UAWEK?!L??sASJbdkrl?C5^EifU@~J|2II5k0D^Uy8|$g zXV_l_)^M_zlcJ4E0(T0sc3k7_(q3xKcs_FOCppgApx}*nX5EcYN}qCN;`+zTy4phc z_89}Q9%t`Pe7}CETa?|cZdc;kd#ElAV_q}Qj3@kkm7{0v-|{JsQZ-eRSAUWti|qH~ z?Mxk;c$D#jhnt`J1jDZmmr-UWrjP4-5HbnZ<4VVZa=Yn`t1Xpl)W*iG`GQyx*v*32 zUw^m!2fq&6RFK)0^Pr%F-F0`(MQMfLy$Vr--n(bCiS+sndS8;Tok(h%6c5GMSw9a@ z+z(K^|FjhDqHYKq2jTG1&VVi+ItQb_R{;2U-TP6QbI~4K2FTo$Re)l-FWUb^Y4LJQ zoh^)((p++IKJ8yD|J;_b@8gfshl3X-uMsb&X4SY%Ob9@S;r0C9y?Y@f7Ra&H_bhGj zO%ZX6lxVw>$;wQ$iT@xWk2jxnET_ovw)9mwafPYv)%PTXzg5e$^@?50iAx&J_8O&v zc=%9D9~c{_hJ`>NlK$j82mY ziegm6;PnS8!m?YIB}1Q*wYcf;l5TCgJyHqT>PtB1u6s4Q%yWaa9gW0t; z`1%NtM9+iLZ)d)BWCWUl&T>Wit_^#n~-RgQ$??LHkI-JcaZkzF=&;)mSy_2%$D-QC^i6G%cDFAA%DQO%LbctS&^ z2FszOl0%0zHQyFMtaJCLUty5B*OO*_GY~`ztyYY8t^P&qJ7F)8TTf;_pANWdNEyGD z^7!mmORxOndoVMtg*Y@K1YMx>w|s10Y4m*lbkB$E3h_YtuH=jzR}-br&kBs2vU@eN zQl#V9$coQXpMd8!pz-sQtLNzh`~-D#t`zIeY|q8rHpwnoi|^Js=`qccsawScW#2pJ zpQFMDQAy(s$zrQYgHm&Aa4$6tH{dv;mw3xKm88G@hWBn z*c;o#N!1$6r4PkYKt$vgLF#dDRy+-Mr0`C3##^b7Gh`^t}rbYnod1e_{gsG z=(IaeW4ADeq-q5u|2><`cdpQZTcepchk%yzY?Pp!KXI}aFA0nn^c~@0`9xUevG0

    #P42|Vc)ZrI8F z;^*{fT*Ph`uUmo1$Sr7x6ly6xhC0ahAVt)`)8Lg|lqEgmoXB%ZTDVqj>w`|wKoo%ir?uw#FJozM5stYHr?xjynt0o6FJZb2`?3cE`l%?um#o_VlH zFygQO-Oi)0RC7-$!WQAdNYb*Lkl^{p@JTpa=&u_ZWEg41E!jdyK$e`o zL?6(s)e|xCgehxXha0oRgmnQK!e-Dcogf!q$b&^E&dB&rRox=|4-%}wljgx9^E}HD zkpRWR!%D-(;CH1goLDp-b_F-K*I>|l?hNj=<$u-k|4|vpkL9s}ekCHNq`B(Aa9;Z3 zzk%4CC8OAnf~sS>GhfWe5{th+vX{+{XlM5NC9WqLNB>OY;Q*zDV7n3j$SU>5R);nJ zXn^6bw)mbtjcFoSGN4ATyVC=K-{`Xz*mJE+90?2+bwOU7)iBvmD3^WeaW_~EOGStt_y&qpWQ%YFo%a>VRQ45F6=h9pHLuXg`Oen9yixRiq~yZ~sc&rS zbY{zM|GcL6x%1rWZT5TEn9>c(-`1GtS;?(%;rCMm!uPbu=t9!J_}E^3$G^#!&A9ne z-+M7cXY0G3vMu?*mLA_*2-YOvjMnK9jCC;OSROALmKpDst*Mz0=@L@rDE0L{xU&iYvGbSwhXK^1c<7(!_&mvImps)gm7i{ z&Lxn`H-4%TERN#W!57hNM#a_S8j3MvS)g_v1)e zzjz7$#?5**n3LRG+xvQcJ`|_NXZq&g`aM4+&F;7TXs8Eq&Xmt5A>Q=-)EvWduWTAd z4YQu}zTZf0El~3*CP^94v%XJFoVdYj>itSS)Uts6p+4@PGk9bLo9;6h)L(yQ$zrS^ zDVwB+i?vF#tGB0A2g&YwPFB`k(5GRwlox;4TNNpoh4f`k{8$=m*kQK(%FFoQ`~+{TkT)le4*T!79NH zFAVr&Bh4>{y-g;Xw|;olVW&WcO2gTyIJ&Ca5cc-e&Dz4nI1cJURIXrr@9fqtMg5x( zr}g|Je)yQ*;aU`-i`Flvt9bU0ZC*^+r_6Q{-v9#diwd1N6F!DFW6R57Z|^N1#}*JD z0v3g$M^X&37tiGU+$DV{!kXP=!avjLEZgJy)t!m%;R%=&e7}3@q&FYH^XD}{ z?_5oQRWaAK^4h~>>zbB&f2F#d&A%g75q(gTrn^6{inLs^VZx!pb;ex#8095Q1rSGw z7qo}@o%p(ZbCHnvsxcbWcJSo^eto_7fdVVM+h#lKbNmhEG&r>jUvlvFKr6NWL(K{( zGEFRwxo&>|f3|cQqxc?@!eT!v8=}E}m$9x2_#2LkYKXC+H#nk zuMbn0 zLizRYOCxi0LT+qBaKnblUYbl$3jdn$%|zp2pL~!CHqO^I%S}1%qu8K`HaC6hS*3Pcv>5(x0@@He>sW;E;mzb!Y*VNdKdmTSbM1=U_M@&vp7Oa67Qs!{0bj zuX=fCXo#ZK5hf+hA&nEnpV(HpbNu^e z^!Pa2%2J-F#=>q;5X2&3$rA^+2Y|a~%Kxb*>gA@8(z&2+T11(KGe__s(?D`kROL znj0FERxh)Q%Ad6}xq(7BLYcQ?)nS3bolZ@taa}`FsnjGA9}KqSS^ptrk#@IkdREZj zI`-qo8^}x~YqdK^%{Q&UH3(|TcfGC`IU6zNol#F;xm?@#R*cjRQUqhdN4NenSQpq6 zIO&Xnj+i{u7DEohF-(_$23`Rix<^{Uf=0MPt4y6=YuLC+?AXP3E-W~M+pvDT}J zbpfSeYLp2lfrf`S9!<^A@C0IV+>5`{UoEd;_J5zLqQ2PKQI`gQs`hUi+#4pvT0QGP=ZR*^c8UJ1%CSARsA~M7g?(4_x-=*11H<|T4NNP36 zkPeJL|7h?emHkiA)LC;z-j8dEh3j-KQ-#k9+6(y>y3Vrl-|=}E95l9khD< z&nVTj42}sK@Ynr@srhjP=3rV4LEG=K1s&;zxVX;buJV-riZz$`h0lq#ZckaCrH|Rg zXD3xWM9_-@wt)7;&_-R^fhO;lZK<-ZE>+TEJd6xzF#iBhV_5sLc3Hhqt4cT1tPL~2 z(eQ-6Bjk@$#*=V2h0WWpG;lGl`z5CaenO{Jp&G>j3pI@HP|gGm-x={JmR_b(apBni z&!b`k(j&nvD@OapFK=`OEwWDeAL=bMPFo(dY>0xqR9C@)-GR~k2_#0?jvch-Hy;S` z=dmt3bLnV-B!6~?5C>Zj&$|K5yL=i0msl15-zpC5dr>D|Fj4Y!j9N{L4 ztIWtSE6<&SC8l^wtP2cwQ0I&>9_9jY-sDqh(76dAz7&50M84XgDjw|MSDTAowsj4X z`n_;ZnCF>=9;x8x&3pxnSYc`iAJrP96*}l}cF!QzLo zqsUomK06oipudHz>gF#Z&=gSz)RQN5+oiV#Dl_WCDhRIB%`1&T`)4uOnB;SL7~Wb& zD1Pz>GTr*l>xf_j4Rtu_%HD-_Pqf*<-VWmK(4i$v3)!6Y^{b?1RU(~Kh)fWZHy}IA zlChD^>NKVb`rTNeyIjZOs5Wb13UZr>PeE=)GP`+hy?hR4H{KEv&9YY#B+q$4`GGpt z5HEl`k^%huq*wuzGXZBrAHZbiJv6?(xQ==5SsNcc_gIhV)h%GXIS z@i0zvuR#f-b<+P~h5+PqV#Kt^2|xaWj@W8QIT%uZ$KmUgU6_bmUMqq&W0{jT2TLwT z*)d=}G^WRO31F7sM~}25uT^|!503#`KfLsvvzbt^_t^K(NHbBlXt#vbFq2jD0 zivIG&9xr*sHwA#HgR*+OfjwKB10xRL92!y2jiES|S77+RSHJ^UmCP?nK8gof>Z;rE z0{5GnRWhX02qKpH7{fnS(7wN!^5KJ)(kp$@K@rlJzG|qNLjC_by^oV|x#XzObg7@2r~i^k zH=C*UhvT#I<<>O4|J)=lW`=gAUG@ZRwFaF|CAF4i*LK;*WN)g>QfvC_FuMtDEdPt& z%>ApQM7#)E%EvF(?e}pQin0AaPR7`OIz5Ex{l6gu#ESYpJkbcJzTH#tb#Zib zY$;1|3hM_O^+ZMALo$iP&KJ?KmLK}ldtF;9VL2p31e)1FG9frM1M&_Nn;|HWsqKeL z+w1R151;$l<*1hzr7O8UiqpByr5VNgJ?(A)Jplst?!2eio$e5iH{kn?Mu;hKqb>_P z_hb2|$b1#iHQ&Qds(QTuqx)OAO)!fapiG+$RLNA&u{$lQm9HSSHGRl!;|yjWM%a

    189ApWC^6Ja>H`t{leRmqCKY)1;{dA7>KFSpM0A z3Sg-rbD{0b@0t7Ty*wZB%{7?>B|wA>&f1p#{h8zOG@%^mDob3x3WMNd>x>`umJ7C} zf&90~BYxmS5d8?_%4^FhwX2NsosOn_;F!97gX!H&xO1eiI?#$?vUjq1=uRGEq2*Aj z1GRK&5RealqOQ}HW$^UPi8i(`Z`CeuDU9j@_UP_jF{w1yb-%Cdr+NLthJ5AuTMJqq z9mW^J_@t;a{+b<^7AReymIfu|Buyj5tbz2j>-X#Z%S7+Ai?1^U$@5-BSR8&l{6GYV z3aYyI15IKOG*?x?PZfY zX9W75LqcVL<)+}nk5JJCbr}PA^``N;A;~ghK>ehSTmPpQ^I~^PhIs6ximg1-R>|$J z|DCse;JTRSTNvgmDUMluz)AONY&qJ-Hv4Y-)oi=C4HmV5;`QS=9k-Rc$dr~fKo%*% zA}5i*aIeT~kan@+=(*LpEUcETuuv8JgVVr2j$en6Bm=0Vp$$W0{SfN`<7f+^BX+SN z`QEaF(CCYcpminQ4pM}#&h0OKC@X@CYIoW9?v=#B=r=p|!xf8&sZ z2?weUDFQ(bqgP=GLWz>ZGB+y0oQ5UB;^TPxg{+bb)F(hZLl2W?*dxBdV_$?2#XyAHvWV8|qS!uKJzyq=uaBG)X>12UBs!w?^3JOdw&R zb18@xdk?m#L!vmBgEoXSPKt&!z6J%_;)1iU<;Cw_ll+5&i(9)vogKq3G+fMn_D78w zuSbT=*oNY1$Z^9rZY^c~~LT1|o_HP-OrKi8CK1Jz^O0HES@Fc@9NG#f% zoRd%=CP~MQAcxjx3*En}e6DaRp5A>EKo_}E=4vADX}kI19GDTS#S}@k=1s7?snxGd zf!Q0mRdj-A1!bJ@7r3HcT!V%4YGMcfCXg1HH-OB!r_=O5_Pp_RwLk?as;RqfvOD*( ztABn^YC1TWS%^|nm}LD3x6Tjm9UkUR@P#K1B9{X$P?HlI-;ec7uaJj;h(;DWa%3DX z-W%V1upG-N=8q#%Ub-V?tMltG><-o?Qu}Y|MQ!LGnnRv0f-ahJdvt(_Ze`q!%(lqf zlB40DtB`fQvaa7IKb9(lUq{olzWOZ80JV4Zw@Vjq z-y_H2An+W{9_o~1fisFYvfwC@-W^MXgx?7qJu%Z%)$d`EL69n8l9+d;^J&V4e0ySriIl;54Y zmL=O#0Cnr^AO$#xT0vXojkErsd7AWj;0+)`?0Z}*l$r5~paDE&y4xu*RF7i1zpT|h z&^4XQ?0=2^-r_uC&)AgJw(06`zW zm4tbWz;evi)b97L+oQT65eH7~v+Y~$jNvWUGcz*2Lm@+op!ktpt6kwKrKu{;td(3!y*gou3n})NhWRw(+ zH+0RL3s>L`%MS->ARSLwc3PTX+=SIJU3!?|uUUtZ*Ou}3 zpj87XfcoXE4QxY#6z7OtnBok41Z4=MxVznu#z5g;f5C_9Ebl~<>zvrNO%u>Zh=p6B z8o4%k12A~HGfA1B!U8Yj+Al=6`bnJzJ;ruYmNY}F+%YRG1g4gk6Zz-^zE9bbo+}@* zB&Y<5fQZK}IKe!Lyig=DJlV#wggH;GO}R#Jj$-$O{grSLB5q(TTJjG@5Yak?u67{g^nC-#b>CN6cbhlnZt&eS&jE_>VG=A-%!T*+V$MS@ zagiTkBvA=90nT50N?p0jk4N@@X8+MM0ea{|SlKJSi6@kF-k;l^EF$0k@zI*DV(VtJ zW88MD%aX<%j>UO04DmX$Qk5Ff&w%)-TlXX5@l<&&rD&T$#PgJfG^nsifWFRfv&u93 zYuF3&0a=m>)&(l;oi~a%+P;qbS!zgw{CE@Yk`h+^@1e?KbbGm&6-yU#qLf#lwaX_T7tXV%t3nifUY(I}#mnS`~7lq)*m; z(kqOhf`K;vuf283<%a<(Dqn^3_IIk2b|>1h(tn0o{2*d;%T{UXnJaXIJ+l1pE0Ui* zfNM4)cQoIW({vF8b7+_jv?qYaKBNJRjl2i!5!YH&_!t0*J#{Qj_L9M*epsN7A=?R} zx({vN?gp>Ga>8f1WAio&sgEH3u)!@E-R$I{jkm3 z4k+T$k`~eQg>#Dep~F6iCR(JK6~3$C1D}W<`P1dGYHwI0n_QQiuAz zuN~jMCO7lSwgXg$l6k2>U(;pYNOR3eeOwLM!!%p z_Vm4o7wC^T-Z`<-WC!Bk8^e_X$_2apH!xePZB6B=82r$7C|djG2HEG1()qIBip1anwM z4o-@kyBESjmtTE92e!Px&=zIROHuz&@!ay>g;S(fDXLzb8!i=K@}=Y20?Gi^RhhmJ zjLR%!>6g9q3Z&ya86fIqo3uf!-n-Uu?V;Ao6)2GLi;`(RFQ+kabgE{w&vmg{B zh6FKUrn}GJVm-!YNeFZb?Hz>2jap|ugTZIr<3dA3EVCD~Q ziWh^V^qSx(TpO8My(?a1buM=fmWxs6Y(wle$I_3Qu|&r~GeUnwPw)UB2(0iO0|^OA z9D+#ccYrv7S@I!L4K~uMr`R$89Bg2SK)`Sly94=QB6|3B*fzGwh>MBH-gfe`ooB7+ zsAFHUa5CsEb67wY88F%oKcB`3S&gdX&7nSU1l3w!6XQ^eg_eir(Vf)&n)u>TU4IK& z#*dlFv^X^R>DQU6f8O1C!bO z)@M0G$L**7i7c>f{g6req9dB)FfC)2yXI0MbF1)CdzT0@lF(Rtv9=GrsbSts%9EX$ z8Tt20lvMA2Du3+^UmG_AIn?^Hq731wryoaI|6*7jG`;bhWsl7J7#yTY9k@=9$%3tf z)9Ddl4^s-9m%<2I{3#m4^i|u=!cbbR#4^4xqq1^T`M%)I2*k&q1e!ii==Y?U<(g`3 zfTjXO$G1%m^2?y62wP6=PXu#aAnAKVw!b?I_d!2`SR7g@$(NE>!IQ`HLF^#K?Xvg|)BfVDPr7b51sSO&84ckC9 z=yrYGw9Ch;6L4Rn0fD3|67UShcTM7m->GA7fU=b_3k?wW-}kHp8`BK z4MrYk4_D42Wi2l$h%h`Fg|aO;0^?)CGcH3+n7m7UbLok}AcM1@TMv#Rq+$Vh*2V6Ml%oT$8v-GKxk3DexUw-O~ZW!*IMZiYrY`|NUg>h*KGoTmvaU9b}~iJrXsy~6r?_v@)RU!Sd@DLhGQ-Tap+ zq;X1PzcfGpQT2JYkXk5VxhZC@^#`W<*_W!UtgOyV`FcLSB7cbnHk46&1>d;Pd82r8Vyy0hEn%X$&~O$zfxD#>J8`5B@*j z^>Q`$HPdZ;CO+?WI6ZJ>vH!zqemH-w_d8;5L6GfqcEKyBt5jR%zHN~=V{n`T2+gnYOG2cZx2zVpeA)D zj9B4sSPb6Sb_LK5(!L{RAoZm}H`lLpNwXFWfg(bzVM)txu#4BgEjpByqs;;o3vH?Sv)0=omrVt(L@kv$|nhC2Xzid?&cju69< zOxgexse-eLZtff;;}asokktb>VM5`ULGL)-|M`-NJZMnwd@S%tq{7iC>v)@+h6b-g za7f2O%F~~*o#3~PHGA;dgLgTnf^1LImuCgo&)u}OBKu0(3jARBr>w-mFEz^i9`_cw zYF{m$#T*-%|FXYxk0sLru`V zL#|6jXS{gnwbN%_x*ls47v4=e%-ND&@&=H5L@Y-s#OIwwYp`DLQQGB#_HQe~mk7FI z6`2a%f-4CE4oSuXLXHk5JLdXtjxbq0APGd@C;}014jG3e&IMJh!9PnN5P`Xvtl8Z^ z&@t>qFHRH}tY}C>f1$~4TbU=rR2=Lq@MGXz2i>9|K3EaH;}$Qy-a0?pJlm-j9)n)^ z6rSt#LTPl_z>rTLjZaHTy@s!IdKIP({b0$_sMsVXI6FsP|3&cV3kS;g0_jh<()WTW zX?s4hmKl-WS_tKIzs8zI6eAgapB=jUz;+qk9O(<&%PxfW2kgMh`ZF)5+z#(|5o1Fg zNe4V^A!O&$A7xk?v6XqYiB6cX9z!R3FsOsIb4GG$5uG#LP;6nF$UP@~lrCR@`G?ur zByy4AT);Iv!J2SiQUKg*^a1+NA|hVEpo1R8_(k^81>r#0*To1g_nlKPX?sKx)#(TB zqyc8>zp|h2UQPyWHj=tSu>Uu>cYQ!F>-;qgmfvHXz5-<oGUiqMcYq%o+h{L<+j|KJY&A2kjgMN49`Uq>v}&%hIZF4DGqmuiY3d|t+DoL&S2dcd2Jgrj0tG4q5M+@KlLx-@~`hQI&k`}y%sUWPE z-6_EhYSX|;TC9NJ*Phq^uA~08kp%=nTC5YSS;A^UHx2;<3grcKR%ZmdIki9aU&ICs}A?$CgQwD*T^YM4LUX zTNhUg5tp~}l4_k~7nA6Z(e)juB79%PkWiiY!}LavMMa1G>o8+O0^TcE;xtP-eFlYw zP120`t#>=HuS<%OPD;)&avPf2K7Q0-q?9p=-KFl1)D6hBS9Gwmqv*RK|DINdnkWSM zlh0rF4Z!OO9Rw{`%H(B3KGgUB237uWK7H|KsiyDdO;){}Go+Tb1m@!_{V$2jmT8j#O^n z&fvaj8trU(%b8~8tH;PrT(gM)d?UFgzc~vrE+{GKGL9C@-+5$9{hqG}?A2E0lN+q$ z;mWk>aF@>Nu}SVGn<919r>ycw;jDR6cYS+TO3wTRZDChO>)1ytVJe*4t4SqS8zABQn>NTarIH3^qHFN>& zlV*2h#fKu6Afw|vprAhd?|YS?qC7dgJY6L{!{pra?mTs{Ux$Q;S1r8(iwq4h z!tQi+x>9Db&rx6p-9&xnIOrhh1+X}@?+aA7b7zBhGR>=P1Pi}Ow(68U1?!Y5=@A4p zSQ=N$Kr5j2?2%rU=5N!fH+S|&es#L!xprQohtwEu%BR&Hu&y+EKc!>oNT-bQUqI{A zF-`CjRqLrQ6hQ9I-3g&zq_hi{&f!1Breg|{Sq7vBwbZR?7$&0=y$yI%C5_@~(=eUK z-!6DwdFsPFx|BAkBY*I-UCTNE3QVZm3RgZ)Oit2=JuIody><0&sr%bnH`3p)C87)_ zC%$H{NKWZbzagglkI&|P1m{V>-wtL~(#Fo>$K43{zkTi3Z+f)QF7rAxiaGDDp4#D8 z>u*5M37&%J1U>j!7?Te-$`sUe>I|R98n8Ol0q-B*XbpI~2IFP~0D++Ive8x`#22S} zfA*x#@Nhca=$+Hre{j1{`Cp6x`f9;U;?iBszl?p(&J?-0m3`9d8tM?ZU^nC1VRa*u zC}}V(pX#3B@HDfJh?ut4^aZnnxSxIEoLI2^=^9$#Ptooyi1(p`A_ z<{I{Vc<$7LH!8e_26>~sK)6*9q)9>}+Z$_qfaWj}$nAS5?Kmd}nP)ej*+Y$S^9f9Q zKD)9IFyWfrb`a?_k+ zLB2IIPwO0fQJWjT_60##Ouy~_m-QxFx7<+lX7C`zgtwp1$>(=vDjz&Qocxa$ASH7z zZ~dL#bR#j^z7Zz>E6#9xtecO4%e@(P??4z>2D(>boh>Z#!mI{NnJ3>!(D1HvL-~Yh zgfV*1pgw~l%1|?<5c~;JuzB37URGm!d0%}Xxjt8Q&)%TQ^k(d-Wt0BV&{g!0V{^CR zivNu~0o`!&O_qsZ>8Fr83g63TzoT?-WM#0@DZ&8{MoaRC=pCJE2kk(*w5YVg{c0tHmJM6$Fvi42f*u&m!;BeE(1T;$mIF<#j z4DRQHXWT+>!uT9Y7;G(+#fM;kn?yDBkChoAB$!{=w(J!5o}w*zx4`mo>{&FKRURmMmfK>xjDc-Cd>>=Gvk zduWn65{1u)Rz1}nJ~vL7 z=mmKA@VUP$OkN1@EWV0C$iZiFjj|uYyn}$r3uT?@ayE#uqZnaF03|%DkZ}&KF({eA zl1m2V<%wo@F{lqll|M+()msqo$b1;3@!u*BAOtopW|;V3Inop788g7QD}&?=zW-x3 z1_^J_A-_BLMruZJsG4OC%_l@Q%sbixpr9$LrPq9HQ{YrN_cqYnK~Fq@6+N6s&q_E| z$5v&6HhZJahC$`B5|546VeG1Ew_R%o+o zMDZ2s6~tfmkrtoD>?yQkuD@u2s9#B?a^wlpCYW-e09$#7kT8gVTL`Ft>hKMrt@ZV| zV;H;+p5q;7=^U26q6~KnJoF)T4IoB|QCimO2m1|zXkk19*A3zWV0VFwq3cSPrrIIk z(~#E&6Z2=m*NF{3UR4(HH3DpajtB$8KiHlO!oa4_x8ZNrg4T(cYgYX+KQM2Jy%3`TtU?cuFw@VtC{={{I8c==Nf&*0*>Oyvqn z7e|Ne2a82ARahkG4?zPy*c8{As`J`5N3csbqBJ6@uL4)39X?0i9~*=jF*3(q4IcL~ zr_r!5K5UpgrB8?#{y2AP8-OKv9SVckWuW6gcQs>qTJQAuUxL~>aPO%Gd))c34*#y= z`w#r7WbaG61D@i4=mav-lZcrsirs3sxyQ^EWW9(E(~k?a-7v5^BcH-0po_ ziW7ib5BN@Rw!wdtSp{WoU+Y#$xShWJGck00yi?pwk{q;g*RgJ0L*I}ayXu?OOGwal`qXY?l>J`vrk z)}v%$uezf=Di7;6>N7e+f4ImA;ak0(4YvVp|9ja>dAFgj0r|Vypfx}Wva?pE`z;G5 z6@AAj!to)LpcB$yg#MHOEf+X0C?`l-Y!B!==-h1vRHuCSqo(;UkAVe;oL0v!@7zro z>`3q|&qTRpX*DibXwpnE0A)8pe5kA(%+8r^CBqkix~ z%nhYCm3Ly3If4aFzWx>O9>D59@^LD+*9RNryZr$tx~|5@?MR$=AxJu~4Bv(V(pft3KTEpb7|{{s4NXt4~g!Nu-#+${eQxz#xsQMA2|H%M7d8m-)vWWyPp@+`aZKPJl7yqpzLQV^Xy}pOysX zF5ep+_kUY6#wgeo4{{YMW=v=SYj z^uy=R>9D+Zo32K`=YBRYL<0K~CWZ?!FfM`T3^SK$mxBQy6MxtT*Bfo77r=|H*Y})O z&({K!)$%jB430DKp?@HybwAY8`Fea2_v1F6V&wnm8D}fK(y%tE5P6`HYCn?V{Z3|= zA$~qJU!06axX1Ha&d@Tr1ybYd%lbaqMz;2?l3Q6UAU3e#{ zkruP&6uF_tB73Ph*m$#(M>Z_8g?nTz*Rk)$d~1tZ#(PsNJwFtK%!5Kzfm?s;0=fkT zDX1Xm=^d#ujsaURbk1{&1Z^C6^c%oQj&IFUF-Y2B+|XM6GlY|ly?$!*=lJIXrO~H* z_m|*g!KvCfBA3 z1gpDGSA@chf_0GIR|^o21s=967vhEx+8PY~(cljo@dDjzoFZ8$4l zQHJszQ6%sYyvPO8uxK4my12<#4`M;yL9Jf+;w8zCfze7xL`3DzO)%4gZ&JyNbG8ny zjrU-P^kVI3yk>iG?eTA)>kc#Q4in2C42tl(k=AH|wOfG~s`rUBykpM99vVNQetOIa zoPrlsOYGJGWmC{k)JH7truXPCXYw1MOsI3R5$V9B8C-ScLytBlRD6y3F9CBXD(*F` zDJDH1S@wrX((H3;F%f`*jicr9aZ)zdC$tWCo9Jg<&3!3Rpkz zMlJh4qRC5MP;;urg6!N4eQ}f>95H}mvzLTb(H(|d&}#sCeM*s}fjSGhJ=ZqRp@j&t zhGxjoS+F4h7fps^BcZJK30>5bJH*K0p};pMXgcK%zVW36->rF8GoM0(GK3Y66jshR4kmgG? zYnGPyhVPqmfqC^g@aR_^j?!)Hei8W)li~#i5@hIV3J%2OEd&|?8R4j2>Jy+Ic)9;Y z>K+)xuEj|z#bRJ1R8RkW$dfosJY|fT7a$@bZ%H^1j*aqmr+53DL6tQuJ`AXHBZM7? z@Jf0uUbmkz6^sWmL2h-mcKH^-W;@Nbdq2T+j1K&|@h(SnAGEl=6Yf4{?&n&^+`4_S z$Ji6QSYi}nW1YZxwdz|b_0Z2rJP-^k$m?U&z4yRZw$4*lWOej>@tQ(pb`I=HL&-xp zW~st#TpYOpd-XI+{ZbcK#5#(u=@&V+iu_ip{aWLn!c)IBYG{w!Pi_m75IA=}!}bYy z<8TC>Pr(JIu&^Es>>G$^c7pxCqfia-f8n8;;cP;kJ)05mD`V0AVn*@poETVlgA?i< zI3rLfCUDG2n~j~hWE2E8 zfg8DDZa9SiQq^`Fx6+xUz^Sa5S8HiBHVEn18*PdIN`!ym*F~Oa6)vbVQdKNYw)2 zXp66h@ZF!Vy`g*v!;t7^>6!{1=&4p3v1o5eCLmO+Ey6Vi8SwmJJ@Ap)G6sm=-l@jx zRRzk`wTI83%j?OA>@>$^AU<3cgFerG?ydIbJwwAtkcZL_6<9Aj?C$%)m~ONS3UFXO zu-QisZ66-}1Ss4tUur>m%%ituCSw-gR^7x1h?lJ4rJV@NO9vK?a1>ELl|8~Wb6xP4 zesJC6eg!Jt;kp0l7AkN{(%t5aY%A9R@{|W5PV+QhNhZX{q6t{tLPiFHm`+$Qi~-=J zgLcFC7Ia@1imk1E#Tp=?9*rP`kyP7_c8n<8x3?}$62t=WbTso<`jt(OBbN{wSP#(0R-Dc+$hU_RWiL!TqG@pX zw!_d&D!4&|_qDhwNS2b@&>9 zS4_dV_hp2gmPAX}0!$=@jDzx9AP^~(oj)541y;fXMa$A%aY%)iChHeFMnyftk^7or(&eI$J`ma%th5=E|GAouwNRCqNSeKXg zAg<)_=Ex!WuS{>6;lp4!9igHi#*x^HZKystMi0H(8U+U~tUuy$Gb?!DXKcc_E1;ve z6*5zFTtV;=ee~_Fem!(#?chq8T9haM<`2+->U4Fg!Ht2@C9In8SisHbB~Q=|oBZ+(8m zNIV4`b!$JSbM^@AJ1Wy1Ou1_RM^o-8rxXux79@5hf0*K;4Z?zMvvKvYk7Kzo9ULkVGA_%Xos1np#1cRLEGLjDhWm)Z~l(tsel-+oWudE zZSZF)(?4>o8*CzmN2W;U%he@+n&vx4c>q;zpO|;7Pa?zZ%|0hR`NIRAYMQ*v@3jF=D4OrxvZ?no z7k^0&|H^NnZZsm-H{SP!g+B-+QauD{Zmm_+llw5EL#LK=}VFEbucRuj?#DZ=xvi5;7x*s zdDZlq?5c2aEV0{hzWEsNn;w2v3&c>|(PIkyw+)XhP)h*;ffu6za`l!7f{ik)JOgNe zL31)d1u83yj*OT+-TEfX6QttRrX|amT|idvBjQ3ni4v5n-EF<`O9RLKP95|xE>0!F zMUQpDy0MEe%-kPjQK_x(eyI*)hlaY zJdoI{YH6A0zMZJdJ`9g4z8q8;1m@Lg7g}9ncAuM{GvcQFmhzxfCCoiWs;_u`k4&&n z2rFs8#S^8go~7z8oc;lLj4O+*@z2IDdNde$?XRY;E1JM00}#>(b2*`qRe__m5m^Cd!WnP$J`x zr#HO)R{AGB4*xX0d%f_Q`grl=FtC>(aSZzb$ad2LXzi0&Q8Z*dK4=HE-A{H(6aes& z@D+tIoX&D%UJ}GSu=j!Ud4I;=Yz)qs{?O-brguC&>&?Hvg8hctJ!N}?7N2YMB@=iuBY# z9jBUtd48)AO&49msvy_P%F&!?=RI1eVzej9K#GB(&fG~t0(tf#{GeB%tH_hkvkvz) zc?XX^J^q}q=GeJ~Q9F)gCh<42uM%YKyx|Y{Wdj^dMTL;ru*u^p2&_{D;E4W9Gapv7 z44Zn27mGZzlXUmhAKu`ZsoPx5mUSucXfh6GE3#1PDsQS`s=TXd@sIxp<0zhzZz_X4 zx%t`nIC9046qh#Y$f8)+7nUbA0`||Pe9=J#ks1h;%6UZb()R1%w&GtyG7oHT_?MFb zHWLzRQIzCujJEa$fXc(cjDg{4d%!7x0wL-Kv4v^CXmJ<*ca3EqDF7A!t;wu;d48Vi zDh{XM+7U}6h8f+~g1_vPLV3bt^Ra*Xzown#rxZdTvxOu12|4hf=(!U&LK6ZX9g-dX z`-w2Vg70uxwenCIht|=F9FR!**?nE`bU8%G;qw zmhX}ra?y0NtZLdcE#%|YjUGzV`usWf%C!e?i(G~G3K388?0UY))y70Ln{pa4jV{xozWj4cFrOQXGdXyCQwimz(E9h_U55#^*TA)!;#IC9Ch2- zU+@`EBDutEv2x8SC3V+|R$2#S8F*qH@q{Fz>TWiCjk2dQ@Jw2~8PmW(FCLRHaW3eP zbx#djk+1hK_tmBQeG8VQm@%N-_>WiHg6%X7cFcnwW5%H2L!tfxcfRR?{Q=Ml&gE7; z_(7KOOsNT8^&|7K(IPb*)Pw!r`=mVR_-;RV5+I3#KCR22yBdo)jFJ6y$FY(2VgL+W zM9Rf|lMbAW^%G!d?8S8z15E(g&=4=5s&ihFLxnVA58F?b5hVNKWMazQKKxjgxr>#G zT%TE|>u#?`NM+uNM$mIT0xjFH!l;i^I%Sz!gcrQCqL#rQ+pG`$n`Lmki^T4%@}ZCp z-eID^x&`N_kb z7s0~~l@?WQ!nV9eQx?_{QnFMT^d+*s;tRT0sFSjH4=*``CJ}$~)uV~_L#c5gOQ*RI zd`#ECXL8Cet}t0mR}Yo>439u}!-!kBDK#;9-Y}IwUN!Qqz zBxk6Eo6A*(P4UhZc2_6WBAs68%Y6j2d(yQ0@p4S5$=2E>6C2DeqkQ%qe(| zVMTc6BAiBpH*W9Ge*5&&rI)7!wcc3_yCZZ-C6 zhSOJ}8D=yz!?A~++|{=5ETM=f_n42?Z-t#fEXMJdAD?E7#VQ6)DQph{w3C(+4S$ub z9vrjvf!t=uD7xRDrgy3ia!NZOKt=j*uCRybS0#H^40#p|o2ST+9!p0lcGW7sY#p$= z!x!R;DN>+trHISE-C#y@H33B#DZ-(Olgn-vKU8FWgMk#fY>o^8hcCMqHHI`w#v)uf zuNPSem(|_$;X1HOz54}q&xP;m)sT)Q)6Xx;%RdZe-O$Iv;swO`F$T#cT&$lRpw$5i z3P8$fBq8aeWAAe0Zx9UM!t3$8O>SZlKNsaIopb+T^~Cr%_w;w3eR3^9Zb~XDp{lKg z7J4f;Cz2^y7F1YATW|UpcIAU8^cp{XS|q6p`x7pFR1GSixf)T%dWIJQPs_@}sTf~= z>Rlj(rBt3f#m*V$`p;=8KQ6KZ3ea9^!fKp3Soomr6PMQDd=2qoKfNU9WO9ZGG6q5s zHTO)PA7`R=l5Rv3xZwpe2BzNm--x{f@z+BoLu=3w-kXr4|NG;AyZ~JDw>XpXkCorQ znYQ;QZ_rxo>EKRg-*b~2XI`I&)BK+=7$T5^x#hXA zCDE&WIM)#gqM4}>7r#{fBHgXBk4Y&hHTQWEdw@l{eaKQP306}@+Pt(|JzjCl+7?Cy zE?9w>+X3r6M+(y2P@po0QwA>NSS$NFOJ*+{eRz+|6Q1&K{jLug4Fp@iBy`Sjh6Z}*fN%v-1=Ax zBhutgaodJT(OCg%LMf(rxq5mIXnI5L9${|GMQnpbPjb@TgB!U9+?L+wr#Wp0MAOw( zzo*yz=_Sq>53v2sEE6Dk3?6*k_2>dTqU@)}O&+JrcA}&=a3xrgDnIn&qef(c=_~3E zY`il1Gqy1xJ9!xa!v4#)m`s>z*~nYa#os90`A(<*6^yWx|B8fCjvQ=kOjR#w>Cx}r z$QddqDG8e$86Cwf8S8O?e)hX<)$9*=s3ZfSbpf#G*R(bx{_eX6aKxK_pQ={3E!a-@ zby=R7{aV5o{<5PLMK<2IAm~a8j$7x(4NNX?|J;HO1Vr1-4)DRI>(=`pdT>|?UO0z5 z3{nGMGN|y2hR?6LpvzzB7~Eo`hV0$OI;7Ft9V<&ehV1O-77y%#Q;sdUO~(WR zw$HCR5d>>=>AB>Ws5wnHtYyDUBHAEBf_lcn&d9o!R$&2p$&cWt=D% zc)$0W%8LYi#>5_cIE|R!LS!O5KLk$Wvu_;k*gOH%N=LP&aLhI)_$3tE?;sT6iYkns zby35P^&MFEn*8Chu@06bWp*un{T__`U2R%A+3^PWluZRcPCi%XgzB6LnIFU1mxq7> z1z|^I^G2s>d;-!GgSjN;Hn4|bhF@-R;XO{s2YxoCC{vC~$mWJuc4Zk9J8<-(wEm{e zzw>V*zogX5qV?>kchxm>SLsR-<=UrV?DQsLutl((Og5CcPUY=GCw> zmwuS2&M5@`h^XWHJzy2>sB44c3EnJBxAF&71YtF!oCQkvkh6rPB-E`poOO`OJn3ov*%LG3e9-OF<_a2Ssi+!7gh{pL-|>>(h{fo1x8 z|5>hQ`hpCG+2$Q^aF~~Ac(ReNqJit6^n1>~&-o{P{{1vNtW=l82i+f(anoT2Ri!|Ij5fz9MiMHJSwal$QJLe zRP!RC=ssuEQN1juz?<3%}ooN6$LVd-aC>C7H;`!}0g#l{?_#RfNz1U(}rA_4?Fi zRttw>3vUY!QheOZB~dt^>gw)p4?~Ms9Ci&>bQnl^(>-h%fL$w>cfn(Gjxbkiun@de z07!=<`pgRzNe3)Ec^i|jtesS#*oHoni!m`f31XTqLPptCv$x1lMaR(0JpeRV|z33I^T%c=S*MLItZkNh?(jhp_agZA46%QsJvh-cLM$qUJw<+X!y@KIyE z!3HE#^7buA%sJiC83R{Te4ml4F%jx3g*2Ng-Okz7`MB4UHl^xw@KK(-?Cs8C!m-*3 zXYT`ca^R4x?)yRKm8_%cSf3$<5AVPs? z=RW4)f`-<7e6FtEe!6|R5$-hU`htSsWaJ`-Nz=vuu+%WWlee|y0ge=2ZmvB@x#>9@ z9!=i;M*%WG42dPFFjl#Kt;>scGE66C=Mp--+^-=!Yo7shVraI3tEkBrbq}eg!y7*pbZ7bHMF6Q%=Y`E^tUi$LVO2!{xs(u-ccqh(3jTrk8$z__UT>0wDcaEo7=eM zkyN&7WM#M3lLYex3;(%2!Ims16yWXzH`yNB2K!?ij^j9&n@VJ1QLhr|y}uJ=BvxCHX2mTd zBV)-~?C%2f_vI^)a-?_@I<1HVb_zj#K58)r(wJ*e3anMr_Wy2VX?83*|J3vU*+%e> z0h3N2+4;m-tiQX6Ajn*Y$0cFfz6;Lu9wPqEws?XP=y8+rzu~Fy-!En4T{h;R0k1{N z74$BXvf9xC4YyM48U1gE6pbmAsXu1xF>sepy>XxqdUA^^v4=aH%F_PT;#T)cPa+Pb z>SR(<(of%`Q8fWGh?|yVF3cT%y>nD&PywUSqY0XNJ-*(s&`%E)!B@juQA3<~_dQm; zrjWjcew3cITkbge4_S3x@-_t?3mJt5; z^BIos_N_E)N~^JdDHww*|3}sOU-A|)&Ir@486T|ihYPUAXFpZvEMQ=Z%&rqmTphNV zFVSPIxsY(FK;~suyoc&IFIFRNTYHpVPFLQRF{zFPGnd~VVOu9W`g`;n-_&E=N zydB`O`yjLid=S#GU@0ABc`luQc4Q>a>l%lDY?qP`1v^PXFX_k>d_k}(QY-*pPso$Z z9t*DlWheS*@%abGDXdZw!t`RVRR-guEK|&PYt)>c#p{%|P~xR7pUHsH?OAy5KzJoC z_wdwSs0N@Rqzk9Uhld4C?G4^KUtZjRy-#^X#m=BA?6h@GCzM#;Q&r*3!IKXHj{>U0 zzbrL1HvWm!0OZb^jwkYXI<-)pzr?@d@q^?P$yaVzzZq0j20S_7p>` zp^4IZMuLQ)MW6hQ1%ExCNi*Q&o=Yvl_C9mM)GkONUw~F578DFT7{|tN>?XVK>CXre zS*(898YrSTr$ILTaJCv~A6RxIg5-EOFsw8$I@L}FK~2{>kW_Z z>+&L;)w4ffQ?@r7Y<|f{PiF*3>}AbJwC7FeZtZ95sBq<`DsfXh>LKIj>GDnxYH=k! zD6kl1;z|}158Nr}eM?$LYy^?9a5Lg@4?iH|KpVRaTtgAVNlG)dGv4fK+ zRU}>g7C!Qzht>nYuhevGDBzC>>Wuw!A9_2wMGhZ&Ys>|3FB2{gs=ET~R@!X<7*pG94>I@LvZS$ z$K3bJrl@rH@YwMO!MDnKh-niEK+!fa8C2B);~L~$_$agh@9$^0xwC@#Io!0 zSum5cEy;q{XS_rjGUtQA_4ezpdZt*s6z{krIiVwdTFuw$Y9E~3F3G-?yTW*N16G)# zh{2)_9>;`li=z#7n-D7rU^^191LMlxoK7OD5p9om3E9-xy2LS@E^14@*8;X|2h#^FJ^FS-bn3Z)Uo%07>>VF$5mMHO|7gA=E&si z)dqK-n%_}^;C%t56}e{N;)}V0z6uK!=c)O`;KKd(;w6e0#5E+^63EL;C&yLc(gE0J z$Qp&Y7vAvUF~i1`d*9W1@SAzBT!b!?RJm?n;eSns{?|QfLyMa)wcep;50chhj%%Uc zUg5S-N?~8e38mMRN5_*Yq+jn5)}-X2ZQ@!k=a$agw5;1ykw`Bz)IKb_ezQwe1> zd^;!GW2DYuyzT|U8Ju|gk~@;a&kK$XDs+>QlU)MMt7)YFRLA0QC-LO}mCXHIgt%r; zHH^a-_g+r93T*7b^vG;uqT)NFvqTnf9vEJF!rnlYb!ABj0mP@8^B&DLxFKyCQw)e# zLSEwp;>Du{*_tKEuYp8C6&vkxq_W`yTCp&rTExrU?09(RWaliN9Pj-FYT5KJ%hv`} zS2CkKIRx~8?-r{8?ZlWYY_UzN-Q35|_Wz@gIGm0J~Vp4U_0SyzpfIr$|MD{<!&0 z36B@Vq)Y@B+#@EA8GR1wWDtF9cQvrt&z{%eUY~*TeA2K&*WJ_8Eg=oue~3tk zDFdw_93&h#rN2S#^Va|r9Y5(;<5}QVP_1D(@KjSf53=b4wO;b*XogEyt}4hXV{T?# z>`Gw)REjk*RJMg0(ELQ{9jpf{NAb zfb~;yQ2^2!BA3pEhjw;%k}j4Fb0w-oG2WoQWbA%1NX~ukAfti&&LN;3KZA>4#aGSes#N5$CPzZ)fao7lYFFcrbRCr4 z${Q7hg$gP<>@w}f{Hby-B|?eJPWyiEZZiml{}YU3zE~4@G~&cTl@vfvk*pHmy*g(@ zo5pbU^!z|Vl;6FPvCk(f&3mc=Fjy2S({HDzn-LAYqH$*V57{a#2qz-3(F0Z;5J*d8 z3X~#H8A4|{Nv^LE~(;{K*__?Rla$b zHIgqZp;3+cj!I{Ou2R8$MS~2U_|V;$k2>t5xGEEtjSy0Z1RivOR)Wek4S@!2E(Qvv z!4+HKbR<)Ozh@M*OPF0VMS`B?roxb~m{5YiDK>r!&&QJg7~Y1uozl5}w!#Z#V^YQ# zuJ9yr2Hw_DG(t~eL*y>&@lh8auKw~y9!Nrpbe3Ycw4gsUqUHqHQEFfHr>639;w9&_ zmM>CLQbeop@(E`-0d@m~C`m^sQa%gw%+@=F!Tocv%2SfrUeu0q7SDoV01mfahwTsa zwQD@TZWTQ&*32S8d4UH#{fP{$f+7Kcs=5<;d|k_`;S@aHaS%E)6?dV?*ymEzgq=!J zviilEG_{EQx?Di^xYpz%>v&aqd`R44P`j_;y?dQ1;V5pCaCifmh=BM(bQC*5qQ4CD zi=iP{+={%h`8Ig%DY;_2GTF=mcVYs+eYM_OV`zUwG~i)ud-z&7S?Iz!Zhx*V>gb$Z z(P0zLZjHf6zmlHBD^l`+%*wk#zm_CP-1R|sx4wmAR)~{qR9KLf7<~l6b2wT*?U}CF z3#Y4pPri{-g$2_*zO&ycpO}m&!v@T_56?y;M$|L)@jurf99EQ0%3{hLk}I6Uh3V+Y zqcvKlD2dMkk1R$4eO0dtMJDr4FvnN$G?er+!~*Jm1+}4?7u1Hd^EmVlCMZgY9LZRP zD-1ejFfi!tmTcKgkY?k#A&icoOAy)ZL8))WCwoPUzzNJP9;-zcCzwU}EMS0!#@vKfl## zNLNTw1c+NjUyJ)IRWYhZ@yi>Kchh6>HdVqEF>6XBRNu@TkfM{oAZ|S`hM@QD;_x(;>7vYF=#$dts8) zAQ^3BLstsUJOV^6Mfo8{q_-~12#O1JrYQDdbv8_4d!Ogq_X!7R#Dqj?|9G|VQgmfF zbN3ckL3x9)u5Q-|ymXth5L6$GAjSRsVL6d}OSS8wjxwlsugYp_gkkhkq>xxRq1{0H z{1E<|ROBr{HUV9tN66L7^jg2`%f;lBO||KYGBVdJypY!#+vNZ>1Y@JRWfG-a?$7Ky zhsuu;UkMX&DbX_qOrxfb`RW3jn$&NuuKoOg5s_6BTjH@1VP{%ybXMT;zTdHF752GZ zrFP9*HT#6X$thUK{JLJ>dURD)O$~?G*xmqQ7tA_2h24=xF0HG$x3`xKy!LBDYi^JT z;c_MrZm0Ze6}8rU8SuPlwdnZlAMy^#61pm@b0(7aabZwBbo|NY*dmsA4r^tbJLs-b zHD{r&?T_S_b_$dN=7xV2K4Hg{L{Wc$kY5|o7hbdLi+9lqK4Ktgg2qIEN)xe;`63lZ zJq7I%Y@tE9oVaRM8be2Hm1u zTg|^nyJf(O?_Z$ zi$`c_wQQ$3&y_Hyiu2x1;zK%hspg+u*{hIXNpn+Pu)buOkK`*?vSSS|WQ)Hxt-P9N zS9C8y(qXihTgt&=b!%wUrcOAa`-yocf8#nUc-h`ONli_4^D_Xq!W)pM_cB0^M8XP+ z1JVbq-uz5WO3E!PEW91+Thk9CI(Srm`B7cE#G9R`K0e?IIm;|46@{C3IXk*PK3A{y zwW>{5+XBM`{Jz@cS*zMS7U%V#kQpW5b9>oZ zgy%r}?h?8H`rmtazCjt4ZF{1*cl3cv8kxY^&o@%ZwrxCszRaZr-te%W(0RDkI@E2u ziR=)A2{^78L-7z*!9SaCTtMO;@6(MtCDUXFBRy>c=a?DNT8QD;Lm zppnq|Y6tEZ;vg_pt-XC;9M5)(=^~PATlPc)dN>qHa_1u(L6pYzIcL1hmOYdI3TjX_ z3nk-lI0r1!|&xB<@O;4G%kE{v~Rc#aTvL7*T1{M&D&nxmdV%QA6trPZydV z<56myKyBrB_9!c6kfXj27*+hm>Oxsv|H-Xhw(7eOFZ1^m{oX&T$GB+Ts4s<|!u)(8-XJ&e@xs(|v8F417n!&T7 zu1G-i$OOx_2Q}l;!F$=uIKzc1i73i11REP04M(~!seq+)g*jDJ7bZukeLQvoAlfq% zb;X`V^dY6#ZakRlOi~`l^zE0nIj9T zD9RmbsLEmEjbS#h+?--$9#k+N^h_Kva6^68^Q6TIMA3}1r`KB?E+#56QN;m3;O39m zSDyy-t3nwP_nJ$nV&%HL!Ny?lg(QoYD6+?@;4S!e*tP5eI*4u>_u1%U;Ao1QRORL2AfxnS zgvtn2WOan-WMSRMv|1=IlJA z0NF$MTF-7f+1sxuTmw;~1DX*x$4LNgxiED@sg(QfUAELsH2%#?Y(R(?0;EIbv!D5(Vr8n*RoNWce<*^qb)voZACY9xgoH z7+)Ws&%gGkHWZG|`Ya0Qu)-G$gE2kA1K6911U_ZtHD!@?Z@?6id%cTrT`eA#ql`@O*XhaR z_i*3S&T$y4Sv^WkF|FzEO_Nnom?NJB4NLSGADlE>_|_BZ}^)Th-{I*D-6XpA^;h+2baIM_^nx_lgW6A zTMGy92(c}n9{#vvXfj&C{#uABwxG6_Lbgrr_Q{Cbvl#-)FI@m_@jVA=>a0hv`~VdRB&k(lY0*IUIeWB7OHES~y;UtOVpKtWpKAa| zT2}4&=2SHv^l)X5k-^DS3(RSu^hGSOD5C7VmQPBYGc3_%nbx`W?_TV_9ZS2BH7HG+ z9lbjS#@2F&9X?p;0KAY8TvUQ zGOkmno%#ma9LZsTQL;U$zZhI{9)O$u`pgutqVpfpX9yxS02afDEGMGyx{IME$ca6D z!Vq&oo<{KcvhcgxqnMRNOX7HK8<9M>XXNb3Ol6|eKRnKQV2qeJe#SFTCd9B^&sSi@ zN$o4r(phalXK3Up&|bG)CTMQ1Z~njddJk}{`@Vntq*6(gQ5hN8N+O-1j3n8il9jD& z84W8MlD&8Kh_XjT8HLkIW=KUDA(fN}so(4CexBq1d;b69`QOKVT-SXZ*L8=}_xt(0 z->&+(Tu9;ODCcgLthPW2ta8OmgOVi(X2QdmxWB9ql zPhl9|b?^e6-_6nEKMl^E3jmxHZfl31#-Kf_U)9Bev7T=t>Qm4%oPR9*XLL=bZz<}U zVJ=!cC&2c@vj*P?K}GxB?CHhT>6m)JoZ8UHh>E$ts>#B9BJ0^RwmFyyD)f>&DE1U+ zo97$dWwt(j|BCk6ABFqgEI-zrsBKrCP!h>e5|*TJw}*@5?^5LtTejDD$2;qI=E}HC zm&5_-GlNQ-3xNmj_yfw~@VDxj{iKte$-~}1HA((YtUSIUD(L>Ri%^xvi5k(6o&cg= z2-K`wc|ZI8P3QF;3jYQxz@=(E5Gc(@c>z79n5GBDAQ?kSJjkN}S*WuQ?8rjFvk2UA~ij6v_ z(y1sCtNSdEl5iwz^flVo>hIKI3_B~B*`Utn6h5$$L`1_CM=@VNsu8mKLL{P#vyJ@9wk}+h(Os*k|IaR zok8%d4vrq5;{1G_VPPmlQ^nLCfbd-1Od{I9>CwLxbWxY`0`KCtv{J3YZns3O`z#x7 zGIn((v{yfk>AI`rISF0YEUUX14(iodakp`vm|?1E|BShKuViuC@IRsh9Kv=I4@)#^ z`P0-bl{;fOjfYIFS1Rc==vmeRe@L|A*@T{QWO8|?xS2@s@n0KzNgs}kENRsZDsc`2 z8d-Rri)`8Wx1Zc)<{-mlQTVtnW!%Q17aXg>E5_?jN~V#W-b}1$$nA7lS@hS$ef(Fh z)D}R^pXXOg2IoHpa8Xa4-?;91Zc$MYPoO4fVtycy($-G&8^{PSqecd^Zb_g-pD9Y$ z0ExrL;ZXo_NaqoACRSR23JXD+?({zH3@02szmzt|T)tE?=#D~&QGl@PA)e7^{$HgC zJ@(w9HjtKNT13(^@T9=qs^b%X2!LW#^R9LwyQY>%wVvzSuA!ZHYS( zx2Mda_6x|8%EXj;_U4I)MJ^*vH4+6GNU|r9Udhbt%PFQ5q~SMYoXO7?Dk6C>=<7P# zd2w4-@}rJ~%l%_>m%f}6iB-fwH>=3K%`Dg#sT}9d?QBkne0)pDMB&EJiB3mn=gF0A z9j6Ul+uPct?nIR3M8%H+B`~*t3aNaj)Oi9Xw7#BAbU+pqgiMEH?(%CMd;abH(<*t$ zhrRz~M{5yT(4=|K!~AEpb%(6(340ia#Mv9}WM&sR_@XVOv!^$<|Jm=+;G-KMC!X6C zC3y4bUakA5ZL_??TX`+N$p1P~Uti}1ApcP70c5XFHVYwYa)~Ao%vd2n>k=QT5@+arx5wQ=S(4qc4kbWG#~oM zd}*ihH&V$*Lmhnw(Xq+$Qi^$S9wlfj3O7ctPsE<1CZ!TRf@}7!SeYppm4I$-B!#ud zyB-*v|8NV1ZZdaHtlg*K`l@NvwCtJLr&T9*_+_@VSm`K;Nm19h$W(OezE@#A!apsg z`|?706vtgsJee`mLzU-5J+g)*xh=nhWTuGV=Tu}Bt3ifW60R@^)WJ!4a#QVN+X7$PP3S9sJ|z-s@c|kmjpt~3yanq<-i@fB z*O1|f8yva%O-U|oGNByC9$ZXvT5jrU(P!-L%BlW@k2q%h2%ZXn4e!uzMbCd-{gVjb z2f`5OW-Sp1`WCW-oMH$H)Ls;0XySYb6z4}>dwctKkZx=lcmkNY*beK`PVI_S=E+bx zC6Svrvoe=OI02#)Bd+v{n+h{=@I* z0uoBlsgW&U4I_*?a)a|g2!FWQI9U7A1YulrXF5G}h`f6xQ~2Be@C#<1Xy3NYk<3cj zBkI*Nw7*8P=LprjW-4!?d(=0}PmPA&$L^9tCP`^;y7O@c(_6Lf$oh z2A$4_oMto3%kQ)dB<`aRa!0WL!B(|)QG-j!@L~LNYM;AceMa|k|iNsx9 zSN}!SwX(nFI-8WbcairE{d7mh?F$tQtc$v$Uh(bwpVDDw5PSBWTJBf=sksqogAZPK zNjh-Np?WlEuT;bDza_SavHktncjfSP`jq2e)9s0%C{(Jh+%@mTnoq@i^sVxm3HhQd z*Cw)#?lWAMP@SVLz@@QtI_=*dLCaUqElxuwJ+f$4I{o=!@r9&{?aBLVe_Q01Yvt7h0&2aQjY8-eaF>4%d&Q2GI%&3)_13J7>9?kIT6<$fP~0|&i6aXL}54W z5rVi8C1j$(k(85S<&oEU$I|Y$30l73uY6D^Q(5t5TS-gVHrJmq$x(BLxZUV?1klnt>Qwt&``xO>`S4oLsS zlE)byV0#&vnisogyd`4}r2pgj!Ba6(9d*?So9~{KJ>9M5rl~ldVs@{CZ_^^RI|G6XtSJ zVJ}7@tN`IzO%^wB&e^SNA9{$YX74mCpwI;6(h{T&*4uZ=4_`m9;{7%~Z7w5RD5&a_ zUGT_n*~QX(aq4|kVrZyO{27@nolc9=OIt5UQ!1RblZCTN&M1!WYV%RO<3XyKJ-BMh z#xuP;ZZ>ne39oS${c>vq1#C1SZsESQ=?%bu-Mw6HAcpnRm9`-e8WyN%w)}?MC^5-JV!W- zgJG@rlF3@Xl62+5Zp68*{T+b$)~dQPb`(#2aj z-2cxM&9c+Wij3&{$3*s0uC#B}z8TTouqW-**Qmg&Q_c#`f1)eo*tTwb-sRQ>r;|nbNnYfScqd561S0{>3R~EW1URtNl0W7x^|MUsRMrb zfzVg6E|*+Q2xbZCq%OYIPoIi|WHb?!xR;Ggnz@+B%PEaE`Qbp)xXM09Px7&azJQ=_ zPX=a=t?{sE&qWDz)v>8Mn}cr`CsKSgty&Gzf@IsDBsb& zkdphi)b`yI7qud2*H$P37S_*x^&1_hNxth3ZPpDK!!&|NM=draBLFIfV`DQdS%>@& z2|0ESJrdmAToAPhX@$1!ROMH6+NSFQg{YdW0k6LL=dktC)$!|JdCQFiC?cgFG z!tc;^(D{=kxGClmUFKr9zD}~0$wt+z^0!|(xYShdSmkr67RRi%PX46zK|Vh-er7cv zW6!XLkA5Swv!{oYUvX8&Q_l5p2j1B<-!FLIK1cVsyOn|b)4EH$7{@##-F4Zxs_u9H zidrbJzT}!dC<*jc&P(>7Yw@siAO|AfB3yz9m_EzM5rzCJXx*An!&8C+6}gLfW=yQTWpDNYIhNm8 zpI#c+wmB{)hK|)CQ^Mi)>40^~5XC8L37s6nA3n&k=23SVmiVi6AvUIx>jrhgY1!7l zN=`yQcsWUebrF>prW3^XLoA!|^r;aQy&CoUd4aPTmXt;|cVEWotr4nvDv^gx-kR#E zvqr1?X!0?({Uq3v72sp~OITu|#m54)QTuKdj8<)T)H~guzJIqropfP;d@xl|>Zu^V zkVIr?0K7gcQLMgq4$i74H5u9}ti5;#t$QEo(;iaF&vk^;<1r5uwhrX-!;KcgeH(H# z%69~B0C4cGABN3G5z+q1LrSefn|6uS{c&9gAt(<*!BRXp)tyxez3m45hL0r@rr8Nd zByD0k_V1fm=@wyyzG2uv!3TYJQL8xawzp>Es=-TJI=|XL2MqzH$EG4v3eQDme=c3) zc<*$0FV~NnjFrca9{qTNbZWO3f(oIXyy0Axp+~7*YjZYg)dn*kQC;kq{0VUE9sN=U zHw$Y3(N_oenMhq;{v!(%T zYZb>uXa5nTQ}N(DvU*aQNmAZ~HVH{5zp=1~`~I;cA!gt_a4ecENK~=kq|RUfh4`Sd zJ7K;ETm!-aNMWbeve;tk@GU$#(HZo=_&Ke^Y;O0k^VGOU+0o1w77N)Pj8e9oCq?Aw zQ92_oCkoBDI|AjjQBV@x!{ApTdHP6bo%PX-?jWJ|g%-VzM{)nH1t9k>^{5{o&_ct` z(<@(fM+b8*M~`ObokDB{L4A@w0M}8(Ao@8LBlNzyzKhFs*)099UyHjzla^rp%<$qx z!Ctgx@4}&GDHi>h@jtI5O=s%wY@ z245mZ<~*=hVC;eI*)oqMO_9hVg>=^6QomlKXWKq>_{5J*+EcWFFYPoN@?i$-2IV? zG}iKq>LS_VkIwbb1n zFP`<8_4>Ercw|Igzlr%(#9#8(fy}S!A5s_H=PI-R@a25{$QRtxl2O&i z2corIITb`rm7B*YF&Y9aBGKMqe-faURb%AJGjBgij!DO_z?d(y`i)ICdg-y`7J(F(; zKg|ycKP@4Wy86xd9-uRlA0dCF6M=E38J~ecE04ckPb*HQURIQ5|HF@qh`o}Y6G4(4 zmBz_nDY0M`d`A!vzc|DDQ@UI^yT;D)Z;e?N8fyz-K9{9<;_~g_prDu;mF?8DYKlV4 zqa$#(!>)V+fGphlhlv}WV@m+;F7)P!>9gr;T(mE~!qz~9u&`ggW1 zjB=5Cuy1JSL1PocS_24GbELfk+whv?V4ILOHN9tP&bgZfJ`&=g74!@D?{%r4GEfNZ zul;+JM3Fi&^gY{x_XMS#z+m2W%UZqQU>!KQ7-wM6JMErkCDWIRHEOs7g}KK!yliO~ zTd}XW;siMn={t5k^ZBW6ho%uAaquCGyoG~h*UIX3@LI3-&A3)E_XFG3E_e!Eytfm) zyc)T~Z26drSq#|RemH4|nzyO3)6vA1wq7V#EAS-(rWnU=P^8ckgsh8#JnU2%7`a)} z&{-I~a_RsQ{YlsR_Z^$9aMB41WL}PFpOD(|>g$!gL0kBb z^G2Ew#M!DXu3*bUm^XW0cNLl?F#j00EA#HKj)<#M^$->j(G{Ub$dJjw z`P8UArU-sQpbIDa=30OfIu*b&yWhVrv}%ZT6I(fMd90J?L{;7ILu{t`_pr~JEJ_56 zy$@!V15F9Hc;mP3Yu!~nZ&g%%ZC>U~-U#ch2}xC{^6i@aJ9vmPsq39_=12B`;&p`{ z6QRW;qU)k-%zL2>*m)1x`6T+SH)YlVTa)2A5_S5SLCJOYnK2^Ks^VB= zClmstni+TJ!TFVuf1L5A*QK#|Z4A;RQpv8P!nRDOal&;s+`%ZGs;3FE+>o3n@`6yF z`fK8V1vwfcu>({~Qc{v7butvWwQ^PFSkF%V@9UZSz8|jamfATmjF57JTaz*<|I}yp zbg93MVm`qb+|(1WgRf?E$=f8uhjluz=d=AYUj*UoYv~z1Sd&vAv$^$}R`I|VMD9R{K-%D`&%Nz~XKBP}_!>mrBtI0PaACf}TeN|MZj+O(*#x_9z5$`@LLwrg(&$At&b%{3`0kz@ zXFCZ-yDvD$t4kjt=((?OFaJDYuNyS)t!j_d#c`L|RC>>%`E9!n=C)njrm5*EJat{` zJ`?WLNxe9Z%trtLCa(8Ha~Dn{pxgXb#K5tB)%dxm6b>^UXL;zO9VRh=b0_hk#7 zXs@?MDJYyq$AdJ_EZZPl_P=uRrOh0Y4qnts;}F7cnJD7`(t}(brcP5dqyLIMzcD1BUbf5fzKUvkUF*1k1XWx%nemW@)Auq>X15caKr9Mk zpd8S1_cLKDIg=ieEZhoWsstebMPF41dZZw#yiVW!#md12Cp{T@e9Y2rZ??b51+kCS zY`?T+b{=pXaqbPbj^(62Kq{|D?B{5y+hI?Q_d8i$ym;Tj55lqn5|zL1ag5z`o)|&L zS4jTjifMnw1*w=B33YVo4_8@lBA9v*YaDP(8taHM3>hot^i#OxO zj~_q&N$Av|+@qE4exk=uO6s^^y_I!fe`V~eL!9^RSJb&D++_?r++|WY0^aoYX7wG* zUFoWUBL*$#`nK{DF{ghU7Z1D@tku$W*-amyh{op=ediL7sw|ia)MO@@vAI2b9fUfF zRHLBx(aJ}XjMUsaj|x9AAuufHcw%u{(C}+N$DzUZk_<`J9=3 zw{56{a@zyGBODZjQIn{zlZ(gLY>Sg7M6}E?fPD?%nONoAMD{@`*~UxmrPIF#Oeek2 zyG2J!C~is2iplWo&su{lJ1!DC%5Y`*H)qh`d{w6pJ*ni{+^F23ByM$5J+?M_S|UlR z*n1!FKllIm*?=4pSDHzw?Q~C$_j?pJ`kpDZ#luAM!y#mZ41)bhqyLLzTxshb`?QMe zwwI;jh18y+f35tnad~MzQX01PFct{SavS%VaJGz_$C1CI9yfaopZJACu{*4%-yhJm zSt;jMdzckT!*`JO^d6qXKMhWg7fV0&xS4uPuxO+nO(b&3G+Z7Q7EaV+rUdTqRM$Iw zyVYv6XAkV+I7eoW%WtIZ|A(z=fiO!iNaArhAeiFL`0ohR;7E(;Gh6)jzD){JqHo~{ z$ymT`nsaoM2S22C?RAJ@O(RM#4my@#rAS--a&c*hNJfCI4nxmI5;nDxMKDdmscCCo z-v(84ESrFMs`@l>y15;}6GH3%+t~0lgrP^tJxBIN*LTb0j-Y&OGgV}&Ns>LI$bH@h zA*&xLe_!!uT)ngJ6M?1wfv4e`#kFnvl98XuU_gHXh=Gw+QNq zUF3tcxKClp2~&)TFSu~k&(@FMe*ES6CQGGH-m_DdGhCOJULnmT&g6{h`Iyv=mU}pV zd}#4%v!A$rQZ<@ycl6W(MS2fSaCVAnQn%L&3q#Oe_A@9&^B8jo0JR31_5c z6?n>nd1P3PgP>QQD`xEl90a#GDNeP6|yy@pQD zB>M0A-57%AORD?<&^BVlZZXfw3$h9j9L zGcWIM`8P6|EJAt&u!M+eQ{eeq3fDZmVIQjS&M~pD2^aFfj)`OO?~xnH+4|@|6S9w> zJbCzV3$$~y{P~WOpQ2>!$&39ycpKCVV*lECwTFC*VzVJ9CnhP^O|yND4pEKeR^`f= zKQ^b5b;MQkn4h6r?5K{Jq}1VMDa&`(CqWI%$;z3a2LG-qp9_J=>*p4^gO0s+ey1d7AVOy9q9!xe1svu0aSeEm8qqI%J=@KqEvtDamF% z;|>)7p`Cjysf=F-5y9%Q4YtMSt-558XaFV0w))_3QcJ@^j{M&@7T*?EmJ*48x%~W( z*|L2_;Ot5D#6sAFx32W686H5wdi%Q?uuCQ&ZbDZ;N&K`ILKYYAvRoSgKd@99=zya& z?u@5|#n7l(@wO-LGT7N?Vi-KnVJIkhQn|Beetq|4%J^Lh!Ac zh&2luS^akP32KF?=4%_`ja@gJK15ZcSueqaDwPlyBNyVNe}4?{F3t$k#K(!K+=0RM zI8<&;?Q;L0k-nMMo9-^8+v`jn^kP!o5Tke0mPha*k3nUiCttpyvVHozYm3xwnF|%` zqc><9mW8@s`Jt_17ad|Gc|kPxjg>W7({jZ6ky*N#d2HPIvU|G8*23{nQJWDR_N()~ zkRU7(?R|iMNkWb4Jx8^L*;vElIxbZ8se{{s#F9{KUwUdq=>_htl=UyI=5Tm;S?xkI zT0MN!C3rUT@D=Py7osh@YtppZZ({FUDE77Riu2b+>xa5;d+g2!IkE^_%;Z!KnLI4) zGnsKbZ+LZm?1}YOC$HRC9~I$P_EJM2acNb&Zn1Ug=w@~Obsn$etr9M#PL|82EiT>r zDK}X`YSE>?{BpsMYF%T}b#&yyJg3!G1=TGNLeb}5gq$B=95Os&)5UFj8iGhdK()`X zVv|CD)NWe;@hZ?U^+cu-ck&^`YFK>k%O{n&Q(#tL-5cM||MmWaq1P7u+}i_j@$v1( zKr+?XVM#z5LSx&hT>n?!Ub>7O96V>3yCgg9Qtf#ojJ=!^W+%p4I5YG%XGC!LR%hj- zaL3HU3cWs+{wb+PhRbVfO>9#3Q7PFvJD)tTOERxcMp?6N?3q|I(%{()E~jYSe-{5| zYmHT;O52GVoh^!NTbz0wosa*2k)Jb_6ZX)_}2da+NlTc9l@rlJ+bTD@? zE1V{`j%ms#mIn4MjrnU5n-l2+p48+UYdasO&%DlbGKDC}9!31{*VsWN+JCSKx}Ev& zz7phO5J|^5#_uC7PGdg;!@oKG;@}xW!=W`EhNQ5ImrTCgl#4Fy;`W0M(sLH}bUykj z40{TdyX)NDl&Alzx_IfTRws<}cmdnI4s zY6!A8XjV^xyG=(y%H+!_b8{YCoq}V`C@LotJUXe&r81>RnTf zdP!H*yFKWk+zbhBpHOsjD<>6Q7QNqV3%?e7jDg7IGcr`JE2k%xUuwMQMFy&pnLP~s~-Sr`-GR+BJqh-7BjWZ zyccuAxNJGv=FCo`wDQxKzGW{`e@>C!T(b%NPbNV1v;gUit0Dc#N3UcfwpK~$47&g9 zI6Q_Hu8m!?Ym5ykOpYuI{Sv8cQ1ZgdgjI{vuz5jZNsr2Fsj-l(JDQT~Iq1p2BSxqr zM6>#t9F|cOe+O`;?%#j<7QJY~-oowGB4@l-68gP7ZI$lP{QgpgsYfjz6)$ehxpaE; zPP(Yi`|}S)Zz&Aiwl|ztRyXSk;MlrjIfABMJ7Qj-@sX`rH?0X)^PM@W&Sm|}m81}| z-9y>8IX8ZwHak9~hyI@j#&?D!TctEvp_27W%qB~zv)bTMrO7?wcg_Vr&bQQJy>{$;D^$FyXrl*n*9Z_)iKez`)!lbi{$g))_X0jUKh5c zCK2IzC|BTFeBDQR_UtI#ZW-pRli_hAuaXZlD3FzrWTg6~52w*~TK~r7)cE)ST&TNN zXGZGkyf21I1Gb`()e6tZ?ZK3m6=#doryoClG-h(3qjSaqKy70eq6lEZd@UqD@BDAU znK60+is!aU0=mOmovpdFv;_S~nU=fg*|bhUW~l{)$F)=v1xo5-HGG`6kU!Rfz-irm zD+>K9Oqo|%^ zyV0-x%i=0RIN(&GYN33cp1Zi>9>QHC^{4QaVMm#=x0VzK*(O7VT#BHyXFLU71?7Db z|ET3>63CPVstZM%9(AKSbeQ`qe;?05=7l$&DY0$&iwp}Vng?eFeLTbbie?WjZrokx z(BYFY_NSmiTeLc*{{h3JFQ!^#B9OiQHT!Hh1r2YUI#WLER#L}1ULyp zu*>sYcv}N`ztJbV-Buni$tu-ZB3vL@`Qbp zJ=wEraO1q({-hx*(Lx$`0lse4YQI-o^_wH2hVCEUlQN!rr0IC`*C|HMkj2+uyEYp8 zB;YWB!%$gNgYsd{@IB4>bMb27xnE}FzI&#ZKf2GkMY9}9yTs~xbT68ORXyMYnJ@vZ z13)~YOad#A>(}8LETB@`HX%lnHcuIiv8;j0S(!rLzh}JdLTB83?aiCi8F@tPs=T~u zDpPP%NEP%$2!*DkAG?*^vF}(k9z)DPyiOYNW2}-k+X!_IVTn@MK_cXZs>$eBuXr;y z$IU?>OpQJet8dUc9U_dE(aaDqaXg1(^sV2@MG`5ce9pRgZuHFuf38g z8T2MXXtTcshz1AqCxl7Ujsy?I+I_~pyZ_w z3bz|Rj@{H;w~_uRH+k;+yWQm1eSIxAYL2Yg2C}#6ttm12BsB8XBw`8!h-icMWG1gX4UIa8;-Y zUfJ2K{4foX%!7A6HwyO8^;T5i|N0EGoy9b#oVOGrA=jnv{l(HEU|@iZS&|tTD2rc? zPEIIX3Dh4rq`8emXt?HHlIly$8ydX`?=Ukn^Db3$h;RQ~$7LvC3bhEX$f&$rC|EGu zScG1nX1TDqmFCtc)zm;S7aDN=(Su4w!ftoxj0nF;&=#?0+rX(oj)__21z~eh=x0P~ ztaZrGA3vb3ZTbnfWe#x?f*ZErce1s8jx#`D{w2yK-@P-wmDF4*gI{2_uCS`y81l`M zllMB>IXcE_G=V7kJsy2Z8|yMj3XwMWAN>=x#)3mzl#5ee({{Mf2Q*NgAbewv55iwF z1jPQfx_e-`Z7ger{pM}YImEG&ssv4rH*PNrIZ36Y(lw9nn zJF&9{-XK2DJNIj`*b)}pjQGX%YgLvX0P0tIe-kDy_r(OWUf4wFQtBzW(MDg^d2;Qb zP%i!Kbvd$}(=YZ6b1rD^$NYDnuueVMfgxNSrf0gS(tZE^6%rPX?5Kp*DN#dt%39{{MR13}xt`+z z$>vI;u!J{Tzk@x!n)E?XeW8iHzWH@ZB8xd=3`-duG8|tjorYy6W~7uxB&{5X8*pD+dEer(^HpRIo32Bimsw*`h-#J7VI`6Ir^x8B zR?I1G_2e&@7q-7u;m=!(vwWuhQiMgs{(?cAlEvF!&&$wN-*R(!aL}f4yz2e8RkqwG z7b+PueB+ao?S(!*yJI==%X3kE^T6EucV6?y0vCDTpKE^p^t}`BU#$^!t`f=EsDaPN zgAOfdFUDrhY_cCJI>cV2*XJPZE*-lT5f)J=Rx_{5o?QJr_vX!;SwWhJ1x3ms6;wf* z=#I?|d0Sea+v)x3Am!tN)$?52-rU_g$Q_9XC-Hb7Oz{rVH5w@bMx?=w?nb22uDK15 z;=Xsaitk!{aG3q8XxG0V>6xP5hI8G+>+Ct;`M8M^F^k0PLvmP|FZ0367OHQ2UhxH+ zsZC|c=O=RZiBdDOsDQe*k+@>Era11IGV%IaIa-R_0>Y}D&c}dUpfvVGKGr4+*;9Os zkW#U5qa#6R-#*EGKd;xBss%a>mK=+L=aYzsSC>e^0D+g$LrYULv?9Lqqc>)*zW#nT zm$Nq%6qx<-PxE&^#nVN{Dxnl3#y!JgCIM$`KX;0j`a6$(I}TD*1sU6|B;X6DE_!ZO zqHdc?1g4V8eio1My1BY$&pP}>&zjZ#=5Q{tKnDcaUF5q6-k7oTZs+82G~b%%JK5Mo zG#6rc*yBGUm(q_sa#iEo7*vc`|UPW zEiL++&(qCUb4O{dMmB#9{DK?wGIIVmr!!TgXkCo|amdiNH_-o@?BZ>dcBt7xC3yXM z?OfOlqjW#;yEoezw#vLRZGLJvVrj3tZ}-NQt7p9~2`#5C%W*V+TiES0az?~DBsuI? z5^)@k{+HgM)pCo5GGg{-4)cX;YjD_oIz9 z3|pqPDynS_BmNpSKSMHj;AZNV30(Hd+M1iQr)COEZ|c#8IRz~6efKmZ;d%MExU%(O zPVM7Yx9vljF7%%=v{EhXndddkZTNTqmX4g&ko?h$7f3mk2RXyl-|fnGsR$A?c{bRh zJcOz#qU_4R9-mTd&mjUm0%fRu`t&+dR7c#7%Z6WW6r6O2A_SB#bwmd}lyG!2PjfA% zH3|rP0{e=67X>n~kU_ODb<|y;*5fwqApTTbVcNCD4<9zbglWslzB^$0=Mt#9#-vvv zL-U5X4Fctj2yO#x!>+i`$g?iZn|)K>+!B$bmctk329`VT!2^l5$ACBzqcPqYxUHk1 zLso)hzZvRH8ScWmAjHi0u=Hleg0mu> zTJOx6UCf4>_Q=+RV?F%iWrR>rs=G?<0+n7Qtdo5_PxF1afAElIK)LS1{B>=_5aS+A zG#U<{Y5Yu8;0#J8Syunye|YO8@a$5Vcfhb3zgr+UcQvysYq zHz0k!B77@DeaiiuqT=K2emV~n<3HgbkwWGs$tt=NE+&=4?Qyw16{0?!L~1wUxLyB2 z0jO$Mj*(XN9<`Na)wQ(0t1G;Mf+uYZtE#GqPuR~1uN_X8IparZX3cVtx=`85_WwZ; z>Is`Ggk_aTafa-7o5Bty@V5@5hSKZHNDBUz;IX6@-?{m}IPCrV5~Ar8)!x8ncS|5| zj9+><2!`8ZX7X&&1yB%&y}j8}q|&b)-ZW;8Q+f7BePumkk3 zvlFNzDqF+{O3%ya#f>#y(|J?{)bi7HaJfB2@Z%vKBP+M8a-Q*RV*_n-DN^rRt$MVr zkUY|T;e4C&z>RPCns|AYR6pK0AHLhS-K9${HO#-){e*yiPid{wbPIC|BWkS)>1w_ko&5-9%m7R^=} z;S!><>KZBmnf1)sS2+$xl=wEr}P(Fzg7r&$2_Ca@~7ySC~I>zGb zzaH{Za%a6KkC4hF7sdiLF$STh1eMJPuG?Flp53|PHyq$!scpM?{Ekhi*an$cnwpPP z2G)0Jw~Kn|wcmeLlFza`{q%MV#_d}#$fygyt?y8~_-&lhHVc6f+G_P{E>m-JE=W#c z;%Sgc*1FH{G>wNk`dT%T#YX*injARFR_!apvpphoFYkx_Mvv%@-=W+7@bHS-gcY7| zi^P8@0srVO!{6@+(i?n1{9rLEQUExpc4dwwN^L|mBNd5`6Zr*)4vpG&tJ#ReN{m*4 zWV(rXC&GtFMIsV!@vn(LJ7A~^H&f4FfWDcTnTq`s{&-^xs|o9S99^5u*q|p5uW&&b z`EO8I4C&>y546Zx(Xs|(MUwg_vvi0%khu~Z!mc1=V0kEMU3;MX_fPg_fKUSu%r zT)vb$88vs~J^$Bk$4`}pwmI?Yk5#=+Rs`HJVg8!$F2%8OHglfmg;tB(l!fJKK{5hQ zjYxi*nFQ`#a#)6i@-`l(`Ax|Qze8rPpDGC)lXMt7pn(UFa7+5Fvt(-ieW}D2e1U$I zt95vZ>bYam#zd9lc2_qyhRw;>7oU!NMA!BYou*ubJW;n;jNd4k9t)R`tL!@M&~y1) z_zv>fbLX=1@}?5Z*TQ}{cCUF^vgMnZlCR?%XmfLLkg00?|GD$V>TH&JOMRFPR|*W| zZC!p|J4*BQepXh)-B^n+?6Wxshd#fItN3FZEH8XZ;hbSct}J!#uM|hiJLmRZR`qf& z6#w<|?{%D*>qr8pQunReIyq4QL-F4Ut2|lRV*A9zP6Au9nsRh-kR0Vg`zXExI&Sq_#$eHlsy~b1g8fzNvl7x{ zdGD|EAPMX%cV8h4Gc?;jh8j&zlOMBW5)+V#~wUn-y? z_OOQ1a()WRE;9Y&p@^6+|5nvX3QO~$m z$`Lv^h8R5bdvA(evavXg>7(|$zZj{-q8HqmCkvTn$Bwq6r5(+T$xd~vS4j0}>)Y2k zW<1M4)QM|B($;TM-6Wil6jM?=Xp)m zKSnU+w$k2<)t>|opHKOHa<6Q)2|rWfHtk(Z&40z0SZr@230%?KsKo5X_B8a4Y^~M@ z6Y$7+Wd9+v%MuI}o@l3uOH!98tQT9HCp*)`B%c#IK2h9*ReBF$nsB}Qw#Mr)OvL>~ z=eN|K*@TA(%<)7$9>%Wzet|=0AgtuS5cZ+4`P$^G6y2M9kredgiGI`o65=#t?f@EB z%F-b|G9vZQlX=IEQ6i0WE%*nRl+^dA9iM+Lg-BeBR9TNZwE5qk&VQs9YBGx%!LjLmS$aAlvVKA60l3wFX1{psJR%1b&Mvj^w_oF2 zCZtMt8&)~n+us_QjXyMT92!U2gIG|$btq>QI(OXT)X>ao{9 zf!!%M_uuql5^bd3%Yx1BLjB&Go~6!Es!gZ#Wy1pW8VgD@mupHmzsz5MUbnPw_f?Pd zg}1I|(u@vl$hh%y$b1mJb!`^gzm|+Z+DOT5jTRiUH(g=7(2=x(^n@rB3euFcqT`Z# zHeimh)~`E~6S(;0<+<2rcNDb|1QX@-@3X%*36O(Oz@)MGQtn`2|jM(g#G4Zm%cm2LUAI zguWZMDX_7(Z@??F{&xKS^CBN!l68cMP#|RFAzkup;udPx6DRy5nJLJmP@r>IFiOlEOL zPTT80)#U9OA0KxQOuXm|f9M?a-3B0K^Hu9Z>?2prL(e<%zv}Fa?<`w62e`Uj%b0KT_WmBonq2T(-sRsI*jmBv*oKgQiD=7}So$4Mr z{Y22V^Hx~or@t+eQ&)Ao&N@n$VABiK!~;PS5h^_bw*zDngC*wch&<7j8t^;s+_VH7 zEVllFSP?ifr^K2cqIo@`A#5~LTvLGg?Hl{3WEtJ?v3?_$_a*26u{es!@PNB1uU_C7=%1ZOE2JOD(^goCqf;XyB za9{wE)$_+Dr?>R%P}|o_Qn#sd)dxuiTT&l!e4gFKl~sOq#xOeR+W?yAuay-4PU7x_(87c=ynd zh+gY?^h4q&_>Ig4l2y&tibs><?_aV<7x8@Rn8g}?k){cxRNrp5LKq}@!ogm znkyc%X%7#wxTVbeohr>3=&25$b6ypz5%LW08Z#@jz84+MJG;Jo_2=+VG-S2FgYB*I zA5C@J(pd=hl ztj(!rOyyHUx60KcG%l zZq{G5sLMD{-eg&6Rywr40j4GPGnyF7Ulx+{5Wkr9szl4xZP;Uy)%p@44+fibnsFt< zkn$I1*LC{9LB8YuCAEko$s98RlQpO&XZh>%Yf@R?$=&5w7T#R6#2di4b0@7oUtkXe zQqD)qA;U*jOV7WI;{ic?5~HJUA-%ViK<0Sggm4;#ZM`AJLC>zHgCCfnUzwX=Ho<1B z^9m1?^*tP-E`OIM#}MU56_IlnNtKCAyBj>x8zo}2g{%sAHD~%}*Z({)wO(RhJ2?NN zK2K0|F5z^BG+2aFPN$$M{8Go%kCWbECNs;|vnQw7N<8oV`t7#QU3g#Ny@<~a@4ft9 z)f@{?%iUIOykC{JWx;krKmKPXb>o#Of9H-J3!9Q5yl`;18LH`{ z`NiP>#TWfQz?$qwjZ57rETNnCyG!i;yW>E2^S;9_?SkLJIRH2~H8 zU$v}IrRaI3xb%L#!p>@T$ngNimva^%fQS-RMcYw*($>Kme6aBPfn^YbOR_s=CICG5b1W%>ta)R;461G5&{V15FF*u zNI&kZ2(-KwE@Pmr?3M+hzo4n!d5X61euY)}{GC_SpD#%@8gkM4-!_!9YS{+um&#G< z{{mh3V&;~-X?AOOLj530hz{;f3{3vaz$`Bm4}C@tmtpXm3m5t>qydl=M>y2#hjg*{s3W-3g#toK1YZnczw8O2`xU(V7rL+nbQ^J_O2Bco)c zgH$Xlt+y<0R?BM~|9|*;?|7>J{(t-!Q6gnUgi1!XI3cqlJELT0hODfTT|#7KM?yF# z>)1rdIHBxuY>{zDvRC*$Uas%wcKiOW+xPqZ>w4d=_xpNZI-ckGd_3;cbNT1FV&4Rf zY)H?i^yE3*-0^NX*W*w$Q}4ooZ`$95s|`X~$k|o95nV>A>;skf6bif>#C9k>D*?O% zJ`be46jJ;fkWzUT#$=?mWE5U1>93qA-pjYlY3Fjd@V920AZZ3J}4>Corv@p^)!UlRV?S$pE6?pSVzCGl$!staDlg|RG5GIm3 z@WTl)hL*lQPqHzPkKAgF!PK`Q*B&Kp@z28nI#oFo|A6UIPDdxE zsSm^&VCi6j;pn-I=oR+s0H&okLf!?UCM^C0qK9)VfLk)YLTXtASqBpc~%~q@W%i(mqaSMKZmtVgUKf_p|M- zQ4vVSE%28VW`NMcb*x|p6ht(AB}{dw6Z3h_vOr0qv9P&Dc^4EG-oAZ1n0pw0KO!3M1hv;ce*B1JI`c5W@#Mfh1wYzsOlN=A0bJBD z2yo}`8mn@~02R`60F&vj*;y6Ead_C_iq{fxQ)cPe5)G7Pq-?8&KcR5vaAlMCX#;He zKt=hN-cc_%rVA0gi{h@~j}(-7nfTsj*ak_W^q@B(KbqQZri=a+TC3Y zm}k=Ot|D_!a;LJA=qy*T7CFa=7^>GA8U{; z!nK9Ssxvb6?-U`13}{1`a4fCJ#;+fxi*{lO;A52eQ+Mc#;zaKwntNJ&Q)67XIwx9s z?fX?ElHUKfq$gOgh%ARlYskb`@TD*oq4YC4f12xPX}$T`Tw$&Q!G$twZ#%!~oxg$x zofA?`FFdR&p>3apc1Zel7SeOepYuTZpTDpM8a;FaYtiNK-w$fm*;h;Q%Z)dZPUgzS z{+XX1rd3!biz=J!rcvj87qR!fwUs~-CP)~vB_E@H*s1pYEgr5kdD^_ZnD@uls_0)ej;0I`(H@aeEH`I z+~H|(sYtOx9R<*b!+3Z!AG|cu4s>l%&L~_UdPknOd5_o*D#8Mv^h09&kCWKffG_p9AMaUb&}f6h{^UttQQ4vk6m^Js{*6Gg0qdzhH$AEQw!pOA!&YGY zhY0-NFo^ugQaxuITI(3YPo4ln91@|7;8k)@I?f83@Oe$Fe8qrDC+*|Mg_owia6)~* zVv#hqDG6yhdr#2F5?O9JGABn+p~u{M)r;A-9@?AhHDj9)yrtb0X=+nTl`)=Oki)Nk zyA-+3n2Z$Fqv}Cf0Wiw$L`JxJ-F7pigTk@IVhMD#d;X5E&$yB#x=H(DroOtIIj1B> zugl9eN-9c~^SP4sV98A~TwRr`t;mr(DdL-*7+&Av_=zWoy{Vvf(|{-do@a>uG>2&) zRXllsn6ZIhgv;gBwRM=WFR+|a9f}1aC?E(@kc!+0+D1q>VzKE1ga&04f6P8m_&~62 zW}*KRv``-V2N|DPb-*z)N_&=a%R{mb91sylcv}HSiP63WSeggS_e7Q!MWD+Fi)JOg z?TdMliA$Kq;HscNzQI&eg%td*L_vTp!$+v{Cw+R)pN|prHcBbu;B`A02r)+IR8GY? zr|5;;2z=q%S}B{deQS=bONEC>rL#<+J(D(7Aw#RlT=*srSN{2VZ!RU}J_~!}-g5xb|0(_O`YJ^guOKiGxLoPVR~a%gLU@ zZRVB(Vts|AP?nKjYde-k`N1|3R|98aJ@PR;Yek(q-Cp4v=d*h>y1h=)>n-|-QQeir z?WTMYq-9+>1gTu!*kf_Ntk)j-CawlKIRx}j&sD5>Z}Zs)_IZntAa?!?dJJ|*ls`B~ zI$AI{n~<8(+}m(*MZ+!_d{i6&Rk*W2l5&0k_JG_i+T0-x3U6Dei)Mt_?H5hh~7slL|&ELW2OR{hztXXZXzJKi~Yc}+0P z5wj{*V?)fdYGNX?ghv zI10m=@=7?B?wI-m6h`|b3enY4=0aR+^zd)^Yekry{69jGDJ%$`@auG)>!4?l(SY|`AYYQxCpxP{UOjJ|B={o;_h=EH;*wqU=aLcL%*cDC8Bx_Ek3fBHh&td& zQ{l4xSONfl!e3V73cnq)Q6ebr#dkiNLnzM(L?$SRngp2v;fw|1IHE#%tasnudaW+I z-9R0|m|S@P0=s^@q7aS12?%y1JIY9g<$IAHzut9|nh1r|vM>fELohDLTo2{3gxj*a zXZ(K;OEV%B@~2Uce+Hgyn~;0r-XhIk|;d?-->H|&&j4uIB90yG(2L}8X4to zJrwN|HoIC(cP=J!|5T-gFi`BcX#AK$d%1>YuMH0yLaD*v84416g_l zDWD6A8<<;j=h6Q#cxEnX<58J=b#3ifPQ^!_4BxtmS8RPICkJf%2cCG^FwQkQuX)yj z43doBz>D9eYA)%g7>fmrP$q9-Y`+xIJH2weIZE^f@A^A6?1E zO}`Oqda9VP(CrHMbJNv6(8YH7Nem7Le0w6~d#@rlKVQAza=4CHdD*p>hqt@OY1Ey| zJns;GbYZ;=M9;@88tJ6F+auyUGqZFLwv6r^k1jU`(|!BeCuP^hJ7T>&K45L3xgL#9 zpTmnnJK|8MhWk->V5WNT3w7AC687`6@lAOn>o3?&poP7pgePrG5gq<3#2z<5iE1V8 ztlp?4O4e(g4CO5lDzb%UA3$)SL6?8#J07FMlngmYmcK1vA4ZUYWx&l|zN!I-L{ZjO z2(m(cO_WM;3p(sPD}9u0$H*Mp_%rGU?fhs?ellaOq=7`SqlRv`^Syx|UDGR!X<4*w z&^0_X>2Av~Ccu8u8l_(R5~kEb%S?XtWGSp8KDv$cZ!Kyliop?-jpsXfGiuF^pda zEzV>x1RKL!RJ~Zx2ouuddh~gLBj&wwci~gG*E>pl(=BsEt-+3#KG>e#2Gi_`G$-?3 zsC{!l4e71W2CBn$U)m=F#j15q7CP6Cs{hi`(k3e{dLw<;F9B>k9aTgC^sE8$w_Qhz z2>BJ`#HcVfS=RD(87$AA|Jyxu;d0B|nlf3}p91W|(LP;ChgxJu-1hSI-ti;sb}-ARfSSO1)3<_m0HF{BciQr+6;fFOVIeM0E-a0 zaDY`paqWpQZzv(?Ie?)n9p>9VssqHeC#pp$N75GcEaTkj(RZ``Il#)DVx!bMZ}W4`I^`Mj zd?s$?%WefIySP`H=3IV5PL!1|V1rR`c^E2C2V%ZKaDjg6a7KfBTCMA@G-O)zY{kbj zq>ro`q?H&U04v~Q;cE56G=+2Qr;nR1kJq;7S&6)L%zgg-eTT5%l`A5%GH;X^eSWkO z_#Ob|Mpez%ydW{92iVunckF-(<#@2&wiBhnZa^;k* z;2Pt2Xb0N<;-A7Ap?B-y5V*;u#b_ui+`v^K5vXuluI?tNWZhcn?o%Xw1ogrGzsZar zJc!&_93(*@u{}U%BO)4mZ%PP`Tn%%qP%sI>5h z`pk<#+4QGgP&Vk|25h4GLqxU>YbAOfopK8=nYy}BTb7j|ru6)`q7f9^A+pny0b>-g z8tEi}Q80Qvi2ebn-TLyxgPIcv_7a7gb{=8@Ssi?V!}W^V+EjRi!Oz9fu^e+ph6ttQ zshvv)j;&)w>trTcT122p-$Eb|VYhir!X5->LV@v<Zw41tp4R52^9s#n7#um6%h(Hw(gX(?RABrNy~uP9S1; zFHo&YeW^~VZu#U$-t`u*lJNWlSwN4XUGWu|e^eqI&!XTD&BlDqQM5&i>s$AlywlZb zO}J?RLBNvF8&T+H$=3~zUfR~@JyD~}Rt-s#>8114)&FW47SmM@+cypTXrW;o^wF$0 zQ#-9Ws!IwK%45v$eOYIGBp6s$UIKx%jm;R4Y+BT^P}_S#^)8XzBR@7C^!_g{S)wTZ z!v6DUY=Os9yc<0BB;U-xV!vWwYePN;2-!;J24$Xb5f8)b^vcTZ%tp@m8bk{YE;LXk z;>gfr&bag7r-69~L8bKlz^Mo}VxSI(A(s+m`ggM7c9^;)(YE&&ljrJ4a2=w<*?PEI zKOTydn@$KKS_-he%3#%Z|4)w@nlRFHx#f;EJ~Kfc_ucjmbC=j=GQ)KP4tF)mE`rbC z`(yDjT`Tgt6=7RF@%9wccn~~NvfEjOHJj@dTb}UGD~m6c*X{#pCCaj7;?GFM9jPC1 z5yL17HkMAVaa3w2IV501^&=Db;n6@063Y!_T(xSWW9mfMTQEwan$|Q5Ntd&wC1XLJ z60ooh0vC`vd~?0#F#Hlg?0B=f2`A0fZTpBSfy*DR7K$*aTYJFi%L_wtSYT`x2KfE0 zb$N(MD1>4Vp+LZD z6*GRbu3YdM{!Acl)t}2`TClbiJchdDnioOcPj^%1LEYMLdsDf7Zu374FEji#Y0f16 zw2?L!MbG8#z4$Nj)!^UvoD?Ba5%^{4B@S^VVj@T&u(AVbm? ztoUK@k?g#8d(8ehs1SMpfdm#CG$LVFWtO2YB6M6|7M+dq(mXua58wZ7*}xgZ_>Ka< zzES9R9=6xGiRkw~HXqsVPO}}p67(Ucwp^tSQn%dH*FOoO`y*Y9px=(_PREh3LF&TM zbPlRGyvzYisIOT%)*I*(7c*l8o~2vF!g3l*OZ1)UG5EE>JP|jyxj0A-D-3>K zbSWy!Oq>BmIGT_BUdy$?z~7zR=Y~$>j~^*co)sXCy63`p>Asd$_hsc^F)jLQGk_4o zRkd>nR%&y|68W(HxW?^=;T0(3Acf)lL*?(03TpU^py)JeH(13)FmUNI%KFww0Un3s zi9lv;JSdq#GJ|+p{a|_~@sHicw%oRxQ4Np>D?iONApeBA_gAl6A>}?_^3542Y~l#` zR~b(o6SE56Czho59KX$b$(zewC2a))-VO=W~sa!?Eqvf1kM zNd-Bn?XZJ@k1U!m)B)4;<5w8v*gTkTw9bXLaSpn`_8MF5Cdq&KC7719c<~@XsMJ*M zcg{-k?A$c82-v8xyR5;CcB_27k@o%E*1*j`UE(tB1P~l7NaTs4}w8E)ZsF?K^thMqS$ZS4ZHQgPN(SC@cXgQ9Kh! zmR6cLwWs#Bmi>&12#{L-I}TrJ(|vKr(1P|b)#pKt2Nt&ZBS$Nrlw5Z;PW_Id&+`IS z4&eFq5_w3UQAz54`uIf#7GUgyYO2xiZrZ0DsQS|yf9Xp4s=kgm0im4=@Ea)}VxXsQ ztp!^CxqJYb@;f8&&)Xj$_#@(N_Jppf%Z37o9Qmu}mpnO8$WgIpmL=?$7<@aS2|!U0 zdGLVI6{@#t);=9TydqaG?Cmc3tkw>#4uC0!70IFB7eNYtY%4S~L$^C~XpZhMXx1*H zeh6n5-)O$&mANiibR}gSMIn)UI}X{TJ{Hy~r)ui8W0?Q9NWJ>JfxPu0&%kfIKjCMeg|*dy-tGwpNs_k7T+QVnq*r=kX`%B>DvO0m%Fz1u^CCA@y{?;_%uuNrT` zfEKxS8H7J%=p&F5+Xy;QkVL3E)vN@CEv6p@7op(Bt~=N$MENfk)_oZ?Ln@C#00g|# zQU?$#tgFOP;qrB$fTn(>jue0ci7K=63lw-Fv=TD6X_FHl$S)HG2dG0e5-)1<&23gf zFWznTt+hD_P!$dB3C8BQw2}Y6r5xs>*ypoQE`;)j>!tBz zMlGL7Va3TZ4KE?Rj2J{gYVMQ$G(=a|p%oZ;YDg{NaX^xxbqh@#nl!o%R4KR#cah?O zC(Gmf3_~z}HCF#w+u`V4hDzDMe8UPAzxg-aR>rVh>6L^0!HWM)ar2I4)uj|CIcqjY zxD@U7ee2{UetBLp)<_`FC`;X160j=}xRYU*AQGWw9XU|;2L6FCby%Ok!cFD$s-G5^ z?@Xyw47!*aoHN3v$?oqyL`Y{o)#xS5*EfA8o88N^;l~3ii71yBKYsjZ!P^ST!B7rL zYLDslQ4ouuzo+GuIJxqzpL3})GG5SHEX%!lZQUu)d@Mm6&kRXYd;U*&KLk zbZoaBNT(Zib5BPFB?^XlhmHR{|8r0df`A$o4T)8Neq3oHDrHxZGIJ!PiYg$Q43Bp1LqLp$5nT_d|!lhjQaq6;rfz~Tur zb~@^j0ngmaK~T*w3cEoo1<=#lo571XgNG4Yz}YIEBF%rSAA=zzDwYSN4&6VGPPfgF zRP0h2EYaRu-vXDYWcQN!cPZbj>uXPIm@xg+8(t?(X zD0OdiuG$pj=HaC~rub6JRvL*gJuJ`Z<18X*htbLvSlI8^?cO6v28+!D<%1U%A?ipi zAj079I5@!rmFi7{0-?J1Pk%gJxMJi9G!=_@S3L${IiVya0XuJpZ^FCsA~^Nedd_%m zQpv585WG-<1TXLnoE<*LrU6i(B-sfe3)4#BM=0p(DIWTJu2zeH7d+3KH66nZA5hWb zQ;a}|3V}ojXtfs2DcF=0^qHhQiabKV1Y87q{9K|DFzNw&Z4PiHs#whI)5niUX%}FE z`&h+Hh~tAewaa8t4EG-vSv@iIJnP{QTSc-kf4uX3VTbEo)*q+VY4{DdefQxv{1_p+z)~m&RqBs z=IUED=xP2o+7o~&q3rzxu2U@ogGV0X>)vFo7>COm7vT3@?{RDqB*knACheLw^Lp_HeH%BUHgHD})6t)Z^6iD?~E#6sN<}oQedz6kj3KMEA zaWdL*lzR)9(_g2P2TlKPa$m5g>)s5`DAC}aUgI7fR`V3$_W&+S@dUMc?T0{Zzrw8R z%fXobm7#xmw%w(qBq`%(47m3I`~x9>=**YuY6Gd0stE$k(1O*xFab92Fji@Unr=3q z^)pB)nf+)bq~F7>Wb4F!8rUyLqJ#dct+v|=&)Qi#~Ximb# z7a{msl{*O1LO@H_|~2zB^WY#?^OQZua&-Jr-bOfk9_a1%K|s zY~E)LaSoF-G1hq{L1wQ518)r@P~cM=AS?YhP#vGRV&tVQevnzWm{_fbDY2xLrjb7 zN*Z_7UKJIK9)y9BiIwWhVYL+1<&=(iOmD2-(muyb$#s?U(saKghp}4p>z?{~?6@CHTu!L27 z+2BV!E|r@eX1ze5lCrCwsDP(u`F{zOiuF6;T|@dptpU%6(+B^d*fI(Y>z6 zBrcgRpsX7JAK2l)S{7jmT}LscQ(}jpMS18Ar&{>rVY+EA0MjHWco7etgFyP@h~!*# z%I;yd+FN3j9z>0Xt7j)3U0tK1%E6F~b}bUXB953=fmU_5cWlq8g)D?l=o)liz@r{& z3-}fWmU7o;imi@^1u#RyFo4Zm$=x9R*D^K^2ZIH)%0Lik!S&U%P1_I-L>h}RWI#_`V7c*R;s0_-3~~>NXG2u z#F3`_R_Ohc6T4_vHkC0=Vyk9emy@VR%i_XslXRthI!XnHzkVeF!M|$n@ZJZR+!pOO z&Tm*w67yv6xK2U>Lx;7xNtOj1d%HI(S()nZ{EQ0}aaND2Z6VK_n{MHQn#h+fia$vU z?RyL2a?%l!WcPIrxW{<7?E~WCvvJWXEPiYuw=BQBXfREf(+=tB>{DSKvCKMS5Pn>e zCw^n^kImn5H$gygKqNsVE^2SSldHthbIINl@~a<1k{Swy#Gr%YAi?+vlmBnC`xZJ@ zR#}<@A?gzcn-xSX;6?@*N!YyUI7&bC4=8#eae(9-XUHNyzR6t#0x|(g1ka{R+-H->91Sqzzs??*OdtXU*5u!uW-h5tBh_MMSH0zhMERgyEsrsmTxG0OBEE#|~ z=EDDj`$*?{H6HM%SOs!SE+t>S>f5t0*3)BdnbS`vG~W5>P2bx~`MOg3hP?br)_78O z-JcMsHwDVcs7{a_-Gbe%>o>wN^gyKoG7WF|$gm-bYf;g6}L$>aeD3Adyqv5Yv-4l%pQ+U-y;rB7aUccHBnNMs3SFg11z8o#E_ z+ee4D6tNvmSehLrnqRGTOxDn3c-?I2yUo`j*3MwdTX|r}6*zr8bNL4v52`jK6T2BnovIos zRyQZc;^d1XHSj!0v{CLP!u?Qj9yAdyU@E^#6T+BfCu$YVN>Qt^j_^=OmH=ek1z(8kW_GQ2{tVkkp*)Oh*J|);hDB5 zpqHw@YY#w^aKT-a1cN6_ZkkI9_QAV5cHiHL3A{O^cDs`E`vJtJyuzbE7Qm3MV;zgYmSiAHa@AjyrdtnPYoy5+@|F>rKMwSoxSf~3?l#?74K${o*} zr7hylIwdlZt!kymbiD2Xlpp&Mz;i?wdk1tK7kG5oqOq! z(F28`Q5xAZS8KiGvbhWsio2cL0j+rbG-WGWIVPzkq$l95Kl z>0*HBfg9C4w%`OQF`~OWlJY9%z6bn~;ueH-P8Glt$WajE(?yZ4%bnx4c(5SzgZ}Lv%xN_ssjt+4 zI4_@Q#4tNn%KLf=*_%&&fjb6rQ{}J30nsn~hi!*dk#tYVM1g7fMgA_^ zd%p#GIS%_7RMU6^)o@LE)2On!zUh@$^zL10@}RhgmPim*3nNhjwOU!9XQzi$1Oi#R zJHkE&Y<5Dn;J$^_2ng z0_jfM;kSL#ZPWMa@{w_L#pBm7JO+qX>nI*9FFUKe9A^4=8hi{fn~-H2a+}n@6Dpop zpF$i0gxEIObJCnZ3fV-?LXRM5Ojc{(8$ML&x5#a0a~9Y*BuqSd#Kb=|Olu!b(i^`8 z17e0$bi|B>{lzXZlh*^z`_j@VKq?@Xqz5pz*YNinV>0KIy!w=m^$AD(uJbLxe18-f zzIm#vw-K#-?;ca1g!;9t!8^S!V(0ZLjyw-vNc0P}Ib#S@ap_<@Wvn{8eo$|1klSn@ zz_1y2c*mgHG{odsP`#4+fN{cd;RfC{*fhUp5f> z*jp@*e;3&zZw*M2A+FJ`po+}D)k7Q{?XWjfvWSl#$x7g99|a}^EP|r%N0^I3mYaZ* z14}3K7J(nX&UU!M243DbQZduH%X?6k(C+GBzi|D?6!4hDEQHdrX4Dj=Bo$fK6c~}- zJ86}*JOAUJ4#m#MA2i3l;el-X-N(JG;*Zl}2bHsXb8>Q`(5qk0xm8Lm;Q841BvOK zA2Tw_-%5Q(szpA_^@96&K0AB6Y5P@8OJ1SLMv+i)p$M6aS3hvK38=m)%gS<7uE_lH zWo&_D3GWhIhC4a*D*)n^eJSFFTHA+Si_0^&zo zF49pjwfaK^$nNegrNM>e2J02$-sQA|c8RX73yh02a)DpvY%lg)bbo-quWgslhLY-V zxjl?mJO%&-V;e{A!ES&;XM(PMsY`v;z%oW`U7k1Qt=hxW8%7l=KC@Mx#(?a>msg3+ zjTq`fjSZAv_Yz_)rR_gsSQhN^?%LQ*tg}AE?Jd=^{K2myUPP2Y)h`+nB$${ILRr8l zD1i!He8PbZ+1A$4x%vSQv7el%=ERAB=jyz=dG!#*Xk|gW$(nosA^-ZbPsHtk_GN%r zS_#aN1CInX3g`Q#c`vo^UlLTkL&ew=r6K|lf~DBj_zTTYY}5GF<5De_Sg1bSnNJd{ zD4D`aH_^POuRwD8`3;wc-KQRpB7eM#+KqLqlri7D_?Y>A{0kZlC{@rNwW51?Cp?&_D_@$!Yz(nCP=v zlG+R*H@r9Xe{K^gSlL5ng2?Rt{(es(A_9$8I>x9J+kyq zG==U)p!aAZq0|qaFr;hq3@Y=X08T3a1PM&B68CX>&IftUhBdmpT<4BIdsp8-FY(}wlBMSNj~xtSLqj2O<)WIa5VTar&E1_z z?jt>CXoP|qXUx=3(CoHcPnQN$M{{+RkstK%DncNPnn<$X$mwv!?vWAREsS=WEWDTDIXtj5GsWg%P^jIjGetfEy5xPNPaA5zd@@MvsfU zXDw@byYiu%TInA_>D#+_&7}&f&frd4-5(=D8FLpd38~!f~wi1fEVfr(oHKHg?EGeqITDyubiF(8@EM;Jdax45u>z` zokcJHjF;|zCx2qC=)icw*7(6zQr9l6T+MLWz^0ibltEhiOUcN%;pa;bXPHyVbUR;22NHZCbBPTYpUf-=o! zqugNDy;jd4?wK0ycJ zrxsk4k_H^ZNoEhR%LA@r{Tf~j^XjFZSE^+4R-O+p6y`R_b;o>A)m!l#Q(V$F%{Pun z?!WYb$6vR)X48Lu?y-Y`?LoPB&2N5m|KK3=;Ki_~sGN7pawn_ZoG+=-sG}-kMD$4!vVangU7qXuKm@0eJ|H`ZvjMtGc z`|JNH<2rJ>J3{1pm2UeN^IkA}SViiA6j8>ER<%$+)xx6p=Y>u3T}B4SoPnfw(BFo> z6N)*e&!d>R@b_?oOg<}QtBd)qFK5j91_6K}uHpeHiU{m-GR#>eHtc_B}rz z-6cL)ye(9q79uwsxg$ZD2E6!(8jw{YTFPArQ48Pc-Ul)OmdubYO5ox~gI#eFNT`)l zY{5_R3SDuJ0*GIfVoeZ^gq&fylDfOz>$fTC>7@sEFLlDI?*Z2JOC6C}F>dzEaU_eb z6vwXGUa&O=4P%YK8>Lq_QdP2qz%~4g+9tMp<*C{@9jvY@S(ahBrX@eXtO{^i(8V-a z$`J~EJ*X01qUxE;6yh!Hp~FoXkmeEkZ_hK(nOe^JwpHvD|vp!|f(7^&8-(MB1w|(#0St;;FLR90>KiU1m z%%)TBR5*pLhWOf;#uLd0Frkw}QqqW^y(IL5DN-V;YYwlT15;XAJXmcbx&M>C-_p{u z{}qE{^->$4pL4x~F9nFu2r~A1IGK}ANukHU0$lksh#5tBglt-rzMfmC+k?iyanDai zYtDGL>F{gkw#M$;n=>`SF(lE*riC1V^P;}U556 z$1RphSMw~gVd1kc&68~n4-R><9)zEmkmWNaYzwrItV;YVnt6CDYNo0*l!U}t(*Er9 zf&ayCa8nWNpkfqc`FerVE87*g>nqfc%Y=}eA z4pNKFbDv12AO>yA>p%y6tMr2GAth$Ny^)EFkm8w28$t?CdB{<=FqZ81vfrT>(Ga{& zME4?bBp{_T)ip7RP~vz2BO8kQg~aoe7P2c3!P=S{CYhG^vrR%JOIxu1nY{rb+dL5Z&rF^S5H^6!gk#n8<##RuYhtzI!kN|k++ zO;BIGE`HZOL)l&#p|I{*OY`}ZTyeImj9BGW9RVDaEvZJ!Vb8OC2EfX4YtAb-|6jG= zZbmO$Y5Dm-UfrQ43viJ=m$Lo2xLCV|jPV;Q;GNr2rgA5yaKZH3W!b8obrCaV@7sm@ zUE4QZf6Tvxk4s~KdHO}L3MONueNNa;JoQuDdFhscN}2JI>i0v}>?l9+dvSG2Z?Syn z=7t6!=wy@qh$Y18XuPrWf76VCn2d9XK!p(jcF7Pl!x8x!-1Nom>O;1Rg z!{!GfyQGh<7D2YG;OppogE9(mQ~b=qVP@JBm@S;yiwFSUv_Mn_xfyM^Fw9zvTK1kx zWrLXxVX~CTnT<_TRUA;U#ySlpA zw9F+MZclJ6pG{02kpXR0+F;xl*FwZiiJw4vIop2JcovXhqXcsBK`HQ{#m5 zD4Wy5tzZ}qnp`cXxLEY2vElQ#Z|>uc8`wp=s$)}fq+L zV6Ia=#bwfXg%*tt*MPgq;Y}j%i#&}-3Rj|af3=obWAX;r?8vv<>Z78n z8ZIKEgHe+gXJJ6SR?L9;8tK;|qHB0FqwXO?Fu2P%wE5?c;}8cF9^OJKGkTta7#D<+ zKVg}OMMDH5B7ot(lCPFS1BK*JLJfx%<*%9bWNG;Feljd2{!>Bw8EpH<;RQmGMb8!a z0gwTKk7OHR_26v-y;V3~>U_4nx~F+o*rT*eVo^Hxs2LSE?*uVris>Dcj(&%wNRLeU zg%k~HgZAACNzQw!;w%t<@V2QLd^TE-tvlaSfmC!Y%dWimyr=#89t2aqX|=rd%-+d~ z?e5oR72LoH=bW0NaLKnCLX5Y--))qj#PO0$-`LopDO$TVeH9Xh$ax}@4nEOykFjab zApk#!D3x} z@bI$z(6tL_VKUc-7st@(rOQW_?;C^TXYHXy0CuB|#3l@q?CI5X)Pd^(M+k)ing%$y zM$_KDT%Fr=$xv~{eu2d+KpiR6@HY<;JJ^f3{-+))-gp$*K*<8(WIxjCmj%(re)}8S z-I2besMU0h-97MB+IhQ0ilSFi(kX-0A-WDtX$Pmr7i<0B8O98TiqG+^>%x3$iEn;9 zB=7#~My6FbXs1a8K%)GmKGxcF8hAc!M3P^nkqUu4h@15*lYXx z`-!*>_$Hvy7o{MXy6NKn^eOZD_|*<^5;%D@gRtsJJp94^d&5)9#6)0y8?F|1&?jeS zlgqs+&Y|6cp~wsh6;Yvm7eQjZKVD{+&=oGTJxKyP{j(0B6R|){S3wUAKiu(79EoPk zpou^(wpMqD%0k{h)^LajV1Wu(ls{6bfxLW{quU9(y78O-x3sX;`r2EIb zM&O*zu~L14}=~8I9mD;p~ zuSwj{b;5owyv?(JSxR%1_ghhSpJ~0NR2Q#p7LCrlR!{4bpp5e+)WdcK(klaAkjKu% z?0I}$I3+IGVaBP}se3U^T0t^B()UY0i5Y)x1(#$Ef8%TVWH5tq;S~QvtsLbfO7Wq6 zW_TYo2!c1V_9!C%{-)mKG?oCb+-3jgVRM%PkxH&^Uo#zWZhYvTH!;(>fB!7xC$!L^ zjUmQ(bs4k?CqY0SV|SY-S#S4u5VKFxpOemzdNKVMZYLM$yV zW2-amsKYxgnr>>?fgX zSj2}|p*|O`EnyrBNm}Jj9k}wM19f$h81MhEfNKPVu{ZiJx`!YNr_-7c8GI6`V@|9$ zz?eJU<2iTQw(ITDuT6z){EZC_lfx`HXc}Igpe1F245?eKJiNRCgNw~DWbrRKLO~jU zp(s=E$rHbPI_5r@7JY@qE+P<6|4?(ul=wBKWmVHVS=uy)&0aRBqMnWQ+m#sd#V4Aj z#0`8n&x2Cu5K%Exf611;GyYXUFc5YNEE*hRk6i}6D2S2U(tL^w2k_{G_B`Pvjclq* zi4h8XbQJRh!W$Rm%%nv;!-O2obw}@iQm&m_Fff zNDkC|mG9>Asn~K~c8vT=?yfYKdfeXg@jH2;VH+04!8hi=S7u&Y^df9hW))E@*k)5^ zYf@dVVVm>L5x--&$wz+jX!)Ps(i}Vh-`_R==Nl^lZ2#nJKGj3hGIZ3)9A!cgQAVm~ zZqX(yg>XGV1)oZW4;78Uw*GuP`FUc$pwt#nsVSGLQ;bKqP~~ir-R8Mu$kfs_Hs-g; z!rFo^B-;2O=0ANQ$p?iI+j?IyFpPs#)bQ4CYPfoIPhMz#WTl#=9ng_+Q4=&idVA|+ z37vBw7YMTxQ|iv>aCP^p?0(!^VvtD0h=aNOUqNoJJR}`@oO3%756XGChIr13073vQ z>V|D?HDHEBaH0FKj#$M!T)JB>!SEVcX%v6og$o}w3Z`%leJJ4+np)B03~EyabDTm+ zUEM?_b?WUv0+KQbyE=D1G`tf7luqYVyAj{yE5XtnEkhar|99SpdlTfEYTFqW82}jG z8v4ZoBw1C3E*dImfra+4+2-?!*z~qDP5+wyToZTtQCCs?P4HMgt|D9bq%Az~onpzV zKkFGq;8%8w?#B$4oX>kgtwqn#M_GU85}HiG$_ys0N9^~L1s@#vLO68)tE^v#u9Q60 zwZucJ~-E4FpB1+8;M(6Tg)3-JKp=F>2%~i-#?npztkAe zlUlmh^ImQLkD)5zv7p&rF1x-(*z@%1H|~B+$=CXZCw=l*sq#_O^qsA)4nx<6nC%Uq zJOv`ZUAnL#&Y$3rFftzi8%q>;L%uB*Djdv?>XUIuSUgEGtH&hKyJxd+QHrd|HpdyM z@O@C|0%?aNK?o6tLLnyGdI)W@a)gjn5F^MKL4%#kAFc+@77;@$4lDuU1w;lmab~@6 z(+4+Wpqn#-5k2-~#3AT@9R@=dDSII9ZyvT~(}GOoubrPyiy9OWaxx-_QNoo3YrM#A z)9khXD%8T8w{wH~S0*UX+1IhcOOu6$Kj3C_4;{s^^eeWnQ{oI786Oy2D{GGWaF{Pm ztQ$(rA5m=|R4#6(G7iBx2w@oDt568l!bog==r~yVxDe%*j2++`70N2 zcOuoHV+hEZD{oz3Wo@RFg}glrKB)hMEZK;Iz^^DI_JHTmmKLB)sTnI&a*$6KQ#P%pY z#|kao%ODuAy65TX$x$9ghmJoEu{4T)!5=B>#bVoMqFOC8&wHwa&tHB~D_904)OJF@ zz0*ceg1^(V)y)wm$tK{dQuODEFf)1#R4CCK|1`~ep)xWY^7-3pfrR}6#cb{Wv=uKM880&^ zlIO)HYMzzEYWMAv+1sDXH}^}6H2e8bQ6XBen#`9st`7oK!M&Z;TxxC}UeaS~6%GY4 zL;-f8(F~c3EQ^VvwI_r_j?*r~x0AlMw)RGMud;@}xh*p*i9e9~LuuYJY;Xm>JivKK zm0x3c-HHVYPV4UWJ22xiQLAqNB-sc;;9nA8N*4tVof{n~y_vY43pLsxw;`^F$O9zq zEvy;5gwB0hw?lePgAC}M-&*nnBbx~{aUdPtpd37o+6LZ|YacvnEwhM19CMQU#T7z? zRO-4`OU%ks&KP-gGCc|u;bM=v4RU=meby#28Hi_-+Gku8izff_%0A_gJL$oDj z)!@p5fBC8{HjN~m{WFH*Up%E3t4kp(MRC+zAR$J=v?f7dq{$BY)@=QyCP?->2$2sR zge=TC_Ki5_emXnQbxo$IWWf)b*&?EunYe1O&#GhF^FD)?O5ftu2CmFe zb~)QZeB*i{y+IF``yn{zvG_pJ*-&7MvJIFq~_#~wlN41o{2Y9Y z^fXmFW=C}Qu;2PrHZHdw1WnDRlb3EAPOk^u{3PDI^n|*Y-L5_T%XTAt!?$moG{ z8Z`&jv~v!bfehRR57u^S{GYqBWNonYNR z5&sW2&O$30ps;i1Q%xb;9Z$cIq#Yk+;qtTt?d`T`$}tJRktj1ESVT?(u8i*)5U?Qf zR#e7gZ+90STnbaU^eNZ86GZv0PRIBh-VRc{=}>GxAh?Q|I@c~*3fDxiUYAZ7Frt)X zE}2+9TZjiOA32Z6uw8mX(pR+oaH(w+S5{u0TCCmD^AmwLU}6cV@f~hsqa+LezW(nT zJ$Jz#0Q>qGLu}Gq2H5l$W*Y~O9cxUM)AaO0`!nPVLwzIjmFu=yIznXbFiVtPd%4sN zdpOo-xZUEO#`@^m#BP8Z?*P^mKovmcAhP_p?NwQ~}lFX8< z?ECR{{;uP=j{Cmu>-_6Hj?VA*BcJ#C^?E)ZGhfBR@~ffEK!JH+H5Ufx*}(r(fNv@S ze1S|`+el?wfmlg8Y!#Rg#gJ9;u=nh7?ha2>md5DODorl}VdA+L2B*ZzD;4UwjX zG{mIxkyK2tjcsvgy@{obO`TO{JY|ydDsu9Vf0&q>Lfv4wS*3Z2AyMuUY{re;9EMa% zrb89jv`ro0UHsHk^aKWsz%rO#`&+1-EiZLjnR z^#?7cp1uZo7rL{;is8Ev7=eI_IX*tVvjm@kiubo{-NjH*)}4?=^RCR|Itb=}2N*^VIQVqx1aGAOQKIwAJdO6!Qq1DryycG2+8@%E)V} zQC#?A@l_?=1HL*h%X-G!CY8E z@&u~^n%RkPf*%QloRZ+p*yFGs;f_(wmd-YuWEugX9W#UDnZ`y=~_8-Jb^!djHdYBc(t(foSml_;Zkf87wI zv?B(lT_|aytS`i}&xuX9K%u|iERcDb;xlvd@9lZcXZP=wudCZ{t)B%QcTO$QwNqHL+1U4^tb!S&gQtYGEE9FA0ng!(06J;1P9G@ zatC8~Tih$B*%e=GT(#7Z7G+rCeSBCoG%91=DnI8; zgY&pk_Bxm9vjX#}ABq5xqai|Zw2_8>ZJN+RlL!Xw{bRA_sth2{WF-5Vt$Z9E{m%6} zFVYDAm_(Zg=<%%;>&n#`2%sV-5kU8~JS&(E8!qeT`!n7AX(OfE?>w2`NKQgL#H#u| zVpt-P{Ew98kG@fdt`$5=32TY6LI>N%b+#?hjf5(5iiUkMEl(whD+WS^4QG zmCpt<2t*e|#erhS(6Q%iq~sw@BD?}_BlX{LO=kN(oYU531hfiG3W-ECN4zhE$+#Yi zSzS0XNe~xPFHkFvTCUYyUV9IPI+udq*u0JTfy58Qw}_|~L8~{dgutmi5McCP{grL< zQ!^y~<1caH!E0y4BO<2tTN9z-E2h~(fS8aPs5h}wt~?q=mtjUpZogk^?0n+}_5O$Ej%5vC zV-6%R2>d$hHr{`@lRn!6!c8JB$u}fHSpFhk*g+<0;TVjC4Nk~)7zD6k$6TT6K9a>n z3W@(Bb1USW*{M*TMosO_ekqjtjXo)T)f(Nh@%#Zp)#ZH?!3Na7uW*i&~jYuAqe0g%~SdjZ~Vq^T!&d$z`Xg>2= z6pmpbisC;a{ep-Ig;u{#hUkeWvSnJwTM|9mhn?YKZD+)C5cK7X0ofEz4uXXp$Oi|K zWmw5YI*KEP2&PV%B)y`@(dR7)vwWf^7eA-Q_0~M2GiZ z@k%K``K#IGZN7JdUR?N)^!?efsMSH&!h_e?G>YyH+tZi{p=^{WvPlmBb{mrNa(mzPgfKhMsNav1;hORS+7XEv5`CcG0SpDqdT!2x5Ls;JpCF)<-x znY2Wqb7!CCqjuKoQg2plgIl^LYT2|ipS_iCmaz(XVr^Z{w#uPpmHjSY&OP2dCPg9I zncYt-B@;watU~)$k(9S@ze3t|K6L)e4h_o<+P^N`KwijYcm`o28mvZ%9cG z)bRR<{4^>u?vi*jO$!J{7Bj|1t(8&Yf1=)?ui!?|Z)^{@uIe)VPVwoX*rB4_|58q? zP;^euD*pNQNW8EDT9lyy;AdK(2v##(e0PzgcwC+zz@=d7RXlAx^HeVn73>*hVY{;T zWTV;e%jJo; z$?5Ck+uif^>yb7LsQu+mnO?W;?2a^qax3t21yG+ya%~IzW^7wck0)=(=9ab&&=AKQ zBeAFs6vGrMpt{ewqlm{2j;%zW zVRuo_bd3$)$Q6OwpwceIXA%2hm1?>S4Z`gFJlmXu%US70N|vWSHornW3HXP<4E9cj z9{>vQ=c?=*B#wgM+;Lyfvexa5*=wVD6(RUsoHRDl%aeuaxv8{q z*r7;FGXn0Ap*$8l^P3__-llVUjEXI8_NBu14P_K!OppGb@^Ak~hID*9c)pYD)V8dQ z<)b=O{Q3pOb8QoIbO8$Kf8GqRJso;wxo5asM?VyS$j#3XWeJhlJ>;>Gsz8?Vi%Ns& zLYix%mk9@3HmF(MtYPqI7%@$zLzPmM&gzKAokB{mfZ4$)h5;jWb$W7dQi$|8KdB1) zf-ixpIH^>prpv=oG4$`_*EMFmJ`@^hyAl8IKCYd(krQU{yEZ7>W(Y}8L_oVT#~@Tn zyLd$AfsEwCyvjP1xHg;F={bLZlPLR*Y=A*VSJuSW6>eYx-Y zmg&6-R4@FzzB^0%1#y=|UrF^l7^TYkC=f|$W^2lfKb#;s(VZPr`Q|YipAyB*-zj$c zNa@EzGiUXaGP>>`-(xC>2d4gvP~@<_+tZ?Sg9SP0`(hs2689V}YBK^YvMMVLe|{aO z{r1U0-n;q>Lwt))ssH)Y9`ObvhhwZYWE1AY@xti&TsE~yoqwWh>XH3ro0i@Or3u5& z+@C1}=Fg3;hFV{23?C1_v=lpM&|A)Vn5#Wnt{G4th%H;?jp8UWCWk>>IDXuo58$(8 z1_4hZT?T29$P!057fB~fiEuyQAWiC?CPrP@iS7dtP%-qY$$&!c^v}Tcwi}i*g#Oev6ED9#{2T#IV^1ZL_Xje2WEWC>AOeQm?*ORcE{xvD;?B0 z|5*9hSu|1G+hW9q7@Yix;D=+^jDUX-l@~*{*RC<_h7bds!H#cFWNsdY3<6d=a~sL) zpCWJD!q|_mZ~yIfoKLX(?Az0f(>8qys{|iDOtbHNXsV8+vcrfZ6izrecpN}92)%n| z`8X+qqhVtEPu5Z%Yp2ieu65peR|2?Yfbfqx*Q%%(l_q%+To_GpPJzV6_U!+ z%!t3F`rAhi93az3OBT8s)@IDGJL&0VM_MH3s)W~CSf(deR&o=yF0vQ-@;e;-Y!sqc z6Po8(5w2lmarsc2TDsQg__#0=o!rgG(v8Ae(#9c#q|U9`q5ID5!+m!%m&caI3=#5yG{UsrpW`c>k^L*}OoyodD5^SKJ;PdD1oEy!M? zY*sevBE+SH0N$|;HUKiLPgGvDyW&vHD|VptS^if3-&6$5O!|h=Nj|B67Ci&3q@zH3 zDdN`V4A)&w<~>8-2Q&}hYB7Thow#Hg@q5CbFW~`PV1NmT<(R18q%`irGfGMNhsbG9 z#k?{67gf03ZsK2IHw<^OgH-8Qf6=Y!@6a@%Yb|68fk&W-0>hVnzDYe&D*c63`a0VY`T&D1#L2!Op=pN1!wUcR8UNz4m3U!AJ?-wd}@-(zO=#`g0%~zYW z`4Rg$G(f3c_$c0H;jmOGit^1!WC z&Qip3E1%t-8MPCPs>6?KDbhL`24EgHze6y}X$;Ew!vQK@o!IE`dOUmO-;!t zin}2hy?zf)L7OQYf-<3m4^SJ!&}Tc$Hc}1>(4_pQt_D~ba&NEqyQ1#Q12=deth8Ud z%@4Z)lRHoOR3&D%nKzd`zy`uKzSve?de}K{`iWpm;zAt1VSc)UXcYeOt!92izv5=DYG=^q|C ze>8#`oy;2}fyH(G115<7A47_TOovZSpi>&D%q2DKd#i4$uNgBHv(Z^>w zOK@o<8OqPh|8EVFlJ5q&Uw*mu&ta{i{pT;;Uc7WE6`7{Tgu3r|lN}U2Y82c%t3X}& zd|WUSx|@eV#e^vw3yzi9rC|>kS33}cEb-NyQLzJ=Q|yWz0cV?M(aqC=9CsZeN=x@W zte23^aiG9;d-EKSK}~>03DBi_qg8hhr)(G@3)$${G;FXOJNrjbDDE1zs~e~G?jZc; ziV%m_W%l*-3?kZPhxCx^;Zl?*&eY2Ff-Ja&!gcj$wtw&eL(Jz{_OmMvbr ze9(8o(LqN{ze0_NBQ)}T$Cpz{%0oX^{aoAh7A};YaAR4tbM-8u(sw(Yo&S1C9&qKY zkukmYsW+{kvg9;g=R)gW(FhABi?(yDcShy7TyO&Aul$bH;YC+YJvr8w_Y2-gI0^lE zqobOPC(3kd9JnI7q|qLEj*X+_q3);rAT`6~fj&%OV9Gw)RdRy$kg>^s_VVSx+eQ0* zMntmC?S513)%E)585nOvE^kO1^XP2E^*xS;mO56R-BKT`} zB){5~fDK=p60Qxey=rQFb@s15A3v2S=O#{=X&r{L>{_h7$*v7z4(WglYvfwh=72d9 z?Prnv0xQkSIu|bn7WANilTlq(^W9tUZ0NX6#gVDFW98N=+Vb*YiG81_VEFqLT8KYb zjzGdNq&`1rBTQ6PVHmxS^IGamev3Hn5z)04}3>+Dp#n}XwFXFPgL&< za+q0!o}YIw(ir?EQ~mYq-Bi=g*vQPRtoIKtno7L^rQPJ7(Pib+nemn9O7kcEn?3qE z%8iR-oAfw#;XqVoL z_AC_Kx6oDiF4_{s-LFHv`=c0|?ui|Pu9v^wRG+?ALURNZmchmbr2r487TMNg>#OIBknNz=XTU*VD@1l*`qM(V}B8q%}dmt+7m+jsnrcjk`j$3 z6?+_5NNN&5VUBxhs34N?r1w)Js3qJY7N%Xsg8zx3_ZE%r$b;e2=CE}6W{pojusbB% zkN#7n)hL3REK`G@g+2e=CKKC_8b;^Frlw+Oa$rnA)M2FCQ6Eca{hp}%Hlg0_(OGIE zG+kkAAZta&71F=Y#Ni*!ix;VqwxnQJVR+SxENq}vCeS{S0@y8fZ{OZ_LikY~ex!YE zdPwpjb)#TT$aX+f^C`U39o^lVl18I2N;6Q5E-Y&T{C(t%t`9^H6CK*5qY>ewY?*Lq z@9%B$8kPE{t6QPG>Bg_@3=8j>xA5;UU{O=LVM2R@6r?N8$p<;tb{a~m1CCFc0qJH7FoSk(a zlM6{1G02t3E*cLdL6qTE!`o||las@xz2O8h!mluKOWXqqDqkf zlJ;ErcdQ?YK78B`%Xf)Vt_C3HO0YvBDqRxr;=%(p1a`&zkQW!H4OK%jJ=+eOm%mCr z2E{J=_^V;I2pd`sRNDx5Ok?>7m9QqVm5E^z@Ek zPt}-bX-mtlS@Qf~b3?;_TSBv>yu7w{n(~fL=8K00UQ*MTky8cexW><6LotGi)g&2| zMCTd;L;=FEnrESl$czW0ACc}@^5%`a|AY*T76kHl!T>r{#9V!c=MyW^GLiuE$77Q*^B5}Z?XcR9!{QhI=R#wj(j$B#tjg)N_YU|Rmqqedb z(7t-8xUQri_%X9pOOfihU}CL{qV%DU%{RUJ3W8|m&P($kQTa5HnMC>3W8ump>ynFI zYjZN+=3Iww?qfjyAM?OI)5*oNzfOI3;QYuMSbsllH}Z^;zB|3QV_R~eF6@_&c&s@% zM(+0M58a&mWTl#rvHI7*_4DXWgSKs?C|xl2B_@)ed<_{e3C)m{=m_PQA1oNx z67&4O+z_B02vEa1Blhm?udQ(qEF8AEdm>mZE#(dxP9sXl-5NGziT8JXXvpvhRU^V< zz&m(Dd%jEx;F{&(jEk-Q+K|z4VB=`<%+if;#<~B2hV|8{qj0o{G4V#Ll2MMET~q9g z+q#oejlJM{xa&~Qx9gu3ojr7UDN^nt8a@c!jm`pB6p8_y5I+k;e4g1hjkF@{4P$m7 zt_Pf;`jeKCF}HymKJcQU#|3^jV7{Xh6A`ZI(B)uYA!%gUeL&fi|5s4%MBx5Mlv5rG z-g@Dwdv|ZI$=b?d3e#uTiEK;cx_qw?71QtoEKM_B%4<~}O(HNsa zTZ!e6Z^q*>mMVaC?Ykwee|mt}3B41Oi6O6gx7vxChc-FQF8y@Mnu<7guTE%qMlwXU zZ(=S5J#Ud*Zx~;y0lfPeXj&uGYm&ZX<-_&W?#e*@!ON+=L(+7b>xo}tZe77<#^nBc zm#bQScCWa0SO+(iPP%ew?EtUbPg%n|iu$J8T>c)sG=GjxRQxjp+Ej>M1QYK5QzS90 zcmYr^p@Zje*f6dB!4k^lY~u=cN$Ad+yF1LVa7%Y7taf3@1R#%j50eD}J$zN5i$gK? z>#zMq=FQB{4z)R~?(26Z+7+A{rNt}e2dqh6s3kB+XmsGP?RUnOlY$)&;aRVQ-b}Vx zHWHmP%KZWIct&b3+627%{}`u($n)h!O?B^|Nl0eYZ(n{ca$BT&>nZJQ<+z{azQ?Qo zwCl@V?cK*M{fMq@&`@o{QIaD(tmO4;j%1CuN#WxRvc#~uEFmt=mcXPh|3Sf;oFA&+ z1#q3GkZ_{wNPH3XfxEV;S>h2u5k_YN8mrD%qOp*z4_H2E9o9yiIl%PENoXwt2_AsI zHi_R=23=+UIf5}@+3H4!r3*(iv6yBtcG$Zwo`vB8jHZr#^jt@}jk3a!T|o1&@Y6fJ z_w3>8fT6oH8kp(A*yQ9%oF^J|kD{WYZzCDNxs)h&JYYSxGx|#hvyt^yMz#Fzba!~T zj>%!!F?qCr7Tl|aol3vG2 z-4Gye=q5AzIe7tspflkdNu&84ZRmUR8GZ1WEw<%q@XZG)&zdG*xyWa++RVk$MWGr| zbI!HURd+7-(z8tWd5xOYD%De*2T$aF{KfU7qR>?*tMR_7nOSqfFnA`S$c)I>$eKXh z;7*tuc|r(%4bgiHz!t253&{)7ohG^Y{#@?ws-fn?et`QatmJIG^o9AR{JUy`r4m>w z(Y>jXrF-Q{h2e%QY(|$Cr7idN_e%0Tv)_AVc`sB@d>Pl4P5Ok-0O((2p0x_J7o4-{>MmCH*s zrn$)piwwBJNC~|%`#qSAY+hr*R1`sh2kb4L+<*-r1CZD!a@bod=EYyT;`raVdb)4;$rvk2aJ2mS%J<%T&H zfY?1f=3cK7j$D=Kb*j3VQ(D@m@qO-PQi?+4_v0N^xz=sV?r)A!=SFd54%v%+4h;wl zIo5aHL~X}@kLUpQHueCzDQD^Z29YzF2U^Y-Wj(rm+i=z4YDMW6#sjtW?GewV&X=<# zyigE_3XrvBgMs`uV4Yi>zm+=_ktk}8i2&!*X4D@En}=>-xyt*5GYDABU|x+I(N3J*7f$k~;}FW81NZIuaF44nye{$1Xke z_Lh{XC?`=w+j4dJpU7h0yB<2CKXK`=XVtfRSJ~dbeEBk{&Nygck1oN%krpt4Z&kKD zWfq5Fc1P__;9J*^ARCfFDoK|a=#{dpF&1Ww7qVv>eSvNhn0;glo{gp}k~!yDp6WVi z!}F2~ra=9z1G#UANME{o(RY}r=eVNxlU_ww^~o;T`zlJ6n3 zz%J%1 zwb}wwMt{)U=_&T9dh4Q7pT`e$bIS~*8V4_T)!gpO_kY=SbZ@jqW0xwkkk?T;1G!OXh&D7$HpKdz5aT5!v@?Nz9CVZRYEin!r!P*P^1M zO(0Ju1$@~g?*yO=YX5`C6r)a3rk}tOj^V@0Xuw@%DD9a#{@e!(p3F8IT~{x_|lYg1_j6R)mxY^S>H6 zQM;hx)-fAv-FphFR4AE7(C5O2AC7e1DZ6|g1xGaf*zKCp%91U|*&8d}VEnf<>fn3p zS>5sFv4zWtF->McEYh6Ynkol;G8VYB;$1FfO(?sSICGCB=XIvKu#4?%AMl)5>Ke*e zA8_z=J5tBnAm62(H9V9z1_HTe^RCMzkjRAFmb9H(#9V!YF8+?Ta2YT<7cRIc=8r++ zOVaqHEhg^ZPDf?4mvb4H2<&OxiVne79Gmu&C<_9$>BPl_PI?<$3|7NUNealHaI4u! z-~bZI!4M~I_az9_5XXGKbBkEtTM-ntn(zD1MiBETz&~o9mZpwj?4FSHTGPl3?Z8nN zVHyEWs-w-v^dj7Jqjn3#YucTcW_~}lV2X>1M9Gjk4O9I^OTD0pE(aCTz31j0O3=IU z-)8Iod|d+RN(d+=V`9uhu|VyEK-0Ymu+c#Tutm|u*%|(KWx?1SyGtNoaey(7Gby^=2s9id8!0)m6Q>$C&$)&F2EGJ2a zDesPJc~>^3d$M!-mLagKBEwLs#s}6>6K8TuF(m{9V{~9Bb)X%e{w|I7)lqER;@0Uh zG0nzAoGS12!D3gr@teGm-ca^V)C}6cePUTPeA#kXQQ&oNnjUbIhTz@mr{bizoE5U0 zCY-JIvyKJOU&=4#=pkwp$-}gXFiYqm@erxp4 zLT2#ppFJw$3ftrZiRyZxe&@yEm{3)sL?E+UdZZA~fa^DJDznQAo8$iFw+caaP}lGB zJF(Wd3y`RYptzW*=p>`~6_=h1;rp(O8Z*{fb#n_kDC z#S$^J_<-~J=nbOdT;2JRpTm~N!eB>H#fH(f%TNPTe61U zi%*2oyz!A{j!xJgl3H?|ikxg{RW-9q*zUeP^HqLcC z%^VqE8xcRx!9A5e^tC9{+vGx?*efqvqZ;n)G;E}`udITvl$5l+RM(>oURu;q_wnR| zVJH9azbRxAB_wb`cfn5jGw8=%1OGO*Od<(yYJF{Lfh~@xh#c~{cIQ9y?K&0Ro&Tk9 z3*VE!afGm1JQ3R4UxZ>{c*R)z>n0bnLUR`8Fb;AH+n8|7r>R8Dv&L;mv=^x;;)vws zvtc|t;&gH@OC8K7;=v-l5+&(VdwSeQREle7mb(QnDJLP&Mxk>JV4i| z=)cn_Z}?)O;e`vn^z#1{2Y^q6wT<{n>uPFx!xu)*lCsAi^&n<%VjB-05%##pj|ofu;sRL5qd4_Qr|fC~(9vG|FqWA}N4oVR?6iiK z*51`bAydlUoGaEE^Rs2vY@&^cjiamIVy*SlqQr+CcUsd}pApag@LHlmB5kOnbLz&c z9l=WGS<1WE52gx_gir8uh1LROCcOLQIRym_Xc_%JXe6g;aOTXbc}YvVID?NtGp6eh z{NH*(?Mn_Q>yw`#rLAq-$P8tK&qDsyu%EF)2H`WwB1{vpB3IUdeeGtUp^j6$)Rryk zp4nv;xs96A=YFHAHgtb^VS64MFvhD~Ow2t{QM6{Q9x(rM^xx^+KAE@LDHR<$1MwLb z$xrl&^se?LsK|*!d5S{9ZiRrTzpD8SJM}*rSJ0d8mAYR3NwMiUM)T=dJIvz~70>wHZ_HBQhi}#&eR!+r@>xZZbE__us$6T* zfHt0Xm~t!+Iw^z1@@R)oFuFOGb6{JiA>k*Xzt_+xuC*wM3NxYWpCrkSBUS}#FVUzf z9MYS%M}IaJq_4jn1!ed|p51w8FYmH_@lQ@QifL+oD`w-^avl7xuE1M18~9)~Hs5~I z)?FZEGeK``(L(X!m()rHf`s)~-Epx8``UbnG4`dtg zy)1gzHX`!$DK75;2wDATl1Y?Iy99i-1irbhj=9*b9_^hy({Mm*T2MehB$7QOkZvw% z^fmjEgl|Bf2KN}q0pbHvacH%+p0oM-hLc1@kY-&frK?ixJR;wCuC8#wF)7dAJPVpP zu79g*@F@}WEg4NiMkDtf4rnqy3J}f813UcHR`_G(J(l*=6IcexEB`897s8kNK3?Wx z4usyWK;a$U9mwPmwOOjB_uTE#-Y*JJ#q>A*X7Ow^(fk*0@x9Q-WEtZ80g`%RH2jkB*`$e|~(8j8a{*$dB8&_*vvVl`6Mi}SE zWJ}DOS*#52g-BkYZg=6kZva=ene-3hg$EF3SAF>MT<04PI5v~=(I9wKB8CVWLrp}@wW zTc91tn2z~Hn?P&Tx?E5hrd+4&nGz+xdH#Pgh?Y=*&u%(yp?h^| zVuEr1F+NTcd9sk;5HSi$hN*U+6KCWTQ+JXjmHiZ@xI1+9g8sh{OL{dx^8Dv96W{cI zmpXs+&rP&ru)GD5=z;v!6w&E7a9J>&joHXp_nX`>dQwmS~J`aT0hzpp)BOX-t_t(eLA%soFyle-V?D!R;$?4RpZ<`c= zu>vb6oW+02+dSy1+Bb{`q$F5e0O2wp8|lRPkZY{(42L|ugTM%2EN_;a=uTqm)|DZTi= zfbo#B-QTa*b+b6VUG-VVBVD*nbj4XkP6?FuJb$-rX`NECw{!g6varm7#1EixamsBJ zgq=NLosXVz;!z4Gi_X6$9r!{_K;Tf0w20`@?KjgZSfI!}eVPjDITDk|%X9J4J8{^( zyo@8K?(T>Fe*Wlx!0_=4H)TTFt?S&i>3OJe^BbUF+zAFR>lWKN>9Ku+x_OEN<@i%# z`yNO)on}Geo_e2mPvfXqx~>R)1X;CI=Xu41%;qjj>R7Rc5T|$f0MHs-1rX!hd;@OC zBbzJef+kD_V=@fKgN7czQ+|A~g2VSX86i9D<;zXY4B_I}IoNLgs3_B*>&UBDukZ`r z7hQxD3`lS9_w9{RZCaGR(K77F>T>JDxfgx@cc7yTX6c5OGl8n}r}G2IR2@c9eT+h>o3&wsy=-2BwivU4w&|256Gg2}r&8m=6LMXGW$ZHms7mFP)zijT!wX#3UhZSk}IMqjF9X+Bw3$Ygf4{ zzTsw0rHspY^;?=$zktrmT;}K&@0twIUD#B9qnQpyD1>SbJ}`n){F%2J?61NK{}VdV zm}f7-lkIPWO*gnqr9vg__zTl#&W?ftqgGzL56~YMw|}Zye3aG(g>%HG`W_^}GF3NN zm#}mDq1uR4Px}S3v4WJHM|ck#v%^P`2OaMiMYG|bvg>wej{t+yUX3W#yGRH~g7uR` z{5hVi52L_MjBExVYv0cH0~6Drz2qMxDN>N+(H4`6S4VNjKE!TLW7#M1H*CA&+;J-W zsRc3x{IsQVx4^ioOc%8!CLxk}^CgQHEI_N23}?4c8}>B*`w52fD@VQlj{8N+2F z<07?>H;Rlh(y4V9<0dkScMn`V#><30@4CX>3$-rrwxo6|^NTUAtkSh#d&A)pF=o$fBo zr7mz@-`Ql}K?7IAPVVD4?!xVgOG{0GhNS!v7ZYQh;PRUG-ien%^CGvv|rtXO2 z_oEQM;<-4CM?m+k?aIGtKTh#O`bDFR#$817px7%o$sALUAV{SQrd2W$@-RO`P7WHa zF1z##wb4}G7%U$Y8lQORnKvF4e4fb9ssJ_Qj6Yc^G3i3N02x!?$7%owzi-~WIYc;n z$D6pX+31&Ek5B$ONsl;+BLV`j?Hy(O|FJ^?Rnkgx zajlv3tN*cE!UVhaLUv-3M!Yfogf13E)eO znMTa5O3Hq;`@%_FV7eQ99kk{V%SwuXWv)?UBod2=F|>9g z5pI657nuZvhll667%$aPk&N-jabBz+f1_sv zQTHG0OX1>%7hB_dILHLwm)cM-gChEdWsUOa_Rxml7q4D@d`k6V-Lb2uyBq)#*-;~- z8LV6NAdZ6Z^HGqH@Am*5se$AL2%!faxMer;T2&3<{v|XH=7$N?3uf@GJz*bQyiJ+{ zF*{srD8mnoCx`q7_8`ok(m2&*NmUq1j_7%-d@PDi&DW~*P9bNZ^V>YS)xbu?bS`$Q zR7BI3miLcO#f%h8r5zp*P9&k13QFyhO+0T4TY^4sreqQ-@we8zQ*_O%ccy+a&z-J$ z*m862W7dnMeM{aGbFNhNQh#Ha4=!kDp4+S;Xf;brIVka>^fGzE+x!Ku(oij0QrR9k zy4+b>Ue?sqGAiOXcb^zeKaH zywVYu)mK~mh%F{JS3RNj@#BFdRHp7x@j&i{=8`!QddUUX|In{{A&A1iXSq95-q$ol zN@*ZnjvRk|=z*)zrz*HQF*bG#875vPk2rY$_$#Hd04X8za!8WM+k-xa*8Ina!nzbR zMp~pQ7(9H5uA?fi(~sU=P*L%Z?Ec1KKV$KiJKo;cw929AZoGU(vu^&6fcb;Eavukl zvL;;q`aD^{s!M)7$h(V_k@(`!4-TiC130Sc4rqCI`bRl?T>4xI8>a5`Sn-HkQuDk< zQ}={^=?)T+YP8k)oYXKAKtBPJ*GS?WE<_YXFZerrA2{>YqTmQHI#R&9{2s=%>|Z)l zr!nwn`G9269e-A4FFRW%luVNwd~&anO=5_@DaSL(&V2;5rlUL~n?v?QxB|x+>aT`?8-P(? zv9I1tzzp&`#KpwL$w?4o|MAcB-~V2TJnr}FnRBG70`q43_d<=zR>jcq2>1l@bne!L94q~Q2RB7)?k=H%EEr#wh7oGw z%^b|Go&^!y9*KB=t4Kxg(}+Vop4^@kexgWF_*KUI>kj=#Z6lh1m>e8%)!o7@S?3D2 z80SRYT|M;u=oMZi814W*3vPb!3t zmC2XHO8p7ooB4__Dn!sIbdme@R%Q_A@3NZoWGII?o(YxlD|T z{CST*CpCtxb__|L*WjdmtGMhFZa+0VW5R1g3TqT_ zp@+#!yNq{h`lzK{%7uFdo0{}S{R*$R2ht+y6!71vemtkJI;-iYhHK^N^XJl|{m!2p z8k1q0^|UpO@K+16g&SPA%s#o=`CnRp-Cql0^h5R!}L3(I$= zDw^UWKE1b!YcG#HUQJ$XGOd5%2tamJn7nWz+ZY(F61eEAXAZt4K_*`^bMN%FTJ z$nKP6!_#ZQQQ`q5fD6*_U#{8vO;+J4)vtGR7{^c7Mgli)y>C#MFi zv#0dg_wUO>?YoO0$pG!v=kjUo2(ku9i?Uf8LfyJa&O2+LC@x zk}?_T$MR%p8;~y|31TOGf482(_0S-a|Fk2@jOAZvm1$P!b0v;G@(80vp8|> z*W=yYue4nfaFI5P{H3+{{p)SR`wfMLuIKD+lO55HL(4;IZ#XlES&gQnOB$6BQ9x{4YnJ<(7DfB>#YX8C?zxM2ND^F z#@y;NpxK#12pNMlju;*sxfKfrPh7{JQJ8e4oC;Ku6^G1ta+i(%s~d+PXOrL;Uqx_L zX*WkDFxz{HtwXiGME0uy!5$gS8g(J`oNwf}n$6N|roti9X`~n(Q>CQaMO0}W|9dts zlsPVo=F}vm2h+Af4`HdT71h8U<$vl5_R^meJNnbnqj)b_q0Tb{4YG)ddZ)!W<_j(K zozW+t5zjYmOu>n+xaWi;WK&*uPp6iZ^^?qL)1k2#ZNC7SoAtgM2M!-*KHNcw>>+G3)ke50 zR`j>U+{^uqe?Y?>XX-&m*!cvD-=E5F{cYkipS*H7M$;*RM4H|2v1b&XEAY}}6t0n? zp+Nzx5h2rz+kkI(2Q`9;7e&BfoWbo6aBJ4RLRw;1D4X?q!CXN8@%5Ny@-9$?L?SP~i z3yVzt=tXxfI9@fnoq50E6K*}h&Gh6FBE4APd%G9*qw&}87r|$CXF862k{q3iUGGiU zGr|#2Z}OM!>A!+2Ejxlv?&UvlKxm-%-D&W(UlJp_MjXqR%x`chgS>|U@P`|bo&aL# zz{D9%&9JQCEYs|-{(y|dsRz93-nYv3y=yn)-%!qeBapYS{<@&4zRON6^3@wUYD`Ez zCL5iPPzQ2}_SXr{z4B3;6+H((``Zl{{cnc2R;dvVsRjI|zZ=wM2;`KR-kOZ9_(m7R%(JQJebmSVc#MCMafH-euaoum;o<&nw1rK^h9W*58;H25QnUO7I`h~#u_iP zluw-SiJT&%9t^~qzeGeT;JY2%i~_{lYk2T zL!$&PlJj?m(jt0y6)se>drae5vnZ8;&irwAtGO<-$W8D7D<3OY**#Z=xG6};z1^HY zzeWrtdDnUlZY_l6p{C_Wnbe_`mp80X$I&>&_LgEhonHquwo zA}q2K`8eo?v=f&iZ#8%VTKx|DLf9GvxjgF>6$f4#76rIf7!1ku%zDA-9Lbi_h+DO& zI6mFj>y3ugFOTlNF}o*U$mjhZm9o-3Ex(J5zb%P}4jvS~HsBa+bO-lu*rQ8M3vUH_ zSO@2pJFE@yfbI|nmwhA!=X3&LrO{`0s!2nEzv`nb213f~{_P}cG0Jd>7@Fv@R&@hUyW zAlx%(lg-O-cemL?&Y;XqRhw}KIrEJ;kNW82q6PKHld24F{#VCvyEoV4m-TAi7$7Yw zk~`8K#2ReMHro40)KSL-#r0T~H;$-Xa`#y#PQ`B%sE*vW!+LpKyo_I_T&&fR(RGmO z{pJ&X^JKo8Lz`}T9Zz{o#P{+kEN=Cx+1ub6zlnmAJcH2)3Rg{jxX{t3NMuTp@$)-l z2!8tPSy%~DZLaQH`nFdGx@(B}w2!mG5O+&dT%6jk9l#gj<&HDQ9J<6i6per^?PQK}xBy2=V3e{AWz6LdLDbfK{e3U<#6#!pb1M)^`g$ z?1T^pEZf1hoD;J}M|T8Mx^gl!+)S7FDa#z%xV(R*`D$S})BUNtPCQsQ-OcXX{rzlF zs7EHs`FNbhIF%v0cBqIZTF|euNp9F~{y<_IyDI%i{yF=nmD^g9P49@sv_2a3{WW6% z)x+hZ2bBTLk!fsMc!iE#GNykIv79lSh>D46UirT4yw+R3SCr}~xGVpjx2E03$&W`y zXqtNhIF?(ik;#=DG`juHL6w!9xtna%zDo|fLPayv zA~A&K2CAdN`Brn|JqUf`LoJkjV@odH_=+rtxdx7GV+aUhYC_8sFk zzhlznCqKJ~R0VnFTuy)8;=2@%7=96K_k1cOE zx%Fw-aZtc;k=m;wR891{Wq!ZesX$tX|DQALerLO@ignWABbc)MQ3aY`hailmz0|RK zkq}SoNF`(*s%#bJl>YIetgOuN=UM&V7*x%&E|+VCNQj9w6@%r<1L}Ke`z`$f@9>DwjV` znc4Ky!MB)pp&0lG;q-I3@#?c<&Ki4SE>f@vB{+##HFQg_bG|{@I0Xqv@?LWZ!fxx1 z=JFair};>mbHf{l!rrG#Ylfd|ubu8SwiGPSsMsn!kzev)=JT~9h~J31sd4#o5Nf+g zzFAd};VHAgX|(@?Kb;x`wgf@(N{u(-{;CqX37_F`9jZALeg6Z;U4u?$$x!**BsX-^z?1%IN-3fY3;dY3H5%??mg*OuHmyvwa+BxO|NUiqN|Z!E#mL`F zL$MPNRj%9o;{;h-R9wv3R&9S--bedZPT#~SG~(Whlui7Q=yEGXC%jD4QC^{a;rg6R z$Lsfag}2ty`X0wY6LtHW;GrRRN{_^|ygslyYTiZUMLUdrQ-r?@0Rs2WyD-EWyCiO+d4;j2>0Zu956&9$5cbcnJcIGU}Ekq5e;ZnQv$B2ECPSS== z!SnU|;G4BX5mxinYwCIa?kl;7#i|WZ6TQ1KX`vv}a21mjOzFN(t>rgrX(is4{T8q> zsrX0aMk}mMhu-uj`q-IkKmImi?7K@i3v-J5o&N-UP6{8rSN+z?gFl$G0UOjxWG=HQ3LIkoNoO7u}cvC6N6tQY;Kb z=P!8*zNZw8;>R0nTS}M9z8s+29@vsG(5L!#>gtw@J{;C9( z9e&2-746y58c(ox<#vcOO{Q6xpMS^uF1mpArKQbFxJJ@>{{mbPL+DVio&^~RA!^kN z_tY%nU81wvnB(R-#q&QgE&i=~TbmJh_~jNw<2ST?;;SeN$>fj$rHOm8#$}{po{5;D z_L_R)xnY^IG&JcNkzbG^ZC>f$DUKX7P4N-;`?Yj3G>Ut+e!>ZcRT9CBL1Bqr!YtxK z3Uz$)(^~`8sA6^LUjFa1JBD~+@;cY{)A^upQ=dNFQf<_} ztYQ+$?`YC?Dz*KH_D23s()TMnI$z=Oyv^nKmc{m{%lLUhG1hn$F>r(ynNDt2gH<59 zde6H5m(c&BS(9-cl4!n!MX>jDbrD?+9#1gO?|8G=ZyGv?`WOW-^3(SCUKqmRy9kD@ zl{Hy^;8iR_rUejqle>eBC9u9um>oaA{mIX#qbK3`iLj36x=G#a{fBdu!9bBahJJKt zf9J;&zmtECTiM0X?ddVU-Ou;g;!x{RoiCUCXqkyNY!}Xfx0PhR3&Vm^nYEXTqYT_c z`tMk9&)#$b^EK3LxF$^c2AuWu-mr)E+P|Kqw!f$mw-ll>x;MJG>d8}>;}l{~)6W;* zqMO)u)Yw8d-qTQ;M%{@+>grPI&s#rdZnLfv8+JiK=Ua^l8mAuXFuG?5!vJ9yS)dCP z3-;ui1H7e=rY?@ilBj2tV?L4@y{3?hIfH17LoTgH1bhM+<5&u)jSw8lTJK^hRBzJt zZKDl_oIkRBAhG{sTSa{a#Wo^gKd&us3^s?T^(pGO>#u%^Uk07##ual`JVIsqt59~c|D(xaow-$cJ15Ay+44{2?p+>Q@T%MxjI|lu}OX3yk&NY z^p*49pDo}l-Xkh$NI7tPB-2GJrPTB}2emOgR9u~T0qX^|%tZjM*=~XJVSQ03>NF;~ zV>p4JOori_J}3_eTXaXq;UOVTNMe>!+G76nyUnsbEWBKYo$kxv&M&s z5nsaBeN?D5-QiGHWZx)Nm-EX0YrSD&R{H0(Wy6R%tcZxvi| z6q9P*{Z(G26Mr0BE86WF%yYsdwRBjdB~Eg;XKmU&J>s^egd!mHze1$MA;n~8JCpgr z)&wE?t((=gv)D)!h%kQkh*W5pnbwqJj0Aix^oAYu_$0=zvr=3zEq~h)Mb7=0G6dCn1RD~ zm?2XRs*8{{8;@NmnrbZe2X&l(HaK+#4+u#c92sI1k#Y2`{g~>w z5qIcA4j!G0aiwBk=zfcLQe6Nl3)g8Fl#f^@^fadR{5&DnTxFon$bNXQ6bHz&WtwCk zSf%2c{d?#hKk$hajdN%yp6NUvT&^Y*^((!3d_P}5{Q2~i$LtQK z@8Qke{yCjz7&7X69Pvzy<-Yk}3q-V-m$kOGHYq`P+wRXPMicXUFq*UuLs>wDg0$M_ zqrI~K;{}*^acy3B(`dhZfx!K=5Cxhx)IswcT$*E#w{#4NoV}K$2-drrl zUtC&|Tx5NCcSR+z7R5dP(O|a7{yCYo2V1UgX&n0EJXL z0jtjb*lfIl7?um&CZPAuVsMoPVGyAlef-?}BIMvs?f8e*!99I0s0ZL5gARpS;B8Jv z!y62crO?oSGDc2}szXp(6L==%8kL#(-p_{)|9JWETq|3hx0I+(0ye_ObN+QBBD1UQn^N2*u4b(?hkyCHpRR3+|$AE+|*_fSsRIf$t z^{W#6^ny(VwgWe&{JzutkZC6$p^kKPmCGOJr8i^VUnXMJ#G>=E>=w=yOo$eocj17# z_T$G562;M!#j{Y*w_U~U?fu-3cEfVnBNF6C>rP#rjAI#yixmm>7Y^{fre26qnW=8W za+j`3oW+-snfa#qpVYTL4*iqp*XVhI@e{6sihnYM_iKfO)7F|YnaNbpMy9@rtcWwd zVQSNNxpy8mth228f2wy+x69L!^~&}h2iE*G z6OqOR)~?m-zc7D`=%7_l?b&LeV_c!coX;qS7EsD#6%B~#qg)Gc0hnTF4K_9*>UdB% zPl0`#tA3$~H1iLDADmsj_KXV&M$c96;1al~>T~8^ja+})@UT%v%gUdT5My*{|Eb~p zOG?-wksiU(^0x8%cE>N4may;?xkitSEUhZ!axt6FxR#N7>!BwHxuZ&z{q*SKi|F2z z3BeJXbkI94<;7#}D-PIb9@uF>CLl`5UO|^^`h(-~-pD5Z>I)Yy-p2}cZ1bp{IJe7H zc$fRfP)}1sl*zV9g6e+n^AlLgY;0-HDl?5Jr=(bV=KTZU!&x5>Vbc8TFObpQlv*l` zE!fkA(&W!OZat7qwnG1Vdg@oQR^hx`m!XwJ)%Lne_fjbNeS0ko5Obj%yU?aD?I$+2 z&9zmc(6!$=>+ds%KdCbDx+m8E5{;yw(z41Wo<{$}qtQ#TJil(?74vx{C9_Ur@xza{ z#_Z}Et#-Ea-r8snv$q%X9dMJH&EKR@dp`2m3(O2nQ-}ZugM9yjPI81qI{o{wvvOQy zEDWw>?r7nve$K!%;ZnI=r*a9BIP!wupJrud_Ruvgv6lS0xq+Rwg&}5-CDC~Ll8hm0 zQq5{OIy?S~F@tC|9B#}zVr1qM>Bx8=tFmtE&ByKbP?S@S5rh1|5))z9+De!fYSTQn zjWLA4$+P?!4%D*2T#%Yna46ECx51M~@T;~n@jo(Vicx&iO9MT6wgjkEOxfIeCAfmW zpT!N~<>3f#6QOMgGxxOXOw3<1PxZeheptH1oo2I6G382_*|fNWH``&l%~o;d=pm>? z6+!honi7#cg7W<8Bol#7%!AE=m6v;kMS=bco9(-u4^Ho!bpr!k^7b#1x^@acb1Mg$ zlAKQ8*@Lcd*lYK56D2;uQnP1gVqu0cfRjPH+)dom+t2gscbIOFJF=O>HZ#rSIOs0J ziFv`yFW1D6R6EhDjXJ=Jwvn6>ayM~X_OSbF-n~~Y@Aeypr*X!$xdyB&wO3P;b5Jn~>YHtS@BG7CZsghJD=%sf@{#zreEI*3GhS)4>@<=d zPu8xF+83|X^D0H*A(OGrV~Z~-AFi5dr50TBJ8hcRcQVBCCx^Irbj(X}tqF%cYO?G3 z_y0W_qnM9qPW+bh<_+%7yNtMcw5-5py5i#HT@dxeI^pYq>=!SDUcH?sZrChOlpw6) zN^~s}^$9_&qN?v-;0|8&KtVwPPgyOfQe=!$G{|G4@18%$jdPR>SDTicVc7hXql6Tm zB^DIy!rMb4l|t!7+<*8Ak->-HANu>EpWCrfdR}d>g55q-3UkB9LsUa3isRYp;OWCX zbw~>CrCj=-)b(=lW(rqF7AguJy{ubm*dc9pi21Mn5~rYW<!hj3Gie^IB=gB_X zx$bbN=N;SoFRMc3cT2}evu&qw{cYzXbq~jI+@2s0IcAjuWfarU;fAiEoOyEzX|WRh zPkg8ot~EY;Eoy1ZVCUuDsLpaSjzrbupZ+d^Btv}S5r?~t@QC9!xKRSJ04T&UE?7rt(P&z zKoECl^f&&lRQafdBq=0aNY{%PYIed~0pWAhiI?#dqJjuKRje2G^Pt~5v9H8hEP^i> zt*cLNW0|?{yvc{6^!f8_0aw5}@$LztKZsO`23mpsEF7M2kZ!C4iPM;rPD_Dp1(+Je z=g+lN|NMQvS%d8RA9D`oy8HH?y6P?d-CR~W&)?;6;;&fwIjVWHV9h`0GA1+gWEmJM zSPC2+`#AJ;0++g|BD6N=dyguszTdB2q7NZ)Ym5o!vS?WqyRu=Dn0!;l@z}d76V4N< zktS?$x}tY?v$4i~^$mDvKT>6kg|7G4&uOtTvZ1%#ZON;{qla8kYub9xwRPsok&0VO zKD`&OTq#zvk{AgRo`xf>(eX}z3c(qj!I1a%X(NW>uWtiY2!qzUt9KY;Cd*f?H$+iS zv>D=vtbS@3enyHI+*@q=Oe1Nw#o$8fg8{XJc1}ia?oK?>cW7dsnolFR$Bw8d?n>k& zxSz@qu!$Dh#Awd6XQTVI4iToTqG75;@^M>a=8ND@w}pdzQ%>(Z$iTgoX($)ao4VM%+~}WQNb(vDcKa$OqBJo z`b*3%m)<|D0Af8(CVFw6Yv308DklHB&^ryY77W1%`h2^b?{bG^Cn7n|e9$3{ zseH|BAE0?dY6L?k7f_W$pJ#yP(UjUoST?rj0r$6g6B&0(*vPy4r}H<#LCwt+=>I_y zJ|q{$ziAMAiCMuI9KC-j8DIU8Xb8b*=lTGnJZujhokgd@6AHtpyzQbD z^KK($y-!rlpSRf_d@#hE6C&|A_}axdmffC1G+^Cz(%mfhF8VxktyTH`%4(PU@Vbi| z&V)o+?J~+ls6!ONQCSPF8yThpXj|MN=h{qQo ziW&A34qzn~>B39}p5w#PvD;$DFIZVyKN0*0xG~NcV|H;vPL)&63DdGNobi!ujh2pS zFp*6754+{eP6}PLaz*%YbZUy?zcz9LT_Zk&XK|9j>IS|{r(VrN6>zV@mMf6v>h9^8 zI$>`xGNz5v(of?macIK7M^YQI(vlpUQ*h#mnXXLp=9@%&!n%oeJE z9lO_o)htCZiH2EZqRqyTE7q>@kTZ@9Bu2&#n2xuZ0T?RWD!rt;hV?bf>D^z68hd16 zRxi*WFWPeNWJ4N3yz(4o>tL~jI(B-${i3Mo)v;VfSTvEuQjR+b`anm zCLH=WsEY7dr&HwnCLHVTheKYaV1HHF+z&6rWj zk*-hlTjysid0h>Y3c@Nf_$Mgz|8b7zjRW&>!2v{nqYtRIKzhs=Y-*CSLCSEIf&g}^ zC#UW1I?LWHYZ;rv+@%)l%=Uyw-S3OVZDr`Hctg)JdqT9c&~9_&gzF==%^0FP|7#qV zw98xzf)4GzoRH8vF2sQ|Uv}AIwDSp~DLCj+fhHNkmAw$IZDM zf|vjT(7wMvEB-bWZBc)^gwNCWR17dCs#rXe--S)orpq1TFlUi&cPF+-!r^(+L& zUDGjBCGp9JV~P$gG!5aK8j-I(Xx+TDOT)3oypn-@B2 z8otib@rdZ*Ja0FdwZS5(b*fm^D;_&rNjSa@et#->?}#t-JIdo|ufzI6&r*|$4NS3( z%)hpYxc|I5xXAzx4Ua$aZo>@my;HsO@Jq9xSGuG&?ZUn%_pJYyt;d0nz7P=oPe1Wt z&yQOK7Nhpe(xS!wiOpBrC!vYsgZa_3PMC{?e$luZbj3S}tpuhk?bqls{(!C8LE?z6Rb}(m&z+q{_eULX-v&vHyenO8 zi~4lRBZlz>*JH$;dg)9XMco?sHtzs>F}2bbGJ{+I^_(%}A(-_r{yn6wVH17wv5U(J zsi;y(HnZU3#m&$~?l~bh1it9EKJkMRQWvjgV<|DchP}u58Bos?+2NMonzLSIR?F%0 z@=Z-p@9av|%=hyjp#AOGp?kEIN2kP*$w{Z#@%~vr@k*JZKaY?8uuxM6%I)w24%uff zeR^)tRS_Q#=*OFQP0oGnSB!7#Y0FDkcDa__!>nJfkJp+oG6`Fp9p6*Yn0N2g~MxOBHjIUwJ9IQam22PDAzR z7o#p-`nMU`w;eehoDX^F zkxQ>mGPW8ohU(g|OYElgBm8fq$`Z$)LF!jq=Irk}QTuco5BD=pm@)G^gb#9!JrdrA z$*MkPxt37Gf@Y7muHKjw7%aAyMSqk#p5=~#fnYo_7J*YKMMc{50TZj^Be-MIYi0Hv zU-X+A$VmCQUmnU6w3H+{8k37TuPs?V#i-i=;DT-x9;Sa%Ol;lKG7=iQUJ7HGtJUute{UMUORl?%K96as8SYhBT)A&9tn zpi1(^4SU!wn#0m-&OkdS&eJ^}KYg{kcv@^(MK@((Q^qdVIFtJo+xC~ro_vGl0X4uGogu(|_uqpr3(v;$27W{;t zir(TN8DPmIRH}+Os8$ij;C1E|5D*Dt#KJRl<-*Q(sRQYkBW{xUs3f$O{!orhDt2u( zk!2AZqQp_Qfo-G*7?v z-HA^liMv>TXN<7*uw7b5`7eh|JRMq1C`fBSv->imebPMlgxzW}c9tJK$861NNpu`I`bapE72}yIhl>=@PunW1;-GBuUk{;<$V3K^^ z@oau(YJ$;2qAC@22XHAFX^-k@3{&QZFoH${MyQMvp!SSZ4Fx!{pR%_XhC7xlLH*4W z<9@t+$e0*nFS4_iiI236fmu~bZC|JyG2XC-pZCJu>-f@?`Vad87h*ef6=h`q{Z3&T ze_Q zNuMEBC^m4Xzd0FFEBEZJSM|O=k?e03jt_2O1K6-&@6f6*hO;kdT9mzUE2GqA|IvaRYpBaEx(nCu~B!}cpe^e($a3{IBDiFU(Ati?X;d-kidx|8D}x( zkF7IPDCViYWx?Va&2+ra(cxf*Y!Wv&dsie7L_S$(uCWj7(iF6e?S1? zT}gu9Wo*70b#3E`B_+`PhuHGTu?|!rH}0alJ58<&hZYkm2<||rb6)H-Bh$9}G}!9^ z%Qtt#`72g?hKxiZ%`ww%W@KXaPSo0S{10ipCNtLbm4nekugSWcqm`rXKSsG3*~>NY z*#`&H78C5Du(lmrkJnpN3LHKhMw}K;5_gnP|J>M8MfQQZyYO5wAMhy3`Kb_m&damf z`>XHJh0-5i5wM7_=v#U$!wGxM>=Y0hx=a%Q`_zoh zog4oO)3j-BHqO1hxyGh!;SKx2)!1*St1KYDx1<03VS&fMM%M^}t40#%gE4_SF`q@HY*H^c?0o{^dLhKjG zmFg`=9SN8nc(^4KawcH#JTd)yVuXspqT_b|%xKXvv0>WJK0{J8v2p(nw(B`ybVVLe1eZ} zO9J~1aA1QryInn3X9fIev2L>siY{@OE;TR>;=W{}@Ug)@ATV$zl@E2>rY6mqK1UWd z_G+ewiePwAXJP&#m8p!~ack~*}{->$ccE}6&@m#n4UTPb# zm@=&=9&SJLl$K_+!qMo6*=x@A-#_;otob_frrXDgiGWL(tF2reT@(1`1eAj(_L>J8 z7qKK^4ZN^J6l=l=hyUqEE!R_nVc)nq1bp`2VqMkq({QZekv_FTJa*uhY~&M7cB-ao z%)0G))X>iVRW?*~B{Wuu7i&jX zq*XPuoFBiv6cN>7|IAc3c20LrJ%e{fEZ&dpQp=ex`>0Y)Gnz|Zg3Gn|*vKPfZS8nm z1jNnPq;cpp*2IH_X5&#E=`^dRD+m4leKh_yYV%{ntxeTbBhs}a$tC5YZhlfm&%sP; z$vSV=9oC~ohuYWF%!*kG(HVDtOM@lmS@+w1EZ5(<)<&*3d)9BKJ$b6Q7eY|nEE^Sl zx*qEbTckw@wp8UnO&Z}G1lPVMSOu94v9;-%REHlZq%tw+LRi-{cS65Tb}p)o$lvrI zu}W&Ko=JUmCGWjacygN@r-=JX6>I^q_lA55Eyv};FfYm`p< zoQ+KHa5USZlQKV;pOkW>-s`FPfh5WIA;~==5ge!N-$DWQv7;a z>a#r(7HNCq+^4pKc)-C#;J{zct_}XUK(UB@36&=RRSUH=Yl-$DVyp%uu&tR zMZDh#rv)<-b;I(s;wB-v8F_h{Vf|!x=wcveEmDc7LD|GP>7fgHL$fA+GQep>&t zXV30_)V`T}yLjb%#r+yX?x5hCWbRK+^7v_86v`@AX~6`!z!41q$NA~M6A|X zcXsvZ<1mG6ldC#h3a}sd=gd}f$Ul#;{sszscDz1|Ed(E(uoUUj`HUkocn&~dr*9g= zj)-#KKW}GMx5z}An;7$L7$}jQti?L$GX^qk-L{Gh1ncob*my38Xjt_d z=D&a@hn`Oj5^R8{*@6!+2d%$uGPi8T^Dm?NwPElNE%v$#lRk5NdmirLaQZzlEZLDb z@A_=K^W3j3gA`Lg^M@@hCE{boG-A7{d?ziloiHg{nV`NrdTTNA=8XZdsYLmI5FTaA zU;g!tHS}A#194nm&n^q*T+Tn0TS$zhMxUC{{X;XEXziC&##o{o*qYTeveFlKb6iGp z^?5dI-wXrEcek+FXZL)*#orrgB@zgQr(gO>VF#O}yFkT>1i?YnL%!m{ytKo-yQt#( zku;4%%j~c*-#Q(Vh_a&hv6jIRPP>gXL1OZ*`YMo1)CrPEM4j*|bV>rP!7h^+O|^{ZE{B%Eul;fu}b-XtGVv;zan zEBos+I^~iWaQ=JDY^p!+J+_%kz}eOILtyl^=kOX}b2hzzgtZ*Ru2@+N=ZcRqSlbvH z4Q`bvVX{O;@cmpe_tZo6QQTu8x>_; z4vZ7x`yjlQ+)(p_FhFMz!)_u(%dU8a;@LX3^m-E^O45yIhvLcQD%2JJ#>}0y zmtS^jxzj}@=oM%9xFnXLY1Z7-dM`%;M_`r6s?_CM{LeQdDACM&G6HMihYtsgt7Il} z&b1_t9`u>2+qT%6b28HkIW2jYkEK`5iW7jcnb3rR6UhQ(JxC-#+txpFNe4rrpp@-d z&YUIurH?%@J4anxT}Gwmw$4(6a`|8dbOwmXNa$+v>3LrAzJ2C_3cPHQy$&D3%ypjnhPw@6n(k`?Dz-4l~l^5by7O%2U^W<+m^qGLp_ z{vc*aaHWYt)=G%$RT~eKx#( zQSu+PdwBWbhE<^Sv@|_^wHeM5BG_`J9Ue3*8|H0)8C%8zFm=P~Px_1b13~|0M90!v zDVuW*nC{5jQ*BMzr81z|Rb(|4W9B6FkE8@wD}liTjDhGF$p*YGNpg~i{W$ru?|aPyy`>Wry0`!IKc zDKHVHoyUx0wq-jL%pSO>c%L@LY3?+v>387nRgw())zSAl&OacZD-|l4$i;i6^=~@$ zeFIcv%_dR`E2^{O&cWJL*zlJ!4^&5-3W$v~uvLbru+v8@6k(mt* zA(1dmmi;XvGVyDDl|06}_#0ErpWy}9T=R~7q)I$J?!-X3nu3!$hS{G`;LG_$j(sw~ zr3wbM9i-_DHFv5F-Tffn)ZzbKcqeAQ65;V@Ua}qeEEj*-i_5^1<;6GZtDRc6t`C0b zm;OC*{pyO2&IyYXY2O4nLb$PKxa~1JsMf+ttQJ*qorzi{0yk0S0>|O~KiCFyr8Jza zH^5Lo&B{J-kQR6II+JOO_)6_RkFsLyFOQj6M6F{@`t=YvgKgBrMfS(@WE*T*=Xys$ zJPXBXHlL=BlB6m&v>9Gqf73{=8=p$D3q*e+ZbR&j+h;^u_lrNRtp>lK~23(SJzI;vR*#@glV z8(s8&JGNI8MCh7De;k{YY~RWEsY_U0W~&)*%A#&}cQ?t?InmqL~j6LR%&*Cc;!6s@L`e}}= z^6QN4-3b{RU1xppZ%Xg*9BrRSHK=e-oKpw_#+B}Qf;Lk$s z{&oY0=<=-0UxkPSk&%#NTz+fRg{d8Xox`71gWi-jPF1TFD5&OAW4L4EDcK{(RRI?^ zxzdIxx_rSkbQ9H(@#ZPqHSZd#swQ-BX}FTz{`)ZT9Jx=w*uNHvXJdtL-msFG0&9ab zcqJw%BleliQWsv;)wQ}W8u9pX{XQU4Ru4%4CPbpDOceK~uIPvAv-Z)Q|Inc-RJ$Hn z>S)tOMqh5PCvIfqy!R<@AW?N8bbbO0YVFfw#&{*RC*<&2T3buRy(f6FBnqFKFlTSv zk2j6OgJjJ0(LnK87>CokOg??$ps>>7xYNrjuesBHnKR5yZ*mF+1nucC|vkWnwy5PBhrS=#N(3N<(b^6AnK7M^(!AbM|;$&O^ zS%`SuIll*V7n>eWxgrlkz+diP-t$nAfCpc@4KH~wuSfXm`2!bw)PX=$WKQMqVD}#9 z=YHHarV#qQcVhFo7516c&cqihtY~daB8nLHa7`+&y_5OjGC8*#RETG5^+Ogd(&mE* z{!c$!Q1oKua?kpEka=KhrJ$WoU%@Y0kt2E~jFrzeZnIkwjy7}+!2GIi-<9~8U4Xd5 zG6peQ|JOt1l{29?y=B~zn|Bp-$BygUXuFLDS5CMR(VI`+EcnN9UJg3h`%A)yG4M`pIX%hy~K zM3gVy_;b}h2V&TL$V@e_Gb7}#pP^iFcH7(tvEy9pW;xHe#(>5B|3@$?{%E>g+w??a$GH88q8mQ)eAnP_&JoB{V;s}%+UEXzhXsW^7=c%e zWb-e@>}@HG8}wN`)h=B1_or*~%5p)Kf6oo;q}IDrZUX?W4`bXzpT*12GwqDqOd>Vl zRD0%Rut09h!e?qq{gl&t?8jJgBFel)^*+_tF3BD6x``AI01Az3-Npby5|v$iy=ch> zD4aV=8+HjZl-VS8Pya1Fx*QxEf?+XH*|#sXE}Tl(06o_6d1^5{6^DV+rP4Az8I|ykSxp89<91*_T zxMml1XkBm1d0dVwrzC)^PQ_jUO*cy) zrsN0fShU0*iMbS%YT18^)xf%kiL}-#!4Bbg-A8mrn@(CzJ`cBSka+dW4-O@lD)B_i z3qPl%*l3k#jITA_4YzzHeII9bMdn1>Hy9fYJ6c#+z$qO*#$BO$*{hx7f}YMHlqX=1 zik4G*mbLz>I4Sza*Vw5~nlZ`;0m0wjec_Hn<}V$75%$;(HqkluKS-M5oYs^_zD0Bc z;RPGWP7(JJ)GQ{wu9dI!qW}ipacI+akINS?o_$KQd=;n*%HwuPyrmx-?s4z1$u-!91vc6|idA@>k5?)^=U7eeyZ_?l4dzW4sX=7Fm4rvq*9f zm1rtk4@zwk-Bp9dhwzfaxv|M#sw&4cf3_lV0ReV8HuD^qBC=YW|cDmstzTIz!~Et zirnBH%9nbEnwKtpkf}<8NJTV@R#y3K_U;^%{!?%wQcFU|t>7EOINuaK za1jUeJGM#ZD})V+kzZMIjG{|8${_jq6G-hiBOhEkEFkbiaIb4npEd5sI}g7+5)8r> zh-sx}`PDzm&6{%W0)}C{{}H%-0Qwy}W#$-08~1z?YVRp{Dfo^xjkTBjTw47$h%sTM zFAZx78(LM^p?bcn32pjDSS`wcz?6SOpn&ZVDiBe^1%zMsgd4K z%-KJFTUw1^yZL9=}vU-XZVo8J1CCtMY> zX!Q*-j-X3sosPbHf7m*@vW6)MDnnBn^ ziFH1S+*FS&z!RJ3p9ojtw_aHsw9eVnvQE^>aWQ+-jEA- z8@DZE9X;>;5bMu{Gz1ioDk|RzrdIzfm|BUFufl^1@-;Me-;R;> z_=|xalI|5eRuZ5qlH{rv{Jd2N=e_exW)Ry(MyL7yj~VA6imtJ_ZF_CIGIkrid7JI_ zuvJ^>Yy0*j%I$??qgpf{-$3l>7x8VdJ&A;rqRX}x$xT$-^C;N`UQrJw!(K=()^NEi zD!JGhFMD!Oz-K+)iK-FIw()NiNs^1V3v0O!G$&W%2Lir=yGTQlo{rAp^XeFRVz^9A zVFUq1<7y#(=7jC!Q~s;O#+i;N+l*hfC)EyyuUG%#9W|k+hT4D&PE}s#+Cksjvrn}| zh%!Bdz{qm&kz9D^z^+!9>ZR|;GaDzii!-k5apR#7i;lUFp*2_QJP)gy;)##OiFF^u z=^Vyc(RtDL1NE$#VT{l#F``F9j*cMz%0R+~p!7 z9kTe&_s4U~kIIi7uLvL9|Jkrur1dw19UPO{+~+$;p5SfPui?5sJ~=5O_Fm`0$Mcr( z=>FIZ4s#N&Pb*KA4-VngxVnETwhn9X$znnmciy78aApty2@B6>( za_R~=gtH{^pn~DQqq4j%Ew5E#JIMwi9S*_Xl)PuR04ZVMQQfRA=wM?!{4Nb|*?O=_ zhxtY4U!_w6?+!MC(n1Vaia-`RBC@VDz$YTTJQ_~&3_-~q$(Jq$`#3q#&D(Fh;jtzt zD8{<9CRWixrc|$jq&A)oLMNv`mCA)pZ&N+R=PRnGc?Q02s@%_`I>5Y}HhtmB+*Is2 z)#GhDc=_MKz9Clz;cw-vO%lkQ397j#|>s|p_4ZYLB;46@k z2JWujBxn9ISxzrBFJ(^pUJOr~;<~fhDQ@ep$3?^1&VTLdKamh3T8uI0=$!k4NmEnP zfgv@p>53kg46gjM>Y1oASl$z$Rk^kOS4Ed);#l$|`B>KC6N^+os&FmU{4H`J40TW4 zP1bw873!2Lg*;TD7j@{<@0~}^5#}BgXqIq_NKaRi zlW29V5CD7RA^~DCmI}<)d=4l*A@w@-o^Bg49R-d)tNtl1vqIz3tgU za0br4g^8KizHriIRka$HGGM8Zu1c#wn+ZnMVDXq{2YgHT-$%Jhh=SWp?vJ9+-z z1o@Wai4WmyeOJdB&W9i?D2pi*CRK2~?_XEJ6aXu@#vXaUMyN-yCivGz7~0QQk9Tsl z3aY};hvAU!e&3xptdU7S_tF-X@5lELkYt(!!CMGo%omrI>Pnqr3PZ*Vv5# z&bG0)J$(`4eh!~iCyNU6Ev;FFmu{%O_&z%!BPm?e#r@7KVT!#2;5Cy1(zas}SDS^sU*Zw)7;sHOQ zFHOvYZFjb#6DXQQ!t%l?X0I9RIfbH#rlC3zNY+n14BE0*Q(D;9?ui zTi`1t1qxKFp^SoT>Mfs@PPtq0+MN^w;!tA?Fzqk;7%{)FhEXyv74!h_AOyJjxwVCC zkBHY+NQ_!<*14<96+#l##xv29TAI1KA>DMLeC9jghzT9c&kvSY7J?^5#V`BJCWA% z{K0U=-f-d2hqR%cL$~mSKp89YpnlUCt12V8Z0Z&X{agF(?MxC)-IKPLHAfl_bbrkp z^Q28SKE%}$*u};_HaG3Eu-eILoLN6UeX4aE&sWV@M~4L|0~dzFE~>4^b~&`{8gc() zeO%kJ_I(tOoL~5lhuc=4?-Jr!dJV<%J9UI1@qa3|ng-YhMR9N9y-%glu(-O8JiVg3 z5&OXj#1*lz))nmg^5FvoS|eF0r0G1Xm)=jmBM!!dS=);6Wg2cCS~cc`C+|XxUXR40 zvs5)}_sDaXyE+Y+Kup$ku|3diR#(o_B>zAv6v`pOKS{49k6OV?{3`iQZe*yZb9=rDsh#3o)| zZdg_rET8i`P;mc`7vQ^YXy9|Qpq&)I7-}0n%(<2kX8qVX;9kMYGA>uC zg(7jEwuFd>RwCO0upMEBaaM+Iw4uX4tCzCPadx|-2ck@X?2$3PJ~!2yTXVMl`{;_L zg33~DLs|ELCvGGN%djp4#Vyz(ixnCd^-n-RfJoa6EhqyiR+^cq^5e)+{c<)?&L~6F zmhSoA%U|-d4_~;o{0k3$r+&as|*3OvP2i=s!Nu$9-_u!hiRTv!G`F9DgaxB+`mRmOT1(gT*#o%XSLMwZQoVzbE3zcJc5eVUz4* z&if6wJz+tRc9JL2m33#=e-5@v z!eq~)hGOKfia}r{-U}o5Jbcg%abHD`<05gO^kTclWQq6~NCtR_@#{0}j*Q?=OYapS zNECmtT1f*Dggj5Zvv{t*3rS|BBLt6K{X4sMuv`D%(9MXQdp{Cc9W$R)v#p+0>BjCV zIw}pjlfEHx;lB{_L|4Z+Zb&u1d{!qteYdA!2YjrGzm?+Hb*{d)h%-I=R_6U`ds4J& zI^O3S-4PD676pEKk*;=czuJ6C#%M}vTkH@A(<0B57|04y>2L{;A zGcw%qvgrP-6^m)vx98#cj8GJ$aNmCoi4TrodZQQ8qtwY=BQMIzB-moL^vBj%d37Ut zZnXI<-VeR3dM;H%@el86wFq2>^|$2AMn7n|wN#9V{iK(RZmP5T=eA`<(&lXo{YmQW z6f2FX)8+Fr7JS8dlP`re?oSamD@a7JjO_9mqw=E7r zij0c?`Q#7iYWGYb?;jad`%9AuLRAqJCmySx2T6=V$9_7s6pxLL+BHXs@AjO3=x*Tr z7QCQTf1EPs_EIT%N2v=4#&twug4N@veVpN9 zN$Iwl@03ce$v;D9uM~JE)>tl3s`V^Vtjr$BITE+_ARw88b=`gDsqoB>f7z>UIBI-_ zYI5wy339=r@)A9FT6wh->!lWD6inryqv&LgE9pi;HCI8KvWW+&9#P!GO zbD_$op%Ze3*M2Us2G)K>dvwYhq{k9oAX?vNFta}Ga)M_EZ}ZL8OLlrJ9BdfPj9$ai zr$}>cfYp=tyw-r8FL?}+7DY+1IEnIo8X{ZNO9OYZ`_+uQZrz<@EgnNC3_IoB-gnW% zz)j9J|C)n{j38A(LBV>CzwVdUuZ03tek}cdGe}GN^Io((7w4)ovMW11tJfrupoeNN z);Tc`%sGzi=ieJ$-smwIMzaY?@O2L1#dx%;Z6%Sju@4Gv+q&DWthdwq%=Yra+t4pY zM6*Y{({^7wtfMo(FO#f)8=I>aD&A4{73Oo=Ua^?0=jO3cI#Tl8RE9_9hTZ<^D4j=u z7Dgz+mU9G>OW_C-%QtI{j&Zv%g-?-D{OuxCn!58!O1Um`*DnM>KvUqdMA<#wQX;Bh zaR*kZ|H|6J9^rI)kGw@jLUgp%=@FWVz09QT4(lH;L<8z_%dw%SsIMU-&=VLiRp5D|0Fsd*)wEWMr!1KUj>5h{hx8$ge8@KDtroS*Ykza7z8KA2vaM1lHA7D z-Y%Z9uw6Wab#GHP4XtWoXXT={`0&5HrSBJRGdceKw<_SiG&vuA|J5V8t($jjo}uR6 zOddcTz~T6`qw3xnsU+GF??xf-aVO?o>h0oG0?B(HBzreK=M}7h4QPaFGyUHEi81@j z20y#sDgHUPI`UWML;Om=>$5wOk48^OG#0#jMVDd<~jyBiHCi2(-NS;=_w?d5v5A7{|Oi zhu0ZD?D}AVoYO?7{p0tgJ6=y<^(if$BIZ`tmP&fAtPjKC_2%;H2`~w2h?IPr34=v@ zdV2bizT;wI4{&w-W#2cCMQ|kH9<6JYsV1~QO_9(warM^|R|i*^1{SiM$eu^4=&y7} z260M!nEM;1!njrSMvva>39w+3I$Gx(2+FIjZSAfnRx2n1F@1LD!==k*`%M@qE=U6M zPHx(TAol%{5Lu4O@^F>6w|`e74@OtC5sI94@>p^@9CPj6bGniw-hlt}Uq0!@N&QOo z$337QYGj!F-r027eOZe(f77JUC3ICiQ8OseIdQOO^I-J77`Jmr_OmDN(*BeeddpOv zFOKn2MXLlyWNtMJD zl@0!|gZjzI$xm3x^lzr`x-@C8#@CUmu?^$E>kA@CYqwD7Ns5bGtZm~tc<@zyic+M& ziR<@9SsO18rpj_GMcFHOrWRISE<3mSPGv_b<-PQ(f}18Qb=;-1W9Mn2lq09?NZp@A zm)3`)_dYu+6h6A@d*_*%!s>!>U`pY+9=nGOAGSMfAJSp@tJg5w`9@)(8}SArL_Sl; zDcWNh<%+h-KeKk-q`AM2j&(yD9{ECW-(@5!&o)^ra zjd-qQdh1(UOsyEsP2UVaOEund6%UY8nuRA=6K<8O^L7{ierI&-W!bE2=+u+QY@N(# zhlX2W1KUN9YI(aPnsyyZkM#zvkVxi}Y%ulsRbaJ(wQa_`ANXeOtx?S#pSZbl#wu%%euBc$7~0$ z_T_^so}!VlTUkVUvDa7(jtS-E=m-_FXD$b&+BEy-_bKv>Z)g7G>bn+p&KdvGE*IqH zn|K1 zA9rfHNM#q^Iz&--m?;oHl3W(X)GiBZI=UFAQ|!WV$!?loroDJLPe1bD;oKke@L`mv zV!j8DXkf|;Sm}fy`7Qb64pEPh?>16j>9*aR)T#_k^IY4*@xZ_H+h3>t*vEzbLlccY zWXo2;$4@?X8MUP@(my0RlI$A(J!Fmb>s_PH(I>0?odyA8_-u7dN9##CQXyb_h+!Do zTp6=wvg}mZHRK)t_xpB6xAvMX#m5sy2AAjO^P>``&;9%Qb?-B`*>h`y|JLJ|RlZRg zEc>^$*WY%N?r;w*orjqN9b# z;~@q`v7HxIPZeL(5{YyCc%=vlbh0ATuFnIDB6C5zHAKF+jK#fo5IHM?t@X;ir5ZZf z{`@#REXES zQMO&bgOrGXilQ_YU6O*NN`oM&Fo;spAR!Dfl&B~n(hW+82q-BzSkzFG4>=$uAe}>= zefxgzch>uz?@u^uvDOnh%suzq*LCf^fAz3<76?8r-NmyY(*6e1jU%XSAPR*;WW=y~ zMU=xEfk8QVOgl6r{O0u1zd}mg1JnHCW`Vz2%M`%YQE51b9T?CZ%P7A*v=)VA0ZS6X zT5>`{l0NhOdq?9|r_NwnCvS!2_H|sip`igRRoZwtBA*-Q>3=X#7I`q0pIR!?9=wAp zrwAtCjao5PV9fQQJbHkh{QW?g{aN+w*LP_qzudK%dPTV~J~X=AtI@Ic;l5O}I z)>fVl4#%OV6;9d(!N{0Fk#{T)0bZf3qM}vL;o!HNL zsE4_{=UqjaFr@pGeJ071jkR2#_ih|z>95wZTQZ+%B#p9WmZjrQI?Ps{j#065`phUq z`1&+W$#!)$s{EFdA8D(fy|0HKY!rq*DXFRATdJP)PI0%UOZ4xJ%6HDm^}Fixp24>| z+1NBCdJjlH#X!@A#- z8BES0q|K`4c2AFhk%C_1X{qEE6T$c^qM{aMR--~B?_Q?li&;Bcb;H<8&kgGj9)xw9 zWy%vQmk|C?8HXjk9|83ItFc;Ob6FvV)n{MF!oynti8zp;je)sOQ&yb!sDvq>fXlG8$Ip6&@^A6&!?6p+9N;EaSv2@Yow+q1{WEnfS> z=yDuN0udaTcq4h3&|Q+gOBB^TXl!TzC?}j~{^`zlIMgFxs*FNK$nDt3tbC`B!?5?p z&tMw-qg&G8$k@GfXd~UYp9nR}$}=)MRylT^N73e|PNBC~9$)u~Xi>bB&3AED&(g)4 zHa7fCW_N82rJU-k+ogH33@?=+ZQWj{J>*)Eq_p;Ir|54_i17V+hqw{L4+L- z?M=H%*)MDQ^yyX4f>uq2t%4$JI3^8;gQtAsOpQ#B;P1P0;8?+%Y^)+73pI}yNFQi3 zFaz$$Boze*tiFVR!oWIGKj45JG;i5`rICd+gMr-CN^jws9GKqdOYq!s52_2ujBS8W z?Yul5dwI8=R}ZbNKhUL8)6{R8BHvqQ<`>E(ugZ^O*Pj|P&PvKgl38TxeDtLNPmhz+ zQL1Eqety;+Myq!x`97lGE%y!r&JPsO&D1Q~m(-S3o_2P}(q1?K$agS zKU8RX+Gn4@>l0NLv4v>$5zU|8CM4iYRN(dn0+Q0Z(hv6@qRIjZX|g_H!hG@g?e6pg zOR_cyt%BzO@sQEON~@64&n;Kdo$_B=Pl2}_Re?#670EP3nXf&wcf_!rQsK_)SCjcW zXua=#Dz+zXETzzuPadSWzEYT7dARoY3xPdbiUr;BFnZrkTi@&|Hlr4CIbadwVa5HD@?Su4;B<2bje8A0%@Mq4UY=HKmV*1QDHh zoNHvC_9tRHWdjPT3TZf6|3$Phn?XWIm(P2%uMlYl6~P7llQkrN|XL}_O^FF#Ne%J z{#Xf#VyApA{&ku`)!W}&ONxKuu(Rliqeflb=+T4fShun#>3=DTU)k#M#uk@9epRRR z!~ExqgXW70U5{1jQ>N?7E+0MLMv*OlqQm6V9kHJRY9*bcqfTApeBIH}(bkvU-Q9b- zyp|1|>m~SD7#Y8S{{pZSXrrHj_R;Wse}TzETZiqr%e^!OpCLM9{P+C)H(>3yZDf6d zf4$-9DM>3ec>-0YT4G^gai`pYF(8S3;=wak3X~Vv=khzk7yb?0QVlHHqYrDHlVV=etUO9 zE~3Vtqr@W5;6ee1fBa;%N^X+Uh*8X|X<#0e3O56Gey+(*nt((9It~)$Q~am4`XJ zS!loaqIed%hA({gR*s>>&9;b5oNPb*>WXKfo+TgM>8nWcT-A-%I@~Ebv|H`4`s)Fd z?^t)ovKv`_8oO*yG$d-_w@GZ(own>I?6nc@^mp${ohY_#etnr!q_#`?H<=&F4MnYO zxmOBW?{vA){0!jvtNBc!&e7K-G&A!&%B>EB&B1$22Qt#_`)?sw2KjCRg7&tXvK+m= z2Pcz#`@Qai3$m@pcbR%^F(z@=d;b3Nh*RSF)>g7TY>Q|3pKH#%{PE`U9hr_BK<<}& z4oW#h#vD;#QgP&6BJJy0AUfR4)BlPD0rl*iQBhXbWQ&xVK3AfpX=1_*WshcOCMZP6 z(qN82zrfi$-Rm?lAz6?cA^EPz2_{aGcmMq8=Ji(A%AO2_u4pV!X18V^81vCiiiAk#e1djpJqV^DYVXp7v(;gvBX>7)`+MVaNmfKypS> zCCg`a5PF`(L`KmS$eK$?N%^o=mbKfY88f3~+j?7t)oQ~YYD1tQqXLp}?)goec98%X z3-Jsfn%(oU>Z~UQ)~6+L1ADbN%kKEP^~*Kj1yquT;jsK`E5BC9Gd8TDC6VfXKtu%; zfu)DgyT4H@B&eA*pTZW-SS^9@Tb*ZxYbFHa@o_@zO92qP2$(ZTD|y2>UrcFG1rVK} zUc<*fryM$;eqHWlbpB`$)yx`32AUvily07WD_c~6fNIxlX*O$StTxkcecsK{Y_tN9 zZDQ0fzZF3Iak4`ZPoQ3^H5u^Ch1Te;+CZ(3B(40OOUY_AoCJJ_ush`3ix)I~F*|Fk zmo`5BK+hRT7FvNCyOWHMCmxT)gi{TQii!q9hGS-KZexwg!7Vtzvem}Fm;hSEsM>X% zf+`b+b@s7kfE};)PRuT6h|18pr|f-I<$k0^T1#3LKPt=quFK8xv}sE)I&g;gDu34Z z#QFUNZd1E>Xt2L@);zbRhY7v=1p&qLrmn%lAY;X4*!UQBXhw!$NCUl_aVR3E~?n znyJt_dR`n0%jy?riR6&3g!m+fUp`411Be$dO8hSUrDWi5$Y(%hnr(@w@dM!gv}s7( zj`;)XxU;Da-h|!J3T>-yu(hB@eg0F7KVsDl<_<5AsiQ)HfIs+^UBS_1IY>kOL4pF; zv5vJktbYWumy2M_UYAHAhb%lmf;kjP;ng&!FsMdM&`Mvo)3PT!DLnZcpfwI%$@#DT# zh=zfJX) zUAl~%?2J`}dgwKPzh}J}2^xAhe?;qi;fYbUr~to67LT6W+apWZhjWka->Koh@&gYxZ`a6p&y~a*<4Mx9a zv2|QR{Xh+{vY%r8*!BfIo$Gr!C4+kgP5)(IK08Mt>C@|{v&eM4AF=!KCW}>G zidJqBEz+}XZ}R(6Da9;Fk}b2mo5%LKA)sIKuwnLGb_GPV`~kR4r2C=(`+~ftqbjy! zxFtZII^m@-KOSGE?(UCloR4E7dd8L?w+#u_UQ6?L!nSD9f>IgEkkGIpkd_mVzMd7% zHsFo@u<#iwwQz|iJD1+~t6nl!8kx4Pg3;1HuqEVizR;2H==r4r2Sx1k)}KEg zE(?FA@&K_9cq*md0bh5B8P$AaDl>yo}wAD=UvIoc5Y7Mj|d+)*KSot|1Y)3@p5{$NCCQ?J3LvwEh&ty}|)Z zUNRUECHSx2N~C6apA2O?mA88EsQA{#uW3EYuMJD%bxpn}6zaT06lgjqJ&ndl(cXe1 z--dWle20_C4Hfd^(=NU`3YcvBhYueX6x{zk(DRtca82Kk?SA;7wqshn5v>Hz$n{Je z$&9yKf|T0&5-l1fBwhhh^77vDNC2hm*09CsN$rb}sAuL{74IDQT`RcxFseU4< zlX3o-YE$t0w)S>oA60rVF38hwSyf3(O)aB{tkKrEbgJP=q`6IJoD|6V0hHGuH^Vqv^;IA_O&PUqu~x#xzcjePA6#zJsnRiA4@oD-Fyf zf9>n2Ig`QA)3)I~v^we@S|f}~Z02ewSAThVt@ z(P~pCZxWsBf;4fqu~$xo-gj~7bhvn9TH5yqy6|1sd)G2!CEyF?XTsRgxFE~mCUz0V zmy{@XPtUhH+zItEf4|50kZ%vxQkt99fD4oOuIw3`H#gFkA`r5IKmaX_brTa28lo;#wvu`ZpKAjb7L3I%DX~503@MnNIMM`qRn_zLiN-R%qpBls(To*=E%eH0fYp z-?Uicq=%O6%42-+&D))wlAL`D=a$qth$6BoHJiKu$!WMheDhKrSQM=m!`LybJ+LBD5sMuY8M=#ss(_) z;zDSLiiF=rzM1&o7RSI}Kk&0?7eyGfA;~OAPC=5pfdo08bC40a{RPollkXn^X#jV> zc($6bF9-pcDGCEOzYM_Uu$liiHeHjrXW1Q0C->YS-%wm2`5v*w2#GuoXvs0WJSlGB z_NFE)At9k2in7k$-l;zy&nVrFIm^(oCM+ix0eT>nL~{@8a@YZzX0TFF+;rZ}^v^c*W|>K2)+yZdRF@b7Y~6#Q7@u?L5H=aH%| znO7U$8C)|^?-;U|yc$gC8vIRW`d^CymV_~CL(wci^^gO8ofYBDzLN#o13ae7%;p?? zeTTO#tAKk?i5dfYQCiK1VB%w)oRzVjgt6vZ_FX;azBHO(w&WbWaCT>3XL8TFYuL!j zBYW3eID}x-S)Cy_oo#rwtyC&js~~hILr8&wfJeJ}6hg~1SbG-$rR<$h7jzAQrrogwsccSlAC)oEh2U|6|(7Wt*OI{)5< zzn>yCV@u*5RQTUO4YxqR|M-T@pLtk_q`5*Ty z^*@UcdiVeTrvLx;{_9CAMg1=iQq3jkCJ??evNeHNwq{n#`3MSNXI~(hiS;8#+tHBg zu*@0*o8JMjSZro%C4~rk%>ku`n1HL$_mH3{*?omaiS3?A_H15bh~gE5qNJ3Z(J$Wo z(R)KFO^U3EAOQ1|5yjt1CY|3=A%7zN6F`SKOSNF0_)0zd14$HuTmI2NtQgUtIKB$S z_e!%i_7pS#T7+H1>i5Qt8{3`F-f_1a{9On&mntDI`Xhjgi0Mk-3iOUQ-+nPA zfTwu?Fkt^K2Y%G(N`xmwHLb8is0y8p9568D;IsOIs7L`uY>iNFzHqtv&+m#^5WIkmNqs=!J^Tlkv{Z0Nt0IFWF6o+!Gf@^rs|ba zm`hGID-Bh9ERewFwLfnb317zv#M2e*bAW3d3>aeetO%0g4eTHUvq9=X7+4YK)Cf0> z*pUAMFT(igQSguGP3f>ML2mLBc*_fHkrU8Z{{Z!CxLuiX{Id>7_l6jhx_~nKe&i3K zz;Lc&fp1sHU^D&?&*@{^(0ql})yV-xWCDo-s;^ft#iHeYAHq=>jIldxk^#4UFF5ML^?5^JP(A@uzeJ-{c$$XYyR{Mk&nlh)w+jlL zrC>oR81FrT%tCXp^P3)p!^~@R%pqBY=U}PEsD5@{KD`HvVve9cafxI5*Be!dQohhnEU|74d7l0`; z)5&dfjIO0^jXl2@QU5kl?ge5Q3DfUONak|#I&k2W9e|DjeV7Eyh5n`>BPnihVjK|D z4+mQC2#x{~kOfzPoB0SxP~*vjJx*lV`~qQ3BV005Ty{|r9g=#En1ZlE8<89hWXeo9*sNHA*Rg^c<`l9* zQUEU0np%Kct_;&*5Pd&^_uXBrrc2-c&Lw>1N}zpFZC}-6Utg9YpON`xn7c5}q`1*S z*+`4n-62V%kD#rQ*r)+%^%=ymWEo*d$RDoBlc3;DboI87I|rPCZ}s&7kLCe)MF|(} z9I>Hv23U%*(FS*l%ZgJEu7I_nL16o5JG7m z5g8fj1L2E6u33}mSVP{km-^YaQBacF^R*$f9(biBju2Y4CD*uN8#2>UZzC5&I7A=88{b`0Ch(=LjOoQHUjkD={uP^%OI7TtDO>X zwyo2Z=|DbZjk~m|r!QQ6&R*IK2G%u>vcCH8V7KiPEHu1Ht+&TW?OIeXs>bE&A4l+m zzehAYJr$ya6lY(cG;~_?ymaadVp!O*<^op!$rG^4A?{Qwt)d+$6hb{lqF@mDDnKu- zIFRp>pcZk5ST|<~kMo~#l?n?BLy|I)-t`rJ>O&0+W-{t3=w;fLUdAnbQ-0C9? zmH;CHMfu&(FDuw^p$sKQ$-uth1=K}ft_(O#rk4nN(~_T8TliMshR{M?-v@C}v4C4WFE(V5^6$%l>Yv0biu&91T{J;9J@w7(KLe0(3}7_UDyPcB-Ais6nr=&e z1Zgl6b-Rn-zJGt>aZ8ZD=+37j@T5xj_4bCqg=XbFT+o=lm`@UG@}le5-xBWOx-sr~ zdD;xgJ7O6tsG~kFR?VmM1Lyk`>LZ9mQ-EuWd=+4+APvFHr{MD=H|!A@5Sx7IO~Nb& zW*Ha!5--BgAV}y8(PO^vF0PV78S2eX1M$T$+-tK&b_oD5#t!#!htH55JW6#8Kv;Ug z%E{MfQ-_nZ{qlx&V@M;BVswBHKG91A4MM0Tb7GV!NR9+I?C@|To7-w+-8JPKH%dc( zOM1ryAgqKADP5>i1E#GyU~YZ8!0;1XwT68iT*%W7FG%PUmX>~jh^wxGtgdwh){s1| zb?HXXq@n0WHn;kGh8&c&f6gE~2to|$Uvnj{Q30mVbPC6O1ZH9?dF9DSSG%3DG1 z(!kj^@!SK_;1>u-xi$=@dLA>NT5g$IJ!ndM!`%{;eRtr-&+fws`Gap&%8TXWUoTg@ z_;CUJ^q$tXZ`E<8-s(>rC2i}EhsQ72?(Zl5TP1+evfL=}@P0vtdn4gsf$&B*?>?Mb z+Yp8l`Il3Z;&S93Y-ZpqFizv_MJo7t>kPB`EuF5B$twmDP<6PjMDFer{GUA7F z6~@z_yjIM?7nX{B_n*e)==41@MB?7o=d7ov=e7P*1!jt#_o0LFsDq-3yMUL)bd?jt0*(}%Fj zhPWFe$Cv_a;LM}TPjluqZpZW~A|1q^Gm!6up!&!oG!bXw^aVt27eSZ^-g6X48yYUZ ziGUJ_uO)bONFlUs^VzO!FBqUcgY{iAZ@MI1pY5_1s_lCOa?HL1EiM>VED{8cWZglm zJk)p^zB}FN4ZzHdK!{AxBqE{Sh_A@qFN^TB#GFmDwxUBJ&f7Q5%-E64&OM0OZ9tA< zINc@I^}wF;Z=dWtd6E4KuznHC=M zjFzy*Ea~)}luqX3p-2|Dy2e{3=)&&l?{ysRX^#OLKFB zk(IYkXZPNw`H$X@>C&zL?i!&T7JpXRwSKMp!ia7^FD|coqX#$#)REo}ir{ z{7n5HP3Tz;ge(Y(B06^oxaABiz;r=)_kr!o8gGi#kTN!-IIpY|$PrnaWI8Lk(sbA(;+$(ar&ha zd=`%M4pJ0=VsIkBmP3MqO_iJB<@6}vIxtq0&Al70(diL$2xHh)&HiUFjOvJ`O?~SV zoW?UBHAnl7{AFTFiWshBfFB@~4Hn|9-+n(awDOcFSSNjMAioifl<_lS%kOJ+{=L&qL$>28Q6r{D#0oQD#++Q*oY0}c&TM0&6sJQ z6_johuvT%6@4|ZRdS!sT(`tu@!6;zCdmx+!O+$_*loGz4pmh3a1FSff`eDiI9RNvj z$EtIh5}hyy#NEuj)vb$B{chWC+mb4_2KfeSPSsUaA7PoJ6yR9~5QaGY?Afyd&sWWs z!otDR{eij=R zP*_a*1G1bs|>aGEE zV+~&GQQRC$u|G{5+fd#Q*sH_T1+6Z5$C(`vG5u0F``KEF*CX!QpYE*JM$E|o|Hq=I zv88;%C|%~zovv3X-fgeuPqJx;o9xh%G&ZcCM(CQK5Vn}=-G=|AWcX5vw7PkxfzY1E zwPXEUH}8pS?)dRBXp4@Zj?>U23YhZ9_i){2Qe2HL%q-ri6`BhU$|yH1Ff6!|$1fTGtS4vo z5F%Ofao2ul1Z#GF1%T?EgPkIfL{Q_YZFOlGYk}IGWT~8&d&YsW17O_zJ-N)+^zOJ@ zeDC(KGkcuBK~#>@>;!DB>>M~&eE9NxEj2^$nN~-3X>wwQ-r$N?jZ;wjkS^5J{0#6% z_%A1g=!Jx|0=A`+Y}VGuv^~uxPE`S(`r|(FQjh-8!y~sPG=aVXF(9`&%Qo} ztBNy0b!WJK!&7&BStRi|JUu*o0(Cm3;2;M)$8N)SsXA4@L2STx+l|8{E|JNyMJD^; zr;5cZGq+T>;_z1a1>KnXgU7#9QZn=~Ho~g2x@I{!LkD87wS*?gOv}Y_RNerx!5X1Y zw=0{A(W*HfQamz^!|O9O@Qlv`kIe#G2c^d+v@Qvy` zduI~~c}Ix@rA)1Qwt03cXn_h05wIoVb`@Gw!?yX$!;4uu*_S14LKJU{>sT@_Hb0MGnBJ3dLSj<6fdRh#unWNX^?PA~9Ce(QHpvHA zMaW{07Ob_GtM{OJOyZe5LwXzch3_!EFmow*^meD@l5rS*$`uuWKziQZOd;1vP|LaU z`^}Q9KhilEC#7fll`pvl2zLJ>T#`59jh)-!tNcfk;0-$~P`wyY-5!O3VrDTDGg%I+ z^SB_+k3B`7cTV+By36e=zYQ(n6T;d`teNgkUHpBoPF3|Y!`eHu=x8Nu0SjH{65Q2( zPyChR+4GX)aTdACR?}ORJ8GErrF+I+{HKo@VkSR-&5l9Moy|jAoW}j2>%X9V!qvQE z?L1)?cGRcOo{{u4NcaY7#BoKbW`sV^p6!(dv$aBCt zcuq}_49EeQ`n-wc40R`v<`CgQA_=-1S*2{!z(fBm{Wyqo!97CzR~AQ& z32cTmwjYfv(X-8=rfz=F&AZc>C1FZCilJ;y9~c_4x3#u;(~NI8j3=X6w;K6O!GgX1 zV#Xh4zjtw1zB$F<1gH+Z(jIQ!(aP=45o{7m)|BKil?$Bd7~d_0AnmY-2;+`7>!@?| z=JMe=nnO#U?GGO;Fb*#0Ljd(b}U4K4P;{Au0`cRNJ_Jx(JG69Ge@4FqE1I1EJ zN^PPxQhepixF(52NCMBkL2qw_nYv$MTwrc)enerR7Py-BCod(pC6(^%?uO@~fwplW zN|^q2wnn@$eWrSLkkU(r2T(Ji>^K+KQ_Md-2P8jt<5&^%!B9unv-p>3H!vB_K}VB> zJKcUSK{vOBg?$lI0ZSoAn~|NpaeMo7qRWazKG}_Z*>R@UDu$h_iqWdJN+k705<|e>v(8b5foW(Z_j5r!HgU zàg{{H%pOlQrmp_rI2o91p^I+vzX#0DsTi>S3_{tSGZY)~LO*vy}jP5VjRo&qS zi@9WS<>KqV`d5qbGR+oP%zDYaS6fws6lJFOidIu;jvq(8YnCrgA+e*sbMNe51=`^D z{UY!%)lPX(xvp8cTqWrIbA<7(C0D&WACXwuzWSM7d^vn+-zr@Ed za09^wk(Kt@Jm-5sN5L)3RO8hB?xHXmLUA>uw$EAfR6MSz6(%A(woUu6> z(C*>xzCFzo-F!79m2e#*gZUoAKiiUEr(CeJ?BkE!;H6ZYavi7{0+(5Y zZdB%M4sfKgi%!xF!75iT9~Xgt#6$pSsuOjb;^yqq^#i5^(L6=WHcZWYDXrX0;um5UbazuP8WQqSzpZ?ke|TI$`wq$rct z&A{o_-Kn4;-wNo`H@*;Z_vCDT2H-h$@59lEjjoQ>kWj1SZ`2d<7raX^7V06uxrW;x zw5UW&9D5EECIG+^medaDdRpsk_Z|aT(;SF`e1!!ka!BSfl~@?xU~LCGp7X_(D>TMs)W)^(eD8g&bPF5sz1oGJ zIM$5R^MNY8?bdb0NDd4R1l;Zr<+r3}0v~v#?Ue?z%o>Ty%7(*y^TIVsrTX=nBu0sj z*R@{Flh~4RA9fv&v%iv+W9?je+Hzch z_{xwWZHAGib#n3&RHdO-Ku{OJ$xAB}T7c-uzcvOATRxVYSJ_@gg4|5#2A|RwI-#YM_<#MZkG4O{H<)@=dyf zYb>5_)bR7h9i3E)@!Z?fm^f@xcuRX(Wg!kDHCa-*OVP}Ej7($S?JA2h?1Mv@0Y_hp zcfGlhl+{tehSA@#5i{Om{d|s%O&M0pnf3NF+^;OV6)9Pt=-rYcM}075Mx7%#$xEhK z7hIiuM5Ngb*WNQ{T*h8zp|t>#^!6B{p$^-y!Wu}FaRL}|ye2w2_!Y-zH5!_xz$9En z3fZGf{5AjnF0dLJ^ru%+LBr7fH&fhT!lzpOaZtdgwxzL}tMuknyp zq;3p&*-37Q+-Slt@f@G4i&QDlSOKkx!IL@~X zDFW1dt84ZecRaq&O(XlY6|WKZ>DDnE@T9)mp&+O@gu`}JfGg5n$T37^YBQg{{}VDT z-@tpn%?MC%{B>(q1*rR)nh9GshMJn4V_SwsMjX4EtxJ#@HRqD+ef5*$vr8EgV--g) zbx&Y^5dsNgA)}NVCQyH$V`RKyy+BV-KRvPmc`)u5nxCHQHfi`Z*tpq!`*1ir z*z%k2no`FU;UnH72#eqRRdIAG=_qtDd>!FIB`xm3g`NTo9h3*OmhxSHz7MR`RI%Pi zcO*EcO*$J9(N0Gdx5!wX_5F1X$)_ssPWmZE+YRcTc-MTPzn#K8(%md(k;Of1CfKaw z?B~4wo%2J-+1X$1lFBa`X9=D@c8-NbjJoY~tA5Mv_w03!e;^Sgta`Bu-vaf%ShOG8 zc2q--44sT$-S&k?tnq{#v!U3nTUuHVwiZVaY8#JyrN1sKd1VAIB^&FNe|Ol>>i!jO zXujfMGP@m}#OuT<3R@((;_K-T>nzvpNIySyhBG~Z<#v@~k}&RJ=e>AcwRLr9sP<%EFE7c?dIj_ym{3$$_1>wz!_UNbk(bNaB3Au}ogO%ti^#@X#kiUp zKUbAJB87Ep9?tMQ53>N|$uRNdjBc(HP$Y_O$CV)N?y##N!mDhxKHW*$&g0nCr!LK6 z#6_aGV4IZAkf{~GGs@-oy2hy^xup!S;!~R1FRBKRD>=pobBvyED(Hd90qG$`^ny@{ zCI?uty(goI2CzPrgR|8n{3#Oob`njhTM7H^-S?(^uQQ0%zls_*?f;CGEO~zFW(oBb zeS&+~UUzd(qM62!Lz`sB9t-<=)4XkFU~8^zree|nzMugux8Zx8cH8;Eg*AC7D9ViF zqwSbVqn4u=jN_8En{2hML}K5r#XOWly`j2ZvNU4l%1t@Gb~nb>S|bslAE02arNKqt9!#I^5f9(2w0`kob*_pS=wyPz zv1Mms`U?NT6HIs#Ij5Y+?z%e8)YGywAbxxN<#oZVS#g1k757jOEC2z|QjPm`G3WI? zlTE&8v%!D`GyM52J|p8Uu3m$)GJWI^<)TfiMvIQG%C0!qJ3qSo8;fO)FH+o3Na-YM zeKsXNQkt5k<}W@7D$hN}bWP0o^bBV=)|RsDA|pxKp}^VnOYTAfYnhNDkYmtPh5>vCr5I7iiA-Cvj zkW5{n95igei78@P|LKN03}NY4P@n!LbRq?>onjcWMwF51pibe(7XU54&bmeW^Il=4 znZ#D?bkZ3n)T8+mL{pu81Ku;RC`Hf54~0~YEtpLAYiH;s>Dr%k7toGBByd012Tcr< zH7~Q4v1)WP&Vbhks>!m2L690+@q87Z?n&Fe)MkKf5#8bfcO39T?c2nz^Q$L2HLE6}rPW_YTf=j@*z%391G!x_`!XNOB?OY;&RZZ~rhE9PZE>t)|HAt~i9`>lg3 zf#DxCwQ9JFA9dUH4tK2!`#YBfFK*YmzTsb5F>$#Vl-7p-hg$%r=B+cRuz%s<#c=nx ztF4ssch!j#j2Qb@6AUNtmzOrgZ(3V|3ak8qygmd>X5RLgFV z5Q-#fj*z9wzibErmh{y>AHTIuZVfz4-|&0diX&9~e*e0T!kFkG z8cG3%dln`w>L6^PEb!pFkKR;cmJo@q~W<`R}~KI{C1^{tTWUyN;IjM4J+a=0~Y{(_)D z5WhB<-}7bFr_f!oja1`fEPX@h?X`-1RvL>YKHfKS{8B9asmm&2U7IG zoZ`>H8<|qyUcCmqQJ??{Vox^I^)MU`~44V zleX2TD%39k#S6J zhUeuax@X@!h)2Db6c0L!+p0=&ZB=wD5~V&{PQUXC~eD6g1%I!CZ-hX{pv3dMkt$CIcRGmWuaa;k3>eAjVi zCq!FB{W<+_y|VSw3Ah4MO^z$!a~^dIO2@Pow^U4GNy_WvFrd6M#9)?{<@W|XL7(3B zi*p=nJ%NR>00k95=rHKyZ@2`>sjWu8o8HvS-NwPi%n zMnOf86S`NJ)A1+iBxeTN0ww)RAb_8UKjjinqV-O#|3SEzNTuQQa;uJ`&z>+PoW_xmk%ZlQvY(5(7kykp`B7v2zp zJVif`hMW1H21&{={aN4ZTy49}LiggD$;;-gIon-d|DI7kamgZhnCY^+7N~a1O+laD zXBbu^2!@z*<)(mM?WRzBi?PM}MG>k!h(!E-CziDK@88MFoe8uV45tfWj8ONy;moCc zG&Qg5a6WQm!{o--X63aWm?c2N)*Z zYeMs8g*nw?7s6d^>$VkoV*O7|7uUF=@B~UFkKD&;w{l^sI(>XpTt>#>LIr6(cqM?1 ze;djY+7RD0%aC!&j2R5cA1Z0tXgV91E}l8};SPV2QWNxN2~<0+tzl(&>CL{*3x;MoHle4awP2oIO^K7j{2em)eBa zNgCBLX|WKA90FJGgBHPAGuss-e`KOfLiF~Z<1b${G)l)!sx%^a?-(%aw%UxZs0qS2Ixl?ROg*wML z_HgBNWMiA)4Mai&(rt%Hbup&0$%_KtS60P>_XPLr)QrRY0iSP`n<*8ROTPjn&pu^G z1bX|gpKNj+nw=w@Hdc|E8n)z-t%{Yl*K}Sw@mwrei`A~Xz+I;4S|(+4`JXd>vNmT3 z+BXcxRy^R_1)x>3$cUxIE?N>6}@;rBtnE&8*x5$@#@Qi$b{Eg{Q=SRv+ z?(zj?d@!tAl6g}LlGf95NAE_83|0?EgwNcrv#;~y@1<7qCBOee`yG^+jEtW~1BYb; z{4Wkq`nkFmw=Wqt9L;dI(tOg~+*~DbgHV>99GE_U>yhzJduYqBe*q7|0{~wwuzT;bwlPLf#=t;j?@}i*M)A7^=Y=!@ZnYPiq*vUHaX! z(Z@w{ACE9w^7L^(4K}N4oA#^woW^4n5~GE69kB}kA)bOI+V{l|2(cPfwPo>$e^t!c z#dbWJ3NEcgi)#A`b*5}Qn!fHBU4!OV+nAJW+fssa5Nb5*3}`V!0gx`?zA7PMI1|jf zt7#N=1O-gpM4tJ@k?Pcq+}6m9(94ovru@4-y7d1kiaeHdnzY>h>9Bctdv|S@fKs`V zo#(1l4{oQKb701`#P}@M$9}gt$6C|Tl-f^`+D9;P$y?&buMB(B#YZxJM7F8w<004U zrYG7aL$vLt%2rdG)S%`_^TfGzdE`MRow4uAKL$Cr(KpLnXOM zD+k^tRCVCz&j;i$COTNz*viF-g^p@_Y2Zd@9~)134hodEs(>AXJq~)OF50dZF1o#j zvUfmc>H1#1s_5dFVTK8AxmecJHY~Iz26wt*75_F&(n3zH(dx9X5vo?1_=q)koyUdM zk&m*cv_nwK<%Ht&^O{t$^F#VzKoj+a5EHY1$QIrSz6h39lf(zA#qW*rh(w<5?y$;2FDkx-tfyD z#1Y3F8cB6%X=(eEB;#_DyRL`WV`FNA?J4&M`D?jG58WIy@YfsYhyvn6LJ~JRJzM;v z4QPd~jrA?!m+Fhs_R1GuFE70{E?}Y#RNksgn=StE_Rw@@wl3q-lTyK>-jX7xs?&E# z_5}!Z{t@%c(@O!7;Y^O643?D*yE;2VMWaDSnRdm3`GhaT%MTaV$FlQiGdE+;Vh1g8 zyOY(MC5vzNex6%{@k;4%(WKMGni}|!S0`WHaOqOxB0(K&`5*QVdVjvdZ#?wDmHJi2 z{jf>S`nMnA)i2Y3cZ9Cm3poA-sYkuM6>TZ+J^)Bl3(>ttrN-h}Vx9!92WQ)75!4}U zK>YR;DRajf!q1v{WhvB`NP+Me^W^Lk(8qSL6x78&>sk<@3oV4619^`qbj+zo}-s; zjA|?hCbxZEld-_E#+W5WLOXl_?sI|GJB8%~P5zO|al~G78Z5>V?et5qXlm;9p+>rQ zol)fsrrq%$FV;tEviAi?pR}r+C`9&l3Pl)^onvMeU6PJcO=$XD8h#-gxVt~W745Hu zf8VJ3t48d4!o&vm9QfET7?^94xUXhUr1C#~jX7X)?gyFEk)P!cmvyJl4o+RO? z0@YJIr@Yh@rrd;7t9^g+T;tS5eAKGqEqx{}{r#>tyTnPOO&pf`1WZD~%nN~Rr~LzWP4P`r;gcbRuUeuOpR5_U z&`rf_uG+V5nFE~?nl+T&YNN9Z)G&~l778>+6bcdOfxNFrJ)R-T_Knc~hLrD0czV7* zZvx1+L1G+W+_UK`w-jTaB{R{OJ@nGSV%`0z3L>o-sXJH^z47utVq!8^R8c~$}gJ)g8Isf?pd|evW%DrU7zHAriOsr`T&x^+V!b~@!seiiaYAsd3D0$1T^%ydanXVh+gb zVz}~KWqWnvYhwYU%E>DtMN=Jr=I0>_rut1F<;kq_&&Jt~WnP87PN zCu1J=w|HDEc?|CDxr@?i&Z89DhlTD_>e9p#0JCLO^%^q_yqt{xVA8TbZQ!HVgLBKL zS22*xQ$8r`9IxQmTa6ZE78PL|@6EQIUNExDosvAcPE(C_LtAeZKBk9(1OA99{$f>i z=?r$T@p@(ej9%$|G9jrVp<06;e8R&(wmx_Wh=gTpCvb>hizw=Ap2B{`>+{BjF{GEy zth1hZsRXT|*ah@1=nr`Fi!i_^{V?MyK;27P2FZ>ODrvaap7j?I4i%Y13m@2DO5PSV zZE|Fo615zZ(QaGsP;iksQy3CMxOLGoO-itzbwGQ$DlSQ1T5xGY)O52usXC(4GD6d& zob+xXhht{VGqe+0kP7P3KHBfa@jPSa^?rm|A{&rRIc({McMyRI*bHkDlD#~qx)!jS-t=M*$dS(Rz&jF}D-ibwjzqtX%*mT6A&P)f_N*U&B3 z7^hHS1Q0iP$GTm>&>0DyBJ8;&8r-KvtQQpo;t-}As)w@|IAIOhLE2Ava9w|*S7Gi0 z0CB6OKUDN0n0OET+D+Rv>G=I;VyW4sO>}0qVeVhX8i@*t+L~6$(@T0-=2d17nuGn; zscfpx_Fq+Az5_1Lq7?RJL^$U=vGa6u(OD@g^KMxtPw_Q`%l3H(GZrnwP3zS^uiRt1 z_4bDvj@$>gepPq+=aOqBx?fV2;LMX8Wa;si{HQ!SS`O!qo?cV55IZ>Df8i{hnCfho zp?S@|S~eA{=QxwI+TGvArOu_#$JCRB6dsY&o2t;SBl7PnpYZJRr<16eV8;9jyYG#v zk6^t90+A(?K9{TL3Qwt}Zy@A@Wsl03c54qFsN$TIxhi7jLS?)jh54^<{1FuKK>q-= z!8%Bgi$pNrTmip!)BNGKdgYNbg_k`1O6}7h~cJSC#$oW&DR|N`x%T|{Tt`4otF9q+JYGmtM(s@*Ncy;**vC zZL;j+8N-#>!SPbIQk8Glf>>z#35UZGn))D#tZF3;amNDr_TTpXKG?u|!RF(8c6aI* zxo|~>5x8W*h7J~b6alQN@i%Z&K_nH&Ul$v-xTNJE2)W;XC<=9Gs&t=)9aoDg4ooUi z2Y8Op3GJqk?<#X4+bru&P=;;MlK z44%O0*mzbgU8^gly}kVnY})eq;C*p6s;^@`X{OhJJw}o@J^#R~wo@E5pSR|z>W*mn zL%G>ws|#LhT?tJ;YuoH{G1#fyc;j>NkF)NEr+yHvqYs$DfbCl8>Qb&^{M3p@x)@<% zVxr-@ENE;QUiOwr?f@^cHO?NEJqT2VnJBuvT^6n#M!+s#X${EvACFrzXu_L(c4iiq z(iW9|*ZC9gsayE6Z&<%nUu%+!wQtL|eUztv9i}jY-0^)cM#I;4-8V+|&|rJ+3RV*W zF1QDw4kn7mHvJXzxw83&`JCFt+L;#rhpx8(iaKojg%=eh1x0C443L&?&_w}hL6ELx z>F!2JkyMdp0RfTDr8|{cLYG(?$py)!7Vz9W?|IIAXXbqBIE*tc?0@gLu3ue-7;I9k ziOg~B!+uOO$|uK_igzpH*Ava=pEAF2ENcvif1udaTLdtx0b1By9W2m384-~c0})v* z^VYq+WFbw&h*MwArdHmji*zrlgLiF4(L$0lso%rJ*CNF*zUhEbk`U?ED%H^QB(#`| zmn#iv@rfq>GDb{)7sRT^zzftKgQq{L>jffWZh(wa;d5y{eyU$dJFc3Uzkz)WsOiV+ zN&BQ+t2_%{tnSFDHz0THR;$K>EB_6XG+Y5`h{F&OAR3MRGT>WR_6j_J45p)fU{7fn z7rqxCENlIBQ&jv9YH6KFbu`%jlVJvf?8<7<>JfKf`am6rk-#94sY4_1R}DO`y!nib z05pG7>_7%}KSNEje_NmmpvY)6a1(S@leJvu`w|r@2gYpzB@`Si7w`w&mBbedRLh@x z1_nS-_Sc)Y0P1{9qpq$FjOn@|tm4k#1uP{+jcL!Cq||&!1=7eF+V=MMjKC93bC`cS zbqz64uJ_}!czl~%&}^3N$6cnK?WOa*j%-4?S+#SZ zBmfh#iwxpFx2APFafv4xl75(B#WX%t3?!1y0i#hfi;q=>-uY%)Zd^Y`YX=tVR&?m6 zgON~|9`%(z5Uc<`-L9(n@xGtmSABrU*c+HlAiroPE&lbLdl?y6?e6u-Oq8`4;%I(_ zuO&CV;q4Gjy|(WraF3qniF5q}qY-a$hWdV&;Aw&tx6FgIq$Malljcf_7B`LRd@Ybb z+kI3!&AIBs`qqnJu5Y=h`T@pf<)rA3j=Xz#kbKy3o_TrL{71go zWYNn@_~7nakOOGf@n{}{K5d;h`yz+ng&?)-Y|0)95HMFi z+VPo$>o<+zsPFO0%K0=GZ3eD?M2V{sgK!RzrBmW13-0y6{YaRCLPSdFSUr&EY8jty z?JXySsPuJ$(Hi7gfx!sW>fi?f)rq{sE*w*9du$X?3fevGpw$#>8vNKQSfY|Gv+4O? zEkM6tu+ACdf+%s)Rbc&6X%l7{c=c^TLGY@u_?nFnv1_+t)*NWB(e==5(?wkn_DRnp z@noEG_nioZBN&W$X?@jN1(?DmR&4|Nj4Huv8fgjp#5=qu>aEYHP_3C?t{lDlGgooL z|L{iAmn#}`UONr>KkGB^IsxV|#5(oqHJgOGl}HmVICR*N`Jrsc>DQAVJgt#rNZ~+4 zZEIG|#P^Jb;t|7^mOvZ)a_dL7gg72+v)H|#UL6qI$}i(Ea00b!fBp$#!mg}XP@}Yl zJ5+%RG*S?B3mDko3{7^g-f$;3J5I1&>Av7X0skUC{%X2p+1RV3Aw(sUax6O$38VGgp*O$A0`M9!=-|ej~Vg z{I{*g?1i|Y0n~CDRC;Jno9gk}Ov|t`_kL}sf|njCsk?V93r2FSKI&ux0qRrLs$tNU zRF6vqfKZV%6*e$60;~`lrvi#sQ-Ka|S7jMuzJg7jeD4MY#cvy-dP)mJk+ftZgul}s5e>2uO%WDq%9%%M~AX_WQf?)urs?h-K0pN%4QTbB6n9BpQ06


    U2sutOPq^H-)l|EZdm0R~*J}SA6 zds7*MPx-WGHFmU?f9}pqRvG-!mG|*K@UK5_`^3in-1>98&uG(eB04|1S0BF)^1pM$ z!N>7254SRx?r#aC-5?PsfX+s3U8n$t&6f^&#wE=;&Wh6@^qwD{&Dek zyr_Ei6RxYbOzKMOX4UJ@v!EdX`D)DcB&0?6Jr^$vXo1^1%12Y6>QZi2$@fc_IvYC}J%2lv-5$8v!D{`emk(=@4b~YKl6y zk0clttMj%J0H`3YO{D`UH^qr2@V_+iDI=fEz$AyC1LVB-eX0_eKA}3men=+t zBj5#yv${NHAV|+w+%z%tcpwl2Mh|+SRoD84fFXEhC&;Z%DJrJa6IrJn>{o~)2J_9i z6IQZBUPJ}Dy2^tT&wXQ{aeu}6MFj#?L+Y0ZMiHIOgp0Y_$+aQofB12I`5??snHt?V zCBlmNWSH)}++XHIreFTeO#pqxi;K8JxZUns91hF%Hua?>Aq(M(#T73HfLH`xRDbVP zO%n%@@+a}qme@HiIGZ$>0hkS}Kt*rLh@Rxz*8$Hj!LL(6glEBP0aWgR4S(b*RT9cG zC!oZrkIV;QGNyQA=hU?spY?)UMrW}Vcyk`5*mx^}8SQ zpA5ZCJzLw}7LAhm)1qLrx2p`!IdDw_pZZnEWm;AErT16I2Xq&g0}}||3j5^mem^T+ zuO)Bd#)fTUdAFCz9#&=$cDrlbV7fGV0wdM`{NZ_P3!>Yq^@00#7{J*jS4L@WcAMV> z?+n->Le%t0QTyB_whv;2L^D^uxt40nWznREi~`LY$bqW$DJ8$Snah79c+-vfXzb#n ze8d2{;KmP?)Zn&}b8tCZ=hy3`E!B1~TA&|2_}DNod?xf36g<|SE>Ze59w2Z8q)YM@ z<-rdFA-@#&KwxjTp^vLge!b1T+CESN$#+iA%)|hXPmT=>6I0jlaJ&uKq&?ae7HC;e zIcsfz%<^On8uL4nLecyDrle1JFB`W}0mzNN`X3T``=5h^U99g^5Aa9fmQsmaZ{7>$ zCIcRU`po$$)`INas@ppu*Ry~>L0F&k!v-&&_Ea)MEzQCC@l&Q3w(MKt4tdWlw-RV) z=dS4;*6nd35mH%n z=w~W&Ro)x3Z$m%*De{z{dabr7{cUR)g)9m$pMt3VmcsWeATtx5&_w%P)G1N1i14(f zUXm{-r2Ns#l&>@lKNk~roo#xZ;n)sR8Wh%6IY`L%~>bk z5<>5>R={{6kuRJ(TiXcFuD*?z;ibAI0EL?KD^9O$E-wn-WBsQ^&`)@~(ZBVLS zv3Wk%VgFPuKLkkf)j=RNc!hK+{jzcCnlu4+xBBjy zK=QEvged@1=mO*1G11$w;@v}x)Ai3}t}RhNyG25Ix|oKKfKq@EkB%*~cW6ldar+>j zPJ(_yj7x2T!RZEff~Mst5e4!s*arwk&x$DaY`>n z4GkG)^eqcWWW@GcmV6-DE(7#_om+{^3koqB9Rl_lBU=9%0q*CoVn{xM(s}PTe;%DH zBX5t}n<8y>AOD?sf)-#9ZuC^m*Anf4$gcRqV4b*s_B5!DQeqOi{gde}kJ9s;-qrp3 z90P14aO~#{@SCOV)-{6#Z#B?P z(exX)_IU|U-0}<@J_4#tzw_eCu$i&RS-#xdunrnf{(d#g>#dUdLdjhn%L-$OpBC;c z)t^(%mCHd-a*3Fa?jamPF zRg`rn78msR;RyOA>iVZ1(#F5va4%~_j8rU0PqoN--=9@|zFDsOG@>)xZ^W;e#kUzj z``J-i-qYoQJHhDOfguvQQ9rF^5ay8)JyweR-0Ud%%r3t~OJzqxH=CC&IdrPuO|GK} z_kF^(-XYpip<8SmEGWyWb*Wsm#Gvn0GP4TImT%Pl!a{aZ)Kfw>%xrwT)zQ}#u~!Qp zu9P}hDH2y2D+ZJL=8v@lawbD#=v;|l{^A@DKCr!}Q=N(TJ~=i!^G?|g;|C|X-MRV% za`=x>=+vT3bHr<&{ap^L0qF)028pwRVsxtPcy&982);zL}b+CPNc&g0yc zDm{U2R#Xccp;qyONA6NAk`Er=(LH3$gjo+|@z45pJCxp%{)RO_ z*6Y8)_aL!TWSciab8_ah}jMmHk`n*J2;q6 z@-KUdF*O7Nn_483+^KjB((KM^GAr9Jwo>h)=p&&na>gQtCWsiUe`x3i8jWtc7~aia z${kyu2Z1czRx)4{=8(%`cOt!gtt8U6X|IgasU!xbW_z}-47f${^ zUvv^aU3nJtVZ;`hE-I4LUthQ1ZaUvYh4Wcv|_b2Jxw{Mcr-fkjhakmFL--P#jSWeUQA4kSo5l=sA%(cNIL}3IT#s-6r_N> zc=gtZ+q#)H3RDbxYfIIC^zHUXMn2Xy%Qwz=-hS1VPxr|v>$XTq1kS#wTk1Kr4p&{w z@|a9^gj}ISi)LSED;Yr}cl(ds6lNDn1%Mt;KA87foBfS-pb|_+pmD0$E|xAbQKgMUmaI zBy4AAXPhbPv)3hE77}u`8sp%UL@;!H*_9sH^8n`!q1o3c8+V&M4s6|yY1LShA9w%{ z0wHj(5~=Wm;k2QDtGNAHJH_c_eXeH{kpuO_fLNQBmoH|%|7zAWYyaraim;V zAID5uwDT*h=~f!tt>odC2(TWkK8oX)K4|25&_z|T$|*g0KgK9)i#6xP_;Z6;fk5|j zf_aC6?~T2XsH5S(uI=^rd2VNE7F?Ti8Z?u0^3BtHS!{>4WBO$Cepn}IO7wCb903F+ z`%g;ds2(L=|G{J7pN5LJy~EH}bH61;rrC)XXfN*>?D=sbFy}n+MMt*pBDAjI6c>jJ3QO|RFaAMQl zi1>^D%&zY2?Y;KBTR}kqVO|oMQkTqeerE?(yA^7|5o@?Msl!Rwps~5V%^vD&WWBj~ z=hlFIYv}heUna$#Nxc^Z#$}DGf+;GOuhBgl-uJ6=)6>j%&|AuLPIy64W_w%Pwl>%- z)2_Amv5N!|lDwJC(%;O;+qgu>4Kr(RZx8Y>F^_1#_*t-6oiV9W{>M+A6|o~T@OgqP zr{|n+Y>4QXwX)sJ-ACbYyfeXH#iwhKp_suC!*AU}1Ymt0TeS(E#&mb+4-bAi^AK4sNbH2GbfblFDvGxvU3JceStZ&Nz z_j#oNPk(g}^^9Zud-_adNkxOz@!X4VS+d<4gtj7S_1z2Lc{yI0hjvF=i!p(a5XroJ zU2X|@IcAG!DFS3tGhjP@=786X5~a;b{++o@@RK~7l`q)%O^FUQX+sY`2=4Szs>on+ zNb#K$YPU)mR|`8k@&DUIHE2ow`DYjXO|ajj)q&#E%{Vxma2euGVu;4>Y@}H!Z`&HLb$#|EymHwi>1+RHFm$F@agmH*d<^Fp#*&4 zO}=mRi;>9$-7@OZj?$(4?Y*SrRg(jLXq`z_KZS$F^8qZhL$>*P^rK~;gNvrSpe*ML zIRpBe^eZx%sF8JdL*NNzy+KLIEcx}ftxw0fvsf3vW(gpd39=ym)2YtN4&OsseJG98 z$krfKjn&3g>o1H91xc|mNYZzh8s84?OX|r23Z~E1J)UTyWvG8yNA9mPUXh(W(Um8La+10WW##i^yH4&-BnA`Y|P(kUQ2F;4!S zC6P0ZJqE~B@`xJ=T{vTS_6c)Zz|5^R{NgfY^iKR>DC82VH&&!cTS z|LG?pUmKk2f<=IN%lKtu!NR%O-&3N+)2E)X9*$GKou88M^1E=PihLYmTJY`1uaJi<1TdhvQ{+jNi%?lHAns}IW@l%2WJCgb9n=S>pgHleOB&2HZysQcV@LVP zF8#QlBsnL4*iCEF1w!I>)WHx3$Qk$c{>govxf;FRFU?j{6XY>b8X5IH7^LpFyHOg{ zp3)#Lo;`hmw2wF%SKln+5FIXKkjwvabK5sMU>{i)Kml>aZD?oJg zX-6X4SD5&z3iMY{V*i#Kv|7l3y=Vus?aPxXNqd1r3jeYdRw!^3V(>ni@gm@^>Da*e z^162>b{~eG^2yIcs0D7pqRxAJqZMjgfZun~n2%=%(^{4e%1uR}n%naS=a$tjm?Hwa#qrpgX>4M!gj zwVAVPA!|U=)@J4Il^4z<7W^F+4SPMT1XAjDhp{+AwcDorGJub|u2;xen{2ABp%sKR-YJDtd2v{H%C6 z{B&m4WZMB#$g1wEu6m|Z^t;Kc4osP@>8hMZ3Q6=@cj>D)u9RHKc+)V$8@SmUNDgt{ zUr{}~Ovioemj6C%bUP^~GC5j)`N_B82n#y=--)>s>D+XK5K#zU>(%(^2sH!0r0%XD z!9E|A6G~}=A!U$)gutkkjabjyncx`$kGz6tAv>A>PBIIKBC3UFp>lh~!a`xUE(**( z*iqMNu85(~-=ZQ_K=GS>W!OSs*E~*a7s!SWe5F@2{vP2$hp1Lw`rCF$lUd(hj+Btv z#by(cp$jE@nfkS4ZoQs@WL2l`>+si?X1qoXe-@KLqh*ILj5If2)g$QSmDtGmrk&z3 ztlJOGo`<&*4N240Y_SsR+@Xp&$v~}&iHpDVHb1CBPGR@8wk0zi6wAwZ1BDqJX5;8#^z1K?%mle78U-MRmS<&&QFe1f>6Jw zdH4MHZh<^6cCt1&o+iISPF-iG95|+~!Bu%aRG%U-4%L{g=j4S* zhOyD_G;WqtXuDr-cPgU=c=c+d#_%N@c@xvqhDvv%SuIG|L_|cIU?LCdO0K^u8wVh7 zde~?Ff9N#xg5b7bXfb_!+TgcU4$upcaMcD<`rIf^(vF+l7w)gYaqrf@;k*OjVOj;2 z;1X(j3g76=ty!cbv6*TXpA6#~Qm~Q+)k@VJ_fp#TqEd$`KvZYGd!3t4`QWBCb=i)bSsHXvjr$ ziGd@dRGQ@5<#oRjV;Vg9=9&3EdzTblx}W{DcsX4JXejx^9jEKw1sz8O5g$4c-5q$U zg1+AS7hnivIB`&?S=`k@)#c^#l95!ZkVvwb+jZh8L`QL2dM~q&efl3)lpokK=l54y z=l@pkuVFdxZT^dR_M^y7aHBSQ z=olpn?avsrG)|7cFT@wI!rUQ%x2K=xJzx)9WdF~!%vg>NHxC(*=u}`gCV;ApZHC)d z!;RhVHJzsjY*h0dX9}3M&UtZ*nvS6OEx$5r6+JOC-($^GcpS~z^|w;!+zUjMKz1fk zfi#!FmWh=#P|Vt-%+F<45}$7XKH5F?1r8kbKLnbI_fjf)dwY{ntAD4rPfNG^(BH`3 zU!Fw#pmz*5C>x*o>J4runIm6mCs%Tk!!FL?m+yPjtm{Ero-^`8^;fo7?Zh=OT>93L zzP84~!{*xB>!$qe{5NKM3fx$8KbhLtX8RVfc4$tEo4G-McdLc*C>zy~eJ~m*Hl>aV zTH#mrsH_-Z-O*=+(HJ~Is)?{nae8q6u%?5ckWeJAZlR%zik%9L8--Ass(Yi6)xqT4 zT<`2G3sA^kpP$xvu~t;92!EY?H%7j+Ws{O2sRg8KN>c~xTpMQ#H-4p< zXG+5D${7l^ey`ywB3bqrq%2sOR*!F-rPZMG!o(-{_D}qUZl*RX{4lkRGYAvTJ=WU^ z&?)pO4j4$erOXM>j9~lr$3;7xCrlq^pG1!?`IJj+q`Vy3E9~@q3bEGaV@$vq^;Alz zEw*CVC%YmaRb2o;(yz{coxeQai@;2<|1=s<`<}!^UdU8bVeqB>VZW%@q^=c8f z`^_uX#Bc7M_?r*Ce)0LGo=+w~F%arilU?9B^@Il?Y~;CW9)!lTN^DJ6V-IFQQ+y2K zOTjD>z|nQk!B)u|ON(|5GC_Wh&^?XPjg{1U?P9t# zrgtt7@8A?A78rPTT(SBk=gVvAjphB5Yept<9QOZ)mDKGTG^byhtawcG#*XV1*6UmR z-jK~iRn*iF%}JuyGYU7Q^j&-y&FLEG-|JNZ$N9@_nWPu~K6f16^Xh`So`p^QjmYAh1I z9KJ$mPy;vOFgG5XU~26{`p20ckyiBm>MwomW>5;J9abjmD)?Oi>&s;zCo<)UqIZdVkD#|V9H8keOWipzLKf_QM<-AM_)xE-=v z2#%~ZoUbvPvv$14?!@e@e7&KkOFpYFLM@l|*?Y_<{vj$w&86yeD*GB+Z5r`+dP-GL zEJ%G`Rn^Fqw$o$P?W?%6Nl8iBJRxlmByA#_nUP^8kRq1%_#p(0LQ=1PSogyPYSkJV zeW%Ub$;PPb3$#z7XK@gzs*aG_jedB^Hqg*(cK|cTeaC5NL14q$g!I$=F%EDkOJ*(* zHF;WD^-_ONxDS%vW-36Z;#iACocqfhEzW`*;ASZb(i%CC9(B^cIDa6~7?#08M$c=n z$NAp&(2xQKa;oWKLi!!niUpXjGuUIct*u6Z|C!(gRst}O&I1lm_XY1(`L$(Jl;buyb zSiQW(jeo<9u(_Dz;8K{V>M+_FL_{ox2|wP{t%6UTXO68cKNU-l9G_}1SRM)grfWUp zJ~(~^^lt-NQ_zLGB6p*O3pw4bA}4AhK2*E?@-EbUu|B$`C5P4I<{scg>(@|}DA|#D zb&~w#1TDAVJuvIB2_)Gb$IKm5K-w-@3GW3KP_6OvZZ_P}x>53~y=S;+z!H}4z=Q`6 zYAR<*-OI9Ee%iHuvpZ`{k;RX%_>-gDb)-Yl)73l7y@$RrlK;T$k_~1udVJ!@9tkh)TL|-Y+0Sj77oDsmK}9xYM)Eea$k$ejRLMqJpxFb4yIB zFZC~c0S=bJ4R9uNH#cg&rk%^9dUbxj&Byt-M%yWNpC_r`n2|-=_Ydwa?ejDzQ<=;h z0MkSoxxYhN*J4VifeL!o0gQlN4IqLYd>TAcB|80$iASSH?Y`Xhi>%PkU4xc_ zPGmLk*{6Q#{F`min|{YT+53vy+uM>aehg7E31-*H__YY%(s;L0SLd~D+!57YDHiCC zWw?_FxGljw!$waE@WTZQs&rq&k-r2*S-vi4MXb6&@4ru{%;04A(bApd5qlLjJ#5C+ z^^Pw5S?|qO1NcjNmF$0sF1KtmUraH;DX49f*vpf#9s?M+FC%a~e2VBhXwyHl0q(=?Cn4ZID?Eo1h&S3Ps3`237ne6g*Y|?N^A0d?!^sZ z#GoZ&*-0Y97xRfHr?o&kdG=$xg6toUVQLK(P)1H24(-?pfU)6)ap{Uj^cM0XC2?rt*^v7|VM2oz^ z$%>+FwsK;#;UxfXW~i~hqD?~v(7QeSMT0(>pN9aX$wQJ8+~5zJ$b9dn>6xt8CO-ST z!h-D31bd2C);bpaE!sV^Hi2=G&U9b2!fS6(_6%ngx@1cMzHz(OujJ!D3@`MjS+ zgbSf6E8mTN->^Z{z!BZr8&yhdo@kC9;wYbLxpKSD=z?*q4+83^mTLc$?<>yqmL~e!XOGKc_ zmyOcZJ((W&yLftrPuhnYmqmbeq)s)Qq`$j1@&L@?OVV(3aNx=bcb9Ot={s@|v8vPJl;(1WI|7Sf7C8yMTK0O~A1 zwD2wdco(J)Zqr${E~$-)U(zOAUr0eiJL6sQnsWj~Dy)W^Bh6p?I%M|j6SU#5<1Wt5 z^J^;%x1fbNqdGWQGgz4(JM$5^BA)?z1B&kHk^eetGc3Y^i`!jeg6WKKC-svoh#wkz zG#Y_QruH16ImH7FCv{Mk$@KaVn62@&YSNu37xZ!S3!M?ADB%jKGNc*z=9?B-Unk{| zU%AcL z+x_Q(bGyS1n< z^tPE4l9hfE5s&w2(2Ae?mz(N`G%+P+Fe#QYi?!?p-8+khysMAI`8!bUkH0(=h?&lImpy6btH`6;?50PaHGhTDJwG-R6a;3T%$0tNv zb|vcEx$6B6LJY=xa)~cGe}Ul-Q6TJyK#WqE%)ummVe#JOT?d#XDiGDzU1&RyXjEOY z)3EV8o$WZ6V>mq&b`;5x6`D~km-%i#fF0&B`oik>u?+8zHlKe50ww=`R~68}8z;i( z2(ph4<6Ry5&5i8$=YxU-O@9zrixU%pt#Cu7MVIE5*r2^yHH+pr7CX zX8wj!n@ITGUFR0rW}eKBLDMJB9gBdqOR|rO^mY%L1JKo}ksH%Q@$q&q7oC;}aRAZ& z2@@rYlr#S-NEnZ$#pEx&y%PUdp%fmrxQwgk3b|ZD#%SzMi$!VK8EiU&3-=ws=}8uQ zAZy|8Iq0ls1+|{Z$VsTFsXbx3;o|BV48;6dRD<?oFp?ZgHlwM@;18)nlr3|Htbl_nt;(TUC&q;0_c$9(R7TmhAu%gWh;h-f zL7qM^2K|A$%+(l=t^a~G+#w|_E-9%$nnS<&Vq~CzG4@j#?*h3>fXaQO=?7+o?^em; zs~~S>Pjh5lw;1DkzyG!+Nin;llCPDZl;>pI!ft+p%;*8-jT=u`;+o0N`-A|4`E2!F zV%bT=>vt4BNEoSP|H#oREZ}A`9;{wY-~#VXQ+lr0NkyD;ORCdu%dIk&D0UrO^9>^4 zr>PYj1iM&zHF+o_zc`C5onD>0Yu?L268{2TiT42Dg0X(%92hNsWhYbG1FNX#P4(Fk~ zalynenWX*&Q1!fe2g5B(gOdt9K5mp!-SSDN2S1uKv}fI2gYdt2qm0BcfbJYTF`wOe zrR!QnyA}e^Ku4uB{rNNXn|x3gdNP{M{la>zN>9wqdFeQqR=fZs#+p~ZDEC$1RyG7H z0_>Nzl=5!znzU>lew7CD92jmWLo)K*7r7Zf-XZeqdI?mzJPt#-u9+}zmndn@PF{FNf?a>O>q z7Zb+wziovZRygwB^p6-)dGcyl>ed^^`eIl2_Xn_^X)i0=pxZm`?F8VdUiQGI6<`8h(B!rXIwl{S-#u%;d#yYf^Y4%PMOOx9`>5H7T?Z}|x_7pAT1h(5 zqFL}~%fG`T11z#qCwlXjDS59svo#CEPM&fc=FSui6p$15^IAwA&m6XXsk#k1vg6ty zyeMQeHOWxMM`w2qBWtzAWprpFGkaPfwSLL*b{@`}EM89Bj+j|oT`}m9+LctB>eH8hq)&~^MwaHtX zo4J~RrD-tO1e|V$xv#J9hu*lmeke@}R;HjL4NV{lV~7b?FsWYcy5=QgcNZBT`{T<8*|uV&trC)-ci{Ab=SNgi9}2 zPlL#ru1T!6aQP!Y=f zx`7M~7x3$8N~!*#&yaxr>D!HKNvwhMTwE)7>uJD+4SS^6ykw>7-@(1m7W^^G*lo00 zxE^tcwH9(UHcc$@s5GvMuTAMa(2wOG+)$J6Tv%WQBt=fsm_#YdjWm0dZ@7-n(bSgb zaObTJJFb9qRJ?brO*73{)14n8j=L+{6LOu>uvOsY zt4*ItiOGK=q3xCeHQR{1HcY5{TgO#U@G}htfoBBBZj9~602RI{w%woQ45#OSN;5Z) z;*gAcjDLqp=e~*S+x5YOMr8jn(HGganJWMvo3vFJvz?7`ueMYvKV{>ftK-eD+u$fYYSKpvN+)B2_t@=+i zI2OmtOpXGpjMu6&42#)iVovhi)EMkL>bABV&N}$cu0ZC!0$05*RWo5+pb~X5;DIZ< z45=2WTQ~JUSv_vVlsII&6#V)Nbfb@?e)H^!wf4+*!#5MC4_Xq(yH5Zu~IK;M>guR?tZoa zlE8ha+fASBu%!bPxo^iNh+_-x8G_l6;sqW(2eIWRQa6hV$JawETU)me-Px08(%=;r z4KuW5NH~VjkkG40B`jfy$|!og`?QY~!D<$Ew2by_ipv`@$dd%bltfKQYm64!6_y~> z(KBu%GJWD73yPmw=fB(Ajf9?{ub*-BqaOoObgjPLr3%SIP;cnZ+&d73UAS)|Xh~a$&4>L%Y+O$a?c{59CD;}EVX;dm{o2#4%P$WDC~fo8i=ePm+4PZ9OWyqiC*V zpr{zxJykgV`5%mBD*m32w|DZ+#rM7T{gR}KMB0YC%{PT0F4Mygeja-{7IpYdxgH=k z6}HBcUqze`x_PwX40$6iucuim-0?(mJAmwHps{y39l(sh4xsW)SCG!#(SyJGC^8Er z53fEfq>Gn=wf zap6NYX)kJnRqW3u1IZpmS0a36=0LR-O)3Q0mHZ6-D4ca}O3h@6&nmlN_w=Pf$nn`@ zNReoKIg3b3KmW={1Y_eWrysnE*(P%SH7hZ4?1JXQD+-@UoiH2()0`~V7Y8?5uMm0= zaY4yk+hf+>u;|4Q;xYKgimkPhC;;CiR7;Na_XV-*${_O-Tsw{qq&~B-fI$HcyIhWK zH1StsP0mR4XUG1fBQPmqC0*Trks9(A07R|VA+%{jRw{BT-ziw-J2;~${ZxrPFOWyu zIA6ze(0hWGUM)~VuBs35)b(uL3O^KqCYGF?4LCA_v|SdCkMw?HA+maD4DBb&h#&7+A;ZqFO6$XPaFYeSgDnsI*}cKQo81m4aJ!jv zJ>Q~jF4Zikb2D!wv3TFd5UlM9hpcJ+VJI;3dKbk6}dgDH$0R|MfZP)m#aHWFkrIz`&sEjSf#m(G#=O0 zSAg#!0;nY+28t#yGZaFz-4Mf$J`I;jXmEQAZ9dH{;Omz!HLWLqS#3v~y$>|2?Y%OG z{7o09Sg?Xli&{G_Cu*^EEV{>PQkIvh&XI5885!``uV0__wvhb!X)#?1^~CwY7(k+Y z*ud{+RLTj%yLMhLy@A!>Qtkp-wW}jUA?r1vt7~5P+3)GO`w4JW=ZksisjV7}@cR=D zZbIYjc2`g^0&PL{5IrxE0HL(p{hrq*J78afE%<0Lq2*2}+ts41(C@8p)jPHNE+1op zQPKOtN(4A38xm%dwv^M#M;AlvCBMiNz5MW)X{T;INM`Em?0m~xfS*G>((99{}PYD5vMZT%S|{i`pbPfmnZ%(WqlQd zmU*L;YcW;jq_aIe;eO#b-Y+$~Vt>%|kHv{RrozpI1)$6%@1OL>{taIT0!PVe!S}zjC_=-YM1oFdedfZ!i!i@;!(2H0l*q&>qT}` zGVZ?JZ~5&P4!s4fWT_<#Sc(yKUL9gCbKG#QFypOorj}tWG2l(wzlC4-e!ZNbb~T{P zUhGl?S5tGVub$$O=?%u2SXE5Yf;p?!u;9a{QW^;k=TBPQpInLtEL2|P>6d(c%~>Dm z`P+BLjd=QjURCQ?57>bg6~LX;&AsJ`@R`pyXGU*e=;3>n7cBF|8n8ab5KxM+6+|U6NCOfouL! zz#mblU&3~HOMO49mj;y#VYzkN-cpY=N$RNiXton*?X6hv zPgXkVjG$~jcCdTW05tWhgyXKoZNQO@?LK!Yg`5RgofvK3!t^q!TqM?3c?j80&N8y!B|tWPNIf8*LrRe;?`1+^MG7Ug2< z*+qhO5v*}Yp79#KTzi{2pnhhb;9`}77Q9w^tZ!?mD(Jq`ObQRa{z+0{;t&&9WB0}$ zQQhj+d$Xn$(5*)YC##tXz&mi88FwE$JJRIDU3;xJ&DRpCYJ2UsXK%bnsl8MK=j2(; z^1lJpSv2fPz~z`Z|3E~W3Vwp&+2#$N?fls6xsqth?V@wgPHz0vOVtJ<+w1T)I`1-# z8<8W{p_p1HV9f}`Z#_3E`NX_ICaExqd`0oN`o$K-k#>UONSPhcp z!1A8f|MWutZ^8mW@br_6ZS!pggKsn~KsE_4LhGvDza2s?X}Mm(FHsrRV??mCCYN{x z4oh^{bV<$Am%fQMXoFS%tpNc`f0ugWc7diY6e<0DL^(&Bnm_#Mz-W<+j?x?%d}i6j zKvdb~4NAJ1JU0Ri>C7In7Q;FMD@DSgPSNs66Oa9L8V)d;4^X6~y>gi_77kpfK1ymk zuXhWvvq@ zz;``3@2jJ|eHKvOA07Sq(}fX{mmadg`$SlWp87nYn?F9D=+5B6&B?I$Uns)JoS6(L z4d17<@#madNz)Blj#ZF=?Z#ARsDd%OQao`49TRWqSclBUCHG8lCNdPcV}}zHD~@4U zVKf!v?D&d<#)i{?GQ&PmI9h++|2oV z)y|pmM~#5f)5<)(4VmXMIU&8c91dC0=w5pR)9T3{KRk82h)ldc=2Iby8=_(LwI96LI+t;w}rzVvOjlVm04^3B3_b;^bGHhEAUJ zc=*1H>bR9=*|^KrlrSW!q6m|?(bO$tm1&K*8})xoemavnW}*&@Baubm8+Zw>X4BTdJnw+BG?uVlV*kJRlq4J5;yeUL0V{*t)01r=CwycUA98|4U_sJRA zSzL4=*XMpU<2ALlIad;6?d%fXbL>=r>W*2|aT)@dZVkG7 za(04PE_|#tT%GXzT%A-5ds84dY@>4@*DBp(*R#YAE+WH!6cKVqP00g4N!hdgK!AWR zu&gqWz6Mek?}N3EQP0M=jE)Vr#3+#{nf#0l=FQE`I^Pipm4JI!4I=x~U`0OgS^|YIo zC9g!~w<$^p&})4av>%$6aRVb?mrO89ORNz8ziyezO%a|f%T?t{{=_0q*KYPTn%Qje z>vn%|81vCzwTf9Mq}i_srwiB#xeGFJKnkE=t1y8zxK3OVx-Y~B+f-r3){PBtd2hRf z8f>2MsWo$*m*1Lldnnfb0u86)Ty0POaDaVABGMpEuN#~`OJL(* zsWX%rW5U^6!z_li{|0$`zZaeJ9}AP8SCW-^9DDB*2gk_X z#KFO#V;}T;ozM5a@9X>fUEkmBpSrHPjC0;zujlhIftkVu{X@Bk4g#}*Gx!M-%K9q? z9Q;Z`C=8#PK|H-0IPeM_>)_W7D&J`jqrqc-o62cU3^q`uIfu?zJU%{tMWR{uMNb=h z+KfFSj79ac>}k(QB#tQOQY?bqX09Pi6MkQugM*{KHUSPn#sbzV47dk-$3U?iAjwRL z=*F>9>|CIZEHKYp&e%Nl-L(P*u-gvTh0Qx<9ftg}1A_%hp z=x{4lPZf>*e|8MSY)@Y|aNuiU>nhOTX~pY!Ku;(C?tK3DLEKLr^OA9Zg)0=0!2Q88 zuFA7eH|`{}`UD90a9P-yDUQl5#j)-S+_4!aX0Z+9@A;-2)UpA8o+lC_o3%2Mr>0pn z57rMr^kz;r8kaxw2JYo-#10RZ z6>t}q{a)FMZ->1e%E@_Q_wQCTgO2en`9%G+OrTRzW#7XMRAr2A*;CWydTV0(ypogD zraZq#vk$pCWuk(CJ{|j`6^!5J3#>bE7J92B-*>JtWwzLcoac{y6>mI%$+yf#vf=zi zEuoT*ff7b{ad&KP5i^MofR1ZDE%VAM;(saX`Q442>MU^Q12gKwoM1KGA?v0vlG!ED zU5tNWCZWv$u3|0NI+SILSG5lH$u4QL#iPl+&i@|*gH?n;oc8QhTxtrhO@l!qKTMyP z@-F9{2aW%rN@Z^*0$5@hjq`WAT_mx!io6%XHuE$ij1pWQav=KpBrT;*&&npzB3*WQ zBOV&WI+=dlqw~tMrS(*FnW8M(BSQ|V$P}lR~oU#&ti0tTN@%6mO!tk}K(k5R_ zz#EO=9AK4hoG-^pgI(V`IC%c$ksT~8kIrlE?@Whf7%-{Ihn%ytM+AfbepH?~ncC{k z?oryc?RPBbcMzpb*jdQ*Ys8v(xkVcdLA~c{bjo$EFy_x7QOI-_;8e%v&J9NwA8Z4M zK3+jZ4l52gVw0n}|6ozG6rQF%0u7Sq{Q((oGDRTOk^SZ*V!bK0sgcd*xr^H~R|o*> z@14{4gJOJ4eDuvl<;BzW)a=6S7YG;1GV-vtU^_BNgMl})-q`q7-&}FGh33r}^Z#gT zz6%K@xo^+>z}(wBouKDnlPfjQC^shYX{oYu&YkjPpRq)`))=20TKx0I3&@EG<{G@< zlz|ksWw)zM5h0_ChoNNKDJ-k;-)@7z;^8kql9A8^Xv!7P0L^tb3|CAXveC7_F9rmB za+enW4lT@Cz8}^)w;4&kclFX3vc(-3MNjaXh!`c{@7wVb19~COrJ$YsI{ z|HEHY#u_`&)kJIq-O^FBzy9q4 z9Zi%8f5i&U70qXP;&A)6nwltprcU}Oe$j50f~O1q5!BeieiwHmd4!X%5fbd&CQhIi z$rN-E+@7j72{BsBA-glB{uX?D_*A#OPD)YGq7F{h+Sf6Bd83ODLVVJQviWaBB}_w0 zKir3_l#F-AvrFy$MTim`l98*UL1?|(0HE6{7V(G){y2pm0l7k=A{&L-d%pb0>G3O)~+gSy95`KIdXM@VGErGY9ZPD+PKFqR(W0 zbKR}jkS#p?ebIZE8h)|>5JRB_4wj!x>qZ>DdRU$h(_UO7z_&;~pF2*o&nEmBrv)tb4-@fe3b7XF zyae@SK%wsL%t6^g3$00+9Byy7r=yS>@%cLgGz)kb*N`RgP_`+!NOr)5i%8Dm$69*7 zhuBAjtp5>Edg>=5oa6%hqDFz6`=HZ{r1ICO#`C6pOTt#YPY(=v*R9s@h*)VDFbe~k z4EuFd&b6%j;7zoE1y6rcP0-JLPrT}<)#2s1@Z47-pe2H~w(d3$0Hnu%*@a1eG)IEa ztcWXs`|wRk4QE&igBzZ5vPYnhuy^zaw<4SC0E=xD05&|aG!44hu1czWa{L@VyCaK` zS5R@<)K{-J?n@I8wy`Rle41n8Q0nlo=kv)u*3<>HFGW%EHB3CJa2Lrg{PlP*29LWx z3&aaif|iK62`cx#ec1t@H-dFrIh%Y}@*3873JL%xj$jN}u7NpruenopX!@Uva>@AX z?02-m8_Is58GQa2oN;?}qX;A_e_;#kOih@s<$I}V>1&2ao0&k0c0L5pFO4Ob!OyY) zW6WH`YVXv{3>$#cKOj=TbM4nUUXq7Z16{#}4}65M3rCH^$5ft?sV0y7>?seQY@vLb zvi6?N96OKOTQ9zpKdxmXmUhcM>0L7eI@1`AUPAuz>Tqb_K&*F+C-NNbswZ{C)B75pha)pj_S3Gj(v+K zPpn8HhJQdvsGd7liTbmxxv?gXG?#s}NumtlrXpR4&@CDNPJhkOsgY$WZZ#L#Trrwq zQpN-h-d7Ge^8PDvWG&{%SXW4#yMq`|Y%VB$ZzD#TwCp!6+-G3=Mp<(7WyiVp9T9!q z9bVg?V2@OB0g7W_{?@cCokV9_zADqt`c5kp`Ix)Cc|@yHx1&6DI!DdClwZLyK(BtA*KQhnM+{%Yz_gLhfzNMxjz&9+t+5_mN4H!Z5RM7nMiQc|Ka_D0J+)4G# zgP`!r;gX9|ugB?sl_RswG#V`U5E7`M{A$rz^_V4DhF80XmzjY(e)c$U9k=3|+GevV zqV0$Da?3_sGOW=_dW|y2cgv>O26k}Y7>-$rQpYO$a6n!w<=X;I^#u|7%rDgmz(mF7 zTQVC9O+YYsUI@Rq&Ij6HJ5Rp>msgL(Huoj_N&rY|vt^I~v~e zr>lMpxESP37KKW$hE*;=Ki0+3yBCf38EJf99Q^(M{U4;_phH{+3jq>%^hT6?V}Ja3P+m-~AIje5k7f?NU#>xEH% zzG<4?A?C=Hqn|M6HXnKcR^UT zj6oZFw;U8|W!uCSn4Ye?5-hP!hk0<3T`2r^e2eP!VvkTqd-XT5%pIVLrMHw{Hn zK8ZF@pt2NWGK2%>K|!?43q+Ryp8F7FOU8)VudcXejJ=)`c<^#7NKxycgj3EqLH2sR zNYDCF^{Mwg7C)*6t&qQ8PQZ=&{5TkB<$@XShecC4B+FPcj@m|xT(p>qtO8W+D#7`> z`z615=!G}NbPxmFX7i@yCN^{&%t2bAc%1>k9&pCVzPyUAf9a4>U54mYp2^e}VglNY zEcv~2EE3}DJIRjl5m}u9k=k?vqFGm~{ILIyKPo`~$2*mv@>@c*b!sX!bzuugF~N`4 zGd$`wvg7mCyfKwzW&xp87Rn=<6c8}J!u|c8Xo)hLa6FTGK-IXDY{p=weS~LewRECt zo7E^JFA1yJTC z4NAXm(Gnt+{;hgQ<7`nxVp2gKWmS1$TrdjkE%HFM#PQ>`u~Wlg!Z3uLf)NllcDDQu z(!`s2Htcito?ZULN5{t?y%%$q2@!l^q>ID~YLikdzIxsUIq9r%o~#5&zdXH^`@)-L zDjWSGgP7`wkTWc0e=^o=NYr&Y|B#b^jMjw40!d;?32S628YjBl+v#b zmh+T+rN;&tF~xwIGmw(N$(%!Z<5S8L3_M80F2Y@` zRvmG5s4hMb45oA@+;mhtE4UZ54gHiyoOY+lHFi0{4qTLLL`w}WP5ED(Wd>(-_?)wUZ00FZV5Tk8S6f@w5;G?MuR4XF?VUsNCJLcAkgR9o#sTmnKa?a!_l z2TjXip01#bdi{L$YeBr_)iTXd z!*-Ok<2UU#$7E;zouz#sVpP<-;_6>JH!K4J2K-flsIBhU9{^D~cQPFo!8Yno#Eu{r zzShVxGq4Vlh_bI80A-4ATyg;8qj4wod=5kTw?M~ISPG5;kTB6F93uF`ftS-Vmuluu zKy5{JS^8dLU%U`;#M-?Kp~TIVUKOby!=om)ElxttKvyyT3^q>GXLH|?OO(I)m2FP8 zz7p=IsYp}Y2Hp$kHTH~@O53A%UL;%I9LSfE^uIOZpr)sx8Zv&>hA;{%2 zZ754dMn*Zc2JaOi>ta9yAynD}H(~3+K=b%gfME`&5*`lO!q0M(ZI|8#D6o*Vh@yiB znz-l^cJ4#Cff3XSct#?<8nFaGBe~u)1z(rvH(F^5eEpj4@SC0ahw+YP-k=0YchX!h z!FajcOBz;~i@3T1@D&D9JYz%jUvhmIizh$g@zHg-oIKk!Wj02in4vq46QdnoL=>q) z^}a>44c&PpZBK0#&u7}DTRc`fWOtw0S5uM=bu?)nNDaRK-4@vEXgf!gLefsYH_c(z zkgHg4SI{oX*9v#oDrr8&A6f&^HKKRa{Pgj4MepR4DTGxQm=aq`CI z|JTH>T>Ytwi;JRnmWmG(y9&l%DX>)^;r->tWsx;n7<=J&6U253lN0?8tEb!U!2e0R z+rgqDdl{X}bW~ zS)$fp+6$x`a|(y7*Nl^O5M#~S9I2H%*vakoQ613v2EcDi1dNDPgR@esaSw*&Zy5Dva%cJ?v-K<*QY|`O9Ew=(ZJU(jc?sRr~ zvEs^=K1VYGRR@qK`;4alxPkz4_w66H~=jKeyG|ta!q1$8_&0F1NW=_ zLGC?-HsP)#@>UeM`+z-I#7O(SxKo|;%g51cqc^@oe)kSclNiBkMs15(w!W8(`KnVl z1B-~c{~F_QDISgJUkc?!)p8pdEWC@kJdul9!V{qY;UQN5&P72ysqXIXr9&{S#kTb= z_qt>;ExNaL_z3DoCA^$$CkZFW2@i(r)sx@(BbJxMsDnO~1uK6TNe^CNt<-pgota55 z{N}uU7hz*0_>?eK<~bw#^R|IXHtPeU=d-#!w;1SDKH51Yv6+5kdo|Z zNu0y!+OPk-w^a`%WecfYO@QMrTAf34wcAB6S43A5|D7Zf-lEoY_(NDfY|_{75yQoT)yq4fE~C$G*uS-45WDeMjz^Sy`<9rGoaEw; zssFF`^vloOYUi zJej%<@LvWPSnmLZz`)SkN=%v0XTvygf_bpaDxFAfm*ezZc<|}Zez6>hS$U8*2dr10 zvx>uSB@+nVGJPFB9sqdALS2xB;-2%eseTg+M9vX#3DPPMLIXOLy*t%f%t3BKHoeV* z+N>QNB+w#T@g^st022uOctnN*8~9Cui0sRcO~bNrCrt;P@T_Q7XR_hTARvMdbOQ?u zUj`(!QnSu|u@@jvW>r$?!5H#$o+4hGs2l8Fiw+OuZ$54@KVG=5_v_>`-=EPC1q?My zn08(+Geo6~q zOIb(HM4EP9m@DN{MN3dl4b6;HX@yy07*@`XRfpE>mUe`RGHV-~f(_MFXvQWCXkb1)(GapF_zkQZ~vhGrp-#L}DCoTAcV z=TEXP0GuEdt(3s${Cnlt;xx&^vxy1n{^rH--zhCgcOvA-G1&NSdB1{#B*3Hog16!S z$l2Ka%K4RT9vUYXlxYnR6s!tgEvwr8-&z3SJD$=16m0(gLNOmZpW|}tJ~sYHo&+&2 z#|gAdtX2pcH|!3oCt$yXc;fYm|t!r7Bu87T!8AG#0fIAk9H-)ZPTFseh&fWNT#P zIvD4j1DobG4Fi(;OBd+T4b@bnN5%OmjtvKzUO{_`_;f~=&8V%xs_h6c%A$e+X8%&K zvFyv>%7B;OA`Esvk?!YjaoqNSF{c*Ap&&G|WL(g=ELrU?P-VJ-*G}c58>YwiQ?M7X zVS)ecivi$xqZb~4+fj4N5r)9Y<1^}@OOoZfV5d}m*4-~{$twHcaq z#NK(4wKm>7eRoF07)|n!}h@=c=hVQgvxY6;o z&XMzGD*v1>8d|+t4D>ZOT4yvfEuW<6kAt}{ zK}_99*<@{|_O=uP1smNFI&BWwMTO9S-_?W8dqNrbyUAPcLxVWc@B7pz7(P}5v4DrH z!Gg0tn+ux!L8r+9E;!Mt9L+oVcvj725$K=cb#-<7cC*1<@Fngh9HX#+J-93hC<>$sEFHvcBmz0x|hFd!8kO&2bes{H35&n z`ZV>LCbQ3cc68u(Z2gNjpiFOzDFH7+HfaMvxq%nIQazK$c6?e+9%RVBgVzVM&m#Ta zh#UlHnLh_%oPiOD^>uzwTd%u!Wr)5CZy^T$==$~r&pJ*yr%o6dA=?5;p3NaBV@|K79uHGxlYc`htaswQ7)am#WOMzN1E`B<6MdR2Loe8 zI36b$+wC2BYeZdyg7OQBC?a6po0I+4Pl91IdByO+^sk}7S2b#nTyaCS=riDOS^y>`rNRFIT+cTL_{t|G`xQ$f)uvG_>D<-jwcO&S@-_^|{}V3vu;(dOb3@wa@FUUN!Q4DG(sp2I`8Tw`M|2^GtkT z-vvy4!gn=HL%!tQE~S0AHw<>T|>tccWCtm6F`Dg)r=V$S*e_bbjMpAFLRZ^40Nr z26nrKr+ebyf63ga2+pGfZdnRkJY`PQ7AhxUj2`YBiV64Zk%ewG&~hu{HEprEcR?=4 zTzURm;k@d*N?&}QRt~MbPT(q8Y#Ht+hzHmVe{(1m|~w z{fC>zx|MgH;A0lMz>dWAA~wyc%)|N^w{G9U9d)G)=H@#JY?m5E>M!gE8tfSCPq(|K zzAKZY=(+|U{a`STN)9eJnR~%c4`QH2%b|e7;(4!1&}2r9am?kRAeg&cEq!laEw@Zg@UJ4vMfZ|{DWs(N1z=To zTR?)f?9Y!7T&m|Eb!v@3-|5ip3~(||V!$kYNJ7FvaSkMI8Oll#G&xtTR)>_mSL8D6 z?hRa1eJ?`U4U47Eozha-&Z=3a<57P7tI{4pGUUbL5L~uD)SEObi4JCTy0>;bjHe#g zeU(r@06Fc-&GI2d&#CsZT*mGV?ykb^g3QRR0a^35ka^aTZLi(KfX8gD)<*S|fC`px zY~}(p3;9gLAd}Xf%xv6-Q;-4xIR`k~PbaL{xZuuVHf?xA)e#^apKz9~JpFO!^|=c? zb1-KK;P58`u=q8M!FFO0=Iay|@9%6) zc+iXgv8gu%r3h5TTohcro^0Ha8GcQM4qPjd>i9w!J3 znND}nWQ-1INdT#FJAAGr66`4q8Du-(L1b2UE%jKScrj~Yjj=G;A8wy`pye$0gB&F6 z8h-x9KM3Gb{snGa_on-1-|(61tt;l!3`CRQZ&&U*eFVD+Fr8|W0ix1ehk@|~6a!d8 zUlFR{61+!SEG1g!-5!2dVu1{iQ;-Q|ATy73A(6I5!(&~}WuxRLfke!)DwBy@Yh3ZF z=0k76*>p)ze-R_`jsOFy#hU$;*j~9*Wev;O*XdLAWEpRqf{8#gw`LZ*iNYOY0(&Vb zg3m($y^YBVIoY$aRY#B7Bl;|^RBB}}!`sPB9OXLlK8CVVH@zwAf~o_(obtUCt-DR1Om z58-`4us(SNxS<)loXy=`5%ZvZ6=ZuE8vRE0@T&v=?(Z`br2kXa+SweV?uq;VNxrWT zQb}KNLVw|R{~2CnUa>k;7WYwY$E)Z}B^+d5&b-a($WI~V-?O^HlqjxTd}1OF zXny6_ZPWx5bl@d+{2-LU+>j6_uPSBBe!7@&_jwnkzqViLyjzoB7kJYq>uQD2k4I{! zWQ|nSLC!xAWX(6#x3t8Acjl#?Yu2FxI30X!Ik~tRPX~F|6%zfa7#(LlY~MrDd^Hr_ zIWFXb)Q%oXbj{KImP#RmN%p4f>9c7M{<6D?&RGx$zp8Iy&4^BxI}o7x3y;6*4~X1V zGcFU4aA7}G1c}})zS~k|O|A9;LOICQ-gx$yb6z1Y;2v*I&s1Zn&7bu#s!SM&<3vj} z(Z6@I=)KIlZK&|8^qn}Vgy}Ol)yE*Wtv=2~IPkf{|wd z8x=x7wFl}}@>9$^P25{ZETPE&xeGe!;`TWPpaYl5V3qjSaeFj(f8jSWCquT_02v;cdv8WZt-aur$<`F16Z|w%olkAkyDQ}^z zi>X8(ch3u#MRLZ!2SInQZHna|!4d@L43*RKcLbHhI@3$O>6bKk4&8bssC|E*Yw;z; zW5j23Lez)HaXy*R54IrDYr`^(VNy~Ao>Ak9lOMwkqqaVN=M>EGa!A)M@qa2*YNZiM zJFkUOEQ1Yc1*Le?Kvq$iyB^k=Z0&BO}*$uZki)_Ap@s*wy6wos_*kfSFGl4flT&jOluI09DLMdILA(%A!+7CeX zRWR6U^Zki1doRQ84tov@(%4K}LW8STZ!b9@5QwsP+CV0=|0m{7x1b%;i3moDpj!49 zfz7lrPdZ~bK^h91*3~F@fIx5tsHo)ypDtk-pYR!iw1auE_No+^Ynp%iG|iq778^@x zWMcA)P&REqyy7T80WL_zhfJydC3rAVX(e2;rH^#9OK8qwmMXhk;5szSXGxrKQOI$wl!r8`8@c#|G1Ze0RF=( z0}M)OvwJ6S+i?)vpTG-2N6)6NOW&31z4C81P?&+j=>Uu&bSj@7z zb!Y}`iisNIs%@2mUJIW;#AvW!6OJa$yih{Y`WYG82Hf;DCPSe{L)wu3ws&JP*9&kGf zHmY0wQ+^@WwiqiP@KlLUeEv}xpp01|%>~$&ztL0TG%ND)+Y;UG4$OZ^rb|9;$JxHO z{XW&Wdz9X)2=K&~_+~G(uHAhpJ^tH-dEEgMg~>oGTVv^fnj*2 zG`5RZSHXo^{F)kq6#q0SzDxi2ZP*K&#TRyKQ&3O=t|P>hC83a|iT0kJO~9>DZF=h6 z?OokBHPWOEnMkf3`r92K>0cf}ZgWVStm3oNtMFK4AFz^q$$dSTcFTJoH4F0~L)C8% z($d}sac+>-#&IA{>PI~kr@Qyciv4ITKkOe)y&B|U$s2i!-yd&3Zwuy+wQf5-o4YN- zLjfF%T;8Nz5EP(diUx| zU+a~OXYNG;wnNPmij6>jgDryrS7zTd74q$|3EymQ5B7Y1ZZ0#{xjM$d4~E>yb7dM} z85?o9Rjk|ptlL0{=`ch_EGIv4W#L5b_-%25=Q3Zj=lj5S+9I?c2Ec0v+HqWlUFA%j zFZcGe7e(bCKG!1kqi+QgrS8XE+}v^*aUGW5;2?>v4|IgA0na$ExbRM|#D_Am%{=}d zs1<*Z);T9!$T^7YWbv(N_C&9yNw@2e%DOVw6B`kc=?L3!O#0jeQc1LC`G!yiOY7iW z$-TE7kHGi|IjG);>(}n5+iYHX_O(b#N%4K)<&<-NwC4FVUq>_R$VOboKr1s5)%<$zs8SjyOdw9ls5l?E}xV3Sic0{oW$)&GdV*|z!Iswi!ot$F{iLF(HB{EKdKKa ziGAcrOZMMC>WRp>4p1z>(YkeEeRsw7_v0fCkYVNctSBjovEopE=;mOKaL>j0`J4>% z8^LooSja=lov$rx<4$_!0h@e>MZl5P5A3Wu=-{21?aX#R`D8m-vH2 z+WLokPu*~f?$w(1goe$QFkuoExvI+wTWx)(al$~$9F2+l;}XbEGmfP+`x)QDv6pw{ zZ?}i1Ftd7n3X5tXI-fgTaILz}(G^GYJh1w!M6D*)zJSp<_5x&|D?7hq&c?MTJ9FK& zNkmcgq)JV@=%-P!c+FkiWqy=;MP9r%k;UNX(l8MN{`Z)o{Lmh$hcrRL=3xNHsr}j! z-q2?hCxaF{4s>_d0s@pwsx42<&qF3tu-{uHSEgK9AT+H2iPfyT)41r`=~egVH$=Xl zoYGB-1?lQ>N5HN9_X3-#lCqE{$+@=6c~#icR>{?nIv3_yS_?nA9QZM@<9aWta~!zcx2`srx(#)?%o1nI`+t9C z==qR^nCo_F)Oo9@`tN8Qgw|d*YhsHwtgO6)k(*^;)JioGb4Iq-DdA6D^WY6y#de)t z{&=Em=(xMmD+fh*#;tY?c9gU88}8dvOCc`5-g6TFdd$t#k|7y1QVWvL3!gpBwTFsy zB*_aYFEuS*l#fmDO2#Jbdp_{G?wbvsAOGbjW^3H1rvv>zc_QB!=~J&qSJ1|uVQ|7r zTRbkq#7}OSlSdGkKOr`LqI~Q+1Z~)NRWdr2R!|s^IzB%SN_hPzFXMwo@te|Lz_JY2 zaoXqPySK+VY5T2h;~((r(>FOGO7~S+p}nOWvm`lpqB(AZ0Afy+jiseW{9j_+{ER;B z+mfz9@mJNAyY{7rFEe+dQ;Q*`9|0;N^YEeX#(2?KJbG_^jG|sznZQ~k_`u^+F%hUT z_J;HxmR@}u{%Y3L=I1Two*mzNTvuA~NFm6sI~Yk;>No{6I@nGD@YG9LkYWcjx}A5| zJ#Ocsv%Y=*G;c&eaNI2Pv9Vg2`0QCZJ z-`Qm1#s)fXP-p-{^2#3o>w<|TB_%q=x^G&`N=v0zf3d!E<)UsE-1MweCE*_Yh`GI# z2f2QDE^f(r$Rlzz8Deh;Ne#{+7!b`|%}aqnQ&7Hhhe5%0f4_vT4hvpV%P3u|Ed`;LlQn!BegmIgnsg7gS;0q=&7Sjrp($$v zKOh`1BP|wkw=1LH51{BDw^=$IC7D5#KT8ySuQELiK z-SP7QCQnBc{z;M#K6~J^bCd+cutl~u;;czh_zooG@c`Nbn*O}84wKSU{&j)xc2rbb&ubaOGhvx8QOAIYVIWHMaqP_jW<6{o6+Gmm(dhXA8 zKMnhGiS27w12JyqpM82XtSTM%hz=et-YOlzRil z)QiivX;b;xgM%zY4{#(0i-LOFFWWxS901$!m0Ule78e?#2TXtF>)ap_$v&4Gwdn8{ z#=mUGD~}+VE;@RWM>v}=NXtm?`GlK+pT6`N{Z&Z7f;-|7?w1PWcym1^ zPhf^HNSTDh;Gy#p*e@ae2~-l;)<@myIGu|QDW+-(67DjkjgAK&+#>L-KMC^lD_Yo6 zVNi2E?QZEdQk7BVeDLT<-s9*sdfFBtP`X(U7x(}i`#)4^YZzf8YUPwLC8vhmCgW`8p2E}?fcd-A>Rdp~)- z4z!gTfcl7Z<_6 z3koRt!iyenxA{gs@UFiGO680A#lSyr+xKJTH+){~L$A3E+#7n%tuXPwwE!}5a$mp_ z+rq7DzPD^7-$ry+mLfY{us8|Fxu|J>gjx4u12lvr!-$N5uE>= zzh{ohTvbH<)mBvomByjBLqlR!+xcKK^g4{9p2zw?V5pB z^-YS{$lK7}zX6hMUb%U=y1I(MT7T&#KueXRGxMufLqoLoN=t7)bfc~gt!rR%Nu9}4 zOMK(PVrkKW9g^ea-RkLeAGCXgJITTX*^2}vu3dRZJg_g#WDia!PFq`B74Q%SfxcwA zPsJL0eRj?6J{qi^;(f=*2o{r|Qd{yX({CiMOKuQ)iPp~VnMc>F#LmXyxNa{2qXb9C zuYOfildyPhiyyxp-FbW6`uZV7hQ>j@dByVfrCAqgovVkTw7uReCiH z3z``?*o=WeyZ={ZrRqN!G3vXLrg==iot{_JdQMCb@QBY=KYpYy$OvFj^+001I7UHD zMR+Cq{nmthYq4N$oAiu!iaQChkUZK*(Yqz3eqwxVR)onPkm_^{qRpb$>R0y-zZ}MB z+;KOB%nOD|GPJX4lzz5EG4>K=EUN5RB%Aj`qNZMb+HCG1olhnMsp6w9k~J2YqC83J z&%pP669hA7(<3SP5~S|=VB0TNam${9OtDq9wFT_f)s853_u(Cuc5O&S+konP=W#iF zq>wn9Gj?K6TIhQ=Bsf0sAyZUBchctSUbgfNs)7C_TT7ht?0XaDAkXi4yhzylBqv>wTRp~PlHXN9Ar55~@F?ohULxVWrU<;i4gmG-8Rn&!@DQUMsX?yqO#To z&}UYJmQV*T_kVWumirQ}+(Ii%H(bg~4dx~Y7}NNIA^AD0SSI1uttzSToR+uR0>kFw zX0+4n0wh9i>|jvNZX?)D6Ratd9-`u_&4?6B*rr8|JPZFs2f zvc$Kh=%s)3gg4hELf`{f1vl%b2e#iMnbZ6SR#*O)eMZiHdnx6{Dd{2CmW z$OGVMP74Kl1IoW-{4?0qj>A&la0L4J6p)JQ|9E0Y5jfWbVhDa~M?sf-udII7;OEO2 z+)6c3k^b~lT9pK`QCXQi282Nz$Y=r<5dwkATFzJ%_PVgON{9L5I|rT=0u{&7x7}Xt znoKhH38TpmS%XvNzj{41CEQD(L_PDV(SB$EBj9_$!2N0Gv6-T#G6r> zQXWova^^6n;Z`9!Q;}Xl)C(U?CK4pv_7oyszF%~qel2P4VUp|^jvC64&P0!8ls-NE2>5FGh31nQP=Jh3Kz3RD- z3{62u%Id>R(_3IfoGGMLJZXQH9(${5jz+u=av#yCJSW$Mp_o}Y{T0KbQm_!9neYpH zr3!IO6_nvsqShmJJxEn{w}}?P!bltvLMFCB`2YGaAm{+#^G z>!oU4SIch-@r1;tqs_S14Qq41{g1GfroZK^|hT5 z*k)bUay0B%&0Gik`M-Pu0)gKVYo%o_`)bWHf!y^EsO+z)m1Ym>$6;zO1rnobnj?-_ znXmRN&}jFi5r&C%c$ZBwWSc#IceUkni&?TQAyU4XP!aRMsrqkf)g(#V@^O%21(x8L zwBRX&N&=h-8@9U9aOuh`R^sX=ye>a6krD0;W1jPkQ^-cb#NO@ENM*dRZw1XgSt!4d zZL2PMux!76^X7-=DtOczXykqCEq2=usg|S?kXL7kk(4f3HsW^<1I*xpS(-@OaJv&F zK_RnGZ6-*T5Lo{7RFj)y52Bmp>cDE%A$#SjYspQ+y*ZONSqK}BiZlm%)`pW(KPDt@ zcXyYgN8!WwhSFVL7>nU~@}ON!_JejzD<{IF&P~RJuSs=P>X-bk0sof~-(OW!L6cRi zzk^o?sKhuj5NqpyVFaaD&_J| zlD_}^^vRs#zB{-r7-II&6>a4?Mw69stO$<7B$p>31TnM!xx81?5lkK`K9WF=eu?mF zXYPRCx*`G@COr?r-$xWVl`!>0GrwSzu(9^Z6d2>l1ogSVrFprEK3mC6`z#`E_R)Q= z9=d1#sk{Hk9|(vXF()T}Zcv*o@mCp-Q19q~gW(M^;Y|Y3esDpCq5`jyuw*#>rngst z7ZSt_d~Wv4`Q~Be5(Wsg?h5AEo`!A_4HJ8H=_{xBEIUq0?e&pF@NZwqRzJI#(lTdQ z6a+1&1DINj!LTbVp7o%|5~^gCrOl@oo5*T$_LmVmFku>z;2)97Ujb)3~-$EKE&{zQ@>;s4&Fv>^Il{&;aKTkR`r2 zf51Vr2BZ92z_{$7<&QQ2R;wl9R`EB$SW=~PQQe2 z-pye0etEHLMa-2{Lo|N0O4H~yk`f-DWlQwrWXTTBkQbSc7OM<4HZt;@plStch>wz% zUmUpYLH4#8>~o(HH3n#TOxZ~-lV8*)Bz2LQS$E}+=d5%lX^SU#vt7DKX@lHvNph~l z)!p54K!m-Zp~xd*e`=twzwXo&J3!as`B~1nx~{6P|2B;?j6HO*AQQP-JH_IRbHLIA zP5wLcguNecWQBo{dn;3Hu$;NodnUOt%Cj>X8uK1+3Dq3*M&En%lm$uB^sG*ms3}{B zBG9UPbJK^5^ncAZyj9J|?aZhxdO^jLnGdkJ>8R1dKSF`RIlcp(ZkjINNj3iYd7Es!~n zMVB4Bw~-R}3_IWpoX&?RndtrXR4;w$zo*R$T8cS2uO3Zx#Zk-dCf;AWDc5|+zt`I> zSUEx2Do{=vim##Q#alFGTEQa9prZNz(Dj#LQMPN}Fb*Q1QVL3kj!Fm;L$^vPAc`o0 zbW1aIgP?SXw3LFF^pMihIU-8;03%(((7gLx&vtLy{r|tu>xZ?j4{LFa!+9RZzW>sK zsQZh1_00?omFT-EXqb-?$c!w;$WITlI>EXT(+}T0U`Gb=t;2H8WIki!ybbATV48cL zGy#idn-5h}$oM+})%i;m8yeSWT{1T+%gETbDx)uFF+4RAzs#=fWgya~H1_fpVgn-) zbGCWwm9Wp~(ohdcS26tdx68wut<3!CcYCKrmCTdwy%i_bnIvvM(`(6(^uxeATi4&M z{$iSz%*iryV~z4(6*Qdb*7EWd@e3el{PjTdl4x;jkG zLv=J|$$||c<^HMvpFageLF6z$`791;naD?W^Sy!)iSSPwn)8e}94;o*5jeW{EwS># zf5-4$(Ma}STF`IvX-ew>PaWQNfC#-mlL zoD-+T0<{Fvb0t%5bH!6W#v^)QJLB6TJgyw9B(ZDDY*Ur~%^F)d z7hI(@hFSG;E^0eGT-_M=el1DQ>_?Q=fIpOA&oaZ`zKv$5EZCwJI6ZWpQC#PjkVANL zsX9$Rrx1Vok%76gNiYMsVSs)ldOKToX}iru8UzA0w96NCILBU|tmgEZ@$vKX&(6%; zk%dwlm8?dXS9|RbLHps#|E@W@{kPT$R8wr1=-J%JETg)4D{I*?1%rUthly~wY}bfK zT7;WZMvKF8E}Qw%d;QLOrOepIy)S>S;y8ebFV=VCEu~t{oJSj8U4XZx{I9fDwqvg>0#+;0})5P(ui`OahAc0U7#*I#lf4u+84^904%+wrLku4?Go zJU=o4L4E4(Ux7|``cXv&pY85^u4LTmqR+0}VnlV^N2W%#s$(U%slrIDOd2{yQ+mlr ziCOj?DtL1rS;x@M9r`Km`~1!)s+>=i+G7=nQX##g70L&yxY@r!M||0$YhI1 z=lU6Cfdg@lJVaAOte=1YKYtSS-q|p6mUB19hwE6n+7Xj$GUWWc!p$LdR=K$XZzJs_ ziuSl>oS4|?vr_A9V>`@|hKs@@4>;qQE5>ZfSg}aQ)#~wr!SnOHvTyHROLyxJ$`UrO z^~>sO)BYx!Jk=G#)zEMzhp$uIbY<#L@XR=EYEpa9Sdivxs_`Kr=nCtC=(L2@*l4-_ z7HsB)0;;2iiGXi^er<~bzE<`uBQiMD4m_}r^0lA1Ur8qp%f7o+@AR3aqNSC{=#ETO zaiXNQf6I~Mv~bF>j#E_2(E*;#42-795GSXl_%Y2vtO!6%ChE|)EL>?lU!zV&>yPxf zCMoWG{z-FC<9W2xqszFWqzIm~X-1p8jqc|DaIaUauGjf}Vd7lHfQw7}5DBtw#s9_CGjPemPsCjA?*UaYuR}U#GJ984Gyn zJIg4u8n91Fc1h}~4x7asSdQS$k(ymQ@V|QA2)83o?UNgctQ7lj>Q2aOF~zN9WDUY^ z+U)wg`tG63RPNL)XMBfZe)F;@TeLFZTYuCDwQxlw5Bp6zaK9Xj_|ez>0naFGV*dvd zGy?;}A__Em6Oyg2&QYYFPt>2zn%_?6{yeyJ4|Ed0tO1||q`MS)>hEQ9zg7DjdhGm5 zBB8r@%iP69OK~i!(35k3*Fko%!NRpc0^HLQhMdpRt;2wzvD$LZ*SBk|RQx7tr+C1#-dtg9m ze%$?8p*k@3=I=H9HoL@<_{8n@ei-h6bSnh?GOMF#vNE)2Y(k^2)Kd2+`6FwCVE9XU z7r@(LF2c+s9oAGH2zC$L-ljzPsJ#W>6&}7>&78iUHVp6!S=|19II(t=8DlM#q3vho z0xVd^+5m}+64 zyrrl}D2`M4xP=30tk*(r@+@$nQevKRgwbxS#&UOHp>mtpn}hK@INGUMf=1P@(NgO< z$Mtr&c=oOBFds^4i0SHfogv)0!12sKU#eqZ3CnH||1?cHT5%ULb}<%pr}=ZRL*?NoVC~jntJHZ5oDzEYH-+1uIu{ixskK*?NHa#oP$=e3m)Qye3n14E^Vb&!QUfC&wAI5w2p@_ShDlV)yGFSMrI3H-VYTnBt-ARWOo^OYvE=fY?1xR@H zYyolhymRkiAXV~$>qtis>{==pj%RW@Mycdi+brW5P~uVURCBh-=uGT~7fP+E(@vjl zsW;zG`4%NxJLexK$`gB`)46a=;DqAH54W}bzE{iu>eZ8+e;;G#Hqp_vma9;fT| z2Od;d&zc&blVoDFvGK*V_>2jOb@gP=q1t#tWAXUK3Rc~?>g zOVL#KVBlfNGMVoMU(6oJCvE;gCeaJu-RV%5Imy2IJMZ7MVv`NM?-_zSyNAxz(~~=3 zlPds$=ohl|!cx$n$xM0shu4!j%tdNm;3~tqqYJ5A!hO~P2DSs;Y*>`1`c+QN9oby9 z`Utq z6b{Cl7Jp`;zoyi9l#!KXo6Vsg89!2FVhqO~Yz2njAP(ueIVksUI6lmDS_5osI3czT z{l-P`Ttk`<3Uy_4bW}=g!S$JJJ3#pnE!i<Be3z3$?lh~Mw z35jp|8(yq9NG9d}^yyO!VjuDe2sJ%n-Hc4y_ZU)dB*71J2AKOos>4q(kQHD)7#4QH z^;5>CZD$;%)4EGe8kV@K&Y2SuXj&}s>ACYNsdmu1%)_gL6--z;bxyiA5>we}6eifL zmsl^EfhG5Z>cPSmS$nLK^6N?oosc!Q$kFb$M$WmJ`UB8J%`nno*EP*X8F>Cc8`*2H z2}|D_1nRk89Q-SQI8Y(q)I%S8I_?EVX|hSU4gBYn&0#`PX&md(>xxD#0SNF4d2S_Ff#9V^!eaaDGw z4bym>o`_-VOSq?0IL&wody4g2%-(C#&UIdjkK@J(FU~8|m%c$j*PZ zIvV+0yE8LCHhww}U$hmylV%%3K|;!jR8>=-W|C;#g4 zfdjaYosah2wjChg1G-DKx=L!v6WE(7Q6ak;pRR&JL!(1LA3bc>30HfoGO)UktyuE? z`wfKfgV^oL$nHDDQ;kHUFKP?^I_5r#152XKGI(L$z~(qhH^sL~&=z#Ttq#nlt5WwB zol!`t%KLOBCW4~KN}OMg8uPjD`R8Oxk=vejQwAX_N1*O?5Y2+BOn9D1<{~4><7>C{z&unu;oZ&V(8bPB z!G9B5yqbq@ICnw?#VrKC?-BSp4A$Qq016@m`pYa?XDUQ zm(`jorX63C0+K4X{pCRi^afr-X!+6YF#u7yj5$`H6I>lE$rDVr_q>cjb(Mfzh@dKc zIJz?O5>IjI^2~%6hmLr)-H~o7C%=!w>-$gX{*#wpXvq+_uS?0PG^g{8%3a+v{VA|C znq6L{$i%yYC_qj^3&E?f1xM}ILyVVM;;$j;N@4zjlZdnHPPRxOT@o>J`UnYArMzn#2ulKOQy;;q1)eqr_zYd@kZj^3uv){4nVb7g9K8N_>J5d+a3Z z+qMe^J1dtlJ2AHJ9LcNJK!w6TlDUiekf8UV0`4$$)Y{BlF)I9hWC3SJrlp%0Tk&&G+WnjNi1(1wr%8k#O@I zBFFi?wOYdmbatCnVttGaC13v7^dzp98dtSqBPM^=-Q;ZzfY@=3zwuNYbg9uicZwxK z2@6U3vlSvP=O)Ly>gOm!6%17DDmk97Fmg?}Zh4;k5;%HuxLA0+I5zZ70NSUL!4`1; znYxv#(6FOY`YL1|r= zF9w0hObJF;W*=9y`_eyU!M3L<*}W_db79T7-w>?${5<1Oy32Cz_Js2(*_fU(3^bkm z<^0{s@I$a6&4@mm&nYgP_0Gl22Qj*#NU&%AiR4Fil|}bPR#H9h&1&QHfknXrj zv2oF}V?(?;&Coze-zn4KvsoU=*Q+phbNf?87(D!fN|xxHJh|*1$&VeNJ$dNu&n-_H zr!)dU!`cou-X}bgP@hf71FuG6%d`_W{5Ou*rUMaq1ncg9V3r6SAd7=N$JJ|fyz<8z zv2##RjzC&`E+THZ5n*@h2XF!w*ZJX`7!C&?a_t0f_Y+H>-AtN`lC}*^lZ-W2DzM$k zd~vBy`|!R$C*KkIxendWe~`MuBWa~Z6>D^U24HuICuiUy{^IO8+CJECtusGM^aWc5t^afImDbFaMG=i zERpV>K(%H`SeSPx6YMI3Rut@O62lkCvu?K>*R@yMQcsN!7;}&xf>$>o)sUvMm1<*6-4T)m>#e;QCl^VCpFpqKU#o) zzO}w`@=sCb7iP@+Exuc`R`(uTo`hI2nE(Ex9CrKvrafdW`izRUVmS$}59d?iGLIB0 z@g{-0{$?aX*w!(p?=|Q?lKyOXK09E+T~(9D3sDcaFneIi>&vNpG87S4Kv&c+2U@kN z%F2hla)VXPCw8QkZ|O}-P}Ev>aZE|I8~Uo08^OLD>ejeT%gB-qzB=Go_YO138w=PpM+h4ayoB7(8+0A3BxZlak*zpdggmy_k8msaBx;_dKzV zVr|(L^L78JVSfl|B+NE%?^O=l-LT+b=dAI8gUd{xj~+}vOP=sCzP4rS@O6T|D(R6A z1f9)-|8Y^t5_3u>>+o1djJlp-K;gAICvGuw^d}8#8>$rLB_wx_>TRJ}JxC(pcpAZ3 zq9t+Du{o;pwj7yO#+kb^qhd*(eUX z2Me2KYNKTEx;#E>m=<_c!qh}{eQa#(w`hevznWNDqNCrE9)Jhig#msA$ou+3i9KFH z_}>ivue>6bKp`xdI%I8m1ZUjWQoMtH(tDHbHKu~%T}w%47s7@{V8{K+vyh42G65P3d5Gt*azXeEzs0QR`doATx%!bMz!rZig zW_2*_N^89QLQd~`SYrtF&(RG^xPvmxAIR{Z;i|IR>Wa8YbZ*f?wWFltJN*mmP;Dlf zu+YT&H8GogVtiRnm)e>%DFgyG45=o` z82=sg$j7yDl9bk%b@qQ|f{cGhGT?Eitu5(ed+a^Q$!3d~%<>~ob;!DVjrA&`!h)`} zWEtjRXMg`yMigMFbpdB6W?tr_gccBp`gMx>sl3}m=HSYgl#%((dd*X=lK+mGC)EsY z62LDjkoC{e98b|Gn&0?lr;$VmNV#yKPpG)}9Q#vhqasX3(}Hi>iq-ICit z%|^(Cv@3CS__kljd&btN=xC~Yoblfs(9`xyzma!%V4t zFsV0E7Gg;C?nDpgl~>f&)w!1B!3hY9rOgYA!fF~hwH!=PICh3MROi{7ZSRtb^Qpst zF@$xiN()8PPFO#TsERtr(Zx=E&CATusRM`M(ssZxT!B? zd``|)tBLmy;NJ+4OsU8SKz?++f~o5BKU5@KP{0_%^b*#d@WTkKBYhp%1hfO;7vbLIl$T8eJkB1)ovR41c~&bMwPzJXPr4^)#PxZJ6i>W6voeV~O;QJl^(ouM%(i$lwM&n3O0bz%!SNRbj6TVgFcBvV&c#1Xf9O&!G-uGD=aD?Qw& zT*qg{n^oK@h4}m+m;ah4AB5`AA-9j#0*q=4c3FO|;WHk6g&}lNv)t7wZunXFo21va zI&FLYp0X^IWczi2D;GTiY7E2G2R|r@*k~j~Y$<(QngRSXemS~}Kl=k$9U5N)n@3&- zgP~{R`*;{*xS@g!+ZNLe1ske9R!*r>Way36v8t=}P}si0b^zqd9wFz#Ga52BS%U*p zrBGYUlpXiu$oo?hyxZzljC_ z;r0p#>Kp3@dTln6bF>p~d+B9LX)B3;KP^LXC}uEC-n~?~@>=}eaA83~?*h>+sSJ1X z^ar>yEHeZB3mj7|c!E@4Dv@e#ceecDO(ryZSOiNJfMaj~p zVqM?ElCT_7z1`2{VwPg7tZ`qQ+U7TQcXiAjDScFZfJ{yAn&n64+7sl{!&WOFdo5i_ zFUf+?(GneV2aH4+l;x~#b9B_tT>!F)?J-C8q9x?z@#n~8+8ipRRSK1}`WH%}K0*fr zZ96V=n9BNhFT%UUOdo0#ooy>VWN8g=D;~D{8aPk+1Gr|0hjMcuWKs97K`7e3Ua?LB z0T&Ue1m@vsTpqMKQpZR&W*gyV5{Qx%V3Kk7@rPH(-y6s+;xJV;W|$ob+*fHKPz{~ zJ&|soK%j3RY7EhKkay?|6*IN+t?=3szV`ZxQG3~s&>AC2 z1X(7~9e(S;6NP%O9Bf+54P5UZvjCije_NFXfZw={jo5&(zSwo&nVImFO2HZDT_-)% zw6>ht*^vWC&g2Hv`fG1A%xKWsq`gO`i$QG*ZbGC0$QoVV^Q3>emZ~l#MRX(4cg5BS zWT=rkx((OeP$%v;8)e^7*BU%f{OJ7Y@r^IVtZSu?(d%<+Mbz&laNU?wM$danF5(FX z?hi6qV`_Jj-XBzk=2J+e)^c9t)|naKxP>1bNsF*I!1-F-*=?a30?ld z_O~xewfzfs!5D1QV5%U(NSRJ0CDwZWFKZ#ygEKzjk{j@yCd0${iE`bqWE1AVH^+^^ z;g~RC6_&e(T*ym0pb0sEHW=6Vf#)J34lK814WdZfn$VxbGc?pd)tQjBwdFFd2pOjf z#c-ySl$GV;Y08OwHYGXjfh9T6%h$F56Ds5tD4E;5UUZcj!NDdG&7*SPUhGZLX4nUT zN_o8-?Kj@?xh97zndJuBrb5#sTO|Bc!bzSf&K?UUrX$(39;vnST!MG*h{m9>%*}hg zh9Dc7m?UgOlPAY`CB<1N{)Sss4p+6s^lvxQiLa1mJ$rA)4cw6sM47>$eRk&8!!XIR zHBO^Y7r7$Zr=TP|Q$%@Qt<$(L<-zTpXr=W>d^DD)hD$WNOjFIUGLKN1yrc3QI&%97 zYgTdhBL}FLrWL6ijU`w+@pX7v0Hl|#ym8nzo4_JrupaXuBzYaG7oFUqY4&0l^1CwdP8oT6V zumaa4B#hR-w`Vv<%0x+aLxGT%^hwzlWXFB{$z5+$b7!FfBfGqf{RE_xd$U~f3;#mh zNUKqnIR&)=5V1RG&H>R`dSa)h*C4zRffRi?B}I5N&CZkQ%mXL#ZTeMV2j&vClg#)p zMZ{Ga+Y0ME35V-`NyYngUAgC&tOYKe>rHZ+{*YN?P?oB7%lB@53J^bD^(N zzGUvEV{q5AFiUvoO(b~75|#NF_q&8*;Hd^A{t*|nMAJof&ovboRCB`3zs9ifBE2OI zy*O&OOD}VhA_+EAR!5r8*vR=0+j3^?>FMe1;LsxQMh7pvUU*!b&@ZA*DQ8rQn-I|3 z?Bm#Z``(-_^ByoPO6W3b- zsit$zx(6@!n%Ld-w5jUBNG#c%4iI{Uc0)huR{>`_KCEn5j$dp3D__HP4H;=q{hLQ) zzfks{Upv-Jf3XlicI9ThGHLOnO-&S;mD@bT`BbYr47+j12+F7$uuym3A?dJ77z5C~!ADS&-pX9AY$ zml>!R*aJYCZ|~ve_QZcLIY4)f4M~4-oghjyYqTqs7;eTkPf99OBp%gmTlZBLRa9^V zKycvuBck{-7hS70kX^C7Wku{379@AxT_(TG;lyEdwD|N1=ZM_Ze;pNadW9kbC>G8c zGgnA;xp~lGKYaoo$F>?G`eOT;hUG9NLst$rxnAkxynXiu3B}#Nr-y_u0etD!OYQc6-q(ax za7F(~#!1fmsCdeFDpUiS<)UD&?QkCd6r?ogDXBXGHD9z{EE1`QfurKW%&}ql74#nC zt?&v+3%FxMbFOc*==XhomO1Gpj0x!&b4J!2)B0PR1DD}LU9pex2Y=)5CsA_@s+6`^ zNGmB4e8X^t9!;M3T|m*9jp*~#G(x-gcTSWK=#|gr+}^u)7!xg%Y+$zADLFm7tNOL^ zvn7TkI<2XYC`#5`3oyhDPnoA5FQ%#Ww!C;_{hA5NkeJA+(;7u3#=@!2oyj^y2$Zp} zf0o|~g$kP|1aTm9a!lPR)`iB1_Pqwr-eslB!8EW}SqOoG{`_!1D2XzBgD*E>V8CAY%V zUm;G{^!P4zCHOI2rqn%yl99y=IK-jTXkxU2-VjCZfm~0&+WkIVj+1)fN&t}4c48Tf z_E7=+$!Xv4U8y4|J_?ZonO%MDTeRW2oX-Eky^JWv*WW1;<2qPacMtiT1Ve%A;{PcZ+Hwg&9ROL@Dt}D+K1_|$mW#Z>NCYE0ri3r z9F&83_Kn7;KSc!I2UL^bSyfbo%W5yYW}}s5Bo+>q&?6J^GWd*62{PAwBK5>Q)9sx3 zxwph@55PnH_(tv)Xb~3lA>PZ7rjE^)QEGSZ-P>kFP7vupuEjt`;EC+eeGeG#2S}#q{%L!D0q>V zT^IW1uyZ8L+CBq@gfL%eLeqk2}%kqganIr4w6%3MYW z-;gaBwG+#I2=X4$_>OjC!Jl&Q?=2exn+PmFk9j@Io+Uzhr1?v$Z#&4XAKo!e@=3pX z{aUT$80ueq43~WQkbFn+ove9B=-{aYN4>LwYLnCYFYi0;w!mko*%`3~OfHAx=q|zD zJYlmcU2{K)ej#U3=xP&rX|M|vV#=w_QWUv9>2~oqn#t5+{UaQAm;1oX&(EOMtfE|U zk|qXkV0NMD)L`kP{JGkFFO{)fmjUYniyoaF$LFz)E+gI_?R>f)m9Puf1TWjur{X{B z#WHX#Ra>U>m6Sd!3NF$(0^Tn9KVnfdYs)b(w|Tfu($*bd0zh!=lOZb-cf-)hcf-O^ z+8+lZQ0#S;Wr<*g@*$u0?_@JQRoQnziR`SgK9Z%*$@T!m7Fx=$spKJ5h?+NQEe-p- z?-O@~$cIk$cy-RXt*n!pu%joz{a6FIh&paUTA>pu$(q4g@0dIJ3v{$*1Y1 z%RaKn8?(q{3Hp*u^vP9!wv`~w4MHUr0=fNeG+p4kzr(1=^{QB95RD;qzadtZG)}5Ul z*LZ#efn<8}7*sJCD1~Ty?a#hf$QUWqDCT>T!z4OJ;hA#qUY-G#6TtKMf{m0S(}sV$ zk8jK+h%W)d+5s7ak8ULXOKo`9aE`72yY3gWT)pP#aa@W`pxJNDftX8oewN445N*8! zQD$zK1nPXNXB+~W)}2YV`H;F>-h0PBxE8cfY|(>dV#RNr{`ZrMqg}@-1fndq(x90S=L4k zT>m%BVd;TcT*1Wh)z74Gy@V4}CemvfJcQ=Lbe;k(WZ@7(nSOAig~yrCv;jlyPbNby zc;Z*;%+hN{Lj#0~qQlxjAr<;|5MdkK(-xClBY{EGxxujY#npnU8 zt^N(Pw#fe$bAopFKP&E{sPr(F6MO4j9q|ou{r+FBs6y0xvP!xB?6|?xgCEL`uIqJn^nkUQDKNQd9_ zvdg=jJg35{Q&*%Jk9&n_cs^RbGy~k3qB*m_MPb{fY$|jwc9|oYzlI*bB>L2h6d!K; zE>b;fGV6FDs}uSPa|WmJ4OV>fqJav7$~k>wWaCe1pO}k1(4DxIj9xnv^_O+uxQj+l z=hGnCF2?UY4XSO}ifNGH_~!pSe2r=1hJwpvLSWuHcShi^*u+_AuO29=Y~MWLOOnwC zf7v~7uahFPFlxxu$F`!5c{3wMv#G`=#^MWO&{1?{t^TCW;S5M2UAKrMkukNEl}<0o z^@f2Og!tSqPuSR>iupR_RgTLHGxB{i-mr|Qof>*g0u+txkpzQVrG1ns5DnZBtPe=I zLP$n$nQJSsPP$Z$jkyWVdnP?+(Cw5o%(5ME&O7Msjj;pGn8(E38L4GADkyd5pX9@_>ZgD8nF9IOQ{U}7r^f;LU|2R!kIsQ%|?uSq% zM;)~eCm_PuTl#tX+1nI;dSWP@hMH-Sn8e1Ca4J*87Q1`e^KP!R-3o~YAC^zQOByev z;VbW#t@+a*(LZJT(K`(6I^zXceGUnl7>=XADj=L$q&7a9ZBDt%&xJH_sp9 z;*24TOMu{tG_uwdEN7_b5xR*uA!nV*WkRqx?+0sy4>zM=ST%7^gm$ zZ3L|LfF@R=u<9rA!$eXt$^wQM)W43~`sm5ErSyY&@%k^y?yOdG{Ei<5%(|!0ekV7# zs4zqUyostelI`W#^X@xc)Y)@n5|ap>?w9n#n^L)TISlv>n&c2wFq!xNQT)G?ij3gR zu(?;hp*4`*_WLu$?$9@ZN#iT2JEV+$A#p&ZdISbkxO!gZcaJzQ0;8OZi|{~NSyZxI zubPSMB0_k{8sHn4rU+8#uu8WFajEGyGeJ0QeF%S_o10;hIOc41tf7&ShfJWDu&fV7 zew&#H^OrvdfB)VEjsARR#ndq*3P1vZB)toZZTpcKul(PYbyp~DC^H75Kq#|SEx+A% z=+nj+Q8YaW$B+H&?eEv-!S~s*Z`Z^ybDG_#2e7^Sf~KRrdu zxqnl)rrejlctZ zbbRc`uFNY@EHx&;ZC+ESyh@4hvDqOXj{;u=zisziPv)?U4WYCn=vjtYLV?j{Cw z)v_1r3h6Rc%fUWh$rSQ!{u-;%NgiI7{~DrzjYBV3S9y1pr9NOcGyr5-gwnKqLnX~? zz#4erheyNSyh5Nw>6XM>(^94QxO76U@bSiE1Of1kq*{C1euyr;#Fx@zu}x-FZokv& zasY&si*xkcg;1~X?)v_52M>P7bMgX$47bSJin&pp#=15*I4xXd7G>6kS!~qO_8O+0 zlS;rfItxw9;KdOp)Fjb8rIvlEYlGSi#<;Vs3F7QcNd z`TQp(5`K6GV~tbQlMIN+uJjK`*1w4kj?HfO8c3>Yz%QCv?AHv}r8CM?9LYH7FOU7_ zLm@~N2^zN2M?V{s=oSO8ktff|pJ1>^ryO>^lNQx7&7~?K5(5n~gk!tep4fycsj^L4-m=6imyJ!q?B~Q+SIxA^Z7wl!K#|?skR97gJORW-(4%jd2(vsjU%zjqY%W%MK z86u+2+5byq7b2brJ`*hcUdHL#tkF5EqLLCMLl+IUCzQjV+p%^#>CsqP&qp(n2I-fK zZvK<++K0Z^0WhZejJWuJv;Zz3cwB$>9%YD9_)Oz%3=qwlT1{Ij5@rCpRW#JkG5(Xzh>1@l@>AkqV7iz>ZFD0s z!GRy?#thoGr8Nk#e>@z#QEprnM`TzGr z4bMu3Y*X$beV?gjVuBrRR;dqy9OTK8W#M4jmWB|$*J-c>_YwjKzcHnIiKeRevbBlS zfR9_-!RTa&H}o1$Q5~Sf@E3ELYf}7Zcvhp7)K;>NgN^OWC*qYC$1!%ho^tRlW^+5g z$!h3&&J!{aJOLST$3lM86I}eEAv;QAvnu-R)b(!F24$6y&kGFyVi~bL2Q~!U&w)1s zpujU@sU#VZ)&msv!$L1GIzG1=_}-lv4x45IH}->&m~SIUpr0mXrtx~9Kegc z(txt%KKk;P6_#tM5YY5NDw%gy9Cx%@HvoGJ!eIPUrGq%tByf^mJ6)%)+7qijru{imtGaslPt^X&+>pib8Xj9QVWgg?-Wtw zaNE%3{zt5(Q)QNV0fo~U@(Opmn%va^eR2cE60MgCI8ozk@Iv}>L`=WgFTNVpLLN_=^N~ubjap{wq2H9?BX>r zJ^)L0b<%TX5#HOSOGBsC|5*Bk)K(-JI;fd%J_~WW@v{!rI*@Dk1W~tg?AxZ=iird= zohD#8Zr|-eReG8sQHW17cNN`-eygZk6Vy%K3NHgy_5#!pr4w_JGF?LrXMEK zJRCytYvKCD60ZC%E&Hv&eJ=eH<5mdz5@fZnXu$ysqOYUpBFtZX1=*-@n{bN>q^c9* zXI@S}7kQ-g4iG7j3ntFQR=T7wjk=;~&6i4@$J_`3GtoFwUQq~|^J0IvmCFUPtN0SKv$itjOPBg}_L$*L*xWlD+nuU@&^kTBs&ErIsy}@+Z##l- zoUbKFjYGgFXIl-J`jT^GH!^4RO}s?XpxKisgHw51`1;Eshxx@m&Nf13EJ?&hFAK|JVy4!*+jxrhd$bwJXG&FSH)NK}A72(Z% zd~tK7g$729lqd8aV;1Xdwl12@uMXGu{!6(8>s(ZSf4^G(9%427g^np7L?ZFH-zHzj z|A%psPVtbsdwApyE`7mKpmZpk2Y&?jQq-)-pY$Z1tK#dR;guANse`NYmK@006it(e zmUdP~U0B$o^kBq+xhz^V6XMd*McU2@H=7)XF3w1|d2Er@jyu@)IP16F;E*VvT$8SE zQfS<;wQDIKu-*v-hYZ=EerzOZ@Tq3|M%DVdI({hp;=wivje%CVq`3I~xhsQ@;Y*Bh z3i=U>lwkFF9?vi>=VkYkEXL}AKpV|Rz-Yja`I19*Z5U-v!*~pJyc$eb(&UL&MmEhW zIULfWm1?T+_8+h_6M`ObmA%ha{8&hd(ijeJ#&-Zw_#4k1f#BL>8w_kaw0t!*kYWki zs8FwIwbQsJXucAr2N4=3uV{V##_HHqt`56<@Y$jt;i6~E93eyW{J}+Ye-$k4H(h;B z0XvSN^VRJN3r!Z8q7BHjBClp?=z~i4L8Fe~mo&Eq`QL}@q4Mc!%VF+HV-njC+n9$= z_fc$SGwVu{l9WuDJFR-N;^FPdd9)_vTh#}*^|nr zGJ07X7I7CmfQm|`?moQb&&J3#H2ec!@wpzw;u`WG$yr`NSgzS%w7k|X;FN#e|3?f) zlQ+-MFE$mw4b9$smGpcR{FY+|`+mjG{Ed)^Sr~)`fjU2>t7u)4$O<)QCc$aYyiN8l z7WLaZ5;BQPzPflp8i--Oc{n>GV1V4uP$L>XZsKjzHa*M;U`TE0p7#0F9|x4` zHoG}mw@e>Gv_MV1xII5~tF(pvM!8LJaRa_{*au80@tSNCnsE#1Q%GkZvfbxRs`RyK z_F(mdhhW^@d$1C;ZFOgIExq5YZ9@H% zS?X&TJC8DxsJeL^wGo|t7sf*GrSmslpx~mW_6bClsop&)C+Ni!OQ`-Z!5Zb!GD1dR1#*L;dVyV)X5bqO}3UTNz02osY8^ zb*f&U8cy+>bb~oU%`kMg;$$!D1SRv6V1>1AD`_kF2;Oo14#hYx8ZUUKfvb$-+$l;@ zy$%zLo6LJT<>72k^UI(H&I6`_6Y`U9C=hrDFZ{SiM)}^crytnX7EP2WBy{XV*#-CJqz$oPmcPT7;hA4$gwSGY$J%V4Ulrc$9C}R^ zMT{8-XgPG+$={zJG}!o%S3p7j_A0~<*`d}%G4=;Fd?XEn)LoxJu$bv$NRl$r8+hEh zoL{-?W%)zM_j{qhYyitI->Hl-=c{kiihd@klWSRs@SfmYwn}|>E2>YvyBDCID zqS16}E(TM?#Q&sJkJlkaxUB3taKkRB0n~5Jx?Y%XxRbO! zg)@8sSN_!B7rh0Z(l-?*z0>*>-wwAb_ZJIKLCM$`DhIW1;oYjWuvb9x7&TSGWY4qw zkDY6vWKyube~tE;<=H8J$fM3JJ)m@Am1`*VR=drl~>;1$Lwh2gNc| zsMO?0ep#HWi0$mfw}5s%Y!Z|R=mnqse_sk%hPX}rl*a@I*wX${wW?i);FkNBCl){n zE3OU8yW&UNWnh_)YjyZ;_efs3;FkL`h!fpTd}^pE>B&^oIxPu9Zm5l8oW>`&o|mg;Y*c@9@-6Dl z)Wk8Q(&S_J$c9hvZT(y5e}x}ead(TNp{AaNir0U=0SQ&JatC4`#gZxUX(z37OZ53y z2BwRPi?6_ar1$J5#AkhZ{PuvV9##ytE_F_<=;hG^-Gp~Ni;X*slTxVRk?AI+tyKet z=0Ba4X3YmudP>h4-+L>HH#@d2nY)i7kUrI}4nsq`_CpQ08=u`6>>9F|@LIyvX(I;S8LpI>b zw($!c^alK1@#7s4h)u4|xmj=)<7ZC8#}SINekF3+2NEr3h^EIkc+R?$@FO71F`wO) z`y@}jnJFHGC=RAdW1bq{Sg~HW?im;uB!b}0D!wQ4_EPE;Rn-%EyB$-pb`uiQ_@<4$ zLx`uo_k^D}F#^~pu6`M!+|)#6!yM24(`!uiX%7qRtDkoML@OG zeQ7aY4M<`w!>&|JCJ}5Yii&WXalzfoF5`I_G4mLEOS*=Ch?QAP;51hOB%}2TH!EMX z-;gb8D+5uKt>^%$;RU)}eKc~Z5%=+i2|+q8uorAC;#E)!UYM@=8dB75|n$@^UQ^;4D}7{LUXsY?5kpZ7k+Nosm1 z!5;f1RXw@vx2f8q2Acom3g zqzYeoYPWBnY9$#7RR_>4u6FrL>j8=>xT)>C>A!5)r zrY_LubjtYjlAgZT9T|>-(?5zZfCenO6kR$CbR|JRF{%5MJGrY@xcI%k@6FlojS`4S z1y-Mi3i{@SPjcU@Wv%*-1kUZ9tMrE0bD~!Q4&;%-FSGI?13&$;mMi4cfOE*e6_9FH zDUP=G_iSqQJJ;o_pzKvEbRT-phmD7N$odD0r;2aQ_o#}cWqjLqFiDheMgwX|p!PBF zD)AgV;jg^b&y5W61_b&(Nb(W^q;5`)47)mLvRuxx42n*p-5(6;W-ljtw{ekt6OY6 z=f3M@oQ^tz9)@-16{-R!Jr1uKcd00ly#n-+ z6yltS>?HSVI}J18%LP07hAGR4+Nk8y+h2wmh2Q`+u@UF;h2An3{^2BpYbXu){Xbg) z^zj1G&_5^2^ZyTDXB`#w`mTMt6%eFzkdO{VVi+1!5D}G@hCu--MTYK>HUOn<1xYFC zZU(kWcMVE|biMcN-+R_N=dAU<`;Wc$+NF-)`9Ak^-PiTG%<{hSr^Qh%Qu{{aWLrP) zV83Y*weqIh!i3`b#Mr2JsoWZYXtVyO?9?J07u7#7LxH_GPQKdzunZzDqK`)Vr|tt;oX(PBN@cS8^1jXz^k+ z7rIKdT=yxU7Biv0NE{w)3-4)C`d7jnd8wXngsR&XuZ+we60ft1w4mNH#h2P9VsXE^ z?uatIJQG~j_YtChf;tcXvtq!$#&ORm5FWK=k_Tv2H`IGN*y^Mk{mAS(-Z^$XZJqt5 zyZMPg*;`k_R&rgYoa%Ey{FUA!>|`eUlY58Y5H8lbnML!BtiNL4X0iuvO zzEe+(HGYQ1mogPj=w_ZmLOG{F0apG_T{BPvfr%Vl*%@<4J*;m*|!(w2Sp$^?YDQZgq8HVj@|?#&8%^I{AomRSydr292V_ALnj(Cv$?S3Y5FP zrFz?LEuND=)@IfhGqPcRwCO^>*gg|33NC*=IoXBO$?EtI+x4^H)oO?T+t|L>*^R^b z!*1LNPDolJ4Q8C@lVrUYx{qO@jWl!ep54KG-C!LU>Lmfp)(8FzoJmW{g@{g4f9kk(QTEhM3qXgH;2 zmlYLFv&|HEENPT2QbF|BuekFOFsuH7h2Ec zay%za4%6)Ph8CO!Ni+!Rn`PKsnoZ0F@e(d;P8^F$MA+!-MU;~Q`Z4)A0^6Bm8>KL2 zjNV=e_BwWV)57kNHl$wxrKE5EVxL+KX)M8pW5g?&0Z>y2c9`Jx8cD}TVB}t)FFHc~ z4dOh7-r1=STl;<;#P@L*L+DR7Nx~S~aj!}xZ^!t|I5k##V1Ec!(Ykb(Y0eCt?Cm7q z6Er>@GfImL>9BBWO4_UZ@%xTbVBnhXan$OgPtA(R?n0^^7O%`E8N-tIfAF1 zIIi;{bgpuEKfV3=MU$C)KHK4NYyDxb6?7>)QowhOAo)v%yE`r=P$7h3e4y)(-o&l~ zR|Z4irWjI&q9~HZ8I|DTO?wbjNwAio_p$SbW^&WZ#=RI#wu69@qfQ9k+XJ>JPAi@~ zV^9+?;{lzo`ZSr^YqODOV-p+AhYAxVH#;6N?YB-&%Tv%dRFNOhHt!MzGik{VYV_4Q zVA$%mO8s1sT$UqSM^#GL#_GA9swjzj{!bbIGN-a|$z)+O?08fqZ*U0sSq^=`9VA-! zdH%({QEwu50iDS`4Do`NI1CPRd42xT%o`&ux(|?CA|zBq=SUt28J1zM%E@pg+^vMr zDZ%Mxg?Gg^rF(> z4xUW_7xNWj(}6(|rVagntR2qIT(Z&&Sd&H3_@0NQflsszz|r zQKvCIc)Hdn-gMPORhuI{?w2he*TS0~PmcDu{!!o@ zrQkp&COq5-j9M9!fNX(r>}B9X;%O26>nl}rUM?AKM-JArsu+^J%BdS1ZzsTkaPj_o zgMKeShloSaZA-E4?J>ILU_QliKsM3synkV(xm9}kanmHl_6*ML*gMzG;}b(Bw)y(F zgcApi%s-9o@w-vgGKiRUVOhiw2O%tX;OW9AHZ+*FqMZ84>dY2y41Agj^&Q2L(tB)1 znRA>=?3%yhP~;hRQbZiW$-Z|M`**wNN$tk&UflCvCKfG!k=M%~S8G1Hd@-a#oAH(g zz2<-WQ~&ww_Ry0M1~b#pJdcuL%;&GL_c31Gua#^+&tS$xXpe#=j-B-9=moibvivi} zbf^SvBL86RdVJn(RVB??`U{}!xUM?|$F|r|&1;UXmtA2y12nPt7C%&$VxgCD`!uVD zB_VU$82E!ho`^@w31KLawCTKAHKOSitv*72YcIv-oG(W_iFlrmk5?SQb}x6{A0U80 zBvA{M@_JDM9*MIWS<`Fl=|uTM56k(PV(ueCRD#l8FS+i}42f<$BK@~!Ld4bHyf zw6M-3UixZFbb-Nts&Z<#eJuj_LdgoBn#&#yb2q?%cbBq+yD=f?M*kv|o!-j3zi zP-@Fhk}T$u7r$t)v~1o|f704#9N++sH#|2*2RpCSjV<$qvIU_m{1z4Bs6CGoJa_;8 zy;C=f@~XO5Lx$_pymtLn!8C{J=PP|6pWwsEI5@Pf0Y?XKHqg+35X$8$ZzPvdGsnm| z`mZl|5xtd}9d;ZQ{vf58P)^&W^K-0y{Pp1Ch{~LwnCCyrT1@jEmG9TNV`d7ud`>2x zDcLr)M|h~{0m-$qWOifE;2*WH!D!Km+jwM(yKC+KhpkvJDqa}c%3=F@g}WI|a4u-3 zazLk-H{g}77X0vc9f%KNMrYr>uZTEB7duLQ3*BZRX~I3hZ55lni+-OyS5mw770J;5 z>%&#mz+lSA2->qxX-4;s069T#()_%~0k^H)z^Hlj)Ps(LyzU;8O=zujbE=GQ+pPOt zqDB_IM0&&`8+Ab#kwkIO*OJ19%l-Y@l2AD+g3VO=v0*79Pv}B%B>uHW7R;;!4P@_(0 z_AugM1#u)kv)|&qe2KH^)nZ!G)Vu^pX9PTvS za~W9fV!7EkRa=(eELynkMxAhG#ur5#QX}5Y($jh^Y5wuUtS+iaa8~y+qtjab&wOre z{4Xzn-`C4?JBR)NWS~nT^{as%_&8>{$Xh+o2rs@JjdgIU$BpsjjTwhbzrt{(xT!0v zmT{!EW5)ad_lOTQXjuxxo3;87)oDyhC782U0UN(++>ki*^2DY|oFvbQGw)>ez*pjM z3~GR)&3YIMLwh&1pOv`uJ%OGk&3g zKWg2ms0GV$WbNor)vMEZvly;+3$Si?>5eifhGp3vHFnH3rr~lN%Zf}Ra`splt z+rg!VT(uKbi>t8xo22u;ki1Wz()L5>cU(H2%jY->ysKA&&E+wogJ$#3JU~(c2}k%5 z>;dS_6M4>Z5yVTWe>Kw!;sdD6a6k@RE8kq-fh{wGQ+GEkICR=#e8FbNfbJop#Br(I za})6^RBI2RqJC2`&}vwM&I*TuGh1!Sap;&1%ZFF5q_Y{KPoQ1Z4Avpv7o^C&15+g? zn#@NWU}&^oWr?kWNk^S$xBSdyUPwjW9Ud7mn58-B;F8a@ojj#mtjt0s zP*K}%c#myF?9CkHNfUe*Y8?|M<;S{XD*xtG>8VX0-&3JC zQHcNcr$s9LIe0~NklU7qtqmOxuXv>tJ9(z9SKtlc0$sa)#;g!w1{;|RRDgx~*DP(HJKQ$u`zCcz)V&Z@i|vSUqZn3WRSqSXA~JOQn~o#dkd7Mqwt*6kTS_K(ho z%w7_`KOr;*I;p(K&{pe&>D)WQ*B_zu=a*t?VR;smr66#)659Q;qM~W@P;)+AFHuy5 zVw8OhY*)RtgY_GY!F=i9F7fiZ-YRuI%S=o(?n#2^+9I>j5Lgz@nsZ4lFp&nIver1X z(bjfV530RgF+~^W&@W1KR&G?0ueGkpQ3pHQO4KS@${P`?O=>Xls(15E&7&Wgr0TY= zjSC8nO!nhEDn&uO`&|;nUgnlW(-~+PMrSV}U&r^59m6ljD9DwdXc3^3tUR*YwIu3z zs$Kp2fx)}%x_Ud?hp7ZNs;;tpzq&`E*z8BQ6T|aYmuAx5+nw(3QL+EoCGzY)2x@^Q z@-;|_fX$*CmTrHLnHd@K?{DiHrA^z3l7O}4q6`!gc~04aVH!_J9w45DHq)~uWMgq% zFQgt}fWh^{PL;&pCOnk%oRF{c1*;EZYrEiqynnG2_`UMiA+-7fNxsLmCIe!+`|J@{ z{iorp?p|I3VAw_!2hHwoZif2W#F;g3okNJg9nzqwv!v3q z&!0B2xWN_gV-1Az1usN45o$EcTUJV_7J8;r;^P9Ih64{SYfFXeT6IL!4eKi!cH=L1 z=o^xCt+?z&n)>mTijW;mC}pLQ@j5QHj^SN~g&T$}GkTjjS*JnZF|~|Ja9z`$?W9Q5 z{PhJw<_sm(;t+n8Py0S+_ct@19G%@)GE@J48R;3g=jscomH&El05Tpt6GKSzG%b|J zmDO7RaL2RM^l_^2;5h#N1z+39ZMZZwMSTrvwxzxu@0X0_Su;zVNm$c){|rzh3KsV9 zr?0R$Q|=u&6!9xZm~RlpsfrYQK-2y*N( z(Z+fD&ePgi!3)MS&rO|!sR|KPNL#c2YL4CXb=t#(KQHP#uD{rKx ze<$hY3|hBoClaeh5{pVzT)ID3gAPA)SkCF2s{L))`Ok5jmO8Kt1M=?(_m*T=lectO zKC&p>?Db@y!^8=tr;?LiWI%{N6N(CX@dp>(&^%IF{o~`MeQ$mdK~%89qD-6R7Fv-> z0M#}{zujMVdRQk?x8E~)bVFO!p4JEOJFzWO{c_syc|U(7MsYsWYkwIcDV@sM&9i|M zF%YPDkF;0Fcu;4x*Me^L@zhNALrMIbhF%GR**PP2r6Sp$&1tBxQ|30fp8sX%6sO;- zwJ2B`tDowj9Gdz~N?VTo_EbdB&>rP{=E4A7XNSgq-p>5X>E;4(GYrUsT!9bJr4yC~ zI_sl=_cNykQr6@qr!9JUnq$vzv~;C z#g_wdT^RbG@t2t-vx++XQyUU+J!s?j#na3;EPwyU8HqnKzY{TH`uNTzP3^H6FAs&n z684t?q^45xVhVlWrS$%Fq3q6D%6rM19h&;@aQ-I;2j5XLOfjEHhZ}RK!$TlAosj^d z`u5c~C{gsI3D8*KYe#QlJYwDA447FCFEDlhr^W6zdeIyZ4wJABomI`kj(6uGaCAyp zFVk}B3dO+yekAMrht=ZVf719h1RRzXa8IolgCh$ZA>qqLkQD_**nR35P&U|zf-p*Q za(zBqveYZyNW%na(bgURXi@2ZV5a(5heQi4wJ@QzmYWvhwMUOCPi|x;H_?F3n{3Wr3qGWuo3#ck5?E^hKNnUV zosSgmy6z54p(($2Q}6%?$#?{_}+ zUkii_GM&3w$?L8BZk*@GfPGlO{asFIvB6`V=uKWss9E;WMoeU-r^_8B?OyugIhF1Q zuKeobol%`*2O?MX`wBeFFeUen!qo_jG@MTQvJdmf!%}h*m`oZc3>G30F(CE(#iU10 z@|vee9c_8EvB z;u{^!*ypE2&3DaIMEMGtC*LRs2iS!LDJgn)FQ2D<_8TL*sNM`D2~Da4YF*XNKlZlq z8X?o(V}_{&HeEqOC=#g$5roqLOp7b3Oc&_xT`=A!@kJ4U=qJ4e-WJes&oGL1gYi~7 z2Vh#y;O2ald&*|TYgFFxmJEk373Hkt2k<1R{PqtK6ig2$Lfb|W#x?C;t!yhq z>UpcAaJm5~SQdxJ6fb8?^JHOjb8PMHTPkHCU<2C1pMny%7F%u_G7mq$Jof}V#basv z4$tt=kQ>P-5M0&>I(FnPveF2F&k4e%U({}WH3UrVV*Oa2YN)N+ZDr~VBgT#kR9`3=t{Efs=GAUKnIDZ?+4MKP`C5(nOl zY~j0dd3bo3K8ZA;B>wCKIVe9E4x2UqX=WDcKmdjHddt(+gC_`&CVV|t@aw^E#fHiR zY}rpv_7ZoAQ^3n)NJ$13U2}gGs5USylQu6}1KpTZuiJt}74+lA!c?b?U*O?9ms01D&x?%|(dz4r#M=XC6>{b7mrM+vg( zI;{5nA3=%o_N@pbFLr6#(k~c%4{tDwpZk8Rc1DrpoCEedJ~5(s#|Y{Kty0rpC~2_b zXwpp`LtBoZr+fey82?$@y*y2eoC*qh>vvZDAP@cGxdN}J(ui6W?CfP$VnnM&@ev`H zNKNic>wFH$488Z0YZCSWuyezay{xzcip9>6J$D`NxPDDrC)6-^qlcXY%9~*Lqy~+!DD5H5Ov{)`VKS;H|Bg4}c zt)T{1BH}LUb7RhvMi5_BUxEg!i|Q9An`oS56|rM+#t(%c1&${e^Eu!6c-vl$CN0-6 z7he#=4GIigb*QcZJbiiq9(DkH?3{T&>XyxypY_sG0p_f;KPG;>xtRmmf)s~BR$i1|(xY*8095u;JO_<)oNky4Y+MKaV*v7T#3Ij;I+hbr^smZ<| z5nRDA;|NKdO zN{LS2(h)H9!w1FvSeFQq5F@<`maDuKo)@DbGvXfkL2t!a&h$BeQ&|cMkmY{etvz0G zRYW#3>ortgn?w5HKn|gNKJ!3?<;uZ20HC9yoQ|fRV6l|9dpCSR$_Tjm@%H{yC@tUt zj&~<5sqRk|=cS8~kr4X>rmNra+lv^|!BJr`#G7Jz^dzowMrz|bdUHin_Jg7D%X)9W zvxMq_p)`J~IZ{XLQPZo?IJ-{LmD||bd`Q{Kl&n+HTlJDbZZuHC=sAK^=?xSZpDc`I z!4j9%JuxM2^z7E9y?kx6fkTHw`>vk77cTU5Zd`Z1wCz^iU9Yc(@syD&oPVC+`h8TE zQ4S93?x7uP_NAP>eE*z+&^~W*6eVu%lKxru)K(iQ*kB3=!9%iL4+;?o=wBppri3XK=AihXW?=EUd^@7(tBh;c+d3h=;@a9+Xyh!53fp?giW6H+O^>IqW5~uuhXa zjvoFVu`qGAgJtk8zT*QR49N$0y~RWhd?c*dW)b|g&@jr7JS75oLvg8<^_H*>CyNC*MJ@kr0x!$Bv1YZ z)0quL>g4r1!+Q$sAK_Kdz7^w(2`oyAOgbMW--3jD;Co~DTn*cs#+w+HSKgd^Hd{@| zZEN(MR1b-nO!uYYGOOj=YF+vWzxg+5|%V70Z^Z-rfOcmCV3CTnd9p@4Ir96_~NV(nh)VRntX zP{N}n;J4mD-suW2noScf;z@F~XL5!M^48g|86|`+3K?JA>nsXl+AGb;_n+T7Yb=>k0d`BcyPH|xXkiZohXTy`7I~(BoVqS3i~OLN3IK zHGwoi$;Se2Jyz`xUhy|)X%?3ozyaUyA&J0Ad8I1$j>WOq8+%UIL=4)1BvihSXRbrD z${)`pe~t+%vwvcBx*Ldz*C-Y!GAVanFjA35x6LYigts;9ReT6U5y45M9BogXzNXB; zPpFHb=Je!{%3T?(UUWqI10{ZbNs=eWq{WCo-29n%5P?kD0z*VO5CSZS0iEk+OIhUhOANjAiP_C^O-wj_)2fS?^HJP70u*Or zm!XKBpgIVkp#OmAknZic&Z`f*dI`B|>=divn zrx`sCXB%Tb>kGBx4e;bArz1`U@@@|C^5yd*8b_In;AQT-QoC{HI93vop1Usm7Q5`u zWD-vq-(q9xlV_OwnId{*KRkXQy+NA8}k#oGnw^AK3cN3;&*I9j#dpa)>{%{ydE>Jq^eg6aS zK`=&gVqq@{`s96X06SM7ZFV7w>3F~nS;i34@(%Qu61Wz@bzFiE`mG<()Yov-^^$~B z6dpb(6$b6v$F;^{Fzk^db>Lf9D{oMz@GVh$!Y{AmODqIp|BgT)e(uU@!A0c+t24Jpx~ zb@QmGg}@M$&?5@(u-`r_h!lIbhC>a@BXWnK{`t61{h<^UU>skEmXCK@ zUkdFkoki(P^0DL4?{neq=_|9E4jbIlf((z!V6R_rVw`qf3bivfG>bm*rt3^w253G-J8t6#Xdr!RuS#LJJlkK z5fwQpHSM`*f8SpHLpF=shUdNl zDsnlXWG#07T_KW{{@$5?9WQ%U6b#No#7r?_=gO_sA>Jy;wOE|F-u1W5L8YuwVlr|` z)ljlw54@-8C>U}&fdtwV22cdk0!z&Nd3-7_DqZj@p?aAGE5)kl9#19Q{O~Z7yF*aJ zW{1kkJ&$~)`^D&d^fzbOht;95TEUCTo{CY=V|zdvDTB80e{;^pgM)*2Q`Kr7$NB>U z5{3hx%q%6}1#dKbMiyqdzwjx5j|~oP2`#-PV0V5DTD0(A6}QGQzrX$3n>(Yf03i(} zr=5ctNtN1|a8SS_c)b*eQt)LsIE1ef2Pfz6L-vF=wn$@OBY;uaDT&F%n--3wGMADq zkAFVF%@-tH;XSAxFv9l+H4eh#Y*7W>-oFSmn55$1DIX*y;jC7)jK%09#A=!Sn@c5y zNHB7Fn-3Wjq}`d0fwzNCqn{ImSe-U~vHyIjDJ)^J=C@z9#d~?jHB2%7EL&Tik{S)z zN7*K9q1H2QAL%ZwL@#bOYw`J&ZMBn~Tk4oi9p3ljTC@)*msm6}ilSONqj^}C5PNP0 z8!JgUQ!{oY$)5HPPWcpb!!6 zGQT_y2S1;1r*GbH?y{|4pGL7|y-Cye{>Rf`()dD#k0%Tz#APf_qg9 z7o2M_TMOr?8hq$heCyY^{r@H%ZCjp}Mu|?~OH;y`wi=weQc`v7iZbgXHSuWyT;69u zgYEym87K_Cox`d+5lE*dmFxwwJgmRTN*Gi-g{}DXJ8U&6qc=&GK9)DGkLGY4P;BxF_)ru=)A> zDn+G?u8F?6GdITKK>ye}qLb%>vxZUV|8pGR+cfPTvTYu?uTo^yP@;c9z!LM?a=-vx za|`7i-{Z#qGya&fkB7cr`o0iDNmW(uN|~ux<&(8c(@4+)GFHngoX?yLb#;ws>DW4f4fH># zmRWbWi++@IhjI_T`V7ahUQ-B7_f$s`IW5?tC?+U2agfgADnB(=ExA`5UML#;jYl zrkxy{Qd!s(WUk}jf;ztVc9K4S>t%tTrUuhh&M-?QX#ZNBs96dd9tr>(4|-+R)f+qf z_EnF=eB6}@I{HCA>N#f1E|V4a1>2+LTUtX2<5e7|aVQhJhU3FsfYHg}wjkr3`FZ(n z29~*R9vgVFg+Q1pbMP9COs}?J68abCN1wFj zzvjDXdAe=+^v&#cu$vGH_X@{rNzvNmBn5V=fb;bIZdbgIPk{@l3DA%%IeC&o?I|Vl z2iBL^I?P;a-czXh3C?sf?@e|(f+p71BF)@hE?CjSGlMgpONkP?-n&2_)ncR9!k%V7 z-o_BpOEIcF(N>GpRBiT+%tD{yc8tBY!yl8r94Wb47?scUuQZ#dGD`f5N{y-UewXeZ z1^Mm1>1OQKMHj!IFv`B5Da#LbP>BHc38*Pt^n_bK=;L4NtB%G!5JyEw8h>M`RZq%Vku5S@OE6mr@HWUZP8lbeU00Nlp@auO8x7KNnCxxfue zGTbhRqXbUmOAALpd47R+eNZ$Wsww#bw!>i_kkw6R;Re3-P|WUiPB2QfJ~`Yvia+e; zH~UwLHodbO6(@0%3tN@972j9+Ul`dCMPHVk{z10k!dr#0WsRQ-Qc8>v+vOulc66xu)#d#JxjMb zPFfK^SzeJB_DKM-`D0Y~rE?a~IPZez`(N&#MFM^~(WGp>?BKl(DacqVzgiCu{k(*= zfZeM9AnSzmDIu7**~;$fIJN_5T?zl+I-6Js-yn(;nTPZrGSHgt$+Iu7jBR}HaVQbi z*+3p}kfLT}vSHm={VS8(xsW=>y|^}XM@ z;|pM5e`)*ajxg*K?xuFzNq;|^$2_^p>_lUmLvYyQlhC&V=7M(s(uv@Fm=Z2>L^cgV z?b3JHy6H?1FWsWK?h#r^yw7<5kR2_3mM=@1>%-XS2^s%Ps9?L`7_TMK!bO9=K>6Zo z%DLN9nDK)Noy5)6*&BIuTdX8}F8XGEV!h$nPX1(;S~GjdkhSWq_$X1D#3{b?s|+q1 z)eU=5CDr2(C4Q}F)mi>aUi}y#@7qrv+F(FRfn>)qWSJP=w{0?d+rKWnxMNyPt5^TJ zYyPk3+U}u(YuTH2{9ST)*&9A3#Bg57gyW?-JT0{(Qi^$z`UMVRymr;vY6bzjqA3vN zmA}??GI@F!cyPt0Q5B40CPsc*`@QG8HDN(HCY@bA;iCfq#Oy50IGESa;-I`-!id$j zy$1f?K>$G93__59Z#Ul<5%H)kQ-xWc)e_hlu__4h$*GOK>W;nmTTg4fF{65^IX!H9 znUSe=g$%;WtUNKvg|la*O`AT~SI0zSC1V7hEGR;>4fu6?wA1p;H%?Qca0@z@+m`i z8*-y}&7kk~+JzZ4*O|(=^t!GM7ZN?67+wQ(-#|&Re3*~Kp zyI{69mU%qNoGapCF+#sY*XO?eg8O7W@;`~bVwL*(EwN&`+pH$v>gpt*7Gl7Ye35Il z4Q^2G^d@<~!Tqq9zVUrNofh&If^9pnXb|-4@VT?^Pb3xOKD<1;6I)o+>Sq`g6*>R| zSf>nZU|~f2sHAo5#!pdg#xq*G-{bnUeV?@gwuuxa;QtY`-@DL9jNc2^?0ltM-TUAk_)j8cx?s4rQlhuc-ReFJdWvazWUN|==I+rm` zCBgInQk`WttM%5!RbsCadq~BKUMIej`zzT7E7b~aH%+g50$ii{ZMgmM2z`^y96GnVbMI7f1ZN%T4D*k(?GiISs5Y01)bMh~eSCz_Pa#*q@Vs2?Pj#6wZ zMOv{*4V6tg%j}vmN_>ho*G*Mor z?Xe32VVQnmLa@%4I>A1i!t>dU?tdT)^GwU6DQGQyYHg*$k5@`{ za03Gxcsj1Ic-6P|a_@SHF~uhTs88ox0s$|!%6qJ!>sffld*SMS>7BZt(T>C9wE|pukAy&y@g{}mVX$#kO!V4mpSj|vwcz#F9n=rpH;73THbB5* ztyAErsKZz?A@-m8*F+a4lNAXG|8%dBWJa=IdDsqfTWuF0GCIhlT+1{V)p{(|GY4~WUGd40V%bJJs9*xD*?ocG~A)Uc^ zs)Hr_KK*973`P5Wn~`vZ2pe{02}~FV`+*+GwV%SaS7x-LRWp`c??!q32TnYNzUOt(T>7}%Dr&W`O15424E|9p9P3aZ1 zhjevdC8~V9lpH1MeGB59gBHFwG?`p&S3Xr1?T3ErvqB;7ONFr6V#sb_yI{uU=U1#&^OR z5K!@nazgYxd^#wP z&aNxZ-Eaigqszg>o(Zz29B{9sGxT1v!b%JNQm5$a7*o@vmF=n3Sp&ej9mQ<&rkib(&36eGb}gGV%$suISDFjB><1Q%bSk!rNY`1vi@ zw*Vr7csP=$o``(V2;luX`dZ?{O+_y%wC$|jqFTmG-|H`HFzM26>_Lm^%STC`TJ5Yq zS(7K7W#^&)8iDZGUA>35y{Hmv5yw|KR46x%L$ko!shRPR4Y%rbI0;}n!HvV!4qv{m zVaNN;<6@dc0dvI%2sU1L-XYr3Ijs;;FZlc#d~^y|f_{~_MHi{jg%(0CQvztrdR`ue z>nze8TAav1hqQ&qR_bTvnj1g9*xXBndk_H1KJkKeM*QWwV$4~q?s|>}M~@_xW-M)| zjc73)60UA#PO~-sROR6k#dM4p3WuEcf+&lpYzM_7OEvx;HT!is^wGYR%wZ^WNb6Tz zH03U6<~kd51wG4lQeLU@UY5+&!!or)e(q^{#>IMD<1dE25|*n6Y^+ z>3n}-AyL|)z{~5uhtqo;>>+jqa_OVxbZVk$BVD<`x{WwjIW6+Dm(@lWZ$qs%jhi_) zGAf%uy_OK_iy+F#mbpFEKzvUd9}P7=W5m2~zo=t*@C*;U`iV6U|2Y0|$=m8t>#GC! zxAx&sS%_uWVt7<0RYw^T>Dh9Q-d%b-MwGoyG^@~)KBi@kA!{!zN^}r~LyeaHQG|30 zZtTiKFt~>=xXp~|>cThwS!vF@^KF0LoC;Bgs(XURHH7o}iz$FT z-P7*(g%);Ov9h8R)lTMo+fWVo7Cf7?MqVur#i_}MH4tXr?*4_h4FQ7%Q7lPN^uKa;VJ2d6qTx@q%Rg_5+fzKxLM6B$ZNgvK0NA0*eHA5ki;ti!q~?)9Rim9;oH z8DZA=c*KRh+=c{i1ISE)Hx(N+Jek04`9CFtmv(uy(O9v(-Tm} z`{O=5ecEl|yEGGF9GA1f2XrUsVe}68r_8>@B?1uIK*x zr!x1B@Ifu!aPQTFHZQ`VGD9mP2CMoV+dDcso~56=P;PvJ_ex%lhqwZNf^yb;s`9FB zqTrZM$I71QgC9OM?loe*4wG4HY;=mIqJ+al{MF!xj`qWF9?mV{wK1v1{}Ix}U+f-{ z3T;AgpxMyT%%Ics%U4Y-b5`^k!R%9rT@Est7_Sp3Hm%Wg6>WS#QRhjJkvC7&gI(5p zRsI9i$mf=NK`|S2|9nXFs$ZVgl!Gqnn0-(S0QZO|Dxb8veSj(2`z1VxrXRkd$)y%* zSp>XI*3Fx9Jufo$>ar!Y{o)JRwVzFvU(Lex`g{S_VV>d0urmOsO~4r-!Ym)TBkecl zkPLFgYpEUhP5sdq1R@#exHZy+%ceIa53ugt;70_wtItLJ(lW{Bg$C>y|_6P0=am zdQ`*{@^#alZ*TB{0+4;>&Di21LW!m-HsSNYal*B!&6aKMUd^XF`Wm?ArKHJH314@* z>VXuOEXrvX#I)3$%7$A!9lL>jt}RKD=B44u+M{`iE>KDNVRrykfHh&gkTFr1Fc$q_ zQ3dF9fEnDT1&6WMLD)KzMO(I4)rxl?BOGY$I|1W++@5u>v|e?pNS|22yhW_jE@Ys$^As}S<()$?8!#u zjKHpOBYRe9U+uOxDmCb*B4ow$?KOh<*R|8ONL@B2NGxakP`cLBcMTsFFZNBlv3w8M z&m-KoT49NKS+YN7%Z2@A4X3G{9LE=PB6$)lC|JGT-o1zkNF5vWSz0ybl$4bTfD0za z)R3TbcPOqW(NSd2G{U(ffSi!MkURd#_p7Sq`iNY=DABWD;r1zX8}3qV>tF}|SXLRA z00)4u8yIO7`;PQJ@`3k@mEih6R+;dHmhSC$oD*87p$_w)aESMLE4nB37Gwk+Yf{7h z?-T>QTVYsu>L8_gDY_4+j>K(q-p z+oL~ZgP}6%&qQfsmYCG}{;hsZH+@v#SGpcDVRNU!%${fuRxbYHbYK4VsGv!f3HS>op!%lrkt-}Y z;m&()U;=~V#HH&BJbzt6x_{YC7DS-m&~rBc6V}^o&vtFuw8yc|i0MB2Orx~)TXqds z0@ii|ZYqazPa|zo6+|51+=0RD-{v8Z?6N8b8)vN3sj0BDmq~4kBM+aGcD>v}I2`@9n|y)NaMm%QKT~A8s9iVGtCX^Y$|nxG^`z8Y(*!)Ob2t)4>?i zg748$tWV$H5r&{QP+XQyA2N!KC5 z`dX&58CZ&I8lz|(NT0xb#Q=5l;N)IzMyU6{*82!v?&Loyp0O9r_8|pACD_h{&1?bB zs%}&bFDT)^SX{q_?r8RwWZE9VYIgD-)JPVON3vcD3A+{h(OkR>7S&Yr&KPY2uI@8P zrPMT7m*GK+^U(b_Lc2pErAFjPs5j9_M2!B{rchsM92na?W#{P}+mOC7He_12b8Oso zxws_aE@g}$);a|N7jKPPQtNf zol9%LBQ_X{)kQ=MK;UxUXXFdWvR0$_&>A}Qw$SLUkr>Or@$h~)Pt}KY*64A$IwC#bq#iUv9*+&ZJ$_g_bBNTl`POSx^U?ZRlDujyK4TJ19gwq0&fl_Q2?6llcDwTYg~ zWPu;$8NTqt;4QI8Z#P!6H!ipPcF2sE`;Lcsng6MNDjoFLHMUxPBMoQq>~eYx=z=Ac zxg$=nW~-<>00h+FGh>uS@nlhvuf08g;uXUCj_0?syJnqV{(5l0n%U2@Xh7FY2Xq_VvUpi^I+MH3dqUG* zRwRu5Y9qkrxFm41Is9r)fC9>FaSRT7xW{kVDv_IaegGD4kq6wS4kfcBI^Q%X76JRM z5SbKC4xI_|^X1MRbP?hvA`cQ%h$cLdPXNF6nYrt-RZ-18T1? zSk+UUC@uUvo##>SMj|LQ@hPdc3sXf?-ugS2ppX(>oqqr2p!kD?677sZdF1Uqc)iLq z9mBM7hh8PGy4|M7-E6=NeM?|D+DeF{k-&LRO+VuiyY0i-w_+qi`Q)n%4_2Ov?zqEx z+D1WsE@<{7q#CyuuOrwB!M#mK>*gpjI^Tc56@B|I;UTrtv!mPbli~TQeJqU=ocEU0y!%tYYN;%EqGGR-t0Qf&J?-!+YM}NG}k*opCk}S#_$+%^P=Km3-Yg94LPzo@A-olK)4cjTb?;;bN~Q2DNaK+(IC(DO1; zWPzMWv&2mmOXtE0c7X+YE_kq9Q-v=!I~T>2|5~>(x;D`#bQ%mrI!Ln>PHQP|jnc-& ztu@X0i=fG*CEp_Cf{ zm`DK9^mI65-#o+PVb`_V|7ybjkwkMjsY(Mu!^>0G0kgRVB0DmhTIzF>;2~j4o}O)* zE4^FKx@YbSPF=lI62WsbO};YItq6jPVC10@1tRLGp0+QI6&3koss9Duy*#>qJqgIM zd&Yu%aDf!SPEz|tHcR!PIdh%cIG`4{0QL;4RhdFeDxfI5SgDD)fxer3)uZ4Y5Rk`& zBW%k;has7Od+2)m!i4c3C2C>txKKU;*C)4hQxt{+q!pS7OE3(+>U0aVI?< zOokM)J{Y#D2%mDjI_5OdBRoU7a$oJFb|@7zp7Mmv)l@ucLwV@u*q9mLhV1^(n~2}F z&Z_W?sF+F5=S9?ez;_34^!?{}hl=}{tuqYZ8=JtJ|AdYKmCqt;6 z2jbVR?>AH&P+BY_d-RFCcLpW*YG8NGrk4wY*q+(5>Dj+F`?))H&s8%(88$KPcl5IX7M@LbyEoe++~X#RzBrdrh-NqF1>9q$^WUqm&u?lSXXS zmf{MQ1ql9NS*mg@)i2Z4+Gh$I+`cT4q@{u3i1N!7FwPXEkEui&X-R;{Mp~q@zpBM~ zuADsvgCK_pbKKD?m@FJ*Lj^v-M~MF%x2oLWGnm`(ZRNm4gaWZM%b(4O-?>&_fV1tg0>je%`54Kq5$>|BlK(oz<>PxNR%$ zw*x=*Q+!3U1z)lVD+{&=RC@`wY#gY6s7As5xb_*^Tfa(l7x`$bm1#(IQJiCA+1%g9 zLk6G&baf!0o5~|)OT$6ktBvq<3^Mf%96k#LC2E^H`?t46EvsUp!E5OQePPdp4w(<0 z;SBL2dOk#-;ymx?eVb}J&QBfnlC;q-^?67T>uh+B*Yj(WSL5&v>oM|RcUXx0mzhoU zNp=vQ@=y?=hO7KeWVSZ#D{aSru0fd@I9CNix69hvU2ykB`@T#VQ86}QV@s^K$}#un z0Qp?jo?{K~41r=AOYhoIPmPhZ4j8qz>45NH#F(or34~RO< z!aPYvomy6(Ecwb$CfcP2xnPf@TQltL$uc~V3W*!j0o#FSZuNaq*`!()_yiJ^y&Qa> z+#J?A^_!4K`r#eR_C(ka^`>eWd~;ua*Jr6cG*L_WlY16z_j7*s|7nyFTRv+AcyHwK zcb*52gkGape)D>d6E+PWwZO#?X$H$PKr#!kqpAj^dx3fEo{Y0!SW!>|oN_SxYF&AI z^(}UHLjEcF*kh}%5KlL}+t6N|z(g~1$@(qdK&Pp&b|GvnvqYspxO4Zn7(`0|*v)E; zbvKu!79twLMVb7^U{WR_Dfvqih=!ag8lTB^iglU#!BPIC^Ws0iGMs=YvOYiU)5=nk zrac>hpQExd=(mT){)+lOYfr4OvMm}(`RJDl=BgrIy-cccP8Uyb4eSW#S7ulDyv;gp zc&jf5_5sjG792j0-z&s6V0VdqZrU%;cOn8@r45m3!MN^u7&JNzIQcmC*xyu zC)svPg%c&8aiSI-b(lPzA6<+0?e+JW zwqK?-1l8B%?dUVu%aD;d4{_5HI?wp8+nOXnR=~QLhGQ7rFJDoT2+co7J?tOfZcco> zbM(@E(Mz{=W6yiYyYlQRGEil$-|NzXSdM1FaaU)y&y?UnpqD18vTm7QEj&5D>s-6H z{vlC2TsIjMDQqN5$r4=C?{m_Arn;HUN+%Ujl;}4i-_RxSoxsoVf9?NLdJz+L&*{XO zNi0)yx5~}r7w+pN{f|;p6I1iA%j(&9)k6jZ{0KpK*PzLN*%`pRt^B)P&T?Ne_5#7I z5nIIs)@3)h4;J#WvPlzmD=nX4hUU*qh%>1R+b~!L>b}rl$CB&=ApOm^`(fXbQ*LcJ@lr`Cu@Mu^~2@~3F@ zfPRayEz}s%SRgs}$-oTKX*-J^qqeFMdoMED=4C zuu-UD5xRdF z;P?K-ntzEuGroeIqbPU;=XXg=-JVyJ=k%1#L>bK~^OEy5_EoI;z0PGa&{wuiiiAbA ziL7~!TRg9jTdY%Nu8By!_M8~NHy1mv%q+bOY1fkFKbnqxgXi9 z0PF#=@cwi&enMH)*~<~zahA=WQ*!t)O-h1U^Gn%%qvfq}eS`fn5U59TmI#TKn{ViVMg{zCF7>X*pKbjRaZ0bg{(ncf5 zxscj&1!HawlUI|mqT4}$~oxv)_ zfZ;(>CVIV1X-x)UK}NPLKWZ!JGE_llKtJ*;|3BgzF0Z+0`qt|r(Itwe^*{>}Zob1w z!>axCd)f~`CCdjBz7z{XW2tV~v%*Q%Ek;Xt^MSS{84aFQqc+bSpp;o1frAg8 z*7kv7r%Tq_pLJk|C$-#)@uYfn2hM=#6Qj_?SZ~3$atNhPbqd7)N-_SUUD?^$wol#S zNFeUsuo!~IM{)z%7NM-5{w6dR@XsMUPQy~5q!2#{s_lZW|{^UYFg!P6}~*> z01PUXNbJew&T~-LB3EgTyOCHsU7i!#!9HFy{5r8jPhXz~>*uL|W~TmGhO6z9J%+fy z(q)iSbjX+n2K>Xp~4hm#O5h$eY8B(2lJy@a!u6O-yoJ)!0;apRF%YX>Tss2M?d z<(ZZG_b~QNSG6EbTH_Vt*sC=mu0%dDF~|2@7GOvzL9xj$rW&Ts`= zVZkXjw<>zIh;S}xy3F5{2eCsHm4y;k=E)Lo2)i+sdC<*!kQx-dc*x9V&bab=*5JJI z?j->Oi;yf9*-~X2En2f|&!J*07Mxjl$h|845KwHAU=S}FG6Z>)LxGCxH~#5RJ&h?A~J+x#}1X%h=w{L zy$UNK97-w{ zY?yvMuoE=jF}O5;MG9@)BoQS+*pG9q2?zl=>G-Y|@Y(;j5qvN9o=}pQMV7{~K z-N@CiFd5ao_->P=eBs{Oi@AfQ#>VvyX+$8_*iIwkSOopPCoUYO3tP2D&Hm3$Lj9pX z(u4)QGVT_th_Ng*2h~rnqclFIz!?gJHxD^oTO-&ZXrk$x*&;b9-7gJgvdEg<+wwnjIz;96 zQ4!F%OZ7=p-lg?2+qDeEb_1X|2JILOP|qaVue0c{HVn7yn7K=}mTFpDgW~a&*qpb; zB#7t4j+-Hto3)r_v&Yn|JVh#`S^N$7k*Xjg2AH@mbflShsQ zo-z^Uo#WuKDSEwP?pYh9Y6SVDx%s(E0?ouWwGj!2G@8hSumX95!jf>$FWiGsM+9em z#~)^oupUVaT5*vc^m$$F%OfqNS2ncnQ+3Tcy^WClP%(mK;*Gl83@f^hL&$%VQeEjqERp(UOgyo|2X@{}TB16IsyWx$+nSO5@Ur1OF zdq2_UW;#HGgF;$nnCsRh=nG)5Vwhbr@?1*WM>eg8RtVu4XJ;jl)(;@XQYq)y&D>dx z*&i0FRW9umgkL>@HR>j_UiD}yJ_JoF9dwvVXh2V$k!5$X$ zc_?x#;p!xo%Trj zy7<~Z(oY6(^+3FQXwY%r;5jwR!hpX15fpmFSDYm>q~E0XsC-r*C=SJODJr)bNToZ3 zucEaJA~}t5v7<%9?jAM7C|AI;>n4U{U{r?pFDE>!wGT$A8g9~zgI#0sI% z)eX+ARrn)EL113pKr$mcYny^Il-8o6#+&l!t?UL7c`|sNpkvNr8Mw;*%|f{jWZ>6W zlttp2JYqZE9L7+nIlfvt^zVrySXN z9KZR8qO$mXkK4o3Podv&&#ZfE!rINPr9;9`fL@l$3X|Tcy0Dlz#(g*RjQnkp)*adf zg&gFo2Zwe_MpE5QxG@B5w}0DIVr_RONAY{wS4_YxGp+g5$_r#7W1i6`3TJ<{qI%d3 zsN3Sz)D%u8kTUZI?Ci7W`!(R2%$Pkb%zr-NDzf%$Qrrv;pu6lqk9duO%st#i!ku;2 znUGnjpRrU1Yn3t z#Eh=ghKLB8NJYpJ#!LdH6NGA;skfP}L$HrV8|B;AFVbF!MM!RWYCj@s;4R|MiQ_j} z@%vkk^6DlVxe!#x_g2fJ{sll>0JZe-!!!sm89ih@M>CN5YT0Iu^$T^ibrycBNk)~)K_XZ{#WJ+ zn~U)jCMU3s(C2RpWpIOH(Ht9raJK?x_|{96{C4CyC#a!La*!yA!bBs|^4v}8Gw?3LQ z;8)g{iX7)$u$Duu>erfMlf@Bb+xz!Qy? znYzGKj!}P52t{@{NVqfNUE4sfmO|8k?~K9NZ>_Jt4H12cv;AWhF_%-!R`X68R+_}x z4jA}k;Ef)WD5STD43!lK*ywgv;*Xn*X14KuEJB<)eX)*__UX$6KZco57<2yzj#B?U-{^DTb`CcPqoeH8 zSrBYJ7KASLE}Tp}Yqn6RMldHkka1EY&Wwl(6J+K}3I6QRpO6xmS&rNs<)gXx#Oi0;Q+m z^f};KATM_(8)FGE2Mgb~ZYXTw^XaxF1Jw`3x2mdT&*2~y26lXI&tX4TYMtela24}! z{P%P^)K4R7g-vJEt>qv43a;#U?Ome5zY6xI{~{KgD-5Busq;A~@rNNWln}qLW5k$H zW3U9ESXx-Y0LX#nC?h}gqT2T9Qszf7lWNiclcD^mW0sK%Cd?sfd?)T~s_SrDnGq++ zi#g(BX#dIN zlz&!Ec8{19@a!4WV{EMs%f;`s;ISbXb<6&jY!!Of6=1aCI}oO*zUSX92Okf{ehULK z%7oCZ1sJ8+W?k%lR7`;Z!t;8@L{`KAWqYoX9F6v-6dhs6<;utd2m^-#|78v|9I0)P zBfk8Y){j&zXV`-64RvCVk11&J0)IF-I?6gd_C;Rck|~DUrmNmHtrbKW&YdV1<+`qY zjZ5G0^lD@a4<@pmN4ncdR14UdR0NsnD05Y_taE#ZmONc2NFv=T)$*yHsCCY{ zj2*N9SHVJuhH9SG9jX04Ah%2ba8cTWU>6egbSkB_5y(;%x*?5GU#I3yrIC$7!7y4? z?HXuAJa-?~JTU8xCGfg!s?%d#@y_Ux+7ducjwKKABXVG7y4)4R@W9s_;#9dfsqj0`MlVy51UQ0t54|01_DT^C?TJym2aZz0g9!y@1p zzRaPi&perGgeD+J+TGx7+a!1ZLY8c&t&aOSMs&3YD@&DrU;gMB`&(=&9a#t30 zn0durKsaO?Rn$Vap~-5KRA)W_>yIR1lIHG4YL@}IPI|O}BSJ&$z!e^eThp+~BKlEr zi)`wG6Jg_1GxaK3>NGmu9M9YU4OBo3-L4U{)-u8!)$U$2DAvu|w&TY>o>LlKrWCr1dqOeqzF_x?TCsOGFGE_j|7Iln%VF_vgq#~dXVmp6(utdoJ zflXN8<5uh;>PH!*VQgRRPkTb=m`9fPE019J0ibYlqA=Wt*3MHCTKVddM_~Iu0yG$+17q7q*q04sy z@()1IVtmY8?ET+gZk}FJh@M?>sjDj5yF250GGX|}Ugi2KQwszPlrJiywp}+9%Oumr zzN!oB^SmqYZ$|46iLg}vp2?E+z|4KU(Ey(- z*C1v?OV9Yg;y24S*%qUy4*%}i&@Vi~6WfpX z=@nVzlq}QJC%h4=**>}XZ)pcR8=y(WXl;!(3m)}3manYUvUyE|<0BIt-ac>W?xL_t z>jkA4RScZVduucX-dmdv30#gUv!+Ph|E&e+6{}nZ<1;%VvlPH`PZ&1k$PzH@#IF{w zo6h%2ORbj90Zqb3X24aVb~2(Hk5r}I?t6c+@8jD=2cpv~k4vNW^9#OR+utf061`IH ze_Q$bXn{}B`kEXOS3jvCR`%9T&V{c%BR}GD&WQ0!&U0+;va4(sa!E+B->ZA0yn?LJ z`0I1zKBw8>(!1StPbJxar`aC2h9qFh@1^3-H^gbyl%p2~`ZES7Z}qE)duGFIzs!|~ zAd%DrCyvG-OE3CSgxeVp?;q&42G{yS?DOQBJn1|59qsLVM@MxxwQC96n!xuhEM`ea zaz=eGUF=&_5o;hLZqNE0^2|TremNzXqnEH{a80>3p&49av8_R&x1J7#XnrmiC0U6% z$3l?IfB7#kWMpgJa05R62U=RNW`5H{{<3#?87_K4A`QAv5RL#9sk@y2slGqp5B_h> zA-?WFuD)_OTkuJ^CvRmx?*S_Ths8MSHpiuXBbZ4-wQziu}r?*h^oI6oMI_Ds~7pPSo~TyTsd zJEAbe>G7)byn%Gk7OzP`w3sO>RKKeG!66DUoRqTu}v9c5v>waTyf%+P*J@`6v{K6$;!^R zNaacI|5Pwd{PfzvqWs>ucjn;`J9Qt zTHqNDosCy(cSgxO7WIzl#Z#kbs$&lrX@!$;lku&vJM3M}V8B9eSJBz>bY+`3zQI4% zyvL~dl7u22$wB>QwIWrefkUn7kwlt^W>c&x%myJ-_PYWYQ($rAeQ5~gN_9o5TF;Uy ze-LS<#hxtC;CPe%^EOaPD?2iJ`rbR(7DVxrU>csYP~4<|{##_#EiQ`cscqWj`a1Ik zKmPJ0Wjy$Z3HCJB5%%Tb#&l@EVZ_bh37yRCznD$|Ly(dW;(yM1oBq& zw(!~G!{0BfV9)pBqQ%k|Uy+vIpMWb<9_tUqO7uel2{J=K#Jg|*2HXw6)pS*V0h>Gj z_aZaHZCflWVy%_4M9=_q+8 zKK#u|xb%MKPqx4B_jJqk3#05qi0#d4*HsT zTTC&xT3th8oSVq)Mm^0B63!TT!QV@^Vr$1qZgAs6kz5<8t)|1#F@P_EyK>=_vSqw* z4U)J%YiMby4{jBWo1)H%QVGjat=OJWIzp&+Y#{AV^Z|z6YFplCRJhA$a`XnEYIo`( z_6a8b&BF%`o?9wS7iVanlsnPQaon%hjxu-U`ToGdR3yUX%roH$F~e>Q8YPZrMeTac zLWF_UDFCk7$tyQ0x{86u=iAh0)}HO1c~mO_1>{Z2L)w`XXx%F^k@~57oBXs-`7d`4 zVeQ=4glh}TUlwxNgVq?66q!Mu{Nc1zd!XSJXJecb29pPLsZ7EwY%?8aJI|W=%@}1J z)(qPIXr0*Uekey8JSazL^~|lW;+6*A8H;=WLh=817qhE>!=aRibFbCHzPL*-lEYe~ zFUafHtT7c1{Tu87)XvL6pq0gTI|08gJbAHY%Rj6EMtj})(pe9FAqaTH(lU4{@$tnW zo$kQ^qYphu=@yl1oHl_fEt50xGFH@O69*pJ(89=wAJq*at30XtKQDu}-#Pz(&VGC@D!qBf(%@XcSj#$bhoRCwp8*#PhCmm=onn z0#uBGLkh&9o^om1i-fziv9=)hyYb=*iP$8DfGz=zpN&7Q7b~K#HdexaR16zEzi)0V zpvGdqo3g&;g{})&OE4!%dZxNhw^_pNWzNn;;WNBt&>=5dbdXHO!H&RjU7p=GzW);2 z-)7dL*FU`RF+VjEC+i^tu#VzZRUX z_t1h?dbcLR%L?z+9ac3v`WaVvmjMnfu?2rO(*=UkM`U z`$1YR&NhC&!MmwkzhCmGk0(*noj9>;SkgpSpM)+rky3uv!#xW_Q0e358-itVAU#Jq z#j(YzHAiw}j2b)NRa_hFHYv4KoEgk0X{!NL#V_$%o158kyIf8xTpDXx3o`U5PS6*ka5 zS&Gsv-zWTfo56RU^&VhmZ7C08%EjaJp1~jq8d{4#WX}Cl2)i^m5D}s7MpC|4&{SLf z_-S-cASwrnIiJOtr_HlS;wxN36$9H{;ESw|~9=T`m$O(xA29A%jGoboX zO%nEKWreSs;Arf>oOrwQmDDHGAYp~J7#6Xfhp^0rXL0a408w>3Rjxaf#2N8Wjy9}6 z7aN-Zgi9Kr(zyd^#GY}|1s?#b%w|wy~Z#KE!OB%dEx*Y^{ zru4)%xS875eD69I-5xZIa*m68QBK;5&s%z5hw}Ai6@=GVGGrt5UbR6}$8qoSjX>x1 zgA?1X()hHibcZ4b4b zwfWf%M7xP2B3YEz&UV&uC9G5Wmo+H@kA^7D2hfd#@>dFWPfv}Gt}Q?qB<9+Xn)}Vc zDls|fJ=AE7q$4SEB<*%k{e0EgeGeS^_Zw~?mR!f%l~lIsM_3rJXVC{)4a|VH$Fw&* zMIN($lAkus^g{IT$`11o&*YJ2~<(eDNdZ$*I-7CHOWg&H%~~PGV$aBxP@g zEyPedk^{z-sx|uu^fMGqYOc|92p4lOJ`O!g#adH94Jp}-9!`<{-)4efIE0c1l`arb3M(aGb;jj1O%#{C6+l%Rc|I%yk<~Daw zZs$I=Wqs-n!hpqxi{8_(@lJAs52p-2s+KY8QNq-|B1y~HE`k{DH7 zthS-_A6t_AJDDlJQnR34iydPe!#H%h98bf3AVHr}MbE;*^7Y^&2wed~y2?L;8?_2Y zJq0laWOdY<`G%j(6yE=T)ALd<2RL$dKYskUJ#w1`GhSS+?0tX3bKo!_%wqD9+UR>o zc#89ZzZWi0I*v1&;9k1d42nI7PUk{HN1l?SQO!R^cvi8u6^p_t7K3&uY+s6|W8eyuG2IbT=S=y>uXoCSU~v5ce-EHceO^TpwBuX|l*NSxzf z{`j>kbIOB#x9`1z+NrVAEyD5hNybYJGrkrqL4R(~xXJ%_D*jr1Wu=SEQS_jtkthpw zS|DvdD0p-?l$Bx3d!kSa;xm_IZ|s9rxVN=6P!4LcoR|alLL~DY!anUvs-coGr?hPINF0gGFGt3j^pN_m~fZy$Vw?G_UjK_A*u)Oq1N zFH<#Q`C!~Hv}xRHe#si6x>+&@muM_0$viC*@v2>5@gS5$IJAwf_Uw?^BJiTFS`ekA zRKK?41RpXM$9~M!$(GBrV5@AjdgGhSd1ayrqA&Swq$RXb;**dW&^=a)7@@Z zYbifY!DXK}?ghB7{l)-6`{65G6i~t3^ry9WUGc2rNd$)B@4Ibla`KLz!>{V=NFmGV z9PTy-fd&Hx3+JSj7$!XS_w)P;R-GT!rd(%v=Ok9%9ufC5H`zSxxjA%$m7v%tq!kab2mRfU%W?g z6a??iqwC->^RM2?w)9n2RMdP_J6xr`AZ?_%5QoRoe!4AXz>$|?AQ;7G<25hJ%=9wg z!>Z~-<+#dQdXaTYw5+E@g^852R_S>$ABk>Yk zK!d!VN@_g93G5Xh(unM>Zu15DrsJzTZS6d4W3T90jL1J93PEqvMS1?{G7pg9Xu_^k zx#ZDj9@VbESj;I5T$p4L7G~y`CouVj`qt}kGcO_n1LX#V(x)IL2sVP{SI6d1 z(;3Qr^S9FgfPNmbZ7eLbc~P5xSO0B!i+y$GW==Q94HOoE@){Sy*`w!DtVAn8m-w5l zr=02w9gdklk*M?v!t4H?9mb?5P32YZGGFo>X44mWI#%b zbu}m92Ntt>Q|v5^R&Hbc(c@fIw!!B@w#`^~hQ-wS4tC{FTAC`T^DZfek3vSIu4SNn< zp6ERKU?S8>yZe8-hHsN66x4(lH?NT)NDVvkqKa}qu=5Fp4lZ5$%EbSkP5&K|H^QzA zL@lwBt+--VQ3X|NZQp0m2TY$9J>^ncO>?sWC-ceJCgDk#Lju)OhFCJ6O?ORTSkNKF#J}2o`?Z7p)VBq8zUGpka}EA z@G5}MxBovM6FUZF%74JlS1PZ%J1&EC;$`6@j*LXcRCa6EqMoyT%^D|CE?F z>VWMrc-mC*%0_RqT=9ZTxyY@~N!-L`48SpK{2Y^n{Gj(vp~sBZZD43+i%B}p=onYl z*Yo{#^Dge-JU#=KNS18ndMRjc)Ge>=ppLLra4Plrce9jEOrz6L3x3q;Zt48yu6Vg< zT?za=Svv+lX)HC}?6Y1=gzl5eIdqMe7Tz6z{Qd+gkd_i*@&rmL#~UPzhLml)c)3m0<-OiLz6JxF3A~QW7I>J zfeiR&YveM~N1p^;f4Sc1WylGkj(~#kk?mwso<`-eT z3ig3@9zjNy-slP#R>2yBa)G!Yr@KouGPaIv=U z_{Dn|yDFGPMUM4C>4|?0%uP@>=r}1KaqNQ7Rij+rZSqK9>dcjBC0a0o!Xho2&u>fxC3}6nw$s=Ij^pXE=Tv5sI&dKATrB2 zN+wWf2I3P1>cxC%QJGt|QYewQIhOOgkz0YmuwNODu~^sGS0 z@9umbCb7$*Cd)E8_pcl8?8(f*&r5+j;mgEf=a&nSlzob3y|Edg_n)?X3nsT7qr|i2 zy|L6+O=H`GYujZsDGj)P+gl_(I5iH_i7*T>^=C18|8(VPRHq8kCWLUZ!}3%zoG7v= zngFJRaC}!M?KDCd8_Y|I9cx6f$QR!?|JHS<4NPoHu~Wce&{&kE80WD#8AMtyFsks& zIwK6GZmp`lc5#ToQ^<~z2yGKPtmmv_|Gt+e#rOYi?EYKbeHYLDqZ|-1Q4Yl1wvmX{AIIFT_?vO^aDP(Bz;`2-^0cYCYFB_=0u$sd9?w(uESgG z^|V9J%`1a@$Z>j^cRJ1Un5)6U021JXz|tiS zJiqdu9BmUdwRWvb_SLI#Y-o|Ap$pl|+aW0KE1{i!5+a!qibZ`WE-e*VeY7c_v3E*5 z@qYZ}UzaamToSS@E${j6I&=_Oq~U!$WR|ceE)5j^93Yass4{$a2e5&fAAgMOtRC&4 z{yk%fxrO1-)JU$5-eGkySi08zrS2FP6FyZT)m<^%{q0mqH7H$)(TZxDq;pw?~7SWgZuC%l&;W?`|>EvB@eoRUaa}RI;$b07TzaD{s&6kC$y1E;Hp~;DIG4$LA!pc=lW{#T-I8Ojy z1Ls&b<|eyeZ7CJOkSK?^k)ZCKF2)+_4mnZIs9@j@Z@Ls%n5$6Hjex-PrcW6;t~V65N{ zOP>n4@Ur7~V_0X3>xOlhj()Yur_kmtpOVYsHqV*){v`EwL_P#!F$QWzlv3-w*hPOY zK3(P?WpEh=hF+7C=gwu7)Oj$j#UG-232}=hR=EaswI*lr^}2VZeKr6o1r^w0#|C#$ z$gHhz5O7fAn2>m_O_9=#xVH?SwCz!mi>0Q&KefWr$%bBN2)iz`j$qoJ9i%q2K1u;1 z0GQ*q7hsfwDYCbdA@eLbvT_~j3b;0k$JEuts^G1?t!%@AwP2BF#5VROLh2XSX1dv7 zY4iEF|Cl0=i7xwG^3umxPNk6Ed%yI?y4DzG55w|XxVFeokv0arRehIZ#Zok_!&Z=C zCeLu7Vk>^P!y)R#kym1a*Rtek<5X$9P>lWy_vFn56} z(#jp?q!_q%E?|^gF3$bP$c|t_k+sdGK;Yj~A5m_~m7MhD$h^k)+SFU$==Ian-v$|^ z8i#dqdJW75J+}jD;q?09_3_D1Qmq>Z#zml)#b~K6D8+uRxWI6ON zX+UZX=Z&R%?$aSdw<{x&!*G;x8Z9DpJ*oFuqpdS(+IiJZ85M%dkO`~oS;nI&*2_e1 zY{6d+u<3P;f=4hadUAwvJqJ1TjdC8uO->~*@5LC&OwJt4IDOzGJC)DqWiY@ zk~1W{5wldFc#r}M=OZiNEfKk3cL*{mlJ~h@!99z5(dh@3yP@j!O-B7CtbUt&3M4gy zT&?TW23&VT?6A)xtDT?PshAgXqCLK+J9<6t8Aki0YL&ch?GHzkx!CszCsUd*Zx=qz zFDNBRkbI`~M&!@``6iAO@M6OgY_ibg@*z>xRV^gFIgDZp9;=1m*_tUL?}t+TYj7po zNqnX511uoMWj+C?#@2gGAbo`$hz$QgTb&4>G_!l`EP`3nlD&$VLB9<|T;4b02kCUt zfW4_Qyf4oLns~Nt@W0$Rdd!>hL7$)3s2ujvpfZmG*XWottne|}q}gv^e+7TO_!MJH z1LTLcT58YbZ0sVeu+j35BSQtD3g-M<{9(!EznIa4276!`-+u-2T$mB5q!-&@F<%PX z3~gX(sC!T#5GX2 z=Ok=2j+$>3Uwx)1BjYmZyVw=O=S3xq-aMBJI5#@D(qO1?Q~SeLvit^>4%b-na6PVU z1|Nsb1^kyQn8F| zXgh+g`=(uR-Yon3Sqnz^xvgJ>>m?w2p}G+Wz&jKiDuh z>bZg3&gVh#_g?Iz3g@j?pH;K4N$(>vCGP32SQ=aM36`zBK9!{|Us)w6;o72_njvLp z-7Z|c`w&wQhNlP|a3Q{UT?@Nn_5;5f#(r0ElGQYVJh#m#-;nH0+olU#RKxYZXNKjc zCaeDts9T^>+%<0T&AS9wBnXgftyJDhT^q3!eTYS%ty~F${MAPIaN%7}F@vC2xI{4z z*nvu3Fd3G!)g2WamEWSQ^>FQ8Ed@2GG(hU|o&$*ybPV|V2k2QnEAw)FDV3h^Np~Z6@tp;$y^y>;e?$x9T$O>osNdNTvP3Rc(3$36n^H?*Zg}Qb3`ftoq z8!~DAfD!j-d#DoPi&YzL``$HU9IxYM4)T}w)%oCVGMuYRL30WfK@Rif)c$mRX|49V z%Cp5HUDr=B2}9YkBIlowsr&=4z(&zmddG$QGiOA7W0$ZFt(BL+_B$|$^>IK; z1UCpbW7t$JRCSTW>Z$QAAu#D`uh*|rZ$7fyTz_1dKKlaMs%Pw_4!2Yna{$c1yp7G` z%(O5f%yoGgnM6!1h;h@=G}?d6%Mp-^7+I`d@K#OpnSJ9>m)&m6kyP$-(c5ilfJ|ih zGU%pTE6hLsqq4fj`-YIgj@COa#G5tabxNdWW2?%*wqFvFvNg5rf=b#^ z<(_|B%Ge=Ob=+xVj1Wp<@`F=#!}Wen_L2n$CXFWd6H3=6p%<}93q$sH3OTBFYX`mG z^5iH}6Nh+M)@Ele3|wr~j`tp8I^xUi7F_rjQ)+tJ|K>h-<-MSAgoV|Un-I4M>SLk^utC&soAx4-0V;S$8@K%DOw9y}C ziI-LDjND(Ax(r%plVr#dEp}YnFxx2 zox8Km>>>~|w1u4Qw>ZrYfnX9aas=ezem0IK-m1G?#?1Ss2}r>agm6i?BR){x{* z<4^0yPzIV0e40OwNykO@e9!O4i8MLiPGe_(bEU<{boXMvrn%VuGF#WX9fC5Osc_Gh z^R5Ol#|0ZRqv6{Rs&Nqwkul}0mAx_~`q>M6U8@N(jkVS>0;wJdNx2k_8yArIl;9@Q zn7U_T*=qvglKmslBSW9-jhO$tM@J0OF0^{OGlS4n+8P}Ymij2X1eMvAqJ;E%o)Gcu z58U4_d-`q7nC}3Q$(CqGS?)u#iu9gJYCtKNA6@6sjgv_ zb)>4W9LX)|ZK-W}R1x#)*J3`ja@nHhwhrh^1=RXV9xD%+&`JBI?^rhLn@ zI(x$Vs*JhN)3!3-|Cw(6;qvig$eQ=@d76M*+OYiw)*Rd?Qa*|>;&0+kG8h%Tz4F6N zMgUGdd}l|*ocRhynWd$2fsHnq6rN%X9RE>BwL60`OB0J8H$rNgf#+u`Y0DqB698aB*zb9dzL z$>k8@?xze}ATQe}SD(o#D7eqKu8tO0iC|@(A@!kVNb7aA3)KA3i7^JTQ_PMk(*j13+^Ph4wKlEg8B2*YO3cl0J5JR9T<@8=f&e=Wi ze^t3lPezmS?r%Wn-wU>x5{q(p)J#q$$9C(W_=U56rwJW!aWYi@@QW)H$KbI$@9%9Q zp2WzDo=|&-;OJ*}48Mw&?w-y+JM#4(tcXR9`V3hjl~&NHIa?mAl~cy;4K6vwj5sO< zmkHQxyk1|}?5e`n*YgFS++nc8Yf`a!(P_?xfgm3kCe!s3__~Kjp!&lyHf8G|w)6x( zJ7~DGKKB1#e7$v4m21~G3eq7V-6bI%f^?$@NU2CkEkfx~>24_j0g)05mF|%4USQDO zN-VmCGuQKe&lu;7@qWiY_843D*uvtzuWQa<9_CITQQHQNr}vMFcs7z@G#>G;`r#`h z=UL?qebkvtP#u0@WL}SycyHI4{b!GG6ixZq#)U0FWyDla)hYQ-`f%@I^sEQy1bb}D zd;Op`LAh@CNo-a=insRtOgWbT>+f^|WyaWxEGo!ahrq9GLw zstT+^Axgq;uUwp+d(n*fF#4l|4TNh{8cuv@et*@nx935Hp_Y1ujJ6L_cg}ayntsu* zuSKF6?75Y5%*i8f*cwpwaIA~W*j9b=KUUxUlJ#*9yPPBe2=i3+{T?9 zGh*vf#_&g3&i7^`4pC(Na)jzl^hQ{wPZ<5@4bnQEMGV^Ut?klb)5})lTW=4D!16`A0CUAhVQn-<@MG)3m6#lDr=P zH_zGL>3XpyAfhv884#eVpa1(NBdi$eO|zq9*)IO;Ch=Fy3Jp?_S=rgWXzqMk=!H&5 zbqRm4b%~z>k}Bs6d>wbYdD*ocB`*Xi2}uH5CicSZmF{+aFI}^F;40{JaG_;Dj1-`V!#{!jq04^N+^z1i+YXMpU&EX;kQ|9>hBrS5KTYhSl0qrQCgdn)ZU zKK7cp$-#K2SjNDJY>5^$cm)%;#=I0qD1^?b1>eE&z)&t@ON8|zOMBhVodw!+7>^~( z?jV?&Wx~VMGfj)pab8L>*P(D(8~--2hF)I>UO4Ir+y%ehUTx^1;(PgsW{-vIQ$l6? z761tyXt3V@E=1(R*;ckR_XKDa^` z-RX>qab!biX|&I&d_IRioK%fYY_)DMVXLXj;$By>A|+Qry1g*5#zu)}wD%U7$luw~ zN?Z=O)2#x5o=IPvAb<l4F@Ci1x!!!Kz0OE@2P19=45Kgm02pIqClbp@Ega| zq$2r>gsn75(C@ZGal>b%%|5s@k|(QOWO`OrQ?otDX`JEdq>>O~-$h+spd6xGhDHIX zf&U$eLa93el033}`yYG0$8hWG9TV+qt16F$e?;Z1tNg%Vim-#G=a1RO-$5v)Pma_w|+)6k)o&M2P-LD(14&GUaJ!G~ef z4>Si(0YIU@q&g(uE1<1?@QndUPL^mx{g_7?Jg3n0n0HWo|Eo>aqnsePdp2olVGbO9 zf^cYkSs?a;Cn7ah*zYI3xglNUsDOxLBAcb|(D=KMRrb(ZVjk`G3u5^GcIu6!Tb)C9 z;%%(e*)_^6o%INU76;jCuITqTp-28bc@mvDNiBx9sEb9JY_xeo17wm#@gbgIaEE=uz5q!0 zI=q%UuFm6Pk#SGi7idFw6w(eCX&2BR&%IR<)gqFB5s-t#k0@zd)eu^KEcc|1cd zY9DCONa za6FDnvQoA5LwrJsTFT#0xUwQ?O=*!0a`lS+G1!gUL>F>`<6G$~PHw5D`aUZ)T&unE zi)irf`m)utSJR?c98UneFqbUfp|m)jOmAx6e!>>+9%Y)&3apPL)TDHMh$C^mz^2 zFvTO1oAf|b+ulbUz)VK(n6AvzIaCu>U^U8i={kv;{$Bl=mP3j^VG@tUkClBLpW7h_ zKg$x6$OktP?L-q-@_1RaZc#M+ZnOexq~9UhCHqZVw#(bT;x-m~dact7S0 zsmRJH?Wk0=V1j!BQcwOxBJs0YMTJ{ zJHwJ>o=DinIea0?|7sO!oa7c~xxO9pA(Po6bo<ifn|!xTSt%U7O7>zt7>iOkf|)Eh{8mmMQEimX7K}F7;GQ# zycw`X^Gn-G^@ZK~!=M1cQ=b^73+nix?`gA{Q`cU59awtpaod6FDF>?*#=*v0ERteaGGofI%>O?4Fe{}ct;4;>DE~xDpp;;QSol4N(M*pRVPLwmcI#9Z<0=(kP2q2jVQIEqU*Pf z$w%<`a7Hj%_vo(`ZJv+S;?r?&qD}&6Kf4Kjko^r@857T6Fh@u50ZDg{_bCCYi_Y2` zglNBhJYnw>k0bjK7Z)e)B|i8(@sobnBB14&s$Yq#Z;uVl%w$LLAKhel>c7)=d|6mM zlce$KJ#NhGN!IMJZ{Tqo%eRGY|2dE3)o7}_wCN{~<+pFnoSjbr@d&*BDh3kIEos=j zmLJx10k(9~L*jrdZDhN-VT0r*2620z<}$0dz0aB13=Y%Tlo<>IS|!~j+W`A#xjgQ& zcf9@GRcMcR+qD44M8zMxzd3~>sf%XbupqD1)cue{q=h}#jjhw#LAzAr&(FuJw z4e2vF#QaAC2%XjYI8HRaey~qg{M%k1f{Lrj9JfuZ`Dl!I%@~{F13aKv$&Dfw?KKCd zESgtUO^w`wet<(?Xc2}Kzt@tCy_u!=t^deDwZS(pi0S3Vg>HvGv^iN>J&-WTxdk$A zAix;cq4kzU-fzt-M`XpIl-ejkuVTj3TAIYjO$s;ZDh>O4x@jrq96Ekq-(=ZOhANp~ zoFy`z&JMHer{jppCWoU<0Gp&UEq8wvG$U&X^}jx3IszI4j%A$6c~>5w5yDr|&odB- znZ^ejpSB5XkNe%`Wh3OvuH;jh`Dd%=zdPeUdNFI&C>WDg?o@I#?_bjd0Ar_s=*b}U zZBYAnS<^|L#p)>9%CUcq41Z)&PlFI9Mp*zX$rk)Hb zmxzEr{PzbA+ypKLSTWNc*^6CN2kx2#3o?antTh$ zF$TgIN05G^J8&Nv=Fb0H=HFbyf`Bz~7=B5t-a#cUvlvm|qR)p+IjUFOhg0RxlLIp} z1Qs2PoQk$dw{a;D~VGGl9-X#W>MCon5pi=OuE5Hj1yp=KV5pMWwTxSaYiw(<_Ly$W@ zF^8E2kmbkuQBuo>Zu?z62?a-~h3g|kBvuI|AE=M%WqWfC1Dc8bOJvrSilKBQk9J1s zOonA%`jEx%v3SwHgs_yXRAa zS~|f_YOM~~l!^&BBU6PiRmctsb^m*Grp@&%dlhg3qyXrd9fSLiwjBfahwnJ-H9M8F z-Di|YX^&CPfsW}1la6d#X>u}8k)eL>d88-L(~nL?)5p3ZRnKiMUAdqo@lMggEH~Tt zV;6Itu!LLew}QG)Cn|S!KCa(Eb6Gbig}l+ZUq3zg8D@ppwM}VRe;PDby%J&>Ut-WL z!Tb$h3tF-k{&s#I&W3anN=fY~9GhxGetTtVQ;^)e#U@-HWNr3HLR*(%$2=pljSq#y zvbz8w*a68L&Ns6DJdZk`O7`quV9Vd%QAfrFdAuvzhc|&HE zSJ9N~CCP(Bxx0LX4`6J}H=^wmL6(c1qdPN4fN>> zLiJ;7siG=DX`~zjty@+3&JX%^MS0G*lfQtU&=rtqSFT+yXNyMdyxptp2teZE`9_TC z-t1>PrfKUssm^4iIGPx{I6H#qcTv;$ViPky_QCYOGEHhz`sIxh^YjeY6jNOur&bKm z*KF4O`=-sNiEp{x(8YUtK5l2Yve&-LkZ&D%d_Ss&i9g|N#OmW^6ORU|kO*7AXy@bc z(3Eg??H6nd%=pJ=5T2o$+TUXPsCvYjB@{%F&X^Qdn(v|{@7|xYTxsXdJca@@X=Sp4 zZZDQD0hOl+wrIr8E7jZ9H`GhnuR4g2+TCFQstFn~Ho?h|2((WQJSv0_$g18AwPCa< zx4~r~eq$K^ne|4DC3M#x?RW1g_X2;s4Q`ajk^`{s9+alk(kK4MIeQp}npIRuP7+=L zI*{gPkpD@;6Y|c%X7gDq#4*s2r)=gKwIZ2{%i>N+JX{$F#o$U~Ybg}qA$6oa4Kv0o zrjzn~*x}cHmtf+|#F6b)p@K)Fi%Z`j9EweXThn-AE~{tv?7YZmV!{oeSHa+VQdGE5 z0gL>1uOhc9P68#i*Fi$g>2g;a8z`?$7}Zqt-uWnODZlY{FHY{mt5)RpYQC+?Gw{|EOzz%VO+y+AT# zBcJ1t30gEgtTw>Ammp~L`Cua2=jca_8wmj?;6zV_`sapf2>aTtgEta}sf%Uv3$-p@ z%2|5l>%u#dlN!W_8*LsNQ{dcG8;mY+53^7Zq$Y|Cq>fRGB!v~E_zr}b?1e`ao;2MW_ z^m8XIj3QUAj4IPP7XHp|sAfEixs-Y_vASG2VsAo-dzsLM=$dSa!s{pfz`1Q&;Ju$W z?$~>BQmbt4f5yM$Rtb`YyCw64pz4gbTkc8b9`vORiF$?0e2Xlb!I&cU{k-&+d|9^d zq4pxZuh(1_k;5@el5tRp;U7ydIh zG8-hhAQPp6!ohQ%*^XyxRRpPQynL% zS+CV5h&oe;dNkQY9tGaAB{qP$Z~U~K@2;N#1y+@vukU2E7-+BlD&2|j$vlIb=i&l& zDerYSj(ia~);}YQ*SJkBU##Ls>>gD3(Q2_n&0yvp!>m&qnIhxt)upt9H)2TAtVgVZ zL{Dw<$(mFfF$yT0??ZOi41t#n2X-OeiReuG(eB$F@9-0u?+VKJ7{2C^$2$TiEYaYd zYvZM);Wtl|IR#aMkB8)CC8!s+h#D3=%QE{=n5Cmr?6Wmaxgu4_*#BzECt%5kR}4Rg zUGqzM+|w(IJWz2y-`y)s5<|As=^15W=+C3wmG{Num>pl4SS4or+`0PuXIeW@F>Ad=^3Ol=?X(n22_IAGsn^qCty)1^D}ejIY?yiUiXKQ6>Yd_ zO;7L^-YX?;=CvhGKfK{Aj}zU6hiEk9r<@>Yp}&p2|Hzt?*3I9Y2yvv;b^K3Wje2ug zq@*-m>+ao1KV4T6l!fKBR`wP23lHwu-xi>wSY8>(h)={6c`Hof=J-k6)acV&luc`Z zC0jyHi$`?BQ_Ud}Kk6&zU==Zb6BO4%q^Hhv`ml%IR@s3Lmr6dvkC3u^WqfRQ_DC6r z&6HD#GTl!@@ne3n%fD@UP(;2hAuj#Jx^l0E#i2Pu{TSPbDD9p!5x*tNycvT;%g)TP zKagPXOPoU)KcIKWBEO^_j6SW5 ze{@2rV(yh(ti!%#Ja-UNHD9gHyr$XuWc6AuRu0v-_@nS867oPPLxwcLh2qe`J-f@* z+1-CycSUKFQHGQ3V>RLA1;yq)gngUtuKW?!~*m z*fehmb|5I_`u!6hMcYPz`FPPZ<8?q)m>OQieq9s2dS#hU&EPES2)iwP+&9wB^*BXM)5z*$qEo`v@fIW9;?XSqhagXfF*jK} zlWj;o>{|8r$xYm0hRg?*zwHaX|G<+YA9K=RtHp}b1a^;s!f#YA2 z2W&^fC}_jT>RJe;Su4B*#XKGGX^C;)azLI#FX-+l91V@EmygidWTVDITbZ^pC9Bjr!_ms9Fyk zuidZNW;TE{zkOrxn-#-^J&v*%BKw(LnDsrjv3R7II-Qr2`Kktl^A9XnGcibp*ZJ!AR8swj2^8$(<)%TuU#T`*hkCnQjZsj=OO7y#iecle zLQHFrSyZm+4Z>jlY8z#!-t1LQ)>8UQVk8E~`n5%?9j*LOwR>$nmu%T+E7y|+d0=f0 z-X5I&tDGXv91XsDZzR-tanyOXag&EHbB%B>_IZe?KBLY6qW_AEx^8G*Mz}dk__d$M zVMGqtgwx2G8;#Vvf>BZBU9}PoYKbLEJ*$rwKe~+Ir!PI$x%Roh^%>Oz&5u;!Vdj12 z$|4gWmWVklBMeugm=AQQsTfrox!NLcrBVP}#^iQD4!NwVq*#mCv0Og~cmWXoL3ZY< zXpjwn-^_+C#KfOxnIBz%E&=|XmA%gcg?1EYNtB*j=tx&$DF& zpAya-L$pA2_qQd+?h#H9sGge2XoDK*4+6%R&d#m2eEJdpJ$pTy#=~vY<~Y5yXENn% zU*pjZ*R0Go-5G{x84@2c>rJ&hMgL>-RNJ0A5UpRxqYY*LPln)sF`-2E$5=0!L78t6 ztr>D;^rmP4cfh*PHK?Yo7nzNvS@Pz^_s8T$#+%@7@xhz_eb^y~v=uve8^ho58wAk; z(HnH(2R|O!$3$B?j3~zkpmVl}akcpk!FH#r1onV>wwR9Ap8nofp8J?62zA}v|8t+* z$ma$ym_ELiB6oLGN@Nm+`k7HI|yqss~QJtO0o zj`ywOB@*K*&J9{1MW29L`uuFi{j61Jrj*9Vi@0ih9VZa)INOC0-az;|!sD(?phAy4 zSo{`kbIW0Wj-K38B0CwE9efdAw2-1GiPy@bYT))+i>)!g3TcVvO{t|UB@uWa3QLDg6!kO0Ry(fyXgD8G1 z{;boJMIlBm`jBy6&Z%6_;|uBFRaqK`bQG`xy?C%5jxunUh1Dr|@2cibn4kVgyAIli zdRopgGGv_HLtd&p7qoeMbnC$9uhQjkLcr4NhLE(B=)k_kl|_X^=J<7;UR}8??FMG= zfCM$+oiVq}Kqxzyxe2LELUl|Ghdx*xU3BxC4Xl~Vhti#;!%w`1G1pl797jCl7)h8& zrOCYH%Szl>=o4o7%HBc`-dbjEW*bhsLt6fycTQ`bxw`88PZw0U!@AkU9WRq)S7nR* z%y}4J=k%>Heuf^Zu*&O|ZR~1pD7*Q4)bnkN#C00-!Rk!`F9{)yl7MJHQhQ586dPo;b7s0*e1)@7FI!-MsI{!bcyXM2r1gI;SZtX zHgCo!S9fR~!u=2@&90bkk@F#%aV?U;v`(=OaCJdAq+*szYrf6byx|>AZN6Z_ zi8<@?zVXKI4AM28;KxRFCv)rUpRlvD_-zOK?ZlWro57lZ#T>Lvn~K+D{2C$nuT%Jg zf^Z0cq1fvlp^i+hQ!Z`3e%31P%AEmb+>EKVsM=WmOOP87qPoO}%)$u5ytrO(=aXIH zF7b8PO$H$iBIX;d1ccoL>H6?CB}>V{?X~uOlkwOqR$Tk^n24AmE#>2WPr!?8w+pWD zd&hJ0jYroz4j?gMR|O4d+9~XaZ3n8Gm6H&dGdLM7>N1?X74VK>q)sfNQN#Ji$#p2a z8{9*Tk&%sqC}J@C`rv_5_$~qGXK+E(nvTpGxA1~z-;;z{peVQpFPUm){9D3DA+lef z$m?It=ifTC0AmU|>iC~v4ssnc94ju|(UuX&{GC_j??+HapFpjA38X%AFCd11+?m05 z3X8qb_b%lglFIt%grFsPA*t|26B9(p&21q-IZ*yZJ_|Ml0~S6$D;)Ksscqs#z)x#b`i zR@R5j5I{;KD8Kud^v0T&fz-UJ##q9WP?Z1F?Tbmzrh8W1vyex#UODdgIlC9+JFB`- zix|*U?6A_Ma8c^X&t8};SFODML^|tlt&w2bsH_*%`Y@`*lq2(E5h!B>Q#WBVKp9kb zO&4jMWhpK>NE#sXJ^QiO^>M6$`X{34JS@~kys_`VfunJA4c5e2Qf7g&B{}#IyU>Xa zQV2M6>1l&7EYy;Qb!k~<{aS<_^bF;nUCGH}U*Ch+%MnUx?YF(F#l_ij)gq8=cm_xT z;3K@nAq!E~MPIZy$};cAkd&Btd~UIrE6{l$J%14r`5^!IJ2wLVxkHr|C5G)OI1{Fw zJ)Is$COtL29);{?)Ufa7!DbO5tq@;IJ|%259RDQ6S${*$1B7f)fK<|Io6@X^11f$iL zdDq_Uv1@agKiPFJENEjp@F*U=pPzN`i`$5BSi%br5FExa$a8tfXAhANAmMyorx*HG zv&S{UvjWG-g0B>Mj2jFUm*)k*aYC5aB~ueiWAdkbe8aeY+^V$q&D*}^U-`kS&Fqk@ zX9Ehv=UJjc`WQ3Fc(bNvM;rdx&7pDq1-N@)Uew^*tN)`R!(r*t}A`@3hE%2 z2HZIK80*6pH*qK0yUF7Nad*l=VB<3+QpLmFnVk#pghAkR>%7VgCd*?g`X?wG$xUzv zwNmjbtF<3!9n12`(~4cJcm(BuYB2L4s!VHpp%L;LJyg)Cje|%eRmb+Nz`a|$o`FZ6 zY4JKApYP^=-&TP3t4rcm`PKuCPYbX6t;~3m7&DlaUtBL-gj0gtD7?G`kIXvp9<^LV z(+OkCEJ&P`!qK^NU?N+{Tt=5!vVZsv?r}%3S(KtO#p@0EdmlBOJ_LInP;e`;JLp_s zD2@7sOiOXF3L`5^KAUT3Y!sXC-)YH)Tjc)MuOt53NhkO`QtvDk@f|3Pd}?c((A&+o zmh~T1S)inzB#C8V{-Vn~iMmGi>2~5MFjLq44jg0?>0;(V>2-)=a6rT5*=;x~9!F zv|Le_ErzVvm=SiC1_ruE1Y7*HpzsRcfnX;d*#ICqhHPGMw+45&9=b}JYTj;(d>bc4 zLyq?z@{}qAA*0=L%uBxWWlBC+q+vYLe(Xf9XVYXV=n!2CHZ)jzaeV7ZHG-(xgR~-reKZ5~Yk;uLJNFTtOalIn>J0hvjp@p72@R z$*mwxwG5xKyNIJ&(AAGisen3~>me#VS}Jt!zylwsZ*qFt1eHGsB( zlYTNi2}Q+{7T;lW+ItXNQ*JI5O-YQ?_~S+68!cw@ie=ugy=J^=XmNoQ$>#}R;smh+ zZ_v9!o*di0R?e1fK*l#VH>FU^WI1pK+D&wHgl9sFXY45`>QZYVYA_9WE!;k!%pQN8 zO&saTvsU6+IUT9LElJ$Vg%|z#JDCQ&OcBd*cF z*db&4CA?D*m8@pn6A16vd%snHhj+KWqsxlXAt|6*I?e3PDB}LlEiK=z9C=l?EYo^` z>$p|P0f!(ykbs;>4#3XD~YDUhyk9`>1Elr^Fj7L-XyNM3(s5134o#UjQP z`B<{%(Jv*&2GHd4jqql5q?Xp&li)r20f6|LeoQ!YkX27W@D`t+Z!a zW-B`CVp1GouW0s;aW z4@syeyk=U)j9j7k+MbmjA~XPB<9J2&Kp2l!C4Sb9BP4DW_2Rn^SfKjQ5f%69XXD8e z?mc0F#)Sc_pku_Kf(5D?70e#zx!~C1LifB4Tro5>^i7_wuB>2BN8_0zCx1wy96`E;XNB_5w$;wI4_xJ#rEmEM@s{6@{E%&@EpH(h*&%{l zl0g5)t*$#@*Kn`(`{ZS>**LM7+kZTg5kTkU?EIG=RFQ_sR0aA29%=i`vr|?xB|WSX&D-K4aMw=?xs$K%yCbzk^%Ux#bziy(ZRXC6ja9bv$Boc0O*i8e` z(Dk#0%=7RK$1O}15~+>0c$~{kA`b$kDYevf#&rnikeVT%%AiEq4HHV3F|B`|MXj63 z!r=+uLLnBKIM)C}vkSqlb5w!FFGg+_&BDiVk~tX24{`|wk(D4s+_qjn>F|NdWNj{w z#OFduh5oVS|CW}l1vJZ}x^w=G=R|kA=lomZRv=G2p#P0l+LEuVhqhR3I)c`0@O#pN z0YGGX_2^vtSr2!22sWJUsfvH`K{R5ZXp7?=QuonW+^recqNbCT40m`@Q-3pEdR)R{ z{=Gl6Z*u{e`E>AqHa94xR~GMWgi{+8 zKFvh8F(;-#N=p1?7fT?2al_%;z51c-5^J_U$MMmtBb~?G158KLsXnmE8Rjp8bhL7s z9}yjO-yeII;R7w5G;){hqRS9d(52z`@$4?ZMjL+Y*f2v$KA#EJ+K&6qbjKvOGve5- zG6#GzXm`v?m>0ykLMXlc8=`8O#ep&v5KSkb1%(vcMHUeqCHiOM%Fhig!`(610)g=C z>50aQo1U353*9-H!RiP{RM6e_0^X<6DDftCQ#i&_jMmkG zkiA*A3$03?gD@1F2Cz8-1`ZWqYiMW~4YV~nd@ z!tmDc>WNWzHQ+(~81zE8klMrr*9D=t3|QO348Ger z;$LYap|gFGerhA^ocK_=5%3k@Dd}aLuHI4?4Xd0BjoGa$5n1E^vQr82&M>BCix5v8 zE{Z(a*Z$R4Z_SHAjPQ*Lazdt4BE(zLPW!9j5}&=moZQ0(Pm^*K`ZY#p3;CVzbg`F( zksIVc{I>}j=t>k!&2aBk#vS;`;fusBCEa5T7qRB~s?&nWNZsyXKT4$$5mGQbyvr3) z33T;UIcaFuZ94C3`sI6hV1D_KLfC)HBgbSi%``QZN|a>SVnIy3y->j^uGq1lVqO-% zRxu;@9qNBlj6N*MLF9YRKcEwgnp`9@v}aQOlQRfWzuk`-cd0f}x0+7wfj7c%Ju<2< z(6tD&uHV1qB))Ot74KQ>P`${`Nrzp5_)AE|;2!4UYyRRdSf=r&&rUoiTz+{<`S(e+ zfmCELnLnbj69K+!rbT#qMk`OiV)n#wu%Sc^}s zNwj$#M)Sq>_b&0z+9%+cmX6dNoDDd0A~&K>aQcB@-UG`>XaBe(wf9f)|4Tm!Y*(NG zEKHNArQ~iWcaw`F7OQYYSkrd66pZo_ydQ<4Y;5xlao|>9QU4`+39#S+t~1zptV&&3 zzmAl58`ufn5d+2&GBF+Qs?{`=NCV%6)^5{4(X|GHKWskYp?jPG_05%3Vl(*)&)){r7F6TXp_B~*sKU}0jC`sCw0RWolb zIy*Z%dUE9&j?D#t6cFUPCXIG0z+rk%%L~c@_QKnB8{Ntf-tO)T*5#Gyh4T+P%V`%# zZenyY=6It-KPKuCl^pH`EJ&3&tsWWctf51d)Ds)lkC}>@W_^b}N&HLbQZQG`bNRFs z1LufU0%3Gc)uAvdY5~hobhqg6AX=jBF$qYtFf++-2gFhZY@au5RJbM3vo*Bj$S#q##T<7PP%nQk6|j3DvU! zlRe!A+VB%(URO4HHp=M{f83<>U?|5DkQ6I7w_&Ck)3qw=bV9zVHxEkmcwa>AYO{sg zWYcC~9lxB~-7T98qZ=K#x(;D8!>uQcKb}BB@P}+@f)pANfAG^#;XWsTX1>ZvoigCm zhpyNflmRaluwPBKN^2yh|T{KGk{pQ!*c>j~mhS=9-q_PB0#WbU1!jo<7c0 zwz2b;eV|IO7?t;@@vrab-&66%^>q#p+!y`*#Da9VqeHFudJ;3^$F<3AqO4orSigo4 zn$qQZu~13x4?PIRdm~_D*VStqIr`&8hZQ+gyJ};8EYl_gby2pADk&-H@ROUiqNGN0h5%yTaw3g3N?xH(A>`4`qL?HptC9U8dJ*Uvzq-1M@LldsBGhD~+#$D@S4m zGPsK`rx51;2M;k^DUYGpp)QjS;uEs<8sYEU`6=5Jy}WE=M+xQ=cm}pi7qgGhrdf=j zz>~DVoi9DPdkb8pV^gC}+R?A9)H$_g@2ivtbcO83Om`h?9rzK`sTX2enc2E){sz0A z+2;!~aig;~|3u#>>fS47sS(I;SKz)q&YC@8g6vxb42*i1ueKcRB{H%Tqdaa|V>nk& zn%Q3JvaEDuVO`W&&QQ#KdnTX&GFdY(<>Jwd8&Y|zdOV*qhh}%i-A$dMqEmOAq14v9frwnsY}Y zqXQ6=d~Q;$oU2r~I~~`hAB2kZMPmLet>*lP(U1SNPGRk>fzD&;tiz%MbSx;8Rvb|h z7nQ?`UbNYicAi-I>JuX!n?UlLu6*d!?YZ-FMupSi1Pm=I_Y8zG#gi)mPiuOt zLm+s5bo$ZNRO8-geujfD4*HGI4T=x&Tih9-UqD@)w^k~7WRKDAlRY5Mup>5TbL3eu zM^vl^@5YN`kVy;PHFMe0>kmv?vZ;<0U+?&JVv7`Qu$9lb!}~lXQ@gA6LNE;8*YjbP zf8-c*)q!W#I}pG^gRzZnB0OIEkYIcIr*Z$i0(uEkC)-&hkGm*g;#b`v3klbw!o*R4lf#Qk>?jfR1VXpzcnz{sgPJ z!wXYmK6ozs_U{_@-I_BA{O$48peH;W2e=-)8?sVTN)Qq41bIG#6filjbOe}a)}`i-FqpH zC7W|qpoKq6iO&hFX*-jJ21MYl+$%DsuZUaJJQcjGHnR@6rP@~Y%QpO=>|0)9E_FR_ z@tsr7CQaNcISB(dGbmk$3SqoU2qHEZ~kMqyY&bHF)&$hnD zM-QQnnO!3U-TdLDs6#=a6*Ae@ zNcP1)-ecn5d;phi!%wny7VbQnYde5095sNhz6+6Q1RvimbHeZ|;FsE)NF?m59kH)0 zfdIjkL<5B{qw6(*20I-?ZR4hmlig(nVR%`4#kGjCpBP@3dzmZVPvu--0Al7e^YDPS zv9WNXDaw&a=eFvbe(eFD@%7r1vk5Q~TALahw+5ft6uD^~Oh_=2Z5z?|zIc15qiX1E zNQ{LLtHfVZnsn34l@TtN%v=8eR1JT4JuC8+)uyWY^XZGzTY@+$kUb_%V%Rf-o%UiD;d6`^Yy{*%qnVPQzA7jt-)1` z!GpQ(^CrV3B!M~0`ZO@Z`nLn!4_7b(z%}KQ!tgB9G3sOLn@SJv?0eM|ASH>=152G^ zI&yVd2~gQA;Wli&w`)8fDYw7#vbz2JW1AJ-r(8&715V(O`i){ShOM?5; z!KBhyO)!m!%NVMoz!2&D0kW89YIcPe{ozi?3K>*YH0aTOc~q{k3^c_Rszt%{DJ@da zC5wARK_-*$vki!0LCrlt5O>kNVWu_?(RN6Tu=?$&<#Fv`m{5levzw6rl=yX-&a&Je z9}NLS5l+M5&Q2+}mV4~i0M&6uya1P;Q_&C;qT>B!5_UG%5!0#|X zRZW|PD6hlR@1u=6PC+3drb>o410uPzl>{})HpK-ZMs0n+Ts1k!gk=Qp&gRaV0oAr(5`eDh0d0lF-^x4=Q4RRb{%<5 z{CJ|)f?}7GPRvXDE1T+#8PGZmJesV6c=u&N%XpdwXMM@XO|wV6L|{=H2s7o~*dq>S z-8BX>HtQZdG+yh4)!SepC2Rimq;;JJrU7!s7H|8-1*#p#x~%_97YBrJNE9FDalNPo zgp5KOHxyI;d|L(mg8FM`W@XjBd0|o40%~eUM@Nh|2aYCRB4ZDjyafmaV*S6@*FVF9 zs}je!a3of_{ctY{Wn%lPXDsed8OkK_0gGaumDl03?n>ZSP)rs~-H|_VMLUXn$~s?_qgL ziLSf;&y~IRmM^12)c@JkgI5(1LF?GNQGBif?m3oIl>_=kguZz{mGXb@V!Py1?ilf4 z5#x_4RukrO>HTbQ_4Q>Ku>G0k(fpA8R#E76j`v$S>+9=9?02s@nvsTYbzy8DPP%+= zckfTSXJfu64ws^*HfFP?P{Y!VoNvv%zG0)keIb_H5_A^cq$zBB6Ar~vBbdS&Hm7wP zhq>~6&!&yq3PX_Eae?&Z{SIWtYGF-yMc2NMd!eqcylHgFHz7N9JdtsDvuyN{*`sf* zEaI8TUG}ddp52zaUv>NmF}BlS#y(!T)fA21g|7%_Ei$Um>pYcR0E@Ex9skFL1`XcM zdrjK`6XpT8L&HS>jXlZ`3-YFm0_lv%vttGei8P&3!%VlLFZV68-69BU=@Tj&>RE)R zf5ISK+SEj9VPE09H*jm(2*;H3!OHl@A@5XebIyQ@h%zsUY3+8}{iy%XFO#4(r3BY( zUgO!<3xmkt*NT|0SB^~7ur6?oNEt6Y9R|G9>`y5eGK8ws7fGKsRAloxr5zR;^81(t zfmBsCXg|O~LjT}=!fO>U$)m{!yvtwKo2d$uHl3;`2Sa+aYW)h0Z1+xN0rO#qfkOrC z@S~rHpM-%bR5pP=Uq^#S;pdyjW||HD8gAvk92pRHPmO%0$tn$1ID+!WwDh;{68t>8 z#t20n9!Os};_D}JKv>nsQ!w(x2`|^|W>(&B``W!$l zYP=A}#=cLM6r4*pceherUjBCR=$^eY@&ma&%l|{yR|iGizWsuzD59u@5~3&|odOaI z5~6fBEFjV?-60_=pwhK~bazP#3Q8@#Gzh{H(%t9ccg}m}edo+!{NtH<92NHaz3=P# z{5~kZ@5~ljoqueWXo9 zd6Z^7WSXNo2mq%i0bV+*Jm*3{MAmd4zg zu(=Z_+lpv&bdUdzh$Iza+!p;zBGZXka?;4ecaUALsBdh&Hm0ohjh%&^-Q(n_FQy^j z{^@#f*zZli+dRluAUq@COo%9IRRFl-$376LuSQ}>IU=t-F){^-+Cr}DO*%1k=Z{55 z(cs}y)04NydyQvaF02W?@gDg*P_oC!k+9s-P_(QwCDT68b)*?5u~&bypYe%4j)?3- zTI}%D$a^Y+B%`HE^dCYSQ}d%qY%qlA&R}dcB zW72;yTpy%IT>V*hp)4a$Ea3~mfV$mz-Q%}xl{7%CtF@e5~J{et`ey>TbllMp!DrbHw8EGC&z%}r5?9HQ$+iB&V(@URV z{CWl%f%e$*sFkG}c!n5WHAOZQ;t$oua(l~L*xn{hOxDW4uCLq87i+X!IpV%cDD-Xk z@K6B8g$eHLK?`n&R;G5Qx`n#F1JbW?bV^|bVy1!5Co#&e-R?8L*8DaPklPF5!DXiR zKOcgQb2>mfwB_`-g10{?d)W2GvRPAyd397qf@s&Zv}rEHW|)n}%2D1aY89qHJ*^@a z%niCBw;(>sz>&lqotx|E;w1uenGkovtZ17qF%^_bcV#7hj(`y}D(cz4V{RWwSUba_hU zE_rn#{3_2Irb{~J+lPo#Tl6ngra+9o&%LIB?2~hm2##;^*)jHRc$@rGh)iRXf7pfeJF|(eBLI9{YLM?5+#isk>nA>Q@J$Jjn~aM-*bhrC!qZr zg*><#!p>zq``s=LcsR&7LjwwAniu9`fshB!WxXWfTUGZz&rsj`?_c)UZXy=Y9A<8M zT3Q>oWg)qPeX)OJg!~2(5pGk|n-Z-F(sYJ`{QMLkb~>^u`bGnoEDor`+u+1NzDa@W zl4TsU70l0#3-a7q<*uj|VK^b$@=lo|gR5$~WSSpb^2V7Nm!LEA+sCD*f#y*NgrTd@ zWO*3zyW08Q{rl+G!H>;WRC6mU#p@$M6~@f-BfklHcyKbwwCPujrpyWu!os^|hsi(k zOc6xUH%yc3-8rqkJl**U9gghG_4V?<`ZLhVT2%1qZhe7tlLybkk{7GB!)v0qrL(f) zwg+Fy6S0eEpi86q(w>X_5HhIE$I91(ytoyiV_XSoT*v=RMI5$17#j`KbCJ!vrS5w^ zZQ~(N-(lDkhBw`k+q^8kTB%KY(c6f5)a(Py@` zePN3~@iHAgdKrs7QVs;rZUZ2DzV35$IEEt|Vqnoy2pKDfFQzZGXr+)D@3hvf9{!wI zO==qCPrKS3>6Ttht<>$5#7@vbUoy$gD&r1LX;{JjgGo(4PHvxjLp=r=%dSXAV|>ti zxUr-IED1UZ7fB)aZ3fQQ&FVsMCN#m!F4!iMaeHWJNO!Rb0+v=NVa?<$-H-3&Kz*lr zfv|<^4OqXiw<4$eMxeM5Iy>H4<%?%Mg*dA2F{qzGsQ1Z<{So`aw$<=rVT8mzT7o`8 z6z0xhDc;2x_mvgIO2tlMb)kjts*}4H>gv|Qt~S5;5!wrpv-bLgv0{?mTdsZobhCqf z7J8*9aHf~_&jf_`r}6gUf`o1gh>%L5m5$8CuSGOiV!!nLQOOzTEF=8Q&bxiVH$tO4 zF;k3jZN-@qBG+vuhU;5*bw*saQ4tew?CgButu0D|M?}r9*R=T{LrT43=3Tc)P+M^k zFCpEG^8+S$j=_;gtPTZ3I_VQOn4gJbhs`a2Zo-VE@?$<#Ij6b{RQ)LvHji6bncL^M zz~(acZgi#c&R*`BWe&Y}Wqq^0$)EYF@xAJ#k_5~Fp`3$ghP%osudG)T6RJx# z8&;ghR4!LIw!@YsEnr8g|MJmWg$ub86()BArdkT+o&!Bd?5 zpzE!or5zV-YOfi*GF?B6wZ(6wwgXEdTA{r&lM6mrLLT-34FW}V+`nh)pROv67jSiM zXu}(MLozRA`OoC?PTkaKO=@eRB8y<)zYiZikf-@E{gXa1O0YilOLy~5D$e)!J5?}h zubWzan%DG$gOoBNSB!s;f$1>)mU0P3(=N2Ezq$huD0m;|XBQV4;j$dp) z=Mp6FM*2H?6=dXXX|x?{FfQ)o`?r#WB}KoO9mNPtH*HgyJ)uBe2_YTd@nRBgvU)ct z#BrLahD%09whoW^oiHamyXjqhF-$P&0OK&XNz7L9h}A2OyVd+w9pa0guU2pQ8|oi- z#WZZwL9o>0{V~EZ2!+V>yu0QBwqhm^vj(D9hK9Ik#9rbup561GUMm$ClU5YQ9Y*Z8 zE5(@0h0W6cP@VP_l3ZPKO$uv1 z*!}&xfXCR#L+tr@tqc3-uZ4en9KVfxQVk3<|FI(O$%N(rOaaWHx_wU&RMyAbe4;~J zmBxbe-dIJ!r)o-$O z85p$|(O<;*NF%iw0KY!eFp+&29v$73ewE!+1sfIU%?6#birwL7%2*3Gi^W zNGJSqb=iy{HOZ@?y80cY=kaCc5<-*$I>q$TgB`OU0rq^*48OHWmhIooFB;C?yW))a zvrB)4T+VNKS#`M%z8nb~3!P&AOAEkl@Xg1ZQNC2rl}NDdK*S;5?5nD=)h#C3ty(M= z`xKh$y7^BgfB(J;Jw@ZOyYBVmG|ATTSy>@`I}PJ$aTnDhDonV@UG!ObUYJenrgQ!s z*31?&y*_i8(1Rou@F9I-mMschL+&eoN7Y*jRvCA{wIgTu3OcmtG;jB|QwXsAvlU9PdHjmd{lBBI5k^QCDr zFD0l~%V)h6OTD?>bSwHWw}|H&yIqtqpF|rSY1Ez}IpJy8kY?ZO0@F$NAnP$$b66*# z4gOoTfWeZvfh=qNP`L>gn=H4@QU%~8mS?U)tuQ!ZS>dTg9w!9dDzp(=VCl- z4dLYE4UV!CmI))q7%G*(47W|63V+KX1Lgh^XRo1Xfeh5mX!8DjPZ_1G9pNOD$)kBE zcweDA3L)bHs_XQRfrod$nglo4ijzcgrl2Bw5a4Vy<<}r8+{v^&v%X3`{eHKmq9PCt z;)K;2D8G*37Cj<<c zutm^TS2(#1G`IC{Y~aJpU61#4PLjumF`F&`fwh2xjuKnripQ=yT@ZF8EVC-#Ds}VG4 zx8jFSZwfl6_Xo0sFX5a^YU-yT-*XzYTi-wNU4KCXhjLwM>f!OgqdY7=$GtOfrnHKQ zQ{wpOEorqo2XtSpCu%IBQ`uop#Z*}FOd3%~>3z4m6851q!-_2yz_5}H<(#}Ph1g9jD(f+C}vI zQfN*u^CACm{}rJ&`_mC*>dhAh+REzURE1k8%cpAK5co*iRb+o}*rq*l=>*@?ImY;b zcBSNxm1pOiE=X6;Z-N@#8<4Z_LNEm3qrXP>H?nyV-s$xpyWNnZjYkQtFKUA>DJOOQFvzO*9%P)gEz z%WZxVD^S5N&mrN^-Un`8$1Ng`QZv5h%KU1H zP6cBP=9|0?S*b2VIIRr;GqM-m_+FECGNA1A@4QsiBbW!JZM0E$*GA1*JXt5(Fj3NN z+5*AAs!g0&dT$ijrE)`yWfg*pjyqnWQu9lLh!(IhYGx8u3*^INr3!l zs1-!Ui6?QZFLuA?;tqP)Oo4f#FUH^_`r`}%GBv`t{t~H*&oY!ZUL86*fR$dp7uruj zygVssi3pJ*d#ytm9qfH&>G^bp8V>vzkoVLkj|}JoFEyFS}Yg-<#$y865F!v6DK6eoJA+()*yh6BQaRB> z&G!Y;w;e+3`!T#%sRNByl6Obke;!cQhZBqq%~~P0oDYVdn>EjiOHmHSTQ{Hl2)J1O?%*865J+l;brYzV zx4(ab0YgJHMUlb4-26AAmPO>>M45IIYwVd*kjVt|6`d-rM#@d(Eg=On26^RCn6p@pxNY^`_xSa8O=w96n^ESfjq-YBgW*qo(he5M`g_vEuj5NNcMqHs<3mp?m76R zzxFNu__IR^(jIkvIzLgfZ(g8!4mv-~dP1(kJzwZh*vyO-Vhx`rbRvh5x?A-?~+W1JNynZ#gRg z0fBu-DSKd3CQqQi@4$1?%^X*xGD1szaRu}$*{V#?x}Gz3C}POCI!d1rZaJP9O8iJo zigm7B@y6x1Q6Hz>YDoYOS)1l8vmZwC(bUARUW zV5)}3tz@mG8h39vDm9j4t{9J3vV5Vn&C>I^cI_JV+mL+HRqVM~g5GYKR71g=EoMtd zH}#F|E{nWBmnX!DC_yyiUV=59r9{qV^luYPA2^VX9!>`@!2W~ILwh|d`$-JyXV=Ay zm~j^{ylG6z)&CtTi}@iI*XGz$-_7;U_wUBQDbeM(KTg_(nLWZbWs6ax>6K%5on-1O zv6at%J<8jdJ7#%e@=cf}jWNX71TC~OySmDD`VW0d=(D5YGuM#k;Q>TAR~Mc)<4?CM zf!;Tr<;KypS~Wsg+tIcVOevUH^96fatHV7Rs`$pg5OvDe$WE;`*4PnQ*#2 zx+|Ro?iDcwtP1i{(f$_!Dk`n_ze$ZqL;v6srs#Mp&^%P*c>_rZ0iE3KBt{6SxU@ba zb8+?GAflXR3ei;_4_CXa_PcVfhlN$2&zcFBCe<%l#Ff|S|!85wjjzdk&fPFGeCu%Y;1(rDBuL*uts zQEpQ?Mt{E)^Y_S^E&C=Xb$Goe9t63k=>ZX@5Zk+KrX|!=L|){dxl)CYC@3xzyAVj7 zXQo5&5EGN3vg|~jY@4%VRTQKOnzEUU7-Tc32QL~N{zG?n*EyRt;HrsPOYtOxKcM}) z;Gw>d*6VvxNf-66;)}2fT_EQhk_9jVrm@4;n z8#p4=2|UZJpgK6`Kznck+wZG>6_+FpoIK0)@LdUCJsN+!BWzJzh5eM2Adt0xAlGc? zDrtHDOJujBKxmQY9JA}m4h9#;w&5W2S`OZ^_WW@}&^5EEr#@n)5trsF+%CU4(o#+? zx>f?0CL4>YxTU(fdSS*#%lp8qPI!8pkFqr{@D=+eN!v}oPeDp7`dQ{>8-pR=oAyUH zNw-b!s`lhi<-U?qG{ZLE7`&o^EBu#^Hv8AuVa2o3pNV4c=;tnYE@w{YGFVyCn^G2a z^MaH7BJiVh@7zxhu%>le0%T0TxW^cdfV@Y@@DcZv=;sD-U4B{i$5JdACSM^gSeoJO zx0$tmLUFz(9{wQAhB>qikl=a=r>$38j>m;ERGIiJffw@}9mW?9UY`xr8r84LazxNl zEmI}PX4(()vS}OEa~x`~rOCcm%ui)V@A_L%?BIoZRrv9SH(!*r8*&ch6!Y`v%}sHZ3tp-lrKTpo zI5MR}QGUGhdJ)qbM?d=dZo^fbbUXFH090zG)vqU<6MVz1L2TA`jlB+MTH0^gR;PgM z{7pS?>ES*B)!uoK+JqJlQTxYfThgJFysO3sM>ijRz_eqx>QdZjSt;%M0YrR+Ky9iHT3ycUm>>@EA6b$9@DWOA#y;BqjE{_ zsOfL^MF&cALE)?UG>FB$=$t!C;(ab{vw5_QgBGL!Iml-gsOQNC>1u0Bs|JupvVt() z;T7lZI0em)wmWssMl9C{F3yO*+|0IZ=h~%sxrhJb%LW%xL7TPoD^7V?gVVM8-@Dk` z|IxA>Bxs*1zDQOk(f2kzQsA09uQ_=--?N;NC1Z7>cNwRn`H7>gv;=&t(VemK=z3&~ zlW_&qJfJPh+$GAlua&jvSbo#y8jKhQovSMhEg)sUa)LlXQ%lPpnF=z~RjDPxF$GWo zeO~+ygDL#t9@)YEY|RPoyY+vJ?8wn~M9>BKuyWL7fw zlVs_;TYl`DW>lM>fl)zYxgN9Jr8v4HyyVqm7w9&?Gl}WDvZGa4R8%`$1=Sem{s3;| zYlES9n>N&(|Ngyjz7ejYmv(>u$#5?`#=_bp= z!JBC~S4>zdQ9Rw^TvAqUte5IFzoExuhbtu_xzb3EQGKHkyN_3i>)6pi;rnXzlt=nogcZk%VnP_wrSo^*FTWWs%d3aD-b^yXh#s zAd!_-%}Vlh!*Z?%M{n*8loiQx2n(W~1EDcIJZxvFJo4%F>ydR~$}i6V+drSQG3__z zJp1e`YBa?NubnZ$VIpRI4lC_7lt_3^=ZE&xD4KG zAiGS6VTe+)1H8ZPE;;2}l(Zh*B;?Eko$F{l@b;6nD!~ju)v79L7c@_wlcqQdtONvu zu32eRwdBtZkLYA`vp=(jxOSCIW%R?0c9k!GeDO0gaPt&RqeuxdfB;F;tQfO~2+Ri) z;RzlLWO0h|F>34o4D*9-BshLpTQp@_U)mAd@zn2g2J-w^sHb1A77c)yBgtoRKwcX;j z*nmf%TKW0P2{`gWm#x5C|Mp7+%$DnCZp(qodZfa6zS*yGE=Rp8iGAr%Swp{%YPi7| zPBUC~b$zzCV1_8bA#q)38f7hhRGpREm+PhRla*xG_!cGYFV*XZSL8 znWCcP5E?@QQXFor0C$}Q7aHXV;UwaFam4|7f_@z_IF-vM$2iCv7q{~oyXE#GuRxWF zJCY;g6(H8+wpYKWtEL-SQfkTvP2DHF{OdIr^@)5v?viK`W_e-ni2C^&e1>5m#oxZY zZwtSV7t3{Vp%?qq{6ZRV6H0U22PH*Av$C2+=D!XLkuSYaOI?QQ%BSEd>`(h(PAN`( z>PBs`jH|DsvvsIagh8O+x|8JN4|QV|Z(A1z^Ajww$b+cCywa;btN!yYu} zp3qQ;bF6FzGy5brT=CS#=rDXcHLehcV3gbSoSrp0(Kdg>i{E&q@X&K_&QD>w6evzm zWFV<4DeHWnUSsFx76LZi+v7l8KV5rOHJ0R7=+5ds{^a0py(fSNa00IKAflEKhQHuD z{(f-a_KM+!1lJSU?Eb}z9rpr-kk4Eb2W2P^JtoRZJbD1`SPqM{j^4O-B9A8Y;9Ybg z6=JVGtu8tPvnS4UjQ*>$YO=-NAx>qBV^>eL85y}ir-uvxel*J z#h>ZvrVf_+s;Xev61(5%y^Ut--fAw@ik!&&qaL3hx4i<(!tUM6saB_3fIZ#A zGx716TA|@R#)*|%ZN1pyD}vQjdzl>4{*y+1TyC%N-5I6Cr4#bYe0W3CL;u3Zw*``J z05>V9s-do43`T|<1|AEVqs{_J&i;g!K6xnye3xZthFZ$|7pvvuxQ9nObJG8niHu6x z7#w=_HT&gk<^|y(I;~j3yG~`b3#jjE&*x&6Yr0CO*3xv@YArvvUzNSYh)~TLFk2Y` zHZh9>QVm|zYwPR307ArH6>#77_V;Pr5b5M{cQ}9RNvEcvYH|_NOA3c>Y)VFs=9ln2 zs^LFsoLkPf!on+cjr~fxoS#j?Fnt_phZ`?j8XT> zj+i|*6;qeV^K!wmaL!XW927}a`cQHO?VPqNw`mNAm63oQr){G^x>w)AWEl`N#*m?U z)WP#rtfn<@%e$_j`)Y!d;EX%)yhRr?yd-hzoU+jm(|fX?uSMgS^Br<|GieX6?VjmN$i>_G`j2WooxBV zG=k^vhVD#5PNa)Eb&1=Xd_%>fdjeIy2dRWOLT?d8F|YG04CViEuTd&@yAkcmh+uch zN%E1DS2X67p6g;hWOQ$h&)o(8^$gU>?`;sBtrKx$GE<*2-k7ZH3R8M1N)*Tu&^?=O zf34nowFaBG5gV4M7;4`jPhe{RV{0aauGia<9ucxwO;RmC>*F=cCY!pZ_kYyA;dUQ{ zRFB5oDaw6cZ*NH!1zX6&Q4~F)l3lQ7svnI2(9MNj@>&tsd=xh z{Lhquew;~|Y?nXxmC2R_6*aZMJi#>Ob;pJ+-QJok4~FZvn;eUbgfE}&Lf*o6w;x|} zwhY>yVX`S+{^t7TvPSK@i0mF`1+Km1`|!N#5|f5(iKYZpCtB{q=oj_64Ekx#p_BFg z8LwH`U2E z9144jC>t!!m##*%h@He@$Ue{xNoTL=AGB*E`rC|s=a%uY9E(k_?&iM9?Al7Vf|ht! zpu#{>e6Chkpno<`rP30|Ge5=)ReCVSVHv=>YIlP)Y(&T;v|UX6v;-=wuVu6_k3#@R_)H;2Nt+gt6|bkqec1Q4dyOeHDO#c8*OxahqWw)i zK$;rz*b4$0lg|+ec)oBlqbGr}nK1x312RDo?X?vROoOroi{+hpdn#=nTpP1LHV^8y z(!uyeLJ;^sE>hiU68rBTA?(f-N{dn3RyydQ3dP`sW$3p~GuEN7{H0v92c{cTup4N$ z-8Ksby}&l~>uq5%%2UQ^;2?|IG{g8p|9S+NQSRhv!zFrGQ?Dw~NPxHUUbJ(T8^srv z)xTJbo_7LOmQ$vZ^objir zk&(C!VdhKTLe#a(jmMiEtAcRh3hH>&Q#YKf*w$h*sHKc=o9P!&eML3VO7oXll*vU& z8@HCd95zJ(Z12_EE?Aiu-OXq$sTt5*C^osLn84!DjzU)^CLmg@8?^-3FQOVNF(Ci-LzIULdg49 zJbG|lOaFn!WGe-;?8JAPYR7hIjya>l;eM&9idop$2|a8QluDxEu`+WN$vg>i;TDCI z96mAII!6NKSDEuac>WDVa8bIpj5AC-sH<{HIg{18 z5?p0_Fi7bo0JmrdmfeN;C#rVDpqd5^9`gRa4=9A7dy3!?J|TeX5>ukPOiaIgg^)w0 zbARn70441cyuJmD+62*Iimdj6R$$9^|_jw6N#m|3n zJBQ--2@d62TMHZ0%Lcn`?_F!ZA<*g>J|!nGyYTCe?)9Wasct+2fg)F4CE>IUeV-Q7 zv@N~4+wH=+DPz25jD6`2E?jZ*Us7(d{MSlq527@%!oqp(?!OLed>+e=uu9y-gJjT$h$k4DLr?8fZe#Jb$$-h zP5@$mhx^Ebe*Qw{)e?qSR(5tH_9L6zJjE|>bQ*HIi**&ONku8^cBOZBrN{X)OcclvEqH>)5zpGyJ0qpwqIwP<*HHX!}yLM#C=?4(5Z zg|`bc$P=OhFBs6bc=U~`4p(HgJ6(ZO@aiMkp4XvQ+^=`-6YjTuI9J{vpo(af$Ie*x z^~YTI>U;z1sjxTrVo9iN=mgc_6&c@dL!{5@wqkeKcc7@iCwS;J`@vPy) zBMs*pfOJocIJ&yNbFK}&qwjeO$0WBBj9%_X@3}4mXUr|uvu-^i=4riLsq;MG4Z-(- zY#fu)tYxRDjobbd#nzJ~*T!}~wKSvTXyP9J_WQa8Ez{7Cv8p#pMnUN0Q|Vwgd1`~s z{8aMH$D^M=Ytp4sAxUIQXl}^Px-t2$Z>(vtsi32c30EAsF>-I4cElfh7dv=xzz+<_ zgf28q9MGrl6KjhL)9S;174n-YK6boaUN{F_C!H2NUxWSLA{kK7Sw!sm`~89DD7_Pdw_UvL9T1Zaa~D?-`rsM5Poq-NNLx!gG&%6i!(p#$)tio;Tcyu+!{OQ&64L$}k$mg5 zzL}P!zvY=gxQf|z9kk{z9lr`jZ>VdopW=DFP=_gxgO)mhYx4Z0Z?_!388nfWx_ ze5|?8=t~YC+7Ny9r^dxW9@BS3;+7ddd0Em=YtNTz6eye!BNTY1lUvr8r>aGyO51Osh2?gEffyF3>Ag86Q4Y7hxWs5t~}A-NthALsfPVoEvZ zY33%8Dq~R+wzEe9G4o1WV&(jldoQvdkbKo=rt4Mi7vHruKcLGGz89WVs4T*$@2JovDbvf?S1-H2-nJMs5WnV>e1q|@j;yf-WA&&- zZot4C-41Ig+H;;8$5+AUuz$5I-F+|lFJb(i9&;5ff~c_LrnN}9%a|ge$(x53VpY{D{w~+W+!k^=F>um2G$=?64B)vQLB>4o{ySgsq2gN@C z;fCPoQhPfAjO$px3X;Fe4Eg~(eH(}w5J^qMtmS1TsL~ijbLH(_QwrMmQZ^~5(jz7^ z_kXZ#JUI1+YT$k1YtF3ax&^tQPfvSiNeMdgdn?7D1__ZU9bt(E8*J4&P>i7+aK=LF zR$4(nx16m97(vJ^g9x+nA&XLA4b+`m46U;pcL5Dw{RZI%h1WBbuY!u~vwI=BO*=cz zK&qZJdd7zJcxVP@OF2X0Laic+FIXqkPgL?}WjSYDgcxth*Ni#{SM?yV3#&=e8ETOY z7f3#VJc^Em!fmO^7h@J$kEMNTLtZ>03Ho&L9_Ho=CWx`qqw<>x1m3L!(~i?L+ZNut zymOn|j5+TIz3R*%rv3%ODSD>R`bMA{EnVgNmzS}ZFmx?U^K|(A^&Q^4Xa|!u`~dTe z_;M9Q3#j>-M@(~uw^jwipPMj_Y)6|*(ds2g>tInvGLrTX4*QijI=2Az4=e`Y?Bdjj zlm;rIxTNHdSRn|ah=~UwtQwFK3_T?*{Kg49{0lJi#onU&0M7#~hu!=p_8RDFF)-g5^Yzb2h%$q|=vXtA{ps&QG5vG_suw*%b9E{{xcGQRl*pfarwHCw1^n0zq0w zQ4DK0HxCn*@#fIGlz|d}@Y{bC`;cgY1i0$f7@jFiO!YBnEEwwUfAo=G0y8bIZ;qHC z)s2bFBs5l!QXr|DP)>ev9Ri79;zsJ(hY<&oA#mW<_@H6hDab*Z34CwTX7{%YbvFXW z`yYSzv1F-}mx|pKF%3cz2=L<7F%{E%=Xd-SG*5CVJ-tPzV|-&YKrK;xTkI$fLCEu( z2D({Ac~$4-iwqb2b`RC}vo4_R|0Dvi)&`&mjw}XU7b{!oce&K9{Ea$c@k;+P?a7cy z_Mpekkr!~6kKfs|S(u6S#W&W~No4igZ`A@xxjf7y*Yv~%FLfuhZPwfwdGCH#jbTor zbJz&)rmb?ppLbfcfjY1Z+a|~HVOUNk2*#xL9_7FJdC=7Pw@!F0ZDT{5M|{t}$4d+Z zMBnhu3+$V+iXZn@^0@d1>8}fpYBZRf?Yn?&v_kZHX_F(>xoM#6z>^vX!~JorFf3ua zRgEjF-yPo1MoG&#AbYaEp8K|&_Zs#P?45alC!U%)k?w|Dmm3e7RhN|1jQS)If!gNU zMBipAS?aKJQdOr&Gsc})FH2S1s6_49QGSF!+L3iK#wZ4K!MxoLp6h1`3=64s*AGw5 z%|Pd@xks1c&1R-$DM|d@iFO&jsNer~#H{f%u_5iMpc*{!_K}po3Z7ae;8zK7kjTh% z-bLyxIuSqfyFpQFzKeBLvne&IB)nAY|94zSLQgEwQsA429)q*{pL zroqgnsmX!(g!0du4YI|VL*}*Qi?|u@eYMep%w0#?fiEJ&7%Qu)&YQ_cn_|Dx+Ol)x zZQm+)RDK8exjbaKPRz_agmfq3o^KOu;HCJ$qh3UCo!q(KRM2XZndjVFQ>1&7XiG7N zh4QUD?$D6v8ET#yV*iRv2)+Tvt1sSJxAn5&}B zA9G#5-tBx5(g^6LF@zR@Sqta-1=Y5 z9ai9BJ7MAsMl+dxRHC_4&6Tq0qn2p)a_EQr`<~HnIas;R(sIO{iwCbFK8zk|X3D?3 z`k?kV!MX+E1y3?Ry+(IbURF|j1WzAs{|UI++*;L1hr#C@bLAWl=J`2z(;1vufbMnE zGcDn)MHhYQjC;({zNju1ffkr6<%9fU*$9 zEsl9OclRM<_)V-VNXYsAKN9kkz(_K?45|M2K5yRm!&Jp$4FH1i8~kadc`#+bLt)Ba zJ}J6vSjLGcH_*saV_{*bzRe2K6J*Y{dfUdSmQ*_DeGe@eyQp>WDH!Kl#w*`XD zLMk_wXx^iCEVS05?g`$z(_mZB)0xsxUjDat;K}PB?qmInPayNU{xo<<@k;uwH@bsw zCvB?gPkXm)%!g@;SwG@cI=brhJBL1Y> zRP;(Xd2dK3t#|#EjZ+tMV2}HHwTN98{8(Jin4N}O=|-Pw?|#OF?*HwnPlLpcxC6@2 zRziddWB=>JGaK4p0`Zl z_(ISYWfN(_2kXBTzIk2>X!=sMVHcUHB<%CbmCQJ5Mf8pvZY6o7)AX zJTBd0T5EI5om^0?-0wk|aPO6|OVJ4M&U;epw4?4rX_0JiCEUVAPg3W@dK86`%#kty z`?Sc-o7Z~U@ls>68FR!hjZbAXFj-$$7Z$@B=K@euaq$DuF7wYBMnw56;*US)L<>lqc{DX?)Gys8VDq$& zFdf12-z6NU;elADXTMLG~2segLGm*kbd75V;!TVG)_JxTj4(Kexc$%%TQu4i-+ zG@jrip9n73)4QW>izGb(%ox6>tm#kg9gtoYCGlR+r(@qED0x9RS`{xE(_51wS+7_f zq}EfRH*_WS^1F8lex?v)APNsQ=0a5A@K#v-LNdKMkWxl1k|_dE?wWI47`W>D#?4r=!XX>?+8 za;;Mdyy$@mEC2p={u2IXyMQtzCbcA25U6%UX=#b?5n1DLcr{qu?eV5h!ajq?kNN!Z zgWxXo*e`NEviBX8A9U)I)kQH=eUyZ$Rus+Tj7Wv6 zmFTQb4-dg7=Ib*(Du-N?NeyX)_tPdncaRBUbGMp7s2B4qRO;FO1Vo)F`~pcN*p}sg zGskpvf2pmh2?3w&#lrsn{*>MT>nha6<&2(FGeYiJG>^JMlzCnv%uwvVKhv*h0m@pV zyIU72a7t5D(iLgRI!nN8HpW>z`+0i;l!!YD#G)C>j9A0@Lrs1Cz0sF`Z`-+!ZYh&o zf$N_2NOt9}Im+LpECjY@h2KE2pt4?uUZHpk$^0dCbwZE!v$=|>9Zo);EmKrbE8Kg* zgMt`Upj)u!C0jCBiz19Tq|LoTSLns#`JrV3+2x-7=3N{g;;fQ=4{IsAc_w|b3i|cS zgZEd&v0h(XULbUv29a`exvETV{-!T!O2u~SxI=(Ehwpv0cDr!H>D(?P%q;kFEH&s+ zLQ3RvD}`T8c&0L=Eo;t$WD?4*6U|V)&WRL$MIbNuRv~om3=hZkPvD&%E8Y#z*I`;A z1D5GU&$C^~UxXFkbK@tu?IXmZZT$|JZyuIXIl%gq7~#Qs6go}avh}m{rYk~CdBmnE zT%Von101v8@7i0&_8;kEBox0-V_UjA*X0;LJuVN)Nd>hK}TtTr5yCmiMqQKIL1|)~vwxBELG?5P0 zuq<-FFe0+EZhri&D>i4Z9F!RV=A-BuKrc@wtG#iqe03cK)fH?@h4tVe>7{|Dv6$#ACC0&GKfuV0eXeUAR$RO_)=_+6$BtpH4=H}@YvqXW=T$Vs zPz@qm!k*uL*ntqHgi!&lO1Cq@$s?oaklPVv_LdkwvrcN@~pj z_^YA83;jVzU*Ls$7X}_Zrw^=*D_)@y_kD0(1B+6&wr2SUBBHcK9g08T{uvhBZi0-y zOP&-oMFpL=x^8seBn}HKyx%vrQXxhNM*OF1X)!bN)A2WwiBC*C$D3pQHUYpLQC~LvvW{{t$JdNC+xm&+dFuB0ey4y zfb^J+-1ktf-FAC_`YjO$WL^Xx?|HB7ed;oqK*yi^vGj18X`X^nJA?{e<`64Ifr$8t5D)R4kkE|chB|%<^P1W*iy29k1 ztr<;CGW9+x<+#3iigQ(%o}QE2IaW$&@+Do8|1P7xq!~bTfuov#}j>x)-=JBw#a#1FML>j;WT{ zUK=KHf5^9N1|BP|13KggJk0uIn=vF$csP@p2Hnkq7Gj}^?H*42c*xxF(?Z_Xy$G?W zf}Z1<;bMI%2j*(c_J4AQQ4ZIbQZ2UoI0vV;$Z2~w!TTg+taw(c@MC7?s|>9sTMUE- z>gF%NU&4nW5BvVMacT{%C{fXM_>Yy&5!@!034MOn|<`7$Ef*F&f`i?VfJW%s?ca5N-y%=c$52zF$*%+oS0(7Vgrl8uE7Y z%(xG4tU|B&XTshAEKRv&1j8Rp@5G>)QBe_zDgg$w^4(p5G~em0!YI{E`fl_0lW5DB zt*O=(ozycxinzJ{W6K|n&n|%(VfoKFLxl8L;n|b@_Z0S-JDc$BK=51r$oGA=c_iS-hSm-F7FCB$qS6&DDc`wa!_=H@1*ZJ+%1kGZ z`|Src?V>_l^(}=^_&gT3n5J$4-|-{dd;1XtLfyroB29FNc2Ky^_9PoWL4I8U@oEPCRrrY5)oY|^R*nW-$Aj3 z{E6r10gnf;m6DzZsHGwa^y(~n@qFQ0sJeCiG9GL|u3Ei2?zz*U;0n9^X+tyhExn^} z_Du<*asttBz1kV0t;wTzjjbEin8ckpNTrfh__0B`$Qo4(ZJFp`IS>b&D|mccX?vGj zmlabC1#^TJTk|-vAhzkIw2iX67YrmoQKN^42e(*?yE)t!F;t31{|dx@pROOcfZoR% z`GWKrscgX~BT}CPe!kZ{^6mx2D7{OUo+fqhvin~Ol<1%>u~xMd5>YX3F_|3X4ZO&6 zq}}!z6$H8*04oEM0Y@rp08B1sR`eXo9F$VOr0yVI+>EC`CJ}s`6qZN8#Kcj;50zs zPSpLO>g*ty4{k@{^q=~lI_)YHA?^~^QC;iAyMS}Nck2d3~pF_BG9zvA z@oAEz)ACsn*=9i>AI49NiY-?xBitGmC@L^1{ugxTqH!}(S`&O&x3&@d30u}j54TSe z9xi&$AQ;$nvGqyVnyQN0ioTDCwSU~PZ6sI8Vqm&d$WoyWieV-WnGGxuZn=E6B ztP_L5U<}{G^|`O>ULN=T7u?@|>3npa;(X8R{W^~0c|4cD-mbKjJAVQOcn`fMyJe|m z%#2sk3fpfQg_Z{6R0VR*wBwtI76I=@xTOn)m3#klfgvBh82@*lUUo0TOq?xfC<9^cCm#edP}uW-yRdA|`Gf{&K+nLrZ_& z)K^Kcc(Ynw@T>wogz2_4$#K*wfA@+8<<4tajg(45p_;BKpz1cY+9Pzjc$)n}^pE)l zbS~d@E?OJtCfAQK!5;FuDv0Q2xBBPY?>Muo8f5Dvfj!AW*I$mF9>(T28KpTxA| z^d>D5Np+y+rpkffyX4S@!#mh>1{XY+3*E4Mth-M}wa&r8u28=buFY;BQ+M|0ltg8j z#=7;riJ-&yD1W{~-nu38o%O~6wHZ~df-`H+yDx@aollE&MPYdce`Wo|rC>=Zo>#DlQ_gE00liP{p!J4}ss?I24_ z6j1gS(}ydvqccsLVx$YUX8$Ok*L@S&V%E|B4&z7foBGn`3;BHhn+u>eIe7oL_z4Bf zmGii@&H{$uW?lQ+t*)G@FKLAp$PF5NV)-SY14(QEpS!&~;{M&?QyoJ4jHBsFTz0pN z7DxahuZ-@^%j``F$<|wYn&Ia<^D#5(z>MRag7GCG;y`V?N3P?+`kP(!W~Q7M8<8jL zM5??K_Ri)W+3`)^C_N}=FV;PIF}2Ig)WIhX3|jPdYD~_)0iCH=)Z$@G58H=I0RdL?im_wE7O$Go?HLeIoS4jBN zc=^q)GCTx zqW-`eU)@KwyO{s|bV^sQuqDHVnh!`P+xaV%)vSrdOcCJ`$7#+M&iCE#VRh*ZXHGTT zc~V%)_5KM;^LdRyaDqiSG>MDa0?dWrh|`3%9p<$Eeeq<7lfWJW7huTP=PE5hpY|M{7lQIF~O{f_b-3c}SqQdKQlfR3D^c5%nD!9jdm#ln5z<~uM# z*jvojXf#>-_*{S+`cr8^&-1U>^izX&)}qZE}Hl84&U$ z_T_Je=1mv_WuE-xz5o*q$pKeBR~3XdQMxjqiJN-ZSM(l0X;8AY8o*_7U8loE&9&fr zlJui_VQmW(vb3g#tvkc$?L(J{|Jz!soZ&4y=%vzJ4cp@lW%ENsLEe-2B)yr-XT>Q9 z+@}DGW^Hybb%goM0d4@ zM1*2zGwA{Y2?^(T2Ae+dn1O-;>(P`@*K<) zW9rG}z@H7~34U4=d_XmlzJFO8Ejw3p1q^w7-zn@_p_9hv6OfbPl1s}i9FwULvaU&x z=u&Pk_9>Tpd!j_V`dGP2{kfI-QR^lWmygQeq{_5jpA_&Bt9N&(1+}omSadKylAHGY zprg?B=e*N01mefP+19rO$YHpWcjHl;O)}co>1~o`eHeq!JnzOiEUp`LUN^HQ=yAUn zG@c-1DMKzEOI6&LK0RY<Qq`D6q)4@JvLg2LWH89+`iyd^~+(6H`?M?vG$cHzvi#y`{z*A&R+U zl>{zAd+OJNT9350s`2f=yr!RB0dp{(L;4pkua0?qz2yWaw#xdyPu^q`i4ogK zu>1Gzl$ON6QO98E66rnGcKkN%%4e(wf0;0}dp$RXhxXjl^NpUVPg6KUa3ZK>qodDt zFTvb9<}vpS*QwibFTdi>)BA~L>`tY%5}UCx_c7@O?qlcu7}SOtkq2oBKgCfJlZrN%9r_E66bzQx_HKy z$rlf_6!?DDrywgR@#VYFaQ?Ws!*;Mcve%pm57*P>B#SJX$T@>2{b^6}}k?l1c=Z6)GcEe>5v<9*``Su(s0cSL=L*l?P|` z1ec3tAe<{GJ}YM}M_FHoRY=SI$@c^H9a4O2E7#L&AbK#&5$w9p@2?xU+CaiYMXxK> z{9Q-;7UY+h$xM4XL`^th_CdD2P_8E!3AB;3S>CuS_&)PKA*g3Hmz7ptL}QICz&+Mi zsaj(nyY>p>8@(DQed9__1s*yWZtcR`{=y5SI49?()s3C-XV;u9q4X6n`Jw;%>P3m(%%UY+LGI23M8? z$}bczzWL-NRhm13&*oQ7XMON4_2Ij1vs1PCB`n?F1`2l!JJKE|1jN&L#Eom zB^u+cZY@Cb5O~&Vafm^phke=T9NtShp~JYBk~!=Uv#G%^_F}lcY{Zg)axr@n)k)ty7c|C~#JwmW*({O^pv9EEwX zDTi{Nlj@t8al_iYD>_ObG6XTQ8-O(8uP6Em|+ zX@pY__u*L%;iG+hb|ppC1G0n?1KF2$J?n^`@dH~oBF&vyX9Da~#S%FFKrF`SDSzoh`-> z1qh!{YZ|l>Z|jh)*{oT5$vJsmGU1VSf>KiRviH}T{iKPjUZ2Z9rTT_C9e6I6;ul2O zq=b=E+;wuSo%!uN%TSJu@wZxD=#P)-P(mFQDN8g3*|ALih9!E2smK{zG!k{8g6|6|ccRE~sVDTOWk!0OPo%!cG+ILAUN7zV&e6KxU zkoF<=GnP+yc7~zL4*<+*E&(bu$bi*1%K}c_AWfu;Hg!&XNf|s#^d9v6>0CEU%ZrO_ z>#0t2OC_SvZfN19mMu}Gmt%w$xg?E+jPSuCZ%Iwzh?XI{n|@&7gc2M|645B%C79`v z%?gp^iP zpbo_Td2R!w1a))Fu)q;>&~lY-`X?4|xR|e!v|lab zZK53gy^|0m4*da^n&x49VSfX6yl!r^gs`Q|+5JvF;bFU@{<7WkBY~6=@IowI#p9^{ zvSqNOOxsLUa>Ywx|}S+8AF>4Zla z=a-OmrgVKJPdN6X52GCqJ3Bdz^!94TnUPXuD5P=RpMclPoZnVu;~R4YYIHjzkXLWT zxJf4Z#$TWbrFAX@*;$oOU8ZNM8a&ofo*J;Ra z#H{yalnk4juE(1|#|?@@%!y+rSI+BV6iDCxD0Zh7|*Y%n_qVQ-P|HQbxNpt zCOw?}iljqSycdBhTv2>^c_#~E(`?7q{CyQov`4U6(H}X!w*66`?Fd!hDS5@$FB;%n zVyJ8Z@BpIWkFaeZ6T=uTI3n~$Ajpb|`Up}bT2g);=`VU=prqJh@#+FmdgXT1Q0?f# zh=YWx6%u9+kSZb&TfKp!nS7y62eI1a7}n$l?<6-AN&K&5#9RF&)#@-QMCYAL6#M7M5A1G;;;}N_-r@ zO3K9MzM)Wt^Z`WGs^rB4XIQ{1$2$vyD;TW6+3;qpF%`lbd}k4D&h}+$gdyF!@AgNo zw_8cI89S8Cm;jkZCT-J(G_SK)?Hce|3;en-n$J1o&y~os@@!Xyj)-wwl&ADo^rlq` zIO=_xdfTy}@UPbHtb*af#&Ox9S3IR9HXknH3xNU>8LT|;tJE-GilP^Vh|U zY!u)uXkYY&UKnO^fhHc|>s<~Ld_`I-Gm2puDMgzzVK`>fNH!A7Olwxn2b8Wk3J4n6 zgLgk=SJz@wu({Q}3tbTbE>8UMnKjDTz@3MmN?r~L#c5c+oHki1c7lMkArhtE1Gru{ z<2x;tdw11qeF-B!%n|L=;>+uGw@EC@5T;|>sv`&VmM7goBSoZn#swjJH$PJ z*DgjaphLju0dXYm-^Aa`Q4<||_q>POrzu7!n&5h>AZiGb z<+N(a0fJiw6Ej|c16?I9#@vrLHZy<9`9*&;cugn|pUIN>NgBy~M4lo~ud-@yE4~oY zV0zr?6r50wN?zG?^JjPGINTLKFki*twLO-zj-I3`77m*yoKlaxNjbb01|dTiBU;k2 z)4l4vTL-8o?s3d&F7r;fQAM*8;iLqB6%fi`X?@-E=nhy$e+NZKz;oQTHn(sX^{@=< z#kOhg+Lz}UTnUM7Z7d|V{k3zZB2xNlM$`NK!KxpQzv@Cmg!P#*8Y}nFfgReWXtVVm z-^ZQ`cX^+eW5K5EboV=#m(}%6RhQtR&%`z_LYE(k&AUbWst0_165olK&JBbUJGHa^GPlEt!A;PC_E&G3 zhv&+J$PfwJ)qi?Gfk3JCktUa=YO0{;+#c>=4lBpw@#4L_PnCO5`hGngy1o2l`U|NV z?}Q#;Z6W53{Gdc;$r94p-o-FWOWHl6De4|ay8HN`6zC&{87G|lIy8wlM+rEzLC`d` z!wU-w>G}+Yzhee-#V7f-kMnaeN%bB|BD1anJMb4zlE1keZBC<8AYv&0>4(10ur2kY zZF#gEf9~rW*OnZd%KXLUM*#!&14aIiU5R1oua)7e9n7>5v(XtfoZ{zh>LS7UJ<~se zCX-$AB|@!#yt6o~?eWlk4#n(!%aw{utfVuI)e<8DgvM~%V5&&_zoEb(4&qfB%i15_ zk1PSY7uvRJMI&s!p*t<)PWrTG!!vN~>O^21Qt!5?JRVX^8aBtQ$2((N3+CF5xqFyx z8FX6Cba`+n)Kc71{8!ctkR;$6ziD_Tz5e6XAy04bFU{E5Dvp_#I*rm#0yYVgZu8E) z_v$#b|7Mb+4=ddB*=83^T&>7Dv3X0f^qY-Nii<0-#P>>Rr4sJCp&nj|?tSf^kECgw zeP>(h5b>6~oZQLA-xvLT@*Zh;LO}ZYhL@e64t~Cs)W%7^jxOw#@sIK(?dQ{0AXx}4M9+_A<_r@EW-Ehh-DZf&1p;b* z&|WW8?G=p-xn*fkMC@8G)j$Ow88H-{jA;rQY3=HIlp(k@_p&3#g1>P+-OC3)23t

    8!?&NCMb`uE>GH|EMO*j~4+bF@tF)VmM&B%2I0^@LdIE8ZBI zw#MZ$Awn(1x2~0}%_*Qq;OCTQY2WVHJ*TO)&h5j1Au!%i=6%hn0gh|_$AGz$`2L?l zDvuQ)5mdH24?7-8&`NE3yOD9Gfrx zts06!Y@M=4^T_ZpT}|P>YrL1s8X5w>zU!0&dHbPj6Gg$E#nmy#D7q001^R8jjAp6( z)1-z`5kp|QZlW!_^u9;*wbZ2>)7$b+RSG<_CSlUS~yqQ(?wj<5?Tz#XD z)>*zU8T>0-HiJTq>H3{O9M@9T&V{0*^~d6B&3L~g)E<>6&%{g}sqNYWvGqfNm*x$_ zXI4g^q-qKL4+m>c37 zhNWZq8`#NcirA{fjvV11*z0K6T#t$`X@WY=geT;&!(+3y${YaEmSgk)+Dlm>9?XgA{pAL~D%lt2XuPiD2(u0gw z#kANalSqUz1oP-G#ZH29GXa`ACj$CTF0iHi&I4;e_U{){MKNcnso~V22jzfLOIWRD zWOgS9$FqFvTenyt4;J7yt=*ZUbp^{>dj98yq!HsZ<2;7-Lt6Agj>$iw%nZJ)u9j>& zoLMh;a-3l~Ll?S5*8P`+@h7F|4)4mqI1-rTYEJ$8KW7yXRESBylRm6L+bu(AUWYNq z`~6OwOVG5qcyg;#){fZSRv*7dNtpfhzS8*p;1K0ej$pn3iA9$K4D2E@JB^)I=hu9a zIGvAqPV6LNU9J~DZ<$&+C^n8op@5~JxV-?&9S~!G=^&OKZ$^mp&`w8>BJ=JMH^=wy zVB*IhFz|+@B`rk5A@dk)@1c~|t%$1Kp8Bd9w14bx>)xm7I$H7GXos-J#9&y4PnxOv z=%GWorw)xRJ2a_bG3KPEVsh!}y||`*kVFgfelR4*lM*|7 zIa~xOsnhFcj4-J;NZ91OP%P*^5P5KgV?+8q*I)%68_T{=!$%^Z z_1?$t991_oFGr9WTJ9t3Nh=$fBtM2)p_th7Lg7Eg5kj!H@E^w^*Gy7VQi8Da{Fj= zPZseDBDvwrk&&c5%~$#S~aEc8dKeNrHd&^6pz za%E<1-fkO{c1IriOo{pM0kTCz)7@N!A4q=N!mhQ6UGqq|e2T9D89YRO0>OmAt8&vE z`fvul?Hkr%R`i0xeD`+5W9%hJs^!I|d|EZTWmrB2f&iuwJJt2|vA@>s{LSaUV@Lay zPy1@l$k>^fKBXS+0q&+JwDz3cGhpN4CFa-8+Gc30w`%cT-(CNIPsslrmMad*{bI)@ zjJl3`W1{(}Av7y1i}3VCl7roXwmRtTV9ACOBBQ`$_QS!0U-LTRcxH zX!vfu%DA;bOsz7cDZVeseU|@b!P#R=&}j z=`HKHJ7DFn6|X)c#eEjv)sWoqM|1fihRn>_U$!f!A%+$hrPi1$LbyOi(r&NA;$MzR)2G*@Gi zf|>E{#p*`*fI!-xnyy7IIB~#B0plo)eNG^hSI*a(isX> zI9tkB1+J}&hNA@qa)bj*AOO7BUet^YwvQ8Q6z zeS~swBM_6R8rf?}g&ez!RFP#F_F&cFVmU~{leCdn^O<1BP^2qH1EKEKw~3bX+dFOK&L{3jzPe!>cl5eypb zxy_y@QD*(f>g36N(rb8UDZ*tlqU_J|6krD8XLdt^&J*$h|7ZKAutxQLvEJEs``FQa zIKL3`d!|tR3q{9WQk(^hsKaou@=j4GEYgyvMJQb@l4~)W{x&!oXU=YCq&ILIqSDg3 z3K1}zNeTx=4&Dy4OA___V}AnL)ZhCh)3aCYuU{{6?bVUkr&#o1^n$~ol?QhkM%CE^ z&J1TycU_*&F`GX)WN&W1xzNC}j%{@E>r+ULF{cd#r5<~;MD)`Fi^AN_+I(V;<-sgG zntxg|)1D*pTV~|yxoQ+++-|t}OGhUno06DvXEl6?_T6WW59N|Z95wJ7m_Gh)7hfjS z!t8fFy#wq>`W$vFhlWA$LTcq~&CD zKYMZekGHUE!BJmtZ#J8Xg*yn;^i;BD)w8H>wK$N7PsyDQ;$Gfu8=t0{{|QW5OIGR? zO?GYjqktw+{b4Q(jGpAbd&6a$4lO;UW;*7OXiz_r@nWk9zuv0>Gk3fjlo<%4pJ2&z zsKyLMy%_)>v)nuRQ`QQHRP2s)i|9Nv(;eSW-r_K}`{1)vCLiE7uM|p-N=LzHy}=w^ zd|@ueyL^$952Yu2rAFrxJhydgWfrcJV@QqmqZ7Jv%SsrCf;&UPVHK~^zN3^E0fZ=p zpt$wtvYV*1EY;pDEY$hBc;H8oTAlAJa#oAeu&g_?qept&VGF67NLwbVUBjhewf)y< zUbue8k4|nBQ(zma-5&hrDSHm&QgP?Q-o9l~Fg7ssHG6z~+s56YswzA@p{kr>?FB{J zRVx)!uZPaZX%u0+4>1HT)hn(&ms#C9k4fri@R5!;P@YsmWQoe)RBfXj5$t}^Lni;$_Ju(=#Wz_?+9$CBYR z+EmL9n+lVGHPjb-W zo6|0>v_P~UxsOdP)IuB8<$#v~Nh{YcXdKv%^j=;LpK@I^Sh97!NLXel@tfj-g2^s+ z+&0D+LgtonhGR#1NE%IF!$!LVo+OC;f^Epz)cvXiGjU5fGCKZW2vNN$s`h zmx}xWMh`0otchNOf6;)52STxcSA!WNDp3g%Yh|v>qCq4aP~Sf?^414~wbd20_U#~P z@FhX0iP!&SX!`7)PsVP657#x7KN+ojV_x<>zp4EDk$6Up^gvD8%Z!=VkDcsVvQ4?~ z@_muxjLArX-%KEL^{efy7NMME@-bs>b}{4cuk5su4uA6O*~dml5lw?=KdbHnswmkn z)d@^>5u>%Xtf7%)k(O{h6BcKgUGOtEY|qNbVAF2L1qdt*E;i0go$Rps0c&~&ZY=}G zrVR|MN#rjZzS72PGZYQI`GXm^sJZ!#Q8v=9d}d|5Z6S&*BP1#ieLvNQ_nVlZoPkHB zHV3&!PM5?TPBkE6%*}}Jjd&1 zCJ@ht!`rKqe2BgF3EiyZU@kzU7xJ}zwQI?CF2f9bBFiBs0)8&bFwND|mnNq3+iB}; zIGAL&2T=a+uKZoV*GZ)1h43{A!aTC!$~SbldgC5O?60;7UKem!4<*r_S4xbM@Mn_> z2z@LL&)s+)B#ui<--!@(jaRKb(sn(ymEP^g=CM0@kMCb=`oo<1UghP6Zy(f}EcGU( z>a{N-S+of*RdM^@&AjsmhM2Xwcl3-4_81Ow#Qt)&Ki{*W)kz~b-AtLQRXp}wFTGU6 z{bO6yq=RJ`vNtl8`}5`&oD`N4KPKmKAkj3j!5JSUeBRr-R%f#W$V69&*+n{yKde3* z493m$0t&1y?SRn?Q)Y$8jadZthAPlvZv17T7!jTW0A19o>as1MR$E0R z4Czzy2`FjU=5;3O{=-kc;xWN?VWVC(eoaGjhU~GAKBo_^*@m9cf36kOb5%zN9qG|; zmG$(s)$ihUj5O@kWM^Y52+Uu;X?6y)2~G|}wk%Bm_k?8dpEuYQcG5)PW9s+iyK>vT@+Z9%%Vc+w2!dqHhg5&I#MZa zerH-}KwRr7q2V#)H}%Zkb}moz&sP_p_cuNEq9o0&o2uZ_1?ij9D$s{BKV&WHq-U(3 zdRJOBp+i0fonphyku<8&kYNtPqIFd1iJaRr$L=#~G^z44AH7u@%Om#g5sQ0{5a^Oa44dBM>+fe9U z#_<8pHF%no{ug)f<`2ST*ZgY;6;`3&9RJ$;+lK8ooASI3`UMU z-Ig1}w(aL=I%ViqV@=b>eOj_nrQ|#LTB9qJ+_>EfLVHb(J8D#%_po~PK)<;VN^O;_ z859!Y0nEuDGyn%F5l#df2aoHmiVVH4_Da%!_3n0f;-3uRF`>2!4-YTJ^ZK`?#)Xw+ z`EC0ZLUcpzP-C153y|y}k;R2#Xp4uezG#3;t#%CtYbBvbEgrN#pVVunTw(ed` zUqX|ByPMP+p2^;cdESmF!vdTd;B2g`k(thZq#L$^n|z|p4j)YSWn_L2lRrZ~c(TLZ z$L9O^E6_gNgSXd8;1szKtuBl$oeG}OaX|J|g!M!Ybb3bmq+>2Y=E3k8tuOULk>`ct z2Hjxk&O|q62(pHB;qO1{OG%f8PIbgPvr2I24>DeeB)n+#7aR+O-n--L6-o+jLeP)X zS1#}~6WCI-`0_dY=^^zm6xRV~+;&p@(~b}vri8YRH2*b!=|npcT!~O}Dh(P9;{9yG zL5MmadE`@%V)QsAdl#qkz?NArdPfR2N{fhk4HsDV9Vw-UK302o+J@ zeFVo4-5oQn#NYcn18Zf{LbpqAaqsjXhP zl@!!kknjU5sR)23f7Zu3S$-9d*KlkRw6QT_5@4vWOKafRw5Q)!q~**!swQUfi=}%n;rFTDI*J#<741K z=v$9+#Uo9Vj(Uq+qMz$G+HC(HPZhE>BQrO%{1MdRdzo;daoo^H>e`Kt*rb;F%ud_x z=0FzL>EuTKeXSE_w{LHw^Lso|Sy>tRJDgi2-)qnII)y8V;|UM4@;J9e-wJ864=X2Oan(Mo4p(d3QHhy zKrkY7o<1U2yL`aarRZY|-h?NG`$Z_s(LSye;t;}2gmb*!t z+^7x=0H-0?>n)bt_{1vF4SCG*V@*{Ry+b9`X!H@vc|T-|a%e{vMYThc^7ZkTVyYuG z{_aLcx6y9510t!%N|e0c@0`Zy!%$yvGE{o}RKJ_O;^5#Qk)G4L?~h-Dj&DwWrkC60 zgygTfN%i)jJj^GPRVLX;THEfW(9+MR1K7R0rYAh&*zrq{zf|>lKKAaP!otGWQn{mJh%{4)rP5f421cPkXjWaASV%$!aY~6V+vgC6Oa6{mFjJ6uch(XR1UOLGNMGq}db~d0` zw*kde%wtRWAQN$;+IfqHojzEf!q}vlee7*B5=hndP7mk52f;EIBDdT@CviwoLDF{6 ze!TNg_?O;i2UEc0(@0N)BrjjhG?v9CAih6%9=0U*>Rk)8uJM;DRoO#MbVPB+hJC?` zg>C(%{8~edj3*qY7r1mML;MDE5nY8>pCHs<@SP=Td~pURYP1;{CSFho;3eBkdVhEU zbqe!{NMj^@#_ycyoXgu0sAtdadcZIgHVK9qM8G_URhA0>V~}?uBsmRnm(s3KyODs^ zoRNj{9$;iQCP4p%`}J=-JszwMJvi1p2K}gj0gc8?H-a^$AIe&GlfoQ-?Qch31j#ko z`41U(>*h~)-bzIwGXm3Vs@RRxH-#d_3zR3D$ds^Sfl0@S*`dpx94Z+uFq4OUo1Zy( z9PEU&k}8@8%=~&`LfS2o;Ga^rGT`P(dZYST>rM^2ZjUjg$Z33}-+2vR@Us`oyfKVJ zWh1uA1xHxD8p*ZS+;D-8PUiFCV<9S(z7^Ojdz@%|HeV=&K1UD_BbO*v%l8c);O*||B!=A9m^5+#-k*{6&O zm!FpbvAftE&9u%*HY&fhHeGlP8UO33sod1hMqMnPK>QOCBz>nSQ?xi13@}!lqud9x z<>-!yYaZBnD2A_(cR%xZ6#aTL4|_X1`bs7(*OQm1aOS^5(j{zOymhzz-?wr3`1)>Y zV2tIsd7Hg>{Cyc!&;+G&7Dt#@v*jNM(<=d?;62QEjc!V5wr-L9IcER$C|pPirpVLbxs3uG12&Z;7ISUt`WPA#Sl*t`mD+OqyUmAp(R18o(~xz1305`H zJIBt!p_8_}F*fOpq?piIJTeo2+_cr?d}S8*A*JszlJHna??0ZxTeBowQ85D*J-9IM z$sk;Cf2#Izgq@I;-GX)oxhoX|X?4BNROx1DzG|C(b_D-Yor{MDM1Z{Q!KQ3VD(*Qb zF=^$urMJk<-1b(8f@;)VHw*GaU~1|dmcUzxC`<`;LCv;H3cOpR-U}iM{4W2J)R603 zLy6V4=b0J@3So2d5$I{Dho)gXc->&Cm&;t?3zy2yhv&dQ2-v zKiBXaPEXF(xIm|AVqzlj8BSv4E#f+39|fs{Hq{r3{O?yNh);PEzP3E1-g}qGUI1W1 z8pebn4`Ub-u7>>~7Pygz9$K6LcQV%PM0%+3Ojf(~Ce#8PFg*+370X`L?upV}bR;5_ z5j+VV;Fn_Gy(MbO3E$$EFWR-nzEYDL2wMkiYHWnNoYZZXe1GTc?-stAzVjB2uM$jt zwc+-Ta&Eqozj!$ZsZ2k28)DG@jKknBYNN}wpdx%!_4c@m!~n68Vtc0IVP;@1BMCAk zUqnQK$7!FU%^N9G0XAj1i97E_R@+`-rtNTDfBoWlDMjfrvEw2lH!>_}SRZ~0*3jhZ zGZivh3hZlm@)DggC4Q2h^IoSosjyUl39n#3A}i|)b`#S&!BE;!*I$WfQ0o0ZRXqR0 zQ8=tulm>ONwjIHu3is(>+8()H86f(bjw=hqoEY^9#03PIhu6@(t)j(!ebI<4YvFQ1AyR4EF%c0y?JI#5H;tGPCQ9w* z`XV~zlQ$&Vw9`T3^=o$}Lm`BrEm1xj#LiCHhrZsYK)q>byMO_1HJVMDyC?PX>2B>S zi40%t%x9e6oayHp38xIj@X)A&ngm`gWB3;d^JGMwIC@T%vvOXQ76M*$2~~xXbc9@0%|!n>CB} z8+&CirLCxITb-ARxhganMmgHRtjAeBR3TBv?v$G+<{jWfl8jQ_C)qW(H2ns>IX}HP zwZy}x*-Izcjde$@9pf|(#8gGBB{6UGBr3`!+{2wFl?Xbjm27RzOlXL{o&hEqeXxK! zE`IFt?STW`Uy7VH$vbhc?uJ!|^`piCc1!))8jAKO3=?=s+<4OGgh$_&e*X?bB%zYZ zj?2p0fg%6p&bwc9A!~aXBn6Ql(Gmq2=NF`lg?V8|gUo?LPK>VuUxh9g?!U+_cC4GV zTxWF_6p_>z@$eq))wb}X=|dCuj4W{EMd|Hs(^t<@4=3kF-Z!Dylq1}*CFII^+otB` zeSa>^Xiaw|n$U%d-0?;ZWjAg_+JymPmL#~+{<2q-&KT*|uU1WoBEx81G?@SD-Nv%? z=PfKO&R)3SOLcZAXY`X5c1=Q;N+LljFOQVw!gobePxE&n!4Ws`4%?!~GSj<>7W&Uf z2#!wdfGFLkb6hBIo>w(gQb2NJY^y5<{GZfg2wgg9VzO5sA!{_T%q!AB+JMr|LvKTh zP!`XDR!IH@aQlt4yTdZgm{&PvbEv z1;_`Z#R7VL7v4NhDT$CF491o$X8QaAo`3Jd8XQC?!^dlMPctWE@*~L8f?k+6(9crm z9`+*xa!`t0{Xw;VP%MxDHyApwb@c#NjuCK8FNbHz65q;o$R8qki0XQj9?(?BgkC2* zA0w$Ai^uPAea6Y7ddeWUQnG|AKkyXOz3RLZ+dS}F)5xPn^a&a zxQmyBZP%`|prZE;;x;)QRVVO;`CdLabQ+GqsRf2GQf}U`DS0>^;*#m<47L&^-9WI; zAS?Xm*H0o8fFW5fGv*F}h)O+BBM2VGO~z4-&n&NB#)$OrH2tyhUH{brNI{cO2&UKb zi3#W?Jlb$1vA9p*gCt_5Z|xP^mKyM?ibH(k?y*+4YtQJe?|<@jk9qwgI#SCeDG}8n zew*=Irm6l$Oj_L@-Cc=B=0>%jT(+F|o3qxkv2&IR-6(x`?b2Y!mA8b+7{DN*)q#=+ zv1J)XcfMS%mYO;jf++j{(CCa$V-(L38dJ!1M)ah_#h(C~1wKZf0jo{16-Wy>5F^J; zo6`>aNAAwlmDS~B*sy>i#^q-ocjW9W(gLKIpORuZT8Rpb5o8uT(GuKa@(l~#VJy&|t5~`?+Lhp7yof*XgPAdmiwIJq8I7IBj-cVr; zC)8T%p~(|)9SBAm$q+b{)AmRFdSiJ(WLS>&F9cZr2d|U|edm|~T3{7{M~)%#3VD}f zK)ui_Rqr;up^GjKPrg z4N^MSJ8hqoR+grxMWFyD`aVdPo$ZSmj}xg3bZVaIB_v z>38-d-Bto2CU{JLP@q6T{~23}Ixt){cFsg-+&<8b8*&$_EWTsSk|F)eu>d>Mi+Lr` z-OSOE0|Q^|z9nMkKq2n7Gbj*eZS2SdF8e2ZUkrIpj_-clZQ(V{qB~MGrjFDnwsqC=}vLfVTVSOQRoO<6DZkbvEj|_not@5c&vadk)&)$Kk=EwVEC{lKRqsWh$ z^?f6~niwX=&qnVP*WJS`j+fiLeq>wPCkQ|R^Rdg0_Q#U2Mjt_sS6`_w=LXlV%Q)JI z;p{t%kUJnH6MC|RRFs`us$k7#Q1~KcEK29chTP2wY1=kFd`}WmOfs$Mb%2cP=1UqsGzaixsa35{KKD4jvyc z+=OAt^!cW7lA)O2Lm=iQNr9_A2f6AtJ zt<9IF9oN3FS&-?01lMLT^gn`LRt)%684uj$=n;MB7Jn(cX6WXfS6bEOVQ64tJa$iS zs9f^~ab*H^eTvVbt_)sZ5PW$lf!A#7&JbZq_+oaezQH;~NPGC0=t;X!Hb;`vs)vSb z-2r?;?&y)|h4P%9)D6&60BdZCy!UBdFr{KlB)kCl5AC9ny}c&aX34kNH#t&VKlkar zQdDjFu}jic(Y79|#>AuJIfXnO)AyvrExTRCc zJe>W7NwA#@gbsMEnB@?hJpaeyffwPxZe7!}E)yW`Zvo5r6BdN01jUnR8XwTV?Wxdl zTElJ65e)8x%hcNj)F&na=Khr`3m13ze06_&;lS&Q|Cm$+?cM>wF~BqbP_v`s_q&$< zA`^m>(DJDzDiP~4(U7wI_p$6cQoC*a`Fp?OV)TX80?zIE_VfV?{jAnj=2S{Ok?_Py z(*H$({9Vz^)U%}u|J`TVPj=A*ACFC)kg0*_3y}20%wL>N8q!PoS z#dwVaP~!I5J}x%IdD(9*apO=;W#x~~j8E=;xfv^94ShIlY5SlC8FrAIa7yAIY$T^> z95}>#1{nat$CAo)q+|%zl9++K@7%s!$zx8T_tT-8%U6s~S6 z)1@xJN*jGma=dSDiE(fmAzVh)8}?s+UC$Ak;ICxTpkeY%?5fB$bT&~Bm?7$_m4YA5 ztwp%C6M<#Z8$rv8EQX}74Z~z0FnNyljTAc#^IoTU39=F>@*TO)XB8CwzU^A3 zCH?K&wZ_(kE9!m7APR{}pooS)Y+a!xVkIEPaHE1j1T zY2CWaZt@=L=q^JBIs@tR#CJ}V)b0nVgHBWU0>>j6GGHcuEr0eb9T<=GskIFa9>j(| z8~Wsk%#{F_t$IiCh=FkL;l0=NwbPUMec0@MBGmHczX|mcWri|SK{Y?L2)}H3YbO#M z^VU|z`(TYM#W+KHW7m=Y@d!%}28W!!o#O>ad3DLbJsprwq|9u$tsr_#As@a>+28gE zwnC*(C(ufgcwEid#UsTgq0*kbgw{~r9SJ+xY|Az}Jhg2TYt3;o{yiNQQUb(Bdp zOzP>S7*+aZ@5IE6dexr73i}(GTqizWQa)=K=TiRLonG!q`@LPK(Kma)jadGlsIx0N3|^U-|}Q~Ge{<1QllBu8Np1C8*Ekf{1DsoNAv)aQ1Rl@c9p z>T#=ywc4st#~%24ns>KNS*sIcPon^h?LEl1Ik-{!#MJa1^j#!<7m= z_)HzH4?#3$piWenD?G)TKz3iJ4H%o?xW?qr>G`hGLoEhRYDmj>~^-R2Sqnm8# zu$^+TViVI2978*D_O#Rq9OCMNV!N*MSq^*7hduKLS-pO?elTBmi1pV8yHSdgnmDUh zAr!-KN>Y*9ou;bhU&$`8;MGc|QuE|o>)$I|c)xRsn^XWL4w1M+IJ8QMRt=3N3PeSJ zQI5%(pV8cAsXZPDzR(;kg_$Hjuxut&zH3$^Jh0311}P`3P+LdHNBUPHN-{Ij55g)&`DyV z^B(d@deuZV1?JBx3;-rlFCSryRei~Iyvs?XbK?2kA0lhGyuAom`}26-5~;!d-blh! zPTd+^{HK%f*mJ3n8O4VN@e^($b>G;v#3nQO!Z#u=j=pP=l0_mBRR}QkZ(c5zU53j& zn4AP@brH6OO%bih%fyd@Enw~JbB9ACs1CUqsP_n6gMB2|gULC}6Zg1?BbL-nWL}-x zBF(=-fiw@%Zhw18jn2_mV<#8}CDB!?UYgkSNL7?%Yz=@DQgNjdItK5Io{^t_da3_P z(5zpk!Z@8)G*r#;psrrq7u)w=b}6cVtl1UdRvT75U=2Y*WHI_IEiYSE`PDo-=prPR6MPcwUA~e133)*~;2V{31G5f_x zTrNA6c;2v;LCR0FgG`M11iJo zr_Y@~PtcGLEKA!ZRU^tja6Ub4%=@+hH*p zF_A5{wd}P&rPhn)9q>m)$+278Z6% zxVEFNuEd(~)e&+G0;zzRV6?K5VJv^mg><>UFfpt1SyS$qop2{eMJ|l*)f>ki{OSZD z5Xx!GLjiGqDweTwAj6K{2m?A229U|Kedktv5A={-aHuc zR(Ur6MRcoz(|j?Y0y|f%>jZJ});wD&ub#L(b8y|#0Yk_qu3eRDi_ESEtlHE|KCW^8 z#E8$MsMm(T$y#<;ebe`O@%oOs9eavjAc7o@@*2HD*ekzvGXx~Ze<1b!Tj+7`$Ms78zxljDWH?#a zFd!0q6WB?f@@(^$cE>BZNqhKJihb?AUn*YtVSWx?SA{AjwJPzHy$8POyuIydgCZgf zw|ti(*jvT8G`p^4S>VpWQGOb4_31-s@gTVOgt3Y;1>gtl`H#}`UNeQJKs!E?%P44c zeD9t;9+@4+>Fk-$D;2ax%gHyx6J=+X(4Xt$xL??TQXVO?&$eAn8)yUDryoSC^h(%F0+@x0i!e z0`3Yz^WFLlaq0;1sK_B&7sW~m0ih&v+n`HOxL_C&e2AyS;?^zYZd0KvPytyY6o@m^ z1d7X-ReNt+SX*0PeCqaS-DE;-YViAFMj0K%ZlN1(h&JOX~%e>>1Sw~SaGrv;x%xTm2HG!L6JY(vqdh93h%sneI+@C;`<={ z?c0wg`)_TqW4TJErKU2oDVdoFZpxuWL_{$6&E;Cw~}vSMvW z!@>z283U`+k|Ofl2kxcU*d-< zYyQ{jiJsqonP+O|$Whc4kjvzJmigh>tFj1qAe(EDvM_lLse8>Vr`rhw)UUshqb4n1 zb;rMfxWH9jHip)e)4W^1D1a_EnieZ}i#|^BuzIV#05k}P!3Kb@^JjisPp(LYMys}? z<4`7a!(0fuS@KL=4jp&e3neEJ?@C1?q;GC7$n&$~e0Y$jtI_i1IhyoURgDSj3z24X zH-AYlP692(Yj=q*F(RyqigdTbZpZ4Z#TsiD65B{}w|S8NQF#Yhx)EMle6UNt-!_Tk z8`>EbkG2sChl5ckDtP;B!k0o`d#%8x^F4p1Enn%pBLIBjslg^>CUBNhE=tVq#3?cz zd)}a>xKS6@6j2~YhYBffnw*;B?@$l{K)(696x}@g$-1~xKki03+}Dbfd+Xa`?enYq z?)6Q1Z!PlJk;2)Q3R!@|AbO3eb72v}@Yvp62Qel*+G!MwW1bk+h{zGS?MfvMNJmwO zRxd0mIjwg*_aPBpQSPCjhD`$+6-3hGlY+QQUL%Tp6zT#hAznkz%cl3JH>vVJ*z&j- z$T(EvUajHUj8}}t!25+1F`53(ri zjgG#T@kc|g%~uPHiNw)+!*ZFQK5_h&{793qlcmE^xyI*F&*Vx%>d(#Dn&xPfDl}k% z9j;e2%|)~67@9VIxT!`_BzI)1k|F&!ea8yfy`aV$+uODVuF^vifi1TQLHVTaar5>k z1W);KH*i2i_T}E6Q|1hQ`t)fR`z*JQf)&DZH@HPWpcJjX_0%9nfArw&gJfg5Q{2$1A&L}0>G4TMFkqXhMxe#N=f(a+qX~i zFckpV**6#6I@4%fn@AN}@4x?Vrp|p8v%E=*JPSs3m4GP75(Ytr=e9~&7hkx@wZ9y{NAO!6~c+IuL{CS0S+;{4#Yi5r(tWRYA^2x_!7q~n+O z)M{{+yp6KP0sk}E-fMdco6*bbh8LydbI&7Al7?L);*5PPoccIVeg;}0iPR0V9&r&7 zcksLSeJ$@&8mTvBHfGC8yc=+WRJsuQo~_HXj=7P=^BowUN+f&~h{gnk6J-c?w&H0~ zxO}R8WccE7K#9|Ha~18Fo%onG5Nb5hdC(#dp1w(lfj$PP2*EEg8$h;MNc7b^x34WG zuW=A~r7d|0qn(?sB#*GpJDiiFRMe!3bgcdeZX5uC=2?VqX)H2uJ*4 zqB)o~W+i#`S2E!|cv^g)$TvQCZQpy98Php^UFV)6f(O9ljQG=Q`0O~(iy_AY<2Y>kyL;mreRVjc z6ZoQEoKIctx;6cGs$WWW=G6caF%dm!s-e*_?W$nB~_AuG?xS+XtY|M){Emxf4ig-LP4br?v9(%HTB|UtF zA*;il6FLJ%12>^ZCM5S!j+GLJS~ojs&Coj;l-i#?*}$$|sK!hXzgDi%aD056fCmKr z-h(olNW0+J{m(0CyfsZ#L=O*bah##tsZoW;#i>6Q_WLVO5{g3<)A+(K^dlE(K!iZM zhX>i?j6~xPm%wOK@>!H(K#lV0hrOX|Kc3-cm|?kH=Aq*zN(B?HW^E>jIukJtALYT< zeKkW<0C$);<1;h2a~`|xXENKE?6RFm#fu(WLTbl%VoD(zP44x-IBS%*3xCi;B~Es* zF@jTl_Fp08OGTnyPfAOk^NFM{gB;pxL;?uvrA((ktr3P@oI$;l6+(1sXa3AgPj~Og zpI`49U#wptVPR(W;@p?6@oNpy9?9hQ*I^Ekm%QIwLJz4VwOkeVFM=w(;4;b5bLlgL zeYH50;31`x^S`zm0mAD-VKv=gz18lF;SM(#2fWX>1we$Q^@`ud_KR-h10Z5sH+uHV z;GV=?Vg10saP7#Gigb^~b9?3XK@^Ntd-evBQD)IKN4A8^{|25)=Guj#9eJ)q-19wZ z)ev#Fio;*eB`H~7%e{tMzgoPHq34YO;|Ur|A{GK7V1ie~G)#D}kWr>L?fq-=!CpJM zmCFY(Z?$US8o^_VwAz{7Q9&gm_sFJiE{i_hX7DNOo#_+b)i}>b;<7c~{?*gWpT3i8 zQ~PGH+5jpUNHIsrEwImtzS8x)8Wj>v9wty}L5qNpUduNL47J%SbSd3znZC`Vt2X#9%@XzNW8Y90PLWpsvBE8Mq!L^SOu^tTA_Q{!1&!hwT#z1dWS0@x0d zfLAI+3rs!=KK48$R{wKa+MiLk!uAU9HgSveh|f(=M*sqNO|R4}TDdKjgDS@y8#@~O z&OQFuS}lk3oy`j6XGD@<#%ND#Z-{VP)YY8SZLW{LLq17_oV- zd@7RFt@2HR-#J3n1zFc0m2(fSahtlH)fU~j*;OD^_GFW5d{3d+_nIRRbe||SO87YO zUoAjExaQFPxTbv^@-%`>cj^iU?$-pwaU;7GQldW*=b+BD7rR4JZiaP) ztPwk7Vhc`s$}^MfDq|60a|Y89^<7DO^&j7VFgK6IJ=$@J&7b&BGdp%`Y7>qP!J#hJ-fz_k0smH zfq_RV#pzwUU@+Mt^_K)m3im$(P3@3`fh8%HHiY0_B_(h9`K@=CuM+`tvTNbT*>&a4 z$iV3(3~a<(zdRDYx}VfNB)cX-(2jez*2$*RMrUIW!UW!E00(Fog0+Swc^HC)Q07}JRTP^|>{Yk*eM2H|&Y-BLR zP(HKC|LRyPH!S~BrPA$_j41AZ_9!ep19ep6ioHLY(6h)d$BYcupK8y)nP*jK7xBNg zUv~QyHsCTaV^D4thEd!= zu)MU(#xX%aYoL-z@%Ac+Abu@mBpd`~z{XdSO1l4l16h&c^>=Co?d@DdZSQ`poJXL% zvxI-c%_KaE~XOjId3;8RnySLTWlCaTR79eHC?oZC) zs6mf+0m=fqopj<|nvm70aX`r!fe!DYqrbUoYM(jvk;Gz<$7 zrO?L-&pd}{-UlULHZzna3SYs-jIb8r0D>8)s!}rEA9Rk=f1yVizm@GUpL^NjB+a^_3yFJW&+@Vq*mG~;od>zB zuwZFrWzI^ZvvPb>Kj6=xaaNF3q*f9}C-un5ub&DX#gv7EwyDA;EqI3kPY(V*)JoMx z!U~~t!0UkZ8@-+u%N({tMK0^sw^%+}c9A@23bQ}WI4Z@|qvrN#+Cuhe+a^*20Ws|{h^#{5$9F7w>%cT( z%h*3E3HhMz;Xs~;SWMyC``v|g5&bhryvpfev>=h3CcLhd5S0AiC0v-C$qrTIelA2- zen(sjG>Jws5GcJCrMi4t6FfK1>&}^^yM|clh(Y4Le-}YJpb((!5z9$g%n~+DxQ>8x zFM25o84t?N#9in?fN;^Z2uQ8v8aCwsW*E2Isq$P`&(8J?M)+5w z8+UYxV9afpI)41PiWxg;e_MK(8=z_;{f9~;{Wk;#OdYM5yKt(Yg5-(*^nw#|9*$S9 zn|(aw{AJ8m&+*hL_XPP!sf@A1tX_y`v$lUF=Vwm<;IG^MN@ojhiES0mo<1{m$(fp?~L=!EnQUx+2&D4#H0k{A!sw0KKAce&6!T5an`{)JexE*9B7Lqhkyp2m+? z{w2ywpNm#+hL;5SyF#=oC_Iu>B2n+}Pe0_Zj0SEI*avwE--72riTV*Ykg4p?%=gzl zHvt5?jt8+1o=C{VgJ<;~)3}1ds_bm1)Q_KOQoHi1f0}gN57##~oON?EG@$1Dc=Ys{ zi^>8oPOG_FDSeAQ`gTv<8x3!NHWl{)=1jqd^v@#Kv-0xr{17iFIKn_vd2a4p&CiaQ z3wOhvWu2=RR>kc)hFm&jq`T*q@|Hi`s@4;0wD~mW+-Z^ z&Dj>HKEhgR^Dun?+u{V4V%+`38>_LZ=AVA+X1Rq*NcQ6&6*brOBYD*zTP0S9FM#5;h65 zY0K81*WbHZt6Jirsr^*+d5NxLC=0Dc)%J$J_sC1XW)_8Cp5|!R?K8SZA^!;8aWzik z2okt9YC-PCQy8kQv`8B9tW0_Cq%3%16#!(2xQqy4~uH}Qt5R*eA-Ncg!MlYvo+Vscg-U> zvTK7st9Az-CD=-Oe{A8}+RU|0rY?zVH6;~_z8WU^g?6SX$tX+WF+ zQF}nJv|jF@p25oE%+FPPS-r3pR)pO2Q8=~u2N!2-fl-pg&ik4Qdyz|kyucE_*<|I2NWwJQOt?57xuim{(6dg z#?e_jBlA*2NdCLPOK0PdysZmVOR?Ycw6W3c7`Gx_<3p7@8g3Ut6maZvXCNI*7a!l= zTFHF~8r-+FRl8ue{zq0?<)vrecwvAe=CT@b*w&8Rt`|wB@5Xy+=;2ouATbLsEGz_P z5QQXV@sh9i z8oLoi#wpK@t}ot)3S#pGd|msf0uIJ$e!PdzU;;Fu;#Jrp-u=L9&e!{((_!cx_%a8r zOdUNKq_C~(gah_sFiZrlE0@NYO z;q!d%!znjf>Qd-r4vW;b>{wi*%xuYc&3Mo)YWwA=pp=<8(i0oEuGGnWY8gH4N^H_i zzdN%-E~9#S&#SH9W`N_SZP8NNX{RkH6Sex$b9mGF>YHMe9XobhJm8O2zTGDxpVlyl zmt#F5Zl9Fn<4eiN;CJv}=3dzK`GQVakm!DvD7TPaoSAd<7EQUoYm*8J_sm@q2ovX@&i=PLgz^RnGi#QxeBZF!LrLGZb$DV4i6eLS&Ydgq&V{q3<>j>pZ8}y0mCpTp9T!8vbSZii!anXC95&ZK~ zWhyEv;wYB9mm?BXnI`Pup*(qf#&IVI;YdDAgfFA2bz=druhmE=;xI(E4~U%J%g;~q zQt#*!fsLLRR~LArz8c>Wh!U*jIHeFeth8kvHH;9~XiXX|EgVb5XdWQUk8O>{&DEQ3 zNw2t3qDhlk;V-7>%3W!N)>44JJsb6!dXd1b5PHY)dkd>$bz)yh6QCVz<-H@|k077h zF0-+NHI#DQ#|aKT8YP3o3!NiDiNBjDA^L-JW*bhVNCnqqmNwzEHn=lBViq_;-5Tw{ z=7vu1WSuW=Q_6u2sm~sXq+Kf?m`o#MVS@A7cK2Aa{EtBSqzwuVu9Wu15dp!VZ_Z;# zK76>bmyM}6X>PJ~;3K3zHc!bvMrSzu?ow9alMWo7 z@V}z}6mqCCq8-wU(5B-uj?4zA2EbxgT46*Y5K@}c1GcL`jgObh{CfG?UwJ)_Qhc5Y z9VS&mMK0Y7uPX)!$4k!gWJOvMAqph43-j3bh4SlO^do*0#M2PVC_%W>Kz)l>EG!!*U=*}Dapow@aYW%cxv+#vGFc0`s&sCP7`NBWSn(o z`A-%G@scu{$L63PNq8`=U19U+&)zPkxhA|n(a{39TeR=@U*UNjCRQ8A0w7As$AE)+ zDfCA!)Ov57uTIrT32Q9reT0fkGfLHMr`1qYR)Es!L%rw&aUqs+81 zThQVOy7KV4|3%k(hjZQkZ`>c0l@Xp1S?_#W5ykE<@@^M1cx&vBlQQ>4p=4NsZ2^#r%;13-n~ zwYH2;PSzlN( z`hdY^r7BvNigA5AB};v2yK$#78yYS*p~7(wTNOSlk?6DRzB~3|Q*(I9e`Uo@Z-?As z2zd&zb&=)6Mb30$-N#Mp=#=*)cqF)%yX^AI*C9&wPnIZ9AQw{SP}b?+9-_Wynak&A zF-h=qkoc)YpuI0YIix1UMUuFY>mi4q-LU?Y<4tTeKomMOMjJooo}7aJGSGYmV0Q-a9SDAY;5-&G0T2$WB!14^y@}7}JFSH{+ zP}5h-gC|Jnc<*?PesRhlirr4TX*hQL)0Io8W+PdKZCRBSkjr5_Hg{3jm}-qX3eQeZ zp+t=Tw-UqL0Agi+88XDzC3>mdi?3FAca|K<^L@jb%E*q#6f;ECN^Yi_*W>Bsp;9}e z?#oB*zG1UqPL&(7ABI!OgtU2=Cp6hn4ryC_mY&}HI-L7SPL?K2)d||vUvF((7v0O4 z^2xTsvuHrJbG=c-O2#vH{<b#PcVww%KS}-Q9*k5`M`m&Dds(7f>EhTPk87yPQuJ zkCVxF&Lr91n9;7R3R@({ZW*7u?YAeoJ{2b!Mn&BHL8@jPGO1GJVI(JX&+&gLpBl4EIyb3FUBa;RT+r9Raf^och8Xjpyr z28TmueVz6>t_AllmQ%9R(#2DYrJZ?WH{X7fPJXL+p>Ef^-@V9=d+4C65;0H2ey`m5 z_Dra`mZz0-IWsfULU>P8vk~l*Hq0cyE03oFTv|$aF_%&O>htDx5`6lHa}h^Su42hF z3oj;N=q4h8wd;oFuE0J6-`WNpiM6NGFSCEOXje*lEN00sY=2$u*53P5F@&8+g#ly3 z=F!o39SK?viHzg04mtcD){_78{oe6$SAv~`kY;BZbw?Lo(Y|LQdc;i>ED0+-+D1+? zfCI#o)!rY{fuXfoZ$+>xmMN?_hKMoQIsz(qO#ZgVkd$hF7Fr#@2v_oSn`MMLf3CAS zkBs9cVl_xnXp`ONk)wVhzd}uLb^21^ki1&xq;)^!c$O<+RmNlWku!SxB8>(n*a2qnz!+8gD}8 zjcL5c?~L87J@L`y^Sog?x3mGb3Z@i*!8V5+Et}B!f>q4pPf^-?2p-Q?j$Y|8S%U1t z&c3x~i-_?rC+Yx{Y(Fpl+^K-DFBn|H$j-u(UgPyWd%kin{69Xf0^G?6Gmc{ z2|XLg{k*ax>sC@*qpsdV1V$1c=b>g|Z47ldVe-^ti>4p;#BX;vWX>tYsWW;Oo)Q82 ze8u9|VN(v>ydIV9Qk`$klpktc>MwXCnefgqmou#F?Ea!0UN<1d0nyRl$xcUO=#&S? z)79}JT+(3@S3?{UZ`xSA95HF)v{h?DH#caVa^cu~_xM|>-sbVQp>gxaLWKlk-!rGa zFEZ2_y(!5l_4{$lrx*DGIpK2ILju6cQleqA{BF~4 zqvvObe_Bg&Lb(tT*NM6fUF6%%5DG%Eg&)P?4KbZ0(z=j;e2A~sA+06Jy)4vO)=o7B zQ-)VGw!E|{pGCj*_1UUU(7#8;m$noUWP42J9EEWEcNlgd<8155NeElM8kdqi3{gvUApexh-U`bhc?_4 zCRrm<>FaB6x0+TQ=4zdKdRmya{lB#r0owU-%WLcwsqjK>#bWNwL3mMS*=5GwqAKLL zwfm1G!`rMZnoNDIrRS)L!Fam*qT%Tw%T>s3Rf`Ni7nd&{Abqea{u+P|lR^=M>`m_L zxF5~BUYw(*@&Gy5kKoJCb?%wSDQU%e--E~~*Z=?BYt@o?;i&I$op|@ju_~i)Bq4{LbYOi{1aF4`SbI;;s zB%=tj|o?aZXGvim9jOiS=1VQof^qSstlMx~4T%ex{?IO|+kW}p9uvu@gGX9enzOCytdJ9Vz~NvbsDV0*iDT)9{4??tA?<=*Li!v`lYwB!5afE2Va7!a0@!(Zqb-dtT=;-x zjQv*^GKJMhh6x5#zvTg;-|!{6(S~5B=nN`D-BPrKRe@~@GI!KvkFAsk+`AQy{2J(@ z&o4bAj4gl0H54BZn)fTe@W$b5zISi@nUH4xVMxCL}B)ak*N zuJ6A7NI=qzzHOrWZaU7Mn@EMRXV)K-@%$@^(mpKuOH_f%f1}?8u|u<%8UD|9esjgaw*zrxO4DoZkOymI@}&=o zeCP)s9>Nwxux5)Dxk|xtxc2qZ%Vel{FjMEIydFQJq5j62yUi&(L@06f_TH=E$vn{i z;?vh};jvQdbyn~$=#gYNI$hW{ujbMB+|RK&jJqaozywv@N-GQ!)A{77-*Wp&-L#uq zubEtvd$N(oeA@UO`uP(X7tSBSai1pbkn|g(k@?_7ucBY&e^+~-r_LK$8x`E_QJ3B1 zY?2$9th;Z=!!+r(wq+ZsA>XCj;T}pNsYTlD2k9%rbUwcroug6?Z>iZ8-}D1IFKQO9pck;el2ZJCw|NM&jY1T)b`Jx=9PKC$+*c72dx!$Adb7)B z+0)Q3g~4;*Qvty>tiY5+o=5@VhW&3gLkww@Y+DkOlB$6P8Ge&2>q3usmz~DWEF{eX zKZ!ju>6fMVlBHR~l<-wa&d;a^NhE@H$j28`_QYeXvhuF9>V=EGL-o%NCIjr9S4+Kt zKu9X9uF3VfQ(kwO?|i4yulfgwqrAduPm6X^S2L;H202A zF_+^xd1{M3yg^9LH}Uo&m3JbR_y3#1V0T39doziUmtyQ}QQtVG9Qxhlf8*;XtP3lJ z>$Teo&cCDH$YlM&zB z_cKs8UjJ!c*3IOv`^|lNp9R++u2AUISwBG&GWYBVC*!~0F%{d@?tRjB4u5$HFD3g4 z!W*zWGi}vB$3K5t{zs-|UtyTatP6hgxA}VNN>(m~T-<-h(yRpAak#Nb-+l!gtu4Fy zpBOkqqM+ycp_>zK#i0dzPo*8VOUzEZ@JVLOjghxsl~FK4>t18M_Bzc6pC~M;i9#+O z9~Lldc`US>poP4t)Iuy1f1iT(9Ol*Svxt$6Oqq}jK+{h&=n{###AEMqO(C0?N`w+_ zYSGoi%kd&>mzRsZl9ML}43|me8uzsjq=%;RBYy>}M8>%VqZ>!!)&2H!GYQ}tlfoAO zvYX*P*NK_&*RowUUG^l27aD(tZrDV+Zq zS#jKY1Lx&>@EsH6{T6g`<$eS{?LVg~>BG+QUz;CI+F|`p;``6FlOqQ_0ccriNB; z2OuZGrAcg1iB$`QmD)e}41_~Ttam0NWm#JsD_}uHc8t0>q1+sMrJHHGVJOmoU3!(< zsj4UHS3vj1yKqDL9~WE>327aMTOPejL{_mva`1Cp>1hF+ z*9c_20&x^TiOTO|b+i1rqlV}|2tV&Z7z2K}L;XU>L&&X8##z@V#@aG+OZDl!Z4ca| z|Dd$krgdj+k$j>H;GF<`U~8+(R92~*u8{ML*?D-PEDL+ChwrYDX_abi5t|7A~0 zcdd~O-6vYMz{rag4J|H(KH|!6B0RI_vor-afA~|e0|Ro;de*C@$+WgKjlazn!jly| zInm~*XIx&EBRzlhqCqov)6KENIJteVQB7M#E){OmeL-gk4O0L8Fjbxs^Xb;Z%8A(E zUJJemS(-0xYsMXJ=HD##c{a5BO>Wimn9wCPEgj`#3{t}5iUkN>M~(>agFt+;aC+1- zw&)(Fok{dcRIJaAE#VWw;^2vZXkXT#SKJ*vjkTQPNj_+S@Sw*=U|b3JWnkJ%W?|?> z)gvz~he?^`E&J$Bh^n6bbxh=uzxvVB>>o2O(6PrJR~d|x*|z^)n4NKVo{`vz&buYU zIiGXpzj1;-H-g$ zH8m84ExQt3KRAocTr9oLr8t&U87MxReWJj>%2a^7(%Si2lGmM3|xrioqoUzdTUS3-I0gq?Qvv*>tHRaqq zJjD6aU!ni}Kd>%{BD8{+oSuyfODXc9AT7rz5MG>TDGoW0k!tgh2r4D?nhKCgQCNL^ zvhm~KIwdh$MeW?SPj}J%tMqv{ov0WI%R+zO( z5d*|m7}6>mJ8(;uHejU78l+i5lv^3E9qb4(&V*gut*i^8glG7lmLMy@<~%H_Mir0; zL@ABQ1gPGUIFyqF|cwfdh3$rp`uHWEoup{nH16&1}P!cVGB&5V3pT z+09lAmokyDF^Hs7wnEnT7@F3xLm193f7)m&fv-~$%je;qL|q~HUId#m4YGm1Yfz|- zn?1QavHM-K!UX5|*t5qY;q_TZG$r?O5pCY<2JQyklL_4k=jcOjW>dU;`SOaevVmHH z>VCh`i*L63j193XxhZk@KEqn@vf zlcy-B-{+9^nd8j-8Ex8G*E3aAx7B0)QPswZ5xWVe6~{QV-H~ZgPx1iB(vwR(?Uj{H6LHRP(~zuWwm=ggtSiaC`0v&07r&ik zeRG_`Tdqin75!QB>a>xPp+!aS|M{~T4(LD@BLpzt_*xoz|DYn4jLJ>wh13Hq-gB z^>%BXc@IOk-?*GN!NAy1D@e!DWqvB(psx#=Wy_GPQP=4~EcClh>%ylck{u1#*byGl z(t5x3ki2WHQO)|#&t~v}j|zk1&sXrm08bI=HN(@N49z;WA2`Asb`ZVBBiVE7DU>&J zpL|#yUt_jvvODhJl55TBuN0r|H-BH9X=6^-K!{a~yCf8o7mB$(=V{63ON=g86GKcC zjv36*K`C$TB9reQPxZm(NlOi@iSX<^>0Kvq{|Cm`{!fgWSPmo21eXk5~qm zSRQ^3es3)M9iJ0@%ICtHQtd>D(!U=&E4Ci9Se)!aI$(Cr8hS`zOMf27)UUh3nU$Iw zqG-Dj!S$)W;-lN8{ZRlDo13IZjDuV8pJtFmKn}d7ioH&>(|oz6uxBEc$@h!&p&3bV929DIObr7ArX#l$QO^F_8=SI!Z3vx+XP%rZMpW;*7}+UJfZ3b zT3yE{AMpG&vM5(Pv+zg$B;zJSOKsup$N9I4FL~%FkhNm2jF5D=0nredf8HU(hFoii zU@Z5EY_X!$PMr0|4-Y9U3LwqW56I~trhTpMzjw8@AU<%+OzyWX&H7bo4_I6R+rs#dw@MbR>GMZJD0>p4p$) zKLzU9q>exBcQy&8{V?3K?X~(R=aI(Be={ges;udi(yqvIGCj#b9`qViZQ;UZMTTk! z2AqYyGd*Kx7)$qI@z|5~D@3j&+}C2x3Y1&b5~d7dEGc`(p5|44Xg`{vGL_ykuO`>%b836X;I7MB({Urka6FI-|_6g)ZX z6i{Wlu(b4`!yd?OOn+lmVSHdGY=JQx)%a^$NpS1wm$F(Fe04fC>NbJYmB3wt0?83S zzZqo<_Cl8t201VyF*~G*ITOEx|H$>6hf7;u_^{8XaU%scF|1D#n)bKo$Mc(<&Zx1|G0Npm! z^V}Nw8Fquo{r@X~K{06Q>nwl^YinNTKAQ6dUHZ-$Z@2x_QTe#IJfX9vQAguTPFn#~ zbgG-bFn!%IRW>1!WhuFx{=mI?mvC5{hjR?}vtdhF;w77Sg#VUk-=@M{;R}TwDm`Q} zu)KSdg;?ouapYVqs1^>RD%=%eE?cCHj`8Nc!C+b0RDlSb(rLGUp0Lf!k>ac`FX)^8 zF&$Df)X+N!MyIC0)Wg>Y{xz&hY)*|3XH^oG==)e&f#xRAh7cKfR&mx{_Xdyk!{9i} znAC-9s^r|!RgsV6p7X)_6n3-klJaHgO>zy00qw6#DNUkYL@2V=E2dj7L@*_>xFK#> zTW)TRBoe_E)#}UY^+;skm~Zy&zVj8{&|s)lJ_5g>O7WHnI7ih|?acPq-1fGd4CExS z=^D%SijJwW4qh`gNiYCW=2;(X%C`3$6m6Kxi zuFy}3Pc6*{cTSk&_v|Ca%*2!iO@8wtE;?VcGZ+`6>dD}%l|6Ow!Ugf)zp}EjVs;rh zmL%v+{>F%h*fNh@0{9OJF2vEkD!J-}$W~IYk$X8A>U7w-u0kG4GHknw@w3wi_VD^| zPG*gKx&FptjAG0cYtaXCKO3+Hqumr*a*fS$?N^M6*TpBZh}-=2rnro8;}`P=iS*~E zrQ*ZBn-#|GG#KU$yR|eoQ(En!128n>V9)H|>p0?|$bV3$TjIg6&6t8|NW~jNm+H5`<*6`lHXMs>E*RylEUE9LG}g<|f6fm{ zWA-p2vKb^FUTd?AV$%kP0eZY><^Ei^g>D5?4%HbA7*nkzIUTXVdk6vHvl^&U05UZDCQ~sYt=oX^OFgB?L~KEepk$H8@_w= ze_!0&Lbct>F0Rp}L&~n`M_S<}TF6l2oObv(qZ4=zxe}f)798*WVPAZ|)Fjrfas$qU>bTfDT*LSyWHO^sQ)?VCL z)TOHRuR^Q{FdqhmyZX;o#F)JHJ1+jXroH$qgJtu3!<4;f51f6m z$eG(^lO}2y;-E8HTXH=y>&~f|UV>FpubgY3f^TuOV)1h6jEhhkb?Id?Zt+p-D7|c! z5ex!fs~gPFj|RQA8}{PYI}1sP70{{0aLL znfmH7)d(%KMWA<3DnsE?G{Ky42CRrv(o%+!@1t`QB@`ol`Ec zr+_;F+Xs89_VXt<+N@=JuVe^BTeECOj;grVsL6aR(yn07Vg{}kaoH3kUhjVnApbEC ziWt)OgJ9jvWqJS2`l0l6NIQ!z>~zR{RDcJL;>MeKa?O`QWopXKJZJ$3_Z#H$S0Tb{ zKL~{XZCLk6h3&>-WefVguc)rM@2$~{sM+!GvxPfdL#qv?l_tv$!T{{~f^-44ApM`Cb@J7C(Ns!w`t~CSy%NqN0 z$uq+Yt;-g6HxIz4TdReIdxMS2;rhIu0i4K24}&vhPv!Mo=gfH-Tt1=D$}$Jn2l9up zedJ_JXL1*s!-AfRD`M9EYIpU~zO+3!HX549_vN%=a;|0vndDRoZw+CSRd5yzQh(s3 z5Ytc;tekWo7OUgp>_+PERn@&xq=fE${C;Tjn>H)W<|A6=Uk=LOaWfe$o01o0jhy4` zRuG{4FyUOkD){8p??b1bFhA`v=jgfCd>Qx(f@uyZkhWY-rI9CZeJ_7&a8q3EXcvPM ziEtUAl2EPQv2&*c+QQ|JIl;#6`?ix>_V==VeV@<;;03r$Zymkx3{!lE}qc}Zgpi`I3II7l?3Sq{s|!< zG(GGge~tL*GF+Do*<5)js0@c1>o+UOktJcAm+8f@Nj%y)cL9gv>VqzpPpjgT>H@pM zG~xH-7*2-<3Qy+mcw7MV<$3a{iKW58*7x1;JCf&(IfmX}``GQ2#N{S0Ip^`M#A5_f z#=}&j?5>R2KXK1U^mTn=CBv+w15~HE&I;NL-GtH}7sO*}%B=$Tm$7xcOWEP}L&@V9 zWWx8_64pK#UHt~l@|dFxHca=79#zYbrDMB-c3(gM4oe9*%B;B-CIQEH5wfcFdf`#{ z951_#u#s{LuA7^0N?z^9<2-5&#xg@5Ms?VZ(GrUtNSR|}ICVakV>S6ha?I0NjVZ0{ zOE+#%=vl79dGWhc5umv51fJs87;;reJ`D#exCva`9`E(?)ftr|@lsz}txwymJ?pt{ zW@A$?9WOb@IaTU(a`9Wu3;9{M77s#+_5*74L!|G>;rUqcuc6{Qk-8F z3*O}!weO>ETp<+(?Ub4g+1r(QRVw!6gEN7FqRrT_iIwhI^>r8Y_uUt=J0oeuFvwS{ z-gcy8P?n~b_Ehu7YX$rKBL3;XD7cBYbyT4B+=(1_o%79N6Qe1&{(r}b^i$^z=Y1&( znx#ns8V_ExPNj!RPgogdjms$(t9RzgOd7lzEjrXrStu}QzCL{GfNQcu+>3b5sHd1=0?Nb5~F5Ki&owdoSvACB~9R*g-weJQi*2) zu4l%(EQ6%qge>08U0Eie&rLa9Hk9XoZkKwq#CL|u*?a1>1B9ybf3GX%Hw4zh$M(v! ziaMwf1j7Lz6&urvgy#Xv*>$iE4aXni)Ra8FJ4^}p;*$)yRH2+OJM|r;!v_Z*gkKEW zWzyo(G?XI3C(hcuF@Ni`nZrfN1Sc4wcSUKZVkbYNCa9XVlA{*$ld|{v=hA7T4pF{L z7V&?_(yy4$jS@65ytyIA(nKtyA*Dyq=#Pbo4lIZ<=TiR!Z&&>tQ^{__8l$WktLp0? zR81)CYDM+f{x1Jx8Y7XR&DpWns;u}`KS%56*+C<4fiD;tZ680>+8YmB^`@q@*hH5S zUF`f~6-K8R7g=AnfOlvsja+*y{>(k{ zW=Po_@wLLc;-$~cZ|^w>UGE#P=(s8R31<-|F~J%|hEduy@{f9M#+~_0AGWvjp`DSD zk!17X?ga8y$9G@La}?eO@D<4O&1UOeNH~g>2vOq%bz{62`4SAf@VlD}7wO8F3I4T9 zaZvT(TPLxJNX0jaYo8(yg>tl9oyo`478^Qz?fnl3{|`X;LWD=0^kHCQZD1(+(v6RZ zI$}!k-}-iAeKjQVE-^XW@-idS<)kwQ`FLt8i$o#D!-Q#rK#~tGdm;$9oiKu;sIL6^^ZL#oUeVg;ZKXB#a5HU@mnIga=i1f9ZMQn9wC%Aj zbY!{`>+lsRqp1PzRGGdv_WWrz^KU!jJbkg-C)4pbEtjp@;iDR3C-hcE4^Q6Nn_}?` z<7x1dhpx1eQyeOFBI@7gakNw!RsAk}dASIhD5F#i`T%3Vp+)6G!MEw8{&w4XUtXd8 z5eAxjkB;ifm?4uP_~N&Q5yzk(rflENjMYY*)h*Uhd0KWkpM6+1Yd>sMB}0ibYD3$s zs@U9;ai9oZmOa=@pW1z#J$^kwCQ2kMTH>fqgbxG|K@pgdHqvbDsJ z3pK~jjoPin4-nIUlG`3eC63lERM^_{@C{2mJ$ooe)lR8TCd+h@WFXp+2NZtXR^t6f! zlTooT?$y0W<2rphpZpNf2QGf^wl1HK0U((c`VYdGg{2M@R~8DNh8*rWrS@E}#5sS6A1}%}H@QO}k{wuc2jB z{&5b4)d7m9RsNMuNn^W9wnz#Ek7f<>VmHWG&ST7q?w(lKBh`r1+FM1;JfQ!r8cc7v ziS<)@KU*IktSyoUjVm9j#~pb(@i@ha{2~HPl!W?9B4&{Ilvf!Ow?^VKvX+r-CFh$w zB61bl^UK#4^Z$Dr2~sgBmm5~hwW&V@U^V8lyVl>*j)4JH^p^m@-X)Odv+m?~Boh7= zs0{=fp7b5or4Mjd5~VE%U-Z@v>KTkZ3X>l@ElI5&kGb8UTKq4BR|U<>ru*-iOS}pd zG-xYa`&ks23%kFsXK?SYTO~&73eQ7x=8Fwf2I7{r^A9s`oE9!@jNoOU_D`Vkkro{PN@Ez`h1Xt{ zZfa2$D{^*WNCFvdPp@Q+aa%>awert3%%xYRYYqLY=kS`i0C8Fe-%)G$pOHod$L4Eik8Y(E6?lN;gCBuc6gN@&vi0TrdurSpJE_Z+(q-~@Yo;%w^O4p? zr#ZP&1)Ho{=R>V7oiT0OM%{iN?LD2&nn|V|qhYQ9B=_+o0ht5qSpj7@M5sH7!ykDM zlpi*1uY$dBLaY}Tp@h8r^^|>%CEQ3wDD;0&7UgEZ_1Qf6a$Sl!pWzsL5Py-qJZcbW zMOl^=y_{;9itMQ4tP`Z%MF~a4o6-^2k8lC)`U?_z*XxRjc5y=Y%>5kX(s^Mlv$`%-4@g1AMUo)q+$(oS+Gk6*C5OWZrW znb_++lgZ_wFeu-0IsbA#m#_qynPq5+LAiZ>{*dKg+?vNR2NPleJZiV>!>drTDKHYThh_{Eo zR(qoYz;e)u9xp2Gb=8TiIbw75Og{YU2TKavD-OmqsPoL|%2eZXtp;jfOACGLq03r7 zFh<=^efXGG(LyOk$^oWdgRbjyt@k>lv>Ytcc*W?6( zXX;#B)3wZMIg-rPA{9UPy{pls95pmnP5yAgH@f9nr${9Sz8-v!@CEA0{SkElyb<*% zMeE+3uF~9<;T3j$N8lXQmdica-G`4f_HXs(qIpyKe9 z^^@gg5QHLw+R~!>P!C~jE2H%M=lCnQukeTkq^0s4#?OY~Lv`DreIRRUB41qN{j`Qk zm;HKK0*hyNNI6GUzm59UI7w>1di+UTv~H+Sit!7v*ptMy^L`Y!KLVg1KbwV5Ka>Cy zK;G~cL2e_(K(w%C&}e1K(#--)Oa#-If_30Nc#@nBKDRULVkMc78D3_1ODOjG(!aZ64%R0%lwc)O(K87IGi%Em1eyZQPZDa`Zm0yQaP` zW_=QvN<$XXUgCwlC_1j66SAIxYtzoMo6Q)M^y3S|PTkK7P??gcad|dohc&(tmsPUJ zKc?)vhgjfM`swuTn^AVj!txDnjV<-$F937Qx zd0F=}7j}3dduXr~cEqT|A0}J>3#o*Vk&fVd{`$1-6v^siIy1r+U~6lTLK2q+`*?r$ z+YK!GwU|l0&kf>?rZBN2is7qBU}fMsdSiRq;UyvrY5^MlyFfW4SO%$9`UH2lDczSj zqkp@cLi~leeVMI5qMygVP*r0+1K`FZB9XrRjxN4}$qKL)#R(3Ikdpms+4$G;2VM!9 zl+EvW0hLSX!?B1WZFGBw4Ew%=+vy=froJTeIWGEXL!Zr%(W8Sk9VlJa^J+AvDmW!* z3KO;xTS0}npi?B$Iwq~4Zc!2GL~}Kernc{GdVDiVJ>3_{nHWF~$zkxwedA==_Z~KS zf8bPt>FJ>=BBZG$JdbKv9@P+tcM7Ws3K$Ow+&ptkgG==bA>)+_0pswu|NkC^9_Y>z zbcLWP!hZt^iwwd-wxyKQntoDaXUXa_k>phynFGQ7uDip2tmVAComlfiEk~>00bd%t8wvt?2!%Dk&)m{bfID zgsA(6#l{-*QW=Sl)wMC}2z;=x>VAj)YsO9h(zxt0HB7e5Z=Q&P;tE4EYUR*?O|06Z zfSDf^y_i)t4NX@Xogdqworx_M_D4mlJ-&E|*-B+$QNF`mTPdDKNh1Jz_zxq_CKDBL zn{H&57q0IRr+Y@Qyid$JmVg>3a{4{qBwEBRiDK;O$6r4*_Z21OZVCyXHwz=DOF{`6Qlr5M%;u$;D_y&ZM!O<(6Y9&TQ-7ENl zfLUKbzE8aoh24cWx@7>3RdbtvimsTLnmRnG1ejC0ss+;5UR{t(+K1HY$dG*B9YQ41 ze`rH6Oesj=vWNbMcMv-(=+sfF0<%fr9DA9$`6GEI)mIb;p4r3kZ1co>T!rt@DBWP6 zQ5BkfrD6z1As8w-DDachVg!%0)BT~^u^!+72%Zb!9rkEcE>^!zh3v?I_>+4r6eMyr zoX$aDB#;~{H6%57V4440%yQd!A^N&P6OFI7MRC7gh;t@s3IZq>a4y?`!fIDIf~MC2 z9-|}udVb22-g`mA+mEpCr^VbMLcoX(;eQ~qhyNCcb`#Z2!r_DsRc!*igUa`LiU>|= z2DBk6_nGRxtwrVE**pL$u>*pFsH{e6&hKZ7ox;_5cli6^ZQpbdXoO6*uv*Vo7mQfk zo(>2lGpz=jr@I@`QPDI?$|Y7tHeK73+&?yNid|3lJdsI;-Y(rptU)0HDKCgVSJIr# zskBW}@a%29|Ku=JVfRz7W(>6URn=H2oXM8v#S8ZK``s<78<><3Y#;dMQlv^9UA4$c ziW(Ns13(au13>*yED-yCN(itQh1xcxABhrIuHV4eniy@rA5VssoEFbg`;@=4_w4&FY*w+~EnL9y&NohJf|MGHSfav6%d~{Uo zX|fs*O1>thyho!T10HTY#YYOu1! z~{|XR_Saj%K)!aTuDkEqH*7yWHp)IJPsXU0P(;H?kRhFcj)xMZ>U@xlXSe0(gPTl z%i9e{JP0{89n>$?Q@SPgaTAHEr=73pQFI0PMtQpLnJ~|=ti@A>_=g+to=A5qpq== zL})aL;)aR`yc{AVoAe!tTyhY^IUomXh+yVWkO)UKG3xE1f@Kd@#G4;11j1-B{9lk0 zxnrN`ln-dbp**n|$V0cZ4`6un@$cdkqmTd(eXqSSmXuHaK^nS1$8#O%hMDx9)}CVg z!QXD6t2k56Sb`o$;6*J(mPg@~kg0*<_p;ZD#l$6SKCsJ~N-ljoFdK8^F->60)#5%D zru&)9uxvqiiRU5Xpvxrn%LKl_wHF?MCinyw3x!=da&qj8$o?I(C?RV}*^F!6Kh}IZ%ED=0ynj5Wh+oQxN z+5?gTNRd}`P-!D4?j8;AZIaT|9byIk-Lb@ex`<3kA};zX7)4Ar-(oesm(&ia^44vW z&e};`MA5*V=-o+$*B5nkWkd z^zGjDw5RU8StoU`c8OF?O!7q4)y($>Yi>f0(%G+uvD3e|XDjU$D=W?5Xgoi93BCp; zs9Z}dO2*H=@qMHGS?6`n^#>it4_iaSEi?X%`*#Wi1z^{LL7#)3{g@E0$lzUz6ia;N+KA)dOK>1j0_{tT=scJHA=*mpqV z(UBAR6Z)F6_g@?4?&fB~;rH;zM}2t%4qo0P!6krQU;R}3c81tuL|&lcpH6q)9nvu$ zDdT;oY1NkIoKX5%lZecXXPeyQd9f3K4DvhTmf1+(K{~?Nrc#?bL_PB?-_yV}O!C&9z!*3pGrx?WL?pYy;K72A|r1|wxuW9OuJ+IapWh^c^XCwsD zyzxCgwv#j{^8Mc~ODa);#ITV{VwK0o#n5=*N@f*jS0+yLgp|K-|i=YXrywuXtsP_qx-* zwj!r7UE(EJN=N_rGxvX>uS%Y;m`i4?pM-y5A$cJUjtCxc{0aa9R3qxA&o@4YB;RrU zw_z_oSv5xW2b?qm`nif3}zKsLL`J#Uyx~DA^F<)=g^!FzW zyFiqWcDa83an@bcK&8I6TCeu%RNgbtDL)@$kNs67pfsyN%5aV^>f}ydAqs3z0e*d* z^*TQ~LEtyuV{TQ0o{WhEot+3Vp2D~0Hj0}BEtf-dQ*$$@WJ7oYNInD-bpsg#0R)d& z|JpQ>#xhB*w1ubW?OUs}l)G32lM_9kE_+YOd@9gRH(9_5 zDl3_KnDhNh^EYz%=mlX{$JFa{}kZ$7x}-^f_&9cfe~INeE>G7gH! zW|`LC3VFzFCNeoBUci}x(P`!>_E#7UQ|^MLCH&nd4_oGPv5u>3$H$3GLp&dIKd%*B zga?X?*X-MQjhi`P9HIVRr!@BN;}p{glumUg4ssBdUST}pb}bugUNDUA=_y2Ma|9PI z9NH#^J2iyX3eM+pDt-nsj&xSjaY-@2>jJy6%)1&)E7!H>JQhI8e#Q`@RC;_ z@@S~3?Lns7qACv%9}I(kO$ubD7J`k$EF1Ux!@sR%nm<&ENoenb_ifQEE9fn@=wY5L3&Qc!yt5imEf_H|$oK^$3yLtz@c zAIB7yW$sZV%O6qN65hC2*AgV(D!ij<8kdXsv11{)I%(OkXGR<-FLI%%NdE!s`Ucir z-@`b(xz&uVIPIIykq05<@9wisY>5FZa|H!Fy>}zF-2~vl^8S{d!Kgs zu!2G;OsiKQVRa1nNA_OZNw}`+FM`iRC@nCK{ z&~<4N7JqSPo#@S@7v33(r9YtTI4hHkE&>KhLZuBsiE~GO^YQ;Q<^;J6o)!F!56GTY z+ed9EevR>=p^=dvrkYK@t$dsu+jTf=$ee#qLKbsQ_G3tH8l6{8#l(W=e#X)TT3cUU z%z8ikE*?zQUA1kq zRyIp^jb@gaRaGRt1?IpK`RDU59|+|XVcA7K2k{R#HB^M$JP9%X%DM)XF7VA$Ll4|& z$9QYDlh2RW^=?`IFm1|QymscWoNWG1@rJw!qYYw5vtW2)?bSjw+nfIDM=V+(8Y3#f zTpgbs z?{8zb|CZZpA)PPvf@u9W%BU_-_;<#Cd11HHggRtt#k}{|j|w_Wq+1J3tI~7)BC91)F8Sh=BGJ2D0HETcI0} z%Ps6wFi}W0dBcSAY?IfWKD**UAZWwNJ;6-+_4%L|3lWHeY&q%_PD<{&h%h^V03)m86B3MEXNmpT(XY-C(&v!rZV!sp!~kqH&zG4BN7V`W zNbUQWBc(Wxe^w30?vmkc?H#(^O_eM2)P!s!g-s-f(2}C!RX!ZuJzV&faG8Z1Te`bN z?86(oz6`sSJG}Rq`Kpl?DBKd+G8W>%xQUYRCtk+L>;zw{M3o2p3k-0yRF^!{GMcb& z%@mzrAZJuJU321)$r-gyiBQ{i(578MRz|W%tb@Df4LVF;&Po3qKHar8KuxY+Kl%3x zgo>Eoq7BI|w7`^~;AJflDc?AZ`Mf~%rLdBT$enuKW<_XKM&tIza@hd4%mG?bj%`;nousn!Ndp=C`yrEwW3!dmz>MVNVyYv?Rs5<5S z+v&kA`LQSKep1mpm!^xhCRR5WYik38FG4PGSK58lSS)611Zk_;v0MhB2rUT(IW1US zu|z|!r`9IzGPxat%;9fXPGer9LserPv_9y+IY7=O zAW%=3t*1|XrBM|+{ZZ((|9GVCam&b}1J2x}Tn9M$iifB3E*4N@b9x&@*q9N2eTUyj z)8OHWD!*{y!njpNgiokv-6W+_!^@6;+mzxj=xLmDHlE3ISdVYG`sf%nIy`VsuWYQZ z5g9n2!jL=l00ghqEaclzG0XE0>3$14@#Sy}6WEq|fa`CcC4uZ}+!(Vv3U1O;o%D51R;dyuHw-K~Xq4VNmz!f3*M{0|397 z@uSBPNNZlnl3|6VM7qsw0E)=!(k`O%Ap+?C+vxntyH&OY4}{Ixji!NrjJ&tMQy4il zNwt5&cqESeq=a{y~-=dB{=?$>I?#;X3ARY3kL z&zw4DAy2ccoHu}-?mxw!Ww-1T$Sw;1u2Z}@=(_Wvt`F(Ur`_RcvN|r8KELiC78YoD zZDx7BCbS`V==PzYp}J2iJ&rk_u6q2ejUGyK2+FyT7WYu_Qd+5Mgc-{|R#6gNnwXFA z_0M9izO#>RCEar}5fzrPs9bq#89;Tzqrr2wEDKRjfCbH97)|HPzua(kzduesr_{W> zX8sN+C;@iegh$IBsUf5bkrU>*>wVvrj?i%p}UQpQ?;0AfT&_H>aTB41@In&S+UV+ak79R;bO=*xy=*^W51d zl$_kdqa()=_jMnHrug4$Qy}a)V#z3TLqnOIU0t;c=WtDYB!&(E>yb7J0*WxT+PwYY z=f@}a?(x7$dJi)yPHJ69(b5WGDGFS`6+j66Z-T$aw2hHjF3pFl*udG(@K8L#-bHnu zV^YEElQ|yBr&vDj#hydju|1-BwDn_}L#5M%Ehrdi?6vELO{PB_U?STLxy&AtPt>_K zJ2J*S+2IvEV}YLQUsCOzWv6>g?1blzeYj3zmE6$9Z9sZ0CfT2K+AdVZ*q8^)rky-z zXsQEGwqCVWJeugmc~{kBoWZ4Ns#xGmAyrC?j;Xzl+(4$jwVoWun~#(`G+lr0p_1il zzCvq4Y-?{%WX1$LfX)!kmWmDD#Q{Je7Z(>Rt)}K~gPZGM_(}apygzx0P3S(wj_KeC z;c%xF=ZweZ?Op{8^Oo77Cgt21H4$L(p+|~fJMLN?fp`xyT9MN6+?$>vkUh=3n^hng zj7nkuqkN#*cxIbl(i9U9R7!Y_yEQS$Eplx0WwaEEA$%MS;&-cAz3*6m06?^e? zUJ(#O!HRw9NJFZ1GA?o4V~}&<|KRJr!@2JJ|KX33kx{9Xj1*~!tg=Z)5-mwa$c&7v zjI2D@omt_xb((?s445eILj5$9Y{{Ir(_MU$5u$F}<*d z?C@L}toq%Z^A-KG6#9kaWe_A0A+clblj?V8M3_SAQt{K&56?PsLDgPExQ4irqyXI- zHat=8xt{WabiC|7l1WC1%FTCe1o{MB7qLK-EEs?EIO8wVG`_K8;N|Q^Rv!Y3n)%(K z;WJVb2yf<0hOiqS)A>&`Mi0v!IlMC$N;9@TTgL7;dlnE5Cr*o<}92^{o;k>yUX9rT_&meeMfPgO8oRCe|u-}6X z-BSU6fzHA7HVY+y^fGlyI%rI;UcXC^(>IFS*XWVgzwG^XqTrl}m z%_o2S)+@R=I^i?2Ac12v|Ci*ps#?Q7p*YW&bQxXtveu#SlN7lJ>$9@5P|>;TLAaA7 zBRmE>>U#A&*bz-!Dz6yz`i4|I$PeOe#dcOHJKR^bUf6q+Lf`o8IeSW3bNl|3xV4Oe zn9|)edP3f<^p^^mNIhrsv(fRw#m1;oM<75I73>H@dalz1kRbpH|Ej5t-hO9>;y1OP zB9tEKyf51(!YlJps)DvP96t|iId6nnsiX*fQ8BI^J~wlOK_d2@J8vt$L?{ET+p<(5 zpX)xPyYNLF3P0nQw0ZCTP_n_!vY!{L6dH!AtC}`UPfrIi zKj=PB)<+#vmA7`r^kMv@H@eCQz-T0j=&(c)90B8|v}I*iSy)<%3~WQP9?F81>$U0D z7&rnZzT>jxMnsN8`9x}u|L{CfN(D%lsIJ19-Kf}I$MoYR%9ZFrp@LsPFr+;47hbA9 zBau!IIdKtLSX-HhRDA*f{7-JEp?DANzyt(02Q;xBrs~k|hZcGCrLGr$W`LiwtL;Zv zB(5y%`;BH#n~c5cmSUEQ#XB1V^QBus{Y-kJ)f#1Am&e<1P5WwFSM1*ZXN3Ch#%wV~ zuDSZg#>RhyYVJs96>7b>xlW%twW>6o*3+XA2g#$;TG{Z4kX7O}hdb_L4Kc8e5&-(4 zfC^a82-{vE;RUeelq3^*Rjk z`+4@iiAV|@Bg_IYCM+VzZ$D`PrJRJ7H@OO1LN0i4uRS}JU+s|LKJ?9Xwd2@;qFP!f zr`Q(e0iVzhVQUwTlv(YMImaDX(mHXrqSls{f$MNE?d-1Vfnp^krJz!K+(m|a{N?5O z$>~m2Ow#Q&#!mqDQG#n#ES^JrJuA%w6tGBwP;$!1k+< zmstG375ty_8VO7!{mZQFn!HrO4c@D(jwD&4Oyv77NpJ)ZC^VP+{rmUzix6xU!1Cll zdx8~G?^HDn>EfI-P2avMfB4-5N+12W)iSSPe4G67gXvM|rCSVX&kg0zsiV89X8fa8 zKLN#pA-C5fAWv)6qL53u(q^RFf>fLV};WR z+pOj{{T;8VG(T3t!xdqR{<7t3hcYMsr{^?0C@RE`LFBPw3IM}=ul%TD$G4uou}5Hs zo{jmEJ~6*+aqQ%m&UHjEC;uUQ-`|^}xZrFNI2S#9Mb7Vew$zyO-@RNNQ}(y;+$V?7 zTVwWnc`Z~r7el*6&@73FVBX3 zkmDeM9{Ni%O~t{H5l$w5pS_m=N@8TmPwRK>rT(u35Z|bBqdaa3L5}LJ+e0a7G+#-b zU=GMH4w1Z*Uee<78G}CA-nlIz>3lHt^AlMJ-Zzgu9a(X?3kekk2`!^+I`-`fR}wdg z=N7^S#cg(u{z(hYmp)i!yE-jN>a^ixKo01RvEqa=1_lODpH$!Oxw{XIxIhg=e5Ap! zMyBimRS%fGIOX{{x9v4mCc$I73)g zzCnbveBI5hqB=~BhfOB?IFLA8G0rU=#lL^MIM1ViOHPAIQ)H6x(*_BwFFcY7Bshbw zY!w(Jh#7q5U11DDk{m~358sa&tCM=tmZ|jx*k>J@6An7m6D@)S60Te3NHn37GLtUh zNo6}6bK(4HmTKGWEX(b7P%(U9d%^fs1O^`kpFGgJkQJ_i&M}TK2}!z0-OO@s+!=3( zO_WXft~0*GnS5mm-o~=lb=- zynj8f@mJ!|AruThn*rb)T6+Uczt`^q?f2M}K-}{TKMz;^{HRg#t)R=sE0ub5OIEPb3Su`w}c5R<((yjpJd$kU21AqVBonv#n$idR$cHXm={P#X)Izi#(% zMF7UnX6t>bvC&G!I{6aCe_Fu%A3QuXz4Dl>%`;fa`_}0P%WmpTTKtE86TGjP?#p%( z+s;jC_U&66Bj3*L_3WhowQBlux_#DR>i0kKruWT~B(9%1I|Q(eJ?z{c@cz@NZB=lqL&{!sf8cxF-Lys^T-`(Z@GdvLh(|Pj_%yzj*9^*QB9i8pqz*QU+-U~sQ%d!3JnzGNqboLuMqk@1)KD0f7 zsyudfcEQuIc3l3KsFs$R#o5-9S;{kQWTDPuV4rcVx}zWY%QkV15!pMGN;d%#3U0q9 zuRrO@Sn!>#2}W|tvHn%Ts3v6_Z<@2^( zh3arW|5ifhOHRC}y{>?XZ`_-RvwLFCa?rrq&Q1sr{ef0UE`(uj8{D?;WWQ$%&wKm& zK{({3-=e};$7L#&@V*gcRFL1@|GSi^q}s}s-ksF@e;dR9*FV})ojc*}9=Y{%E|?}v zXdtFkz6FJfDeh^EZv4P%@j^r>qatw`VC1KvA*(kRrlEd87!KQ>lR}2gfBJ>|R{vek z@W|5e&QR!O4&70aovn6>96%t%`n!655<9npgK`gw=W4&(9)P*vq^{sPIo<5Ly?LPT zF%Kzfp!J&!=C}`RKYr)nkcMjZ9g3beFPoZ}1kQ)}N3U76EtoHRacE0vNJ=;OI^2#8 ziWaH)V}$^-S7Hl#87( zn>pF><0TnSW%?A(8aeb(Xug{0Iue%JlO%o0f+gnZr;2Y!*(h8izueT2)#Sds^|w}E zvR@9;>udhN7(p0X?Zt`fjHJOo1_92cRRmh%mqIc3F;g5p#RuWr6!n!mAbk4tb>r!O zIR{l*PmS8$65FL>LbCXWCY6vdR+DER{K|1!mqQZ^Ie_AgbFhM4aJYBxG*R3(3apr< z-;#s5jaHp4ciDHGSAR1{(KB@agXC%BqmEs)Wo(no);L#e<1pgUu2HQeCvMJ|5)Da2 zxlv9IT9$}MhUAF>Z5MnNYlC*ddG*k|v)#LOi<}q%H4O0Ult1?L9f}QLU}0YR!+>dFL+3g7yw$)5=~pr`o40OOC6oVA_UNOLW8l?J=J4_U~d zm>EGQiHjKEiFN-S572G6-uicQBlH4~LJmL=A~OaPuHb4RVYI?RDozUEN{2Vc>69Od^XpE{PYv?J zA|fKs(e{VsRGMTlOu+o5rKR-Qp0ROa#Kmjd{z6nI$>+-Dexzcg2aHc6>hTG;zNHZ; z>?_3_jE_QFOy0juO|~>MJ2@hwQuFYr%9-BT!u;+yGBV$tQTfLu#af=8n zV334Srlf=gOLOvvR|{`Mf8SmttM?~y_VoNtZi@TGb z4v5X~H~iD*T6@pY@i7wyjjs_Dkp=RaoDIWj7hX-rl1-Ngh18X7DB8@YCe82)kvQw@ z>8~IGF+>?h*OG-Mp3jdJH0pnaa9o9UFK45h+j+jCm52{`vE#;gZrPH zd#kdjN{W|G^wG{Ad%6`B5PP+K`1y7VcQRHzUVn3&YtrGHVbv z045wCH*rq1D=jYG-ZTwD$S0ll|hUMoB76aK@j=M;$0Av! zhoYLkzeCHGQG6D#kjdLaU%mvP%M#>v^`zeXip!$cw)-R!`ik!V=lC~;izE?yinT(8#vruNOr>JNi865WVU8!?T25TbF8s5kq8yk!3&REy7KYt6Z+h4zX zs~D>vz=qzL$o#b&(Gn>I1v`OpML0LaT2lp}X80r+xb15m>royxAi!}HzQ4VnmGvz4 zAWBJXZS9~QE&R@jahEs&4pHG9uU}Zm9lfImcVoWwer$eVIEY%cZyacA4#hw`gu$MC zc3f+0$tdK>H!FI2$@OpH*!cmzzMaW$`ldz1YS%nVOK{nmQ~CQC+*7oq0KXx%!=GPKWNo%iJ#S(vYzhM-R``44egIg}t-3WEOLR0u;P&vI6A~ zG8rXxUVQWkI2~>s<16-*jWx&~M@FtumIl|Mcno?x;R35)z}Jt}3zD^-;bC^t^AwrD zU?=)UJg;s85^7t$UAk)Zt8Yu?1B~n?8B318Q9E20KaGxGD8H0Pj)ymnAXQ56FR~~A zEr{aWybGHinI>n+SZQorTpf5N(HZ`VWhUYxSCjGR{PN|59g(&KCcG9j7G3p##0|NH z0gD{?&dz_z;W2&Kr8(Fpm{;(&U?^B5t@^?9GfJlGKfe=l#UqdL{t@l1x12~CJY4Z= z0bHgzSr%4Sku55wpDs3psD4l3$`t2H4K}#<$)Ub8LA7T%h2q-v>(q&Drd@slXPcI~ zySu^9^1XicU7*k6>Y9*4*%yenzBc>Tjw*a}0jzctl|+OxMdY8n=;Y88z(U)b;!CA3 z?_f%dB_O@m=jGYmTk;lqSd6TGLEfo{zgo}fuFP5RMCM*XXH2&AR zR{)BM#hwDOS;^|hh_ynL4B_tpvk!5hdHTeXx>B0hxa5<$J#Axs^ZnANm+$BPOPqLq zmr~O%x1{7opn&uv1me-gba!>_BBE3qfIQzgqwjU+VVyy>+^XzOEddqNe~b)1xVI5| zR6C+y)b?a+ZaDJ9zbgR;3&I)K?BGwH-H9Ymtf zf%394R{qLg$+^db44*a{`A|+{E&UI2-P7ujEoAaeq*xido&Y~F0ZC8NC-ro9`%`Sy zwCk2m17EiAT=>+feXo%9=>uw~o!ZjUGR$(!{VXMI1DbTd5`}VAuv#W(WYFP{Y%gMk z2Z$Fj4Rlb!!XjhuGDk2qs$|F^t2pNJ7t8N{crFRdkQ7;P7l7XR!`q{pd?E3QlXSi! zdhH2*2+Yd|>PSv+4S0jq80s z0@1+){R_#HD4_xsFJSIRY%Tb6w&VD=G+`(*;_LS{Ziy8UEFumY#M0cIuUI1|&6O{y z;i!#+6(oO+fu5eEN%OnC=6m6kmeq-Y^e%^<--RZ(t?%5C=LrwBcorY;i|Bk)N3a`+ zM^EeLqDFp7la-&qB# zKxP5XkMgtCPbk6jdnZ}P%z+pr$p?0wq!5x!Gy1KfspggdmrSeux^T)4^ z$X^CmZo4^XDjXZ|!XfTBB<6j^*82(l4R#TN(GdA!Po zIu-kJ$524Ou&YMsj>_z8K1fk6AB;e0S)0ti@|u$$>BYEQ@TijCP0nRtNE`h2?M3LB z;@(z`j6{=)-N6=ZPX?wRuH>z3{7EaopzgqwXX+D~DD>L$5f7F3nRVXqMq+o%ue@`7 zPjCZhN80L-lpws;Re71up4=-uu#vmxb$t4@vyU+FhK7Q&f47w`nuVkK(`@JUCM+J?9g6mTlehWv5F&5+;Q1^!kyO=8-AE z$;|$qkoc`PyfcW@#6HCGI++ zVU!f9wmyEk%0Dg9T}$i6-}icdw%|E2nT#i_P*gHC0Gl2FsAFlvn}_K1PT7|PS!0J5 zga;o!O(GdA?7kw-O%pnHk=m%o`Nda!?gYLyf9F z{;q6z)2bZkS}po@$uu{|fR_iG?$7++S^&vfh!95LwywrK*}j6lbA@G-BfH)~#J+_r z_fEecP2R7Bn7mKs@@`PeBdY-B|M?R?+PkXortc@k#g9#UPi_gKBxep` z|KouPj01VHIeyihldohs^_PXIFT54Me0T6!)A#&l3O5ducruG^JX^iT!W)g~?e+hs z#j_%&#RP4gl#NV`kKW(>`g_HtqQi|wb8_Jdu(t`5{W6K?{w^$j`hRp@B$fR&2897}lIbx_tysZDl zGZ6h-X3x{p(_^i~yj^F7U*US~jHJ`Zy4r*zhvVVt_8;NzVr;@$Y&h2_i zcltn4HHnkemsvN5f!E6Ty_VMOUSVP3y*ad19S@5bWfw#x_qSce_xw!YbL&*nmZzzF zTR>uc4Y_*2#ty{-O!V#No5mLX9{hks$?p=)^VA2Fyx)^OcKXZ1F!%j5c1K_(sA-KB z?O1fW-@bB{_DalW_Wiml+cdL&!b-6ZRq&?ysJio7K&~t&LLvqF`}&TYq1)#V$N(#< z;zk%qV1XoO>qet1^s%_&b|2U9Tim_XH{={Q<=2LZ%&~yd?>iEeM1G!@h?Ck*v-jk< zjcECYz1WMX#GYlZL502J2%Ev5J4erXdls6pxl)~7{@p(NZsyiK{aGVN@o3{Jr45UO zvH@#RrMEq|>n@jEm#E^{SxtYW-y0s=+>AoyuC=C>%%B8x5*rfO3qcnK1qI~~=bqT! z`vp^SySv|J8mh^RDoqP+cyD{~Enwg_(klt@i{fG3MVDEuKVA;`cRUv+aEYcw=JN#j z*6a$Cy6qh$70YrCpTRqTU70Cp=BDlXL>Bn|mf!nZ;;tC~o}Cc0`9KLc7-PFb_|lBr@x9BXg?+|7Ft_|o6*2DfNvg5fb6<7J9@@~D~N;JsH{rm;n z>BzmR4R&d~SxwUf8TUU*I>Ob$;r`3u0%-QP@NquJzv)gWPDOwR-m=~EYlaY<5jrzc z#`qr)F1CZHvd8^MoFE^6YhG-1izxh9dID*%mYz~G z5>f4YSx=AOW|h#N<6~@qtBf~y4J0u12{ks-db)B-uf%f_zdeczk6Uw078#NVPSI|< zE%B(=T87Wp<$=YSaG`--^{ZDq4}SUhV&{ZdRSvBJ4$(k2Uvb^f^t{R=LPjB8RfaMS z?9o=YZwuVQ)| zXZ(HRnD`at+KA+MG5zOl+=mcU0h&>9r{+oK$r*u+R~D(7!!Iq~&a&9Vf1zaFsd>%t z_{7?qTn!r8?pPg;s}g_3MXQIVewR8~xjz!A8#sc6lIhSO;@Gk5raUUaJqR$3A`NwY zaNWvvrQ9$(3Mnis$wb;8`f=2C_akzhSf7wK`drHWk7(?(X9`5)`UN$$eRo#bw1*B) zCke-BLKzAAcP}qq80?F;{D9xzeMoA0Wf&jM!>&_c5;SNBhjH7)PD8rfh#q)=`0LMs z86^HrHbFIg+4KJIU{vgWaUli6$h8kzhUbxc+~?59Qay}7mN!L3AM+Y7NQj6S|6$bp z5OK2X&U~5G;kg18ks(shkv!_$*NXyu4awQ9x1RK>kq`IA*i}f+knvS8+xrZ;bLc;3 zY*W*u(QM1t%CUEwHDVdR7acPyq+NMm3@nELR_2E{A+(X?2o|*}-`3g)>JhIp?!!h- zTmEmc21#KLcjk2ejZ>zf(PS*GFp0g-{Qg#6eZ&Tj&&<@!RAw(jympI9^&J+{DB1wY z!)SS4`-nrAo`gm@dmWJIKfgBxIfzI}S>_s8oHD;j?JEvTE@Ae*;n;eDBo}xJA!ROM z6;TeWfh=M->89(`&z@`jAaLOI1!_J*C|BW`Duk=9%dO#-fR6L`r-XX%Mb&Ryp=L(2 zJckc2c3r(ViUwFRQu)u1$8km0cXdTf`avH+L_pU5XBvI`<8=mMd>Z!MuSz zv=(=IT}=i2ae0UsNzVzAKiH9?yB59*72{@}X0z;D=uf^vR-+`mZ?}>M6y=1?;&j!z zDyf<)Sn>gMKswo$OXDq0P1-{b2pOYxvbH^s1FA&`4;yKOFnfVJZvXVjlNfMpg9*Lt z!bd_NhOtBmzIajlf&%6MX@`X2dBoF(t;Wc*f0U(BOP+7}v@PEb?ncuE<;etL)XCLY?SDEIrAdVisG8!U1 zN+Y6SY#7#O*4?SGwZ`|DXILtX1WP8RBM712N9|;8$c%QXz4I za($o-g(dWij9b;i6p!Fsz7>1KFF7$|GbVggZW*0?!>_4OrvIKq=;+{U4 zgRBOS-bp|O=xr50$2{fa4Xxk7{@MJ6pW{S@)~WIV@lQD!#&2i6a?BUprP?woiX{41 zIivoTbvYLnT)cI5!`gm5up$D5g@g}}J`UFzVes;*!i(I4o_YDcl)WX7S#=l|rD|51CbjJ$*bhTRiLgqK4Lmg#=n z!e`&>Pe5MSj=^T88sGR+l^&{2KPR-Vw6yFkemD}uFbZ%BBG}hH-t}ZS%*l|BvzyJn7#9vK@fSaIs zBO2<0C+|QXPjKlStyy>||1Dqq=W|`pZ8wPkmz0^~um?PbtZ}Q>DC_VqDh-$j`sMJ2 zurLx_fATyq!9^Shn)&5KwfQ@NEEV*uikAO5;k_G?K_HW!QO=W^E*p}Va|Ivbd3Xnz zFo~pDV8W1%+IMV@jR|ss0@4M5sP4m0y^B&7mm={;M0PrM{SzdWVe}o+5I8<5T8(Gz z8EOe>{LT1x99(Dd=tNih%5<-aCpUh*s4)2}3_TtATB0jc@UMNQeUCjNLFxyQRV(-4 zgDcy}f2CpkK#`=7wc7D`Fh1 zQP`tPSslB<-TEvx_O-)aF^W`0rtAMtF&wecH?nXR(&LKHoHkGlvwTFN`KGcnii|EM z69GJ*xD+)GA3l8Oyz-3-mqPh@obt%UdIS(GMj4bSYtd+Kv7?L7$&u6Hg#~8^FDhMl zKeJCrsCl4|R*q(oD)W^&L^(!-h?n~D;|C#Eow|O5;oV;o-y4zeC}Vl@k0AVOgy%kD z2T9|UDSTHW7l2HJwRmuKk>;VSAao9jRG77s3Jb64J7qroW`~PGGw+tK7d=3YdV&0Y zUZ@%Fx0;~B>8^jKkqJVw|GoT2Vt55 zh94a3Ms4`k0M6Sm;8ipLLDNI%(GXhVYb1EI_cKTc9Sf}UZf={&J%;5To07tDz0zy5 zg)YdR5M^E#cx~L|@T}1Mg+q7#=q^;tZJ*e|D1(&!wgiX!X*;tmgKixtrqB~g$Drx$gUM{;q;N!@#y|UD}S*slq4Qd+f0=cm9=EQ?Pk0~-msGDkY==i zX^ueE%EQ16dacQx+yuf^XrLAZH0u zOo5QdOjGXnswlNrqJKo>rnp zcp8D5k&`fP6VDtG8b+qS;~Bc8!0w#=p|c;8G+|}$P?8KiSAO7~1tQ|B;L`jq$^Fkr zcLUn`!-cc*C*j;5t=yQa-R8bPLDH!DNo48~h)E)FAuPc?EWK>Kn<&eI?vO}SXu1oD z9WnmMhXSXfiiQ9N#gT8`qf&W=`+L0~7TI0EM(P{j*YIhTVk6Y<4y`eIIRZWyl(cU3C5QDUN#Sj4 zoZ7@eclMxNBlpCp?khGw=>-^$Z*xW>Ymac0XYcgWRa5M*5JhKbz}YZM227GDb?IXR zT#Do20rT?4=EI;XkV@GS1;)m+z&RjyaaNVnxyjmOK}0^*LM53C&0z_Vwt!GnaT`3) zmmc$0r8mYL5~1GY-Mt!&JQg^Rslr8B4iy@tTl_lq^s(&B+cShU#Q7AuCGhm*izyx4 z0hA52;a176Sx3Ol%{J#=`#Xxh4*f7S)TlLMr0=LHdD>Xtt1$l7J>li?LBOj2dQT2u zcY;=pwPFO7_K%>?2>ALpao4eUqzdUn(lCS29{{01cdF^|vFs;Q9KOS@-RGVG=P9q8V%htB*`3`*&v+(Im3!zax_T*f!eK z6IpWuX`~yl-{3(J`0BOglymFVV~YD6xa}kyesmq|JIe4CxIEsslm;6NNtaK%z}pBB z5AA7+X?+o$0kw{o24kM7gDd}>*;J!V_H6uGDE_Ufa%N(-3Q2NQ8Lq(bXuS5mNxo}5 zk)1RtJ$?U)io>pv&1qR*M;j8&iHg37K!&4}}fMp{K1Jch=36s+`8x!pj=e zHBL|9qgMEGHmvbQ#P?j2FY{}xsm!IB|ABvVZ^szR!Fo}W?jZCDj~0e*{>lYkeo`)* zv4qcN%VQp`3*1cPHPRr#P#Nf{#NkW{4YL#}g;*%;cYe>IN*^!0!fSvaBo;lYs%ZtGL*~#|t(D-ouqh(-{BcHb!os)ki{vCiR&syN zF0mE};@0Y0sl(_Duy-fprCC8rFhP8fs_FR4Jpt~0NVqUjsD8}9a|ldYDzU<0? zRmn6znK`aK&$Xap9|En4;kdoivyi!S>W%C~`qJ`X5p{Iqwc52tql<106^UQ?Nhu9mmW6sCODwVBVLoyZ8nK?#XAbB@Ne~f5YbK+ z!pT7!v(8Gzu^wyeP`!wC`g3)V( z3Y_+<`L1&aaP{0>VIus;{?_561p!jtmrtY+#g8G`0{Lo>q;_o`MKvK1_FLa_|2|=) zV7Gq)1ZHO#&lknIxf%3j->poaoD3w3Jhix|$sxpV{~}2p2lpBj*cIN0vg5?19mDVd-?u;gZhr`MQH3opVAqHlby^ol-#0VYrKHP zIP(~_cCWR~cs{Y;2g6;3ew40mhM!Nk z={%WbRW4+9h|M`mP3WsBsWf|U*nPUl5BU`Y82?Er-`kKA<eF8dt{w}rCzw9+lU>Pu8%|ma*{At;35*D5friY_w&ND z@x~*ghr#c6%d8`7zuglVc2{XG{pVN7W={m2|A=blFEV0m_PTF7r-+nlJFv)&yRM=t zGgCm?5W*ZAMLbxvNoEt#E=Y{s$L&pw+m@EQ8NGoO5LvQs`J zo=L3t0s?V5{rCi9X=IbTN-y39^p0c2i2BEm%v1WYVsEq(f0#Fa7Jt^_SMZSehl^y- zdVhcFY`(f~wv=7#WSNv*qx*}@sPVl--jQ%(MQQ)AYx+z{>v`U%488N8Qx?_ImKX{q zf%Q*LPKGSnmFPeeNk!Q*zg9c3q)ZjM_WE;is6%wg!FfmvZ6{J^MFYV7l!uLW zW=8lDD_Fxh0hk0Tx#XiyT5>didVwZ9(gjWtbR*QFrkQ`U%VRM>Z{*_NZx<ew~SVj3pMcyk8}qz+Bo1qM!} z=mKQ`l?4rXm*Avn^nVCf-$%u>VQ-ybO`7th)fBNoktXN;PBj6N*NXuQP5a{~D*C6| zMDoIU8Vo)Q>K2&iC>X^bHTZ1*cScGg|5D=Tjf+#oUEZ-g%I zjX4Sm;7pf1ks05Rc8ilOW56V1G=e^sM7P!I=wWt}TAb(sZeh}k-c%k5!&yFt^I!Iz z4`yw2@Z7T3LnMvTlk&cBsP@^j6qqLh=`3pBghR;-^%cWAS%!v=&ASc@@>LME+|s1b zsJIVK1vycvm95h&(5(7-6~HJ+!Mtkn0{KX2__;MG{rA@xo~LT$d-(d96V0e6)1Z2W zP-gqBycY?fbDRMqzHb5%n%iNe5IenBIvUPofhvEz(;c24ch zd)C`zdAA6dR&UE?OT=tXr&Fwcj41D}2iQd5wxpi>GKf`+I6#WLdU4DR)hG|H4oq^# zBvzg(J8Aa*pM@z-*%}12UZ&KfT7;YiUOP|=`w!q^MaUs2_;C|awo~M9kZ1(Z=W9~< zm``D%rwBFp?Jkxaosug_>7IrARe|^$@3&tT9X8C1zC0u!cCN*`X1!%P#XEW0v7h;g zU4U9z<>A$)o)?;#kG5oP9>)Ezg_j=*eP;lx%_u`E_3ML@^n;A-(({!|*mu8546iei zc&Fl~IhMX%9dWP;j>9~*%2br{6*evgufywXYa&I~7S`4cU`f#Dw~(@blZKQ_L@Euu zQBH_~fj3`9-|NDKd2LuiC!e>3ku%uw*lQLqcAQdyJ!AA6MF?kZHno+R*>+8_HX#v_ zL#~9aAHdqetgwj4=sUy}kw)>6pq!BQ{*2-NS|a3yq#?DgJ@k`#y~WyniB)p7&(LW+ z#`K#OAABi9w(q-lm5ErC@NAF$S!$yu4PyWP4_+sdXQsL;lnNRi4mCAbvbNB55`uD+*GD^ z;PQ^xH7V6Hwup#u^kMBG<%09WvI^+->sr;n&18KA)xYzp#H{?z?Csp~ma5G4Zzi9B z+jQ|v4{%jB42Zd&I?wM?Mpm^;Inr^LaO0!D7WcYD4g^ZMhukv%-&%mN3#oFx=eHya z2{<-*p7&Ht=$zV>5_legG(_OdJ>WqrO1*JQIZ}ofnRae^iMSt!BH71$@H@>CTrq=c-cSggPC{%exowaQ87*~yWz?`ZNV{as^C11|*Cuc}Lf{5b_CGRGK%CuU zD8yQXdxB!o#*%4CO@Wu6hi=2vwSY+k1t91Crh%9bo%O70(g~4+V?0R1>_|%TR zrvK`w=)wLcS7I!)5&GnxIwmtHo8i4`S{O-lawbHec`W+WmjG!)yeA zD6ATeMS@)m+|!#{r1F71*-|8)G&29;c-_Rg{LA`e{f!RiIy8bh3|ISxt`D{9rTinO zGkRRE(X#*fI+<{cc43{rG~Z>4KeOMyaf1Uk`a(28pne_}`pA`XkALpSgK?fCgmRF6 zJ}<*E+qFrdQH?USy=FeylxO-#lpD5nywuX)mhbkz@h8o^t$t*X8_&2_hw>(j6TBJtC#| zv-nBB)EELOeacjdvaSIp;m^Y%t%I*I(mvzqM&v(#B@pV5Y0c` zQSm+ub$AWle7KD}0{BLayadW+3Bw(=*+Xl&<=1EWj;>zu?yUJTn`;~2ul>V`uz7G9 zo>70?Dp#hHt&r8X?3{7tivv|4fDh&h)0~YS7FY_rkc5vY*1<{N|1y-YghI9>>(jSG zQgV&epKmeuo-3yXUqRu_KA2;kU>?GlnMNXUn2H z&Ln9kE=t|xFJXHvP|be`Mm$0j7&uEruMN6y%n~LG_w^<{auQMP^arAH=9jlKgc zQZRoK+ZQAKS!a6yK0*{kgq!D$9#|o1KOR81B{<1d z^HnoY27($e~~C0rpI!Bz!dGM%R{O%pZ;rSc;FwRd=lffD4!r5JV*BFf zb@;9qrp9i@y}xyt%|p5qY$?UKLh{+_D}(suf-R#YO@&G$vaayMKi193sQ-jpE=0f? zUTyy~7vCC-$48d11-uXZJ87S};zV(B*KOo=$yZLUZDfS;o8LuK$n2p5&T;=7KS=b( z@kkwPqnN12I!<;JJ*f4PFY`1YzFhXEZkC?kRjHIfKYPhy@*pH&=8lYlFW&{pDBKxhVS+*t7ugE&XNXTVh|0- zu;P;#pfUHGfnt;`1!P$3*)I*%@#7+&D#~uWE5QA6=W`JQDHlsAf@ZcFtba*3;WbE(9g$uD)6>1mz!=<0K>@&(OC_oHAS!roqJt=cF z5K{eIA<>(qiqW(CN@RdV5=1+%8ww|p#p1DY-D3;sS6_ql!{W-7EC}bWI4*SOxbMCw zxmXwWof_w$sHpZ(FA_8oE<_(t_kc>=SD1>?0;y{0v zu3rD=)`8EJ01*RHuN;LO(T@>K3&O03wjqc{zP|+s)?y*6l#s2w zP?~|q?WCaKLA8lzVuaumg$U51$CX_=qL1i2_bLq4DD-0;Gimd&pl0%{nPjZKBt?(D<2?dn1MtNW`Q9%H99+D6EAT70LnWSx-jWWj1zfjXwX$>i({+ zzB0#TZ2?qZIZscIgS{4`6B0i7$A;V64RRmzcX#Rp#2>k68hf<+!Twc?UWbA|(9|8j zad2Ns`F{7Cv%f_nv8GYUoGG+;Zqo{cKHa!W{){7~EMJsR{^)A#6$vfR3sv`@xXdjk zh(^uUc$>eQhs2M-BzhWOU(0yWAfPWMg3AKd7#!-^I~L1niL=T*1mkkb5|Jo^H@$sW z0*DFM8bpGu*iPi2er+zh0B;Mor?02bn#*74c@$3&yo^+J>o_5&1`=7`RuN~Bk&<$j zoT1$DV9MM5#)2%g)#Mrr0D_h4x8*)K1D`IHpsyMo>A>{B+q)?zQy@#hEzX7{t<$6c zn>*?IOj?`??c&`oB9ayID3(NUkF=8=liT1uBs%5S@!w|#3RTD{@O?3r)$o-kAnav~ z5G#XjnS42nc2C5ZQ^VM^rP{U&k^h&=nmLy4Q|W|jSrbxiAqP>m08u^CUdB-z?vTF+ zDuaSSz8d~|O+j)@=~3KPOv2CY&S!^TI^uKD?Z?%3uRU5sdO4$-Mu-2-4x@x(VH?Sl z&~&J*CQocSR}3lCXdOI8Qn}d9Oc6OwNkrBXx#J{_g^@Iw&7)QoD;lI~LUTRnI$!Yt z7vf*y$%p=sZ&D&T9I7@-2eB%V^dz+ilIDwNfY9kX&Ts_uO5q4!O7OaupFX`3j{%Ld zfp0qzlp^VVy*Caoc02V2*&UZbLyrn5KOkf5D=03rM;)^u}9PctIwbPr|wWw2njcvm0!x^fQ&WTJBo>E zb&A;t6?g7c9+$blw34h&4_rJ9$uoeeh&zdZ%^`9_deZw#sqL)}FK(F4o?K4VpTZ-e z*hrJxcjW8(tbBkYr9ZRDkzZn;FPtb;be5bwx1{x^^9sWc%@ZdL5ic+Tz@0oeM2u&eldX{Y}Pi2caBz#ikysymH~vx zMmk4I(P~n=`47h<(cnbKT?D)nPaolgAvL9gM2@&zkAOFf*L;^Ip#>j7sL0MQ$Wvb! zv}-#t^@5^j=q>c*gtUsLh)=tSo(P-^Os!a=ZlsuctvgC^ui*{JhVu@f< zeMzlDE2j|YjK-&;#_zU*dPjhfq^qB|ZwEu;PQ;A}7NJy8=I>(gT~H#U>1he%xqVd6 zt-d-#Bzxp7>)k#)YV$$IKvDBE8!uP;ncMLvs5y;Z({EjLUQD>%uvkgw`ykV! zH4UFA5$7zqEXjF*^)k2T)AEispCvOF7p)=FFwY$SBO_AN&62&65{E9CVmilnzyiVE z(L-tbZpiN1){qp7StC>_YEh#CMfs*M5io<1mCxtOw^Yk4Zz_n;?+QXDg<_2WN*S&Q}(J5)nz5uL#s zbD;aiW!;SQ&jz>KjgDttFEX_ind-e_WVUMhYU4gRox_CZHM{Ri>o0o3PFT)-wHH4W z%O3Fl#h&B(Ee@-9CrZSI*XggO?;y1*3{x6@ z*uSv)EjDFK=IZQUkyyUjw`zJVU7gz^`~8#b+?)ftT33PsgHqAEiUPXV3(j&1vujkc zAIXKCpJmfcIFQqASE_87q0jjq^zoSJDV<-yQjA zlQ3jA^SKTU6b$tbVHqON71YEH8p+X$n<(r8tA%raTbxD0i@SqLeL^?d7@(_+aU@-_ z&enFk%dq41z^708Y>!-%Zn_S-zP)EACq@bjg$$mGiRbBg<$6mitJaZhB+(#J2huIu za%jLH6TD{raCRwpC9}DlLgUNYc+0cz0{}dp5G86zOtPJoXIPL}<@gBO&42JFhE$4N zEf)vuJ8<}^^l0Fgm>H^I-B_ECa_-@C> zpIO%<7ea^M-`1I9fLi`24D>LPi=B4XcvA@%I+eH)HU-bO_(~Mnt#^?2i-IvjzU`JD zR+6--Em$>b=Wn#i*99s*xb2oS3&{!841QRZS*Q;l6^^~Ub1c;C`uypxR7s#hH;p8A zwzj(RMm4>A|IQ+V2gB--e&nJ>uDZ-SHAoQkc^~84XYv|s;CB2&;<9hh|LJ2hC$si@;Qh<9vsTk61?l2)Ncw6}MjS2kz_KKAAWi69vcI*m1 zld{mV{Zr`T@ch~s!YX9J0#tK4s~xk|ZL3nBEpl_aG-F``|I=kfYd_X__$zKR-hQp&- zCDLdrDJ0wBB2PHM9E#CshJ3@U$m*g!%@bKvSXemv&v3rNdqz5AX>nrc%jblp(xvw- zn0vjpNUd~Tg`@uepzFQEx$gh}@3$0@Q8W-*Xc%P`lF>kvB*`p$SIFLyq>KKot3?=`>XT&{TJNLum-1W*;_g=El>TlB!}W0qa%J&u5$)>sk+eJ&WH98Gvv5u^^EiJx>Tz?Ly@0d zpW&H*ZBAVUBH~{C+IwMbEsBTPWRlmLJe{z`Y zx6)4!87F>c`&&p#%z7h0-HurxhU|23meLUZ9yx2Q9Im%LEDU}Am8A{R_EwbNL!%K|E2W$VB2DPs6dWJ(M@8_IM}H7gH9le zu_582GKfw&bYx*$)6`0dwlc^#j%BU~^E_BEy)cg>^Uzf@^{voj;5blID}SBZLbg0b zw8!W3{oDs+!4(x1hD;`7u0mvNLyclXMKaji!}nOeEs3L7_%H*!A$;6aX_y;vFi=@-9~rM0nBK)^ zupVpsGetj@whl9Pe8~;N(++Q}&r*IhGyG)B6)R~Q|6tdoc~{`gbbDsSkE**Kex&GV z5x%M9Ud3`^Q-(!P^K@Q7?PS>@siuj1$kqMvBV_&(ixE~4CHuOKM_K5B3F{dtNv#`} z(5seV#9sQi+w?Z^mGNwn2jt|a+R1^pdsPdP5&#i>Q_kY-{FWD&2d5o4+QS=$uD(~=;_*k4+&5-b>6g#>eKID|{tlx*c-pu;CW z9Ppp%@wqg5CPjwK2^hpAFZ zJg8GCboU_qBbLxKCqZH|%D%XT+^Y&D6-66qD5T--oR+@+A*9+u&hBCqpCU$1LC6w` zJ<3n3Dz;{S%wE%w^FnFBi;0h(on5O=QiD-;Wv9IeYnI*7pNDn^R%MTiw-nyY=QohT zbKcV=O?nKJ@JBKos)Q>((X8iO!fkYn?@ z8D983p3nB3g7&EL|NLVu^Zq#+C+!F7QB9Q)7!u536>FH0JUS{rN2=>@?t1Jw*b^a~ z96FJ0)bTt>-%fpC+RTu0>5S{;u&rbYoc=q_1C7_1wbxTKF98si^_Atu-CbSDS-{7^ z7KbwE{`FYQRFDD1so&huaa4V4T;vv*IEi{@!QjI_0T1Wmn>;)`)y{YzbTA}>f+A!_ zMD>gsC+LhePDVzR)$&iRo?SJZ|3(pZ;tCQ_5aUw&ySy{ttH!d6fE!tsX)MpSsINv_ zR^q1vfGi9CT4Nw^|9uYiP#s>$j_gZZUeHrEOj?S(xhv3SjXiPZL$$1iwg0xi$9Hj& z{F=XL1b;Ompn1dyo8k?D7}+9)KPe@0BsWRcMkZ3y_M$Vd->2Pc_G9*c-5OhXTPHUu zth8u!-qGeROnRtIuGq3K%JrcqC!L4Ju9FE2V~ZmUi{DZUnqv1Nt3$vN1LqS{Gqy|R zZ$QNj*RrPS{`>Y6Sz=xSz~N@WB4I_JNBZW z%C_=7hUIxrwd6E6)ps90I6A5qLXL0xnFJ46& z)<1Xt0+cgtbpay+3$Sbu2rK2%d&pnF9FuX=9*F4FV41LtZnroI^gld1U)YTvMla{W z9|IfJeQk7j?tU&3fj{nipxtxJh2P^??C0r$s(8aahFEh7c#C^I`hZ#;a!Q%E&t?-F z9BH}n&zKTgw{nGCMEQt?NCcy5kZG~Z@Xqua`=Qf}Z{t=P>FMaeR8s$a8;}qpQo)iF z#pxZJW_FNHs7*ND`TO^;4$z^Mjwi7J*2mWrKF1mx6(wZj3ReW?l0ni#%Y7nI zNngw%n_onjg(sTHcX`f)#ei63I)PABFDmncKdz9EYFD2~$AsN$)*hp5W|!@%#g{+s z97Q(j0;G0jlMA&`>eK6XAK37+3rJ2o{A^FyiI!nZ5}Mz3OP)uW#$nO(`>K4Sx98l3 zjoWWrM0fX3`nqL+k6tr)t@4yGw02S3e(N%XS3^apmE{z5@?BCdQBOnOcx>V?k&>S7 zI$>Ub4JzsT!^rQ0b-{)I{OJ0S!M%hPxif%ld(`e}b7(i8Jb6O#(qE$2 z@X-b(lSY$O0k&Oa_htT93-Bu>v?*A``%4hVp-#&z`FLe%Sm?-)g0}5o!M@HBK47oN zg)X90r_tnfYkT`6h>exf2>$`ZlWUtiNucN>9q5-1ru!lD;v||1Iu!*eUE>ZW zdMy@Z9;$%@DVm=xqdM%b55Tq%CGL&|1~l!`TdyD4X=c6CBmxR8lq`m;gnP{{6?3H% zPm9uWz4t2#n+fGSkUmjtoZ^}3B5_xIum8abjPIJ&GJ_{c3WN4|!H?_=b4p0h$)C#} zVXsqdG8`*R*`Ao@+Vzn7M6^Twsi73*cRbuj2P0p1A0q2}H!n;omAbYkNVC_VUNpMs z+xztn9#A+>zMv&MyF~s1fk_|~ouuCE*fk!^AD`uHVDd&dZAF4;!c5OdX{B9{HF>_p z-_`%(;CnJD`8LOgQ}@tMSw6H;KPH*nb~KuC;)v$OoJH-_*O>GTivkJ*b@_^4yD@w= z&;Dwxh>Z1SBA*;ICp;V}9bj3oV4FX42TD3AXXg)_oo>0#U9W3s(8r@LU`H4|F*?8? za9dnb$SL^H7vAXSVhCZ;Re1e(Vyjy$h-Aie-e=)S6o3;}kSOLIu?oVx30WJjp0t-e zSvGNVyBTE~;EUROkupWh04J%34N``!p6ArJ6p`T+wZ0v83ve+#fMM^`!UeKebH(l8 zb|K#H*WrL^{=t^mKO_8o3KwOWY`N7%t`G`?Cuc_AaZ|ZAJ|NhT{j0Mq8bI0KbeX;Y z@WwTZ`^a)3v@*?Rr*MGkNjJoE4H+aWn=5(VlZIHXwliru5^MUhiIUt}yT~X+>xvRb zO^>?jEf7N*rnFwoM$5;;GxGT@G8v!dxfY%J@oA()yv;LD+eY+sRq&9ot%UANmyejD zs$Xff^Iz^}%?9^C=R^U`z@1Pa16StYkRkY(byaZKYh&(p2K9Vn)?`b=Tfu9iggKm` zXs)OG9TX%;t`9N0_KN=wJsLkT?zobAvM^PVd?QwwJH+_9P%dz7xoM%^ZJ$Dve4a~_ zDnA`nS@z4*q#96VKw(TdEdT1FDR5jUT+dGG(RLb79LaHO7>(1ksvduz6dNRR zhLq)&+CS|Owu8d@Hl8;Hb}}dMHIkKqc|cf#;!s>eaEH-u|0UGSBa~N~ zHmjmX6}h(PjzP%bGvsoclBvFl@Yy871-66QI|JiQ()FQ%<*{@h?95z$!l6P~JMos0 zlxVe5L4IdNnj^uj!;-S8!AUE3c$8OQ!8}WFe#_A5YYxXpcTPM|XG2S3!Le896J^HE z#5aEqFp7%G906xE8y+T13a#Amw-Fw&7Ao){S=PrJ)-BW}9iXRC6mQG8nE<<8eKe-z zkWFBH{N=RI*#r37MD3Zj4rOQBJHpY+G|lMyVD%A{uX<=!#-8lOSFT;0PF?0vP_Kz`8p{I= zC2S87UP+&2F9eblq}8sTHSNnoMSR9--}#6CKegh2Wn&bXaswo6Stv8n&6q|AfDNTK zTkb8W?j?_ypbIt`$^|^b85_Jt0stIpQwpOvMwbm3{dAoY?BS^wK(q)b-zy zFT0%F&0CK%_%iKq)$UGYwz|D27??m=@cR30RMNxFwZ^Ru z$D(gbMhx#(Hjp&@6~QzRq8lDj@q1D8$4}Fy*_4g|$K%|0IwPrC-C@PU@rda5XZE1v z5+~%ChJx5pvFtC^Lg}SpGOU_1&4+>>xfoelHC2l|&5hn+745n|=IKDS?R9RinA$;L zRNjOt9Sl0r*=@B7&Vc^yE@P+qzY;0JVY$C^cPkX-KD()q`iW69L6V#&bY;XjEhkpE zHBU9{@-0o{!uNJJG$ak~53O}5KZ$oOQGPEVST7x5=Xbge;&ZW! zi21gbiH&^Dz?Bu><&O@N$+?jY*9hOsGtpegW~0v;Ozkjl`mi@lUc#TBdB^~_%T(zpys`qy8T5LjsMJbAXXhD9`G6s>J#rR{mIc3s^g5f#KN{qZAWE; zC9T=o6}4Rp%za!gCp*bfEXno{PAsp2mz`zzTpHqF8BS@;hn#Z0vA3Zn{ii8zQQS(} zO!t?_FYFj{JPFgjr(^42UC_T8PoWxv>i`HPvEh^Ry^PzvtnB^~KOni7KC;l83C~ZtM42*)Gu!w<`%hL&FJ?HPhx!vZ3O+51Hb5;0m^hs@LqO z9kD6d54KP7IbW;(9v>f{`0*g0fbu>mIIXrcEcCZ`Se*1cs`Qd_lV>D{Rp&6IB(#!xzINvkk89i-WSw z;UsUT}f6hJDQ1`aRsah@XXe@d;{&e=E{__mxwm5`wRRlliFQ z@!d}_2@nBblO~RuNb5r?lMFXUSk9*FJ~z@&(aycRGq{9K%JG|0AbvzgY)P|Yu1@BqxV-)uU1=;%?B3p8Lif9IGV4X=HUu6A{` zD9ZTfA^1)|u%Tq?ucoD^2ZjpSx3?;1hy^$VTJhI4QQ(Puk!rCcW)wS4V7D)@Rrn}m z{3iNUyF*HpB~7UvjpSx(?9D7JcDj}~-yThXKMPj|hD44-kgqGm(Rpl+U1AH{jb6El zvyk`j5DzQe-iv1A=sm{UHbHsXLSVG)+8$Cj-36<9*%E)O63 z((U)A5IR(|lY6(;>2Gy@=A7!=d{W$pbR!yuD&8knY)W^}3b%oXu>1`iy~E@zfmPwU z!C)Bcc#24H_`iC3;o5>nYW&}n%~GHBrroft*6Rh~QyA)pSSdzHZVdd_ACza~?-l%HGqPF2{erNij1c5+DCLQJmxTFs_Aoz};Dy`aFARUFZ;+)#@np?Tmfbf;W<*9R*Q!hylJ$1F7use;g zt1fAHD{$h%&;hN6x9mRGo%`0Od@|u3Ae9i5J%E}!j3MqJfO6Jp==;=9jP9GESBh0` zv%K8+zGWBFKk##VwX%6tq%re!hSc?2qqzp!&gf@}Xd=rJbn^SXn2Bj zuKFNsg_t;8xl*ga!52GlwrOZn_CuE;#5UmPJIuf|gBz2YEDCZEgfIB%ECA$Ug(ywx zfI?J<_>NxDlY4q(IDa#Ro;960WnyF`=;IL0&fz~Sok5^adEzLvx6iLbdQUhF7pYvd zZ5EIH=cXo_$<=`F*M()5Homv$ewReQ-E3+I!4}?QfpusNQcSr`-~__pT##WWO1jE7 zFr%RBA+ij$#r$k6&#=>DRd4VU!K?UwcK|wk=4#tL@#jnVF;^h2W3WUs23=DQ65ZDjUoZ~}(jf1m zxiqpeEJbsHasfH!esQd~kEC6TZOBha3KA!CiXOQKUysb+2la7dzmRfdhWb;Q??YDr zSctoreulW5#J})7?!S^=8*zwuTSARvT~!bM7Gh2O8!_^-tdV&WiGq7bo?^8|-qKO& z`-9zj7tAu{X{ z?-CAx5$tCl6B&6QsUHv~ka#W_2p?rtm(M+f?A-G^j`Q&7K>q3}RdU2RA5%_{EJCD} zp2>00l!d`|A=1?voUOrG*m}vH_i)oPTe6@rZ)m>J<0W@k#9H^!zYCQQ@*LHDqcG!G zJwi=-@zsdc%;jcuZXQE~=@5DxQKvNwsv$4nk5aX6M1HQ+X-Q-2?}WLQCtV~} zgOvUjVNa2hlT*!f;@q3`YupZR<5gR=@>eq56gRXR&Sd|zkuY&-(a>LxbYfM#-!eSz zfAdpGaH%Tyew$-kpM1>knM}WUtjhLtvsu^fOuob6kOa@7PFt*UUzPor^7=oCPds-o z$SpG3ZlX2N?o3g*;2BTNUoYtOv_h|`+K7w6*HBTMEf)PxgjCoapYlFK^_vohGB%es z8Riu6EgT~c(2$M)W{M;9_1FChB})rPNYh1NNUI)vVZ@>WxRhEZ6J1s1SVnLs2yEc? zi~bmx;=_gGmYhV0F&IR?#h2ss|B??&*CR}|zMQ@SPzbIBG~EJ<7RK=iTq0t4g4gbe zuo6;-q>s2k&{<_(#chD``xC-&tz3LH>s7oOSEHiO?IGMtB z$v-~Wdpg}X9pfSsmsD;ReFaks>sXi*Q#Mxj`qn!f@6>W}%WKT3&&Iq$jG`&%SCNxA z`you^07zdBU|Ow{yd441P)Q@HaoO@H?WZ&}PFC=~}P;7Cv!;HU7Yb$W>V*CB-}Q z*y^i9sFR0<=K^1ee67sK@F*fR82S!FI`g0@Y!FyP<_Nnv4Sd!B#2q?RBao|MPEw=e zZyfx-ykYYKpg;L10B!~ua>Pof?!Hs_1@M-bXH0>dm154A?a`#z!#aCO8C$Dy(IItX zDv#0S16GW&UTSB%Is=1D;|lXqnRmJc%my_Kg4i%>}$PeS= zHcfyh8M)N2>jFZ#3%j1ymt_Pl*DP*3A~suU-?Y}x9r{H;Pkb$)bxcF>H}@G?-ZQ0> zTntn-93#R;Usb*{nD06VwUx$K^=a?Op;s~SBDsVS^+DI2D$$`RFW4qw2&`TvOba;Y zXyouTFvKP~Zc?i#$!s$mBfXgjk!Vm$t33nwR`0X}c>0t&?<{WKm>d?+(=pYa>1a0= z1-jF#%w*=|*uqxb=Ap-xWx#?EcejDT`RW-hzCDC^5pDyLhrz3(DRie%h~?0X!}$X) z!!cuk{A8!FXwuA@0^H}QDq^hgunx6gi$hEliok`Ok%0a_ZF_8H#UP|ED%;bk zM@B>+?Og|QEaXWl3W*yH8%vUvj#7MNagh^{_M`orDdIsQ##yb@U4`e$wv&8zp` zKDd4cXft)uh0IV{4|RL(m&TlTldqpCuLDvYZ!3-rkJH1Caojz`6af>eB}IIEo!&uH zPa^(E?f>Ty&CRWGgi_j{M_3^`HPqxklqi!2XV&{q8!MkENv0#=&==C&!wy3WCiRQy zPSnoNXNwHo?|nSP&HlIOTI>uPRc7ys$>}itU^$Mk=>!bvJI<{Zajl!1m=My{I1UYW z@~4h%gL8$stjP*FF$+$`!c;y>F1RUWuFON!0dSevqlVhCv7S`D+|oHFC<}0wyxWXy znX=ngCq3Pa-kYxy+~EdretXis|F0!3(1GFk;cF(+j)L zRXw15De0Ilz4ZKsDHDK^kN5$swd^e+BM9xkj9ZOIKw+((#XZdro$@b!3_sy*dpMwF z?lO1fO#1SMc__cRp15|FjImn!X>;Gx^!nW6NN|o0{to*=ZE;7!^HSP=_0&tng*+0W z^1S80$IiWoPyOsfw%wXD>|LQ&S|nXz?I1&Wnktoe#FM##;EVgz0@x_SqHKyE{=BpB zc(W)^b`HgPvx#fkdFH&rLmzurz6%^<+T6Hd%zF!;tW0l!!^JU;lnU{?ymM_7S*?48 zP6)R~_eh3%-SIBo&Pb!;ABSgh%%1{jzE7LGHO4>Kst4O6|64C3D<#5t3{zSed~LM{ z0F97AIB^giiY*hSmnWQp5Hya5!TlRO&rnaAV3Gv;Up5FlI1I!I@|ZP@l4o~1Qc(Et-`{o%7H zRS4TB0`HzV$AVof8^|s!R){Mw;v7Z8MN;zQWwjj}fkSUAkIb&q{IaAImHkfkEBnBc zlWmNjYK0TnOuOOxe{$%?m|xaeN?aPC3bixhZDai}L%Z(l*P2C$lnya9%+=^fu%%-h zJ2^h>;3w$kc_?{!_7T}D_vHllVB?p6ey^DxH?7t}b3%Ij&~hX$r}bM-c8c*q$3Rl` znMDcA7-UMKO5G+rR@U6eHO~6wchid>5*qlx^(4NYK%p5Q`0ib{e_J{>inM3NZ~B~| zTL09lo6}?##IM<)mV6@Uv-@OwX8C?!S_-eMfa06Sq2nt#fgt0{Zx;$zKZX1o5bV0f zvpYm}2TQ)nll|w6Lu|h*Z4|4%eR7skicw45-z|8Sq`;)l-BCMY@ID9HFC54GERQSt z1&ngwhL2+eS)4mh z3<{^D&iuVMI~irnB2XlgN=K`D_(c{&P@!c1ZNN}f0x26N|bTqJxR zW~zh@u?q3Pba92Be*E}BYu%0>kU;;cAr~W>zzB-}fZFYZgalF14+RMKzK4$EA6^^* z?taAO^bc4#$lS1X)HKU_?D1)s_*7_N41wp^N;RSbQ_oj>nJs(YH<)pc@)@bx?kD)E z_bTm?xE_2)kt+n#pR+7g**8Are>GxM*3^0T+WQYvoY&yz^Y}?k9k^5|=8IvCG8scY z1vQc@q2-`zetPLjzHf5H2P__FAG+KQL2WH3^`wpPD4i@q=>2(P4#Dr*ry-)x2%BZI zO~$|D9C+hvhFR-)F(&W0BRY~{4_dE$Z+tSU&A&nqLF{U(`~!G<+*pd=w)1;5knyGNK!&9p+h+x78HLzwmQTnZGJv>K3rE*@LlT{>O8XpX%VrRX-CZmH)oF)T}h=8 zb8Zr3_}XkNlZdHP5VOu(%&#-KE|C($Mn^s|#)`n2(qx(@>c20A69Cd~U-9j&DY+Pf zj)y$7oSJ04%Nto5Hnl05GqawG`@D^4Y~^+IN93>7wl$>HQq66Q<(?BEPgW9Vrw2V( z#S<&Zy}TZaYZ`+Ks@>$S$BkNYhjVM$TOgrHqJM7Kmu*^ICSS(i?}XiRWhBo|>gQ2W zJBuvXhV0E8f#7V!b&L?Nnn%|Ht$5Al*9NuehR^!wAFHz=?Z(iQNnLE+f8~*bZr4Y7eVHc}2cc{rpkF|B zZc5CP)C6CXa6ytt#F`ytTn&@4#9_f?Tv2F=vhMBCw%Ku~ppOEN2sMci#OpdZw42;Q z4Q2K1@~J-FyN=sPIv{5v6gXYQ{&vsDVxx__Yi>8RthRJ7x90b+ufTZr6W&=+CH>_I zc;~yvy{u+zwTin~@2Q?0$(0`7T;+^*3^C|OPPM9E!=hy}dBUfn;==pmlQ(#FbFO?@ zddaTivnv&W+(P}+1zHL3-cjMW`_iY*9~aRlx*>KgU3WL=68LN_p5bhEp(x^0uN3~_ z%4-UWcIOb5J-!nqnKtjWveu!+#tY`Blfl#czghsYxi~(@1lMw7Q!}%(2rr2`ELKkY zJXOrrR)HuVgVmY;rm{WS%JznK7Yzl*-oN}_(Z2eTB6D!Z>+;&NvP~FfY!0Zh|87S; z_o$T&2>V9$6tQoG6l!9U*x>;^2}KvdGb5G2E)Sg%RY5?E-EUx)cTyTPC2#Q$aE&D0sxs)?IEM&Op!^)erDJvW$VH$4!N$(OQng@ zdTXbEPnC`4Y;vZ{bJXeGmWJLHIM|7pZ?w(~tNTRnD=l7d-(!B zxyBIy4;mZyFM9{PJZXl0vgF+nyf-#iP;Cn92!F{fjg{koErs^TbGj2)gW`(mu*XLZ zHJuL~TN$#bx5SMeL9}yktLEJ;B$p9|TW5g{B>&X9gjl!fI>Cl&9BBC@ z5^=+A_cLYpz@}Gv4woVwz4(-eNGEq_KjKuh+?EDO9@yb`6#&n@gNA|#wj`ApOUyER zMv<<&A|gfaNiLsevLe`>rrb+Rq%_?+nYmhL4ViX$p^~diGf!E{C{?iHxJ!Cj3h)sg;T_3pf~ zH=ndn<*@W|yUOe<>+HMtp$kY}{uBDSQ6U=jI+58mgCeuIt2Rsc@bK7eNt*uELAJ6VKh>nPT{v099d#Y~ZP(-O`t@K`tuQc!; zjSwTR(hE5S#smCf=_@`ng4{b^48QL!PC1inDxQ7gv=XP^fIK;o(G~z)#U$JM; z9>b4|rzV~XUW*sp!xwI@KIN+@mT|ry(K*{pxiI7+4!f(g2tTcG=obXdr2Kux{3BbD zFeZy1ot+O{qs<)=%?NesZ*6^&V-L768N(>#51l^i6Wd|LVllqwt5s&bdg@xr>GNvY zTbEh5M`+f5veHv{+w_b=#UsPoVJ^A=eJ#&iBh_0VBjuVcY7b58i>n zxTc22cHi?fGcxmjX$lCRGu(#b@_y-ll=^)sn7N}m@yZ7)PNmWYKyA4}o88Z(nby;m zE_fszfav9XKF0p)V;&vc+St{qsSdvG=(9Z;c75mP*%`seat}|PR0XC>y-eG=YbPz) zAljiGn z1S&3HB(KJt4q*0w!84?iAKmjOF>LCG9IB#c^=l6)QLpV3@`simjrV-l#ptYhUiF~M zmZX)a!f$u-6?!i)+VOi-X1306kxU<|p0Fziw{v{(bNTEN2!;OR4rtEdNes&zVLVJP zl`&a#1zU8vdzhH7x=EsH^6$+@he~qCZg-rS6uhhTvm;;;!@*a#xzmv#(Ahz=Mwo!X z9$G<3@e<&FDB6r;xVFDp|NR{8&1;YhN_CXF`GN7k@TP1)@bu{?#5Ox>+GXJVu-yls z@CEAS%iL#_#p zcxIQ)N6&bVV6Hdd`WGNWluRYs0`PD23K#z&_%Un^d9clwJ{7jE-8F>`NUJ1J4n?`; zfmH+2_`Kno;GDf8@wgBH&{c75|39@Y3Q>f}o*}%OfSFjFe37FDR8x3$WO?6q@jXiZ z9w$$o+N&?^^|SR>v>$uW?Vk>PRv;DMknNUU*ALzl%!QvC3fP3`fW^_LO%zLb=Z@GBb9 zp@70y1w1IHSXXnio=#VXUEu8VuSW6dNk+|iVV{XqsoI7w)JCesH`5KAJVOOv=gJ4$ zjuc@+DG_@r>lF{m)2vZ84!8w( zC?t59_Nb2kx=|#F@v_nlAmL=Q5^r(w%AXJ6XEp%Txu5PfmrP2EkWf7UC2e`0GplS^ zote0fw(9Pzf-8vi-NyN6$Gn4)Q92R`p<@Oqh=N3Dg9$zzXcs&!Lci>KSu>q3oxAh% z&MAZokK-JsKqB**GSAVDvL*cv!8Wc8mHB$%-?K|FDfqipLAl&#*{<_ZFA!zeFeg=W#CU@`dQC&db3xO2~{_4`}N%`iPD`x}}5@F~cr_`2K zNjCg7@&#M4X~;t8iR?2n5|L3mjg*Ie$&FdbX&|J@Nv+t4PRRin`PxZ3zrUG{C#8!m zht9~B?&VgO1SsWV4^Tv$+@fjLq}p$5!@LT!FJel7OFta{gTRmwdTr+ps5^m*Qn=+r zt$Cm9DK%}Hw9$xY0#D{05Vh)mpqFc!LnOndbG^b57voc)uIiLfP8kY;8wUD$&e^?z zRp^QNo(2-y706Igw*-I9wOb=(9hgU(;PP-%AZ8j9Kq1J_6CfW#!K8<#gAogK#x3iGz z#KGS_K9&eLfDCu$Ej}Qq2_R{{Is^YQg2F9-8O?Nh*vJwf4$}3i+fGi)IaB@aG|*ELqXv)B z1M$;!(6^NUw9(Yif=~I^u_6k3I&RTzN_*+G6jGRUAyxF*V|6v*bolCC$IWVXUscb$ ztpEA*C-S^iNi(aXI6G8oj|FQod!@g+4Ho+K3|a$`(p~b@w3;$=VEogiCOUoIv!CQR zHsSGp$nN~J`BCd&o7mHxG!zv_ZsSSl_TgOR=pjp5xjsp#F>z(aC)0EePa_nBSiLg2 zK|z4)=b5l1W*PVcXq8{@6I`J%e^;TO(Y)LzuIUR(Rl+mVQ9IXF_2jNFbgQKO3di5u zVe9}Ug16EUgJ*LP_^eL!tn>a$NV&y7ET{i?;2j&!<()F)q6hY&%lJNnH=yiJ{%gFl zcuEN3y2*VcY5+nXbpb>1jVD;5>4PgsN-9kxFz-0a8LM=GKy+UCv+F*0*j95jy3ER* zXE~VS^tQ_kM5M}ydef<9sy)D6)c^+{wU7+s^DKISjL)_&Ym%zHUy-X6UO&Qi1$)*p zSBTGv4A=(-w{>;NZfM)?a+`gDYxK_~@rGj8COWwEd1dv0qT>ms&#dZ;qn{DItoe!zz@y!a4pYj*fo8#hzM{c#rBU z_yU_qed3L-BOR(wX2m2W@BaOi_hSMls!YQ;E-cKF9tBJQs8}U2&rfcCxyct4%46nr zeTnmq3E2=oco!(ma+{nzKWcYVkUek!tB^7X400L{nKJjtjvbeWzMg`T(r}y(+eBjP zNpbO(IJ+#K>>T(5i@8N`A?5`1Jtw#4modLDF(|v zfo^|a*UEQ6mU==+XftUsaAT34hMxYo*LLLwHVGFsIgPoP#Q)um!{MlQ;@oEy(}V2X z^XPIr$Z|@-Cv0G+@A(s$4o!Z;y+r``T%j7xyxSu|tdl-5@~zqL!bFV# z25Y8@80_O z9Hov(B;IxSwwRchNJ_$fZCDZm#lYiC$#Np%Qe_F}sM}{3cW%DO>kMLQP%{3C#_(N5 z%~BHMxAU*Bias-EF2#Qua)Je9Ti1W61`D5|5IPCRR?}fux{Bz+=`2f5yT01h#pB2_OoDK@z7zOHmr1Z_%&;>5{sD+UCq9p z#U5i>SuSg1w|sCCVjy~0u`skTBm!>saY$<72b zh#(?(m_fT#>wBQy^%5(8#%EHRp{{P}u=^h{IBdV<%0@HLQ22i=w`qqYV|<5^fbC&2 z)wwB)Hz86!D)zU?_K#gYeUB)QfdM$DZr-!@#~$V`dm=xE-otEHH7*KU@xP7d`g3Aw z!q-VTJRNJV*_%xS6#YnKgn}n_^x(e}2M2 z$is}w8N99j?FjQGa$ozWvIacUF2M$jii?3~Y<&DY7=2^upXf-0e6D+?dx3Cq67~pM z65)(P2mUYFC6VH$&Hm^5jEHXX{RB~zC8RiCda$o1;5rAmR`L4zPyEOqfUP}#b7JB` z#O2oWpIr8-%9+(~8e39`VY;7i@Y0Sd8pm`wH%YrnUN#`-*>R+4>*ESNLN&;0gBL?&vS{|# z_M23E$PqEDmm|r}uuqJSSjYk-O&Q7AqQ(7_TOzihItDa6exVdt2hjcKZ+?u^%#2}v zSQMJy;LH6?c=>BcK(Jv6#47I4Sj_+#Np*IV;sqZxFzBSuZB<;q+2F{~~*P`%{?K4JSabkJ21jDmB7P`#Ctgd6N`5 zc|L7Ps^s;3?qQZ8lTCXs75a4+nnGK3^*bMzj9@fFY4mQrcNseuc+Z4!(~yyfyE1+! zdt%;@)2|>|!u0=Ty_iT$cb2kM%<+2jBf(TpMmtTh`hlIFT*{k{;<;`;L)tm^1G^(1 zF($H%i5uHo6rQs<81z)? z1$k{bpIgS7P_7WSm*lxlv-3FHbC}G??CCR?o{@>+MNhJgO~m8&}!Q_p1T*_cJMv9O?!u5>76Lqi1kq zLJbFXiVs0hklOWP9!hXkfzeF0@ti~9F8Ra@0v7(}h|R8O1+iQsC3UpJt9Xb7D|teF z6RJG6kZp8&slG5eCO0-ic-!5ze26dB>w9eT9O7-uY*~EI<5}ip#Cz?9{D@S!`9EEn zvnwUat@oebHfR2rMnak%tRphD#Rv;fKmIvbu%AvP`vBSlwM-2nb_0j)W zJuH@#=As|ElUG0N(t9a5RHD2)rY#~mT3BGz>8q(C5rt7Bk&3h|RN`6tF!h0e9gCTx zVqfm$4_u2~ex@}R3uTOE-q#G1@$|8CJ&Wv!2hF* z=bF$3yQ1kJSkMv05P6_*QnKljTrHQ}x_ONI))KXc*~7f|@0ss9l}$h7NO?cjO)ETJ4sQ(M2I=Y^u=m%94MOIroVg6%Yle1J)qV|Y^WE2X74 z<$b+Jd|0g5i#lt|7{~ANJhSer(^b>>Qg`oLs+J|GP!aJ?XI*;9_dT8c>w7q+1Q*rx z;y@sIqLEa>+qa4e_EYLxGY?#CA?Ea2;7Bc2p89y8MLJMo`N*H*g`1@Z(zQSACO{`d zeWu0A&VKS(QF~`_*(hJvv1E{}wfGd41b$SHKE{OcU}}a3XH;Qsc;T*Yor-BQKDPBU z(Tdxy$YAEg4pMK;^U2QKZG@!}q(WZO^_VS2_PHio>j3J8Nwz5fnFwT%oL3u|yV#+M zM*{mA#`#T9(jy#)nfC@gtH}QNAyt!ew{Gnt!su4VjU_|oje$WGC$?;-K2G}!P!xsV zncnSt-V(t?q!I%9dHPQQ`6N0P5((>0Ud(t-AU}Je$ZbA5whuVckjW^z!<*jk{*SjN z+@|#(WKheJ%wsh2wDqs8Vy90}_iU{7kUWSKAxJ@l>QNcqsXOglH%oKi&Dk3z+n3LN z2%4vF%bOcNm~t?rM$Xn0!$s^Sx3|M_H$b!;-5cw;aT!Voeh5VO+nYasnEbdm7Lo>U zSt5!^#&M;bUeXW8WB;osd<-vKdOo^hO0VoF)qbq%RJ5W(%fqzBcmLZ7tW18|ck;#U z3x*;mPjVGVkRA?vYii2$TeGpW+z##Y{nyhgYeYUh{o8@9IuWIcM|V=+=iQuCc~YUq zfr;VTYqn_(kpupM$=>=ySJq!VZhd?q?fS#Z-j}U+v;W4101xv_8WbfMuuDS}!TJ+O ztrw^6RuX}uaY-CdZXOAMfE*d&DZ0J+d3mp33(hc7wax@C7ot!qDu#^nB~{8i$NC+_ zKh`m~%qrJP=kh6dqi#m`lDIjqFoDbdF)wrEnKE&+-#OpATeLNytYiwq2jtox*Yq78pvrA$+cAFA& z?NQa_$047$jfQI^_QAJ|H_>Y^mUzZPk{6g)IAZIhkl;ihSG4T$t(5hZ4xxn=?%OrT zQ1*>cuMtf9ja|<38+!``P03B@iOr z;tDn+w~?O*El7!g)p%qs-Y*4Gz@o99(Oj{E5rOoEP5l;fRDg*%huY*O5v0-IUIem9 z6@I_ZR+tYCWgyl7G{kKs%7IHn-nz5F;hsWUZjYpUIksb}N3&fq(F0xbU$oL;BFw6{ z+j(-=nPSs#T+nv{mH%bw7GF4RfuF7WSaySxjCpW6TrkW(;0PJ1mTsckdx7yewlELQ zzBDul!pU9l3rfp}EZ9Sx;C#>S9_S%FuU9IZEJD?vi_hE(XN zF>G1QROc3+Zh-WEXsDDh>KBb%z8k#%0*XPf*dGvY@~xH5HWCRPex0f4phm&`d({BA z)`*|qB)e}5iOA&xta}%B>Hqk}iJjC$4FDkS*0`i|n5NcoH*7%~HJMRh6*;C(?%cbd zWOP{IYRjs`LZy8>iRRIkPvwfDHmevd+f8=!p6siVT(=@>DF)Vc9PDlYm-i$8Lp;W1 z<|3)Zpd7tTfcyH8I|a#QCL~s<(f~~)VZ;aNX&M8Va8i{AAB#!+fIvN45#3?ev$)<4{kX(385}gvih8 zYJm{X7Xva`+u6-f@0ZQjO)AxV^LV3d_**zy@ldGz0i5_BtcaPKHES(~xCOcrpVGDh zULx~w)h0KXeEEO10LR#e6V14+lS>UMc4|(KYUGd^+5Q}!G97q>n~$yv#SxakHC(Zv z!T`-#b&>5lyW(;Ox_{RClIELzuBIZ*Qn-PLy-`ez#L@a6xV53{+pI(@gzf_JnI}Pb zvHbH+lOBY4%A5nG6|f?|%Q%?{*u;^)__M2u6KigJV3cC;z*dkb@e$ISvm3X%90$V; zkCvnmI~IF4XzHh2Z5Fne8*Uj2s*n8bV=0ov##|r^Qw6c6xE)d)t3{6bN;rBLZ}uwk>+Wo#M+wFGD}1Pu+HQ3BrQ#D&xrZW(=+bFjR$^Wiq#*7_CXvJ zDuy4MK;i9Y@TX+tV1aECE$jO7 zv?cVDmlXVSQZhK;@4G?Z0o?LR0_|mTsVn~u_(XlB`qtp^C zLGjh8a&Eo#><%9Ei@yMY%(oO6R@?{YM&dJbb8|-H)5sD)v>)bu42=hF1bj?~e498P zNDe(K-9EK^A80U%IBT{*d;}2N1l6syw=*F?#uHPDh!AMoFlFvf`|xLzk|BE!cK-&J zx4;b`40V-<0>uxqZg=0>Lw5TG&IlrZQ8{P|Q@(+k!?B;kcr`bN*Gv72(T$6YXUFG7 zTE|`h3=99+cPht9C+upM04jQ*q?9j*M@>LB$F|@kB&}$ZF(o->OexrEU_u@)bFuYV!$?&8kK!Ec4EL@~2%dmQy16!{EmuslFmccN1cyix-21pQED zfm)_rWRo~f6G#Eu*Pt@uS!6w3ws-M#hKh9o1G{#E51&=*tJ+RsuOc@$?e6e@63{o_ zK|`d2z5G=N`ULcqXJ8P^?PoFejWhbSMaSS0O3V{6o1W5q_*3PYbOy0g@oUVJr%#>o zLDBU^&4kM`PK*f6DdZ)!6cZ|0wF)RkI1Ohu@&)oxR1qhbW+{B$BGHPaIY!y)M7?0q zlcl_C%liXr5;Q7RiZ?__Psf=zXjO*1)vb^=fIqB%ixcM1fRL^`lBUTKAOqunSbeJR zn%~))u?G^yj4MFyIz8-Pcna0L$}!t7nJ=)0IQ9&4(q3ZIz31c97Sp447~A)Q(z4sa z7FHet6I^4L2CNj;e#l;?|8qvat5o@AtJ|{9NesH$qxmY)(TU0uJiXOJj!N+7GeHB;K>&ud8*&5?+!^ zA(EvS8oHN zFULgRxIGw(#v~w6(Wyh0p{Z&H$2J{Zb47hh!yM9@Gg6J?A(3EE^E z@fs5Iv;K|DTo$F44gAvcr@X#lfjj&m)yoqDy+?HpZ>M9v%R;^fVbMHgtvv`zgO~-i zS~AJX%A%jx9MX-w4{WSZ>8Q5O5Dn;Y_oZ6vTX6Lt4Zw2~V$)xP1%@ws-kd8$8q|WJ zNlfNJqdG;f!D?KlT$)p7Py`LC;{oi$XrGB*YEv`o9u)iW>n^eXarGLGkjLOHpXQPd zw|mQM(l;Bz`gA$u7&vq}-Nb;!=h-h2B!Kb`0GyRZAc&wsA(^*zr=bR3`0`~7-7Cs#8O zoJ_>garv4{gxU~UTu2aOm)+^+{L$BnPrP&7T%H5L)|hfJV}7Mz-17X7g~X<~La0{) z?l`HFd7pBUt@7K#WkvMM(oK@Xaoi)mLfyR0tt}Yn8K51U$s42%&>T;6yND|x%nEye z2XSbTK3*mbi-ivT1Z=o~bJc#c(C|SW7%;c~{dv zU70#6@iZZAP?h=?=g%Ns8nucSm+J>-gyhYST7AAn%Y0b#-i4Xt_yVF%#K3>-)FdmS zqrER#9k+7&`cJXp!yD88P+pI!MtLNxEK%X*y5G|JtufQ)Z|`)*4Qtj8Jawa{M#h(t z#Lv4tO0t~-XbZ@b4X1XkNwa#qI(1IoyrXh>RvXby$HLT-iW6%Ib$MmrzG_aFG*9Q< z5c;cV)i*Ry;t--Q1TP%%WKJU{CIWg*Ut$bjR4v}i7W zzs~Y3`pfXF9bOi?I3~4^FYvRmGeX{JMn=+5Ng7XiNFl>`%B)$8ir`@*R#sNt)XHI` z2M?w~yN7x5tXC+ENU*OG$-WAU2;eOyL@7&_kdAsu&oLLSjv7;bplXlbJuBXTh=h5q z;&9quBg@G()oMqw55X_k)61x-snZaWv)PZHo?hF@X%8VYP<}$}Ewu); zjaSP|oGkpALk+8UhWix3s5NMwWcfA>@k9qgctf_|8y+OxMhpwFqxOz_om>+mXcGc# zEVeG3=CJJ#Utj5WHJ@8go9{bi6?P+V!F;}Oxy3$PJ;3BCF&=EWa}Zle9AGBjh3&rE``m`&>_2Lnb=nt=+ZS1jkK0$mJLFVQTJQQ+E7Y27J-ZjDk8+@AJ?+dF}@nMQ3F>JZJ{558$zuO5L`RsA34!( z-Fb9+ur1eo;m-Q7^SM21@}<~8c2go%^*rO#rj>)s4gaki6wD&_hJXEmgR#M+jJYa; z!ST1BpPzqi#Krp9lfgp*r6@4p*BA9h{;^9|O(3o`;k&hG-cWZE)|zy^*L!t|G?tS~ zQ74)DFG_Y7SNh;O*j+SdP_%z}rMsIO#Rqb6-+N4nsfMLM?Z_+2)v~S)13%WK2bl+M z#CtEiI$u6$@#<@Y$#Dt|HWvR{`QTElB)vhncX$FS&&6j!Jph*$&cc#y5nN+!r1%i^ zw_m~7Q+quUHSo)fsN0%Bt7)LV|J5(qrgh`zE)6egsq#fv4(3VSM5mzQ{h?WjpsH9K zYdo3HSMjGMVDLp>?Strc+rkZoG*!QiAxk7thJNJqm)%Mypc zIQaBfCRVI|>@r|GsM^1K9m?&}w#d3miqa{+wte?FzqOD9Ai~0%lZ)GNe?^VlD7YOU zqjvkYD^xkwa48V?fTi>2-jEwn$6o!NwL=Wp<%2tPZ;J+B)Cr$LocrS-R|B(=aI{sD z7|%=xI1L7v3@2rGn};v6yQsdbg;EASMbin;kw*jsUVlQND-R>h3uOcm6ZIyHvJ?jK z$K(w0QL?%clGnw zK%RjH(y!*7N^ur+cm(F9jqnI75U`k#f0^VBssvyss(6|P)RC6J&vAj#kzha(up0Tz zl_!X`E@&Hv;BJB2MDud-doR#habb4n*(q?65I!P7@G&uQMEL#j`_(UubT^A^Sw@c6 z4|c6@ngQ*aw{H0#KliEG&oQLue2*rZQ5*Gxoa+hK@hR4+-^_Z1hMaFVik7Yq#-YuU znJ9eOWAlfCR18m=Cwvdy6CSi8?H$=VYNq^}=2arZnt#B1j)2Fr+ss}+7F#5O!iccx zr7Vpk)XFQF#MJ5EMP|%yeob#PTSAKHMaYJ1fQpi2-K(ow6sZ|F1QH!&73x=3@|j08 zUk7Y0M|Om71s$d?+DZmaNu>Co|SNc-DcyoOfAZJjXcxF41>zF~2gJweD}eYvv?b*ajND_(fn zag$cB5zABf?Hm(|s(V+-b_&U~gWlgPqj@+66%{1veLOAx`;TUu6c0Rc^56R2EFmF5 z{+o*Z8em3T%Ql?n#_r8(qjVZ|m$b5cK`gJo(@xRK4-KA+rVg@op3xjuIV{WfQPx-} ziY8C^o#)$Wdpgr>r#OSwhaYmUC-Rzk$d|Ze9$ya~Z9mxQ7cH|Sp63@>ZL1X5pf4Is z;MFu+o);_C}Es4_X$K{>G)_61PY*QXl@+kZ@TQuxfKJexoe!W3wT<`b;UNV~% zJlG&>(DJlTB9&V;@et$6QFHzqH*R=nd_t<)lZe|5*LciPN=nKP zO~duZ=ozW3tlTg?GCcegLWQV0Zzjz~AC3nC__r#{M2!~e6m=~vEqCI@k0e9;qsRq+ zBY+V34#TLrVj>Rm<(f2ZqnsX3$%(B+6I)3d4+MyxLv)vvOSBT#Lcl@@`o_IGA)bbq zfkm${HZBhSl@spFy@75z7gXFP*O_$BuBvKF;~=21`oS0S!ipY{;|s%FSit}-@Q+V7S{+-0WpCS0_U*l z3ceKP75-icoOkIdHxjN#UC__e_#S^XZX^F{W{M*nF@gX=li=F-85%MoaYjJgdW;>v z8;TWfh$OP+x;aemZAg|O!642yOLdpjH7=A*VydiU) z*s6d=0TW+#00N9`S(jGhA`V%ler(^w_CNHKd?|O_a z*iSVaM9J98IX?m=Da>`v3~-=e&qr))#5N+f2$AlaRVD#obTS@&Z$33E1%0{v$1{*O z;b7w*+*Pb`(b`Ng1asb{ldBo-O{fJ$ijB$C@+!U*-%q9UwY6e< zA{SW7O<{)o(pP{V=T=Xk75L-ReWs-$RGZnpxhyAbTgz@*su)Lz?xrXoXF8l>71uQk z4Gu18{&YX40J(o5BF~KL%C#+BH9g@e5vNfvYuMswG|yIj_3O)jmVMx~zILRH7gvd( z>2)JpTNZT2`c|1)SYB5hCBHvq(s#D%VW zgvU|&LE)?TkzyiLIZP_osNFR5Y}6Gjm^@4`X`+3+PwhE&HQtX=W71wCV}UKDX*r8- z1|%l%b}o;o>GS>fvBsl)&C-3%&d~RZMt^#C&w;ylg#L0P53;sJKI-w--Tb8A%;`mS z6dyvN(};={sWr>TP-RODDa2?KT?mHU(cZ13?s4`VR{#)CBd!dWK~3HJX!n*)w6wG@ zjM@qvKTpsNq#=TxXgGoF7A@06G@9T@A;O}&QDh{8_viT^Z)!{F10R2VY@6=>{@ORW zSUfE+?-hi{6Q=ml&76urj$`k0~_?|1}|>8AAk_DdM(?F42WQ)F){oHoZP+-bZ`eepG~%)HXqc zKc0561O(MXKw_xBKA@AAlk)<(;U6;QaYB4YEd`hIOY{C}Hoet za7i1gp2$1Xi&Ffz^IxE3TXrapGReaC4me(F5*K5vCzd8e_wJn=Y38w)gRBAflb8*G z<0q-c7(e|I!MJW3_C~4SjMk!p*ahsz#Oo@t{w<)5)zfi#jzUc*rpenXcGJWAv`sO| zbK02E3isk6n;^mxa1WiEBzo0xq7ro`5#OCU={1b9TRnE1<(qfCJq|w<=ko8eV`5?l zb!ukhl3}#u!YOgOR2sm2Q4uGR5KSD3D)EhiwJFE`vj^~^p#ph3n10x7tMKma&_=o| z%?||5bWbkC-u?-|7YEBF_cEjk;h{y+nIN8v4S2(ud3pCmo$h^4UV4<^L#}=ib&4*- zFWNJI$bNDHm0kSh63OE=%L7>x#vMhf30w#jM9RA46u!_hS!5gOSd|h`DW**r*kiQ{^V?MDK zda1bYG14;SnwpKI`!uPSIFdF#kvlg)LrrHjm(n!+9TsuDv(=ehQBk*-){`RD!yjmp z3~XP^(LwNBmn0LOa&-3@g$octGO@s|DIX>rWgJu&QfC<<@)i#f^b$f;jSTL6(!l3! zx?djnuc814JOKhPgs)FKSBUw#`<3$X-S@t_5B=lg^Dr8-%9+7+O2#9KsYEd6c;bLy zpNAQp{w~vM6b|I`2gUUtnI^-F)D|w`uE>@3G!I!6UA^URAiS18myGHYySzI6KS*y$ zABU%w7_`lf*0wMZbU86%-*a-a!^ zYUgTu=XdATl=bT^O%N9lfz$J0()PNX5)KQ;h_N2m`Ze~Wgv60R&&$7zq7m`SQ|j+8 zhyel;uUdWK==C43OHBJ%6yMB=u+l~Sa>T#ljhV+k}zyqI;S~rr$NG}NX5)cH(;sp5lxgo{oxrYWUR|N;S2@z-apRU510xMWJ2qA85E#pD#9S96%M;`bL@lkYRWtu_~9r=q63B3azxQ18DR-3np{TvYEy zXuRnG=()Q?Cg?>vX zr*4D)+Yt~rdt!&(MV3IE4hIM+7pR2GX`m1ZHIX}7LtoCbsp*&!Tv5NfhldtiF&lbl z5e?fv-5oE3OUp~M^xT>6XqR=v)m?r{%4T9OaSlR~R(s5@q}eIBiY$8LcEIN@do}X~ z=AA@=PixHcn)><1o-K(f?~}km#lWs*m?}`TGF1t=fm?AECXY051}{VOZCE>BkMu}z zs`Qsr94+m`^P_b|#&(JqSF!A1`AStt;o{<=<#g4k6`KzW`S94#kneqCKl|kAn84|u z_Ma{U-rIG*kyHQdd^z8j<&_UU2+cim@QFr}D@J(Y+mJO##EBD~MH)`v!RCvsz(X-q ziC*5v(m%4qvzW$+g;rlQ_?7)S%w8(&VpQLbzOfBSnaQnXub4Chm=0ZwzqWR9fqreB z{~1itMsTa)s8TP&E1@Xx-m|uc*{clF^xh!s-^{jMX!-s|@S0bCKx+Qatb~o;uyGfn zz#;)#2!MZfp9#dLD9lP%rz5exUH@z&l0di3Y8Q%)pULp+ySg_zw5HHzk~{zQc;5NF z`Nm1AZ(1ttYHq8m`^;WomJVNj%-}#OT1qH-h00^~xgMfN0N7xTZ1V11y9iI5K@6@c zSRmeEAp9riCusgX4il$vhk*h4j(%cZ{pUpsuZ#X==3-jwL2WT*?UVXD%8ge8I$2p* zzSJPmy!*aWAEqTj+c%MHU9ffBd3||bz!EW>5NAynTEVDmy9-#@*tXwdNc8_6+4xWd zws<8Ab6eZy4-BD-ymFk|T|;X6JybMMwJ>OrcXWgMG!`2!lrpnw;cNi1R0itLrD!p@ zh@!UQ>>3fC*3<7a*01Ysl>2uVVXq*OBbW0c&thL_q;3_0na0o&p&}E6gqX0r{Zl#~ zRkX)?M=%ql;(xz60to!Gyr2!lDJ$l@mj5L%s=pWOE`cCJ@qXE&h1hde$i}N=33{sP zQ~$KgZukZh8=i&)5MS+JP+%Yknc~Iie!66q0_R(v_`)#$s-B-h#R^JlG-iHOR1mTD zBObB_4DiFt>%D<5>%&#jaJ3&Yzn2-?iF!g7d;Hm;WG|cZ<=;}MN%Hsq{kF>6P)>Tn zT{#q5VWN&|2@Z(!Hl~=iA4K1_>aFnheC*`Eg$rOE50@OhzF{BIKt$`HL^&U$&A#wh zWa?0aWA!BLGO}*xoMO5hq<&6`C-ztL*QRE%mOa};VZf-KP?vbFJn?Ji&B~|h@2AKT zgXHnWN33SJn~BT?+2|1~N#$^vqtqf1F>151;Z^q_gOhtXH#@6kWu;o`QlpNi%_#_} zU*EocTla6Nfc<+Ys1TPA*x>KTGVKk;h2dTzaPD```yq!4j|yFiV|#mkhBnP=J}^;l zpjMOjBC?p$njC#A|AN->Fx~1_qNc9C&Fme#&a~~jen!|+&A24y+ z$Z_4L?8;VBQUXdQ_#g)B!6@9xJ3Q!4~WQi=`}I0LO1{+)UI&r94GX^l%JqoTulX0_oR>Vh zfI2T&EY8x--23#BfHJ?pb@l=)Ck_?$8zE(l_8088Qa!EjBr40JWiDqZ&)F4xwf!bi z_aAD@z_mA4lL0-U_!R*M3(fVK)_yY!K%%BR`v7iI%y(2HA&1n1TBC2Lusw#d@HKc! z9<`XzrQ)EcK8{KX+vka6ASPxXm+L19zJa~C#Hh-nWR4qV6b-68xbXdMrzk5Oq+r0> zZ?z!v$qf>c7oXC2ZoIXiok_TsQ~rs{T5{j17?AMp8W}Lpp!M+Xaif5gkAWAk={IKn zx-cxkM3s2|VXQu7`@>^~@?)jr<#WkJ#sQy`Pd4l^9i{nmA5T6N3zCA~w8=%p{0VoQ zQ^#c^*oHfs1o4mCDR{X}T$gRsF2pr3{oSNtj=-+XrwH)> zpt3@X(!cC+S7Z?&WuR6}cKGt8tSLNRTFO*=dEW(j8#p+y0~joI5VM_%W?#VFOIS~C z7R}zYSsIL^kX01RO;%M^mAgS=q)zqp1zPv?as`T^G?I++jBH-S8r`j$2JAYJhEv!3 zhPuZ|)(!by4TEx@ns=NT!@WY(G(Puo=;a}4lnxr#uFu+YmCLL zb)6`2(hE6v;o(`fZC^}=8V`XS?m?SNP>47&K?nz5)cSSbNHnzEL!NSvSD9D>_+z}G zflu+;!GZ`I2UFP7OU_FT!=*Rh)Ysb%BCn0d26sxI7fw+8;b#v}KRrb-&O~LfS(56R z{cpAjBa40^_Sg1sUsN6;ksy)51vzd0y_@PbBmpxPigm#UAS(Rr5M{D`%VN`9O0afwu!`gY}(v;>0(yQQ1LF(xj0b-pnT-lK)?Tbwg5 zjS#Vgkb@TiE6Abn8)x?TRA>&CnA_4l>y6b|FSYE`tC5 zt=psM%h(sX4~x^4BSJpIQ>TIba|c}TAUaSdCXTs~gJjJ6+|yhU?cX@Xzw0&R!q}($ zX7QVY4dIJOw}J2UC$!~7Hv+B099r4-X=tOoO?=6R zY3BGS0$u0!fzcwn)9G_@L4RK#ur3FRJBt-JqE~T0a4yxl@v7Kj*GGU-#WLs=&3v0} z>w_m(4?I>V>fsnC04@Tjq9hUW;VwAkA10*CbXde&78g7??r0AEERJfezO_#$mybIP zoZ&f1!MDOJhaFE0~@++;k z?V%=5eHW?sdT?UoM0m}8zd@`)ZTegM3}`kmeiS-=#6K+VOF%r9sgmg94diG<#;95KCp@I$LKz7(wNA>jEcV~ zn*wGCCtmB?p4 z-Ygq(TZ&eU-SeUnseW&l2oO3~t-)ElD*(cb@DS@*T3)B;1GRtteA-c*v7oc$^PV&f z-=e z%Jw|f48iX!R#~VHhU7;v?4g3_Zq|E11{WPVeq^JA`}E<>88esQ3~Q?gz5@6>;&w`< zF(Sh-B!15!X;6uA6=GD!%lHk_?(Ru3lH&OdU1gaXbhv8M@6m7x?ARhy^MQIczo|OJ ziarQ|Oucz;#y7ci<&e#T#TOezdJm>e8Da!{-D3ecD**)zxDc}|0nMl4R5D-u`h2Ua z4z$UC;HUGp4hszY0wLh!)swSVRSX=!RNPq|x-p69{W6k9?X=atD2(i4JJi-x#?gbr z3OkJZE^@&AJ8A~?S7T#izljrQ&kDv&F0lSin`IOHnlTL@uv_{<%_)ZjiQZ6i2aEx< z2ljDT@3J6X0rpVu^+{_cPU85oIq0C*=)+O_)$heZcwP8-?@!!o(tLsaw22PCOt^DWX&MM3;Nbb>By>a7v z{3#wiNcX!(H)>SV%pD?e3Dx-o07Wl1pwysV076{Xmvl3mo1LB@h;-($UB)iTyZ@+N z*r*X4BT5C~2v|o*Co+mOlDH?p;ArP>TG${zjXZs zSUt8p{C0loL)p3QrVq3leI&{%l56ISqTei3yMHz4GZ3nxJlYfAuI1&n;4x;PH@9EB zZDz)zpV5Nlw8AzXRdONOH5rX4A%cf7OHeQ1b9rg?@O||y4UMmt)_M_;ruZWS zF;9M5wiLI#o0e(3g==xH=I$~5pL!SHDyB&|=G>muXrw=IJ0+^RH{=gIIuFj(iZ?2O z9wc!6ehw^D|08aOKZ?R=i+)&#|A)Dmg=65Gg<#(8L!RhPgb zvCxEr2!S4kNRV`Pl;MQDGM^zd+~OmIKw4NN{m-9W@{;f}%uKIA+tEw*PX!Jq!?p>h zv9)$q2dW?35zTuS|zKYq7UeUKe&b;^z{%i_x)H63Z@0W-Uqtm9#_Q~|A zE%QTo*Djzx1CKO`9O4-)K6Yr-J84N$;*{i(u^VCk7Qe*A(c+-8FdxgqckSplQ%2rb zF1c`m=`f`oCjyPSq&JcMV#<2dcRz^f%3|5}ZYQ~o{&bRu@nI#yTA{;QA|Dr4L5Kj0 zp@YZmycxzooUJ?d1y$W6w&TqfLa6J&zl2=VOiXLD$YC-r_8+B`B(%^!eKaC;j|?ArYALz-cqqnK{cz#zH7-ENy)*SZ5HYs9-h%wjKinjyFmM!6}`RnOO{FD zn}MH)N4@vt(QdgL8#Hm7&7VEWWW-Q3n0-J*_>San(C|3z*e7oB?*?lGdCK2F2z8NK zus7F0GV@09dU&j z1#5x&C+vH;PF>#@tGs1xNu>L$k#tXNQ|sszEZZ=aKN%?_RG%`aUhW};+W(2B0I!U{ zm_h2Z`$8;H+Rr>s+ZEi_DWFV}4lyxYLyjcbCldjsi>W0=TUf5d2{C4#es`%Y~U-#u^FTzA~4SKG6_bCqvkWnCIw-n6gQ_99ex)&Nnz`+=9ZvqqS2*1}Q>3Odj%QA|2r;!Z4d`D>-qq zum;XVy~d-4!mO~>h$>bvPj;ENc(CwL+x?ue8912k*{!i{cfjG8vd-awoXo7eU(?kG zKlJgY#$HhC7t(4bGbxdOq^t!DpI>*?_pges(@*T#hJ=&!ImlpqI9X_^x+ZNlPAPs3 zNA`MdJ^*-dXu~$N%!AOk3@_|-c{_GjE>G=9q-sLBlx42wfBM*OyFJsondE)BT|kw7 zvj>Sgp5F2rLf!W={?1S}f?~S<;=B2>BH(^syG&XwKN!SNZ=iVUQuC^}R+BT7W+~>m zNI=72hTmIl&mqwG014%65!2(Ry+K1U4x@k9b&|$(Du=_H;XwV}sB(&MviRn1n-X#d zqb-zXig687>X{n;7TfL~y`f$bmV3{_QHS`SDbu|FE5$(dlqzn+q5$)ujw0uiZvz5M zr>joXzOwiv#yKJ^SbihiF2{@ir4xT?p}xssgOWX2)!VQ zRJih2KnH;mIS^4%WDMQ!gilipsYkel*EY%~?8&tpZxRUyZ0EN}@8o2=NF8@nPa`G1 zLlsLGkB0h0?5{D`ZbLmubX>fQ;KT_5X0znYC7G9$)bu3%s_=)Ihx5FpC^hDB$sHP{ z$>@6{FF&B7o$u8-equ&8SJM?G3iZ1%HTo2Oxu1FmGmpvE3HzZ_)#wbSMCJG(dx(O@ z4d0Fac35@^_~QqC7K=;BYv_L;SM;n<-Hn!15$#^7jedzokm@8x+1*4^TOp(-ltAmr z^Vp*aStvC1x$x}^i)rKS^~;9vLtQlZ7PUFU#tlrFb6}ul<`5+Lm$t;|d|U$_f+yd! zb1w{s6{e?aN2t#@vC~o^NHq;CKh0Cv@zH8-{fc8>ghE?AOE#Q)n zzdJShteV=9hCBa;G5^S!h?tx}fttCL$rYc*sa(xnR`ZoUz&Yd3R*PIzHQn=rot_$b@GAw_fP#e? zcr@8mg%qnBbcLG9vg709C(KOnT2X9`9hhu7QbF#_TyAT*q+3sR{{c6g*{#R3RC(3T zf7iEH|9FagzTFEaJIm~3e<@##*aXDnlNp1={>}cQKVr@{?QW81trc>?i+ROX?)Yw4 z4xk=KTeaZZ>JV`r*N?j#?-)q;K=ULfkW~EzP&~H4h1E4nP5O`;_<$An-GuJ5zTUU% zTas#mtBf{%B0Md&EJ!`RPeDf-dqaFmRwK+Zb7@{$;~fPgjrgno*0mS`$IY^@w>jY7 z<&0*vk}}BS5HC+|KRLNws0aawKNvibL10?%v--LD(JN2AQM;kjX4d*Nl2^NPoXVe;I2wLp*YR8?_&vakuhX*O zPWtCCCM*+=sk}Tq-lLkcZ$sBeP;_ z`MbbC_3V=fk3L&{2O4h#Ss5VGZw^QUHEm3ujTgZf;# z(K2y>Mu=YF6||qCaj+EcG)2Z7eY+QL9o$!rJOzCJlM7&01sImF;%JRq=W2c}uI@K$ z`~4P?{dNWXj%*(CbhlZiXidV$MlSt#z=dhA_iNgYXZNf(lKqZFU0n$qsTTh5c-H6i z7XO0`%M$&~qgG0R+-s#37Uz4eZZE{}QNmbXXXYqiG{#$3=juOe%(u0`zpj0F_AAWP zb^1R(0f>e`pSH9bkPCGX#NOM%mk_RO50ys*+4%wU5sLEunoKQ9`IhUpt~HAHxAcgk z*Mf@qB>p~B6mR)|#dt`EzScbK|BS|%CvDFw?2d$7B*swDqzM_e%A1YW91hr}WUA4b zv1Y55{9a;74WqrhE(6f9^f28_)xze)NSQ@uOWGV=F0a#tK~`XgJ9I5h|xf>`@anTS`7vas6}C_qSC`tv)Gk>6i^fVXSMc0Hy)4Ie2=|*78KRF}62y>SNbs9_G z0R>CgG;*L|!V44$n=AC?TOcm4`wI!qm%Fup!n&k>xvwqVk&w$#@U9()2CMsL#a}Z# zEnj%Nq&7r6-%`JG|4d6iv0-8kycV|iwWLn~CLFX8s3uFF`6lY9K^sOp!uV+zl>=9eiz$*1%O!P;a_0&gN)ip4DevvU^6fi7 zRXa5YE&L?&O@q304szPPL6L|}G468QKu)>^V-E!7=DW)cS!|LoI>8#}Q87B0`@T%G zbGO=^)5;2#Nj}D_DTOKg^S}68jGy=JMq@CyuHJj&16cYa95A_DJ}jpO)sA*U<5Qp{ zKg%6d1va#HSuU)BE_8cRW#i{}R(2WcAqXGrDrr&$MfXd+(%qfCWQb&gEk-m%6 z!atAxI2h~}Y+BtMk+i2avMCBoA%bfyzc-TRT1Q46wMtpsdUN7UIhwN-!}yhUZF_() zESP#yGctHr?;fQn&HU=b%O;arD123+Wh+k{;+w(Fg6Q|njJtY-^alz`B3gmF9=?65 zPqRONUbyM{AT-+vLuu5t!{xVeHF&%@l=^meMgQfphwUl}zjVU{(`#&pO~in)(8gNx z&4gXnRxSJ^34!p-QjJ{YAM_~+%oA%8jz1CY*MU%Y0Yc!qQa!#xPc*M(Ox0t*&|9^# zw$?!k5jr=k2P-5l}UrWM=F+JM&@4R+2AE@UYvfP8*P1uivH1AzJ{ zuQtDtLnMa(*NeT<)opIV7C1r?#{b$$cPPMh(Oh}J-sFOKa;8SrZ>2?+R8^S^on_Dz z{}MU>ub5M?6U{M9FQ1tf0s=4#Q`-N=H}-oTJaWcmkWkd`(kru<2vx?>L0M-3XDUkx zL6gMADUk1>>=;TD_iMY0I*m;(;Bre2zC&J(&(7Wr4hN23PivAs*G^*X&hD_=N&>95 zC8g6E?BiU?!>e0PIuUrDNg^v{pbf`EOeTo z+YPE()3eGoWU7J$+Qyp6`91#$Q2;w4P6O@9U4V9GYU5*L@^~>3-=%pRvnV04#m%`9 zgSB@#JjKn?H6h{+WbaxLBlVuZ@eA5q*c_UMF0#7x3MVBepAW~mB{=ms(@gGKqtt@B zM~&5KvE}z?+OCiE!Q zczHz37qfDyNQ8?1(OW6lFP*5G0Had9F%ScMXmGF5qG%@UqL#iCqe9e`C{_N{J~i!R zUWP~at3w`F{yi5elvBf75{FZegSP%0cWN_Wohva3?KY?_AeJ&Pqx|$VSzH) zXVM|){I&=4Izb>TpF$vPyO9DaOMdGg_J0`cd5R|jdEmIoAO;C}altx*F+EY7H;4LR z+_Bb+TwWC6n=|G%b-2kXtM;dm+X2I8=|5?}w*l-6%-D7EIH6H%HTp~!cPad>(`S%v z-&4aOu0!A#BT6R5QA>n;c?fa2Nq3<`h3k2PDI&5KLOT~jEtjvN%j1T?ZMF$19epD@ zR+k-~46{Zc9<$U9;7b<`|kR5Klo-E40zLU{>`XW^xGX&t0gKI+i#% z)4z;hAGxSH%jk#^1Ev(!gkP55-m;hB)$ZL7={IzIY|46kiGg1Qbq;Ie9hiB&e_WT< zqfg{Pzf_<7gl*98pZ(LDy`DPtV>cDA!Ppb0(OC%|ZBdTexy` zupm>Dzw&l?<=<#}iBKh6eFtsdfq|SGQO{rO3npnSLuVyhX-jl$+RhV0T+wQ4(NRN0 zO7GMcpU<}|F!D>$>&#N3Xk_1oIK5jFM0!R=UznsdJwPv^>T$cK&qROSONvW%p2@mG zFYy?zieePORG4?bM-hiiPbr)64ju=Tx4@d_I@XxyyV$={`02zgTkMi4Dq3Sh(+VTSvCGghnZSNgNfDJ!J#I9I~c!;*{|l4`SNT>H1vBX?p!pxhDX08-T(Y| z6YmV3dz3#zrsSjQ=4$VbMnQzT8d*m%N^7}hxX-%5hsufUS>jTUeIc=6=|rBVkcWBo zPTvM~gF0Ncfkemzt&Mz9wo$uXxs>3ZT{%}86o2uWN^pNEF$coi@tW5|wo<3lsBPAq z2)wQQOUr{w+;a0R&%fpsX3oV~IjKeI<%Q48YBIP-QYKl-i5f?oy`7z!T06%foE8b* zlX*d8yOeobU<$SD(65J2c%{c*hz-ge;J+hWx2x&(y_P0}*pw6oG+)gmpiv#-NW6~T zl9{i=aLR~}7`0~6;f};N+pXW0Z5+Ps5YL7S3Xbu+7g(mPM7FO?O{IVNOw?dVd$}_7 zzuuqRBl!!a#N2PJZH<$Be0+#qB7`}5Nt`kc%>T45e_>_TM&x4AN+pKFg^C-6PY7=) ze!}TUtIDI<%&VDLi9k~RU}fdxEQ8jy(9<*zl=+;k>h3yu+RiIHHNsKiP5kSW8pE$> zc16S}0V4QGX?7WhtRO1@qAN+NO&%xcqF~79z*7LB2vY&J5a$=zg+}8}$xENDW|zOz zd^_n4rfdfpPOL&1DbSBeR6>hvzrE-{o_o*7vT*T{pf(RzK4*oEZdN~s4^!a1#*W5b zQ_L#J6>~d9M^Eo;%a>1^LXXNCv;(*}bu&cDmdUtqaX>h)2~pgyZdi7_KDnj2lP)90Gd0h*Tvhay3`-BoT6-gCx4|b&d$- z%tvF*X>z6ApB8meMql#1jsqv3g>^!!vp1cGvf<-J0afi*AgI_$2?|!vXnt;v_0(f%tSC>#Z~iM$-NScPLVV!= z>zu{hs!37*GU86m=@<5}nIdPBf+vpJ$44r?b%-W!r|U0ewL2{Ow$gz-A1f0@f-jaE zW(%D$-f<}Nt4Gom#oJNS?wODL`cYKo?r;eG^ag0dKJ32*pH=i7pnl&!2bb%b;1GHl zF)fbmDL>ME%S33q(Fth0eW(p~LJJA4%eI~J0~StP=DP>CWu9&*e@g@^3P^Wp=L5p^ zHSITNp4H;a++H0D3vptl#M#pU);iIJw~W%(e!jBMce6_MESlXzBA@+eX}>7#tR#Hu z^P-O4wx$|b-hh$SpFDE1%v4W5 z8-v8?^KBBzpYT~@Gixx6}-zE7b z`}A-J@UJt})7cJ}=6sULr;}N3Rdx^vzm8C7={UnE6h`d(JY!GzTUqV0;@zH04 zQG(7((~TWCKqQ+86G`o<*3Gi(H9I2(gqgl+xM_L`cB(7IUt@CG5W4FZAq{))lI6?0 zNFz~u`p#g8T|~@h^*EUwTTphO=6#-IvbJK2W|%EP-AUOU2*GO1>wkWls}0!_Bo_vh zP}(58yf+AremdNJBJ~JOrcp&x7ldqN1)Cn<@1N-=Z004;UgM6UF7NCmRSu-7naX z1Y>(6hW6$sYyLB)F;>HwzHvx-(?vxge;FkmeVuW$URO4wW3(q&N!MJS*G!Fg2MuAu=3pVq`@;6o*(pFzc~|-X>3J}V z5|w&c<&aICiRs$SlqQe-%XG#Wp6_?@Mdxvx3)wkzuj*8hET<$L5bSur%z2ao_GT; zeKSDIxBa2W%pjbPyy2p|UL(D^k`?YtD%xw3-XHn_-FK~Ms;fUP>GHOR5k-(Y>GHi- zuJQ&s)>x~>vtWN7s5~F@gji?bAksd#GQPfAM3g#hiEjUE)^)H#FXvS59KNAvhFz;rvynqJhWQ0;3Xl+^R2(w@7i zU#xuTQcq+2)zf|PT%!c;`*|#HYxq)Q9Ep_OZpDV+vOP`TM{T}3eI><0U8Qb3hi^kJx|V^o*mt$hsw#Z8wj|SDaD_$~E8pz$q=f@!K ze?IB;*iDYZOOjwbpbpo}F*!v)3@%pEYQ+1@w7g}Vz&=1XiVg3!f28wO67RC{Zpk%| zbFYL&2KT~uo6*5R!M;Po4av#L6<@xduTa=;%Rz@(r>Hg4!_7MRJJff7|LXB9jhf~1 z9`O05tXe0cKe*66oufLR#HOZ`{6~Ir)9)Cl|x> z4zI^#huGx1ettFY1tsBGrFSJX!!Qvtb|1;Q$g}ash;md@&t$~4!!LW1p<1Syae2#i z-$SJZm4|zyqb?}81y{u;J|HoL{+jJ>-k-Fa2n(z4Eq~v&Ys+)kd!8UoV%QSz>s}j! z8-~xszi6gN_*PBUU1&nE2;Np)ZmwOixr}1>T1=29Mzryeu~?(PXD@}Woa&9-+8=w@ zD%akhX~(re!x3pS!<;UY1uzR{M&LJx?7_}@=UYU47e@=XZpckx4PQfebY1ze8iUfz z=~eDTZ%xkn+VZ3`3|17iL7!A9S5%-dJ_bB}`hlL_CKL{1kfLF~fB$}3Mn7=1aRjPb$GPj_F#NpurpgBYU223fB zuf{1;kiuYjSm_p6i)0?aA(H;sWpL!mjlzFI8?4E4)sM-sm(u;sav!l?H3N>s zi4o}0Ltt~K$+1z?tfDcS^D@-RD`xh$dB!vz7S3xqD<|@^$&MjGhX}@bOyRQaXtX|p zpbqhI1?}J273(A(cA;Q#_zdInac0_zSY_(xB11Rhul1gIRxp7`&q{{H1TY`%!97vCcC@?gU|ni)sfVIr+Ln0_ zrWXkP32A&tWzgAx@!Kg)U1qr(yRiWHl7(8t#4nyXyE(I>EB%@koYhU$~?P1%^D$+Wg&*dJtWG#T*Cha zHumC+l1d}I88ZU&2kx#)=(c$B?_;Hwk+#B&YRxXHJBNJHI3%Wk(eOV3o)qK75e1 zH2`|2>NAC%0Nf_xFF9@@>IlBOI8J`wJ(*qGZ5oUmL=@`en*V)_^13 zg5|lV;l=%6-eZi#JEs=&eU_$RXgv3^nrKgeXt2$y)v$h@-i?yzq}sGkmRX{vAtXS! zG*DC>vf(NP?rl&2s-M}xM`1?XPIo8&cdpTYRWkpvAD@37W@Kl~=25D5mP?cgXFJpa zTp{tl9>S{ULvwss0H60{TTH{C!Gu8PKkvd7^luU3?GLA&|6d{lX~ki)3ScdZ?&Q8bQO9I5Lb zL4BhYyRN*uQ?99#g)^2}lM-j*lizoXh7bDeoV?3%(&_)u_0~aIu5Hx!4Je_40*W+B zS%`E>sDKG}fRrNL9g?CLlvseYC>VrvN=kP~rznWDl=QbQ_xH@a?>F=Pv!9t~&uo$V zzRv47*Rj@b#VKS}tGwTGh)grDf3(?k;!n%GlaOVU)d}6hC9$RfzjHF0Z0%p%yZ9Mz zB_bP_)H2G9tQX>g49XjYqr0-YC!R@W0mwh|>$#IZpSRctxzcYml}c?|wIL^!W8K0RaJOvlj=pxAo{nFj#+(=GyXrxZ4vLjs2v7=TWP?eM|L@ z)vuR`bCiI(V!CVt_A`j#rlRR2-nSH5n-V5$S3UfxdERQCG(9a-w(`6Ap(~y4?>x-a z_=fWIp4oWihBT#qGDc7cP7Fc(yxLMn5>$ctG{&P2ulV@{MjGXWkJ~C!Lv+yRmAhEi6IzGcng0JK$7P{3YD$?{@Z1 zuurmSuTezo_;aWyYiy5|?%O?g?)6wO^zb1PK@2R|=jZvaPUBKnFtPSQ%iUQvrF-Ju z)1?fZ6>(Q0^awg7FW1WU^?~iv1K!)KL-jxehDI4ImW;nW?Dvq@_ul5|@-^S{5QU8N zS7^?1+R!}PT1+Z)pT*vA0v<7mc8HF3Csj)Hw z_m57OHU<_*-g^ZYp+Qn+t=y)%knrHZ*06{PnLis}h!>8gdpL!g80)T6D-dDP>0>B# z54Es0!!2eK%EzoJo+xpvsEN@!9i*WhZS#o-arne0N!CM$BuTMJBZN?0`JnWccSZyi zS)E|wI}W?oVIS6eOo|v8YD!;#6Y=MZ7_SA-Ug2%YP4m_V#G1v!lH`9F^E@{nEH5p6 zvMV=na9Qk9m-N~6=_11ED)o+OA?%x?d9Y7(vhlu&W%D$wIze*28?OI4jQ+J!`) z8gf%To0;#*xGs4eT>$ilc^j*^DKc2u^;K~!u+fM>Q$UuZXc=)E!9zNQ_yY*W9nM{1 z1#G#z@=5D`d-irsHSL_M#O>S#pH{(cqFINS+5%M?7DOdJwu--&lXf!(55fM;Q9m` zQ`Ip?nFt@-XkZmbIlEQ<2&po(CpA-*O*r@lDeFDlVXgICYmBsTUN*kV;DEJVWpfO2 z9@|DF7iB)3&}yKK7UyieHSy>+O65{^JAcsz-dy^6axa; zBS1>H`lkq9HC9kJscV;G9&(lt)ZnO%r6?*A!MnuMPyxWs7LwC=O2ssA#^?RMb6wBo z1jYXzHWaGtR)y0nyC5pN&uJ4E!sT@CBt)=3Qu7TH-y^`KyTivC@yC*jSb@mj315ZWc4M ztZyv0>!b_A-^*@ibqN=n6~sgMRfx{KAw`?81iIfUN9_p0delpHdM)=&*o(+3Y~i8`j80P{*Gh z3>tl1xc9dAn-#6a!i;3`VxC5sjjDd(z>`?n&j^_!6DVRy&{^CAD zP?-T)p}&~*$_>Crx0~f}u>SJ!P{0J~x6~ALwx)Z+Fghb%)3Mk7ANUS|v=*pT0LcOs zw4)S_B13q{7&e~;rWZ<;zv}?T0MxTyrptqP1u5_Spb_j|#I~EcQ2aP|SRE0~2!Bie zadH2vvqN%_8u2^1=;BYW-B(flz1K+W&f4Wb5asb*7yhVz&37uE=Sv&4%#^AiX@WWJ zw|jwTyWyT4ityDwJ)wD5b5^V?QRZ8 zj&3JOhQ6T0ApO&^<_2%oUE&t)U6$5=$v95Vo(tqnZH+(pWs&Q{-9Go=5x2~{Q_>>= zfq^_szE!L<1UqMaWvb$zZDbd7_vc#_@>oCA^fNx*#CdmI_?Xt3q!J1bQ2>^zKd!{z^cMQON9H-j6oA0J{9Xk*{lM+P($!rR#3@LlL5$GaZI72b}(9YI7BZ%`v3SxhqSI5 zWp9co>)zK;2}q5XBF3kth*0iyC>#OE;PKHHfb&y7U@UAKc8;H~6yX&A<)=u^VRypq zFHjnHwieDrV)R;IU@|)-i>3vZAp5*=)&_eSsdR~wLVNze#ng~d8!6W2N;9&?d)5vP z4qGZvQj+d}5S|L}tW#wdbvet&9P)l{AXTtmH7>0|qf;ZeZ*O?OMH`hS92jI|MMS%CWBDav8ID%VzMWa`s1}E!~4fJOx5`MlT{d>dg~inIjhNjDlHrv&##N( z?GXW+wfte_rU?J$iMC0X)xP@oh>o&`0v5y<8U@22>X2#d!dQ~f3AE)iu~$K}@*Rp! zrq|Utz>w6spPFj(^9-&t%!^3;^HJ1op~PFP`3Q~pNOo*)qF`WLcf?3~&~}H;uMTlb zQTear9WykP@w2Y%^LxIiliW^Ey$S!BAM3$_TENute$2v-lqlJDKgW9d!xarrwMP4# z*rxs1w^KzcuVFvo(>=kYebDrx?1F;iaj_4ctN&0k=*1?O?dsPH*5{M;z~VSBzr;%# z=tn2p3<{nYb(WihN$JbSEiIFUPXZAF5ZM_Wl&2AYluZaxs({lcX25b*vdX?~zi7$4 zw8|s3<}*!i&1PilTi8!JxFMnK|Bn)B@QW~;9`iQRx6zd!`xH}i<>tOr6n_KLP~A0; z;#dyMGt5!E$e5M zt=YmqCvfz&Pc+NMSil1^ck?sl%+V|Eu+9eGZDMiA%)q}2aBK6%@dC#gUX z*z5-MB2i%RvnIHvYc{|!p8^m`F>O%ENkv3Jm~ggn5p6@HB1450b#^H$5`pI#X9W6R z=Q{$AB1y2E#W>-9Kopzvoqa`p)D44fHpocUs5GV^5w#NpR5yEyu&6~i_B{^tSRFck z(|PP>{}Zre>;&2ZMr5l;W-;-=Lvc@Lu)lMi9dg_qvcw=$*|Wb>Be1BvaLb9)gi=Vf z&|yL&9IA9bD)QiH`$DvAS!54V4!$!?Q_o-i{fQVQNCcOY8K}M^t&-eVA*lG-YQ288 zfnV%x6rhqcF5rPL`Q-FA2~EncThjTTLg#yTHn@5dOr1jT5i5+jUX>l{sU7tPYeK{%;m4{v1D#AmgN?C_XAv!b z_k%E=wLVz)ZVrk?2EW+Ev2(CQuQVz{l&*Gm`ma`wrgrqM=(B5`bUY2FIwv-K*bdR2 z&|l(+7Vjf)t7&xmNBfQB$8hpK8nM27EkQ1h2#bsJwTGgmS>k%8=%hoQvTl{bs=3>vGIDWO z9S!Eki)TY*Redj{nvbT})z(&0KA+WuryY)%XZH}q!MC7!VJE4X?Wz_hol)K0T;4{Q zmQdkzA-SE_us@~D93LTO4MGgO;Ar$8BZc8q?%(geDJahNYC@OXDrN#k#C-XfXQ2WS z6U<*EY)_IBZ7vWM57P@-geqz^!YE*LGi!8_#Wd{kvIhgSaB-OQxsC3* zNtv)behI6Nq)YPEbkU;&xEV@ROCZ^FqQYzO6NMQBoUTVh&Du~pYqLtXZ5y*)6Tz+r9~mQFaH~mwx-p|* z;i^r{0iwO0SjK33tl2vkUO0{-G@;dtpD}AZ$@3CJJw_h2-A2sRm zv-%~z38_K;6+31iVVeOp zcm{uWvO^Z0&#QQeZ*B-t)(C6Zixgr|Nw}FshtuZSG2TlL#yW{I&T*%-PF(23e>+no znnl)2%{tqwe1h&5@LEDf|C`Cxwwngpo&691HffSoYJQA}!6t-7okYAA@FM-$Czv-b zLeMV%BKvW~J5O~JedFuEkS40(3c<2YCv&Zp2$s__QHrK!D8#eo2W)`V--o{Ft!TYz zyNP&Jn`z#_y{)A5aCYdHFvD9@Q&CdF!t3uhgW}YST#;`aWgbPFJ`g>WJi@glYt#_& zd!N$!JxTQiCS+$KPx_8s+Hv7}abt4?S|L;tPh*P%TQZ>tN6o zdb&A5ur!meLdREFWZToIAC~J)*=`4sqm>V+`$*8802cDyPJ)yXNG37H>o2zMig|DF;1Q* z?j1yVDa3j5h=2&TVXHXh6f$X(r1ajWw-G!D9?rWD7=nXO5bQ`SiWJvj^L%AKuBUM2THdNt8)gp zUXR{&b#iQs@qumILqjI3-duaU>;4+wTB_wX&1Pw6$V_Y>!)v!Bhn1OG5u?Fhd(x(3 zWVN$%&U7VI;vOz3E4P_GXeXBr%?#k}%@8v+J}#EZT6@aG-62olgZsV&4p#h8IwIfA z46jWrz8C%AT3(j=+kq*}qrJy*Wh!?qbmDW(2t9d~L{s~qu!P+UmMv}`0;O+7f93M_ z;9X2qAcE@PRR~2ec9kWklRZo@`0Sq0+C7}oHk)7}kjlRD!H_1a^x^icKT>DZU<4@wEF9$LJ6ACHb-y1zA^n!L7?+J4h+{x+bG69qOA(+$2!S=0b5l5 zi2UZ|!e4|~X#DH1a9Up)A^H_{zkR$)fC)&tOMiae$hG@$rx7`8;@Nk@k^(Rlma#BsCGuC+G}_f*<=YC_qvst4mtq}`Si3IukesconRJaCvg{lcZ) znpR#`OU5#>VQTUIIq%^6D-Vi0J^IZ4haGNzEJO1yy%#EBlWlf4qxkq_Hq<^x(!A9h z)h}BiOX4Hh%VIa_J=Y_PZ(wCS(2b@FBXrQ~uopo=ry%7MJk~FFvl{LC{=CYn z)TSp9oUhg~d$X?e)9*<*@NcBvp&?Fc=P7(q_eFj0olmT!2z%os5U4HWv(Y=2{VyEPPr$$bzCnpC5|QK(Gg0+t0OvsRw&CgeU$UA z#Td5c&;fD3z^&^*A>`)e(e{}_CMYrj?p~2nS~}3r51{3mxHnf0vV=Jcio9m4uWInw zmgU{|yQ_L)Pp5;b!^+ge@Axs9iPw@_Yh`z9>Q))bM_knO5xU97u&p-up?ZO2WRY_PZXP;&TpsLU~rR`~TM~-~ zmm)d|7B?1E5N7niT7-NeF>c4+@i5Zm_W@#9`}_NP;g;yKBV253ClEG@9z-;_;LpqC zQ$;2KTY%`fOa3=vZvt;QEa^O(!$dW_nJ$}`E(U~X)b6x4~XF55DBBJoUPnMwv z3JioG%LRg&v?URnw$$Ew;Mt-2l&a`pCfAnZpIy0R-ov?papF#LnL~5>F@B+RgNsPY zphNVtS*Hjo(RmQO-bkr&VyxF$Ez=noQP_|s5iBJ|A!a2svuiXGjXy*q!NMy@$p3B! z$PbYcb7ncFoTvz{Gn-{TJp^WAy*${FqZfd8eb$?0W9!yV7LV6V zOHe#~wm9P2FU2k)vb>yck#(0WPyj=gETu;M1MTQX4vl0!4w<(~DNn@MAt43ReI-8< zvpE)dww(bsvbcP!yn2sM+3K%dqWPM9_Tcy7$SDjMhX-V{w(}9 z;(ce9BudXol-Fg@IhD=4c?ehcE=n=>n?@*EBHmSPNm{;G`xD?%a(`UkD+0N8^R0q} zej9$`RCOKnETVnmF*5jWue__rQb~B$t_Z zLx5NstX6Lm6pG4tYqYPOGx0%;bUiLAqUq-!@ebH$$B8ma$jIa>>dwFM6=a}V!@B4z zPO9~}^BY(0iLyyr>^$igw}i)$0$T}{xPsW&(i{77o=+Z&yhS#B_Z1SR2msOPRRBI@`9sSo@vFq+kn@O`z*OR1K4;a&gK160Ene5i%4V6`u*U5B(^y0u<)N@C6f|z$EqqViJ{zsO_1m zJ%dW3sF#3f29*`5zB+%I;?tY8u`xrqoD#bIA9ic}B10EbF!%g8A1+E^&KwJ8ulsYO!&c5v)+aa0V zes670#3~OP`RyN@vZvvK?4VY4dpaJ17%iK`BT>!$I|;yoO6)Bu3ynt=^;>LHEDbX+ zgs3p5pJ4svNwXWpafdDl85sYy$Y`OhHDs@UL6aF_z7jg8;<;!ZOsUu1F+J(fki5Am zeTy3+FkN27T(sUWOkPUz8A)g#E00URA;1m0dnoVC4}T@KiZYxy6}nBnIVj%0cXGL} zemK|n;+1i|bSn;T{hm3a6h1fezO5Wi+<~5Lf8%5X1KZW*N;*m2}O*~(#; zSKEuXsXL~`n`fry7Z$z~;CDD7ctu$dw1rq#ua#$$xHG#&-B=eA4|BRUBZ2>lHw3_o zcui1@|N6CqcYAW;i01mM_OWrZs~#y2>gNgt=HrxWPCafZ$;DM~nXx}ci}I@B?}>9z z{9ZkwWc`V+gfTDQ{_x7hmhNs}LS@fo+eS&;s_7NRK;zlJQ)Kc1Ut`G9JBoVoFKFt+ z2RwG@qWS`B-HdL_PU?|%y8}-+Z0g%aZhM9oyVsw0t#IM0T4?M?qXo^!C?s#NW9Xp5 zuIBsSnIX!IeN4WmFA!AS98Ef+9K&;|*&J=ZjeGIcK6EYNDXA)6e*OR?KIbU`y`%7R zLIR7g?UFVMI2HbXZ@jPoi=Y_31O1GRi|ZkxkK92!oEV)IvDHzD`%7HsQ;!oA8fYsU z=f4I3!f~f{5$!nNK3ylAE-L&*6AmaP!z;AJnnHsw4$xJ48hzewpYI~3bhU>3q3T+G zR2IGsqaG7eG!5-XMN4*vdg*ChQxOL=+yzOfwNZ+#D6pmY6ETqvp{|)fku{(LYiv3J?TeVa5gR=F)fsN)9oP0I-1L2Op(9zVJUnjm*T2bi z5o!Hlw8nRpZ4;@}m)Je9+#XN>&2=v7tdDyn^Fn1c%Mv@s^3p{Qg5YhMk;c@E|_~CzM5*rLG zu(_T(ZV-43h@{V&T!_vV^mJ@B9igf@VKQyl+6FG(8~q`V{YlgD-{f9q$zV^I~FL2SCh%lJyEh zzltGpf2!e(^ZPle(nXwI>UbH>GjJy5DW_ zbmAC>rCUu46dEr=(PH*pCtruQd!Ir9(3%-EOW#Z>&t}g!zrR~L(_ku6N`CW+HxqxdE9p zJJeqAv)kxK?yeVbM{bIs^e?4>r3iB!^-j?gpxdyl`4Iw*3GE)Xx~R!XyjF_MWsEn(sF23FH_mMrY5u7u zhHQ3>)PDC8wbKziSzgtz$`2Mi9$r#G=JwFXwBfhb) z8=d6($u5S)b3-DEi(kv`i-58y#2uYsMF{Fn$K!{1HY_z@FGp&P0NQslYgrXGf31#7$2JQyEXFlp7ngvD< zN^j1rJa6~lKlJj9&!Yf`SC#g$%v*$X(wQ3)Zrkc`zqqTm&XAeY+R=d3^|FBlnfLlS74jA-ig14Kh>sfbQ=^L5 zVR6q+7SqX4S42bv<<4{t`+;)}s#N`Sn!oyue;sLLZO55xb-OF$0q2y)zG8^{_3rbZ zbcz8y$I*v045o5M>T&2tgd!KVuCw}mH=!cV{2d}H3=J{j{Ebyu=J9G` zrxHCDIt^zCZ!6IfLQ4O7IXU~8qxl=F$qNh-bkQ zragS6fTy{hBw)42tF4Ccuw=H6z2q zE>q7D+KphB$wD5ffG6T{!xj7-{!XfYT9@P~cvpKa|#)KutxF=r#l)@24be)%$~w8X^fdqkOV#tw$6O%z4#MbjT7u(U5F> zosiD@1Al)HJ$Gp#1uycyT(s^_bVyJCSZMI_Vb}FsRJuzB>>7PuG)tlwYXsNC913Ek!)=uHn4rB}D#~d;^ zBqO8BP18J}NWqCFeMGq}o!ebDO|&$#`EH({SVOWx_jjWSCmWaNN#4>$B?Sglp>xtq zH662-t9h`9PEgi0Wpb#pZPv|Nyv^=XFK$O?ck}l)O45O3ecS5!;lNJL2(7fJ=NrF~ z7r!d|!+SmQwvx;z?Zj*7mEf`U?d3N+O0}p<614|A{oWxh0MrAjAqdMt!s}5GmYrIl)|O|Ut?PK+CujX`n*ml4O!%l z^7nXbtck?Yamd_L8 z`wT|P-r^%qLieboWEj)2a@N!l|LLWj4Avc)XbI1Jw~)C-l< z5nwdkh>C*p=D#fmg{D&MuQG9K&LHdHY?UAlexNRNoCcyj*a`$@}ysVJTYW8 zX_hzATAn#1dq-V~jq<(6N$R*b0|Cmctc`C|T^3QIEI~?L@9&xN>@m}LFv(U}CC*{0 zzXd~tVD2w&P5WAchZ~hw#U?~|Pox?v65EM~h`2F#$ z-Kd!}x;ZRFy1nwXBObSYld*FauXj7@GZ&>_^XgXFlU?OqVyJC_Eo+cyz3_a+Uyfaf z?drVFhB%1`z8GzFZa2Ls5hmWKm8-eGLW+JLcsxShbYxQ&>7p^l@w=nf2wf z{A=8r3q(a98mJO~{rdG(#I(+U5n5qV%@?w6$Q|6Afgp#oc21#_;7ZkhK`$H5S5Lo9 zU7gyCwpw^YgcWi$1z}B9|MdP*y{*w(j%3x`?cEP#xN_O~0}VGmw2vXsnQ9?2lyIy2 z$2;3dHC_gwS5(Rn*R+?&$A7%xj_K=;Gk=w;XGGI-#%%>2sO+R@7bVlOsvP z)&lm0E)oxHZF5u(+9ZU&&EYSwpwC9 zYToJS)(dwvvW{IJZW`OZGJv<&F)Hk5;5YIKpy*GzOg`5`Okn4s2o?Rb*$W=f9@>2k z{Ys<&?+7byI-9$nP1WdP7=A>jK$G7kdY6C{gb_t@v}EAQK6t;$>;%XQs|Von_q)!0Jo&Dt9IC8dwq_1 zMNM1(sG;_`o##D3A@I%X^rDeJFFPZ>?vY|+8{d-A$j3Qv-<6T5RXugCO)%OjH`Ot} zz07Id!6U7^JVo>-B6=c*xJ@daJo(TnlM6&c!tmiV*L0FBU3bX0&QO!_#_gx3@QH~0 z&3CD_?!7&5{&F5u9$ldV-W)`GV`zJMMMV=-qUk9h=Lfs{*E0vBM`oSrpHOJH$)QS0 zyhwfJ_2PMIp_=Gx2X|p%aD1S&sD!l(dHINlS#BFlqG$Y#D;}zCNj@Pg9ewp=C#t&_ zx-ECpE#pcU06by@z(~RAOW;ZHcoiKnmq`{ef)hZ7qBqGNrU zD5F+9r2Fg?uirEC`wvZ*>i{W|s`|0Tv|fQkA>Z57l;ZiZw4k&B#)3ZShO=J6TEk7a zp{$x}i5!MS_1Y(pzU!@O#nStx7PqpqhP1DdK6}Ph_IK#7QSWWH@5V55;rrj4l-^F- zB?+BTzjV7=Gf}-#R6fs27Q%N+Jyx4)=YFZ0nPQjzW%+D_)WdKspn>;ds#@}ww<5-5 z>aSS!S7x+?_LS@GPohp=P{_60*J$H6zq~83LitXhdClGCw$9E24Mth??K*ks(QSLT zY$E3tsC10;7IaDcVb3m`RZDnbhWKF}CWmoZ#fg( zzW|F63=St!disohCCEC5ysDXajn*QEX+X9&JEQ+` z@3n<5#$RYOi1_{|C*g-*wAiE^Trd&9f_6zq9$RR?j8*+3v5D768W~fBN{+fc0r|qpAl4BDWS>z)Nje<4cvAiky{5<7JW1o&qbb)BSt9dFihlRUUE(zgf8)*i#BB9$bl3p^QZ1h!ve@?!nepgj*sve0SDCo%_l^PjIl4iI2zlNQ<%O8k*JZ+gQ|8-k86$sFTjR)!`Yc6@NRf{&!G& z{TR_%ihU1kStN}oOSp@mO0ZcFd;@~|W?_g|KjA{SmZV7&{Z8pFc$FKknhVTZ`A8ak z6W0=M+)_%$*3#-%J<3I&RoJZ5gmvHm_$=-G1q{Lq_EU>raQ_JPy`4j)>^q*>u_Z0v$X%r7-9) z9j&?DFs#_^VS5u_C>dGV6t@)zO}i##ME{632U7Y$jr2oNaW!Wh?ChBN60+%xyI$63 z3%4*)Z`!16kSu+lBx+jiTqd8{WJLYP7^cn}DYqYJ8se)@)I`2*%eYI=+LwsZj?xY^EGIJBPpvBHVCGtRo zq2V9f9uhT)MEJ`HCpB?9Cl&RtPxNy`nSCs8;|BEcxDGB}Z|%4nT|96(?#k6p-um_R z)QR>r$~`}SV}-wGFDkY1zwDxNa^`p1g9X&NZCTqd-3)}Mhk0!O-XSEMCbK%tKo+d{ z<*nhR)iCcGsPt)cD1)AR3g#KPfsCAfoKbHylRs?;nbJicR(RdsGHnvJ<$7}aX8RJC zMV#x3V)XNy70)!yz)B+DcjRi5v*mpc(;xa6v8w4(w@-8YVO8=apPkY+r5XLAY-kvN z@pnK&^8BV7pR{?{#4h>A4KC!$8NcQG%z^immnV;#^4M-H9b+^S-=wu1AafCXO>A(= zPh+KtvSj2#=hCM|wDtvYADnmLz-x3pY3YZZ(){rX|7VeV>^Lm8*!_C8tLW&qEjO~Q z4>I{;1yu~XCs{gw3Q+5MCz5wx?_;|aAf{FNqP>+ddLm?Yy}Q^Tqsh6Y;ulT08h;^= zp#Wzfo4yjZ(X_>{ptNs2_vhJJ!DA>1`1R|(VgrZ@&_L}q{^r5ojS0pv8yjnZo0+v% z;iEeX|5ZHe`=LW)nhU4c#XoVq^Jel{y>uF+`o8-nDKE>;qzf3lzG?0|H+uJWsHD54 zZ@u*`xt6<>!yI!C_6_sazQ?}UOUtjjrMw>URhK=Moo21=wItl$(xUfbmTb?vj`5X@ zrFMxsJ(;*7xFzARfP~e-{#3`X|A?gPeQw*?W7cwYU(%z3T;*hMG(A-xY3b zghlU6;P`;4n(`aUnNiYNllPrpQ}z?&ls?;-3s3jFoD9a8&;AjsE@F*JD88Q8rvGBY zUWB*z4`&IBo<|^P_iR0IN6aG9Sv&0G@g^zV$jCOVGr)Ya1#lAVhUdbuV|=y&2&o@O zWSlGm4o2{Vo>cZ?#nNadk#eVsA*Ubzr|bG`RK@Os>CL1fq6jb_!O033oheBKV(Fj5 z11d4$$RX)s!bZw3dXq-iv4KwhA63baTA)0~OxHP1_B=LC{=x*1Ns#?Iv7VAFn4!%H zCjLKcCsG$cTp5H126z$xPRTtt+mWx)c{-oj`Jmc!$==siynXwZ2BY0Zt+tH)1VlAQ z2#jX{(^j1l3!@*jq^F(D&XhPTHVo`Zfjvt7u%MUkepf2>&^Z30?_a3XV2E$l-@kNM zSN=xLNLo#Av!-A>`N-1>Xt_{yW9Kvw2h-7sx>%w{H5nft&)2AwTaGswy%Q>1;rc4i zN2rXKv^jmj0EE5uq1&+}ZHs)_%eF`nJtWlOgW_`xNm3 z7K9K}%_O?aJ=34kK6sigs!SE`cBY-%vRzQ)x}ss|oO1(ZQ{$w2#^{+Hwa_?{mNrirNhcfO4@4&dF%2X0E=^_< z7Z1MNw$BKz{m6=>ms;TM>LpF-$e!uxvF(A!8}KIR_|omE`Za{tVYRn^jl+}a&BP&{ zr7eAMx*&IxGtAoKGL{IRc^Qlcp07H3pi)c^hgp&v#gsqVKd^?7v!1T5;)UC$?MVbJ zdAdetoq=Sv*z3pxRwZ!)5b;}b5>Z}_WrgeCQZC9yjm7hkM-SNl-p9|6M20YId-WM@ zjWL9?#9aAdV1>xzXhu@FrCrJKt@M9n{Z*n*9a+>?+WkA@%GjR9rrR9o6G*Hmc2=bd zK5(=mCrHzz&*S>__IpYc-mk)gL%;lVKhDD=?(%^9p<>Ok*1K;B{~6bxRhRzCnYYSz zEJok8fv&k_V{@qs*bdgaP4GID< zKW9W}eD-69@=>KfGRsaFc6a`CkV(wH{@Q2JI`-XeeU*xwqDlby|GN zY>S(}8){6S*ib#e4hxr(!`XKXxHh~EZ@_2#88#pR=mHWSktl?=&_&_-;z?6IPfhJK zz;UmN%JB)~h7|~QnHS9;IolIuv=1FzM|X~mXUY3a=x%7WFv!TrbUm>f-InWL)c8}q zLro%~`P#D)=j@KLW|JM&WalqJa9|4f}N&I?I8TV zVu0Z0Vu7~F1UeCXY}n%6(F5CKH*LshL*}SoZk&UWsiTFtOiOVlzg@EzePrkI3Q^V5 z)Y->JCuT7h}D1Rm4eAiSe|^kI@{9rz zfZ#a)Tjbi*942XSTf-vsLi`61zJ& zH+^CZK5DO+E<&^ZZkICmX_C#iZf}pbkkp$9>-ve@E&g#Y}nM-4j|G1In@S=z~GyCFZ}O>^R+Jd-cW)XUQhs)uKsj#8eBY;U%Lf@bkZ z9sm^{<`Vm$k-cxc?mi)e$hz0!DvfVIm^Cm^Irsw{pjbGU8}FIHqR2O70`ml}SplPC zW6%HGS2T5n4VMN&^Rrq9_}<{Oes*0WQ74v5>`C+3eD$nQr#@Q~J^JSpo@)ujRLWP0 z*uo{M^oP(c=pX+dj{W}({3*6Y;oFv-P5h{XYkn%5nvA8IpaC=W7@p3p)wIGNKh?D2 z0e|e`ax`+YW*$g%*!oj#u55+*wH~f15JIcmvpvq<}*L> zGOxIFhBY#AthHrssWWSQTbj89?J>hd@uM0Z@)3JN>a%Afopf?FpQ&(Kog1C)D!G4u zAjRYQr*E0!J?6|u3x~dR&^xmw;Z~{Vqm^|6taaQTdOU!dNBh)X-l}aBqAaz?P0}|l zrMU*+Wd!5QbTzgwXoF+9r+k0ukK}{nG3JG-V{f}z=F603%vyd?t*~Q86tTfF6+itZ zo(O@mP=Eb>5W`{k0ADg?GmE^|wZ!D)22Wl{D}?dFpu6o3WRYjR6vH`RGz1c$8YA|l zZs@;!tLyGU|15YY`u}AY6&n z36L6)F+HjYk&GW+NJmXc4x)+gL>1p*CBmWSpFTm@+X}A$ZGxA zJgZSUl1j6}D}q6s8aI+KmzmlK1~Y1~H+D@4#hyLH@-M+V|o!~E-pTn!!*j5uXDF|??z8Sfcuez?UpxT#;-p4drhLB>f(NZ z=erT`#d>o;cSSu1k(TWNiTO=FV`5iQOgm%`a8<0jFw!B^G?)&TM5%E7$Sk)LMY;qH z%oUVW^{`9Y?=NYA!8q(u*``<7kMu=Yuo$e0<0!gkyTc$Ug8ZQ8k@5rW6=S*G;XO{< z3fo7%d68`H|B`BPQj_^55$NYDDztqUPXc_i#GW6#9=1(S);`m|Z>yT!!KtR-6B)^A z*75mR->ujtgg2Ej*ek!vR|?Zfipq(|mD@b>*HKHMAcChgAWLoNE&@4Q?k$ovZ#ZKyd_L>xuZ%EqYDcd@TpR1fE*D0HdiMonjBTJJT!IW`V?_Q35; zMa-7@Nwis6Q;sPcU@~|I%gV@@JW{tunE_m^5H)|}&Sb_k5q(XTtODtIfSK4za9+~% zFd3QmjXpK?%0lJI<}15Z#ha#%Z`|c?(Q9#-8yVI(SmQFQWN#4tg>fXrIQ-C!wB>Uj zCtT9b8eScfHoCDNo0#fhbQEuW5f9G%pDTJ9Qd+D!|cW)5E5 z?pP)KDj_{Jk+Obf0Rc6ih(Q2cC5f!v-K{FV*rcWRE+JeVa zO)!lnlQAjc&KASY`uB3`CNtYv_o*hXehmE9dHm+nfP6=-gXgHO?O!PV!$G>U_lMkn z{iU$nIPbgC*cMg~TVUr?WQS4y0isIHJrl!KV~Hn47L19h)uzV0?8$XqGg`MdDMJ%?QLbf*BOHay_Z=m+`3^&WYBgqxO{4J-Pk@P-j< zIGRD|C_UEH9ux9XFcb!M+(7vBik4KV%Et`{3nfwq&c)3On9+JV+_Uzb-(Asl6FF|2 zkr<8xIOV#o{S0%v7jSUp4C3Z^Z(~z2cRql^!lJ6Kb=D5YMbSQ?Kvq4E+XgS|qb8#? zYGNY1>l0clD<5sTC~kN)kni{FUpA*<=YxqR{2qR?O>Q9v1ke!ec-cv95kSGidgZ7( z5%M;#N)Viq#3Rwuft1MD$NKLMIOtaK^Ac{*Xa=0*NKV}kz6*99nxQM#kO@N7f|A%p z&$bYCT*Uj<7qTcw|JwTqJp*L|ETB(r!eF~QB?qIWt=EoM!yKYQ-H;KUSKAu9ZRKa^ zupq2bTp<<4Ge;?vGoEEn>LD^#8J)%(8W{_b9GP8z8>6E9$bh!NTPvvv!IMK8M!_`O zIqae(wk#@f22vqlpm-`(%P9hLQUcA76G(34!0M`uc88Qnd?5!OeCOd>dPFT{fw>Ph8AM+G1VEqN zi|aBIaZiIjz`|n}my`SnWkSE+_Vl-s^Z zM5z7{ex0mm$U^fa1LNklqzG&M;Y(blwfVz|#>&oXY_fL~oX1$n<>llKA1s$ii^;Kj zeW*r&-uau~=eQPQcyt9X$m=8+WUq($93!87O|iT#o}g~KJSUt>+x=2KO?9@`uKck_ zn&~G5_kPSe^OPpXyj++yN!vS>>(QxK<#WEp&cUnV*PJaTZfvpd!Q z)B1igQd%)m^?z4cpCrYgFnP}Hm;6_O*8z=;NuRFvfS`Qw8I{qDu)2gP6ejRkD|Zs- zVcu57gUnV*cp1@~Z*k{ee6dPwDa2FAPW9TU>KmQh{duW6vs1GOi9#8&<@|{=9nvgw zX`(jjmp`<=S>E4eCxHqhUCjBIf}XO!{qf6PBk`L5IRDA65Ig#er_;KZDwlnXjV{UWsD+NZ1D{KaO8Dqg~OtM2ao{%Gx~n^x@Oxud5n zgf3oj9Y$Z6(f`xlnZHB%{%w4et&&I|yEaLsqA>PdTB%T3hYw*i#=d56v1Ch|b(Ex1 zmM}y1N+`w*Sq6hqmLbMAwy{3%`942Cf53Ab-(SRWRLr>V`?{|4eV(sV*!gd-353=G zwrsk(GvZoP;4~b=;J)}h0*4luwZV$lybi7OumjH>xcBwdmn=0D&|<)-N&FW^Cu}A0 z*_tHqL_rJw@_`jUrJ-cZIHYM;|L0b#6 zwOc8-yU@pO3JnEw5g(~Thf`{bWu-C3AHhr;20Q_1<+1LZ)X06JsAwglSA;rCh#A9; zIx038!ZZf!rzkt^%zR?RgJhCv$>hn4Q)_ zy7eG{jU~gi3jwyZ7!bjSbvB1}-lW&dq}bep)J@uU7)}B@^?^|j+pFeZNi)lS+SUXEM!pA8Hv`#pMBQ5UT#B4oWn9G*LgHqf8{tXC>!meRrn&l%lCx9Mtz zq>q`!iRbaV-*k%)`T1pd&K0xP%2Kmx0sC)oHqB30qtI>V zJ=-Xw14ZY$7G|*LEy_76B9~?sYUXM%XXL8(p`clZHY1X)^GIgmm4FUa*>5qm-3hZ2 z4~I_Sb!ANV1y|))UE#h#?;r^Z&)<=F!cEJum<^b&aYHIyvCkVGcdv1<7hpfOK#)70 zrv%JZFdAp5OC&RGhfI7U==hDgkEOnMG%f*q zmK~HWIt#Li@>exszn?PJ7Ri3uYR6h1U(^2PY&noB$2g&z|Iob{PxB4_W6mxO7RGpMryuP4* zzEYGLOBqpZb8tZjtM0BYtF;>xdYN?ZakZ=!&=2gtRNfY8Aw=mPGMF+o+XEH#dgNv( z-IP*44-UFx`*wO?byLy!lzm?yy`^;xPcY_{pp23tg2KXq{psI6W9ah>ltH))oeF>V z=Wox0o}C^j&{8wN02vshAyJx_)}e*W4da9meOd z$rIGff@nQ5<9UF2!Oe3E35=2DwcT1daB_vU$#WUxv3_d+ICbiW17c{jiVWG^K)MEP z$15%^O8931plqu%bD4*+(D1qAupRKORw$pyJW0o64) zNP>R`@GJoAYzE^IkZPoV>{)wuYxJ=x&y-WQ3|8m9W_EbzK`hREtTu3K(@>? zhjcpM?+Z<&Q~%)y2@5^E;{xi#VbSeGimVHc3SGGja2T&JJi-#Qf5kULzgsoY|9Hai9UCtztNdu&-uY)Fe|~5P>;Ur z_+~pV{4Bb<2nNo`)&oapx1HOV3s7vX*z%<7iCbHkP$I|tRHIU{ZDKy&fyytDX;;{u zKg1?wpF>>3VTkX!9@{9{%|>-0*afOUYP{`c`aRaDxY@s%vEE;3*Ykm)RMXxOYRWS{ zH8*Mz4D0NZVw)>`7jp`$Q8U}J+`-I${n@8f=r7%!eN^iGu=0W? zK(#lizt7g}I!x=ynyC_{WL zb7$A;VGgmT)D?_c z_u$L{YyTt&+$G}AIYoUP2fPR4gm2-W@{SOw|0tv?)6aBLvW<<6**drqUt!(mT^p~4 ze=KV55~`EfC$!(upoN4mFn0B&WbHUOdF2$0Jw$p}1W6ToXwI;eewA>h3L*l^TE!?f zKD*iNb3>5DhJoX9%?#VyhOqkf2xOmo*WF~L-sF_+Z5{O{4D5oF<;7o~Ph8%Hrm_)TxaHMTMSk6t3-Rt}_fo;4)az!n;CrO|rs_M>HwEeW6*l=B zKZC*e9aV`B^(ced5jccMD?&A+u&xgaDAx^Vm6dReE-^dnWb=&EIz|_y+M2azL(Can z$&O_iIAwR9a>}xc&mWcsVpqwJCEALm%d(%Ea;QfLGSm+F=GMoF7nfvmByqJa117Lc zUyGygayuWJ(#CwO$4K8XD6fISBsw=SeF(YL0%+UP-yu;AN;`NP0MTlAcz!=)xt;$K z83Sc-j`2XjUYdJg2z$BVTHb)JHcV^ITi4GT2x$WdkRI{L{uc*J$7;vVr?TWn27E+= z#>G-sjFNa`s{Hdy+k&s=nto<8UWiO7nBT!R_{%CVUI2A7I*8v{7UmA|z~PF4eo_8t z0J4=vd?YWq9QY)+0~iDja0~}~mW!?0aES8XJ@^cA1nZ=%LYaB&kS;m{ z-lLc6V4jnHNtRHsD-hU?Z-nLu>uWlFZ)1w@?)Y@A9ryey?2Q&AoNN5*=uBqV$F2{_ zt>xKU5YE;c%bN8VGyu_OH3a%QDyeu_$TY2AHMDg7?S&IkdN6dwrB143g{1CGF zbxKH3qGBxtQiO?#tS9&2lmoV!Up!2fCVLLa4isw51nof#m9(5TfDy&iEp~#9UH?ti zWbz?~x^CIo`l7lqW>t$9i;RKZS_e=;nR;y~uL+*6-~sqU?)nl$F}{Pp#S_9&9O#6G z=W@52LzJKnAk<{}37d7LCdv((qMBRqm(5`lLqr=FM371>sp?r?TYJH60*GE>1=U z{U{oHv)A;5mSxZIf~jHImItTa0R)Ts$4fohY)VRs3@AiOY_k9;oy4 z$IlWQm-i+$iMZ)U-n45Y#Qr36TFpc%V6{$1K6JcXUk7*FgUQ`Q9xHrl!Tlzij~3e{ z&_&E_sHb*;ZpM7N^RU^{hUc%Y#I#nXvxHZq74bOsT{U(WZX)4d4Ox^mxapm22X4lP{q^Y) z^S2H(eradtQoJT}wM>ehzU6|vsnK;;vA0l*QC3=-M6fdcd|&-O@E#JzHYu&Dj zF?7imyB`l0)6DZPi*kL5XA~icnRr}Li5Td5{aCB@6fE^b4HX248_?EeJsbA9A`m-=7BOC=8h5b)$^hL0N~wC}0o7&6+^j^5J9!BoUs2NSI-@S)N0g$#qek zFFA~ss35Wbap#jXMLe5X{E;jTN0oUZJnta~4Y!uJ z{C|jdrhPhao`>jZK-yunp#ef+VOvB{@>f{tNd6~W1%Z{D)b$r*N8PU+_KEL8S{1*=CLaTByo?@WLa$u;DTw za){AD6zM)!>ymYACVFMiZ!`m(uMES1Bd7qV0A;T*uo&mtfg8FWl8U!UcaRyMmj{Er zAgH(Xz9a};FQ4)%|UXTZTXS%1vkR1 zxQa63_VxmiQ>PK}njj2`-a8es!;ZcfXct2h1NB`NT zGuXh>K2BZXEetszl(0)+uNMdJm3`m(AF5%E6;)Bn%UR!P9!=v53!T1K$#s8yZ)mV= z)>bPL_(E9frABU4C;L_DGRDczyt~UnT5Vp7UQF}% zyh5ejB-v9vw!)Mf{`_$W=-yGDfWsBv{ zE32mu4cY$*Ov}iKgHd^LzLo!jg_LzyLOB;cX>{1~Nh-|;zE?B%R<2|_`!5j)1fR>O zv3WbecH;-#`zM3=MqM7$MuW6|2xLire5oD!*LlMusUB%_n{GvQ?WLjCaQ)2!o-ie~ zK%o+0?-IzGcQP~-dE1v<@^y&HnadguQ4saTSqsugIgkXNUT+;_btK?lc$;08$AqHh+RYOl{(qLL1PRGx_PLYi$c(YQ*BP76Ys(r@%uHhQ!_7yc3_qlSUsbDQ18Ir$=-YZf zGg!;RB{s(Wc}BlK>Fwa(TYS#vjGCQJbijlpAalgW`=AU}M)qjyRtlvL%zRmE2^4;N z{ER%!(VB#73a*p>ys`0EY9wfo&1XU@rH_055Nn>H)zNB5gM%oM9uL5K-YP;dj?T4M z_NTmfQORTgjh_8V_@iJ)2vM*B);tjJ0-Iz4)}2vy5TwbYEXzCr2(kqC-j0*CZr&((sDE8^pbQrQGalLt^Iw z*PD)dkCGKGN+J-5eV(rQg};lQOnTHpwelF9J`DATb6_J*lMWE1ozE++CY-8k7W{fL zARQTd?hZvIX+?Wm@~E>~N%CkT6lBA0~OOh zGv_X=m9dmr%~P>1@8)s>nzU_wQT5H45k zVT5hO`+vi`E<0YuY+0@{9=B-P;_Et2n7qZld(K#qT_68Eejr1Tmg3s%-*P=8Y;AG5 zSn7=1WfAGx1Z{VB_ePkxR|+n;Vt);K44Ulgc_GIiT_1b4D<<_l>SuE6=J%K&h!urJ z)&JWMsLuf*HSbU!qqYo8!3skqwdZ$Odpo&(zP(DLCc2 zD0%WW+}-fX;Jf~54{eV=R;N40vElefenjyrMfPDtPg8SN{rhq7MrU%>)B1nt4m@K z^1|2Z!RY9Q#Wr+z-z?|so3Y90+Zi2`+EZs&g9Km1%PORkUkiB40kdM;!++dk7n(6M zL)KKGZlDvO9e8Of4P$m_+p3*22J=yFl4Gc@yrQD_p;E0EcH2sL1+weVt1TxIiR2I* ztWn6O7|q)w)`N!URJFr5C80NYbz)~y-9wDfm#un2-hK`#xW;z28E=C>xOm6m2>f$2l_~=Wb|Gfhl?0-@tlcap}zgS{{f*|26>MG-}%O0@tgQl%qBQADI8HPR!!OYfi}QUWT{n}C225{wW^=qTh- zdI_OdCG-FxfYh_%v)}96-`VH?`Ln$)Fc7$NXRW#B9CM5@iPqItJ4??&4}n0=sy}+5 z4}s9mQ$J3f1mDrhANU3SJMN{TZg2|x3qJMi4fy)B$0JiO2!zp^`a$zqxyTWGQ`TG6 z#QTZ6owuL$b6be3wYP_hySIy@&1GNP=U$HPZW1EmB4R?99lX6gXq}yPQAGs137U_ zMdAGEbyjBa8;|b2d32fQZC?0Y>;0E^=@ak1I&OXO-R1YG4AQ=%tJUKxZhlpJT~azn zQGFxzTYgLO=lH5y%o|gl`Ya771(C{i7D^AaA{V^nBgNa;VR6(?76vh*zI1;d{{0l; z_MgW>$64q9^HUwitp3{*kpF#x9rnLV@;8En{x=xFA^G26`2R5&Odl!jG&APu-k2&b z%HI9jxF>qFA$o(EekUdDPfD48I`CWVl+snh(GIcbJ3TqIafL|Bt*5U3C%7?!r zt0rQ$>q#M&D~@7+Z({C*UMTvGRotJ(AKw_2%6cAa+;4A;^Q{eW$RLGo*RD5g*E3FThfGG1c2T4jd*waT zV1i!=TVVafnZ^FWLDq?l`sYjyG70ySAYrJ|T27 z|3=c|s7gP|qT&9W`=P+Z?qJo-ecoN&-J`PopJmj=>IS#*yFFz+Dr_fe2hF=D4r$Uk z7|@xHzq8szI+!4te&nPUv{W|k+Gxi33s{-1l)Hr@7Q*il5c#n#spYp}I5t+5MXeiH%26c=(! zQ? z@2gGyw@jNJ7wUnFTY7?RivZz*;|W@BvQJRjy%E!T)vb1=QWVj7XSJFZvi6-x=h;!C z=j?0Ysegmxh+4u^%VZ1mPrHYbGu8=V2L#i!3WRaljLy-f&iXE)k>}Q}Tb4!{?t%Ro zx*{p-hue+Hdq?g^Tkc`}SeL&irz1ALoVuifbs+Lul@I!rrzvG&iW7gj{PN61W~m{z zdvF+r*xD(6Qnco!yzR9EpWHbce6$^={5NXKa}IAI>UwIeiS1+utoal27jx2nBJ*#{1_VwKc8D zO|6}^DCIap<00iUVaam(!oPTi+^Au_xcmsbY3s_WD$nI1@xOO1y5AyNH#Gh)>X~bV z!>9`?;axjUd$fm~+!cm2ae`xV^n2pJu9DO$vI?$o>2SaMh>LfBif&zTlB{R~g|^_7 z4?XuAkG7B2=42W#O>PKJZrJ#B|NXVpqYHoE(j^N@iE%Dinh;VDF9@|KGu7MRc!~NF z{wovl&a!*|yXSG@b~GLg*#DrhatJj9{{1QOGvTnFgv*IPj;DxPwryRzWMoY=kaYvXr&^-ATa#j+bHN$+MsAj$@9Eys@kjas1_ ztn+GbfB&|HG>IPciRN0A(L&m0#ee=Dex9mb#C|Rc5^jTjqmmo0Uwj1$uQU_M*DwAwTh#9;?<>odH|_>=cV}!WouHI=E5<(L#ns zN545p9`oWbLf}gqe?ONCpk<~%p;^@L?NV&;bGMizC$jbO;FpPD(qmuEVuQz|qW+uI zD}$>QimM4Eo_4}O(~Yh!gD54Mcy54^4&`8pWQNIylYCk?Pg&8z&YHHbuEF!<-NeTt|u61 zCyJNw-8%bnot+E92w@!-#@po5K*^k4mwkGFNwrH!gBw!+s)uQC=CQ&1%ZED z7J$s?6nI>4u>|k5$V6lSAs?Dv^}HstQ)aJd=^^1Y>e>O1Sgnz~%$}XpND15(IocR08Nu`Sc^@}6{>=pBFL#w+tmR@X%6J8P{)lm&2n-fG)IU~l zAXtAnqASWuDO^W@$pC@hTtkG+1|3)y=m%ItpcsGc9=5a0|9<{QH^Sluvo6vo$M_>o zso{Kt1p> zQELG4+p+OaQCcCX#Fy?>qn$jDBGT~h8LORK%Lq$!LbZY~X6~2M<8C;~XK7!&(A1$X z#m>-o-?xmQ5fax@O<3agH!;3fltbA&Sf(*MK|31Xl1luopu6U&!1c0g)>~e+aZ>qm z_K^L`{mhKe#vE?W6AIl@32s#xb3&mhWaPxfktlF%xGirmzyGqhy$90M4|x-?HeBZ< zrcqu62g1zs;CQKj(Y>*#fKyt?L|73iy-FKWdz-=J3o`7RJUv?30bU*31^PEf|FJsq zNA4Xcfpu8aFryP>&EGlk_BbygEl^>;PIbCCL^SC!-JBL-*F&~L!61(iU5Qg7laWYa zYGrEbxOP{j+I#$1`Q#g>>)KNdd#kh%g}vo+|Ha~>xymygs4I%63?#j16TI}nhBkve z{(6?KzH;pQl)K9Q0fMkJyvvnmztei0E#`t zt|ih)>~a;VL`qo61Hp1GHTo3hWJzl>uAAusvq129l!0KHe=a4NvEQk8_I_OhFSsa+ zOoLHw9^;lv(1iaGFa1gS%pG&=a&r6c`-%_w)R4=?q2b7 zVefT-+~tUhS?qQ2wxWIE3Ie8LbY);$yD0JaPwE<6o}U)${+35r;&1-P`D2KYwQ9Q$ z^RHfdId?79ds@w%0dXqk#F(WI#7pO*=my_mhnL!#JY*jf=>Hf)Z*On+69aYDuwI>C zEbFqd@%h(J$b28TlV>1ko1qsq9+c^Rw)?XYf=)qUM{(Sv$J2u$Ma29Vq_nj3nZ=o ze+iZ`PVG)9alqf}T0eFA9pg4HAOGXods9C{zR$ly6 zItDqfg1mYiDr1qZo&itTPzZ-t6-k15Ri*Y1+>j{o&d;qV) zO@=sRR>q80JKiO@#258CJe%>&#)V@?oJU72-LmI7V%>VN7YeekcqXdN<_+7gG{)Ck zl;w}E6jt7V_{=^eIH656NdadEBB7*a|8cxV#0$e#*aIA=Op5pZ;-!l+3)M;#rKz^g zq+7;cDk{92TFnWD0Bwzoa6qQAgI1dX-lKseC?EPeC3u1N`qd|Y`&EpKTiray)>3^R z*?WlaqapfOxY32`$eg8*R2f;uKL1TGVpa`-R0mQPa~nukx!6Si$*2M7E>Q1pTLToF(VPbTq}0b z!YUeUM+DM#xtDl^ah&mik> zsbx0Kzb?(@TO363oWnqcy{Zb&G`^;f!_5jkt(kLu6EbLWsFM4(##pDQ|5-hphmorY zIsj9OoUi0YaLy}y&Iqa7X7(v>3_Xw^BoNBQQs%St1(Wmz$WPsBP5`8;44E3iID;bH zt^o1Q#xO3Ypw3WQrj9m8a6M}kZPP-JV}C$qRa@ot5B=ZkOMw8ULB^FA;X?{Jx1F0(rY z&U>+YaCJ5@o@>^D6aMh=BQ!w6304QAyv$aE6S7FQpP6W4!`?+C%65AE@qcHiwpF}X7D{QpMeQH-#XH; zwM(!`U7;bjmTsBv{nL4JrTq27FP|U4cA9!@yNnpxq&f4@T z{(G*6TF3uInXouhh|4{hAG^h@+L%xT{vFQgk`VNpLr9kK!?V?n>INES9hyAIr|C4N zOb^k_7cC`*Im0{pxr+OCEe()7wtK~#8-d=bqOt7=vs$O2$Lofug+mnG|&1-l}${H+-y>gg67d6Rz)m zkr5&(T5W}vXfT_TKU#sZT@h_XpqR!b-wY2@0*jZFh*yoAdz|Ij8T4Jr1qB5k z2obn8j8@9p-_tx1E$_eEF!MRZN(Ca<`%%z$z2D!g90$9D>3$Ks40^Y+2(Fxa@yN{xmz^t`K8AlEp zvm{6H$Hh((UsfvZIK>q!dEioiJv0^gG_nl8M+4ZSp$ z)c$+9D&I_`2>v1O5txCn53NuT2!?97aaXxz1`4@ z&{xry(2V7;5UQ0>q#Y}TJ@zTUT{2b}zP40TABo@V6&B*Fh8nB@dJ6GCg`>q|eQU?H zNqCzs61w{!lo#(L)!A; zO0Jp-qvGz&)q&TgXU_D4c`#yk+Q2?)L2K)45d@UuJ~h?>=tM`?47V+M6sj ziOh0K@%YS@=UIz&s<&LtB0abo_s09BSr7F6>SY!gqDRZQFbHxV2hQgA7AH7kf$35 zl1w7SRMWBKl4_1~QI5;rSB$JLIR_#92|Xuccq-e^UeNkjBt9xWXl}jY4sVZNx{S^4 zW+Iz~p))fquDRY3DCu8P?=4(iMti5Xg*qR}9f_lPJ-mcBH~?NP<;}d4_lHSxU!^R< zEpL8X_TkiA;6PuL=gPbpuJiPG-J2Gr*UBACXsEFn@d0Vc#20BM(k=WOhen}LcE=8K zqj-L<256-gUE%Y*c74wHO~$bf9Y{y0=%!K+nnt2(i%jgMg-|2&Ns%@`+cs!NRM^8` z@^hq>x=nEXWf(fX9XmLc&xpIr)6Sua9ULAcEzo|hY$vHY-+8ONe~})dNU{=p#7L|N z)n8+!kJSA2`Fz2S?Ng-DEhZasTanjCqFw?d6czn>)4hyvzkIkuXtZ~it9;tIR{RpQ zRHN*wVY$bWpOpA$U1h%28`BZI;E%Jf1F~64S&%C70tfw-l4uj=M$p-VR8aomBJ>T6 zOL{s;kz`Gf77!kooe`iB-c@*=)=q5QC1W?RG8vs8eq`WEd;%4C>`lS>2*nCyl0fe4 zOp4b^WG*=ckN#uhvR4OZT!C%s>pElR(mz57v+9{uphLc8jG7uKe&Xmhz|l>Dlsk_* zVf2l*QyMl4sy(KUNz2Ieqdm{v+^@NpxBd$&_c_D1y}e!Cgj`3yjVU)eU~AlIeI`Zn zmY=5t((T?u^ClWDLT&r*{;lT3Z{q)5Bs&ArsF4Jw<5&&L`($N|Nb#OCwX+zHP>_B) zo;REwYAoEi$f0pYXtdM_0j`&Z(Q5y!%4UYyX~p(K?`NUP#0%x@O6LLulYY`vS^4;6 z8&}4CR!t+LhKpdAq~VE0K51T`d5$|}*$z5j_#PU&!byYVwjp1rN`8A|=tK6zP9^xm z{0`V&ppi$sf1Sm)MOg}_OuT3D zbaN$(Fdp|O+ZZYYZJzacV_PTbB}W#Tm-RmAjHMWtvDTj?|Af3Z`JJnK<75BA)L&*Z zDT&2EFiB|3GK_bA?i|E#%#+UIa3f_F#=B>du8esD_={h~O_T3Uh~{N%%K4n~UK-yq zW+Ex*nwn@YVi!%SX^-PU{Dce!x^nlA8vG@p`Unn(f5>m9BBVJnp`HY>IJSg5HQq1z z?6%9qI=VjgBEimMXB4)VkP&{!*_YB2=a0L zSL(!}#sG5B0WoL=#(bFm9dxD_;68@ihtBb;Mv3>LcOV-G_$h0=orLl$dOYn#6@Q055cV~%zESz5>Qf=PcW5(|zJO@^M z_wK%Vk$flL-x&RQnuo*puCeP1LIBmD$ymqA5mvUo)S=dN*b&nZnN49a}M16aSaX4M`v)b0oynm&7vz(+`NQ(7R=eY4mZ`MY`=)@**%7^Qw;NAu+b zIPO0t@(R)&o{LX?WVtQy=E<3A=p)QZ>bs1|{tY{{0ZXpoZD=H>tfH$VNd$_5>5FN7 zFBsH=nCi@Bb7W+%l&NspqU*7gAb~ks=1td5;3_H8h^WIfcmwoRW$?-FU5D9%jw5=v$Vz2Nmx}GP|L4K$xcHb@= z49L#FEgpQ+{3CSFZ*@#gk^?STwg~@*`KLQMCB>7NAwPn+)mf5bfzsCYaE>O|mM>zg{gsy_3CclT zL$22VUY;kJ3nrcW;G|#W5F%@A{M+QUpk3LVcwiltq%Dxx;VP5N(J!kn?0lkwr)l9T zlCftWRoPmt7pk+@sTCV=^}5?}-MH`aq(wKBNU!*u%ppE7-M8@5f4l%IV!gL^R#d%OjBAwsD+R;u5d;$#@c6m)muKz#NdLGD5A0QP8oBW8$+>% z5A*vG`>xJ9jxllrlSG5_qZcuICQ7kaPI8?4h#qXU5vSz1ZHdDg^InMB*(78*2CmH3 z%an0(bhAjrJBRpmmASoeP&WMZ9Hvqh<+i-MvSRJ*e1?;g6Y@5C{!xMcz5L!LAYRcx zswPA4DD4e*+rfT^bawKMms%Cjgmwj#0;)){*~GW)N6sBTk@jlT73Og_HVq98^)I5L zqA>Y-(?h-SRXL@tX27e1fDE`oWj74sN@NG=0(kO|nYSj<^RO81BL;PnmHO$P!^e+r zJTOjw6z95r#hA$%?W)B0#G!;m0s5V2NMT{<}nt?(W9)RBf4;n{|(ay8)kU%W(1~Z4SaGdkL;$dIA}!(Ibd*HY2LYyqfX% zIlLJ|fi6O`tD5s#ED0rZ**%rBc5QWXg=+*1tMeEFZz8-6;1QdMSrZzDgLE7(g*L+u zUjdDA7MDN^u~?5Wo&sb&#BO~aKq%Lr#j*rvl^G&d1O+kyK$G&PH?y*`Kwgy*4G@Oq zDIFmDI>jK4v%-P2m#FdB@juGWL*#?SjbgW!Kp~HQ8x*?q6+dd=gc+=PSle5f?i}ANCU){RwbjeifFujV(W^_>4$W#*UR}>?qv2z1O!y(JdpC3(d$E zIdK~-$?0_il`^0yy?FIN-miCD8Jdp|{8UpexS)nwId`5TDeXwawvD7PzscF7+qKDT`wV=v_#-jb9(zw$-%Fc9iHxM?x~$=3~i{XNfVlO zc6K&Zz)m0{TS}QnfanRCTCF9ufj*H20tmG`=P$O@5Z33VVkVen+{PdfvxeYHI*Jqn z!C@ot?!Kge2Byvh(D{NZIA3QB6uKR#2($-4+odOfT-@68e_+x#Xft!QxHX4|L|R%5 zMA%XixMoDljd|Y z-E)>&X6^JZLdoW!Ur{$Jxg|7rIul<8Ij1-H@(G zl=2+So9&ZC4~zG*A-su^3z)2`X%?B|L-E-uUC#4lj?Y#J z+qb4qMxc_ad2q9y7w7G}GMoGQ^yRf@@p48D?ii^5f`%nMgi0Cz6&SD3kuQ=L+>gm5 z7WUut_4U=~?aEQ6vfsaXdvs|8qK?}+Kh)p?{ll`t%jT(`jRb7&-)6{*ok|%&J^x%T zX8i1={v-l~-|g5^dXpH4)&R3`gx(EjhH_|bYOt2-ix{quHCu%$r~?fCOr<>-fj=e| z5djM>axi|37;~VI3qYe zWXyvgv$q-nVd@BGzWnWWCppX|>~`9-46WW49^rMYUO#C&aqW{8fiF_92g4|SMww(d zF4os6o(0R}6!H{aBt;(bccg}{&$K?fVsGbz*>F~ADk$L3l=q>Bto5ZdFn24EHPdCX zL{&+&mE{;rQfnq?f$THl1BJwDv$3zez0Oys1--~Y$h<<~W$FGFaPt4?Bzu>?FK!_( zMZ;J<+Fl?qQqUg!*OFnR6e@|>OJa>}^X8jdJEEB)2}Ad@i-%dA<)fAc{xNr+78N!1_}eXJ?C4- z1BoO5Hv8p&Z8=^E8Up#FEWe3pP8m0=kPvRjm)hElIe(xCMyk+cWMnv& zW(LLUj4$FNWs~9gk%oJ!4zBUGIN9!W&zvt=;GA{JMT_8rkvzx557P+l7MapzG<(d5 zOP>uhvV|6Jwvg93#9k`wm5_6t#j)?nd-C1#3D}9bnkr}1DR}S6Ioxi!CD2E;jn)A- z(m{K_onhl(aZwOL)jGbx5)@xw&-TBXWl2&!wDS~sT%Zqi2aC@DA~r|i=1xu5eW5F# zEf{ud4T=rE-VP8NE+qdzFUtJ|P3M4!#3!EXRxflxI!n73?D?boSnoJ-{&ep+M%=!} zbl$P6TM>-q%#Q>ECFkU@*NhQ(O`(#El0l95=!uF4ER#68kZ~*Bi0_?IH|*wWrk^OZ z?il28GK^ie%0b|rjLOqFY2**7daT41E?8#7m{itGu|=7E!FMQPbH-Qi0yp%>6HU{!q?W68q6EW#YH`?h;lD%42kzw^dAjFP!EA6?+Cm&2z z14SLk*S?B)`qBV~yr?Mp4ItkFnR8Gs@>$$AK~AakbzrXUT|jsZ!UNyW@4{YtAY*xY z&t6q5L+LdnL@ygWYI^`6J<)VEelw#P^#jSMAF12s5lo<4mF1ZC5fhxIZwHMmM3TMxQ* zI7|W^!|6ok5xBA6On)iBfGe^m|1Vxzxy5153B#a9FvVQe5lA#R26z1|rEA_9krt^b z93?Iw-4>7dZDF2biZBz7bE-*TeeUBLf2j(#g?eTfmAWT zI{s=n;bH!}-Fwa!9T$0L+lg5jFd}-9;~ZBUj_&Q)tg*4NMEf)l-G!o@xHGkjOe`&R z#OFW~aRBt<>2kaBw9>W3LJPqppi1P#k55kGK=X9aV=_DH-pCDj&6}Y+XdF+wlU4tW z*5+<&>74jvcpK}|Pb`#@IdT*i^ zfm?vSSshx0YHNr`yG=OV8|;hSH&eXeoek0-^qS@V3>&(#GI@||FOSuy>j`jUQT;9@ z#`W|N55OZTY>#=FI#vK(-##aV6|%N7n^2VM6?o}0i`gVOaNhq?wz%lCtJqDiQb6Rj z+N?K){I%DRgQ-Y3l`o$06A&b5Y9~qdFCNW)Ff20kAq!`%YEwWMt=l^ff+IC+ZF9B`A905P36xS9Us^F(M;7ls7HU4 zxmSwaJkC&|O@2yHx5mxz8SOuV(J|U^b)vkkaUk3?zJ|^wK>oFh2g+c-K9ZsM!BV@HOmcCajf@6J1lkRbgJoPu=|f2BzY1N z`7=z*F?{cCcehF;-_DB^vXd){6&6M~>De6h6jsJPv!)kz z+LZ6ncXaABN-@Kfpu?P`3}bCI3vuYr?Mldkrj8Bn@f7^Ul7az&MD?#?IUuLhWOymy zohae$lIHz{!NESR@?YGz$0@#9XUkZOy7Qs{?#Iyyy2UaPU5bH#+EW{)iCFkdDdrE@mFN9>&}4>W%`!9SJ)M( z{c;&l^li`P(>)Nu8sM{c56A}6<_q?sIgO7E=`7}*|`R%M-X1y9~IF+ARZI6yGArRSPz2(6Gv-7Glomqy!*0qEh~sWSA0Abqr*x81mF z-ixT=7JPxZIm|RB_Q2XMa7&ArXChR%yu6=z>;-yXkPkM7z_KGw<@XE?4L!o0JNc5& z;OFn>7h5pUvsv2LYrc3%yhdZ|vK4sl?v@U_*o1{CBVPg-x4gP4u>A`dM!`XtAO~(J zq-TV?dVT|rl~2CF6Sc_e@np;kB`qzz<~q6MTD?6HL<7m$`F`DFp|8L@~OkM$W*6&gyhxNF7$jA7CF#|4;;XBe% ztevUV>b#X0F6N^yL@tVlR$R$MH3MpTvt?*Yp*>^JfAFIIT?-KnVK~;bMG4q{N>Q?~ z3OTjf+FFR+3;Up;J6ZN2A&Sv1@mjOwJ6YNST}DPmU$F}Sxv3v5dCQwB+=2Pjh=0Li z(|0Ag&Y{uhck$!nsq5&O>T9}CV=IOglwi3T#ob4mIAK{uc!qLvK|R=&c()R}CWeRD9tV$0FT zhXuGm?Vu=K$7+dPvlY{?1 z%CIkVGCWO650)B{@w*hjsoQ?P0P`Ks&2Ta;GIpf|bN=q!xpuOIHq@MWE9o(-J)+vAH~|8mBnDv~m+*fuGK6yyk9@Ru6jx}+-wh{F zC_cetnJ}-Py5?nse)~;dt9Zy(2GA>9N*8I?#=N=1*5PFJ$Fpe}ja}cU*Is-aw)@>cXXI=I+ zW^I1KI6nwvwPDX~f3)%7sUSwx_!$o(*%|s4KY~cM&boeiJO0g5Mow{3BgkzSBo73t z8bRz`Vi~n=+%!QR|7bda;l?)NHeQIgS7S$T^k+sU>P-Aqzv+1M1h_k;K5|Lirqp;5 zzkoP7aQo~R|GHV^0`Xt)EkzbidgFiTj@w=1A?3ygD6zdP45Wd@p37w)qsUv4UP z(J02*6f03{!@pjf61*UI&lekl#qhxDAZLFS4I*!oqx#az(_=!h4{ToCxXiZ9QKsG8 z2}^TPSACZp*vID?_amDq-QG=^ehR}5Ka9iU$xcGGZ5e$OL-TOM%Z_k=?DUd7bL28x zZuk@H=TzfRt{m67b>N2;YMoqNUgi@Q1I8BLsw>IO8MJ)zzRQ7|&cJeO%~&epBC&1Gb4-2YImcKjjQq~o!tV?51SG!-j) zZv0XY27ZQ)&9$?dKxE^vjPDxLyl=FE&Aom4@{_^w}l^{2E&fDcQbe*{$&q7oc-I`fhW}Ke_FuZS zPIv#8$E)1CbZ%|owB>Y$O3(UiD$2`gt?bf9#>YEtG%?A~D-4!iD)D*~ZN1721RbhI zhwnGWT!lBDe0$n9<}3Sb@g*$Ae5&wrf_OvlJfXCs;=8ybH}9d;Io|3^wI%49-jc@y zi=6=m;srmpflbDfRKGn#wPA**;ZegbGi`8AM}}7!?AUvXMLm==7QH_hS?gXuD0I7uDWx2%w2DM=Sn5Hfp)4Hdkh_JBjiw3Gb zoqC>>(XfyK>9`mjvXPjhDcC%Bm4B&y(18Gq65fA=k8OR22i&a$R&5xG`|{ zTIY?f%Hhd?fU~D$LJZ=TMhv=XCo-_Pd9!`!4U2(af!LcZUQFW(vx?u8^u9PX!p!|B zr>MHt;NT#nxM}nGJPZ3N1EJ0k7>GH~AM51t@X7{67O>kV*hm=Wn-bu2d8j$L={ussMEw-4Cket(+56 z;dnD)J@KUg5Nkr}`>R%BP5uf>Z`b9LKjzY?|XX zw`kF&1cX*>u=BE#a83o(Y1y@LvfCyYIEjJnyFWlJ{{o2pa#;&Z=tIQ%;bG|4wlXA= zzuh6f_inv~&T1RL;Gl6@P^c3~=g#rSTqYlEPbNs%cToo=j*GQ#pvY}Aqid%CwD*#7}}UX?Gqs$!2I8lQ2@&JbQJGN$o`i5k+g_qL-6II$Yg~l zG8E8}QS=m50k;M!&zyPg3UEYj1`VzF>H$CS&OkvHA->VCBmZB2!QbBDhS2=`5>!WU z>a+bnz>fg2^710z)p~YdQObmR@peXrKJXC#z+prbk|s;HVFHVBgJ%tz3e505~8`9U=|;NrP8a1L6(O+*`j4N$6z zG6rBqh)j(Bmc|ipB)#R69v63a+SFFMB>{B=zQwCsG396D!tRVh?{AQka)(_6E?6Lq z5>t!Th(iJAgd63Z2 zvP2W&{X+VJn%x+c#qb3O?jn$ z*eH|<^!P##73+;%B z1#eL8vR<)=3mM5krz>7#>96G_KMAq2EhK*Xs)+D0+dFr;HT~;2Xk(+P8qaYqq&HUl z$j8Bz4AHDu}(K-C3vu1fj zu`CYJlEujlke#|t9mH8&!}fm8c)*d+9S}!utpvB2-Va=0z%V65^HE^vzbs$WDUQGF zXj|%uCRVT+!h@hZmMd)S9Xz{|rCnsIeT#f#TUxGpaPgkC%J(gH|U=CensC`-V3g`ppWG$e>{5uSO!J& zmnI}E_yvN7giF7C@n9b~u5+2zrEsT_)jqvNtpo^wcD zj%0aooF6azp=qO}atm-p-`?r(f3U|~K3JZ`em~U2)i=*}a8u?=U@7niOZo06sMg2X%BGz%xu z@ae>XGb+eH6%22ueZuTv3H9fA59ZbWo{)dJjh&A__&k{(?d|QEGRT`_BVLLZ03TD( z$9VC%yFB?Nj2j%58hYGJuBz)7%pYSc(|@U(2TEOFfjyMcmZYa)k=DEH=vXq})urz) z7?YP#o_$@Q&*tgU($dbL`+?DZGw=SrEk)s|LQZDEul_inbeUAw+ zZ!rh$_HuP|vk3^0t62uM*g7EK5)~amroKlr4NXjF^MCzoiFFJHbfzP19`G>tI`GN( zA}2fbUClD!4{5(mi`9dyc+)!7;##~;BpWexG7EUlT>K_OWG1#MtNxC0gbypO{Yum+ zeD8wJ_V>O=*s!WIBC80!p;v<2+P`lOSbETYVq^Ei;{tCX^Ra*l?IH=8;;gM`w=snT zY#B~Vq7(Mf`jlYPAB)6mi|nc4S~Bw9*K{Uv=ij%dh|V(Q>>LjVY8N(psh+W8uq652 zz$cH)YvVVLySW{TF}xsX66^aHfII$Kh6#r>8W3cIJ^-5lH?^|`nFG>92pK2*J0dry zVe|j?1$-;*rn+$SAKua}AJ!cFl=@NA8uUL89!tpMI_8|B^<&cnY_Ik{?jm?68lEY{ zhO6DGtkk*H0ENJ6icL^(BRPSTK55ezF)M2(&^wOE{823SSUKZ$?aZc^j7q6BBcQo1 zt;@i=;cn|Hu!)uL2#bmqRr-M;JbTUEHfLzD0aY~^^GUPPGGCBl+M+BG07U&EnE-8b zwzsDPN2yqHOt@<7{Roxx1HfWq<9T`pkerlqGzHR<8ZF9-(B)Fgc8~okO-8pQUm%2#{&^b99e#itOedpcp?E%*y5JMWig-cKF3$m-^&cada-x}#tl6ct_2f4RIb!Er0~Yyp9W!`*^5s3KC75#6%%N>sTyzo`0qElA zIlwe~f_`x`dno}$0jCytH5Y2-*+9H89a061vVgM&?9S0&!4B=M)-t+>?Tykv8g~(m zF<>^5mh+)PQSyY%Q@x6dZd_|eyW=Kqx&$}oRRs(49(+LXQ*HbMkZ~83lma&R0F4q+5nT{)@-ImURH1`Y-=YQp{{!+M z&zzsH?>WBL{d69ftGH(-yD6SiQAtXDQC=~+@UX%6uFT`iA3#5BDkqGDkCV0~G-g3I z-fjQx!6$$&F%YDC#Yqf5=<)oD1Pt!QY8r`mO;JAdToi_>!sCq^u^W+R*xtXfI+A)V zo!nAlgczoPnXey@J3e_j@!KESEXWHq_3x~L5u}S>sRH!Iu#0r!S|b>NpbmlUR(}2Z z0$?jJWkv%5!g#~xC8mGY6%!rxUR8~{0#9(kQXOcEyEMvZyYQ>4Zk5d~XZfe>N^1q^ zI1_N{W{!@+T94^Ejyo3{w6t3uK8G|_h3!?%iXP60_Ca->CEpU(2EPXem)YnteOc$} zx#*Ia`({LGfzjD| zeUTKsVuL=crMY?MpdO%xqAxa1b2iZUjr$l^Ua|YjqZIrP!8Es^24u+o1-@IQcCG{y z11mezyr;5npMIOGK_T{>JAR(~RnRJlN^wQ_q#Rg3t$0*ynBgjw(OI*;2*S-mqd?zI zFX2)jOaTd%!2=BaEr4iSDwco*^FX`b1Hhn}X`46pI$06sg}2c#k=IaU9Fp0JW}WNo z)S}8pN+9Xw1E#iP3D7|f(it}!d_gkFie0(w90aHkIH%0}JEXZe0noR2f*zq%*ork4 zFkp#E!LF`nfq^Hf2yA{a-{|V9$kz6DqS{@M+o_F>w*-@%IYB2!DXVnJDcUv%aFEYm z4lXZNG_?7&HBRo;PsRccb{belkBOC6I6nezDo4uPNC8{)1aC06#=4{IsiTds^h?c-k+Y;KlkpIbQFMrsa_~*`S8Ol9Nr#7KZLA)bwju7RVBA`Y1B+ zzJqKu6}fd-Q^k*+%UHk=08c;PTVS<+&JREKVn!_a<`YSpr<&D;tN#c(&0)mBEDDwM zd*HX?pS|uM{4u^F#u^^L4S#52YO2mS;4MY`Cb9IfpCXg2(%*Ty66x0Lxi2_@AI~YM zmMq54&Dmi^phoZm0t|lu#C$%zpSNu|W;;@$R>|z~$9#Nzq?p8+NeWv-XxO}Ot-7}* z5He2xX7`#{@kKW@WRJLEpkPE@{S}p?=dK0rMXDUAOb<#U}5@MvreQ?tS{*Y!HrEOIV)}oWQtR3HVC2HQ=3c@lFNK+)RX4k2v<1 zNRhQbA&neQAYK=31aX~FqE`Bc3idt|e_CU-B{OrQC zu|!_KV3ALFN^@Ok)JsmH5}|7DoPqGL zmR?Nl(NL`vaPHSSepyGlwcAI*v;PW|JKw5j$Pw;CkRPZJTzp7ZMjNi_(0j3T<}vbp zx>!83Ue4R9`w3Z^*>}}>Y*vG-T-DE zX}(m+cvt)qg^zD^MH&prqbsbxf+_w{x7xE*Cz~BXeo&WyCHB^;BxZ?EWO#uY+k$w97F5uD)E$M7=V)X`_YtN)HiE^LrT>gfTgBcB-zBZL^T)*F|V^3LC4ALP5DNJYCK zIyY>^($Rpt*8CwyIUEt0hC(iT35geoR4;BY{NkYrROodX_da7}Y{?I;ms(9a$cqY( z$LJSxdAuBq!*^7oF0DBpaGr~z3bTU_!a+D+$-){aQb1$v=g-H!U0^7!HH>QJceV#L z1C$hLHYyw-*n=r?5Vz}DN+I%?dJHC#Itk$T%+ir%5(tOGlcu4o{GtJ;!%eyNM@FEP zA|MH{_9KU@fLnz_S$J{rri#Wq;FQHbi(2)PvXAFYeKC`~Dx@B8Y4Ngzs+k2Fu4sAIvoBH5AXKY{& z;5nxQY;L@An^`C{tG7@CGuyeGV7{#TB2!!H|3lYXM@79y-NQpFN~myADX~zH?nVrh zMvzXWgrSEnQB+g}E+QR+C?HbOT`C|kGxX4)#E7&*4f&q&-utZQS?m4H9~T!F7Bk;Z zoOAZxXP<3&le3T6&Hq3GxG?k4|BDWELgZ|p5e5FFnE$&7O8oCj5&$O}XSu=-pNTif zOL(O^7SqpnQvFSieqx-mK*alt9eqbsJ7TN1dtTlQ_vy^wWDZxew~7T67_V{YJN`lhYGsK9vffo=ZmT;v>hOCtr2y35_-Z8ZD&+nTu==pW zgUvza>L(iujZ@N0cJzi7t{JMG&+D`FO~+a#l+CNmux4M^EjWP6(XE9?Xe;xu{;MmQ zV7&Y?&fJ<^KFU83y2aLp$o4CsYOtr{z@^H?>sxWtn|BfAEh%rM9ZeWx20-wsfB5xeN9UX1ebN2e$O~`wuxrytSn( z@nhN_W$D5k@v7kAQ|VJUT}k>IqV}Cayq?)7yU(-FC~+eX#6?$|_KR?f-g0#IFD<(meUq`amUeA5CPpE}hE&!D^S2WXW&iU(Xc5rb6W^AnLGe zKk^`fjH5VsbchRq2*hm$GJ#>xzTi{Z2bG8acI9zjD)pz>`G`i!dv>lAEKR|-8Ts0C zjM-)2>$1B%R7*iv%n`14Z;y>~^e${7iX*t{2>mzFgqIDMwv(H>o`ES0JxE}34q*S8 z?riU*f4|bWuo==%fv{Qcc{C*3-4pG91~^(O!JTz~g`>^g^TA|L-G2qNL|{-bq)>Q| z8mA5$J)gK-&OK|uhHlRLGXlE=hi zAQS;ReR34q2y}Wy{ov?pUz=%5p<j;k`>sLrrjU0V2Tq?qLxGUr-yjd$|Ex^VUgM$ zpPQw9*ImA6rJ5w-&`L}Q-|e~V-k_%C<_OBNdSsQ@ODE0W*)t238`7;np5!w}*dDUO zmjkX?HrLkDLaPPIyky#sy#m$mm$8J{fIo1j41MeW_J$E4i)k?q?gl<6uZW0D94L;9 zoy4pqERjxp2idF__ROqrI2dO)zZT3cect_B<>WK~$lJ%%Ggsw*2^JPDIj&ms=bC)( zYyZeanQ|rdl7O{}dWu~s&np4D0|(MwL(2$5dk(Rv2y2_uV!?)E0}gX|lKlw0+vYb= zK0?!^EkPl8*SFXoDd`y*&T43qFS7x$1fB=~uc_5jupip^ECl1-K^cW8NmvBPlWf(4 zurpYKcr$dD(qqVHh};hQ^sca?IqKvCj&)yoY{9)+MYiqq znGv$FR_X*P)prqTc@(jg+pv9QcL=>_TpgCsojPE#ej~ji|p)l!38 zpWCHbB~0u7oUrn3$@jq)0rGs~BrnaP1GdnD)di6Oxp6T^WkP^OC|R==rLbXCUGPih zv-J>@f4`fPtoO6aA1cB(67kHHiOg4b)WhYvdmQ;YXglSVL6*={r>spCu}&xgR3nFv zy7iBWi0p>}$~nyiDCnzPa>D~y=uBcv$55vmIGH<+AJP1)HR=74%F}wCmDXNp{Gri= zE%h@Cyrr{MJ*}q(;X2~_K(e5bZ~dxxv%(u;TyUHvH`EFI_B5*2)H$I!C}o(M`b{s+rvWzE;Qwn$NTfKbCIg^OoS{ zkg~iV=#z)U6`eftw2yFx6n%!=4KlI6I6;ZPrpg_foEP}a!ZZa9 zWyTM!1?jL6v)3nE-VP+8X+0<BWDJUxvl%BB#Gpr zk|IWl)*EM=MWCe0;|YW|qVe1rQ!%Y5mGe$cPVbALAWV_>6TK)mC7&~xaqM2F(gbAi zwyZz3vO|G8vU$tN7{;43JmxbI0mr~LX?b%eQRhA-B+<`c!%%ZRO|W$`jwR4*cZJxF7x4e0~_oMZ5kPGKrSWhXGX$>}5381hENTy1 zmHWL-<|=wtGw$k-W1^)3yQaQuk1BA8OV!Wl3V70XVo0#$fT|=wyHM$2Yvp$jNP8#O z=6W5pJjWrcf}FjY2P&XBlb#N4mj~qfu|@t&q_WhXWDNepiZF#{zF*b(26kTV_8gZd zT74H2D2&zl9AvHpXANX0fybWtRH;^=p6pRS1z3%;j+HuN@vDY?dZVwUBdCWv{8E)Zfpoa^$v5KugD6 zzQ6vVU&<2Wu2+ZVdc|bE1)rsX6ME!McnN_Dk$IW1XLH5aW6$HE#>z2|qwP)l1(>Gs z5|o(L;VbXu2{CKl+IO^S9Z3sm~&m)&K{Uo^|jtJ{C4 zwEYeda*NCFf~_yXuV2CJ%jt}M{i)13#xotPjx^Mm)5kkrJJ?bM7fkeb5&_mRo}Oz? zj|<=oz2*~C)`#qW0{yB$8OiUid_geAp?Z%{ud=xd{0%HifpT_lTkw}kJ84>jgh^k| zF)6kPkXaQ;u`!H#k2oXjY$+Tx3AH7-SNho%k2dB$O-TL`uYeoU-eG3zF4CuhrF8gU zD0TZ?{^r;gBvK7_Pgiz(5H{)yLYlpj4p5Xrhr`9N}dYwKkt#!?hmIDa-ytatzSsnl=~ z<4Kwa|GxC_1e3y=jz<*UcL$*H7j_xRVi$PKrr5eM7Z{jd%wRn+D~f%mT%O%?TN-SI zet&>(#IX>!O!6hAR8#g@KjHW^0Mq@$Nb=rGk8`r2RN+Lsh(1{S4F@(hHyj@x^c){g zOn0B+H~#j=&7wn~;=Kuhy!RCsH+LMSUItm1n6&@EN~|eA3`@CyC7%T$YI2`C)S+~f zeXlbOYFsJ;8mkpg(ZAl(q2RLawJyGNg84H>PNl?zO6)A?WzfT~RDaAGSn$UkJeCrChP6N0cUphT8H9AfGhf1QbGJ1r(IlfTG)&6#Dn)f&pO6&pQ-f zopwdyk_Zlx$*Pw{XE!0KQn$7r@WCeYi9!u>9FdYe!w1g_B#{B{?th{k0E%O3yo2mK%Moj``Z#S6}4VX_M-%_@o1Bx&wtku z7`BpowOL(Fo{GOKwNpyd;4x;fFViad%HmeyWb$fa;8kCvCNin|6fQSDZ@{-jl6g0o?!J}b^m zFI*-+vAbGJYS^fx3S?~aUlx8WfOxxoHp?LQkChg_3gYxY=giE^o7L1MyeEwy%MsqJ z3h?jTUY~au2jN*gXc!fn7a7B@lf%rs_m8hH_~mv1Vu`CF$M~X_z@WLFiz$jhY|s>k zaH)1K(FQqahoEJ?f!NW4f~R9+=455t;>R5c)L}QlzMDy>oBE%c;@`~5rw7O>DS?Q+1x4gx{Ip|y!EW|RvhE&e6itMX6M4u!?OoRXMInfFUjS2k~4SH!!~tTQ4) z$R;HAxHL=wo%&A&$eF=)RoeXrbx0!No3Ff<;37DL9weGuM$FiAqUsvRTx?6hyk^I@ zoDPK%TR}vma{ef!lo2oPF|B=&M$L8}*e`e7=dZzj#HZp?UB{{=ycodKx@N>Z;I_sI z;UiC;P(MC)``)ofCw;FTzVKB}yEX*z;w1GCx?_jWKD&DC%NgoxN9fL$TQjp)EV|Ux zn2(u{Nf?fOl#5zdGRrR<7}w_vlTt5>x2bZO2*3{Y%E(Sw%$uS!13sCXovhymd9bV> zaX7gAI+}^%-`8^3v2^skE}i!H-jC5HlF42+WBCU2H#iBsHjDnj*NB!uTV-{|;~j4x8W#cR3XC*XhRL4ov0^9g7A;31wkHlX(+Ws;K?BU-I59hjo} zDWtM}pgR|`Y>+A=R$gcaQqFh`(LMHDDy3$nvMq18%V%uv63M=!Bqc?lUd|iQ$_WCX z5}F=yd`cVYMMY)_mOnIF6Q}N8X5nD2y>agQn|eK7F)E|Q!r62xAXi0$_X!WV8ovs6 z2^nr)HWnYzeDHTW$x5kbuGIN8g-haf+G$6v>`k5yV$s7f7kvBIn|Gc-9}`(jcnD!u z>w7#_t$8WD`@s`nuBj4EeT?m}6}uQX6Kl8vQ0Dh_yyYQb)!Z)cPneWTL3VDa+f=?| zT~p6aN9n67b!^PBP5SVMXU&P~>FHH^&YeJ5fl2~ON>x&~=0^=4YX@#K^=jjv+3%@Q zl_CGU4vv0KzJB$8zZwrA9w{q*0gYbEE@=t3Ys%R~N)>v$p87^#l%Y~bv^A()>9+S#=v?E1<_?(GF3r?A+_pj$bL#^1u2&Lo}X6pq%J54;kd)Mk^S z>q83UO4Vw0rz9j0JgW2v;qwyFw-Zv(^ARr*Y+<}q`7>Warb>@ahzq1@$-VZmNaiP! zAsTqb6w-FV`sea_FSNhkiKUe`Y(Ixg_F~}O!J$a~r{RW-Dflcw9Kr>&f?2DQz4e!! z*T0ULhXePPXC1sn{yy|BlP!K78v4Z{A#s|IY(FV5_hGZ_BP;9yl#tli4SaUt!ad7F zp%k>CkIMY)>}a8SIt8G+2!eU2+CZ~Q1ZP*Gb^0o{&ftyCUoVPx>+hfE{^vC*{sgf{ zf1OGL*hDrtbkiS(k0uV&seg@>0{%wqV&SW^%Sg+bNIZeG=HN{3y^ z+_$N!({Gy!Wvg;`6=K?qRr^!A}GTCG7U5 zRMJ|oE}a}cbX+^hB%L@j5xP6>r=FwupB5m#mbeKUpDXSCZg2u%uN<{%G1ZbW+jZ##T{5#l#-zQ= z0-|+k#pLA*R9gcoE;_#kKPgq2F(zuXw6s}AYP{6nzVSoU}k_iFtZqDlcyu z9G6Tcp`;$j%E{rqqzy}9IQzoOv@$EEY2aZ@WRUBNX#CwR&_<7e2Y zc$7^*3NY#G;)1Cv{3|K8iMYH!qnv_(c^+ zY!)xcEyZ*7$#2_;z6d`lM2QGq#0N8+*%@p_Xi%;|tOdT8BH^+CD=RDiZH!%sWL77G zVe@r(2-!+|4v0qd-Vi$Vvh6oXn-b&qy$%88UGE+RAtF)rUbQk0w^Mr7nJO<51`p=u z;Ah+0U;1&bRO|&$_-Wl)jMmCdC0xKzt{cCnuXbUfrT*s?cU25F5Lu-sDhK3D353^Ydp)$1=9@;2mcK^IV?jh%Bp9sO-($d8v-#)e62g%}iau z5Lzs9=b;butDE#!ES51uwSE)+l`7|xr}>7S82F|gN@ER8&3o3?RE-icms|+s`v&w9=3}xtt4ys+4zprHRJQ^9Ha&)|FFe;vUju47`tvK zNlrtb@)sW7!H_6v4GE^&mxrU-BWQn)0Vsyl(9;9|Z6A2<@FfOaiJ{zE5W3 z=LZC=@%Hxisq!A{v6B*Ik0}v>ODHrIVt2-Jf98e911B*B5nqe(GjGa>yRur_%O=i` zHPOofLRo3VHg((3A@$z)xl~bP_u!U*rn4-%;_QK5}IGmq- z@~(#-+wKX+yC84~W>1_yf&dEm2~h!q^EGGqI>?A&us;K^Fzjw?-OQ$9raiVbBc~v!fKa)zucOec?phJm7uD!<#i!Qf^=1zYG_m9aqczPcy0* zZXMJfZxsB<<@gRPZ8TePQB>n9Pgr{ld&IMn=ywx4c44Cz&WQ^{gU|Ek`;y*so@`J? zD}m_%X(ryCIIFoMK(*&z$7oeN_ay))WjQ_Jc=&_N7Bn_S=HutF+f#dAG}FlB(biMT zEgDlP5O=%QlXyZ@t3Ue$;eCdDOwOb<^lxl=HI)qI(QHE21hu~wwvKk1?+d|h*da$~ zbfKr#Bkl<`4^kh)u0DZeGRQpe>`P5|1DDLzfiV! zjB-tko_2!XNL$Q`%FO=$tl#gacd4i+ZbT4PQ63T@E10@K0PC&w>OMV0j2 zfg5wuzTA?Eb}1<@#V!{3BO4gO@jD zu?w;;i{9)QyWT{mqy`FRo`YFT@unQOG{G|1pt{^!d;DD1FlkGU`f4e7M-@? z);rqXJ6AfxiW>KtQk61WlQmQNWtslWx%~(8=mjgU$GwtKTYkc)66VZgQ_D4@$QN2O zSyCs>e1l&%sI7HMmR(?Oia3=&wUj*U&e{9IoM7g&=0wmYl)GVM}-`#sU7_o^W{sTU1lg*7miC7o8b4{L>{U!1;Z06F>P=& ztdL7w1P^k#?Gn0_)Ghl%F_Z(2K1F&x)2=`)8^X!LOW=dYwW!SVwTeP(yY-G)_<5N* z_HFreG^OypRgjQ4VOBPilHTFCf+TfsW;oI{L=2y?)MWX0omPSmQ*57p7RNwD9+~gp zoow$}Z^jo26&$qm82ei=wC`EpwJThtj6?|=~-naNGTc$7!9*PgBDX?rnc%p7EqWxQNb=u=V> z2kkbZ-~+BTB#Jc`O}xQ!Q!5zkKMMeNa|Kb;kS`Ju{@I0d%}Ys|6!lm|IE(s zhhy}eb|u)lHjJQB1f}%2TNg%50zbv`c-$2VEd-Bke^z$3wy*2Tf!X=$)!V|$V^RZs zn%rY6J(xIdcP8>ktiy-5wEo<8qCe@cNo@AwiZ1NRn+1K}u>2I8!?Si#pNOQ0ze(%% z!${((?mOAw0feft5QF14QAeG^ra-<}4~{AA+aIy>)KV}4XkN=mAG(Hp~$ z3=ZZ3UBh;-OND@y%|jVM_#m*yL7h_J4$cxSk7F=vs3${bXoy>+y{4u|G_$+O6aghe zH{`i0CX2wuZpdj^KIgnr=tWv*aI1(S#aWnDOe*S9{ zi!i+Xgm}CW%DwGAGKbH0_RVT`r!i6$NRAkqUd+z-FJT*X17yXc7RFwmy%UR-o$8tM zd_|)qFAuX9J_XMC*F~zlyJy=05iPX>P?cYg-n}~y+7r2@X`4E@5m%rAhitVh=>iPO z;4jer%K0n}?#>YX$gRqaPwvzQY!--wf!PVf_+N!^h)Ycbti`jlvz;-*56y63F2X@` z2sP9(Bf2!v;M!>;o2mlarO2wFNcKG{*yy_X%yji^Y+dF~UEJ<3?;5alF7t8+ge+_i z?*#x1N1L4bihHM*z!jT__wJ9D{>oh8WVB$eyo4=&V@wga8cAdDH_K~?;4l)WW?*!b1G>KGCkMZe**B9ktP-Ugn6@7VaechT9eq1R zhlb=7@b5AWx(M=h`xQniDP83Jc33lW-HNwioV!5Bf=SSW=TmnmpOFWU*s4* zOEg$Cd11>IT|J7PNwwa@FfzZOw($K`)}~5p(@q#`sBprkx;y|FpELtLuo$F=4v6J$aW% z`ywOpL}^^J_|MXF;f&7n?t zRa~tE>4iYGY6+*)rPMrqyIlGjQNvYV4nTnt%p)K1QNy5}oSA4}tzzF@r6F^x&&OVK z+9b-9=k(6Wd(?irO2z!F(4vt2iUytZ3>M0I^6#k#JdL}30XpNIQ;fDFv}O@*;CBch zjUPb61}QBr9EILB5lvQBC$>f^T$8Uz&x~_W6`bFIxf0N}H@pj;Le{h4%^7W5Lk)H% z4)pd=W9y>cbsvVycD4~C@x>kL>&S3v%w~wSK>|^kPJAR!LlSpOavQK~a1I28YX)bo zu3fA}uYlRiK$7J5__f~GPM-JiUUx3D<8d+$9%5@wXy_92=Hoy>l z(Cg15!g_WRp%^rUjmUfHfn@f@;oaAfd%*{HpN1$FO1pgAy)&h*Xc%Z5_4hB0fE<&wdqCd3QSxFdA`cWyo zLP#RdDPatghM^ybNl4Y*92@8TKv@e|nBsPK6eWV(<^rd?Cdy@^-g!PjX4O+PD&yP7 zV{T63R}DAav*@-?I2W)t@I7`36gFxI+9?+^SSVB*tulU#I~&`vW4q#M9pNCoYj&9h zra##19}^Q3)6!XV-IyzR+EkzQV+-}6)$t`$$IW7;q}*ln{~WJB@L{OX&hE0f-i6@o z^(Xy585&pKqRXhLElS)a!K;>PEeRk&3L_H}cf z_}96h%<~PRHF%sOpo48V$)U_d8i2o)1y9+)Lf<8T2>eUU9X;sG9F&+!j<7FYXsT5Ou)lZCu<26dO>E?G&}lg<2?q z)$)oo`TVl|Lw>K{ICqa-zu}b$Z+Y%g%&WbW|yA3!|wdNG-8;haAG$cfc=oE& z7c0gh*YTF&$wv3IYBl-(%bMzX6ql%+8O*l#>SLxB@VWztIErHj5i+GoVx5hNLKBYM zGx660?pj<{LXP>U&C&(Q7>ZTgIQIx3q)TYd5YIuscS!7JRF>5TQeu1MTv+42s1KT8 zVr0|^&026tF93yLlFZ1+=(e-rZ0c%8Si5AU=`lIDt0Ofoer#r4OYGkJ6!VC#HLf22 z>3R{aUd5%c8vCh_7Bu|4-`sm;H~86a{iHpH+V_GB;EgknfS}<0;NWq(m+M@rnYxih z8QaG{G&~CC*`08#ucuu|8TlV@W96YTIZ@mlRmF7o1-jTi4N+}uT8`DH<`J3>9I_Yw zd1(9y!>Kn4=R0QDRUGy_Bo=g4>Yysmi(`{oHE}!=uffB~;|pvRVc@DpD}SO!65U^J z3zwHRsif_s%CahHck+aoI1Z&!GfY?BX*4snjUL*E;|6$mXK<#jyV?9B>yj$S^Z%rR zAgzGlG+dTwuX!`1cn)L7eCW`j79CM^;$C7>(lx%=Vk;*kvLj^(?hybNb12~ml{CG+ zeL;isZ>{V*e6e{CQ-m->(00T6UXqQ$x_8bSXAOBY`NxhoheRcFq)-in;mh*oAG6b# zorKF;vW4x2hs*ke#KQo2F0@ryzl1{cZIFXqW;qt#?8E_;JruZ{51(It$w3ITh}o<- zQ%Hlglqt zG^=4lk+z6LV!6SPV4WRoB2u6w!_&f388z|;vsU3x~t5^#2{6|Dbtl z+CBQvs)09&uW0}TU@XbEVyw7!+S)R1sYIpy6O~KE1A&<7j%a;89O_C;e(@TaX6lfy z5V8!q%ityIoHVah9;cBZdrMne8;HOB5%5+JIOFf=cm$pUj}knzpPsUjyZSq7w~*xy z;%uj_+jLDns&F42S@b67!-KBI?~=48Wd&`%FGKmX2m0_9c>os3_d)WPFV~UGL_A$u z_^WM@I>Q6kt4Zbm1q~Rm$c|s5TCHPp2t+@*0x+V3>;jvM`X&OUpyVc;*6nux6%NBx zc8hrH?m178&%JsP)j9=K8@7^!L|ZrN2JzFxW9*_acBy4~1?wMKq1URMHAI!~tFL^y zH~jgU*@1z$*o`T#urF^T7*a81o=F9o6GY|SJKr{b?S1&grZ3Uum!^ZGYA2PIljaK> zmVA#qsFJmPXAN@84Px!o>R>@;4&z-P;fZ?P95Ox%U6b}7xqSJR4MsLIpruIIln)%yqBQ1UUcEMC88Ow=YVZ~vH2=FahZ6K8c_Ctv8}_K%~L zBy{i#QGt%xWHE}?6Gp+=Uft`qrWdPfSx54e>n2nNx((im*D<1|hnAqm@^GL18`&r> zAz}M_93pmKe`Llt#WP%7@UD#6p$>+e%{fn8Di9jd@30u}oOb%~Caoy|ob8Z>b#@dw z;JXbRmD-rYr^=$DM^I%UnCaXB91#J>8!d+#Xzm0G`I1+Nb2Zq#oOl6l_q_84*A=i- z$TlcvOq0D*jBX`A8i7YT(}&U!PhVX3$8xMl0j|8NXQd^!1AZACi4_Arz(s1&3CA@O z_x=(u3t>M39q;fnk2jqp!xIxvo1)2V+&GY|Lqo>5w9EzLQ$-(`y!-?K?1Wq0!;mS> zLtMGmv+-KsY%F;Q=mF4gR~5uvAgUh^p1ES_XG0Q6y7;>mDEvrpio!m-E}G~m*#pqP zo+Q+qK!*3QCun&L6Q7U>!9#LET-&%ant$npUTr8G<(T%<|M4GoyOPTNKTOHL*sdbt zF#Yyv71>ltl!WcQ*wwSi?_X<47!xu0zKAg&ldQJ5IAgsM$=Lp4Gj`iDaU?O(b@F|< z%n+{kLgt%EzWGeuL0~;#Wu$R2ylcjR?G0@wpnnny{8KeaI6`UzSnxq^N^SL7#iz#n zmUCkRAP%7QF%N68lZ}KMO^a7L$-0{*^291!d}LgJjA2;wTLzPC5ubFeWf>8jPr`eM zuoV_|W@odeUI+R5K8L)|`k84yD|lhX>Y5rGH$kXz=5WwywWdgrH|}@{K|OnV^!xX- zN1i_Z;{UPRNHD%cB1SC}Ky%LW065NH5^)61XH{b^BMGRaFisQ6(kU#Z3k_{W63`f`#Z3-}g=Z zi}LXs+6T+=#z3={cr{x4p>u~rHw6fVFzKQBq-E)p@4*$h!p^elO1-ylQ5jvNHUcpL zjjmuh5trP1;f%wsrGM|fgOh()|CwJ&F?1Xq ziv6Snw0=RJsLlb+8=-7}i-|;Ys>2hBV?z3wi-6&29cxeV+BdjZ&6Yqq9lVm#rH+X79C^-ysc|ePh zBD!K9B1o$q%n6+=!&{VWkZ(;Hf+yuA*n>0okjbyxEqTVxFV&t zi!34rQ3fG$J^xVXqZv<_CwkQJvMNDZGFcN^t%j-~qKLrZMol zP41IMwOc>JD<2;0pO;cx+lP@g22UGZnOZdo>gtFz0M(veYpN<-mxWk1{1xTKPs;0N z5E78{Gf7mL=)H!g!gc%(Fd-`ZUtTNeYxIoW%3F!Yd({M+0daeMq~`q5iX>Tz))qaJ z0##VBS9H?YJ?I>|q*l4=I%~E=DmQ5`9m$lz);n+#)rSP*40<;lWU3)YP?oYBB^h^w z7JWTw`4g-kqTzU*Fw5iB`f?@B-rs+iH?i6Kb+iGkSoCe9kZ=1S8Ir@R3)R_7!ERmd zl-OyJ;SOzER?mD*(~zh^%fuz$I9B6Bq46sLKkrpbnoShfGBXFXFbht7DcGxT2yvX814dflGE1I8xJE6$@5&Qz0z3d*V|9 zo^6J(33+I`2dXjuA$Y}CMQ*570>AAu#o@S z@pPHFHRmp37{}Vb;p^b&{{#BvmV?=e96VZy&eeBvsdqcM_3#W98 zFl?87@-V%@n+iY$fVV372?0-n^xpSB(dkGA%>h- z7rjRk0@K1|et|^9NH6Qu-?nyI5{0;0zxKhoU`!Dh*ThL#@Ux0=MQJX9!$&>?Kv_V~ zwA{h9N>a6w#$>bjV_W8Rc{2)jII>|pVV|Q!*vH03H!x8uEP4R2*8B(eK ziFBksy>|H}8H#}t1sSRsFU`YoOaJjP|3dOLMvsnjzI*Xlto*+0^Z{=ap@C$J|Bs~{ zXCl{C>8b-j9G#!&KVcDqY`KwGt#(VIvj3#{#9fAv^Xwbyi9t2nzlx0LAw0`eocW17 zmfhpL=X@^uQ%V3=?s|IAdATtbt4XXkOy0{yGiZ3&^mD5t4bE_Iz)1UqC;rfgYf)&r z2Rys8&>pNAl&0Qk!40k+c8INSw-oR580}iLO1(UH>ti5@9%3lP{{HS71?t7;kVKZB zhUz9+E%QEwD?DA1tcA2DW-2pU4On+@Gw^naOQ+(I8G;1N(Hdm;7h+ViFR)WVqVp6I z$`~T>oWR{=%NxPGGrGV`-695>_7Ry#*a>vcB(kfZe22oWMHRL6NVV~!Ut7}2hi-0@ zZ?uq-$)}=P?Oc3-iU+7kEO?4zE-6DE@YmFDL5A1mF-7*OLUjj-io5Cl@>|brs~vv0 zt^fp{S5PqA@YfNVySe&u8{iK7F}21dUlz|F-?8=MWWxi(_Xdl%Yf%2P zW?;q5>iJ;2jJ74pKc~-XQv8<-$b4RiG`DOHXv0^grZwU^vt>&Pn27Qt@>ck$rZSQ+<~n6^P$D%6`c zHi&khu`xXWum>`i#Izh$Jkb8ECr_rDOb%y5W#N3`%I;(nRgIP+x^;1+B3}t^Hji|W zW;mNod&soTgROG-i)g21%NyMh9c8;9`5#&KsN(q8|1oH@ zM_mY@dA4`p1ic`a7KUAfeKd68y8@2kuR5L)tR{CsKoCz?FE^;&xDJUA6FI+^8Pw2X ze+e|%hZX+_zDY+Dt4vK5xSyZ)mx-&wbjH7E)Bmq7id=kMH%59HU~NPr`SmU?Zc9yy zHt_v*$n9|v)uiycJZ;GNTLeFSMV#4IuUTRlgVVbSR;b6sW*5Yw^l2V#=o=#uMn*<% zqxJ7DLu+SqXD2;k5+gMsPA7Q!x`Gr^g}A*79+Kx`+7hPaUY>*5LT&fTB_4uX&7co} z`Ro2;r`8~^{yOCz69k-^oGaLWfDAOo2awQL5mC+epJ#mAhH24@gDUU7ua({9I}2h$ zr9@(fw@5>R@5*G;i$FhpgP$gSqmxi(gCX>eGqeVSW{PLRexfWeS*?^p`d1_zJ6OIL zQ}_$;HCu5D7*H`R#}o{B2UxLc4<<)R-!D4Fr5N~NFBjO-*;&Y3JB<|{@zG#&Wg~MP zZzP(EPPTV#(^nTanv9GHm9&W5v)F?-x@Y=l#=5XU5LBFiP!EIwtA)x$qtUG}y4T|2 zo)^MxdaD-O-5otv7~0g>;v5vT_XNQ4@dw-Ac6a4r#mgSOWVGxlKw=GZ-Nw2nlv_uI zl(Vr5`$YBmws}9_y<5Dm{<|2p{?K3IMgzmmNqRX#wertqHA9fww3V-YY1@-bKRy!c z(3^8lvXTG^T}gAVqCF13=?E;1ld zo|5JKqQMhdRwl7i0dijo){*APx-l1PP#tbx_me|aGBGiUa4-sl2(cWA3&qUqGQt1{ zn4F%$p4`=&( z-W~QCRAMktx&no|4jmqokbM+srUBCmC8OyGz@i@QfSm1v)7$8(c9$nhR{jIoP!}Et z8Ce%L54{V?nBltePoF|`bEc`W+wxO9&uKfhoxn2j(ytUGyBEKN`7;WV!#a*+`Y@(v z^B;8pSs>v3N47C*!goFuXjWEr%Wa3p?}a|J2mb2F!^Kg!4eI3I$)~;NZ*CzM(*^{* ztQL`8_|y$zHSCii>8_Kr7KMaTl$4@?mwJTx62`PBZ=;9y|HX@(0v7rzbXUkM_NNmeUgt0e!+@|>Oj=7w zqs?`=X-vBOmd6#c75$*Z=7}ka08LJ(I3VogG`Zz5y8t!SRfhTmq0T@{+5;#HAl>}L zEuW~t#*D@hR{Qj{jgxmx7Dvs#7m@L0_487+W4CVIf~07JNbKH-Gwx6XlDh4)Gtd}dQ3yic-mpVPc@ zD5_Ear5lIDx3si0$>uL$7zPo0NBPPzkP=i5AB5GjM`$nO+M}3&bb{KjcV@~F4ysuU& z*=xUTS?x&&YNCUqlhUp137Wg`L=U5OQ-9|jWain=5un`#F?!i9c-7Zp#%tnXalgI}TT8*_Ax z8+zZBpBW&tVr;WBet_-@7FTh3VFBt%qcdP}fB*F|s28M33$V6OM_}8wN6qwrd0n;8 zX+E+nP&cuwc8GdrsakQbdc+_7d%`y_*hMng7iPLRr6gmrc#r>Bm9F0?D;yexQU)5U z6Hj~xZzV~eiS2<3H`t{}Cc^d9p*>NL?cFXMhju{`+~Dw*cjUODxRqnKPZI`hKdH(7Nw3 z928PehTfy)d6SV#{YI#}6E{$+qjXBougU^Vuuq3c9Gs^=vPrBqn_kQfevt`q$s45Z z>3rH7kcdJ(NjVC*P%Ze}v)&l3_UefKgjRYu&U;<*6g~f~osGqjfeN^9FNMI2;7e*< zH}BXeFOao3w_&@YP$)@B4ePExM0@r8x-9HvPWJF-K<%CQVJPPvepXm93Iv%!UQZ=8 zjb0rZA8&ySMZl*Tln0P6Qd-ekHh%SV0t)nTIn)90@P;;LJWj*(0a{@?yXDcUscC-H zJIaLVivU}S+4M3n&!+3Kj?H2Q5TX_gvA1>!O0M%F(YIlQ(b#tH{5o0s zd4(X!!Km3o_L9gqaOU8hAGqo)%`rUN>?`kmVLRlCDZX*LRQE}>-+Pq@Z@iF6&zJKj z@wW+;k9>0D@97)27}8UAyHQ!7!xf2BKj8Hmh_sScxZqp#UAwf$CR!_uH<&1ajx<@!X;x@{}^>0L3gc8xvV z+wH}AF2IFC^wK<{PA3%=k#QI}sp0-bZ%(_kSqph@akj*gwYq>!7-ev=cD02a!NV_u z!9tJnlnO|H!Hy}lXwnZpDwNdgW7@|P($XNS$SUdu=xLyFQkW-nITLQNghNS9@?hB{!xCr*F(;zV z|1@ZRb&^CXV}Ja}m>MO2_9pImAEIp#j9Y>8Y2eR~#+mBC#9S~5cSoG=Jst!)OtJn?bK+aAX1XXB?9~V5Y4ib54_98Sg15?t}2Weo0A7H*7Ib1HB>05JT3j{H7Hvlr+Rc+O3=3eGAuCv9@C|nB@mqVMAB>h zh*=Tyz|JmI$jQl-zlEI2eQ(=~tiq#0kmp=9uC0j+npGmKijTX2#c+)az@{QX@g1PC zl$129;UjJkqxK}SCy=sV9BgY?*gA=u{#*^6r$Q${00WRtE+3h)8?6h#2Oa}$s0bm8 z0zX2YasuO?Iv8LhY%NagJF`z2@!NT9X=PhCi6YcUcxB}hKuX(j+sATaj}-T z6neemQCqd4E8RrYH7VtvO9c}oTlPJB+9txIEdQUCk7PhL-8;Bc_ zw-B(L##?M4dC_r&Z70DR9L?&svK{50coCWDH)nFiv}qTW2HDdf>0643&RgEt*}UzA zn%Q8an+RJ33@qm-?2Ok1kg*uaKiB{@+V@X z7G;C!$^nM~TzmprlWKprU)dM|PChFbk|VNxuru+g%<$cld3kw8hz`YLdB_3_dbST> za(Rn(td{A`&EPkb&Gx-*=g_oV9f)0Up{VqlXLT8?rm@P91kDPWCe;GGK%O)mg8*qO zR2*Y?OBhVN3J$Q%vtOi8eLG@_8X%reGqIMBzAc?>1)?!BrS~@DBBdAVIllX~ORdzK z9DGsN!zr;2p(t;YDp^G(&tA0#!)B_34IKM_I_1S<9$o*P$$v_ge@O{wGx4ybv#kOq zi^w^|W2@BWNa_?Lnzh}H?pKVs^V>H24b7F_{HmJz+-Jc1l?lQtXPBN-`spN zEPs4tL~O_(yoy+E&L(i(j23wdO98fdarTOGa^Y}1Vpd9`8gHmVKIVNyMt&+3I%Q`@3DD5pE+|3K2B(@KGIKkd3iR??yrvaLfH&k4I#L=onwOy{deqCdDKq% z4ZRs;{QuGQmSI(ne?4JsE>SqBe9UGp{>S;D8+g0o(o532qz-7&|(Bz@*UQzXzRp~oP=PtAsUPy4n z3qC!-P#_ zpeZsqr-60b7M$Z7>dNo(TyTT`#Ik8|yzq7hfNMZ8ye)wk2;fhPJb>Hki3{8t_?yh* zF7sPZDf@!?=eG%5yFJhqmb&Ifi+ktd@GFUGxPGu6kX7S*l?4i ziSTMT59r3R6XAX?ZGeEq)&R=uo0W3}Q*mv_>8<}3D7gKUb6bmDv}?ZfsD%r$s3c0m z$t_cD;6oO|n)z0blr3Ik9{RR%1C%CoOG~`ICW_MJw5cqeIlSNc%R`qZh*ks=7u!F% zPEjG&9Xj8fN0he(<+Kx^-xvb}144%E!}u`H&ZE|pa7B=kf1IJUbBle<7W`NI&=~=^ zl$IxQJ6a|rd2Zx%JSx_=ope%|Rg$K%Icw>Mi+S6bkdp0cUHzoGn4|(f(*3IuThiZ> zIs3n!sXYFInMy_>iA%V8X5iHAR5Gmb*f4eWJ~IEW*xJ@#tA(~$r))!}8AQmy!nuaSG0lN5 zNc=VZ;lrKYbSW%_@g3$FfFUd`4{zIe;7uEkCziLS6*ZojFQHUe^4{zwK+@aCwm*3V zo>o`Uq<_lJgv5+KGEA4QbGnAfz!_mkK0Zl2jggIIhLHF8%ze;RiM{S9Z5npu zjy#8&Nf$)>O|%&L(gdC@#Nav7;v(e>@-Oz+O~g5Pjm*y>aSb~RM};<6^JQ5Vb89Igmwd8m+9p#P2;opi%gLzn>KH=e+PZ39~ zUsGDYIF*=8iDPcf8|)tkE;d!g0AwUIm_)@8sXHxV`7ruTU})U>6o3+u;81)`=!Ex2 z)BA79@@3!VtZN0ySgpCWW6ai7F1x)rtVQv>VN*Sd}Ut zF4Q=T_R`NP`$0!<^)0iM+`f^nY^(=}^rwiG!HBjTkr~hCA4O>{yV@(!d^V7av8>6V zzs0sdJwd%pM#*#BLUj0Shq!U>d&iqMZ+_^#Q>$>Ov%793EP(a3*~X=j5L2G%TVWx8 zFd?~rEC&BqIp;UipZsL4rVAXgjZ8uEuTsg2VSJtH3u5lEf9d#-@wi-e2>7zcGrCSU z)rp7s5`Cq~lK+P2azDX2Ev^f#0)i38GhvWHwksFWFux{{{~E!kzeh;Mk$ON42p9G$-dwv%*d#vZzB5_3!dc@Dze>ZSKy2#Rbbkq}aQ zRN;<0QXgDK40qYGeSZBHXxY7vuP*+@j_dGX4wMmdqNcEHN z^=b=m&2RVpYFX#5SnfuRd9sux$5OITYXARRQf&AK>LyKjM4G#{vN8@oxsI*wj+5OD zE;Yq?r#!}f_51NE8ks%m-ZcFg=6d;KsY%b(=xk4ANFQeUJT~)LtFsYmKYZ`4ZZp^} z4*rEE*O5Hbj1Va|+r8j(!zQR@Y0IRA3a7AP9IF_HG!3e3t`z4L6pS`_UZPz0;ig9Q zhSX4iQ6zc4U=}hk2@+#UK6nM?9GGG3eG0IbB;7+7HFDm?$g=xK2{1uZ6M>GiErxi^ z>Eh1tryO37OBUXQc_eUJk^H=G+viyhlJJoec=>x+kT3Z`Ve+HrEJJ;&;&wW6Y*MEy5iSN4Y9E9kB5 z(sP|rU#citdcq+MC4-}Ywe8)K8^B{l)Ds%9Q-@C8=AjyM3ssEYu>v{1}p^A zo~|<4sZT-uB~z)BYp9XK?171v&b(0Xqv$iFQm=2c_@|T!H9H47fZ*IU*(L9N1bpjn zGP%y0vV5rTuGvBTOkT_wUheuMyLLH7=x;nT(ig5eYYpxW&f1F6vRtTn>84=DqKb=_ zb zdOM*1W`Z)nEL*Q_nu|)!Pm|f)%9wU1 zNv7PU5ce}tOp)qTjR9PD4u=cRz4Os`fNl!a?oD7Uj?=8Mixl}XwQ!ur|FLCoN1-bl zVd30B%IrDy)U5frtFwNc%hTq*h1PY+=L}KM60qSkP9-u;2My<4R zY(di~KA3M~c69s~4Kp)g|L2oO@18d*zqu%=%9-HB5+rI={K9N+o)arWzmUPT`k=C< zoEq0nmjE>iboUjsBY@Kyj%R<(9$_%HmTWZ)>O^V>hWs75ifetN)=T0PnmLl@s4T~kzVB{71v}= znOP=}cP((Nro=daSG)5O($Q;zEDU?lO3Q!r=sJ?)T&Dw33PyMVM*;lNg*)q>Zxflv zo5dvpC=6-+-r_l>4|SbsH_ zE{CYcO|+N%-BU|w97sZahE%`ueNu=9XrBwd+7s(!x zC6Cu;nSHKE$}-{tq*`pFHX! zHTJV4q4~~g;)E?#r1ml&!-p=Hdhv;=FX}(4r^2}OO&0I0F8$9g`kM`<_asZ3Xfrhf z76159z`EZ=#Og=ILH$z;lM4Ob##XE^4hi{#Vxhmp;TKe&X!o?y@jORY@b#vA!cD^0HnbzQ=kX zkwKlGWOYQ^SH17{m@b^Sq3`SB*8pdp$Ah^{Dt5{<@1DsX0gYGb?_q?A&_P;j7aG_zCR z#eTr}o>kMnzc!FfqE*C|$!=ooS|Ni~G8Z-1$OlsOQXH--x@@N5*lg!W$sf;(cN`yn zL;b$if}yBBW2E`qA4=3w`tJV-@ctW*|MhyllHYRS4UP5W1=j^*qPymDak-8{ID?0H zcESq{-A@1LedK|>k7UlArnyUxcx_Ml>#F8t2x?*Bhgi{`qbY$aFHS{o_VRw}*Sjh! zO54Zq#V(pat#|2p5A0N4@&j;4jj z3UCQIXC*Evc-62dpRcr8nRh+Td310Uv1Z^a3GXrqEX`?&u+o3`(RV-b@?RUqbA2b# z0~QA%E5vI}l2>CGl8zMr`Xb#{-Y)!hZ(G;bY}?AHgA&TF#Y*15ysF!{hH?87V}9Hk zXlM~WcrJm{}*OD7&8fWuFJtsn0%jcj)EVbCP$-%+uuS%jxJ( zW`r^u)iCM|%}Ag|X=M#DPHZ&z%bK5096F&wm)6MwB-GgcLT6K}(@qW3A=$jnOoKy@ z_u$D;Zgp<^=7C(XNS!0v$97tzA-AhK`PRau5%v{oJ`}Az)~0r!nX%%`LR^lNH@ZX| zllVBxAW-r+7j&f4U}Crdav+9J;OL&0zbQ|^3LoZhoYN2@d?H0b;Mg&;rOWb6^TbK% z>61i>Bdy*~PIt6$2Ol$?rUXy=Vq={;$__N7*BXqJaD;>{Ec zet?ZdUkb~_>ff^pA1oMISMA0zUB4u7^Q4leeW!teyQ`|WWY(^S!~X*i;*})^VZPUx zi$aBqRs0Un3oq#f$+8~eKGZrk$FaS!X5F0U4SF`lev#T)+hZ(9H~hKeGn2Rc(o~PR zIL_<9tCIItr}(q9%gSp8-9|H-$G;vz5;5va-HKax?)I#(Edb5p*z0|CB#RLRZQEg? zspmp{H?_rGaOke!3%ulnVp3P6$2_YY7U7#i4p5pN6Ae=&9z@{ir39lQ2pXz8Y&l!{ zfgdo8I3Ce+K$|$OK2dhKrFyv|={;^P^p~FD@&8b-B<&6T70FMlACj(YKRKoCt8l0S z$5*V45lN7XdghmwnA3%s&PI~rc!*rR(A_cLf5+MPt@{bgxog0sn;7P!RtK~BcVd+O zJXM3p`hct&89Q)*@HsZkL7*-^r?%~M%-6`Ct|DwRB+?3!vN*vH_?VxWN{5norY7ap zuLN_X0Wx>gv3naPi}aXf%Due-i({*hCj}(|P5M=o80XVL_jO()uxls7;2+7?=t{`b@DmPXSg{8x^P3b3?SeF zpLvBl7qilW0RJ9&`;`eK{5x0JcyG(_2t>wRREn~$_Fz6E& zcK=9K47IN=B?Jk(+t_Ty8#?!^Gi`;i6U@~dpJJ3+C|6no!<~9*@(uEgOeAZuiF(eF z0qsbuJ}qw<^_?IAw$Za6T6X90m*`oqM2{nJJ3JdcDt)6_UG${mfcIfV&WzfQ6)50i z)vYIFD@fDY+`))0)k`n?RUt*%rs43l^72J^N79wY4CCpRR?_%#tIKr~;<*rm`JG`% zRC*`lNWStm1h9$!nsCdJlcqakG`Of)&!U)@W_ngSn3ge6hRY><~_I&NFLDHXq-zVtno2s zFy;}?+ER=h2j*ct+Af9-up-UG9rAd(Xh>py_TUb}{`xE_LEh2Cq-^pYfIu4{`wO+e zS)jU_m81mF6wr6Pn6;HkZ9R=s*uRne{tyQ?b2)}4| zQ<64Um`O-_q7Jm)Dvr7DOPppE8ifsF%V<1pt7=Tzv#m^Z){`KN@Ojk(=l7Z$3i~fg zGrAvlJt<1xT6l%6%-b+wdBVF#IJ)P1lMe(5!S72r2*Z^CY@lWk}#{bgR=6^3+&gn|!%a<>SU2lI4WeVep$ho4Gs*E$D zQuvye+JiL{I5}dU$0cHt!=DGjzAq9#r1{!?|Wy;&pCRrLxJH56Z?1N4CZUxH0^b-MoLeRHcLM*YFe$fqWMYk$hHPJsij0di{YOtu@&TF3tm8FK9gaqs*;R3xCd0 zUBU5{iMU|k`}tL31)MAnzI|Q9@MJjE!FU58YrztElOXXU-xm}x8T?3A6rVJkG-S%e zK*8#L-<9~>e`;+zjn!fM7K8%htE=%S1%F(IAGgapgtk0+y&j)NkY59Go)z4GO4J;h zsL%M`cFTlsq@PFSHG)P(wNkfT$doG;yZD?>c5?Gt*%hCKzbZ+dyEzT{1Fr?_{a5T~qgT6Se0G+Y7R5 zsG*e5YjumUMr+v_nqtvkGV<$}7ReEHFN~Wuwb|kN3VRu%bUc8khfxa%vd~M$ZW{L5 z{WwDVSF3t+&cV>J`GvD7uOKKD4nmktS#EUgJ3Fdc-Vf-8*;bDSco+l8JL6*K=aW!`P=6=CY;Ud26lPWzqDXGTMy=gUkkc z*IFcBzCI{naB^+-39Y5XRr#Ossk=x9L=QEwIrQifwAgehu|zo?-g zlBAP1gl8N7G3V)n$W$ccu#x(n)dJCf`(JOB11zGg59dCJ>h#2Uxm~Vz-@g~As8v!> zKs#B;%giQ{-)Q6=Bdiuz_PkX`wNk1mWa_bsh2YW2C_E0#)`Z5PiT+*Dl{ai#3g5C* za-k_6PKRgj!*xXRSLa^X_gA*V7^g?!dhAMq-X!|FI;!|u=lG;#JeB7Pt4alo$3};L z;vpd$+p4&S`Semu;Jj`(Byhl^IT>c9)nZRuXZRp-q*3 zRgsKrNlz%H!BT8$m31kdlvT657OuYwrf66Ha3vqNnEzk$kis?VS*8!{e3PBbuqMVdt-olN4+ko#H!`va4OCtlgp=|!lI5s4fhy#*MqIaBm23#f|n1ytO!s>mJg5} zd)}}RIO1SCsQ9IqXU_zkKman8hoRD-udPW&bAv`eAd5-3Z|k|bHVTE3w5)crc>(_P zGtv5J!x|sw*K?UTO|5h`*RnC|PgjW(GyKdr3{dcqd4_q=LQl^Xm$|&Ij2r|4?__6B z7Xfd|9_^B`(Qv~W7FsYlhA(aYUG)+>PYfd%R6%Qyh7ptx5IJ67_ zJlo#8W#-+R}njVrf4XQp&-%+D& zd01n$(jJH0kE3qAM@iGPlMyS^u}v{!?yJt zU%!6c&N+aeM)v?hUA%t-%Z%SeNc(n14(47IkX`wn#l2U8rq5Ns7jMh4|sfZDY`ex6y4YR%MfjULm_Tm~SSX zIdg_zLSo1VR!VGs&}~lSlCddmO$F6|8u#EGbTGxBxi&O=1G2uAltlKV09V1aQ?x1 z3H=gjTCxlm1~cP$FyR`do2E^I0I7sIrDnJKaE{^ootO;S`|PR=6qS^;Zy~!%DCXXY z9*1b!!|#H~Q<;!Smae{Sl{k2x^QeNO9#3i7Xs+zd z!1X_`?0K=M$+Bf-Z00!YQ`^LwRvU`!^*k5H-BfD(V8$ez!- zLVdx}s;t7+wbaYpVdp2N)9Netm|GqYa(R+V!72Mf>6DMO4wKBzrX*|6!R=qG&A3-iG-R^$y?h=__YVF zImBfuW;hzTSbGVR5m=w(9{*0E=?fxPaTQji+=Ly|8!yDLZxPKb_vqKF^Lr{}oINqG z=HYn3&D76_W07I6|9Oc0C4ytd!ZQHv_E{Ag_9GAL8>8$48OlGKcEqmZeWqAxG?R2)aZ%J z6xyN}bk<2MuALjA(+@G+v+T`Y*naa5|Eqt0OQdwkZVrFW+98J|SrbsdYhR>`>csUe z>CtXtT4br@X{?`H-?Zb_#xj~@SC*psm;H#uueF$wV6BASP|m>Tg=X~Lgj!WDKY>?i z$gT)EdR=0hv3t~qu2V$k06mF_IfL8wu$VEGWw#mcFW}oa$er8?@2CVvlw?XuiXkXJ zpi6kKe|DfeNzP@{Kzo1qjIbvhgNuj;X# zZ)lfEnNXcmz%yWZ?QV!uZ8}5W$IQ%3ICyTo1$4H?^04-|-RkXQ^}QMWg@_Q&7;)~p zVS7h-37-*G9X2AbqY#rbkgg50=bo9csg0e8>!0@c9((MM7C@lc?$v9DLf%lR-kqn0 z?V#CB>-nHS1Y113yhv{C5_{vQ`zMcv?+NiB4T?|L94x$PUK$#7@Nb3s%El|Vh4jzH zT^u!ey{STxD$?!3(j2M%Y9ExO9`}seQ$1?g+Jz(}%u7Duh6$5- zqUko867eVx0ca#*Q5D0-YZM6cg(tGTD4aWYz7sg&e;y-&aO0FbSMR&+_$b3Fd*|n~ zIiPJAn|FYhwf$O8pwQHJQ{gSxrD70Xa?CIds>g;!p!}^)<&NDu@y~kERhjt+@+1#p zqxedMYz*&m{VskWrC^rzyUUaUTY^^7eFzFjq;N31fK-B1i@}OK>bK#5`8c7z8kH0m z6$FG&ux!RmyO@@m`onaO_0)M!(TzO|!A7_I6&W&|8m9Aa31#&I{UWiLu;J5d7*KH~!C*>J8h>TOx zYUeEe@C);M?y~+d`2Tm3rjSn1W9*OnP`nmnp{ zN#qa_n}0r4DXiHd(0xTQw)fgm*BV31G_9L7%ND;NBO2M6BoZT+?|g%rZdaG!KIs?H zONL3}IwI4Z=$Aab&vldbAw%blS z1G)QvllQ^0Lo*#$;pyqwZjg6bQIk@LTQj1=?F59zM@ek7zJ+V%DRKxzeISUM5TuBUZHHv% zOc-V2>(}mJwS$LF3PocED^*V$&rdc^aTU2SQghW$+E?yVOL-vma%pmH^ml6O&8o>iYN#baSge>C3pIu=9O-_n zp}bG=bT34ibOA#rJFK!cuN5{=IAfl&dZNZ%#QI8m!;#9ACq|@-Rn>{Ze;2E?Nt#u# zy3D(k^YCY6Wtq@SLDx;!Q!K@#;dyKIbi_P#yF|d_R963WaUWV*T6+$a;*88}umNm4 zwr@wsRpp9&yRej$56&7C?;Nw-LpUZi+5lJO7ebVvmDEI|e8>%nc8T5qZmS9ZR(dx( z2?@HsAc7gbe0S_+I<~oXN8v5%Xco=%=V{{q|IV%F-i~*vsaJbnXI^7`sCFE=KP`5` z1HeswL)VFR$vA2)EK9EnfUkcbF_xmvRNYX~(X2jxG$!3Ryo-A2?%L6z?DtrX&DNr8 zH!v(P`~gKvU%#xoJJRwXdZ$Z#(1EnhyvV;Fz(Uw_y3Tio`syu;)Ra434GGxMF1 zZW~s&xfCh<&kc50=1-3GABuhBZlJUVZ#$o=XwY143~l8xkY=lrza$#sn%MGJTK!_d zUGd=8N~wQFnrl}$cmh)Dl{f_Z}#0tfbl1n$MNxH_ckoc--^RrdraNf*uHNQ1L0?9XK1)W{jJ&1YJ( zxBnR;^|${cvzb5QYT^3f3UWf@4U4ArNe7x=+8A`@dVT%o#*uiv;tT@APd{tiNW1*< zTGHyJCkN{+oj9~a7W5iOm4_DiFNjNC^4!7mrv8wY=b9u>quLLm5Ur=}$NdVog(%$& z4y=QZy%u5T31xZ!W0d8!Dsk>nUrtG!C1;KnA(vX!urN2*yw`DhKpAs;I|TrARM9T+ z8*3qnI-nRzs*O#>jV5|pbA{EV& zi|$WM*bsXA{>i0bl=Ybag@$yaQJwR7{efi7 z2hPv26qZd6^IyNNe)Dph^Wp76ZNk!1M@RhPpOHyryI;^)LgZkFoFF1}M-RFjlU>>MPXF--g?kv5uH3j$*Z(BocALB1!;2gn zS8pXfIj}#%vY#uU$vt7_>bBGCTskt6bu4?u+;g9Os3X-axN>ZC_j^7SMJ`QA`g41U zG4^=(Y@nw#Hohlp_$KHhC=)sP^TT?ZndN`HPh2-kYj8qegM0kVrR%I;(!7Jinw>S7 zezk6wETX3gR;E;B(vJsoG*oRU3?W<`umi3u%=O{NJ|T8FoPJd~1uwWJhM`Y@^JdNah? zXozMZ(^CZ8fB@WocWziTkBl59b;DkxSc}w6URzf@Xct63&*mNd?nwLb<3|l0otvdW zQ|egaS#4+Xh>L?z+5j_4v_jT_FyQ=p+A|m8O z;G{2Kz53_C(^!MOWy+%i*_!<(B{Lo7&thi=^J5zmbTfntO|52*Wqx!Im~VQzq&LIj zc%;+xNB(%;pVx=) zdOCSb`a=203u8@JiDPM$^LdTI%KFOM{?+P(tz&sSt+dM{5yl)rjfNqO#v?e;i1xqV z{Kc~KuT`r1DxbZ*J!zV}Aig>MT)oyHz5!|fz;NO?6c;;QvTm0~o|^Y9Q`7YTabzMk zrHHhH!3Y?1g|CT7D!XKubcq3zTHFp}UCE+md}$2J5)~jQA{IM0w9U~Dn6x10S$7Wo zkB%z~6q@P3M?6nzxsGy%H>#^>3cm z+~{1ylXl|5HkWuOv>`M-`o7m~dq>gz%(4hR*BH}V4XBE0C61M`7$tha2x#dI17A3V#6f5p7Nv z?Y%zu%9ThLUnV7KC9!^9%j%2?J|O0fW~4dAkE;VF#co4Q&$@8Cs0Vk< zb!@Y&I#UaGY@}n{y!qI$fM2bt!P*}0U|78N(}I^gb> zcw2zCmO6huS_`Qqo#%=cZY{XH6vfxkTGM|D?Siq8b?Z`6T_27mOXlGsCyqu>2x~A> z#<0mmIG@HAoROTd{J?T$p=Ot~X%pr4M&VS~rOGbTTe~g~SGx_QolA*g{kwzzn>S}z z1ojwmYi!}4DUThi@H!3aSC5<4>IZ_|(vJ%)GjG}`b}&1pcDIM@6MAvhFQ!lFi#L3C zb-%gs>(<-_2xm8~on|G?e;*=+ikhDZ8m-&?tMRhFNX8`kal3I9kUWTjH|x}7cWRdV zlb8B#EOI{VH1GJrfiF!XuO(u~jvdNzYSuW5NXrIf&}PblCR@S)utSc{Kp(i@=xMW6 z)&!uC)@%ad{Xu>FL#?nsyQZe5-2p4@X?)pt6VDPn_4cR4CT(Cq>Y{$1OVo{UncP4k zLajd_Pt)qPgW3T~3m_4O8wc5AhWUWwuYw%KC^!LqIfdi_y=Bl1bX@Rb-nX;>@vytN zRGu>YS@~&~czGp}~e#tixwE1?^?6HAm*rRx5h5QFqu^sseFSGLsV3c=~l~x)36y zeIWEu@)*&jqWbEh4BBu4A}6zR1!}WG3{OMT@*w*4-2V22eOPi5Wj$Uf#vQ#jwN7M$3&ap7x&n`NxCVVb>qTB@6^CK(YUq=JqC$|$0BNoxS98*w=h3gc_r&vjhr7g* zm6a8l^qX9!~6XJdLtuN?uvr$*XAYgi^YgL%+N>SCWN^N0!H9lw$v{87RF6DYZcf_tz1c%qqws>-tA&HW#TrYEIv=_A`ACvw1$X%gJ!|ux|NmW4D+aNNAA>eTK zbzxRf2Y|b;v~8=Umc~!wI39pu$-<}LG|`WWf$S_I!A5jEYn7U4l#f2fkPoeSIy>dnEtsC zc?)?}k?3j>o6z4fTX8;|GufC#N8M7tP8~Y2DNmF)aBeedgoc z2DM!$T!+M)?xtLS)tlT~+_|uMmF8=Ae z`;Tz>j}fb6-|u&>Y!mO}Jr!#NLK0aH{cT%6H8MI%C@3^-GL9M2%NNa^_HXbxXPKgQ zo5jPgQ$AV+K?Lg#hj7Y$G@%coD6>k@rKnYDoz7XBQ2lx{w!TDqxn5dx`z(6uHMF$W zSU+9dI89efIIl>AEzfA*A^(kB2M+K~C2X@N&AYFTt+J3wB*icZqx5m@EUPQmu6^2i zn2nP&vkNC?yGvDNC1TqHA8H{r2nU)x_veDQ$0I8Gyq-%-NIb^Q-HW0u8WJJ|c3^5T z?Mf+Hk$8O&)aXVJsm|q*)-=+h<`0yl7@{ERA%=uR4|Qf6rEXec zTYF9?UARiTU^&KSj0_ zP#hdcGrB>4tBEux3YNXsN`h&%?5e!x`mc9!2;=e$kVQI~PoM#^#{Ln{yr6kp;{MkY zsHrbING{1bHzh<_kxY``YFwO=NU@3L1$3xfMrkpN^N|`8X0D-}{XbuUwE+G#vdVYZ zCJ8j|P-H@Q@mef4n=^L*coN^FgVM8GLFz{2aBcl+*9^y`s>WUyMn*=B@e^1%X`V0c zo$AoKmGHO&fY62*cvlSA>@T5BEVc2MbFH5C}Tzo3BC|=vyn%vTO085BBk#%eXKuq-k zP+h34L( zA0XVhcsHiE?Hr-TQ__bG+eO}C=)Iv<3lC(d^Taj5g%)q_WKAaP83#}vt-F5+uqWJ< zSk^e7-Q+OU8WrMreAfl#^i4$iUq+Afksi}GV2`fzH5R`yUC^UHW-_a z`yljR^-03)8Mo2Ah~@fV+b*u^RgUGt+iqd0^lTQ)v>)_WXY{iyoRK$&5No5*}|f-oYh2-1wauENosY;mf^*%0!|p|A3(=ITK2MlVq+M( zJIz~89MzW*bO^1V>QqRTG4I39mKJ`#DS#!RVm2Q{={?*^7msxbhKY9ri}I+1VQ2jd;pTqQFHVwhw~vmg~((r+G50rWcK@wMZRD`t8wxy^T28p0mM(oDVhZzwwg-h~w=Egr@O>7kQ z%dGMmh0&5ddIaw))-=+x{P*$kcdhYZ;n}G$-GSRUZ^(IppkP5MWdld2mSq|4U_!fMg`?GU3y8L?J zn6h7iPl#D355vhLXPRXR!*CO@CJ-(UOhl_}0DFSipv{{NEiJ2ZjEzKP9&Xkj4Cc{U4U_g$pJV$IIKw37NQs^2Q!p+`7og{X+51>z?R93i+OB?++ohR@8%a5(c~oNLi81djoi{{+$h1<&>m-I_-yN#C^glQg-J`_7t!+MvNDl0*kEL^KXXPJ4MuP&aa z>ZP08<%8R5G2QP?_;HhQR(Ln-&YjkR&~Y@In-j*zgqcD}`w0WWhw@DFs@mbr1I@>U zb}kJ>`C9+@w4vflY6@ehKD0g+tX=s|%z#FQ-gUXJy29(PrF#QWuedfJJupk+rF7$j zC3*Cg`4SIQgOn>7YZ^G^7=k$UoU_+?lYg;Z6Y9#k%q|td3PDBgfSgTo+NJ~ zgFxBKgz4zJT{>nLU!0Fl^xoyZB(O5zyRyExa4u`(tGF$%^qCWH|HMF~7%FxpWIen} z4x%iXnVI8oW7CibsEPP(g$(E?o$w6yZ*03d`#|$*aaDkorDf09>dM$e4MGu{4$RQu zpe_yQo*42fuG&HWJijY&>*W%WraD`n`J?_{?7}YUhDXdu)m9162Nm|-L9xm)t3uN- z7Xa?Z$Lv&V=6Eg}GkRX>oUijf8Fry5c^B8gSj+zRxvx&p-_JZJH20O$;J&`5@U*)0 zNN#S)-=BW`ACcbwgTN#E1^L(I+TMBmt8kA_wu;mfO|uA=Srz||uVY#1 z(<#Iq*Z^J+#T_A2bf1a?NOU`tVef5eXUA0QIvanW7)m^2`Ky7!Ir^e{LmbXR)xmwU zEPHSwDf?hV^Yil~Ee==AZHwK%tLD|?adY>6?I@cOr^F`Psg>r$^rq*2uU^mm@=Y@} z)OS^2jQ(^eN;cxb67SgFqJ5|0W*+#r{n<+Ae^YMbxHVQl zX;6f%=)u=~%`;Qg?gD6oS z)(i+twgYLq!BvP;7!e}3^%9r z7A?J8T@$%W{)X*+yM~dP+S)CcwG}LULl_eWIiU#j_5xfluc)ZSUHs+CdLmP3sWoDm z#lvmGnxlLFHED)UYOh87gInK9s&VVH7T>fJ><@baj&Vf?|mN))$SzqPRSf?atS%p`Bpi_{`<=Z${$@N*K*d_^-A-(q}VpT>lV6LL7pxg zKgu!VR8r)`+qicA_3R%Y@Ho1#AQWsnProhLNma=tsVC;(U-}a2XP@wutUP%iet|46 zvOD(i95`o=;i8Vk!m=f3-X-GerY|?|u#iOWy-#k2aX+R7BpysmMXa)u#^G6SsaQy> zk$Qp!(efvQ*wI6<5XHpA9F|!c|G1gg`dY}&cT!W8LeN;x6Bfr12Kpx$_I(UAp_tcq zjEpFk#(1U5kaCACC4}8sgXD-9MP{q^)>c_)+U`&>^biIZl$SOUm+r`I=Th=&gd6x~)!$_6+iiDquTPL=vQknbrHJQ7 zY|yO}MF-~P>k_kv9L5*E3=b5%^@{kzjg0necD-B6Z&(*ZX&eDkkB`M zE#ornV(b1Y^FfEiPPG}U8QDubi+RHYpM4aHUSqMTAqZA-;YkFX-RY&%1RF`g(k!G%zw}wX< zS}CntV6E`6CFw#jk$JeIscAcO)Rjkx{A4tr+vRp1F*Y$t63s`2_)Lkpz~_ZMu2RTs zY`O{eR;$IV2SpMkE;ld6safdtPKXgMm*e0i3CWeQp%C$Ba&oS)O~z^!ru73;O2R#N zxWfhTFIbFc0#H^|3U9DqITFmyM6Y5*fFsjpsDb;~9C86@NL{NdbKuSTt{ubACSGZh ztG~1PT6SqSc0g(|+A{s?OGX69WpEnK9C&sX0q*V23619SkcL`V)u2lm`1ej~Bp5h5 z1cCt*dx(^rw(M^zPbtR7zFeEAIFqdia@i00A+b#e7W^w9eJU~9&S=&R0W;*eqedM&&aKgz*zamKdpi8=F?F{6 zlD;ZeX7XiLKT9L?OU#b>HXGxj_NeFME6CG<2qKEI2^>5!V73>lvaNT*4~ z2t4Rt>uFLG{uv)$lKtZHT$i*#)+Yg6tb_vBU^P>CY&vWfR(ayM&gCk?%EBZjT1pDxo-IvvTbS_rHJr13TVTbs2oexcT!- zwE^!UI-NEyA%nt$Y_e?o^lv_*C`%-$s5Gg6g@*GSB1a-WA(ft{mc%fUKmMR8ZpuOp&tNr;%ehs2NvF*UQC zK!Q)6JZW4YA|P-Z3ujavEr0O%z`#L#yAK~LfE5Eh9jy+J^Pc?`UeTABf`YY?k@Z!3 zx-ihCE>(^QRGim7sQ#8v*va33w8DJh5%Ym@Tq7N+jsGrNT=vjHoK$bl0mxCjI=^f6 zW9oC|ZA2;TR~p0zBuZCaORZ9CFpj|A5LBSXE*vEano_7)u&sqB8>x;zY@i<%Rm8|Z z{8jp2)GGB8LIeO=m&Z>YGd?G7RXM5Zm8`~d1wB5cw@_4``jxO96W{iO?`=1UTbXyo zc-;rAg_)#v9&A>tzFUo5^pOrRyZhdpK)UE9MOJg_(Q(F^N7mJIc z4&G?Gc+vJhrhDE9wQdJ?E>1#uJ9=7$c6ZmAhtp(ry-T;!KI*;8Xi^`@0;6k9Pjt* z^_)4o$is{rzZ7d|@U(DqYpVbP&;AIxsGuwd!?3ZCNNX#VYn~s=yjo3XP*k2%RAh7H z3$8Tw`glcOE?_+DH(svB)F4L3aAl6T--(-U9_ue=97%TkU7;*sC&elH_N4USe1^D6 z{M#g!SpDIN@84yk_o#jg`Gvu*<9f=o7G7#^MLyWZ9 z^Dq=An1h!ZAIIXX9v&xoi3<-C2M^Kqh#rqlOro$t=T~Z`;=KQidrwjct#_mh569;b zhi)ptC|nHGM69W#_=LiSzL^VhQUD!&q+^-f^yTm|Uolsr zQ6jXLJ9j3uT3kPOnPT!y^01wI*j)j)1>^!p#%jX&Yf`md{Q5|u z!8yl{LFvk#hra~#+7G4GQ_GWh{B$#BU)$}y5y%M)BPt(7I!w>}F}7Mpkz&DLn71zA)Ywg#Mf2dJYTBQXWIJ{8 zjFdo}|Ed?EU{_X3tbi0_unf7c6PN~6@M|_i0xm_GX|IX~iqc(*5rTvlbzPF5mEok0 z)r!o}$9i*a@kGdZVRYcVb=PhyZpAu2EHp9lUM)E(S`C}Z!-{QR)IWLCu_r5$`_IcJ z!{mR~jLZTwq_#=Cn+vuuv8af(0EoBTH7gIbHOR0a=T(YFQ0=v5$;B^zza@RQ|JR}I z)jr{H>HCVAkq4|k1^Rj1={{)nSKfCqzA*k2HXZIgRyn6*^;ApG#p|W-Q!+{xH(aGW zGAMAle0d#EIGZ;_^gcX)!qHg0Ollg>*k32uTS6lq@wBh6Poh+Sgal-+PhM2sIz!+w zj4E$&K2_YrCtX(!u{#kff?xmTSYEFqXt_b~ZlHdCU{Qv0nY7X~0?Vr0{KA5?Dc~4V z6@fV>b~_l(h1CulT4#RSsJezm&CTJDr>_BzA+30iAPa7tvRUMHZ*MNbP}lrIM$CS5 zRR>!yak1Q<^UTpd0YE)v8dPp3C5f5DqcepEY!2_ofdO0v02h{&{F>PKYtLwi|%KH8KXC}MU#{F%3Y!@;%WQ!-EYXsp&{YJT&| zAYbzHm1D?x5$C;$$gpqC4!5&2=H;mZ0f3Wbgo%BOIbSaK0H#n_zn8F~};CytJe2L8ymaKVC=C<&b^ z>ZZ600bZklYFe8gy0G|_?s&T4PDb!QvL0H@9~UC&VnUD9sMmPmkU*quZMsuO2q$ z8T|J>h)vnIZ=T=wN6hA#NoO?6+(5hGdiDOxV{x7IW9Q*RyPY!;sDTiXNVp;4lfuT0dBtPoExiZl~Q zd$qkcB~q$V^08wKN`*#RUkKA=FgL-fxyN1!*^tio2#!l_g5j*4E>|75WdvvFvkgT2 zS9IkfH>oOXbu`P6GD@sU*4FT}+ELp{E@+9M=et{WA;t-d5d@t$j(uq(FQ7udKG$uX z9EXZHxKD^%&#{GcX6#zzH!FXV=bQB;f<{71Hp%y*oOfi%XBTrJVkIFVr!-taBJHa6M*>Jv2;vr7zS41=QvF**Q@?LS!fLQMh z?zZgrj2=7v7FsGk{J(LHMRVjwRtJFrC%2Gv7g{IuA7H_7~zzwA7vFT2l1z3j;K zWI7Z^d2|$yl7`FAP}b{Q$Gmwv?P0D$t@!ysNvGz;!z@*=@@oQm{Z|i&8&R52_vELX z{Z9=_E2)c5$4QN0PRcwoSN~nb?9phv2Qp%;A7|1y)G~E3zc}z0XMHf5m;Jj4mNdEe;jB%fN#nu~I8uhH6t+zz>JEKy+P3s+DWE zUrTMcCSh(*y2NY$pcSrjuTNu-QdO0h?ePn7hO}&bW1z zNz(Q?R}t+=pr~d^aA6+>q=qhM}Al7`ARu8(B1PA=72nS+-Vk}Yj$T|zZC_-k{ z*9oE>d`l1Xm4iFu!N4dDZx_%o$FCApMiAk63Zx~8VXSbOtqzi`%_V0NL6xA9;0=&X zM$)ey1$d1QYMA4k_t&%x{N zaD?4Q`nSbx@__QudgaKm+m-ExZgYBTI-g}TmHM2UORT)h>}~02ShO%(@z$0WWFdXB z>P4H2pKhAuN=fm>=Sc?~66em1eJKvC>L1;|F?DEMZem6|U72A9uBNv4V+!Y1HYFvG zb=mRCbS8%uJ3X;!ZlJEO(dd-xOM_iz-BVAta4b78{982LN)eK8L?+ZBvbf)iy7T`$maKI-eRIa!*ymxu{loCCu zE^}c~$l-`Y9 z9mnk5fFI2aL4?S`CkmZEL$D-|Y2{8BGuHBaprN94%m+-L<`zDtaib9cGJvgR1ZSiE z=#W7s7aX!4)v6QCj|`5_x`i%+qZcDSz>##rL+BxR@hEu8fQ9EC?wNR*j;M=NucBMm zPi^oeFVMT%NeuUvLz24vIM08CBYo6S*@4^}(*C0XPu)B%_gv@nIq+Yil|)bhEEQFv zTP{>u`hK>m+F14U1^suXgJZEe7kUeoTB9`0e(1lFy+9UStt*MUeJn+D0+!{oGrR#b=2pkj6mM_9xFXuLeR~1hh$G z4U5kDZ>pu%*-{D$ED8MbSS3CqTbC3t={H}>0VGce^)w6tT3JM0gT$zEr6Nl4`i|}v z?qZd#Sedxe>yE|mvPF&ZBMVvJe{DaB#ez5&A$TKhu9z&otkJJCUY!Bw*_NQ)Nc@sH zvjRe5$Gwx*zQa*ZH_3 zwL{Tz#YJNJ zC`uQ2X~s}*KUyrobjIHyfq!2;-g$6eRenQT1J`Rb|JUis}l8JpW$xo zm?uWnYE+jAFUY!&KC+Qik(?n|5oMJHSA2T+P;i~$n-?ydyvZ#hKB@T5D zuluLu)Y_WX8ZfyMu76Qc`UL;5l;+q<_HK>W{T$6AZOkQcV!x}XT{ajk{oeQf^RF4* z4dImlhFNObYCUsNhpT-ui?lwi!C_mjrD4{_aqE7GG4=Gl6qEM;m+S_)8SCsb!uXXo zC&bes3}ay+n3XgmcISECL&fs!Y*Ip2J<)j-IRpR!7x|dhj*}6om?eR}0=toPf&l@@ zEM2oTciCKzy<{9BrSvk5=}2{DVit|l2loaLvC}pSN~=G@kUBY0f;FG;6=Yaq`5FFF zCRt`Y&x#FWL3C|De11tT zD{FrFqOOHsH&dk+6x7KU&m8C+4^if3x)Ym(6(ZE=KONe;cW)>y=*rHKro_gTi{c(D z8U{OWhySKM*Zqh0B=5Waw1ewn(i2*Nz2VL@(+{IL4NZOul#aIj+cq*qEca-WnXaGd zQ05zE+-O&`BdkXK0Hxa@s-111A_m&ZMBVgmcLZ-efN1EkbJD-91@|ooVs|?OZRDK) zUC7}Qs}cMH1`B{N+YOfem6rGI@+8WPb)U#U!C^$tjx>^OSabid;BOhp+sOH{;p3KP z(tFQJLAS@#`=`?lXJNDeTEBVPI}ufG0)jBimk1mBOujoQl9@!uC2g)1(`@Yht1N0H+4SAY0nhTcxlh+pSk2=zpCFUUsIO9PCoMa zdim6#5-YbAW=){kFXPuSoM-uK`F=bf%9>(JE;|Ni$*TWh%Rfk?{~f%Cr0k}f^aN>Q z{qO|CWB>Wd)!6l6Jg(#x=BRMtr(;$9TXxIQ1w70jQn}y3YQHO)Z_;6JunF6-ww!@t zZQq!{=b2Km4~d^`mR8lICRY;_H+g$w`43}nzV$UKmDV&bzlML8WH)=qST%F*80*35 zlzgJ8VH-IWV)czznQjKH|2&?RHkd9@Mt;L}KUckHbD#XBwT=D7bk5yUvL1@L)mdzM zmdgNF;kPtU_kDU^I=lEecSxF1RR}jciPR{kT}he;tzBE=$9_+C|7#XdhPsvTq1^bW z&p#a-pV(fG2=!2}s<6vhMIxl!%;=j(`E-SnTwQwd9pjPlGW!6dLTRSO#5%534eA){ z6=~*RzlDXxwI6SH(#`l(Oyl+4MLKihn6~XF069W+pkf}b#Gnh5Z5o+n2p5gqb{)x) zEiKzRIy#`>H$Yg6h@#?V5}a-F3C4|nNv$Icc~=@TTa}`G(K#5wxqmv4Q@PcDBBXo^R+{WOvzBX%#`v? zzqGF)!ydZn{1dVw)Q7s))1(q=7x1h@8!n4(=8YQ*(RRvbwAdd-RZ#n2LTPQ&i*4H>)-H(e>0#=;0X^2L@x@0TO^P<}H`D|;c5r#ao#=Yv;6%lrGEJxaeUe;| z9~BvEo}}qgts&Ni4-%WmlRdIh%KaW8qpPFLuX36t(5f3l)1IWW>XqwNZ;>S5?O!-hXHxy3nPy*YwfFx`mqMk^(|3xwCi6Q)!;T!I(uf$D5RFA@K z4^|S&0=V++r;}U}R7;c$(+@KS5V2YA5PzugWT03C!g10R%4eS;pS4J2OGn8X&cn7- z=?YIYAD>yj|GIb4YMs4}LQaRYJty74QNMas?sN?Ka}$rC{yA5Y4y=dkw@)bs;gZ%@c5v!R-ul*^8V^R#)KZsKbt>qRXecNAd6t-<%a*tR2-r6|pqv+!nyTI-)9}!^} zbGD;l`*PpK4%>>82}d>z8)g2GTDtOk1l;+H8d!d;Ua1(<9M%7(tiBzVU~#K2i+k!V zX8tf7`zJqC&))hDdT}rJLw-Rb!P`p&BgC@Y0vi}O^JB0^_$(pMLGx+T^8565LBi?- z_L_c}77i+8ptpZjGQdxL38`k6J1d<(2erQt<38=~E|}HoA4|7`$Oew7I#Hu3#X)%O zw3YkAVj}I$`&r`x-GF`OfD>MuIk$GXrULbqXoXl{Stf)7n0qQPoDfl=B*^)p&SS=> zPYQ^PjAW?4OIR2bNE~~QVG_98h;aIG7+rC%Elv%$0T$};z)vIFy99G=LTVMN)6l<5&@6^>Ur*k~gTRW{; zqg?Z+eaY-coGTx=A-us(C0TgcGlgDJY!$y25;-;IOsHZg$-4E}Ni91II-{NxU#kAW zN9EJf{60bUp}sl|3~ZH`ON%1K!95utrlu5(RkH;9wtwCA#8L0_>6MHrsg0YB5`WKp ziuBaOzXhzn{vG&_af_&nNKE4E$lw(a->&K{ntK)(P-yw1mY_(5)=9S8mP5X8E%KeU z48?OFVPKEz&V>}5Fv~>fn3|a6XUyN`_xr0!kybC-0_T;q=l2-PM5u|hS|(e=fbvjok%RJU|R$7_D2qdgQ% zr~!EzTpa3}MO3E=6IhHi9SPvpuleFf4RlOKr=e@EUMkGV`P^opgdeGUuM~tYG1YT| z&y}DjA(7rcgjH#|x%&7)=r_1v+*jF(R2jwt(huEyVo@d8KISg)CQjo8 zB|;we1>fK@OPCHXiPyiSKmU`_#JWh~&L!V|Np-PoD3?_kPIp_Y7*5PC3n?|dn7gvf zdvIag2NWH~)zQ`P^$7;ceSIbZiRk>rC^oJrU}9`bllOoT{e8jos@|A*P=}jg=F@J= zh`l^2^Hy^)D?jQ?9{DCt3|wK&6={>G@c|0N0o6(KoV}dye$v`3@5V>BCdEk0?*G3L zgzc~vS6W3E6pv549r(6Erar9rkkmtMagZ zPn=vt1IsIj3W$`Yt;%aRW2gqdLdYdAr0+DlzV*V6&*)3s7~tnSiXF;jZPl0Lp72g2#=iw*_2TvT`?Zkp@CdXu)GYzS~G~` zQoEnoIfg*-XzN)N)_!OSUR_m4SXsC9#j)Z%Z!aNFQBAsU z_nqlb+9wG6$XNgL?@VXu+NE(OB%y+wZ}IwSl?tPM|4Nls-T7;#lne91yelMKhEFvH z4;SYgSM{$32UZ?ozb9!{Z2Y~-T}S@Iyl|&FZ~T|Sg z4=WBWu(V~AW}&~O=D+~qlo|mQf`3Jkne!RnjmiT+)3EFA=ki3;aIQMqbRpx9kU0Ff z4tkb|?$DY+qFRz@fMOkQ!c2MLADPT3UKEaY6oLzOD!fNj(fQtW5seg2n}#R?2(Oy( zD!O?8V-gp;Nfe#ki3PX7$bUTmp=zb2l2U|;xH;oIBe46wSc9vnMX!sTfH=_?S( ze5#0&po%`OLkJh&mOEM(MM90rO+8bFDNR!Ate!6D4i-OC;a$DC$=l^#mH6#B* ziFckQe@#12(Kbt})!b-gmaTJ1P2<2CDYWoJy@z&GK-Jc zH}>0&Ffhnd*6VF@myCXk;VeX*NO5_1m+~rx9025SC{o)m-=KBdKj3y@=5uXvkfbOP zmt(}hO0_InSc9>IG*1=Zk-)=4_e(Jkk%u+E(kU?vtpTT=lZLa98xNpRrbat1P3WbFaNVC!i2==&N5TTbE?nzgY}DZUcYl37C^2kRK4_w zlIWB*?SH}1g-RvxI(5bI>Y5++k>{3Pe(&rTb^eic>Zx!;rfs#-!}m`@G|Np-C28iU zO;uF|SiUPPEEJKHv^rLgNfakay&%Q-u!W!Br_oA;1-3uIX8_{ExcKVZ9%zCnx1x_l zk~{K_mcrt(!Z&Pv+;>bo)RvlJ)7VdGwcLwn4I=EQUQuI!Ell{NdKX&?in0yx9d_%Wi6fl`COZQsk&&53o}2BmnbEQG|C!0jq+8wx@|NfLQ8|4>LVx^&#`p9x2lvnN+GAGl%XJlEbdl&Xf zjESwq%zE=;j!7Q%oBX5zn`C4Ws^se`Dp5jDM9tk_gtr??o%{5JDgz;V-2V8_5ttTf z&c64(3PHN1YGWn*XC-3C6yW7k@0YM|5J3qLG6)jrFySFxL*TkUH{|SizUhXb&J@Fkm#Iu= zpFUTXY}wb)a_!EbFL#&%?dtr>d`-L8P}!uh)Rfu2%2Rj0$juvlnkZe0o0n;lsR~#R zMwZ^(e6|28lnInO>KX7w^)sSwbwTCz4xwyD{Fp%Q~=Zy$% zeDG4?v{vVm&z#w0`Zu21{mu0f1{)j(U%!4WneUSScb^?f*9+;7BDYqob|RtYyiG zCF44Vrv<^jSn6gUgAUh4Ps0Nu2^cqDLHa-O5!Ph`ZZ8v-zZSk^y1%X3bsqa-;d(pV zup8$Ho?!{sA8`}%<_IOdZbX#igHwDtq$1O--eQRSAPiS2#@0_Xh})~Hsp&iV01w8C zuyX~uaYUoNw9i~dA5^Rg?8+u^Y)G;cdA)W??q5O`1qknCh#aoBZ~}vrg*VYdL$s!<4a!_Ph(063k&DyaNk;#q#!WUxC2Tha=-=`(rk^bw!{K?KZGSX0^SY3$}mjJ3>@o35PtuwN~dc1qf98*u0OWjaZLMe zxK_8wo!kg{L`;=Iu()4S!ox3@qa->k4u#(x*-mm6{gJW0Gr>`RHdf+jjR!qSq;wsz zQhoUT`Edz>oBH8Z-M6t>UmnF#IXBsV#qoKIRBj?(^wD=~SOj>n1*d$29-c6xmO|Qv zsG4g~jnOf`oUH#>3DuNCbu7%Hw{d<)Tmj)`;%{MO3(zI8Y%4@i!3+ACRF{*kn-j2PP04_ zek`p}uTj zSynnJ{jR(?a@*`APQ3}_`&JdOTe*;Ap6wwcnPIP&u9%ch<6>LprhVs67k6&(emL?a z*QQNH!@g5uFU9gu)vmg%xx4aay(tD)ma1GoE<2RBwEC8mSy5*djiVJClzvl0+`w|? zk1o8Nq@A4iR`AIYc=U3#)$GjPZ~eX(4zVr#^o^VSmJcV)7G>F&74JYd$hBElI$pnH zW&SR~8s&xbf1%gy{3j^wzx*h=0Q;;YC|8S4|A9cp`>Ounuy<@)pDqGb7}^XAS8Fa2 zac=g5aSA>}_zG3=`NoHL8`4GF<*1PK^Z2IveTXkY~bmd0h9H5@1ah-`N( zfUoZS{Ly7|#Z1x>nF2fJA_|$4!5ridvYlLCPwv^ulmR|i zD_Fxw2??PCqy05Ih4+2y+WBPAivY@_xkAVulb;-$=%g(3Bx7C&VVA;ST73;#!7ANY zH+bi9t8afkdh3bP2^~SUL+;J1TMa9}D^(ibzR}SO6dpmsHIbN8omMV+F?5tp=BZ;ATJ(!T2L z=j7=~NHLdiDZt7Hj)=PwC*+C53+eaZU4*GdSLr%9q`GG+i5I}R5#~Zgt(&|gVga=u z+*vWT9%kM#SB$R6;!#}s5Ju0j@X&wctl3MFGY}8@WW*KVVFh+{b#Z`=KywMb`4$_| z;jdNk8=g8@SSIz0jk^5`gt=A;db@U^>Fu9|jl4uh>`w?9<(U0;RF*qyGc^~Er(IEQXvAwlf? zT%^QurB%86@ZiwVPeE?UKm@PcB;tEH^h?9*ENkkFbDu(LhgH8oXc3Yx+q>W4qLOwS{@Vn3OS@1O zoa~N7E2It~NEU?#N6Myxj(*E%zT%Cz{74z7UeW;&!{;u^LyVEBA{hMj}@G zh;s|2+q2=krm*Q)r|-F|nFkBBM%q-HbW5-Z{E0Mt8e9P{I-c0;j3J}o6AKBy@D_-s zC~hQ<3^S{)+uioIAs%=K-mXFnTz6wynr}>LFGS85LBAj8cW!VSlXk*wsCEw^Kqp+F z8r9bc{Ef>#Il6Y~hUms8UUU8o-&GjqJ8woLFGRZMdk-os+@F%m42DKybb8g-H(H{- zZ9w04$mfas*S;Oy66uqzOTl-4*V(OM=V#6KHYW!N+MSHbs1Qo$;1PZtFrn?bst(h? z`QJYq{b_t1c6~`5Dm_l0jw_u?yZ{`e#v zsAlcV)PkGpUNNSLy>Os8>j<%f6#u3C3$6<3Fp`hczU1VcJ%NCXIr_CWt#hA|ftFu^ z166*e`S>*i&1N*9d8gE4g8pdN`KMia*ttP6IuAGRCROQGF6oehLm1~K0~z^9Bq$iy z5oOmmvF4DBUOSEVBNGEr636dZHEU-5D*Q~mwZo!+&5*&EW#RhMqm+}nhH{j=yt%HO zQAe5xDBaOL+wM5j z*&S3j37b!yuq%{g#uCt@oz=NDL|y6$9nQ~doh)Ww{%2jRr}f!^=d?<9KbCc7DvDsw59MY2uGbW8g@ROt>h zGBUqhz(ef#!|}tdoQ(mmTwgJl95L>&%RdhzTKT9LE3@CBciBHEJHvk{SKmM9BJgBU zcXv@~_`lh#KwQ+y{3lNDyJV3lII-MVC^s!Oyi^|Xg=AEDs+RskQ!V|}$j{xb{{_CM z{ErbgVanZ7vYIN}Hzu)z19|G)L!4^0sTB!!zDJc<Et7mero|nM52KCcZj#XQ|G4~6S$sUp_~5gn!jtSN+es9rB1K( z?E4|Q-i2d_n|}YEnVI`lOstO8eyUfZkj3imZ#J{DC@^ zcwan`%0C>Gf<4XF=s7aOpRQQ;EoA4v8e8c0=!@Y@mZ-A$&9i@E4fNUhe=KbO-Pg>C z!2N#Ry>%JkX4`A)C2jMf)PLH>XZ)}nQqMKGu__0`(@n1`$pkw=P0N|wr>Z;qEB%!Y zo0NP#9~>zXrjiIzp$b2M$OCA2o+6^AJQ{BEdA}2FUR5~lN?jP~5;}bN%fPGP5RPi>lXA6-z%+7}(-67wtrHmy?FtV~)oCSg zRn2%l5hHb;FYG-DKat09)aa~tZ%1N;BFQ=9`*nNqhJVBkrHzG;-Qm2{;Eho;F$bbO zxUJ-fiaW_`B_5IAthw>69(UKZ^FT-l8XG3WnsjvUn$0&^EPni{-;?nmDW{_1drH53 zM3EtdxZ##A&n-Ij&@aXOQ=xSKOJni0|8_THUu(wpQgfs3{Z$PyV+#2pmo=U1Z5huv z_f3@`XJ{u$LAR;X$CjnMz^IbiKWTO3_ak$b`VWScx47s1;lZxu&)WL> z@?x@hIpB=16E|9J4k7?IZ|0FUr*lNeiOcFosv>orDY765ZvC)n*#^?WWBFu!pb{9ChAp|G?u;-Ig$_;Qta$BNmshR`MbnZAW9TgQim znO>ytzz0KHyFF9JPRvueJ&_~T3}HIYZDPLAy{D#5dX!2sW}MVLdn`^)N{YHJ z;riy8$kqe{srmywFXoe+c`7^A=~#qN@rDfq`{jfpK7Ef&#TsTCUzbx!RW$dHfR~D{W11+mZM))U5aPNG6=TW(ce?P z;-3M2tj86S^8NG~_E~Aqe$zGcFzDUnuwJIZQ0movVR%F*N7XZq)PV(R>8?grMB=Hi zo)yH=#!8C%Y+x4chWDJl4vQDDjretAB~`=+$0Uou$cJp!ELl$M#8*@m$Uq|Vjn;ou zLUaXowtS&Qh`2!_{pc{dLdUV8R)ofL=LC4VsV<8!18&@86m>9|I8a`G#a&J)Vgg=S z9S3P)0WoK2U#aIH)$co%#2lm=x;=8;j$(_6Ji!@UB-2hr!>~|?ox}@1CH8wy@o^D; z8I@FR`fRrGX~l|N2}<*RN0SUJSUPkL#;&wlNId!Ct+!h&?v&)6#-yyb2^vIXI0rY5 zw2$nU?Nv+|&ICC^*w#`Yv^G4P;<$W3Zr9^F=<}Q`p4*UR@tM`mEK4z1WYTa^0Y|tT z~r|(JL+zd&g#9P?Z4;Ws?-LF;olGIKmYQRZZPA%sw{|!jDzj2*-oPO zt5%RNI;Wn{B2@i4RCAM`Q|%iAFUhcKACs(~6U#brTb9PzdOyy8N^f3*L zHOf?7`+k{Bhu{taTBMC8)t%+1Vyd>CQMHNi91#(**v{q9k@!%UP)LJ&>M{f#o@3Dz zBNGg_rF}0*6L^FiSblh2szhQN%meMz+;{FNE84x{FFEs$)N&x;Qt}kOf2=1S<)&HI z@wAnS!SnDg!RE-TTB+F+ZrEgPsMF-G_|Ai_z-GkmPD)dzRoWTP`H?S%O_^<19k;K2 zZ~Z1wckcXKliY{BM;(OnVt+rwH6wzsvq@r3&P)F1m`HHU>3v_?4yWsI zne|NW-$Z3PwMc(;sio<$4Y~69;X`cK2mp1LxdIY_8ZuciE_r5HH6s>=x>^eL`! zNiG)f<%tTkOL`eB0__H46Pz}SZLSdvHoS^QH~j6^TGL;DVeLBxss<$!$f;P3rN$X< zwgk|zC_OkWtv%sad8KA4a0Rqsh!>{u%=WQa!ihCuibjx}Uc}*h#N%W~Xj*+k10Bbs zUHDXn!!&iFtwByF_I0UZKcTXO5;_$!oL6 zZQRWkEB>rZ3%@6j@3;Q1;t&#I^CvGZ?C?|yep_k3KZJU4rM)TnP^`|x-6sdN+3a+J zbY%}5Y>G-9b(4*VjK*9L%&NG-JmE$;Vu~=;s{+*Uu$f5vs-UPCN+?&VcF7S%txK6! ze-H_R;z(0LCMsY9V8lP3UtluR~2x+a2!DA5WtB+an{t$=+L?b`ksIz~) zPL7NRk&0#8DRk)V`)h}(=TaM=Yk~Z&QN8c4r{fu_^tM*p(j@%b-Ul0yX&SQf_8!h? zXwP6Ma(Ve0y4kH7gOxh+VN)kt)7sN02Q?=Hk4ctmrkW!zVaOiP@bGA!^B@<}Fmi<| z&fS?~`=}G%H)((P@o|_YhWjqNOjQUvcTLVa9)_hhmWDTcH{KI9CQ-hH`o(VB(AZUv zDGoM+ecZPOQ^e;k=DGXJZ!lqeV>2jt>D`Zkk7M2zWD~JoZ~oQfMIn~BvBJ75Sy$w0 zEM&;Pzr6kpsG|U#rsg}AXd`ZSqy#Uy*XOTiJG4q=ntM;9Aj`b0Kcp=n$*$}!ix|_xkAVostdGL)-Bs*LEig18 z&jXz^9mhiZYzeUp@?p`zJvjn zRq3?YoxK^WpYrls0(8edzz8>3m^u5}L4ax^Wv9=Sc`RnrB6Ki4#s$5OXj+i{G-3F# zF^7&kcCJ&Lme_<`fZT@1K(f(7L<)A#!9?wdUpsU?uj9KSgIqXgg^Su*M3mmOQZXU- zrGDs8-;rrsKs+k)4N=p8S7M3WTYyP--~Y_>lP6yZ>uTezyO~G&W-N?mN{D-e(JS)^ zk5{2k$xE;03{QksA!qr6_TOl--~Tq* zwEQV?7Qjrf>Pek3lxq%NMg-i~f+Y}v?P}p2L83l27QVGuBXY-$_HBDbWVOG4e}+^= zl&J5RM9d6`iGI3ZE?X-NKy#K?>%NKNi?mF<_x73A5%{&S!SU3|X!amXA4XE=fhsyu z6;Wdgdw;L*8CGldU-8)sH;-JWlk*rS9Zje~pU?GHDc|iRb82I(2XES)+z>)OO;COL zHAEoc$N>x3n77~@&J$U^SGm9hn|f0IYFaiW@1mx^Og#2H18zf=suIt9C3My6dJI_q z%T}LYr~9$VbbH>H>9O0sy%H}4fV(B8AxqqD`qU=5$!K)L_SOqYWgQ<{C)Qk*@F<}3 zZq1-%)AJ1b)KiFisJ?^;COZ7)Wm;`qv(U>qq&aW|-*g1=vH2vVpxpL}aAYpiBJCP^ zsoT5a-s>f~J8rjK@Q%xz))y+E=zc78807lOWx)-AczX#H+Uua23B^nY|xYq^cA!H8yolK(;jD>>6 z2CKl$9m>r>jw{X14#14Gy+96yoTvi^JqBIEmpcL(lkp4x3IH78X__cC(0TBs(d9oG z@}Vg@gaEYS(d$gN1-tx`kT{c6?F`;gIo=SJSQ>!hQ>6RHWGY+L87L2|{iQ2NF(ubD zzlWz`cvCxnZv9}utFo~B_emVrB6H*7=ASC_9_?Bf;7V(^+dok4W24+V;zmdcOS3j* z*s9AT_cprbsxQK11%{swF@!;Dp>Jg58gwyMF3G+1%lWq-Q6wg5vLQ5aHNNJm@PWQq zM_unS#m?(PI?jzRs%U${H)S$}Ch?tWU}_AU>)UyymD<2~aI8Y%`P@0$V0`-g1%<%U zz9avIx0JUM>I8siLAxoF&#y{m&%RE5ebLWxsPCm^{a-&$Mn)d^bn0f*lfJJ^R}dot z^BPI=!RdiTYJ3eg@bOO$c-7t@SKZf&9DZaK=VgR@{kN+{7#n}(V{o+HvhT;LWc$oE zfP2@4T^bDUfsDC-&G30K)qwyqqMxL+sjKUWrPRUwHTS*ozdx7j5#=|AZ{=U4p#4ZX z;=8d*dDsb!QzyRS&Nx^?XqGhCC7`3?{FB$!O0?a=n8DmiOh@Rf*ffr0Nhkagz4U)$ z3R)c>J$a6Ni##3`sGB26PUK>Si&vmZXSakq*?b^nj|F53LejxzcNvj#ik(2({U^b) ziXdu80iH0T6^mj#DDMF|@Z%$91uj2kxiJnk?379d=+C_#FoQ<9nABl6v0Hva@_J&m zB|lz;$gnLYa`5yXW8Qv#P=2%AErY9kbtSF}dQ#fgU&))^bA92k(Ra@6_+K@tmnzfh zSkq-XnTvy>_rF>9Sz5`^HIw^rEst$sZ*TPfoPJdxrfh=iYp*;_LDB+|_%S$SnI8?@t7yo4<+f(^Uiweg&q zDIz$xV+h|=u62{4KPDL_^LtTsB?}POA~AA8aL1;OPmngE1!#68H#c`-=7o}@HjROC zGvST}x=&A9?(1LSt@s(9rSC7TSeDgz441;oXax4>6l#uM1)7C~FT-m}=_#DG*qM(W zy2cV}fdjpO&RM9g=u=)s(1bv&p#fJEr9G z%TgMbc_C0-aJ>7-X!d}0Lb%M}nA)(rO?--hrCFef$@)jUuf_y}aBOsgAo=Up`LCnLvu8Ca zJ9IS9`}UNOt^8J!TinBSi9Sswr7-L_B!*4-cO~8qx+Fg1N0=0*hrAM(C8KF$^LulC zQv=6fXY9@4Vy0&}G7WD`p!izTm!?}^tuj09{vcwtj}>46Kc6CDb8yEdT_&{;BEn_^F3YCDr&zAxBN1EUn#%UwA!i}y z{_vPB4MgzwH`_LwN};@IX(Mwj8}qG2wJEXnJ$a^4K`xN5Xb4M8EC74GjEo{l-jaO9 zQ=^vOeS&Bao`%7hUqW~upSVvA3qxtyAi@N{FoB8vvOwN|{r*!<6H``^- zL10|LM~dntMV@3JKKV=f>$6n@>*c}mzRK>%w4eI7K9c-7jnr^oID2LN<0G#;r!|3y z3>}YE+fOt?l#<&i7?3Bfk*6Vc#(W!;3ab(X6UR*c6HGyAz}$`rZ~f5?Q-m%jlQ0;- zH~b1$8i@p@69SELGOm@I)_~t0S3D>G{cMd6(Vb3>7?i^cE^G4Q}p!P zgs8F0z!?a5RHSps?N_*!)2A}qiKhwNlSzRlFIrv7>cA>Cq>>{Y7$i0iD<%wfK=rUI z+MqY2NzPbab<;KJ}0qoQ78{T1#dST?&U)M{dtbZ8@j8VDIp!?&vo4gJgNa;65GGbVIa;ayVtf%VE&VP28p6v|D zTF6{`@khd^+m*sMtmeZ@zIWcIjn2O*8=lP-_09YA>B`zsBkBG$jk8j}lQciE?pCh6 z{mT69&cC0n-=1yB`BphsTTteeykl8_Fsn67#LUUZ#}x|xADaX`n<44bpmKr#q)&t_M!~mok)@a2^bdDc~6Dl()C;(<2+`mh3UI{5% zny1^JrqzUSCXHh#J{Vy zeff8D4#vj3b}Fgx;$QR9e4rS5aPt45>n)(7T-&|z0R&V)Ogd~738g_oMR0FH5T(0& z=x#(nrA&|p5v7K7h7LhN1!l-$Xb@pQ+M&zudVG)TeE;+P&sy(V@7{ZD*}yZ;eP7ov zTU>vXfKLudka|i% zk2}kPH|!eT-l6DXIez74*QF-oz7kSIU*w6IeK<^qSJ<~?j=GN^85O`wwW>o3tu zEqj~PfFOMP6A=wUt5y+XXiA4;Y#$8pj40%)fw01Y7=Y_-r$Kv)uLSHKcEjcpa1uZb z778?jk70QDBQv%94jNba-Xmds1m7QS}aLy1wTb#_soh!UQHKqaDMHsfs$ad+34=(Qg5%P>Mk~~ zPe(?Uc5&q@w|l+7gLNLdJ)RA#qfI>%6U!SC){oQ8*6XX2zZn00NS6^pthqShUPHEB zshU=AVW53ZooPOIyh-|3Q(YR<>>T^2w+5=}cAm4~9lB-EAZmx-E8a*~*QFpIVglw! zP)T!l!|wg(YuV7gxH_W0UIoeB(8v9A3AiyBj=dJZ8iiDe9R{_R7m(ypx3kTO2FM5q zg(bA(@a1;8MH3mp{XItkQ(P=C)$N*t?4NSEIa(6s7jBb#H@h|`Qp##mcze&md#df- zF29_{fx7aD==nyI3`Q5A2l(NGE|DyvpKiw_S=8Q;=Kj_5yMgtl=UUSq2u{%fAB4Fm zaH+RI07O=;yMWY!ycFwDjvlaODTn^S@+#+Ak5=$ReLfA}1+G8R6?8Uf?hQ6h@bO8e zO*L^=5Xd<%c0p@#QY397an7FqaYlvR#Tr)X))x zgYG}0;8yH?31`-^XEb#2P_W!+KMdR$$ZO_}^~+LVexOX9(^#dUbW zQpRpe%7HpOwLz8U26iFKTYB(i>tsqp)W@QOiNkk>1QJ#CpW=fYOj+VuQU}~mNI^+A zU_E%cqaOwK<~xt({M7;=&=+GVVa1DFS;g}DEBUoMW5~w{Bp^h*cis!VGqGG@5!FKR z9R`&rw88C*PZxA!%=#?< z-tx~PsDh_dC}D7luZWY@JKvl9?j?c9&eA~nJ)pMgw!U51$2Rrq@6}?>A1%>d|ZeAu?E)C{8O_CgwlY=ddO5uOCzUJv(BohXKwN5avxt zs~B4vZ$i->Tukca3)CqC?|a|z3gCPCt>CB!e2gP|W4?S8h!IHviy%nX1ekMTHfIzWt|7x_SeM8sAPw=Q&wtypMdC&61Q`Wm42v{_0Rpa;WwAuAj60zMNh5@vApn zU{=~nnRNv$Aa{`{6S!y6&%HI+B*KM`s*io?nHgxgHY9wV&6@TW-M8Y!osy0=g_cwQ zy`>^BLO`cw$6R+^C`Lx-glPA`5WJi7R>t8;0eKff&^Voj_@$S;8QYrvfsctYlknkz zbYc|gsPg@1m+nRAxi4(zy-=PT%>qu=!<9(}I1b3N0IHXY@zY0eU;XTHynogSv|v23H+*jmd7W3D&n$A}>KA%qTW>z-vYd45#QlQVlbWHtyMa z7dC@ad@HzX{8d-s@nv{G`)eVhkAVk#g>e4IBon{B;K$EG!QA^@86K`Pg<6HeM$WJi zJ#ZRmTfSO&om;rD3C>H9UZROinIJ2Mcq7IWCnhSZcvcfLoofrDK=0-L=Hp574H}){ z=w(`Nm_*N{utj}#Jobo#c|hHyMh(3v)$%a*)na>LJ^crPiFNyu=Gh_i5J_iP?5b=jm?@Jo*-nxfz<`WCyrDIwO5O>?-W~Bu^c|Oyq=O>CSWHTwWS00SXlW z?Ebbf8At~p9x^@b0c^ZYcLVF`tkVEId{Lp!pG;rVPHR8iD664EnK*;mMzX>; zAydu^%I`TZ0Jv>7vQfnmw7oNWF*r+Oana^upoXjw>y9@xGJ>xf#(El*4^-RyRUs|? zugJCaM=_cMjHQp;s3$4DxBiuZdF778Se~^gWx=LRd=|r^+GP9T;Xp9eVA*rO9Z(#D zInrSs$T|?`DLWu$3s@_t95wpIql#G2mQ5Gw49!Va9Z+ru z(Hhugn0`s%?b_XjyuSZ9N<@Z1f8}mV;N6`Db^+=7xdPI@T}0)CPm!MJ`06?IimrWG z$Jq~?e(zhI`V6FsLw1l_{HsK5zDx6*zeh<_a7WaVM2eEMVAw9;V1KP}+K=Sr2b03nj zE|??-CIZSzIF2+AEMFNQ^@Xk>9|gdMNn@dI6C73FC(7y1bg%Wqm$E z+Xw5-S4T3io1mmHU@R<9 z*XMfw>Su6ux4t%k$bCe500RFI!3g!gBbek3M2fjl*Ld4oE1w^eWmzjjz-Z@dQ<4Z| z?mUUvw_1l)1Rn>43fITKAR6tXF-5^OiU7zc$6n_@{87m79l~#qPi64!9w_{5ex>D2 zJM+^E*6&!X9h;!34g{8+lMv9EGA7RwmAIb^H8)({*SrkgIWYH-s=b9#V^9Z*2oyq2 zgHqab2iC=Qh?9t(D6UgVX>eU&QsMUZE~wMT#8YZb%Gzevwgcf>n+*oukE|koIs`** zUEd`BLR(3V@F@Q=OPMBi=! z2uS+`Ap+1%vK}}Z3F#}xQA?0oc@(m|KZ6tog+eTDor~*&IR>z)%|cX*)V!sUGIT%9tz(_~?^8n@}T~X^E$ZK!CR*~fTP?r>F^Ax`}>zS*J_jlXbe}dT&d{fq{ zfO%d4A`zyBW|y&1v6Ge!82-5i?TEx(vxt;kEhYc8aI|G{D*;R%TM)FJLa2GSRu3Va zsQd>$J;%mK8;ABC4?j~KqGvsFD@@b0cD(02;)Cn6!&=~DYJz4q_WC`Zr zUNCylfPcy_se?u9W3^KS7DXz@m|S>`9&0sO_0=D#4F z)r5$Xq+Gv0#8Qxd1L&0P1|mwK>A z%g`g={Lqkx$WNF_V*9FoLi~`=r?OP}GY@_PA{i?Wb)wh;sf)w< z=5PF{Kd|kiQ+zB~FUT=`d5S*-e z$2fNi6`lFKb&~5m)!^)QFXifQ$vcViTLq}FQKh|R4D`Z-YU@urGU~lrYUBK1dFpBl z#csRVYjr!2bM&vPtNK5VyEk{f3DP7YWAL4=kvdqGbN#hk1r$zpk3FM`r3=|QO>ih? z+H$K6{v8wmJqVH<+s=Da&iei!A@Q0cg(6}2VPl91;3OuQ`gpH7C)h7sq1a}&>C>lu z2mm?i;14#sPN(viynxAXCy??DxZY49kTMK^Y*1wbc^gB%!|kWsSr3oz^t1h7BBDk4 zK%&4EadBGH!wrI|(N-G1zcZ)30dr?L2Ji^K0ce*-T;EzWfF z0?Ma+>}ih!Ho0q-A30A4HUmK>bMh8?nWTpOnKi~oDiSbP_p&34Jy2l*u3g&R6O-XwaEY1w%IIer#vIgWk?(wO0r6A0;bb9YK15ubAGl zL}L$9u59$SQ>W4>yzYB`lxakZ1nEH_E|mEWDYor(eGR4ea*>iBb07ZiB&YKEYCuZv zuI*Z2RUZUGV_Eo~)3h#lG8=E-+;Q7SeBw}CbhlHUu6L{YZ8F$G+aI2SXg5~~Q5lS` z^qh?^TcDCk4hAX1&9k5hp84l*h~p4b=-=SzzvTRXJh8A_SYLd{u9ltioEl$XSZh40 zd``>$L%OSuxTnbxgK*qytsVy;FUl@%L)yj@z-~;ou_iQ^zgS+efGh(5<^JTAHo)qW z%FHO@{PrIZxx>BE@?GWP80gw{jf{q^nOfYzN_^lxJSlUS^AVT=H8z=G(){yhp4A9Y zf*M0A*+;#AW1Mi?{AFd6g7iiq6|oI~rn^9F3nv+5HRDJ$5aJR;?{xC+Y6MJ)LRuQa z`8{w2S<{iM`;c#Z>$+^ato^$loha~+%rwjPm5)48&N_rK2%p+ZBO`{t%z-Gz5~U}x4z76w;BPaY%}y|Gyt-Ip zaXRF7?V28+p)-Hum(A}L)9wTC$ql;GObwUeteN(c59$-Tu!i1RHO9<&EL@amnY-G@ zRcxgBoRRxPZB`CX%QLhoZdfO`-hhQGq)e?RFtpz0+w{qQ)^&0`ImnT_4q_Z@i}2PM z)&=yFWOn8?OH>LIVKThcaW|OtzvXJkWk~|t8`#?*H?F)l-GMvXPZR2qBZD9(Du%@n zS~;*z^~T3tdTV-_Ib0kDVHE>pNi}yDF5!)y_wrWaWne~DJ~vwK%nK@qI3$dUwpi;@ zd%{m3)?4xoe-lEk*$sobhsBV}AoSzZ)OiHUlZA>pR0tx@1y3pN@zSSb>ZTSJO%LMW zPz+23nxm~PTJN$^9b_f1&0XP;e>EB!TEB&0*qjqa)m~;uk7@~If`5k^!VTmpq8g9} zg+y~Xm4gBKAI$0qz4j-N*Xtmiwxj6mm)tJ6(4wj5FV#?V*HStOi$o-tzl{W06mlb?lO!@c)B#_6J+}8ssr?n zyTrS-CaniwiWKS7)+EF#!2&jl1*z(`9GIrKz)59OF9+!f0J{>sU`!x~C!e&p`YWr4 z6$Csh(TLM7QPmt+JxJeSISZ0Z29g0L{(kGh3Y;Q9A4lT57`Z;89wc6@w@zvSYb-+- zR3{?(GhlY9QFTzxD&u9B6uJvy;0G{!ky@p|>7|-1V!HIkSP0bSEB?f`C5XvBfQL1@ zC4LTOGi(OAx_-MFSt~q$jIP`neF!sF&p>wnPk1MhN*j0^O83CfkL>O!Iu@tn371%+ zV7R4MOP6OtZGa@v%d$+5@9tiH{nn)&n4@J;V-~#IY=1Ce(zgquOT6st*D>0nxKQHm z{nu)r8>HyCZYdn@UhHXp;$@n&ye2xB#I7Z5NB5(~3+B^?sMUTB!CzPwd`b^qe=TAN3Y#Ya5_Q-&K)z3Yo4VN>Dd|lT981dj|vR5JgG|klnwVAzpso z*F3dCMAt`s%?fC@2GfaVn~S#RNrX0U8Eyl508PK17R{ORnXZ5saA>Mi;XFC~gD@e}Z1 z{aAQb2my?uJKoc05m?3U^YsKRrHXP1%Ps+5M>l780kI>oCIP%|n(46hxi zO>+h06WlNi>vR1Uunc|Zsu*(F`X=HOM-%Vqxp8|Ur@@W%qi4E znLI9eR!gvcM}+#`kho&LKn!BkdY3Nidlb=A*6mCR)3Ci1-src^c7{7?7LHc%mWzq| z;tcHO+x)=udWo5ePScGTMcD>qj=)&_ic0%E{hChhYK`z^Qw8J`+>PPiw%q>|qj>dd zs*2SWq#mL!Rh2FFNU(oSP*I1f+!!(B(nKg)ld}Qd>l%3Gs1R0@fKGcnVAl83GUw>H zZ>`;GaeY1cm%#~%L(Xo~cIbZewpRdwnrcU$p-|Sa6DK;k?o*Q^$u{gqF!5v!g8O#{ zxZsCIos zG*i;_T5Wnt%D&4aHd2{Q9EH23X4q*0ITr@j;im*i7#KmQPxuj^tO9g6ydPl+->%C!8R#+f#D96956=M-$8P9TfVaqVez6%RYPoJ zqrN8hCi;bpj_shw&tDhg@RXnm!RY%sNnE~WQ@t`Oklg9DBoCme#Iio9gDQNMPmcsp z?x1LP84AMyH#8I~#~AU~{Np03s>1*O1@W$*vp;Bag%c=&d8IP#d^E$7nC*RDi-y%s zVnSd8MsccSr_C{)SZJ?(>F8rqU^n4%1swY;%)2m8;UJ)c&$Q>Hy4FzQ=4$xQ70`%T z@=TyqjRHZQ4+jD@r2IaR91S=I5`LP?`!kTbY@V<1-jt3(QV$}xsrvPTPhIAX1x$-S z4Hec(;*g3;2?@|*ADgV(UMSmxuCAlViyRYp_NePl273z1;DIIM zqpe+ekbl$i7m$e@HclLOi&er7oml?f%(x9ElZb-_%km)Tpuk$kwHpR64wY~{IPGHH zq@O{wH=|51Zddg(YP-77$mt+en2nO8Sb&o239kwL?=~jahgt7L-#m?5UQwxEQQ7#F zP#;Zzczsj|oFX!gp)hz1u1v3Q16qbZ|A7AqxQrs1Ks`K9f-D8c05}@JA#f`^eb@Bq z*BJWX`zq-cdj@(8dx#7#mS5revwud)VYY^ZjXq*SMZcpAh1{2X>+~~pAx#tXryyA! zgl?GP!X!t0nu1Iq3S?KpT7(_UbT(@66PG>C=KRNld#vQYL5crm!|hPb&U*-DXRTbI zIxAbEHeIvQXUJR4mcPT%fNP5nQXdeQRezONkhrB7dB_Z&mvU&_XY-A&ggVPUXsIVo zc~#Hf_2~U&4+%@wg7l0ztFR0XEN3zY?uTK(o(PoF>Tl>+ITY?`Z+{RzCQ|NG5C#i( z7PQorZ>=FIO)-)qsLM+d1nV-dy}r6N@yLb3ycHnbrJx&lv+9M2s@9Aqe>m^nIB#?M z-b^|*%GiHiy!B?#MiXx{GRYnv0|>l|ic3;qyP$3$Rn5j=ez|zg1LQ6P14-N}-^%Va zi(BY|elF&%(6;Af9!H`3dp)xe6)k+O^5Qz7=#tI+)%w0@g*dWJ*WjyPh3-X(n`X1d zSk{0%oQVx)_bZvQ5z4zkWr5y7`n(Zg&^)?)Fm{hdbaz0=+7Xg7*K#%89GAYl z(_jg+eqd)n=h#{Ciam=wBZ^m*7`&;o|6VV4s&;hoYBo2__{#=l=Y4}OzFKni?Z;et zKNZT~IJ-0LJPHmIMY~|QvP!^w5y-*G=^n%>KC4boJ)*-+?_(lzFFkX!yNz_}l9T#_HPm=egKwqVKaEVtbSW8!~h7noTgB z>C%HcFp}^?@+DOP{PI3J0g(g}1|GlZQz)8zq$Cg6qya1OVl_lExN>h<+fkA0p!}vd+v=EnZBl6bz48;@$J{1tex6r0xj~AiyQ_}F^KJfC@cLFMnfR%p_ z@n{CaSBqK*HEJ@c&ESG}tOW}C!YI=aqq&KWj*jb88#^VJ{0?#Up}Jq2j-G(SuD_#a zJMYlLSdITidjFp`o&Ru)|4=NgV-oqX@&hoK!n{ui&eqN!hk;27fcTK>Q}dudC@C0#xV94b*LNlq_OfD_if9-w zEVXUS4^04;HTeGu@9ZEPK`#k z6f-+k2iJ|n^#wzg)$*nKUzboJ>H*)6A-^R7%Al=S$Bc&~PtNfoYV_Q#tWMH9QjuP; z^=iL@AUfk(ntG(pE5F~mf>|9lY4%Hb=ZnzaYo*ofb>3?S0;~%AVTt%e!b!$35qlu+ zE>6NO!3nr{GtAF^U{!2_FkY;l63Z*QYst59D(HomnV)H}SWDywi7*&pvo*&eMABrbEnu?9E^LaCR6!cdz6^$QX}q__gLAdoNkiHMxt* z(-oj*>(CZ9u9?1o28~euzwhsr50qZXd3S3Y65LTJ#DxWr+{p>(@qDD5HFZA?Vw07E z%*`81ia4Pt2!zwaNW|1uJRD1$rLY=Xz_JD%-(o1l8{z{`50Yu$2XDP!=B!`lMA3b0 zhX;H|Zx7P95JbM`yErN1mShVxsWi-7uDs|<2IaG~%J~Z*qcTIEm zZ;yqwfZiJ5ocGP?E!~ONaw!}Q?#Bp=3dQ2(GJJXLhvQl>H_w7*4z%6GP-p+C_3MjB z6*)#*@S2IC;isLQn)drLsqhB74dief%6kl*o9%ib79UrY_-Jq@!Ha(_`h5~ z5=FLflvH|@8W#|=>84lImzrR}^nuN1??=#Tg@2I9rR`3)O_1 zsTZ8y-B9E35xM$J0uXtIFTMga`>liQtl;=-b4E(z;;&l-L-%ZL2yj>c{F~d`e~Yyt zO7X;HL9JmR!~-CVz_uY2vWAtrlhqK#0CfZ~OosJA!-dh9t3gwmi2qs$3UoODwNK_ zN0e(>c$KZM0Lq0CIKTpOc0nFU`>hR^Ul=-&JTpXHcrDrK0?O%*Oj)O+LfV%{L<54H9TU+SjZq>&$XDzZ<<2o)Y1 z2>ne!i6C?umC8Fqp}{gpj*YmN`@>Q7$W}oyHwf?+z>}X6lLR_(&VY~Bg8$JEj0K1N zFT>L7^z>Wc83K=e&g-P>gh@Am9JQNjDk~oY&Q%>jd;}vHSya^R_V0a$*%s}Mo6uWd z9|!aU3I*;+1T4;axHwo&s8oY5@$)IL$yP=%AYBxJ)=to}^_M`xlrp3;RW16+#=>Nz z&+-fQ5h5>9@&)?8XdaH_F$6nA%nGod_Um@OiNRL3*#c<|VbGj#5>cVvpC=X#Vjx3h zeVf<}sW(#DvAC2?uzD8q=s z#d$cJzUEw-PYVVJVbTC^cOb(0sdzWUV4^$7QxKy4Nqx6R9q#+-xV`-_Cf+{vQt8I! z34+7rWQK;!IG87Htqz-<;iAF)`)X~~C%$;&rE{uq_9KT~xfWH0{Jm@Sqvgx#5T*^7 z5Z9_l{XWIusFw?)a9F}ZA0GpinsBOV6V!&8@J04KU?6*|?Se{??!bc3bgsO|#N_WZ zj2Q6z*?_))lL@hs=bcBrSN;H_mfnzpDVIYM%jSL=BMsv*WCTLft?qE$n-)5 zg7hJOUKP0Dku*J21LR_)O4z36-@|NjZ{HV6DDT}t0=2ZgAupj0F#e?8dAOjUSa;SL z=7OG{m%S-Ke!!WO%)AAU5HY2YApYlTF2)$r`eMRh?avx2J){?2z6PknhaCvogcB>P zrvBHrONfhw3Z<~3sPJ$Uf*K{Ob|U3hPNiJ+#y8&x>j|n~d=%YDd_qM>V-^H52W^_R z$l6b z?LEM3QDR#BMS5)Nh(i9T42|0G;d?Hs;@2o)2K7Bnqs1K)NGjbQM0<$otq~$m3;*v}N zjUpadzKkw`6yojtC$fJy?|qX#Xr?dVn@lyhaQsiDa&1Y8+3jD@qt)8ls)pWZVpebz z-h!xe`0y_DI+fokmM?|}s9s$V$Pm-Y!9%2|@dO%kV0cODV zGrQ|Rxw-;Tn4cUB!LwY?#JTzMDz?Q32M$?@+ZG#Rv|;ab$7%6ia?z|w>~y$(ujRU#e0u#+>5_$7FEOMs`6&*jq8Ib z{&F=7+76}X^x_LXo)NJwwyeGo&CY-Lf{XONds3O-4|Cpob^G4g+~Z!2OQ(4MIcX}Q zd2-*q!x5VILJu=D9w9Ze8StD{i5JAai`SQ9cIH|5-IVX?m)*0?;O3S>g|jU+Q`wWvU(g=pU6>jea!yczF@S zTaa>%G`AffYKH1SnFPb2P*F|4qj`Ihc;TA#I(>+6&~g+BhfbzUcZTsqV9K}+$`dz- zxEQ8PyRRmFwKU8{R=1fMJN3j`2pWzLcE-_{uN;lN$4-!O~OPAtr?}6r$f0TCIAXCX1#~Gq&TZbBV@Tvq$o04wAHA zubG`u9HFGGk2Ue`6<4RhH3ij$sMP)JoPtN9J{#Uvsx@9O?0bh@+S4nLk!@-8d!-n@ zetC3&_^70sjwA0J zNUo)JrwcAO%$DbJMc*v-oC!aCWB)1R{p{1^D~$6C3kz_ur>q~nv*FhE8^&P}u_SEU zsl4nDP>m=W*djE%aW!X3nEd5*?D4~V&OMBCAcN$P3k|#kdoz@dH&`{r;j4n64d zk(O1e$=B2r1#lMoeW=@UnWzURC!itG;|mK;s;a6L;mF@TE?-?=ucpkw=DirW=s&z# zs8`n9TJ0ppVO&um9)H1-<;hMz$JiVYHfswyxthOjzlQp@mDN>gK~yp)zSMdAM(7>y z;_+QsI^E-;6YFzXM*H{A#tcH$Nxji)GXsf&!Gps9Jp#ll%sYxVxf&@&wtE^bPMoiB zHQAQ!??F{7P;%yX2g_`jjbTrxM)3q~n875@SMbHRaSsYC%XL3;xosQ(LEL(Gq_m% zXFiytC4&3*oaKVVbm1}r@%z*D%k_O$tMm%=nBWPdI0psaSM9 zX@ErnaNG4RRhnTTj=Qy7(`>wAC!c&i4QIL>jRU+!kBg1`X0oSeXWjb}2xOS~=ulEY zJ5qlB{t1t)G^7XoJ|Z+dq_OGmK&zur{f-;C*F;yh0g3i(pkYQ$`xM#vczSx8_2y|A zkNAMcLV6Xq2uea2k*d8MZt7a3Zd20Q@OVE9MfIZ9W|&(fV*w8kB?8E6BLI3$!X+mH zCr6~0Ylw2b_#Rrw_4Oqt0ghemD=ErW)7Q(zyXVbg#Rq)2$btN?elZ4f6l?d&9SGj>VF_kZ#hJM_ zamA~Pq8wMCwfl~*BVrchtnNUGuCoIt*lip^+3Vp+ikS1C{JGID){dFqSPa8)nmGHgMH0n48kIN$>SaWIEa4P^xR zD6kzgE+7TQqM{QQT?bt(-_fABnXPpBhWuOrJV}^9w>+rY$JLUS#si>fLL8FLN8g=C zp^54|O1$qFjJq6{vi@Rx!Yol_kC!-$JGg{%)X(qzrP&sW-^GsD&t_srJR$0ug~c~|ISyuL z1RY0Y$-mruf2k6Rbv=EP@eN;?V`to6(ZRFYf9i&1MtTdp*`R)eEVrT$`dOVz_A-OT zcTmP?yJYomtY}VtdnQKHk{Y&RP1)A9P~r9=o8c-?TQLrN1~wbm=_EcnQ0zxSl3O@a zq*ayUg)(tAP;JsTFn_kn34qK#I0Dk)uzhpk*RQN}-zj$QG-wqHw;rq-7u%7>!&HO? zMALAKs^f}~qtOaDM!~OyJRDRAUz$(jB=;>1@Z|nvoUlm`B#QoYe2rLpvHGiBYz5gIk$qlHjJ5;@5fK3wLd|wQ@CUo(8Qw8`Yy(H*A(YpxA2HfpkK&s0aNzCK+qS zBazM!8*{=WtCL&kiK_v;g3*DTRcKafAL02`Qr$!871(18_CvywnyxGSex~Q=SEr(k z<|e2o+$N64rz9sOxt(LOv9YNQSNv(aB-(9=xAK701!uFCgH8R?Ee=`>mB{nhlbmk9 zzW>ypXY){(>PuJ%h)zwCid|1wz*@+B9XE_&jaE8TCu)nA{faTw_M}hhy3+R15PG{;G z)W6y6ULttO)^`2C=T<4Yxhy8iJJ#Mj9L|wXbD%68lRx z{|4a;jq<-P@_(M4EU!oh!NSoP4Gx-SZAh*oTi*d<;Yw(wzSYd&m7rbiU zGWD7s2AU^Jtkf76fo-7ru#vyOi6%@YJFyy<-KdL;hJ(g&v~Cy+phFE;01n8I33hQ! zJDWl9#iz+g6PQr2mFt#S$N2x74yH|Lb=1j9o?!Nf_TV@ReQ`chi8h8zJ`r}#Lls9* zF5Pz|$05=9R7mW&xUB5ljd}GHsnGS>#Xv+8Gr4eNn=^t8p8~;u|1FNN0leY%Z-^vM zTmB?%-rED6p$3em?84@)Pc^Pz74viCZIrfBB%lZOwM3EvA#@d{u>6|Q%#x=lp$ zxC8k|NlK9}X$Es|SXY^EgnM(!eZ#>=)@mys%5v+^4|;C>Pu3a9hYjqlWs9{YYI zodR)fHU;j%=B#IY9EB1n&5c6Mq!00yB9(8q9;RMpd`v&a()s6=N@Hf9roKkbekuHo z=wM%~*mzfn(o9m;@(tXDFY*zw3YTT9y$c->gsuk@1aqA5=TEyxkfTv z1NAFbF{@{R^U3pNT_I{+4XNtOhy6+wEiSJZ4hHEdrf#8dJw~q+@OAxbK0Jf0_+A&P zMjlpU;m0i#KzK7F)pMO!={pkFYinyq%vAvCanFMvY?2S(3pyk!spiD;<#eg+o=I_$6HIz?bf4~ZHrI{mDVD$f`Y^m@;ny@MJuV#KzqR2jCY-S zJ$QkZ-{eJIoxF#qXQc!AHK~VoRxcHbmnf$bT74-3JaN@~5xxzXzv@?YsiW*zWNp~$ z99#YRr|JE^qi=?^D^3NxVI}5ObSFEUxe0DivPQY@x*1Pp%sr492%Y#8%e#(U(w(iX zTj^3MvFkpM;-(Ew_D;W@Jw)o@M7y^Dw%A@Ri&7>=H?1mKbqy!p_aPtNonuYT6YIajRfHIw%U#3 zQtwMVP&~B&PwS!2l`q#=7d{gs24Q7dqkXB&9P{=Q$I&3 zO13GEjNNRMU=4ysCRRh2P`+#Hc{;V69k z(4$B-zM{yc5-{&zLQ~Avtfo-~mrOLwbv0)nl17B5SO%YZ$GRftu6oHF3zJ9kK*N=B zIS`~mZ^^>IMbhVaiSP&FjcBP-@f1bH?#4<^B6oQpPPgefwjT4*e)g4tV){h$W!pgo zoWr*1eO_A+{WC8Ena5?Vc@r6&nyM(9vj5qaAfV(&b|{H!WvSBQbshH$mydYkrpm5^ z8(eOO!l?r@r+HO2sZzz54P*pmi+W#;$(7H;Na{TT*CYf-o5Mna{p|l73Ta5$a&f~W z!^89Ug60;*)*{#C4X>3_`@nPz2Q$7xhuZ_06>8X!F|K3(yX$yXBoLu?MVPPAsPQU+L%U=#m$z9FE#E_MCB~NMP%Ud=#A~;VpjJLNYwz;w-LGn`>hhFP(oGHr$Ljr zZf=s_ds$XC8Xhf*TlhB;+F{koBFS=`$idC6E&B8Z4#Ce+2h@VO1%Y=BOJpLPac9a>;0eul+D62 z1~y%k4qd_Iwt7_wmsQl4}lFUYhdh!Dek;E02=#6ND z<(pUQ1?HHGY(Rcg?pnQ1pRQYf;SX1qcdNCa)23By`A6*maP3{+Gj@l55y+b$r|Ms~ebUkI{+NsrsVQ;F3K zM9Iu@D~ChW?sgK4X<>L>pY9CS{j7oH4N7qY~eC24@;HyG745+$ZO)$ufdkMrx@#_g$rlSW%jES7;!U&5&g@S%ir$XRpgvACU z`f0cqJ+b;mZ-kf|9MVZL(-Mrs#(V^*WT?5qd`W<0`|56Oy7;%s#maA^&=U=vJgm<| zfV}~|A34xQ_i9VMCckg)ah%_EUGxL3Qz4%>KUaR+v`N3H{B~)?C0Ta*@9CxxS2CIR z=7L*9lDI9#Y@YxV?V(oPaPhb%%9XS1OB8v`WtNi6?C={@FoP|SMn;VJqMKRG976Og zN~@~}jRqSVsgdEB_hR&ksiDCR_qBXo0l&#^2!#6V49r9B<~biG`-Y)q95vN*q~58byLtKNpKP z&%Zg`%}AnGsW5F=VREwYzsARlgzfc5^X{?AFkfeala>9ffRSq;2QUITjt%T zU2A6yQ%n^$gJICW@eJOd&%lW$yGul;Hb&xJzByrL=^r35zbM5ql(|N|jur2!Wc%uc zmU;ANE~vcws2ceTTTOp7?_w#*XCI5XRtz^{iCEt)#C zaP1vFIJ;R9F!KVrT+!jqaYgJwqY`9f%rIi$zX!W!crGv*-Xn0aG4{YeSrnbch#s%) zcNEf?dsm`j(Zg{N=oMrVN0~yA%w#R0y*;zOo)4SEa6>+iz{I&pW)Bca2VNAr$e*oJK_Z= zqC5Z`kewDIqG!Ymjs;e-+HR+cf5&*gn2*4g+39vIVbcOQW&<8Gn}k#rt>%^Td^qt5 zW)1w|tYS8kJQz6#%MFG6$uQtWm|VxdtK5E@k$MR2ij$p?_Q z%l%gEoi%=d4FXx}s(AsebLxbr#|4WJ^5;>gBHwn0CD41ZU2Ca;K%bEt${;D2kb+n9 zagLr@F~l{1H^2gPD1lRQmIhq+h1^JlR(7()lLvGJIAd%syuX)wPQ3iU=e7cy{tt{#sHcd78ntq^>`f!4f!!Ww(#N1JV1%4%X9 z!C~No-7#W;-UO_aj4o6k6f}G9=iUN1BLj(~|E!S|g62rm-85W+$Hfek9rD;!-fNPzSKOUb1W#Dzj~BOFI+ z`X@8$L3{62Sq{2+xWhb=X9RBiv2YgAo$~yA2G~>?#upbBywFx6>;xKarDqAF=riZx zGSi8T8=%Dtx>cxc-;4jel!(wl2ME^RNq{&-$uY65yhh<0whWYaI6 z{2RSKSw`nEWgwF1QLhfC0Z9C7DT66nkQyfs+VVyA5{oal>9t4y+)&@cpN0nUG>Kee zjKX>bZ&J4gm^l2cgy z^Jr+t3o_EgGhiST4Y9{^Y;A8FDO?a@jbHt~I~HjAo4uKUbNo`lv^@3w=r-)7P(dX( z(Pn>gNd_fwAd5^$xusnmGCG*zSS_WP_2SeXuIHpmbANcmq+8FtZb~bOT<6spMYjd9 zs^nLA-8Vgd1?%4h5T8Ke-q)`*(Se1regOwFGX@>2!mw4O+AZVccg3bK{ za}Q7_Y5o8a!iSK%i}(~j>9&wP$bk>|h@;lgT%wavF4kJWZN_Ul<3{y5`L^HiOywCU zQcu_1^oFUp`CQ!lmgJW8wKenk0W6+`7;F@V$X=bgUnfuv@qz}j6Avb?0ymX0 zVF$g$Qs96Hi50mTvkJy0Q;^Xk27W_cjLviEHB^etu(FD76Qs=h@?7%|h8&Wutu0w9 z0e^-5_!A0QHc*b^yE)tM==JYjxzVSR`G}5_rOjtbDmxn9*k*=Pz>?{=9v{V&`6ZQ` z0e>f7lTecJM6T%LLHYru!)e__Pv#RXmkc6YqvnBZ%){P-rJp(zc|EUo#j8C4ekH!G{IoowQ3U z!($&WW)UmE4h_>l2wN4w(Mj$ZgXGbNz~yR=6jgjbSc9C9r*=UKuLy&%RiBgusu&U~ zfe-u+hHTe$5zQf-*^K6gDI8R&+q~xQISUw3Nya)c!dH@mNxgHl6nJ7dcu}b-Bfy^I z+D7tt0By7*7FO+LgH~uTKM@A?RlOhN~9t;3ZFSSrRifld!#%aL*J);y?FbV zbn%f(W}CRX%%>T<%Z*pH^0lc#C^x#3{Q>2Kbj1W^jr?Bdf*7uVF0dT|6UvBJ+GLDrdC(P5dgp;B6a-^2o zov6qxvu)M85Q?uMm%s`P59j;mlQb~FS5~jla!fyz=q~2s;W0k?fRp38M1XhY^Bctd z%ml3ABO!tX(;Em=CRFezv>GQ0UD~e{CU@M+yc%pB47GyC4=&I`4WruroTRrb?;p1j&@XmyJGyL5x}(#o_|(Gj zbC$gGvg+CQj1A!AyL9URu=f^TRqo&V=mHcJ1V!moF%SurZluJZ6cD8ZRFrO5G%6q< zAS!}%h)9=oN=qz2nnl;5Yrz7f?tJ;4GsZc;-?)Fly?gB8*kcc3`M#f+^O;XX9L0MK zqp?ecDSr&Qo4o1? z?UvdNzPNVRfR$dggnz|L;&>Hr3!M6Ay?|I-#sLa6;H0Js#L8_*^a^fVhoYmu)Zx093fFIt&=H{^qqHGX&`kH$ zKCs4-`MK;IG}IkXF2eW_RShNq?Z!u|QwZEdL!xL+^+Fia?0y@If#V{Dj%sUpso^V`UBPY`W6iu?$&xVhHnWjxo0|4J zG=?x5Mt=bgEsoZz;3=fQ+u4oIGrbc-0h|Lwv@CYmt>8R@9TEt7`^mjFCFaa zn$%x}E6dl{7li^PM}3IL2FIyWr%xP^DOFUc?fJ)50WiHSb%f}E z$AnbWSfb=M;2SbbFqDsIbL6GV>UJ* zHVaTR*az|AMCk(2q-v_FA!YP5y+%4ht#BEAu~;stXId>(ELb|1Z73RZj7imzZ4l>` zFa?!9p)Y{O7Dm%~MwX5rMBCeGBQ3GH76Z9CCCh}J{01ZN(t^m$_u#vYnjNZwBb?8C zn5o(^H2z^KH}wQKcoLdF-VA$7p>1B2lG4cUu~cBQSeyx9Tj1T+mBR5UmOyBSz|gKp zL_|<}95WxM3Uk@=WPn_Ci!@UJJ{KFPhdAZYY+);88e=MA~Gh7nZo z;QbC|z;FrT`}IDtF=C0Ao7*`dua_BQ60j?90Yq_Tnw> zV+PFhsa*CfewIl3FoyPLvgP(xF|D2JO1UX1Ng4lW+7R>!{x>if%*B9< zs_#Em@i}MrLxzpNo7BolLvVn1o^aE$4>eYXhm>M%r9j>?ofj2CI5>he{?4F#TOW+f|X(E;Z9uz+e&hqK_v6r+*YnhTTg5mZThwwm>w9-2>_q`S;k-Q`AQl z9;pH>e*O-ag%?^5&j+)@BoZ=E^oH@?-bCgDdB-6Iw%82o`%0(BkypN>A1;OavehRy ziC_H$;x0`3`N;ZbMs6*6VHahafR=mHjBgvBGw3y18;kh_!~(NVpA5lX_BfSkp8KX@wyqwH`yrgMg=*RPY; zhd&~>_7QC>#N=-J4h*fTd9}<`ldy7J0RQ#3b}wHxY=-)BP(EdH7CQV&x&<=}upQv1 zdtHpLm)eLPq_>$#(V_kc%Vqi^030SCKsjr23}`OdzaD|S1F?h!tzxN1E(U$&^KZym zA3rt)F3ijR-mH*vf-SVD1yVT*1wo0epTxRZC|v3@ZJOMnop~%RMT1QuGQktjnF7t` z`hqzTU7nD^p52QE%E-A1K*aQ8tCouP}G9Pk%f zIk-7d$CT>!vRK0v`{E(Y8UV3~3W02w#&rEnu9Ucr(b1LZFo084-7vh7 z+9aUepn_Yy0&F22!s_RO&K?IC(5e+HYSm99_ok(JrM z4!NEt>f>3ChKmR+Wpw=f>3`@4fL5bNdilY`Q?kcsVFz{?6fOF5=UtK*$*m-ndd+BN z;&oIxE0lZ185Bb4r69U#xCBNa64^EkGsDp28s(mdpQKEFTn2LzipdwjoN}`Ovp;^= zoE8D|Pk?%-$8~%*pr%R{0dns%Xrq@UVEVP`KPtK2#DVgHCb`&p=yCZ3ZGWYESxYt# zT_9pk1_&Jqs(3ar5V#?MaGwF~sTe$%VM*XgZ+(xVCN9j-j4(PASPyYH@Ff(_Zq^OY z?E94xZM*{E3RK*a-%MhOI=%@5Wofgds%li>`bV`muYS?G{l!Y5t3cfx(b@FCT)+}+ zlY5*!qMVd9(dpsO@U0eca>tz%udgyOuo_*z(2pN z8M-tIvFkv-+ww5s;pQ&h^~kbkJKAr7r#A2m0rEF54Uv02vEhy~X8Outd~l@$ol8k+ z8-=?s_o75ix3DFA3Y?DMLovoW-i#l%EK?x%WzIhYXay=--YRVGpC7+1%y_U15cHw1 zzYoQt_*HuT{ysQGZTklrVQ6^J_VOGE?@?l+Gcf%3K?BtdcAv?f1So-*FIT0ckPQzH ztI)ub8?T|@v)`HmQ(FcWmO8=`mdIXq#G(nPQ+QchxllpoN;A3qsJ1I$M3|n9QG1py zTy18u%)jyi)W@JMjiIi~o!%VOK+Tls)c(Z~F;BGJauPBT&v?u>L-0-R%rG<$GH3pW z5v68Ix|FeIi6gpiWkYnaRdi+|w9R-1?QE5C;dRim+STeGV*LvIgic<%ccmzJsBMhA z-P#;j-w`|B&(*bug&B9n;37~}yHua4l(F84dTU94{;Yr9SxWEe4c>?=)uWGE zdQy(Y#wS7%Ady9@TDTc*9o&Vyw3!XUnu17Fn@ieE!ku218>!Z80gh`Dy>I_8)d)Ba zRJMIi@d7JwIp`{4M`1MqP|!~ClAaELEnGrD0cLJzdh=faNr&F?ZmZMLI9dz z%s{}rSc$OO0Wzi&$N(6m5Ob%dp-_j=fbnrZcG8ZUUjT2!NjM0xN1)exi;d)ocI9g% z*5N7!V3U!#*ZJ3~n}AfT+xp#TF^A?LO)D!tt<0y>A9&JNRSadtQ!=*tOi|i$VST1S zlO5L2D}_&T2xV+Vc9K>nCa|-)W;tf2j0C5!7M;<5EBFrXQ$U588=>6ZM3-=qT(4;o zDz=K)wcG_XGS_3@1tnu(zz$B*61NL)^o{af1ma~Ws`rs30L}*o9>VRZhK4H^FfZdG zTgAZwhFh2KVhXV8mVhI-J*VnPj&dIJCc7aewLBex^-$}^C|_f|Rd$;6N6lBx|G`x9U^Av|nPVg#n|8@3s z0RNz~MX6nnA>e-d`4CFU3Y3J^BN_#Ly_e}!78MyAEVsUcp$K|*2`pJ==jPT-+5C2X z_10S6mqZBUptRF7fWjA;d@XOGkp-m?#%>fT8DGNH$?F-{*9XvScsZ;+bO#JC*+2>@ zu~v5u~2%-0P~6n=~jS$B<%$9d;pbCGUIYu-W=LV{HmX^qHwtLY|8pe!ZVOmfM?d znIsVLSOw13@WJZJ9OY*=QtOO4rfa>m=61EBm_mt|HvW`B=@oBxs+x6&2TxFAn)gw3 z92^;B!Wnnj6a!XAmf=bR@4csI?^(%}43MQobhX5_OhaguM1e!Pnw2C5W>$eUuZpk{ zK$=bEE-c)G7BePNYF8suOHX?jZfkG$y)iPBm?rUJ#mo@J=0%Yi4F|HZe+^PM%7=dl z_vfLZBgs0{_*%48IbiN$dT6L|hhgnJQp&HnHOWYaBzS{O7>wB^Zr)%HHn$6^ReSc; zXQEvzBEa`++aJnWCkuva_47&)PT$|pfExRBpVx+zxZ~vgX$pZLvg^>d%h2tDqel2U zH9S+qWQ(!I0B>akp24^IR(EVdOJQ7;kcF*#B(TIp2LR%SbCCCeJ2H6G2H&UOfF}$w zw8NbPo{vt%20BHS^&sm5x2cJSV7LKKn%U?ArPvh<&|;yNauPKY)6Bre}D|c~pm$9Gf-l_nKO0zm91^OZ(#-eeWtR8mHZ_Py!7VT^ht3Lu8~s=tfbf zSeN;0(NgY%1+~UrnDW9cm8c`CtgwN^~#umfq#eK%cZJE{PD~x1UMc!gw$@89+1lnF#CF5H?A26o^FeA6gI%khOIO zqdWjD=T7{Zq?xhui>-%Nwq4FrGN-qeoSW&DVXSE5#SIl~$t(KEj8LoH=NzZad91Ni z75$Ee%>iRRip81f%EmEU;D^DZo>;VBP%F}R}B^%1{OIHt+L^oGStPhKC3?AS zi{Vwy0Ex^0?0gpOENu;#DSzAKzP=!2R4w&*d~mu5Xbh{NCRxZC5hcy_L?WkMhYL=Z1Rh{FvuJ&D{M+Qe22gU~}4ZkWF>))b` zg6dM=900s>H^Uk9phW-b3vrs&JYWCJGK-M$z;Zzi^SL6xRX{~Re_*N*ZQjJ4Taa(z zagCCxQZ4&wLGx0(duEd^)FXkRrX z+Lf>GNI(Z(CdC9?ut8r1ott7zUxYHN&-$if-7}QVV+%^gg?hX%x0;uY*T^_q-e+KA zCv?s;Xt$9t8)0lH94RA1-9{p1YHsNgGY#wo)qD>tbh71}YSEF2kq$ z)CIta2gfK}YF>@uhUt^rqB@`2TzWx-^KJ+NBZ0n`EB~w2m*HG6#jZwnUnkTTS%#qD z5OLeix!UizK6Mwx8d}_yqFN>e!ZHbvm^u$H=#!xkL3vD{4R9f$;dF}K1*YfXNCj4J z^v`GH3Ye=KGUs#z*GO3W<-xr=kXyX}4TkKo6>#Fgkf|@^KWOSPJdBKEoIWO}IP-lQ zIm0#g8BTVzu4uNizRub7&R4}JsC0-!;w%QzrzOS==cJfbEG7FAaKl{TFb?Be_Zm@S zrZ)8HGv}0SH*S>Bc#K0|W1v^0?WZ}Xqu=&bB|kBkrE$7Bk0`J`a&r&t?Yp8vpr~Ly z_amx5$Nq=os>{*}nM-UY8Cd$FFChM54s%TK7P5vxWJD*uKc}kq0;M0qgKc_6tJvR< z>;6#<(_6r3oFt*vSJ4K~+Tv07O92Xj9S#xnA6&fz3R&~&1ifYG=Bb5_E|$x zguEzr3rR)kiC;1B%g0cVo$4VKBQ4=!{R(3swUtUtHt{u7)&pUo;{~j6}KG1I66~#Wc8S;TpL<$mm0`f zR-%1urNOVDUNJ%`&QU+F@z`V-uuL+9vL7ZfFsD({B;1{uBP?Ewgw;yWFE)+ zbnPfB*Mh8y%?t`mX_2ZPRSjcxZ)9dEW1>FM`i;LB+&qUj5p!_N2_`l+Meu=#4tRJI z#+WaeIE18oN1S4>!tdM#C(7XhR3HU!;UZJ}xvxYNlAi>|G8zXIZ))q2F!h(?950;D zU}a^>F>jB>Vkle>`-Or$ug5mByj(CWw3CF{Kd}Yf%qF&;TwJJ}y(R1`;^(|gMn_M) zsO>|HmiWrHxr)vYy!37qi=V-x&4){AQ8`X4`q?W$_Br?bSDfgGmPnBMGpW+0&lcfZ zXlN{!+IKO>Z%DZ=^0(i=sYDp{n5l^jaz>5O)xlbEwHy!@ABBSvkFg{AV=CBRUu`c| z@m+xVWRNxUpU-VC^*sOUj{3jG`=`nvrAB`s;l@e<;I+-&S}_sR!Cng41l#E}C5FF1 z&={eV@1Fn4Fv(Qhi|KMm7MG12EBi@YhMyDroirsV0Xh%khpsxubb6C{Sam zDY5GkYX}QP&z{=jUsoKl7v2RQY3>3szco-y;HREsqT(m(^OJu<#2Suq2|B>_GK*{1 znSUtP@81xs8Mz;&W6>CG*s_szD}2}mYq9-{vh8KSn>O6i{QUZQ-^tnv3+IANtuvS| zHJ#tSIKMe2meb;NEd?!0g_Kdo21kw%MXIWul3Ez;yzo9q$^#u(a3U%?BJ3V!EJA3W*Hde#?bXLmbKnADRzKi z+CJ3+q_Dq!e(T$1hme63xH`6OAvF$yg(9l?U2v{ZZ3<4nZ@ReO{gw`A)%qm(R0SY! z3ULSRIB900WCqgHq|R!DOK5L92X((LJ0HllAJ;(2#4{K#ggwIow=a>i2HcT|?Bypp zo$IA+AApa`V?sc~_3TmKuly;_$Hg_-RQdp;CIjEfjiMiF*lAkQ(y0kfrS+NA9&o`YyuXwk^Wx-EvCs6V zc~+U;M(!M$Lg?llu`s25Re?+R(eHrkFtIyj(krw$=)dS_?n zvKUGt_}ZyLzN2|oyB|{GnP~__Df6`tRkB?Dd>587DlpNa*Hv-lFH9VO|4||F`1-L% zHz%|AK5S2zPQb0`JfE5pZ8KzApu2BWEo=*heYld8$H9uu+K;hJs&FA9J<#WU*bHd> zbpVf?N#86P-$F7o?DY?zgqTG7XZ=5K$xgfMgGSMIvJ|RmP=h*2BCOA$kyT&ev8d6# zALsr;;spSp1?Rp1Z3%9(dcYydLI|;lI=;oqryd|covATdQp)epOQKN#$A{mk)YV(< z=%3zdee&b?^NO)@vcexFdh+q!ExYEple=eJ{4AtpRkH=HYjv&D2+_8!k7)E?c)Eo|A_f7~reoaJ$uwH>d|7GhIT4IZLMZ_cB%>anr0 z;f#-6%FFJR!Br&sNi6bl=oE_>7f@&uy_pE!_?yq}sD33>$+=Vf!jYhu_-TB;#d`+^6)V~W$Y_{ZuZn~20nxE9NP%PrEN9MEC!Q^7+bLkHnrsZ2 zM2Z5?!=daYX3JwCYLelxu8mK+g%sSs@La-8^uYzI(Gnz(;rcGu*xXic3kM_0AvQdu z)SrQorQQnYAa~I~!cV`W;$Y@N=IZJynVrDt=EfU<(Ww>D(WOg zdIO6_=78dj_92qiK$mo%SV+FCAzGwwo34DuCAXG_LNNptxD^{`!I|IIi7W7#L!Bxz ziCc>BO&|!-5BWaDZX6^0W^1>}88H_hAu!^c%&mY+4D`7**(OHshg@L@wl|};-8#rg z;>!C>eP60vpLwl%5-`@5!=w!nv%pY}JLNa@bV$A>b zMDB%daCCtkwtU>x+WHLAED#ITeNC?2zG%Qn1MYKr5iSX8)$pQiro$eW7Dl`su`B{1 z(2T7IDDL4@X%PqOE64E$B*_x!go|`1LB<30!{Il;Lqkr!;hO4i{3a6KRXMtVF4?A@ z?0uHgaHjDGGW+Djj#U(*n}mLakM|H5q5{n+HD>(%CSDh^3+esltz0cHT%^Sw8{3RS zcNGeRQ}z^+Uf*p7%lNd%KR)0JjV#~wnI7+5JdDN@TxxyJ6heLWm0M`F(hqnULGHQ9 z1NtXs;_(MCkfen6BBbn2VGvN;Z2zD$c-l?6v?8Lc8U=OV=S%BQOOT&^s$KvcR91Vy zbn?S%K!{eojxd-hm6?(TRdS_J;Ya4_&R0LUb~3>e0)-b3E-7;22&pbx8* z8EEEU=#9N{1Oxu>ChOJRLy(s6F;AS@PBh(^eG>A5p%634xX$XblTli*Z(b3#_aMYv zf~^r^-mlvaE8(t0vMc=$1<)4vGJBIK}C)70BQ_WdC!h-lVX0Jg3#@|FM5aw8DpuI-+)yH?4Ntf~Wpta(L`)==wL*?c>c z_D0j7wUzkk*#R7y$a#)j%RxG-trUF*BkW;PTMBWXc^7RKt75DYUR`L6zC6B&Hn%^4 zEu5niDwl@wEncF|1&5|2NDy{&h`ni_pK1y7ImF^_Y9xc6)o!g{IZ6m|8qT(%QNWlz zFqDj%G2$@JAdA6Y)3{yKDe5n8G@wBw-fMoHyYp3&6^Ic66BAIel*TDampj&S@Fi`} zZy{z0+mJX6{S&&X%UlQ6ImTG(=1p(F6qEJgWLl|QeG2Osj(vQ0dTS&CKIxyzovp8v z3ozgUO&c=pJ2*Ia5roVu`FKE)4X)DgQ${W(9HI3J{}HSnfmY-)r2m6IrAGA+trStl z1!GQ=K#vvjqj@JnPkfj7l3V-|{BK+>0-60r>`hKkUkokAe{?Jhf}R@sKx95z*X|9y z2)H94M=h;0GFy9phViJA_^)5rvVC;t!XI`2`*(lzKKh}^w`8E6A5kNZzVbTie|`V| z{J8)Bw;-?K|6@;V;>9#r{mFCFK~_**%P=jnMsYPwO(%?U87fzO_{xX$sAV&5AS(!S zU21orecne27F)n1cJx4Kxg3wVJPN*nLug#g_Q6)wq20=7`2LVn_802VKJX{(7-421 za~a@qxQ_1XZw(h!?OO~v^y%Fv@PxM%FJzU$Pqv9g81ZYLKx3)D%*zeTm#QH>con%d zfJuUAS{jcB=NQFwXAVbl-*TGvtvqfnsSST0@Q zMff*B$pldt@@baA>Ob7E8kW^w>-gvos7<9-M!!PwEWTV!g5;;=f(t50?%Z(Vqu@-_ zdpMtcKrZgIEDx59z#g^)&jrY8Dw_mzK2Jnw2ED!e*6-6EXFzlx_pdu;$O%aN;1pR5 zLJT7Aw%eW{Q1?Up`mIbfJ!qY{jLo3{g!Z zc~W<16pB|WcUON1SDZxJNqDO&Fh+NSuCf6v4g!(vH*gBw2(E(1mkWP?Fei>Xl2@y` z&p(O)*Gu}UZH1!tMk&J0y@9xWM$5{bm*qfsZrt7$k%H$pS-Ox_YaAl!3ooQ-1z0pA z8$X1{!9(h|>j<9h)alcczRZ@@WYXKW0qWfY%k68ZXHfFV;9e}Bi`zana(J*c8EKaF z8~jq)fCPm=6UA!{%$@`iPFSs%arJu^JFo@PY zmkO0twp{vR7Y#!tM8mu_;>vdbwzLE8(gFhS%2q0w*|)37RtZH_tSioq;wV(Ofyd5$ zDJ>xL$-)_bNG7!&8X}KML?WH=m55(v60qVGfF`qC2F9ln^Yilo9+Scz#(&lj5pKn# z=a!SFF!32lm?o&xD0DV8Dba*9CWW{!8jpCWO6@%F2BE$?V#Iz2mDujSY&p!KLkY!LRJDY{k8%-2mCc(;AK5^P-E~2K>Q$HzF$cw$W8#64rCA{Z3&xzY6Y25 zSYbLk&Oo=}i&&+Ce;H(L$wIk>*!Lqe;CF;5;>pdv4g?T z2o8YJ3*R18&K@AYSagI?SY!xYLopa@tThN%QX}?zw2H8ntE$U8M(L^#cNM7Er9J>P zKjUeE>3|~y7%X^ATalk!ISeAoP1|>L(fcvv%(es zJ{%J5@Sh6lT^I+Kgy1j-D(->VlOC*_)S>5mJDk9NxL8*zB(x{kb-9G>aP{<|7qSty zhj3DUaFXvI?ouFU@PszzZN<=NAiNe>w&P%Hu%5T1R!oUP9u)En8lmApU^yfx5YDAC zr|gyUgyYZ%hL-qP@S5`3G?Lg| z%!hHjN`?tc2*61J#@jW(Zau8pCAf|Iz=XSK#O1nW#ikY%!(+&a0^aY}5G9qzZFE8v z4-PShLe4R3h@Gk&d&5V$0)f5s(mQ`tSAoCEAY(GV1@8Y1&}oOZOv90%g|oovddAVv z@zw44Ac!BID5BZ`4`YAu5%+}9fe*U}fV0&|ZVkN^uG(q4A8d$bTnB;&6YA)!M6Cup zm6NPFvp5Yqgg?fRq5$TZnwkon2jvd_pL`88eGUSGMjqZ$H0{5m-^QkG7)mP9Rm2n8zdJRa%8K4Pr8N#MQ%fmjm6$? z_PyT}1bAY4$VIc(8R9`hZ{T(lC^$ZS70V7tCKY12u{c;j0j~)Oo~u0A%$^JuUv^IQ zQ-o@v-Jkv+)|NM`>cC~l5unDpt5V+XyM%E{D`yfogOSCa?Y?BTjV#e}e9Vgd?g}g> zM4oI7vpYm(jam(OTuuy*_6S)ruvt3AZyye(GnS=uS3rw_T-$)iBOe4wXflG$1;aq@jrF$9VMji zAbb5kzv4Zu3{rEf;ZwlZ0d7z5H^|jqoL&xwn&|I21!|DHB1eV2!)psS(T$?Ju$?Ps zt-6}!K`qoYJIl!n6p8RMX4w-qBQ^leW*Af*OfNSC$a_Y?)jxgPGfImN^yPBoKE$Z= zVByGz_`gK9&3sw`M=vPOIxLHb>?K%$1_-7`mZQ!RR46DYw>=l#Uw@45aUgUJce$#z ze<%$7ct*+Vi10c{o@CVYBnEY)NDj^l|?}jgn4SyoLXm7@=5I) zi}fmT-l>;26}@DL8O?=GCg>$uC`J}+?eBJu+U=H@Je76a>k-y;Y3E)MGX8HaK%Dx* z@UlxUE*fmr-U6_*Y#|i2Jfd_7XwDOZNL~6$fE)#ObnoRaVqC)k8selG+60;%Oj!G} zz_wYUhz0-cRFmlU6u2@O>kVc4?)%8>|e*~WJ z$q$JZcztCPK6_j}>kpK>gp`zu<6tH2stQi1oHVxX5G({7@#QBveYriR%C29RaKbwe zE}dN)NwPEBJxAr2f__w@2nW`~R2t~8cP+td^518e{kQ>o4vlK|$|6hy@ReqLalw>0 ztwx!ZzO`|&7g0%_obWk8d8)@eP-STxzXGm&C;V!&K)KRm_6V`$gJ6#3DAWzJUhb6x z(}DnPZqoRQO4)>uu7#bi;$dcrZzSYdne*c?!L(TI^q%sY@VrJ`x*g8>%a<2eG#0@G zcfY=pu(d^k;w_mv3xy;J3a)>|NPncZ1g!p0UJz|LfdIo*aX=VCJOP4?MOYm7rz7mR z0Ss$&0`ekrqZ;Qscmp2!F=C$0eB7sV_HOrmUJiv9)OJUJX|wk(Oqziah>vq}mzyqN4hNDwWTY!w6@G8jM)Z(lik5+09}Bwb*M86*$_ zuGXe378Bc$4!Rkj6nGIN-~pnl%hC87o(FDDEASrxwt)p8uzG;O1tAT?VnM+8tN`as zV1sUrz!F%VbaGgNY{nwb65c5;g zWI9#WZrMr$5FX+Qc5qk8z~L4kJFLljdCf>z z3;8_YdvS+1+-@IY#1XeFJ@9jA0OJ{=Uw!AcxEcjQm5N0H&5Vsk?BYWn#{T5~>yd_O zG}##e9=rU-@xceI=4%sR>IvBqtQ2(ID3ruThn(|TJ^gS$5CfpAX^j-5K&>JnAxFtr zNTGxBf*i)GT4)@I;(6|a$Ak_JigPgR&r(J_krH4rK1>zSf5c5!ksGg)x$bADm)-+J z!lB6V%BdCE1TP>L^~!=y%glE@c7gBP1GpW^R^S~7(;-$+QX2`U$4S_?QC@|oPCxHz zO{$iWCP|IDu%UJ6kv>{ATR{-^`EZM8f4{}8NGDEJh&1Kj@Y1&;ruM_|$^IaZZ#O+b ziIZ-#D5O$leyBni?ux-$8sh_lce4LI`9#}97)^OmE0EExy6co^vN?7}*GCYIVFq7$P|I-`m z&c2fTISuPDoD`~5CpwN2sgK4FfZA&~n^dDnXwE^8Lg1lFLBr+~2h2dO$P-V`(2DOC zdkR~#b8fijeX0aDas9(6p?U3}Z>Q`VCZ2COPU5S$58?Aa{HIW9+(#57P03;%)8+qX zw9qqDY3lJ`cJaa#{8r{n>^dg|^qN?6)8Sdv%OCGJfSf1dveck*@FCY$)8Wj3 zrC5R3G&0aU|Mw=e-W4 zx{R+cAR4cd5A{aNPsph^D;M(NA>tYG58Xl(Q+7PvRQe2nH=H=b!d!Se`=(LrW|LsT zWK*H_{;U(^B>Qo9mh`UVS!p$`>wUP0*JpOrX z^|5hK-w9!>-`l|xp`a>)8$f}niI1T~98i8FX%-wdNKjfC+T)2Hu&s3BK6u$iJM0O5 zsy9o!k*hk$KFu1y@KEDD;c=1^%T>jDDh+%f+_%Pv;!v;dJV9syfN_lOs>oO=!`@F& zI{^kUupgDKd|o~jC4}8O4lH$r%iaX0`NAq9gM$ur`ES1ql6OCjUXZ@P2ltSrBwh~= zkjav-!a4g)qQ?f!ele?FxJJwgn6KLxchuO&tEC6Dx8+Le1VO!_Hwt79I3?&QyMNlk z#ZaE0pRKy^R$-0P>hTmM2li4}&|YTfKeeCd1VIeK#`mk8%QpLIW}+IWvt11M5n44% z#&FD&FP5#>!=ZP&Cw{3<=;mL`7umr+(~bY66as!OruLYQl>vk&~*7O;&S5gMumUj~Sa5N=GzD)oIR5i#PIwDxD9zS0ZT-Q8@@F6y>#5 zj*~2&i8Ny|a2fZZKhtq^g1o&O_EZp6JDy|A`<%|SBke3)J`xNf6<=f;ZxoI^(TvnU zUB`o%E%xTmGxn(1ga=BYBT1t@gohpJoyr^`OWA!3%Z!4@xXWV{sjA>Mm;C)Oy+=Yp zpYmDyhbOiAJ~3iE&eKKYZY(x9|D90^)wmk2Kay8 zeqO>i&;UOH0dg|(F~lhDr@xx^U79F zyT?r^i64rvD8h(&>sCy_Lfqbm(R{_c<~m3+fP#TBjXYaRTk0@wG+A`3 zA-0k+DysK)I=YF(NJQwT^aPZ`tkOc`ubqIDx4YGF7%eT!oat=+a&#Gjm z-h{0(NB8dY^-&FU(u$FeXwlSN^CU6-g3P@vF=9c%mc+}Ds`R$iuCm)E%kDKUa;59w zRlpZ98g$GG8wlgzf@Ixz!n#oK)Z!_V4&12?d|gD4vcdU`{fj}@oZ?@>=Nv^`fuMcH z$vhOTw)rdA2Sjg@C6FWihJdV7@oiC$p_hY7Q&_t62NEd{ZevD3SVnmvIM6ouyf2UN zgV)mFH*iqA8iV2Qinot5t$x3x74u+Mwed9ery0>|*E477QMvsveDcCxuZ~r{vheU! z79gj3h&wO}1$N_{#F1zkhFOyvsjIo6Hk%shM#f1^&AJxf+qi048G9ry?f-ZoZ)$$i zLYuNlM|(VHa(l#+;lc$)xe?3d(mZt*c8 z*}*~zoX6}<^Q_Io?gW^3L{dzV%*#I-=z_8DSe5{$he7@F(?0Qz*fN-E4t|B#Q(M1I zIxQ-cA`YbHf-`V4x;;}kp~kx=Y`2I$1ggV=xLus!d|Ifvq9HA#iCO(CUg_NjdBG2- zMNPH4Za0}|{s_N!x!t=-!e;u>RXMUJ$IkWnIb0!GQYysoRK4zW3V8Qu^6uvG5ilkg za{I)>?#nZdP@$gf_hWcf5rE-WNOBf!3|Fo3$%>dY3Lzp`2aL`dfe`{ig;OW{%%! zS$A=`tZ)Cy*#L_isxUZk8)TCj=)!2=_-cF#n+-8vjoxh}y=s)(FA!_?mV-+*Lr#a9 z1fup3`+N%2cH8KlvM!iSQFVT4$EkDE4U2??gcyUI(s_H_j|g$9E*|#zWJ5#~!%qBT8UcG75>sQZ0Ci+&?UqGmf(V`!Ulg5+ZQwjP#Sit2**ZqL$rn(cO% z!RQ){ge63vn~EaUS@=p7f97^PN!v1M-<0mFZlx7tX2H>@Sarq2?v^a{2fEz&N$0$P zl1uKVSMpDISqc(w>t&vNHeO%)!@M%m!|xUGLZ+70FPF5k+l+$b-(y{aifwrvmQMzC z=aPtpnzwz{)F8zsyLub{4!|+l1S%$Vollk_3pE_~3YhJ9pGatwtrq-*sS;xg5PC*a z|3mQx6AcxjA0b9*mpk8tp+4?nD=?#;+9Sl&-P0^0W46ae{|ni)mka@&*XF63nCB2?K0Q{!2woB;r;?G0`-H%!v!JKEyeNZVt=Nc%W8Y1C*V(t_CS(nl?OttrKep)$}in1P=p zZhFvd#PY_t(xnN7lrYt~is6SQP3H>Qn%`Q?hxyPfMBkXm)=ViF4tRf|>fyVOnB*VH zALC4a=}iYt2Xb+k&l7QA2R*M(3T}Eg9sjg$?DHABA>I7LGR0R-_^$?wkI?ne6#W-{ zQ3~g-S#>v+V?w4KI$y!Ut@+(cMoOydS**fi8oY}@$(me6SHG)$A&u}=%9Sl;+U78_LRZCaO3^#0sHF;4@`qT05RuCA(Mp| z0s*pI3xFpPYIkd;@wDf*9IDzZyTVt}mEe3;?6?4)^F{}WZOsF_`eMUt8X6xtqq93- ziPZZvo=^R#-o!J;OqsU*km&CUm&V<1XZ=rw)bK~O5u<*gy;v>>*xbbZ}UfF8jQIOLCOYWE_tiA@g&*HT59k2C+*%%&XsA-w|h7FVTr+Qc!Fj?P-((4CK9#{hQs8Tc4n`TXZ~!&4}cBQ29u)TZA?8%KRj zw7YX0x>VmWuni#FzzxN;`QhdQ%|j_hm>R+~!RfgUC`urE?P^Q+ z?KDNsszxFF28rNyM%RNEZ+?(`6i!cUm-?&yf?1T8D=;(ZHX7(OkuQH*S9T|4N_g$J z;P4~r&Za+S-K;~>xbrX!Ey6wCnV6H9ka_X6&#w-*J*S4BM#&u-WT#ptl)j^j?vZ)x zq55d4`%l6(g*`KLnizGDVd6eynE>WA5&>E5EaHx*WOkL*)(c@$2hB3LL=(?`Kg;zz zZjNrq!2A)YET--P)??cXG^+~xQwQvxW`k_3`dkJD2f@q6&DIXHc!qXR2u>h!ThTdq zpLXE;KZ*3;ZiWrquGQ)%nf~j~%l^?4yo~7~|c69~E8++;W#-{^G z*XVS&geq?Ly&=s-ARW+2fEOS#ftzj)!|cEiExXvr8Z>XQr@CoEyk1frKeOdUOwJkq zKmUj%qLJ@tfA;r%RM5LmvzjTwA7ckCJ0xAydaj#~mpyHjmu&tZ^Vc{_GaGFMgi!Un zK`uHW-xdFP&1vS-inU+lWjg-Ysz8zIp^=X64|&e~bWw-hQk>#j0T-E91+pZ94sSS5 z`Gn8Ans*y?o|`Wz)Wo1i|0FgrgYN1Y{prcY>R-miV+s~YW@VAwUy%jM1)dQR7C0yuKaTsQ$Kb>56d+c43{DJtH_AE zp@(*sX6ZDcC z-`&Lil)lTcmY`Oq#vD?2@5<+{8F_RO&vq&#Twn|Sitb!OfAFC(`|@zQA}pmjaK zr`tiH20<>@AKt-zYPt}n6ZuJoEh*K%j;5?upe$WB7$BN%4r0B6&XM|Ee?WdpdZvOK|u;aADwTJV86f}|3!G% zb@1we&gk8p#Js>hfTR(RHm(8~2TwbLBeouUtmgr`$P*y7l(^%0uU|ey;EV3evs`0V zS~Z_EI<#M<3ZCiyxJW9e84*q{MVlu;hzPm!LLpAm@P{I25C?5XGYTvu1&Rx}t~*N5 z+{!E|rs*)P<~kL=bm>V_H90N7*m4y4kD9)GlEf&7T*~cPDVrWO71z*Czt~`+>8~*z z5N6%u_4nQ`3wu0&kr1ECxDPo+D-PfFLBZ$gpa4bNNe!}44C`oSz%TN9>CS(1G@O$p zD?>0z&~pKj=+SkpPP9|wMDT^r30H7dv4eMd82Ry9b`xbtT!(|VfCXAP{`u|`lUBp0Baz{7_rwXnrVuE&-~etFF9mEv%;V>r zmc4cA=f{tn0Y#S}(cL;dEtKt)PM?5J4z?Ft5VVe0anlOw(AY*cnq02Xcl**=n`mmoGR2K3v^2hzro{)7D`}a=$I}2`b2-F>f$=~>5etQFOp&U_& z2%y{@(uaT0PCTkT&$7(&r2c0pL5JgGsbfjsFNRM{!;lyrNY6*wff1Dkorf77u6|*< zKhJF=*Rw;jyi`Jp8z3q2*0)xHkd89?wU5e|Z+^eDr$S)zI)cmRFvf^eQuMq8@w2T|s~$mS6Pw zU~9LCY(h0g_nCZ1D23y+>p9h3)^+pKRdQsx&Bn~BeKnS1S>1w*Wg@DkAAvvCZWAwY z9*lsHWOh~0e~(a0#(i4Z`b)tlVjvA_lz5P80x?-UN(lTfnik6IwEL{w_P@TW<+jXb zl^zR-xWkPl4QVyf_6f2IYsGch^sGUaR2@*5plNKnBlQMoLFEVk7EoS(Y%WLif<%Qt z)GyXEELdosk2ety2=HHv2>YKE#8E-<-(|#Xv0`Zt$^8}9itvr8G~vD(AJgXnY_~ny zweD!m1_!-=t$+pik?fGjjnj^kMi%I{o&1V>H1p}9Bs!ED6)|D=>I0vp33ti*&0W@- z&2#bZ;cruVBiY=lb$&+BhwxV}i<)IWz1>b~LtLoOpdz_7ap6qba{bLKhoToh8K z%w(R)JQMBf?z2C8@83SYzvK7(@f^?b{85Kx-S7K-UFUheP7+6DWz9*W%O>TAMfoh& zR7|l^HfbWHr%m59e!H}`lznX`&PMslIheSOwiUY1I{&gZx^_9sCyBm;gl?pD!6$!M z@uv{~xy&1aarg2)2U-czD5{&OV^YE>R@g?Y)mg2-B)NJx&i(lOtICn_4CR7U+X2Jn zR7k`i&L~FNHN>*t>9xzh7hhmXc68tnmBwCLFNHM5wl!-@MJYD6Ro7L!xHi=NBvbiZ8g!nA@s`vIdgAy4e0Xdsu%0KD zd|K@%UllPxz*w)aUz6eVBT;;$&t#(5$U3dSKFI4~1a9Ty&D&cwWxS_b6jc@5)MGmH zRlQe&;3x`xQ`#*wR6KL5%sA7x@15O9FHcBt4mVscnC!Ibp&hp_7SC@nTmbXkUOiB> zp>sUgZOce*We|Od)-@%}6*eW5J?=J4wc@-uFkNNJ6%JjKw>%hy_m2VZt^9^7jB()o zZZBiGI>g&1pf3|pc0riZVBVvm-<$(J?*ey7@Hr{t^lv>*?#ukmR}#zl$=(AhRq;wy z$aOzHel0q;)s-THC|e02)N0y$|1NG>|8ibs0yf2`P>9h2522^QoY4}(Kj0z8wAU2@ zgM`r=vc2E}Rk`}@-Cc|y?YzQ3QbF7vII8~nGX@%vJn6qJ#RM#(n_PVtU14+KNg_bYd_TI95~Mm z&&@tig)fh?6e_{P+^Yr6M>}U7^1MB$r06!!ec80zBUzpUf1Ef?Ih{1=iYbV&v0Z0X z36&=`h1Nzp@;UG1lsY78egjgCQ3}tyxi8BM3l&&yYUyvz9DXW{;!E5gA5RATkn8om z?PgaI@zS;kOM2Vio=Y~CC|`U|c070Mw!hj@PL~D_q{Y3C(eyOMhf6tEavC3w{1D38 z$aTz@bLTD{#noV9trLhePaRMkyMXdWbN~^>;zwUe5e;}D&+wSH#R(#F;OKbPQeX&H zm%%E>OnL>+=0*n*3TxtncbFuNs)|>Mnr;Aa0@Z^7wNmmT`v#9r(Wmb(PGMS}6&vPo zpL58brs>iTr#-L9^rXj~#E}@|FSB^R+bI-oDBqa;1Ga8;sYa~OEwjk*bW~}>5>F5Jdu>Wl?Ni9~~ znks?OvWfYT&Fwr`Ux=)i9cvjm-0$PadDXD`4M;fP_Giq_>ChG&v{BRny8G8+AKaGl zlfX87L7zd&rE`{ldfsXf*DJm3!RDDN4rSRay!Rr$-#MZs^2IC1@uNQHCGQJCau8~W za%p$EDTq2)g&W;QJJb%qGpgcjf%>{i=RfO6xm;c3KUSKYh9gkN>!mX53C|N#52(k; zp@d2H!AKi|_z)|9fBcR@)i|$6gZ^v94Vy+*Nx0=cL52+)f#V5c$v`;8dn&g&MDa>{ zOde#hKhLelbySPX?5JsS zT>vj*`oloqgQtE5Ap-3OeUG@Pv$RxucGZjfoEI6gx-+Oy@6e@sFUIH6HkcAv6GYt$ z<(~-L>|LqD(7M%gu9+EY98|QWg(xq(XGd824JG1rZkWbtrW7^sILPjW%KKLJS6>z# zB&O%!Fu_Zm_ply}F^B~8 zeDfPyW*G+*t^l3sRb)u1-b`>uDDM1$zm^0z-NGS6iZNTd+$teLXk`i$eYf~K~p6+Le32JoB>z0pmw{T73{_pkmX9McecQBH9 zR$%r*m6AK<>q5wF1M#pn?724(qn?k9jLkiGDmIW{Dh5m%c$8K|>jUQd9c>AaTSV(^ z%Kvp00T&56soSTH&s>woE$A-Ky|_AaH#A*;Po<5Z4OK*7KUgF|*jnkYxl#lXMSv(8NqilNWiwa{M z3jj6=tSf)`p?z(m$l@tdVU;c?N2UmOX~m zDS6gt(${}4 zj(xW87JRB+#yu>vb2j7@*Uc|1t%F{24(ybx(7r(;#kA6F&lRc2^zn_m&l)F?NrZWq z>tq7QBR{Y2 z31TpZY=F}uROLZLs1`h;NL>?Z0wlaIecHw$i18og(;j!LW=9i#`0ZHNt+|I#7h+YC zKl(w?0sxNF%woCm9>EHA#qHo&{yge3PL2Y=a&Cn(iK&_QPOC}*!D^wDQ2YIV)11Z& zjcX~5Azu}&JFe0t&#@EK-@ZLp=xbESMZngh8JOQVXrW`3SS4gVGt}v$XV=ctJ(Y@OE!95vKHY~YFRELxo}1(4>{_>4 zIYW$jQiVXpbm&4>3h>B|JqgVOTrxmn2vD_iX|4;^VV!?eae zh=q7+r-?=?J{+rcMGc>wg=GjL?~C8_M|i-~-e7u$15I73FL|oQ=Thfd)KzREs>d}3 z^N!niW=z8soV*yczTe>Hf`H)Lecp3><%WB1r;<1C3&z75T02TA;pY#9c|8^l?b%%a znw-yRU0!W?hMA=K1INk%+5Y*triLNcDn!`wJx~Wj!{{|;E13@ ze0IB^A!Qo~^9%I}zO*Yi-{s|Hq~m~sC?jg&r00-w$BhvwV)`TS?f8XCO}@>?1(c_qFvISfGV?HI zot#K}6^cxAZecSRgBYpRTEZMZu^&K~3(@qs3M%nYMIHwZ#4c>is9)ge(c|<*aTvWKnUA9-#?w z@@Y&CK7F*Q$Z-7rT|KJ zH(TDQCNOHFP!PP@L-_sEh%4P^x7Z5FLi;#JK9{r8J-GAOx1%hBVL^h4iK!VVgBvwq zI7Io-aA{4za-s=5h@PlV5NP*-Thc9Bh!4};N{Ee>2CGdIL+u?o77&8L4OY?l?tt#!iu}ya|Q9bnpl8F=?NcW@=|S= zkQ@tDg~AXUHh8gK-SB0X7nW&63N_$^3z5im$cM+p5%ZSYZ;*&UfHDDxw>!G2Bp4_t z{^GdbEdNheLmRQKNaD(M+8`sGBk)$i5MfEjZf%$lzWc*oFsG`yth&0tX3_xbxT|jW zbNfnyN=D#@;S#Du(0^g3uejthTZaPNj-XeY=&&u4YXiv(LUw}?1!u9ybfU6Lzrqk4 z)+Z3{Xs6q{_3PP7QHPb1q~pA(SD85JWO6yMh8eZ_Vz4M-T_;GHO{Xy*XNi*9!gKI1 zKmr7gDv7KgiShB@y#Udj|yl`>t@t4Qf zIM3{eax!G0ED8#4KC)V{vS&n}ZHwL(^?23Lw_c&@5VBqziq`EaPD%aALn`z2J6eii z4-}Tu(pW7Wy!*3FoTOI-e^}pUXeTK7IxkFX`C(IHS3&jk8@=SWWeSsvB{|$VU5{|d zySK@0bKhpA`5ddy>e8?X>VTj3R#drXb-l*Wf_$~sK|IsL4=b~}8KczlHEhq@=U#5b zYHDi>X&FDJkk zxPJ4lKp3r>+DqAiB#b&fMzFY54cyq9Em#VX7K3RpC?ew^s?QwnPb)Oo$IeY$UPRBi zJrD@ldI-Sg5Od`-^0w#VAlPqaGdfY{8uIcq@t?R9tsU*Z95`pMhYdX`b zGgV`gKwB=u`u>+fTVX^%(L_3C-L;VC&k*oXGXqyWH?QflD|uUuRpI2|D+iiw>e!o8 zU<)!lLb1?<&PBm;|4ucPpLgn(=$0xc?XBBx9oQ9E%+4;6m@pC; z-nz2HUhNFaST@!?na0$q2#u$MhnEkzN3yq`>~PcPO&Ap`GcUc?Q<0tz*jpEkeOd4^ zz~(_!Hbq_1$#y2Z@9X$qjeBe6eQ=#8>cE)v!U1CtZx=gZQb|?Rl@Lrff4-4N`(nSK?42kUE&q>?b?9z;2z`A!krt=g2%IDUpFFJ&$Pls%yiPPVEzk%D$WO}1 zl(YA~-*Z}XpxiLZH|bo+YC{inlkE6Qqaxm0|LSlCzJ2?Wc-siI zVH11B>b-o${Iv~}b}vMrF$vMP%Wss((d-T08+ z>DZqS_t=ke4t{eIZ^uQPW?-jD`C);zQ+Ctr-Qd00;r?*SoUkGp{0ssQCEy_>d zL;hi&{UiB0*F2Y64ipUmz^q<<*Gezh&?QGSi%E_tHA_+=>f2+8any+?V}h8NTfLTJ z)g+i-%RbtA@61=#Ub7WJQQNe(H4CIq_Q3|U`~uZpC*qYI|176`!LZ_M1bR?$q3=FdlO@p(!(%(9rfN4_ZYG zsB!H=i!Oo{r6b)IoclCr5Rl#~rZYq+Up8W3tBV3(+kvvEv6k)!hwQeDcFof9zO5)M zvpFZh(bJJsiYxvDoF8JxOPlLCgJWwlT{AUMJTux`H9J|C{e_0HjHLe0z=26@Z(L*o zG|ddElw-j4uO7m!>>1#82~a@oe+fa*f$x{+_6C8h2pbR4uhu7j4&bPEX-Q(lIJI(! zFmUB_B$Jwy3~f_$GUa~QTRNHg1FIa2_{WdmFzG%N+;K-k((N=}d0VGTUCYrTPA54G z6MB#IOD{(AT)OK@Xo4qUi>jlVeCeV(YfoDb?3bH;Te9rqHHEtQG7f$FyfyZEtol=+ z{j1ob=USeA1qBN6wIebzYMAgInZNJ6hd2Ob{*hoonnOaC_$Y}#la&9G+(0>4uFtI| zWYmdJfNlfEmwS*bKmRWkUtUp1jw&*3p+Ist6jNi?M}pxq9(0kv7cef zS$-GIB+YjJQry#PghXyq9hbBT<;lZf;T=I4M@+VVfX#`2FsYi+?y_Eirib(x-KbE~ zH~C0G{Ch-&q>);o1N?Cu!F{<|VZAWS%og%lcDR?32NPx8=t_Oi&+3(9UW20~yKHev zJp@BaLt}2~V|5ZM_{`?23QaI|EIR!GVVf3fkfZ` zHIZL1bPCfahHpolB&|~F3*gjD<}4UNH99hUAYb%C<3q#z5Bk{}2E|pnB~M|$gAdq1 z+aFjZ`v#74w;c`pQWP?YlKOH+4C4B4({(MLcg>r7s7~9RJUJYD%WkZ+M@(R?62f(6 zYL4UhD`lDP)%4?!uez>ck8zxBdMP3h!fB!F%D$QJx~1nXn-D*9*YZp*Oa8%fgjHJ- z6b-c;QMaey^foa;@6?CVRVU#i?|Z2Ff%>S~8Hn~3-W-yVNxBD_&9(BqA{5n~tYLwU zA1#y%Y1s&d-JM~BQs5;AVLDnK*kNm-a2MdSokV&_?e@S30?Cn%OO|DN9WhA3R9|M1 z`Q^Fs-1wqRrK0xGdO|uY%*dUsVTty=8}f|N410wu=_$QaedFW}BTz1o z#t4*;zFi#+lKsU)A!-^FnT`t2I*7Oo6Qjg-2Tk`O_QjR753sWDb_0*Tp`#z=lZ}l4OmB`W z>buAlqJale{Gq-K+y9g9T&V;tbqe8Q;$(gJ!$wt5S#aBdX82b=ewKei4sp!h#`U_a z;P*ow=I7F!X%kQF&&O}+=4UW~>zhF;q*`x5gp~(9*|m&Lzl1M!lo{r+bJ6OG(%K5q z-hgwsKx~sacOe*c_uAnaP}o-AIA`rm_he=b-kZ3>k1MB;qPrh)w>ozM!X75C%=S;0 zAk`qZyFukIdi?Di>JtdWVT$Pn6f>~iE%H2ao)?sGT6h~(2xqcEuQb;levf0KU`{9B z&jV0AjS8~dh7ZL4TJ{b$e#5Q?>J^!vZhzft*^Itz`dB&abT#d^IDpQ68?iFQ2DB_M z(GB#H7hINmxqvQNR%&@;)9q=kE-k>BdP6(8F7vs;@Vl$`e#Jr{S`J=56QBIH@mB79 z0f8E?G*sSwpk{2Ah0L#LIQ|i?uWCMVIoZ19c8Q!ESdz-RwvkU*4SJsRCE=^0H)}4AxxXw6qFD>qfsww;7ZyhWW~#Xh zQy03v+4UbMZ*syC_dIG)`Rb@kaG;CK)LU%}`fbBsWV@BO-mwt1zNF45RDBqvs5TRp zPXmzAI;&k_w!W*SiR60{X%!*z1Q4yV&1)SIAqLIee%a)+FCnO}6dO0dAZ-B7F&z-j zP$rX&{_t?XD~q@bC$J>a1@2c|A;O_4tlfMQgsTT;D+N`uId^i?dCd#m5qt@v89vzD zN{W54$VG2VMUJTM72mcqEIAtRn*AXCWyi4hn}$M3_Sq|`+`mgWRWzA@nv0I4ShdZ? zaHZZ$8J1PZiPsu^tLmNHq|RM^@4y3?003|yLPV6Zmz53EjBB4-TLZ1mZ|$E}@&+p0 z`X>TPlsWTN0Et#i?vB_Iqdbn6jrWx49=$m;(7iePebjXcVFnA-W%1A5FK6-vHyHw8+`ZwD4 zt#{+!tJO|v*lj)IZ@(yrz7zZ1ax$IvXIhcdP37c#YTXv!n@%*%w7dC4Oe1C~ork>s z+AWWYE#`50rfsys(=NU1YFR8TiZn_*!~BAR{_ssV69xn|gcvesn8e+v?dlIQ+=XE0 z3t=wQ@QwV@8W&LlNcOl_y@3b${BJ)me4FU4sjoryfHNU5mw9{og%^agkh;~(8}>?0 zFLT1SNoe8Q6L}eznc=`55%Ww~aBe4>Cf5YGn4O=W>;<6vb0%)8gsoIy$@gtf^7|~A zxYcMZ3djsIts7{ir|O24+xG}DMR$!#MBchthe;D-^B3K*Dp@Z+!lUMr*A7n~*zs?! zkZ}6boTK;)>=RRfF)Q1Do8RJ9IA_jv8*+hW3E21Ud;ba0@^u!N#aSPo4%#VZywVYg znO*Riw9|Bppje=9M>Nt zHhJh5ScqGOi@g&2*1w9H=o>5IkgtBaZ&YBfK?C+)&0k`53Hmwh+Q9{Bf<4)rrdgH>apgPbDzQPz_gc8o!=GTR>0_C=_$>ba2~jDi zrWK%9Sk=|1o8*6Fi4{Mt&;ibe#wwi8{Gt%n4pi&hkGITA(mh?x*n>?I#OQ!8~_20 zH2@6&&_CUf25FWFc)}sy>`uj`ISjv=?|85W0(zJu={l5{z+iY@F_tSowFc!7hj74qhO6T z4R?Zz;FqjCebdMfqybo=L6VrOqbdTag81pG7n4l`^;^hCtU@$2FYeoFFPl(y(jQK!gHvm)8VGDp(_7?!~HZ zLGEpjagKi3Ju>M=PId=9+RE8BhBL((q?@+#k_SekYeECj&5;;68)J*!?VTOhj%Oi$=Z zUvhz?d=xgx>X{{o?!34DAb&H$(m}!~=AwROyMP#}`Lk+7s5=qnp0mcO4T(-u8;hYPBYTFlDUQ^l6@Yhswb^!|X;CYc~$F6UF_pT&JR1 zT+FY?iGPj_`X1=l_^Re}wt&jWT-iI4&t;l=G9>2DJfa&%jaGX}_xU}+~QSBg383d}|f{4+k23Rlx9in%mC@0s+RcNlc~cmDZMmZ*vmZQ?r)_R&0Uk4jc8qIZjwZCh zm%yA`VrB{m1qv^WfWVC04{Hg}inJ5~wQMT3xL5$4itn?uM5t;ik&`TJ2X;ShjHG*^ zd5U&G*>vwj&OzBqlW{ z))p7z)jQ3ZkFqw`0X^6RcfcEF9SkVO2!^x>2!s6VCipSyU~2%5kJrd@3wjn&NYn;) zS^?Nt(&;?3-aBcIBI)Oli&chYiMSJmc;-9Pf(}ZI1(;e@gqDN_m%U$01 zV+$V%9EX{m?2_u0m2%m-MlBKIhHN=(mT#`2RJ4Z7Yfs`V&N*9K8(xvf{P@QFP9O31 zOemzC#ddn(t)Wn02oP80>)@*uhVGGNSjjq(s8hI% z9*_urd2F>8NdG}#u46|KlwAI%FjhF7iYZM*$#^PQNeZIL112EA&!pCrYX0AM2*1U+( z)LULToy0?t-f`7`IT!DKeq?_?p#XJW@CBn zp7d9NRo4~RQkZ;xf89!DMzKT2IA2-U@9s2*z(E&#VnBYWd?>>&`@E|9^}PGN2Gei{ zpvhLNY8KD7uv%*U(EZ73DXYwbCFcI4gUwmgupDD%Mn;j{xCF|E6$43&fDte_*G^|R zaWCy);89PQ4z=>Tu?x!$1js#?yki8DD||`a%e8Wmi|(o@NEUPx1MF5b#AAadgh+9M}?$iUUm8yyS^>utE*Y||?dqb5EN3eLxGK6ny`2}kVP z22Xrm+w^)pkbF`DQucR3<0^-%5*x2o5c1By00RqTO;5lHU6F`&=x^4_hssq6#XHr zO>0f_;en&yfiTZe_5{4=K;bo=6s}tl~ zQjn!&4MN?xaRZeso*4V?d}s?*Xv=6k*Q3`Yr}I&EWYHgoZ%rs1?d!AtIE;JXG`d!P zk#?X~sYNYDK3ZtxP9>kK9H6ectiWqxYr{8{A9iDQV8+l*jqLT;dL5EtDE6~JR*oyT z^^@-(P|YXPUq3aWd_3U}bSokvB8G<5wY8Q;s8}ey{2MO3&!`+T*v!A403AeIpa2@2 z$G$!)KyI1GT!5k8)lHZKEPxS41&|{)+_XW^RHYn+^bdZtfB_$2MxGoN{sp{XS)nI6 z5=BP{uqYe97WkM9uk0X1zKtYcNP-;42!Fat?u1=Xs;(>}#62qfZ3Jh19CPp7NNBi! zjh{SQ;im@oCxxs*&Q0r=OKx!fjO50?x-rbW6h%eUtDBd!vPFDaM&@9 z!r{>AO646nX<(%nU8uvY%yqVPcMEzvEX!&m_*M#8Olin3cKt{J0Mg6XH>9QWu_cyc zVK+TE$=zyC$B=9hXmU)r+@bxLdq!r`!$UcQO7Ily)oSF49@EvMn@9ua8Sw94r!9$W3XaE#F#Ed}w_90{2eAA4x*Fw0T1hGw>-5usiU; zz^Ql37)HPyEF5<5*&7jBw!6Y59-a!9@l}upJs|~|=$rD^I$6EXaDaLQ)YBWVflag! zK(BgA>EGE9Ng!r4MsJ0R?_MVVH0OIMnI-{ygi#B0}7y%_C;yE(PX&ll?4 zcp&?<+*}UMb^lqeUS;yXAOZnYmJU(zyR_V@8T(p=7K*LFZ`lZNUw?!SOpLOIWh3Og z5~}`-HX1mDb&FM1z@MHa(JhEe898fdd6?8MJ}6v(~vi+4#NXjEYS{C zMr&}#z|`(JkWrHrt`u@{E|K%hIA)8QG|x(1?y3=i$V8gb6IYu!Rc4KQHSb-)U! zm|mfSGSm$XR+xo_tf)f)0fAG=o}_^oyv2+2gGd0*@fkl4p*j5?Qo_D6|UeF`XVn+J;yfpYk7n zz&1&a+7D{jCqpX4b^?~;*LZ1z#=cSgkxWi&G8bn`pr4&}lC`kGSL%MK7~Qxif&<-M z;RBY3-@&%CZS~Lw-r+)>N&& z_!*Ru+u~IO837{@0m=Zlpo29og`&iUjH|HdgPqc~`lHb`eDm+A2&x!s7B_C+!ni-S zS3j?I6}HVP=u?1%6ox336>Cdmt>PZZ6RtIgB}PX_uUlTql2J)udSM+K^ADlYeg1o@ zpk?4vb2^Lc&#hO2EM)uL95>zDBQ>9l-&Emw)C~(}!%X~?uY3aDajqY%U(SW(s5R}f zvq;5wgE>tsntROQ<3^9r&#cvcNwijMQ+{I?hOhcGP)?4f5yCnEx68Z;Y&v8|98%K3c+`gN9v=^dvu`hMx(zFBYCQiQ z$2d2*SmSyu`CT09$*3 ztEQVd3HwwN?W-Qv`QOlPLsdNEf#6v>-owzIgvNykU1U6O&K;s7N~y zYz8D$>3W2OirP>Q_?)gYU%a?} z7B8aD(kU0uD#0?=Zp6AgG^a<*23wHxxkCu6KBi0jD$F{$uEQeh53qS9-~jyI1imq6 z!Xcn|A~jx^fHq%3G9C<73v6H7>gx;ZJkSvND*axH%{zK|q5+7&>#eMzl`Ia%`;8aY&AfNbP{X)c$-i5Y zE)-wkm)<$_p%t;Vr|>RxX(}Y=XQqB2f$CLW?Q9LPOh>R^&qVaeXsrD_H?nc9L)E!GoY0Dt;VWYX@q-)<7z z1=v<`^iOMbNRzNPAg#OmJH~&p0Tc)p7a74WBxq++?x?s2=MiyRGZGiXz-5K7s%`cr zq{S+XH1|}$DE$);MHc>lY!*C10Rr|wNLhbjC**3eq|w`HgqokiHx}6%4q>Nv8oQ@+ z#)SP(^SR|Gx{-ZWFDz=5JE(1J*@?cWm2!=P`n$9x4W5*6>YQWlt=MrwOjUpou@0*p zy1O*Y*uQe*P0CXC(=maD8u(oR#a%nBT8BFo?3>ZZ@8U=q+B0G0v2_MK`FDz?&(YmZ zbxDYPOvm_ImId6D1%`gL8{$i|*S4dkhhZ>q{igek!N(mpwg=}jgk#*Q-v6Pfo2`vn z>udYeZQd&vgH)?<{xyPAr4q2Sh6MvavqmB{2RZ{m36B1g8(vDPU4fDLbS7z~hfMAF zo*ol~{8%oj7P>p?Fu$W)t{U1pS*JG{`&Kgfd|&@Tuh5_dMZRpIyRVgET2Q~JUD(w> zx0Y|Nv!{zV&Q*%3pqM`TA7AOzt8wN*EC~kBC;bZ~(U;3ygQ{0)W}Do>SY>q~&4Qr< zvN`?GskDP@S9=a0tTg=RL>gWK(-V+QAncBz<<>!c3OxZdB_yNZu%MK3XH0|!+>Pod z-`~4tOP1IBWpGjL=Bk?3Bw_28>!*ym7A_A!yQU}#?Xe6qFi}XqUW;2jHVd2tj0ir*2UfXwn2KUhKk&4c5Iq-G9 zMGTuqiMfu1<>o068-<;JN5}QRk{}=+eED#z<;_uK^fRX}f9Yr!y4~BGT;(|L=2{~r z=T}<@#ohJ1x*w6=F9%0}D!#pcEg`S(;L(@3KQ9i0Hhtl*L0A_5Q4kTZKOPD}KTP(TnVZeaH&uxRJJM&|>OPrH^OXXQ;|4$~}D-P*{y z&v+CnwLCBk4U|va+U`A*D4pgy2>YECQ>|+QVs0y;kx^}XWkPDQi5$YFswa-LTFkUUy*m|G$F+)VJe?e>Z+Z_M09j)f1i}ec{iKg)-jfvwN zsq7*xxd2mB$0pSMd(22G2UbU;It}2e9kWLRDJ0RSPmJ@Xg}nn ztD;>U#_)@|BUmudZZSuzWNints=n0@OP~> z{PjY743*H%fGSo07q4_#bq5G6DM6$_dv|Fzl^b;;dX2$Y5T#N45RbKeL&Lm^^QPb0 z2+PxWGR^u*FAZJw=xWV8LBUTF-{<#v+$r)o{mVV|7TPcsc|T1r2f{_dafTuC9iyl- zgt2&^?OA$3w(2%gQV+SKWKXAd?S?~5mt8*cCk2M>Tk~!|OY!sVs3k8e{y)Z&3KC-(yk`nTGIGJ*^t10A%{T!fW%c~0R1GBr<%0%AQ^-G z=G}S$_){*nC796Dxth8kaQxU)>xLSKl3?gC1)FC_mOdb!z!3f$0R&X-DgQ^Kryvyr zL^w=hGdkD8?T;VY-@%yzJ`o53uG8+jzi$IQR*iXZ51uFpYOxu^j{|oa!#Kc7hXH73 z+erPa`K989A!}L~7DN@bW=rShdrs#Q6<|^ucJl2+SXZEk8!Ve^1;8}?%rpfn zzEG6v6%Hwd7HX8;%I1hiD29Juf9ICc?XEPpAvT=pweT3NJ4!7a3CgBWWsmY9X7)JC zd^FgCpyTn)2Y8c>KG%8nDBZ#{ml;OLcnId6xQl`X#aNYT<(JKFy#*Cb7p(Dzv#&G6 z!B$^Q7Hpp4;x9WMD^0UP=VK}~@`^MpqR7Et_zzJk)30R&6^!*V0f{s?~O+McV4&r zFFQ8RkWt)0^~w#GJ1wA@?Bdz4nE zCubGpa9&O?NR17=f~GAXPJEh;UjwrI-#?vyf46612>R@sIY;yY8nrsLWZtfsHrUir z@Z3zNsinKEJu8{q!E@7aRn zr3=xRWU#bUL`B@@wdh;%swrTf`Yu!q!Msl3rU^Jt$#EF$&+bGB2?|N;hHquW~g?qdoJGVdEoT{UdJh{fqqLF zJB3V&(kc{fm6?LQM(afEekO$)YNLkflBpM5)i1x`Vi zHJ#M+ib0}hnOO{1g#Jit!w-J9PWQ2w(8==a``sP}UYiE_)ECawRdC)$+kl@lH7D%O z<6(PU4DAoHr}U@2L%%*U(umhA5z(qL=(y(%Z1b_0Uc}~eSNqDV`T(<6R!%-e&N#jS zx}>XoZl4u%$05VFfs_>?PG>w6@<=sNO8y>hVMljmKT~0GYnpqs6|1COltnaZ^@piT zcP}>AY->Pd%|Kts|BKe6fxy(pD|pZ6^Q|I`S%(?4XUWmx^AegD)8&t?d!>&$N@)sI zM=NSoLJQr%x6c@S42)C%RP(Ql-JfNxkJpvbs7P@9fl#Kt`%9Yb_~xA+-4v`sQqT7=@pqAf_T3 zDa|?qhCeyyZxw>6nMJmr0xE94p>Ud0b$uZo8)3t8Q-(^3^m>IynpSR=c$<0NraEe2e2TZn>HP%Iw+~{ zMt6L1=Zbuz{nc=XS^V_NMH@H0Gvl9y_{d)#mt^K-ohc0@hFafV9{uS%vp)S|4 z9^VxTPy4SI0E{NnWA1BMkp*od*Q<%{t0JhCoiZ@XT)1slrWY;gUElLL@LBILeyq?# z$Df)FfCivYMU|>DAv+(=_G zsMwvBHP$U@*MFW2Z6l!c)AnAD@X#84E`!q@40H1uz`DQf?cJb%E9Vr|wQN%Alx2^o z#!4`Je_xvl(~j*xeWEwx`II5D4T{Y8@v2KP*k4d9EDoT_u=)r+<^dcKVdApF)|6m{ zagT&>v*ic9J9&-s*cAtZzKzENzMMwCS??zB`>Z8D$ht;j)j=7_7Wb%|yxcB9PwR#D zU^052fvhg6$V2ey9R~GPrSh1T({SXw$RGaSg;X9}apR{@wNRsI6pQnJo^u(R?CV$; z%uj7#LY|4AyAvs6!=IEkm9bo1SV#${eb4jzzy#F5X^IeH=F!+gCyAIny&29VElnmDdnu1pjhm$MQW3YB!B;~K?#g7Z z&jmGa0_!F??-c?-cyrBhKa<=2eTW~kq&aC~oNiDC?GJDs||p_l&(!McP-xyYKCvBBFQgnaF)lEvg8 zLB9KKS1rJ^DN&vdXrhpOBfSeN_2%YghN%+8^hYjC_cAwhE!Q_(YlC=e*QMbM6ZsEy zVm{uH;@@L|R5AG?%Yx>7DdMtsZ8;r6f`YR@^3e;mL8Uk$Z_3K6Qj5`j+X=8N5VqQn zmrt8KMV__B{xEy#&8HuXpWOqdNlJI48>g@~3!}20KPjEq|BMGzsz0|YW;}B#%WHnw zA%{zRvz1kA>!h?txe1S$0hK&!?FuNk->y(qD~$9w(S50df&cI=k!z)bK&S_#+S_RR zm$85$F@=*-s32B8%d}O1VN=M-#YJCl5(MkF?N37r*(&3l@1H)iouC8D*J-6*ncH>S za4Y6z?EeMG*17xe+%j&zT| zUsKg04w|zDqk+3JbpOGgqHbWX|0k7{ZwBn|*fRNI?hm`ef(6)P)(J4SgW9E2YE{0W zWvWW^(g|aO#U9t%VO&d33X`-mj64OsT)(Mpfb&cknyBzlz@rgbtv|9|rrc80e5j#P zv3dbl^KOjYp7Cgce(ckkp6bqmJd$(c9Laa6KDA%hU>yk+vz)=HUX`@Wz%l%-^I9FV zmbJ64k&o99ra=4mdPpacnSu;)W?P0r%W(^fPhgyevr*A9^NX3-b zeiQQ)m+`@yI&DTlfk6==^p#|ZjJ+U->8>m-vvB74E^ND5k)#{5<=cP{FMrpBntW&q zO!Ak$tj`Z^CE%t|_S3}*j~Y{B&mJAV_0sMR^SaR(+RXJRd~HT=Huf@pA)I$f2S0%A zT`}$@is&Kn&!=9adBrnm%HdBr7x2jii+d8^Zp;^N{7+hM$`K+(AJRto?0|My>vQobaI%G_wd z<%NZ3wf=s$1DcS0m`#m`db_)-UEW7?{@A^zv$q8>t?^g=Q#csHs&Yx6{Jit>y%iK2 zG3ka7-SnMrN-wpJk{1|t1X%5k@Az!GxS@$P5u9(>no$GYQKFqqLc!n zptOP_p#oBp8w3df2`LE)X#weODUmYh?i7$NX_YQ1DQUKJgY=!t|2b#ed&an5{P2#U zW4vpx^*nR_61ML9p0S8)Q?8Eg*2d{*a#Z57F6e!v^HBGlzHVXeHmEL@n1f5tL5CVlVd6bz12~dowX&-QC7}0UI4R(Yf((GG3#-?^J zM&99PWSJA#+Nkbl>VJm~k~e zkQT(iS+D{>?k~@?lhq?AnG!yCQ13^w0}Ao*PKY5O`s@sqPI+pDIH;Ott}E&DkGMZd zga>S;d{w7q+b^uw=E}`G>JG3sW4UVEd+=E_<;x3$kG;=RZR`k-@aPCqhFyOA@i4AA zW??=q$n>(h!E)>2@G)RhVNl;P(e5*0*Z=fasp%*8C`COXMm}1DP&MWFJ*WpA6Wp%5 z`SD#2`m202`n%hhM4F!Lfvod(+8}k%+S=

    @MqhTm0`v%EcumS7CV?9qH@S+s8od zjJy0~^EyDlSz#Wpi6f2f%u2#R z&FXECXvJ&RDrHM9Y8at~&xTG-NaHc(qKgp>+WW-QbHQ7n(u4X+M#@4cHwyC=rL(iQ zS3mdyBhR=G+R~@MaI5O?*Zb7hL-ED+)-5b7h-_<9f4HqtEU|-xo4{-6P=EI=l8(y@ zQr9BjNY0r=sHAEC;4!kmU*xk_M{KY*bf*RQN2=en9%WPKyVf00b zETic~A*l0KQqL?jUt;&NC{d0-6-Ydf<8sqM_bvqsX{b??$Qk z5%?{f-zuK7B-_fACMJ8Ol8&!A@3?C<{8d{tic9C$SskV9>GYZy_KA%#LI0L*mb;&I z)C&hJ)aMs9*AS-!A&=DGsHUNvre{vvlRenlWHP#U0^;2BhnM9%5}GPog_5IK$gWWC zYs5a)TLvE8I`;ObCoK=I68Oby)xpoEuT(hvbn~Z;&`KV^F)uEEBmptVUvc;#z>b`p z{0ny`!zQik$^)phA@@D({y?2giqJD;ypU>1M*xk_o-w@ZYEe%^VTdH!4p z;u=ID?)n7hCYy!QJk4U*CbI0Da_FxGK9+sJP?Rd~)Y`-4$xRzZ6?h#Z(u2LHF5K@v zRWTCKp0`OG^!*FKwpb<~#uWk~7iHN&`cr0WFWweiH#9#OQvqm({ZvgnuJ@6L+V-s& zV>xoY9OYu6;<48?)5$(W#qM9>8aXfBJIUP1fZ=Q2_By+uao!LtU~YhqYdg7{C8PB6KyRs^+Ovk|wm>yE-^BVv5lP3?p81 zZbrfQZqFcG*6%$|g4gHOC5^lKr zOJum6Ub*y`mSO>jrX}?)$8eEVhU{|Vn<7_4lFKq-tTYd! zcKMUCx1N>cL5XY*NGlT--dZM&%DK0$H@(kak@~;?SV3KR-0S#q@z0+xt#7Vanml%f zvla%l)Qk7TW}-r{jBc8vmF0xe&?BKuZ^$Au8HT+m!tz9S!Z+I4%D&^VPPw??*9^C& z$`fEDXCm}$0fa+$FcPKxRp68$*sa~k3=0jNff#HD`t2o#JJi}rxNgM79$<(YIW{G& zS7zbc*1mU*P=lbeq26rop5*=G}cHGgy zfq&VH_N7bUlecN}hkxU{yX6Aj=Kzlj3wp9G;42GNw3L*P%l%c?V$?9|1>%mJMf|3y zss5x>F5nq=`*m|M}j<`o(5&yNqRx8aM;2eZ+DpVlK8Bt3pNQwysJ=6Af=*R071+QcgR~;B-D2V z!mWHy;CtUl49IZK3UKmcYW`a}+Y)TqStgznAz9G}(IRid9?v@- z{H9w~qB$TXcdJ0b5hx!A=Uw}gRaR|H^N$HC1^k7Xr&2NufxPRCyy(%!h63dub)`7pP z7C=CDiDTy~0dxz-$1cJ&2FRrKiivYYK>=%kh4S`~V~&>uH!@y|r{zG(5AysIKNb@Q)iJna9Bta>G4)n{drWpO*?ZX{7AAzGj7Ep1g2R^kB9v% zzrE_FP$Kk-e3@%{A$GZYcgyJDH?=^Qy;5{;)7GNXv-!!%8uZS1zlKywnxLK z?2PX@9z4)fx$ZR-I|k1>zmTo&Z|b9$5lXk-*WVXx{<+A-FCajZ7HT{&HFXo(4^O(r zH9h6A*`H@8p53Fm&`BN^8zrmf@{XgfqO5GB+F;ct$;Y>Ta91f`HJ^vS_gU-Uhpeif z z!A$h_zK_V&09U2T9$19r_;TT%?t0?)?A9mu-k#QjbEQL%09<)KY5E>qT=%rU{`%?o zvF-Ng*jN`^dEgR*;+cbR{vBtg#ksoKxv zz~4`gL)J*{a}NLh{W|Gj!;0n>`eB50SL_=3KjN20^>A^~)Hc>C%=Z4W5VVNVMDt_B zXc`DY_Vb+CMX)=0ZJ0dxlU0|Io)q+Mj=XxzP{due8O_PVYp5wu6WgqLW@?%?7&Nr|2vYZ(D4LFHauE}q_eciYgog`@5Y_c!-tq6f5MDEn z_cvbb2bK?1PC!cB)sr-=mZ0A~59RNC8gi77;2u`+gh9@H+~oH53y;SM>zQC}3wqC4 zoX>5}852C)s%Y$|QzxGG%{)z_gzGTH*>LCc-M;rMNWXK*{@VoHuIRl$=uA0 z)33L1qO|w z?Q3OjtJ}Nz;^Q;Q#ie(xTueK+BaX;+B0M` z;G(c;q%Z$6=Setd$85`~i=5UdFx~th#Y;qgR-lL*CI2h?gn`YEKWg`D??+?RmT1XWN|d^C=2>%7j8AAkmb2?4{rHVCZ0m zx6cR7!7(%UAyS%Qdjm=a!1$&9%?5U}N0O2OC$-@IVkAhZ>i0b|<)d`zh+)j7#)T+$ zU^i&IRF!9-;HnAE6Vv6e1@psutCS3kFU8W-wZiJu5Vh}CP@$?CGV z!6IvTU^+e=uUW7!l--vxtBe}UqBJ;Q4P$s8+xIEP4frqBkk&hmo7aIOn83JAE`nzDGI(j+S=1` zX|BspaU1NMfR~QNl)3D_^0~$M=?NpHl%kO>nXu5~Vcg-$%-9Vg<=dp}pVYec-<$qs zYr|_`VfYiXob&{pD?V(lIVP(@S{{W7Ri&I#U)U};!GD^RC4N`@&-#_XZw?=n7n0>c z&;SY<_P^Zw)u|sLt6u4yOurauc~@0`PhhV!DCg36_p25Xmid}@aLSY1aWvvt@9|wI z?f!mWvpo7WXe-S&Hh`x^l#kQQi%Uy3J2&PvpWMDu&a*deD-S!|GGswfeSvk5JXi>( zdVb_n(srIFlN9c?(Tu_%YBie@MRzwG$Mes<2Low z1ZGP=4Vra25amjwZ+0uajd_$r*zogNDE|@@s(}v^?*q+RXP`4bhe9+`|BX%q0M^6JHA<8_hz2z6~UP5- zNRM3jQ7lx=`ga^LzU@yqBIbuz`Uoa_Xw@yaEsJWf^w_4t{jHOuyi)5S{f0P2H6wia`LGxiExt{)6FM+-wJUhymidE& zZ4PmXaVvof2O@A+E%{ry9K(9(e3RCpezd!Kdf(XWRsy|8cS=%bBOl^>9t?W{!C^>~ zH1DRJ`*QW2+Y^jKsZ99Kjg7NPx~tB(?K9xc8+v``Q&GtSQUX1I6LtTB=3pvU;1?klbaQIcw>ixr1ou%{Tnsd^E|!aw$vzTFPwfbE#G-S^ zmKqcT(h9`*n?R+_tSYTF4C~7KC@442>N+l1XTmlLChYQ2`zZL^)I~e*lkB9Je$+_} zw6`QIL%t>=lz*1_2k(Lg=5%MLw7EYSCx0)2$fYjIl=*lc4C!57QzKnHi=@elS=EmP zX<-rjk-S{GT219TIzjpqH z1cV}$Qf7tx?KxD7iGA>raJw^lcP9~F-+w}GkEROoZ^ru2W?)VP@>pA9IA4IG9G%c) zjRJMj=Y;mS*~ui|AhUv+r9gDNR{uS2uLiV2Wv1}yW*D|f#64G7m#v|~3fqKF|5pIy z?*b`OBg_k7@|ZUkD{unrg=KC4wFcFXV?x?25$uo(J>f7$C}Kuq8XI(F(qELWGfDUc z!d`i)j`RS3M2@l=R8gD$AW2({3Xh^g#)ChIg!F#&i>se!$oItNEE75zXHtmK+aJ(j(qFcYZwpPxKS4vOPIXeboLMRg_ zv4m=r4ss2+(W3UUV93lVEWr89!f1y!`KBH?I8^W=2!ZW*XM;IE(4QH^ZA8TT+di5s(_^k9;zv!1k#1*apm0@;5$5T&_ z(lP``s$$;=ephP`0Ia~cP$Gh({4$|~Txjib8=5;M$5;=6~M7(2!piq=V(EPnLL0W!{g?rG|czm^jv zx8H8>)3IL_QuBGF_{77HNXYYqfbh8Xx4!gFwtu?i`#d`0%1|#W-WA@i%JoXM)@y}| z^5Xsur_5vU{-h>=dV>178|zpGcfY!`U()9B z^snrz?C^<`ey-^JZ!G}8x&n`{?(3THarXEOrUPHn7HA2ya|$)NcrPDofCp}&$A;e6ik1(psEzt zg_)XSvEkCBKYw_o1W=ehxii(OGwQW6yTKW++t6Po<9%FeUmF1JNle8%3{4pl+ZpF>>}~@ zL+ZbP>cB$D3+DQM4|9=m31y#BH1?)t7$nL;L?Aeh2_4;B}q^C|SJayVrsM z9F^M%zDy-SS$g{$JT>Z`@&yTOPDTBCD; zozP1B>{l~tyBbeFS`{9L*BSvDrIEM-4l?nsO%hu5lXRV3d{xdsKC_(%AVI-hI}sx3A+ONINpC zKiLxl4eS~Sa8aBj_7cfF7NZ6vB_>?&;9%EZ!F=B~-=-)ov(z}J(7|Y$#;s}@=O=iUI8%=~M?xRw`E>KJXgt14{O6>pw&5sZRVBKP z-i7NzR-cJ32x<4mzv{y_t^-dL_#3|PEv~Hr+|GG^7$`T3Sx+!H zP9fRAh-d)IB&Al{Y5Ia7q~`3smYDNa9Z=b&GR!yTKi5MYaN$KN z{XKGg^P~_apVSB)GeW2aWArw~XYE?b{}AuR2H?gW@~qW7A-FZr=5|6BofF!8xC6jKBsmLAOv)!g=K5s1sWMoq64krNohUSB;Z_x|3%-+`tDbZ0DJJW5{ zlKJ0bLAg&k;U}tBLoLV9vh?QLCxBd2&>A6 z^p`!DV&PnqT${{{zkfZRk|<_i%k4E2!R{U*x+kCXNEgs<-C~Vj46CZ(L#rj#!r`Rvf zG+FRtFkT4Mm;1xrmSrT*fzdse~X7TARRQt>f_v-#b&6baG_ zV#gpVV{%$r9v>v>RlHWa!aWg+S3w3RupC|*<`NKT+0UuAn+}i;_&w4um>!jk+(Y8$ z-FEYIE}+IC*x_%RyvMoZ`L{31%_(4mAx1@36dqFZk^VKGKTwzmw9R+)=YI{1p6z7z zt;qKtel6Qo5NQfhE$epJ$P&9_D8pI^c_0noX;d=wyP8`>$?N7$PSXx4huKt_Z$pW9 z2=yj{#6h@~H8`nJC?rvt5a7$qIM{Z5G9XzE_+S}(`Xv9QH?cgQI_5uH!Yv3KEJvL; zDBtYt?0Dx2DDfaefTsdV`f`*BaH*|OC|zVvfqvyKCQq0*Lpt<9dk8|SNY%^#KAFq= z|4R`ea&83c_S@w3Z=&b!_!U1ZE%kRvq8Lb7LW0k=3s~4>zVyxZXU# z#e+(E5#aIcM0sWN@^9*g?P|Bj)%E9Y{e=bd?`M&bHXklO= z>ZdJFV|!(gxls!ilSgHC!T7TVzG1VOhF`g^q+R|79YT-k^_IT{NIeA!qBhu7&DRTt zm!FrL$E2_$5hE63Jk-|TNKhKVU2rx+j;XCeYuyzt=HrXebgt)&qyj4Cq*yYnWYKDL z2Gp)01>hVCP~S5aKBD+nDUe^x<2dPF(M5cVA($UxZp|9yQ+hu-d+ca@xPNL~{hF86 zBi+w7XHEr3Ke}SQou_)K1ObD!EyiZIladxs4dUEe(-RpXgYRgiB&^I7jxQeExQCWM z;Mz1=z3EKFUV@3}l~QCt$1(uX#>@+EF`wqnSCD`>N_m#9!}4+Yj>z3Zu2}Bf(Mfmj#a8eVEX^%l3jZ*P_QUbo}EBHPm72rHcH)fg% zJc@LWkB)8t00%Gmduu(E+-g}hUO;J(nA8gExUO;sWqx`bzFojXp=&fz5=KKqQ_c!> zsggTAHIcw#ux!xOc6D{Nc^&W|MSz{p_KFdgS-V0X=_a6r=S);=rd{$>^PnbF!ioHU zE-563!CYlqlv!B=@B5aX96W=zlQ_bkYg8EoIsG4XK8q7}Hgr2xS&o$xLQWAYyD07f zwTb~=(zEYf0bx0MD;V{~{oSq92O0BaZg^xV&M0c1M|5&4maeWfG+VDOzu%mAI2vB2 zNpqv!gDR{gp_rkwUdf5A#jkUTz!I9xLq7nNzp#2(_5L`KBX#NfW6Xu#m!`x4%{ZoG zFy}%H;}^inQ2APDKlj|1UAwIZx9qg5E)D3V9`tu|su@07URv084!9q2a2%fmAM43v zrFGh%$O*D%Bbquy!G)UIY`Ni>oNLFmSxeBiEkpcD1E)ocP|GjFc6F)l-DCRhSS2wL zvK^Q$2cAGkJiK>u+PO_6WRY@}sVw@rJAW7NAXS%@4&g;-r$_6K;dV{CWkXd>SKWu4 zX{bV}FlvMwfcJs=I$kVxNUR&DN&7mhmWjTg5oB#YGpzBgKI%CA1A?yt7;S)@cTpiesZX6KB{T|Fh^nO z*LI!~ySx9Y0&OG^JaD1mqdvPSdqOVrFIpuJ+*-#N58O^({OG7oq3p|^{G{+{#0&n0 zQ4qvF{R~$E&x66^WMXj8kZ2mhHFU`OOA~&LPe?HroqL*I@(-miIYlRTfyr)og`2+Sa z*JJpoF7)R5oq&0lyfj9^rFOgQofqZQQuOqA?uUHn(28w$OygT_zY59KimXwq6#(5J zFtx2D0L2(LqqFB%o{wyJ$LM~#VczE&5`XoWFabr~(o7_939-*Zn+52Qte5jEW^%F; z&-H3}f*fG&EqtJ%R7)-%&KiltpV1Aq0`RLb8nVT8X=wAwj1AeMOi_wTjG6nw&mRq`7dJ&MIK z8u>=Ht$V<9s-HoMe#B8S=gg|5r-0XxcroP2Q&H`{>R(JF!GMP5`Y7aGe)?44ZvaFL zX%|4KKxwYG&D%IM+7!6m_G1~=*t$zigybQg@1zC_>YIEl_g5TH2%>0nL zCbO~viY|!f;`ECAnf#9N)_xsUv*Xf8bG+;t9ZRh8K)J=3ksJ%Z3^_NlwjR)|QnkFf z{S3fi@EK<|933pdb@79XnkWqr_}OcKb3mc57%w%C4V$>|pBHlip5eBlX_-q6^S0rW z-nIqp)%=HcH#k@da2`YaAGM5@=A2`nF>_VQ?i35kh6Ael?3;y)649tXH{V+8E$-cR~38yFazN9b>gZX`4SE?z9ux>Jh) z(!?Tgm$mNiOK=;0h1x*408AxZfOu45s{{OLs(M1!SiKvCXj;#fixN z`$%@B+u>mgGlDkqR`&)*at-JHo?+!ntwX5`a^#IsQo{c=@0IK$$VNcwHe40g`3LEo z3lFu#wK{Ig%&B_WE#F3UMi=S~zg??d*hgFla7yAV*^?`0~H;C1_97vg`} zBl|L&Xde^2IA04!%pGdprb{%E`4?6_t26$1GR%~r^SXttXtue-&YYAb{Yxeopb^$x zaL~7edHZ47<>2%UbvH(#F3@Jdx_2#bV=OCi2^QOI+|ZIF%4EeST{*^@T+h--NQ>*B zw)y^h!YC3Sz)QPst{|g-AB-F;6`(;TiXUfFA_b29`Q46}*1`7i5$=4X1Q10eFt2WR zkJKiMZ>-n37SdR6)b9-f6~Hcd~O`y^ip;H1gT;*Yt~H)H9fDUI)YC*Es{uOs1Jzlp!x+C{$$)mb%!T6#A4UqFBr9U8x|xq-B=opwbjxi5(WTlH1;9TxYExKG8z1V>i0R&05@ULO?^+3% zVnZI5`w9~>#JIan(800*kF}bir4Lx6;4CE@A+Pqr}l6u zXb|zsBo;eojyr@5HwUm2QwC`{&=1ZidogB5FaC|)Mn`ju2WaZU8Y*IUpOzaC`0ay@na;@ zhGEir(%7p^TK8#L5ICKvj*;h}tmV6W20{svIY6Y~3^$Wfyy{k-d2}#nb9|JRpHFC< z=zIq?1$xoa0skPjI&$zPeqA-&y2W%+*j|9%JbX+tWgBpI_+5FDU?D3uaX}|ZqLUu} z;#ay5PVBFLu2gq5fZFD5*)(FUy(%w=m298%O2r$3x8OOCxFKOXaH--Mv_v$B5Pu6+ zuf5FW_&g;8IC+S=#`*p%`sQ-%Q9xp(?dFr#0X&9A+@y?pLo}%{=)=3k3oRDJg~z^U zvrCpgq8sp4JMtGi%b$Jx{V~1}XsI~9b=<%PH=Q*JA!;q6rXSJ_*Vwl>s}qn1`7b8zFl60r?rrQVJ3b*$PZkP)Jd~ zd$QHRmNY0b0KBaSni$1ia@}1_eLt$a3IHZw;ni+HT?>92|l1@36y-ese%R4)g{Mf+z*j+T5vF-R+`JC>Z-2mH_tRN=l z>r<2~Xz%zW>9imC)2nsfg`r)LT|xdp4P=7gLaN41P$N)Rd5fOxT7UKWHC){BFRE{t z@S18@SzaDows%#9bvEG%qQ4I1TOhyHFb-EN9Kn3qT=M_{r+~t7JH?bo_DQdRHVpy1 zEDm>o;Xzbo2N7~vtK%5A4L-0Q*xHx?j&Su7nB=-C#(+<|{18}q<26Y9d=yh*KJo_9 zoT4`3CXaC-3hX;X17jr{_R7i1{^FmhEMAXQ0_%w4y=z^)&?qD!n`ukj`97}r1XO^* ztf3+ND+gzrLZgmL0@Al7j5|P-Z1pE4U?!Ne8$upn+nP3LwO;2!<7rMX6EDW zxaIN-nV6Ej7Dto*MOoYv_=zg|OL*nxc2oK$hKBqH^VG&1C$}OikYI71HBc51F~0dY z_0v1cC4EUWm$8^F)(8v#Wi|IfGB#}$c8ZqSFyYtx%rw~4aYB2)nytC6{x0Yt z{%^vV??)$L|I^%qKQ5R1MHDVzx`O2v78kMBF)7QO%6%7%QbF3Tuy@P))!RSwBDZ9d zvOZktx{wDoc7;$k%Xu_Uj?TeT|}*ej!}|%_-(6cjjrZM$xo*%loGDHGH7AJ?hVtnEWj%b1>XNif44)HkcaSfak zjtx1AX_yD=7s}P}7XYv{e#A>%&bbHIb<%3>{#T5UR963jZtt;0vf+x&3BX^a6%_(n z#7pcMuahm(-`@_E0NlfNYZpc|A0{fGlIc^W&+`ik1}iWb)D!xN?v1wG*gDe_?t1U8Jj4JvV6 zDfH(^*q|iG_cLO#l6~5BSp}#)SPYPAX_VKkP~LM%XUCXL=nPPV8tp#an^qcRnE|Rf<%&j@DAa#@;d_I-_sz z%f#Zd-DIsIlnX*@By3$g{f5&NmgaS!x&N%KIjm6)Vuc5JwQ|p=!@aQQ$PDv8v=M*$8;y!YGHGLP`^+f{k;6**f5?-;4_$cR4f)ZXs^ z5dbwCFrk)WN3eP4rT22G0uwI@a}x2Rv;-~T>Td4qz=f%Yzu8cGno|iaxcLP~59>Aw z5%U=ju15WHOw^gm-+M_t)^sxbnC38TW-aYoUXOYI9ypByJY!K(dOoyf;WtZs*oW8R zeSdx{IkBgB`6_^4aSf^#k>;@YaxBh1(;Ma8tv-7Jt|Cg>c55-8+PE|78G~v>X~-Hm zK|~5Km8L%U{=KPR?Y;pRy1Tnuz2B7lWRS_|rf1S0kAc<-iPh-sTxO8CeN&e_epscn zGu~Ij3;-iFJwb?}sdUoyCSx77)}rpR-)zC`cV9iB__<4~8z_7KMb3#@0kma$0}3%# z{}tl|+32>tk8rU}#Cu0hugdXaf%rB5D*nPq(<#%IOv<+4E&GtWHpozHs-PhkuAg1i z>N^Yf3sR-*&|+_Arwe0Y+bv^PFV#_k9(`f@i>2fRWGD8W4_b69CLFMP-yD6!)dz{E z6A;BKRgdp&98*$I6zy?lgc(1AD{{gIbqTAXK0?J4l$&yoW2~HEQs93l5pwWa$oLnV zht7Hfu)T@{;DaGV!jCo)sj7$!8~8zgoUa3kVB9k3hhR+$!1^uU^OLE5>BD${hIKON zFL)2yyna`UUbL0I9ch9uX1}a$tmHIoP6>Z}flon}{&q*bt6G9r_-|y>a+> z+nKYbpf~F~b5{Jh3oUcba0(l^7xz*9n z0)oM!!W*zo{)pL_31XW;vJc+RVm((>W4DK$!{9=FMsSj&G`T+ zvMV10TV_qTD7(F7e&Nsmw-#W`oabo@JkY&$1CBtz$;{G3JAWm?!THK^si!7MCUnyT*`fC*R zp(&5gKUX$al#D1KVOPZPsqhilNiU%)_kX+oORGST*ZYEQ8hO)@h#dUM1wz>o`H3c|*sG!8HT73kLHhF{&vkv323i&hC_ znA1=qJ$G>AyN|VgRVXY%_GiysuwxKefBKlcI4Q_zGGI!?^qrAJ8Cyzk$v0y;RxM4* zHY+9|&+)A%kxeb!i!Bu0Wf_i{iI0|c7)sTie1&fE4Jh;>73pXYS}pBIm4=@pQQ+MP zX^X7V1JW&@Dg6*3UaDfgWLF)u;)Qn@`Uq_&4hi^O9)}$u1t5k!-6|~!;3pT-`%HeJ z&3WSXv_$9mZUF88iH!~K$nK(0j0tvEejju@&H#;d0VR06(X1*FqF|or^Oe&{m8s`~ zJw?7Pnulj0e%0<6^=07hNj{|#;1!2ZkYed~$5lUA?NqyRkazJ?3uRXap6=#&*&fHOlH} zwL;)XHNpF9mU9c!1GX`FDGy-&>@t9oaGx!CE|xIY7!G?jT-^{#M~t%CYGa%QH5X^y zTSS-+NvYBKF>t~2{eeyVZsa}nm$vnW9oJ;!A&Ok?$fw4%CH$XkFd$cg3^mNzBlU@8 zkMb$@l#~1Jdn4937M@&P6VeXG$}QWGW5;ux?WAl(T>@Kd*urYBc#O{xyrM!{5QC?9Imzq2WviZ)owxyMoZ$R0HL}|O=H^{zFt9uwD8@u)01Z%9-B)`}{ z?15#-h(VS@x9__EzYZ^$CFMhtw9>^F9UiOt~E%6IY)OFkvutKf5tGo83}u^&OP8E1tj<_0pnb zrvXt#Xta5KK=1!`RB2SXfVF(D0FD5tB~oH9e?9RIvSCN8QLmDqYb(T={(}e{+4GnW zH)ira3_PSPyl7Zw-NW{?q>rXn~xa z(vi}=YczE@;(;4PX^%Pt-eA%aC+d_yzKJ|v4*~F2eG8tpB8P!$fm-+U^G7Fe@3vT{ zdBde^egfAiFEn>`Ia3EdwwiR`pGKUoNYSl&9JH>y0f^Rk41hA~tk;lB(fn@0oM$z( z$EXBaP32VL;1R|NFVNev?rPx&Xa=8r4T22vF5$5s)-#mPXvEEo;j8C--qx2acNrC_ z>E0)PeHzxT2GGpnqImyK`8?7)pJ2?=qiIo6D9QZuyJKnYqe5@0HJQ+>`)I;ZNWK7m z?RofD02@=A?4qsYp!3WZ#8AE6TZkKG<79twy%Q^K5D6ZGSS^4wH-L$ofv--`>souG zqd#-tD^RjZK7!Bfk`-b0<<(YWXuyPP)E50g31zZq06Zn#vlUQNB=#EZ45!p z=Cn%iHFn}B>KyK^`KS5s9ZvIUM_@A9mJSUKeXX`8c9yT`A$sH{VeS#duI0{_Hs@=f zXPii4AUyn@gmAUrG%LX<@c_aJe(H8n=FCkJ*xx4oIGdS+GQZ`y9CfA7`i^gJ&&YtA zy~|=-d%=4xKaQg+OMpL9uNb%qFJ-5pO1GL23viavI;crg@VVN%Y|II^rEgzZpc~fu z1}bczj*j9;o^o*$X5HnfFLC1 zpd+E`aoC@P7H+&{=CjP*4Hzt{IV6 zBeOdmCq^vB!~O-%R)yc?9c7lX7wT;Fqj+gg$BE;O=L>Yd#ixD{)V;I%xKF#?I(21n zZnO6!n?$TuiK1(;)g2@)KiNpd7ch;I^dwoIuXo69sUJT~+0uv&qP`_!cBW(3Cyp~& zyWK4ia(6zEG0`efe8{Ri$m)6dlPWb3$NPLn@-gRah5|qag9+ZHSb@Z_qLv;Izc0LH zcrvmNtrcQa)^c1A>y%kW9FLBUiokUuwi*vko?qYvLk6vLC>SkiKSPhhdp<-2$rE>> zw^|cg@Cfg8IwNU=UPJ0F0-?s}o;Db!;TbH;1GNw_b$(o$d)I{qDAgZ=FyDSYR#M_5 z6Mu`-yDifv2aG;^0z)=%ZrRZZS%NqV?8*Q1W)0Wt|B54VmzDF8nxK$dgDc@Y3Xxn# z{7PpMeb#pwUENVw*KnkAlqGdp+|#O%g~J>|oBe;j^yC|ci>t743uG8Nb`B1sj#%VZ zmJk$UXNODMeOCnqc06Ee{$e~&jZyj4n18**Kq6yV*|x=$Hy#y%P7O>H3yFAw-5I~j z+IP>DvIKz|2{WGR0i0(jgc&y@j36?|iB3C&`&n z&(iwXZ>e-VA-R*cA*&*YUop@jMyQMqTOSO1M)wU~dhZ1A!1nr~2lF&W_V$%b zU3f$@Uf4tpK!ITWi**L+ibVlLt|%>K!u)-y8U#A;Z>>TAGfLyUkjO=e)*#>O*S|9^ zrG3aT^LqCbA{!TEY|BROh+=IY96J#3PvSP^sp2GIg`3zSB37StWS`WM91 z`(}DmXeDfoq?`F{854cCQ^^k}#pdcp4MF2V2^S`D!^Y#cIZxM3VUTM*04HRI3!=1x zT|((Ro<6SEd`FZ%{LY!ZwC+27aOD2>Msfv9*Kps z{qAl-10XlXai2cPqbX2dU`c-oB}I|1)b3rgZotANZyQBI0T4r^++j@Q#oc}_WgP{y zuNxXc#E$|)sr~YYUvX<*hy9;3z@0xqBIB9$+hwp(Q{U4pza)~kGnU}^+qC*8c-0A; zkkcFFl4fTO4JcR>Iz@(yn{N{lwdbw=x?)1~|Krre=~Of*p9D_eRVXr*i(Xo!xS)}! z<@fp7vB`DuwDDujv}?eGEm*Ivtk{u1KEbP&P{eweOC8p-%E@8QvLctVP$#LG7JPhm z@In-Cq;S7>-5n`m2t^;7pzdeitIdXxbIXW(cvc-UAA-Z`l|BlJUKsJ@h{NV2dF)~q z!AE1##aCMfoG%csoIPxTj(_*zlT46;h*tKkhzyyimmm#>Obry!INxpPMeeeQ{=GH! zC~WiZUtUDh&5l&i!BzFV22{8-KU@IH{=6aM@`hV_t$B@CF1VY8($}3ZpjpDaiz_l!8@)wu;>U(Nqe%(W1-J-g zi$z`xqZfg&E#mU=Jk0WJI+_G=@O|EUPkh0ZW(w*gL>|^lFG(-92|z9)xU%{Q7b*$- z-mKSHweXV5t~<+F)w!iIp4@|ks$cN#`VRX#Yl;$!ZZ_-|`oIqEGfk8A^X(K2+Ic~EeRDJr z#-M8;M*VG9t9$7Ps^>y%VPk2m$-+U^R-zvF$R3O$6KSxr1zZcvyWvu|@4ajbzfc#I zowF&dug(rK(aI&!X9qp{z_oJ(`BJ*5yiLO z4V~iMj7QpC0d)Pe)uP;>#To$ciQ#&vz#8v8ox1VM=PJf+F4B7Z)yuM@Y;l7ABakDN z*H;Rc=6b0X^;TViXb+`x*zSzigp^f^aE&er(SCMef{DJytIZd`lRN>v6B|XPK0%h5 z+pFpKrG%)O@)kT&?-ddpuDI!~iY+rP%Mbovnw|~PrF`KE{ZzcPB_MJ!)DA#n^4SG= zIlFX0SQlyhqwemf9AVbn>^|P%cqx@1q(ncyT5DgUzDhd^f*2#FVxzk)MZl8g)Bv;t zT8DfZgjWn{23GP9uM=VkM1B-QOFX4H>W!bHFfM_0tSh_2sKg0sRpU?ZeogAZ*2Upz zfkd{~#^*On%FB6x{~U1x-yBf9niyc+Mn%ds{U~#%*Q4y7MH&@cun@~v<*d9D5r*W9 z0xtxKEkBZFO?VA?j3Wz3CQ9rgH*NWEg$KrNC~}Y-byhE#YZM#cqmM><&Y_5Sr%)Yg zwLsA#F1a`#V2bqN5;3wXd37#n1Za8ptQ0x@GoGoYG z;nykK>QYnMBl)ev%iN)32{7!6V^NmDCHDRYYP=;)dIxBlixa~H5yW6yYmwDk^y+Zw zKAECgAqY`v$y;FG4jy(M+|Qo8EPCZTu*?HnMhT+0cL)hNZa}2UN?J6)S;Fi z`SP#7L^_#{8*VjoA7!i-GoogSJ6N%MZN9)$jR2{3DBq9VcVFsb*JcFfOck&=1+Op3 zD)=b$$Tn>sj01K8nRe0EsS(oAJ_Vtp0I>6QZoQ(uVby@;unuya0)zls`?@WMY5))` z0G!eq0x;SHfRk!b9T>`m&m9IFz<40h9{Kfvk|ZTO0({=~`7wm{DDkK&u~!l~?dN)Y zhpCoEy?(J*&FC0J+yRZ~7}-?BA61tcYA2-A)|1L^Z~xNK-hLX6kcW)zAnSey!qjUb zL4EFko_yhfjV)_V8(^kNzEY2e2~S;4fzvPx;CE(P(ozwt1gaJ>_COKLh2)5jn~302 zj-L4CPu$N6oMdt?>skPdqEn-H|q9liA65{KKnm(y>(EP zYug992mvKTR2mEf5$O7El^QLOK+sTR>V$Iu{+%T>>KExt{&a zH}jn{bN<=$&O2^5to1zieO|yA-&9~<|{^Vy!(j4Rm0VjBdLVbgz2m1U(phR-sDyoYO;I5$NT!-9pGBOOS%}M?z zn7}7ar5^7A6LGD|Rx}q5-w%_C-*Q27(LT$s3VJ@Jryn^1@pjvi%6J%*-|x(2zv*7@;S-i!c5IPO(P!I2M9h{I~-Z=#yk`d|2p(F z^jw|n1I@Ki-d_c}10aB<%YmP&W5_TwLgp8wT|oL|S@2J4`yb%x4n+}q``IAf%Ai}? zumhcxVx~xpTs)x*u;-A6RAg)9nG}9~rY`1N_v=Bx{#w2JJCdlBxU~>>4pNMU)ZG`m@NU|0h5(j=Gs%Hx(Tj#0ZI?O z-^DMkeWl36L?kv?E4z+CfL=C@Qr*}5(c)_#@hIZEI&Aw%Z5xo(R0Zb*DT8NW%PQ~L=e&74INd>gFToyEmWjKLf5$<| z`1%h#5P+;c_|Pr)eY(%5V0{c{MFKsH-lDa7V5USAngUDBmt^Vn3DzM% zBJ?$MnwAHs73hXmKq$&RH3ZGFNQ?Hv>N{w@4ucR^c{hb##o`qy%96}r2S@v1XrTuhWL)-pVDtTJn>==TCXoBkX;^1fK zhfhjZyv|>oQwN-C&jB*d0eTPA9|_bm=d^&}O?9=GFRy_mplhwhVw4w=^v<+h=twt- z?em2b8S1w?w#FcAut=`~_xtY8yQrDMV6dzL*%sUaRRqHJjiO@tNQ(I0?}OjJ5nAi! zA^g$^T!aFtn!fz-Z{qu`r=}t`zt9Cx@C)Sd+s!|BsL?$z7?aWSL_SjI_dk}rkqH3? zyk6cP4P{xhZ{CGNaBCLo!U$0l;4>h&b50YZ{Dhz=k$603dlc^5+b;%9?81PQp3oVP zBszNaHa^^A-4Jn57Uh}n(jXD+;H3xXO=!j&FZUFVM#XuRy`A$-L)trZ*55Aylzhiq ztu&Ax-=ai@z0`sBxPJeOVSXP_Jn4#YZeRK=&ZC+Yqo}YmZ#Q-9j!?x6Dzye5coAE%T$mkUfubgdm+brV8V z)JLxzqO)j6Uy5N*_Z2e94_S{>wRu9^;T#e$WYC^Vb(GmoLgEFU zy`kFUT+n!tGX$r|hK78#z6hMqN%0U<0S;V8B{3MsTHZZk>#km<_t(1n6fb80HKJGt zb{WNYajSa7RGdEmh}a3WcE>|)!}ixVGl=|uh}~{T6v`)H{$K9~^=3Jv`l#fRT-pIW zSGh18@nN8FgLcGUxp@$oz~yz+f%1kxdj`0>ODeB{LK&c*i>b}FkmYpJ+zYR;Ej}`( z-OYk@;b-r{+sIeBAyVn4)wfv!_v7(t0u&?=OVmRv_~UUe0H?Axg~Hx#A~Mi#EJA1O zZ`w`~KL$S4fV4p9`)maNB}X_Rr%A^bC=UKMxVB$q2Q&v$8N#zHMDfkao07r;nTQ2U z$*MM=h&}UxBvfT)Bq>YzjZUap%C_OXCMB*rZ?bZVa_Vr5$}n;T8uM90i5s72hzY0Qw#v@ zJ}s-~q_eEcwYBe?muXY4joD57?tf0iis}6d$l8=BUlm|}cMRYQ23^wMrtjjzSGs^o zsIW?E@SofHCKl^|k6mFQ+yv6%!7RJo|AY(2wBcL!HyBaDX)hAy17Lf#sk%9S9M87E zAxDy;h+`wzmKhfO$$!G0048!TwsN9`P?Xas(N{N?Nj5(@UZc}DF`YuEaX%#L?ICu=9oih z^=-NOLfZ%Q6`jcnul7Nf-v|%9MZ}9nw4=33oJ@VC1t>cc1TYpl>(yJeNQZlQ$D4t( zo$6a<1Ma#>7dgh8P8<~Mcg~MH>q3?e3PgTjO(W=4u_%=QY!XJPdns8eW@;HhmcR9V zFH9dMy$7{gBj0480bB0-6}$x8^rOVpwr9Cq3J9G z#RPb0%I(?B9t)I5$`nyN{Sxi7{n|5M$sSEQ7!e`^aU>J=U}hFSy7gc1qUX^9%2-9o zJ6@GV;OnTho^c%>M}|Cu4d^piV(R48=A9Y$YQ*g{y51@;;P1CGMS5u>6+ibuvTt2dT%06?SkSICf*d;}b{r_Tkcqwh^5+Y#dX&zcXQ zDf7exg1E;|zZ_b*xru;HeZ>UY+Wy09A!h=|bg~29ZvTdM4+G_}&)q%**I8k%hc$B8 zWcsL{PhpE~vj7=&k=Z^kTFbiTDpE1H!F3Rgaf3~M6Jm;aWIr3NhOQFV>K2^H{ci|Y zcEw~k?onmVccU-dIsG%y9^2dox5iBbp8LHGj_5Tn*D5#Hm&RKf znemY_!OTyJUuoPgenoeyK+?$IG7B*!@Ky(o*vOlFyVk4mylKA9kY-KV90X@1ma0#mp@r?iR@N)pAU&tUjE50F@SzVrOS({oGEQwS8qGwXrlq z@1sw@yGd4CRp}5*roFdQC z*WYi~V2>AZR;IbafA8MAzBqXn=Ae2_j>!Vil%6O4qbm*ukYMO6PC)w22`%#Ju{$j- z?GJLMhNvmNgsT&p|Gwa{O%S?n@kV!+4XwrWEbSz1xf4nz@ozw$7k{G7@Vx_rgvCme@9o5cB#vm63su_1ft zx6=1pO2Lw}K3z90dCfFX4Z9Y#t@Qflj5ZK(hBaUUQckgWl@9p}zBeH+OvvpZmpW@c zvsda|VABmRj3Oa{-R~nq$C2D0BD6*N9Um`u^C-XgOFxvE@i!6chLbHaI-F0BCQ4BJ z9GcucY;_?aTZKs^x0?v4BSpG7lJu1lDU)6tY9sqW;*-2#K@y5>&A&(45;W|FVrQTq z(?!+i>r@w-^e`e96H4iI?J_=;(>d4ZW;l(>EjRCFL)aWlL%fS1X0{PxC%d$i((v6B z`Z0i%5kT_*xI#}Bi05@OcMWHduUu4wE#?B?gQC;_;ecf6g zuZOz|z*pXGq(Wa0lCO66?#@n7fl!~Pa8jNfv-8>!F0JkBz8ZZg;YRY3E$Fj-o2@G7 z#8Y~%(_rB54ftK)b-H#xIaZ!!2LN+i@Q2_i-Np_~%DIcDM3C>Y4@+5DPV>A?b#eHU z3$HeO73{EHqfw4O0FrA0bX@~g?>4o^h%gK9YY*b(Wfp&Loo#M$qtWjW?8I&olneOl z0u<6)m;!7t=y)|9rjXcqh=HOet8&s4IMRRcz|SXLKY~#`cW5b*&`%0xRlG~>7}Wmj z*GLw_syi3_(jzr)P}2ks_y_2u4`oRoKRy6HTC{0-nH8p#d6!a44D-lPIlJ{e`wtHcWLwu#~)jMN&Mm7)#QrYlbG2B0!ET8J>!Eo*J{t zwczIYs;Y-q3aR%O_mP>PoCiMSY%Qq6l{x)z^`L#aytI^hRHFD2AJfd?@j`WxI*7|8 z#i})OhW@+jy$gf+1}3MbIB6hhCTMZdnC9~6L*W+|NiD1{kniIfhB3Aner(H_=Z>4e z;R$=54LYfjWg3*o@Zj}iru_@CTXVWitNB5mCA9N*pZ@s~=Z%;;RT)R<3yAh`; zO?Lc@03GDmX8hI#>PGfIdm6Uxtn5VX0a@MzIRp|`D95Ly$Z}I(@%Qgo;2qoWK!hxl zuct{~9#l4V z9O;p5nFhx>Znla2(IiZ=>g+6|N*@xIUEPyG=MgQlQQIAXTMw`mIawexhVjCiTOvt4 zOGSl+*G;5~)PEEiwq`;udWp$`2C%TRhsSRY|FgbX)$FVFJ?Hwqjq3?7#Z0{q9%@x% z2Wl36iZY5g$+u|#7j1NBdk3g)7!-c)K_jUm?>Gks2S`g)1`<0KxcT@Dmz|4^qED0D z2DcClLoy#Mt+u=KkIj~rcbspHKhFNXc<1rwf#OyN>vcWuAh-$)|E;KSriM|>2!W!U zlr9G{qq3Yd3*gmBQ_E@JgU|fSmM+AsA}q2-2hvd8AL+klvas8?ZC){MS!#+gH;)p) zp;UJKteAwfA~S0X0%=vLtr$U62L(PhTQ!Grcgvtthys}qP{=Rl47SP;D;`>pnH}f( z#1xoRSg-I#5Wv|8)sWQQt~9y;KI`L|THS=incuNswhaiwX6e%ID$+^!JO_IEq+FHl ze-tMcqs8YwKTT-?cIrGz$YmEv9(^_a!+-}amoFMmZ&BDWOva$NT}Zr%9ixW_%B`yS zo1NfozQ0hgc8V&o7P_bd*?j8i5ltoP>_2bhY9;NUhdsYmdFo(U0a&(qsAK<=u|hLV zW1MYeS`%YidfD(fTY}y>?zL5};eh*eS`hpfPyYez_x-VS*>~|R9s0~rt1TB87*cg5 zq?=xOdNP9jgJ9yKI=?TG<)VGzwYy3ZnRIY*2I)ODpAw9GL^K#%ww_xD&S!9GzUS%c z>`bkwc(IuRuSHcyELSVI^XiXB?ZUce*VoyBikGVT)Sg&s2h%36Rky^Oi2Jm6VG*~t zx5up$_xQ_?>*GE9e$8<(^NDJwHdg@_Q#x?x%7tRxA@i$|kEBUrLA*mwYesQD$MEs; zN3}3lE-rTVHV@>f{sqKW!QeY_kjlX_Q(W$vl;;D1C90ipfq;g(*t4o&6Nx1O3yzfQ z1oDvn0G{hy0vfVYfiovg+=a4qs?yYc@+S=J1McUwwektmwjDh4EJ+0Ld15;nHk_W2 z`%7J(B-X%Ato2{ryga#U>glOF^#!CP8XcE!E9w~-+@Ct%80+$>NH*`Pc;}jVw%C$P zPfP$cg<88~#X{Hl9%2Hs{n5`)L>&q&l9~A5w+Gg5>4scu)?Cf(ffzS4Lmm5zy_fpJ z%4@ma4m#(7RBrplw)?N@j1CJ23Depq3Au_A)#8G{`5^MG+J9Kw-vFo!Th$&JA6Y_$ zU)||=9ZvQdhXVJ~zH6jBOIBk0iuU^Fso`OQ0_p@hZLzBYW~@#Cn7kvU zwzQ13Gsj-+)?sk6!AFr*w!kJI07`_HG`c@Iil*dg=E-SLV}pG#C>7sqt@6;25EM%M zu(C%Vevnv>x;o@xE$8c}K z2zTBBd<)Q6Mk94|RTT61>V9FlpQCT^+)!Q7LO;d0lov5^CtDCw&cGpZPMvY^1LR4Zw#)Lvdzrf+U8M>hE310{@bmG}5A zyOVXXb_ek+rGEY_`yK$$2B0LE+1&qZ>+=f=245b7or}6&@$<99ICwTnOG|^4e>@U6 zJhwKne8r_km#20FlSDwoAmni^DF#5yh~saQR`A<)#C=RifDQDAdLMxma7U|qG}qIk z`pH}%W#|?~g}w}vtN`V$@+I*ZuJsLuhg-2x)kPA%vhg0uLho1)3LFY{$MpD^o5 zil28jS7h2LA-nOXqwm^GshjNkhld^0aQoda z(~OZngUrSV#m~&W`6aEenPs=$*7%s8G~9kZrRO$gZO0j5g4%c8YINzvTvZosU0pT1 z6NiV@npWnIe;oQQ6?=vYQ2`bz2oCm?SwtjqLtqp)VWbXjvP>;Rovon~Xvz%Ef!0Ra1EAl$-k}BaC_)XV0lvr;~ z>+5_YWBaDfIhsRKzou8R*nMM`GbMEY^gGw|QwprPn`SgI`0}9uNpF932<43+t`v{l z;QmKC%c&dwLCtKRq2gzVO1w@KWA9$Z^$5i!ol3R{q9EC*89Se3)7Lvm~S2bw`S3Y!qQlm(+ zd2jSpedymh?C^kwQRy)TGD0Z8P{u6p9o;I1XZ;ch!K*0&|ERL51mQ!tu?RXuT6@RG z5&7R+nP}T%$Y;V=>Zw|^6Q;p7Hu_4JK{s=QtLVLZ0WB>u?L>g9WiYzJwG}sfq|-H+ zk2TWQm(onb_mcu_r#%2N4nZBvM4Z2d3vV|5umW{#ck!wPZv3cE^EzKE=#$=Sa$?m1tYzAk#3nqpcL(?P5q%57m?;C})7(LIJ~8J{z{2RsW^`rheB& zz2Xq*e?{3GEyO;VoI)B|Am3c>9<*5LK~|An9_Rrx{H09}a_=7VYZ>st;fXU6;YYwsLjzw%13}(CZEHTCLABFH)UYAg z9JrCvGM8(c)m!3bhH%~SfEU_96iD92bvT#sHQr&fE3_ z)6?Mqf&Ar#3Lggt)#6r|cYQa1Y1H?C?6U8JJQJ-Nv=2L=IrgYSWiAon&z~49CS$Ek zO(R^Gek2)Q05wkDzxDOpw0{DPCZMPo`DV+cm@Il{dMNwc{Vm}sZB7#-MMpIOYLfJ# zq8;B|$<$DJCTv8O^ise2<9xAFtJJ!3M6r zV*Pzbrg9SrxTi-uJX)`SRt&^v&MIQ`L|m^gd0}idS4eM_=~TzVYRqos-A3v-u3ix( z9e3z;jF&nslbVn)a@aqRdC;!@5hK9n?8QJm_&MZR^2T2= zi6aZYw+jauwU4Iq_LzTE(a>8mW2MNa;J9*f8uoT~baMK+=ij6cDXO;4*jx?2XP*^u zvhUuQtWc|<$Dwpv+x_>ZNk5(n-K4G6sP;wPVPhErV<5|t<3dQUUAF?n2CmkqV~GY2 z0~ztsMiMI^IN?24XHO6DdgrVS=;EbUiDhMENCK7ailEKZqV9K%>k0hWcQrNq2Y^gH zT*$V7FGBE}`Nh0r<(}h|llGJuKs>MZfAl(O^?JWk0CXMfh`UxL7i+AFmOyd)TBCx$ zG>Sr#f#NQ`I^mduMl%SfKz*6(@kKRi&%17DDT4EE;qH~?*9jZ;zeNDsWor2c+vBSO zO|8y|Z;B7zSKj{PuJ-4X?h`R8IDZMuElf_kg3G?wuj-+KwQmfk1}$3jmQ4@8PQemV zj(cf#2(kXH$=I%7Uz)8QIvi4@d5BF~E82ctMIwh~4!nOOBPM~RJY%lSt*fU86b76B zF)T3mO(M@l<-rrKnp;YHaAN_gOtVKz7TDa^31CINs>nL9@G)`^%pbMw|bcrS5Yi90NlJFSo4p#Jm*iu(ikV3x8E<^&u-k0R|e;lW36fzG4eUUC@D zFQj@Jn@>dze16IlBlkF>P>GeAg9iMLQr|v}J5l`X^yr(O<}$J8jsF25f)lTejR^|s z&Z@REVDE#U3>Mcp+akhm6+$w}@d?>cTi&g6P~TOrAUKJSLaf?0+cQ$B$qg9f9h{N1K%|FL3-;PPZXcF zAxa%07LlUbUmzkORIk z&W)Sve@xL8J#Qn_i^T?lX91;N%FH@{6NmDU=MYNW{inACeVsK4SNmCpi|wyL(EKcr z*3Hl;jGOA|DY`%S4CRgVvdSoRtnH^)R*rrv;Pjet-K+gucguHRL)md9q3p^Kpjs9| z)&CdJ`VZgwUsQ{!6pd@z<;_FU>ZQe(h5pz*Y>C3Z_cNP;DG5E$<#p^1D*sC2IIQEM z^aGPT`Al@M0kikOMoFCQ;QTdBBEP|SD}~{7rtw?cbU@9Z5I7Quu6|<$zFLZ@&uM!J z+3yVJ5()gIjyP{@RGka%SOD-lOC?g?EK5a7lu?lP0s4tl|0VIe?Nfh5AxMEro%TlN zEg9k0n~B%AaE6V`KCG?59S4LG>uz<{Dfa2+%nwC?EhK`WM!Lj7$>1(oidWXv3Hr z@L=4;Wu_jZGsmTBz{Q6MC{QS*nvO(Wa4De}7;|`3o(<1~RW;hJti1dJoh?l0fOw6p zc6Nr~WRx1WJJQ{`zq>CYWgvQ%r@uVxQ45gQ4V7Efb(|ilHp%>M_e!Gwj-l``^oT9& zyYfg#aM6T=-xh|pHDMl}8#XF+)7IC$gvdNvG~RyCP4AIQ5?Hd|<~w!s_%yaFLA>7v zXLTRW{gh*)G3>2Jv>d8zG4s`c$pVCXKv|-h5UQQw4Efq=82%fSB~A&cU2?jg4+#su z5mHi8l1v&hYYed74U3sJaZ~78&+mBc-CS-0oYhDfCf4Y=b@j$Q(Ss2~gxLWtC4}}` zM?6`jgv6Tx?{x%-)1iVQuX%SC(PW}epl{U7W68d@DxXUB08%xgEKQdD;WfoW0rMOH zVSG5*mn#ugOU0lRw7hT>_m!0r3X#D%5U~O>*Hn-jURM~P0WZ#5xR|BRnZBoXyoj}i zHy9Kk=}b`Tdi^~x3-C_dUiulyB-=YN!EQe_H1r0{e{X9S81Yw^E|=^{p1R*05LW70 z+zlalb003oK%+&ZzcCT*C@0q^BO?PD=jkPU-bT;S-En{-wGnnbF-2)1VbmiTVSvI4Ce* z@c+bdyxRx!$3f4@$#;F?{_+_y$G6OmcMd(EqZg69Nv)BRk^Mp>sNQH{14!rS6TtC4 z`QQ}J88hhmaGXF?Vt+KFhZwVTQ;Soqh~aldA-SgpTTDomkk0W2m;uME??*ds*;_`K zk8odP>oU!mO{FdVxrJ$YJ$V))Q)soHiCN^wk0fUz4J|9T>vvo*B3>-}u3MlS{`I1p zM+7uOfb~B37x11Vbzc9@_0%70>N}1V_4T^s43xv-haCD+L})HaojZM(m_nZNsY1?) z97#58Onw@*Sy>OS^h2o-kN^{cw4tST74Uh*1w7N)W}cp`Sk;rIIVi6uiJ(J42U z>!p>DSs)oh6r}@D>MIVKn@u}wt}iBe3Ay!qh>3{}EP!3gkZ^;Htt+o8wePK-Ai;#| zV`aqN8e0mr_+dK zq)r`Bj4Bg-b6=c@G|&r73peEJ!NlM5i-4cWeYz{6TE9K=1e$1f$9j9O@3nbf zoeqwVk0-``>+VH;rFW7ww}s?QSYp}SoBTHw;^6{%rXBw{HL1)fJs2JhFHqMTOx1cGr`r_Zl0p2<-_(> z(sG0?V7s|zF``!=eGM|Uffu`m;`~-OY!B)i)+A&@E(i=b0E2vbPR^73*mk23UVj8S zrY+12=$YGbRss?M%rr}=)dqER9ekc9-H8n_hmob8b(4{jw!0RUG5kx8iz8nWXAFlF zXgZr+gCf?oGDv7^((m6|S{=&a;p1aE=z?}-Bo*vvqrs1G!KHDQ8;%R<0x(Mu)m^~W zW@J3*UW0!T!C58CG_Nwmbu56*R{Aykfi@FBVL$|E*9}5UefVtq1y5ipQ(+=yx5L>H z2RpKN-kP@(+!ZjJIpb238z=~4G0pF;Izt=u4;N9J85ASrKEyv5{)tx)z?Jw(^UITS z<<`@j)2A(hwKsB4Kou7y>;cW9MZkde$s%l&-F(o=Bovcw3&H!Fk>Nu&vvb;;#o^AV zBYtl1@D$O;_q{~~*__Nik{(=pqjYq1pd-I!dVkV^hth#y&k?0%--=X+Ai&;;iUbI* zcVL{y$sX5+eMoQA#K!xuufa~7s!%<^kmJ(8Tz}Trgv;$8o;2NJhx7k*EdLj}nwz&@<&e&$ z_PWa>pJ5om73=;qbIn(y_wvru(%|>Dd>}J;b3cu^tC%8(3=X$v zY)yGt(`N=sOA=dow33NfaPFRTk=E#U7kv+bk`QfT%jH!P5vA!T33Jk0W&{obb(&YN ztNyPRz`MJ}UcqrgsQIs!UgV!k>bEL0m%VZQq&jEpo|@{CBsmT)T|G==DI44h+!rH$ zbHDfyL7CY#it&*EE*#X$zx}arM}=HF+Ya2-B6tS;?c(Ah$>3EyOL``WJ=dD;<5Rmc zSj*)V73I2|u9Hi`M(FXr%eeUxv|~zgQ@_WY!^Qc&m$dc15`>pjw@cM>9=9-Ln+!J1 z7Jh#UC#R78Vo2_3ODNsB4SrBw0myn@jSv?H)|n1k*oQm2yWi;od&4{CR9;^GlbWk~ zofkx_Z*>vhvrr4n#rNk42lqc)#jZM_ZGZhZZ(CXx8Y9m_Y9dTcVgRoog%SLS#pSYL z^bMdwyFNMyw*UzlnOtgWR#tPb0_~k&PSug^Mn(m89Q$JdP5OpCW}f65odY=TNYC#e z-j5eLjxiy&Fe)RhBdFBma}r)>qW|&S*&^%k3-D4wfF=LmvzM46=o(=J?HW-x(A$-e z<|07E2>7ySPMHqJWyvwKq2sX?hj*4LUsRE30o8-m*LBA_?Ck6Z{@5i^zsIz1zf|7h zZ&Z%f!X@{8DZVvUhUps_3HqOSsB8aruKE5pxQkwO0IC_#+oFrP+SFrYeTjnFT9yyA z-g1y(rf zLEbdR@K*0SO+I@xUJH9>x3fmc*5BIB(rrG{r$#alOrHFv=D)lR2IoL%a92yOguT7R zBkt9rvw*92q-7GvAcx$af8MV68coB#4XHSOn(FH0rrNAme8)FFtmCX5dC7A;@xW{#HK_8gw2%Q3CjH0aZe}O}KPY)u4CoS3%>UE2n}IGx%xY4jQ>l%P`}BW;TT83qXU6rYY{{_FTYx_~^EQckK@flH&$X!0H3U z{!gKgA3u^hImdP|YYbZ4yDPtm3h9poAZe248vy`RxO^TUvrTT{LdJBRY$2MlK=DW@co44=+SyberI-s9GF3|p?)>Up%p-@fqe0+0mS zF;G`PuN-uY?Ks2Re zkN`9!Rx*``kd`K^y1BP}R&fRvQluWfC$0>ZE@Rfr)|;-WFbU@lkt zF}rKtHgm|jI{C9mIoFA+`D=mYq=OlNR&{Th9@UM~~o8m3voLg(uk^$4rjG&d`2$n}_p zkP(tMx#xZ1a#b#Y^Eos@R_9o8F3PZfo2fCQ3@6KvrYec9PB!ikx-^TzKwN9%X;B5;o;uR4Io@))K zlVLx+8A|Pm9ka`ak$Xm8a#C;5qXCAL1)8}Xp@fegnS&&mk}zxguCF*U`dH!Rq?XdY zOKUfl3N}I;Az+((pD(LNrMb7*>J{~Y5%6#;NdIL zFD0XyS0z!{=)D%P23y$-Wm`7r1O>qjC#y2f>QdsSj-tw=ntu-UQOvg!sT4X5Nhy{O zQ}!^}qtoe!Sj(tP^6a|ls@mTMSu|JfYRon}1bN>6jn*p2`De-O^J_ZcmdaWPAajHG znA_v#{Foq181&`7!reY$RiWJ4IlY&dQG4{KRU!;2&-nuv0zT@`@6QsUFoQ0=E6e%< z0u{~F5%`KmaF_!h^S-_;!#tlDAvKQ3&OK+ z7vY#@zQR@Wn*!hxp%nI}Ia;7GN2$QHug>)$WSK{pp3E;ub=(XHO9#Yb;AsY>CX?M=)38f69{O)}!^=s&S zo8MY7jN&zy;O0ib`6_1)#m#rsaw8tS22B@tj7X9qfPWdakMGi^^q7#BEnB#8#`-nQ zMp%?#*J84Hl?q}P6N_a&mJM~P_xJXRVMeVJ6-n3X9j(^^u8*khW4+!{kY56%-LI44 z{O}73Ch>|%=r@pGY|FjUi7|=7TAG_zdB1DBvuP)+CDr&0d3YQ0?W?$C5_*<6{e~laCP2=5vX|Rd>QVdabUclirR3?Q(QSCnsgbEMN{ENY zR?6$uBtTE=WahK$goWanXgy8o(K_9#@a7j7EB#*F zU#r)tcA5o&_my%ly~v=Le~Tjb-@lQuF%wf`y<#*8dbr4Wb4$x+nAw^A;0M`(ptW`n z5Al?S&5P`If5Jdy;hSBOb9W9J$VY-l8Eto<4|4p~TZ2IAe*hD1x!sNE~o1e20!ZzgI6!MDs@FVL*pbXBw;F5f&V2nIG6q z!Z%PKhIbN@MNONPc9>&TLPlXKMMR zcbfzY(q=o|2|N^6NkXQqhof$4O{xE>HPgX~V}5RtVLZu2HNMwbYOjFjmLE+Jw4 z`)qib2J`lUh}W`{{$Gzfl)(h@BcPpfLZ|dJE!ee-e2siC5lH)3`V=LZnwLr7_;VMh3Vapm8qM^p1?M?$;NolfX489r90eh@KWO@Spzm8o)ViYbV#N&T@8Dm-L>6!%VXxGh9-9yl_WkwY-yxG zxJ*W|E{LIi6DfId1+|%*nenVg0jLj%fQdz#61i3ov+jH(f@J{A@SPCi&jDa89tMA_ zX`kGPcxyo)yH&+_;bQ^bmJKIE=*DVv6&Dd9h9~q`AV!YHDJ!7qAH)%fAs+d;fs`|T z)XcM#zwed5e(E4Q5^SwMRItBkD{rwScf5#XVn7`5{2n622Dt3rf!HB%0n$b4SCa8C z1WD+JvC0~kawnEVx%hK+QaEVOnXG+6pr2C?c8diF91cxxtJAV37$K6^j>12JN;P01JH_C&?S!+@Uilaz_30Oe5zX~<^7<2Rj4(Ea>NGD*m` z@%m>b9*TlIJQDt<5nn(lwBPB;kJAb;Vv7P)|Oz6b3K>?Ng}+dmZe3eM?E0*UP;( zK&=$KGXy^L`Uhous*tK+vha81x^J@mq+sGJTx|(bjs}8Y@y@<7v`0El5f^+!GD@L1G{c%~IB-6OYU>BP`& zDE4GLQ7s3h?hk^u0Z|Sj%{%RM?3EYkXMfUbQn|?2+rp0LF}7SmyKAj_Aib+X$~TnW zqm;}vmO7jl$)7@S7!$B%{*5c;saHzl){X_3Ykz?DU|}7$H~8OIsQ-W_iANCr=6pd& z27`Uf)-x|loV_x=w7mS?9Ar>my6|RRN5t#wyJR}_LfRgzYnTPfOy2*jN*DziC5s6} zVE_zvtv<01~VMe%o2b?c_!j$78^z)>N!t#^>bPs~U{{IuAjX`uJ zEeVfxWcl(6(Mh)DQ7+3N!h8Y4-ZH)|F+uvs3li} zQ$aK{O`*zzOey@zWn8$;Z6J5BO^8~VW#Zkurlgp8K^jts1doIa%fqHD{*brv*JZSi z)L8jWDp?3o<*WX}7yi8m$bG;VZhf`3QI$A!OpR}>gQ5W?Z&fcS`aWFc=}H^DT>M&ccd6F8e7 ze2@1G?rZ6nfUqcCS-@%E2iJsq+2`Ae4CORA(#|7FfRk>1|Y*c*9*a7Qp^vqe$-Ho zDjO?hFd~lecD;u=+?=G6UfpLLpz%h41xE*B!KBBaEH=epqDjzLpS8#HMA&DqU1mhm zN@j-Tvt&k>d*T>|yw>=X9$a{fUsP^gvfxD(<;r82*-q*x(>1q4{a2bvgTE?xx}vz~ zS&*+Y(`06kVKFmia>j<;>1y9`sW#`!`YH=cI@#IeK^krawWY&jpkA#bewm340Vr+~ zHjN+$LWqxzW)GCsi8*@|TX zd)#{9JLefd2nh^yY=}L)fDw;?QjN-Utkf2={pFv?1bZFypvY7b7e4wbz{`8HN{xwA z=nN=d%=F-(KMXSLW7clt+3pX$ z=tzK`A+WC884Q~O3fbmr2 zYY{ZM7wjQ*NeKy27ROzJ(;@KMUFbL)cq$PJgg8xWUxsX!zwf+@sMiv}&-aeh*$LoM zbYqK`mupFRbUxIo@748*kAEj`xt|~nJ&2W_Nj9v_THxk&a-yKH>ojzSBQI)quR?a- zOmxc0t^f3j<;n(EK{e$9be>PZO|}4LstLEmJ+QujP#iCN?GG3azC&L zo09GFJ>CT7XV)l2OY=Hg)S3e7hBj{H+B_?MZmwSBB<)Npj%|)ha1q0hilOi}P4dQz z4m5`g^*|Oc*$3y%k9tsY?P}bN{FeF#vVSA-lF6NG?hQMp+d&dKgi%~FT>rB(M=)Lk zFe^qtP>?CM<86j~&`qTmY_OHfOkUGcS}|GryW;v3U7PryTnf~|!v+wi1mGQRu(1xM zl3*>QAf;UaXkYpjMWNm?A=I8xxx# ztCIQy$XJz}9Q1_A>ZZARTF}n4TJRqRbvdsfDJdy3IWN_LTfbSz69gu`{rxu!d*JSe z*3`B=H&I5s%`FFXvkR8L4zeii5E`$MP-XYZ1p4yt(nu-_ZjxDPlSSsSIv#XwjG~WoG;;dVk-s&V1{v1 zr<^zT84N_^Txkl!dO}g$ms#PGI2XekqMl0d1yyH&9xpx;80nq zanJypDYu}Y$@b4}`S^r{`|OmLTZJj!ra~~EP{G}pclap`WPu?oFu{Q#ix-g}!gNzy z4pTFT-_pGhcLGotle_MS^K~7wD$8;m-jVrH0z$&9H*|*)fM}S&ISmTQUqD;yo_|N) zo!>!}dvaN`0C14=6S*(z82R}4{!5BU&5za6)STBr0;BGr5$PbJCp=q8IXjOkv|mzm zU%30S0m*Gd?U!S!-Unj{20MnqM{*(tzX1L}*h-gQ1NytahBXAPrdYQ}?2um9ednRe z!J9Yd`reLxKn}UjG-LF`{fc5#6Au6)U}c_nNfUWtYBKq=&!8jgcDdgfB_-`2_ee)A zR-IP!F1h7lGT3iT`J#H3LYp39D>b#yrg%Y)(_=UKyCwLl(SGesQSTnp(Rb#fB6fDF zs=C8XaTq+XG7)7lp)ynuZPBiZib$|yyD{l{7br!`G-q|*ce&#S01dRW;5seQ zpC)`b{l$nxm&_SJAtAFExpM$=UI|pf8kLj<#{`A%fr~oxUfA^KUnw6y^1XK~(-c^x z1<({S&qL6<=sI{^XKhBZ>97GSkWw;OgBYh(*=RJt`p7g5NzO1%8AyW0Bz_K}S%v6> zEqADgmOKaZrop<&s-I8QJ}qQjCA$iG4{bpZvaK=e6)Eag@Mu;$<#p~sEW;ZC%y64_ zAsKl#9j}k1po`RP+b5JZtoKo1(| zVQ4a=_%|QZ|NbveyJk~$j}d@kI*##Dybd+ds{I(JZulO*e+IN1R@EsazC42^!qS?* z)tkj_@E=@MEglY`qBi1zAI9H(=36EaV_D= zF5$LySDpSwN^XHx&Ja}Gh2E1>7oj5VvV+*J{C!^r#usoI;M0I)Y$9`H}_`QgdF#6kEej zKf;T0Tf2y?Mmq2FXF^bRfW(K;y9%#aa=JT71p3R_8=f00yXd6!1On3fXj}j;EgHF9 zb*0-7*bI=xk?6-R1W`!8eDRt%``!n|&!mn0aP2@}U4~+^<7uf!>1DZe#qJ`fFnGW( zwL$Hqfe4rj9|SIULN}yH7=s9l#tDcNU99wqo>q~`*j_t?Tp(~L`ND{hM{oyZH;wR; zXXa_c(F6P^Ds^)LWc_}3jlpGUmjYOEkYBP~7Es{}M?n;Qx4tFtzqp)&!}8K!fczCR zM!Uo~RHzOZABYyn46#Kz0A}A%ZKqxIfN^qz2ZiVxjHbl5eJ;+dz5G|@-c6Z4K|IEi zoRk!^)NaHZun9oo4~Th04O+ehlc1c+Jls@>fGZsS%c$(FG>E*C>MPo3ZOz=E7{gV& zDW7KuB;&jL<7ii1pNHafT7o)b27w2b|6kx}fr4BFd0SI@2*FzfB{Y(JTm&9{g*2pI zbN}!i#%9_o>MONm&aRGXffR#D^_{JsCZ&8Mg`C z6)0%Zd@i#iD=QmXE^fh-!FSY&P6kxH*tP!Vj|hzgP(#J1LB^aOx2e%RAkvgyIs^NF zS6S}{15g9p41ZuHiUiz<($e@rTSDHA^(qhQ(VQbe4Rhg7fFphhKX4Y6S$u6}MFwE@ z&3)Q(hESPvGM!tiAB^EtjnY6;w?P>_*bC$eSkOB5V&s@%@%ow2)7kmxN{Z$D?_`5< zicR8f9zJ4P8eot^?pgTrl<&t`5`JN{h8UXDVBefnPjPBb~8sl)LW-$4514Nt7Arwu0;fdUrN&0kgs4<7cf@15jvSSw~cDZHvPUvu(tm9TkmJMG3#Z^^=ip8N8Dv?}OV zHXM){jo?j%`*6BJt*;VqKY&RveJpx_o%MGYh<$x@4K0QCo$}N!^JAf2i3bL2jWeJo z^VEdHO!>{ zzq#M|0uP1NwkJivEd4{T)i0lol0kt8W^}fVHMuMbWO%!nDB-1R5e16HxznFuxUJ~2 zT|P*M-~e~Y`d{J|$tms^tw2VpKRI3f`ahbSW*^Z3ryNf#8Hs^r+y(};pWhw9n+_UM zy#Fc6h6<_ct}&Rrj*&k!Ygn@a(5CwhfRI;XF)u6Y94OLuCjt95{C9S0Hwl5NfP80& z70Gm|ueAUq)~66)|3jdU=($e9Lo%wn+PXb;<$jixzkmE^Z;iWqqs3k0{4pLUKc|MF zx#gXf9)8vLURrB40wgaEYX`}sK_T~dP&3KxRBL$Z`z7h;*#C#Gw~VT4ZQDQ>Aq^6W zfPg3|p`?_Aq)J+JcT1;~K}aYfAWDNEN-w$vX;?@|D&5^3=brl;-#FttKhFDOk9Q2+ zTU=|-=eh4I2X%A@tv=ix3&y`{L`nRpOwMrG6_ky$NBM}Xpz=7xIk z{l|~sjLj~bJ^)ag=5{41qk3>l--44^A^|S8$%`KHnKLC8O8zmZn5%!F?W6qZ`tc7J zJ-~+Ylw{aQB>=@$=#t0>Q!_>_$xH-R_UPwo zG!dks8w8}|ayCXTiT$a3mdy48jYlkM2q-jPhU_;|4t)dXLU)nUlXaPYW~)41Nv-uw z%L2J_X&Olv&SD2=&L(^;?b`_T{#KNU4Tya*oz44gdHqm!4LeQU_GVC?;oyD` zOH_5sfA}NVN!!n+X?AJk$^FAX0d?alKWw#MN)V+4Q_i=?Ew}5=XIP{p3ls2=uN-7fAxz zBMY#cfIC?C5jEZXby3dUa*zP*gpuh83kn*+0k?~*i)^3p3J%Y+yr1?-wSNUD2!K>=cQ+GeMD6S?ZCP;#-n%c| zs1<;?8MqEw|G{Fzmf?sf_Z|Pa4NkVPC*z`COi?96vu6t^AN{dWNc!em34pjZATF6& z_@66PKxNWcl}CP#8!wF@!NoT1MoWAqAI`WA+EN5t4zB|d3o=9c7!t;+PrUL9_Zj>2gu_~(U8#Ptp2fimY0>E9>JD-;5PTV=UyUD24xM6 zQ?$jgd5|<0CBr2A_aEE3&a_fM!Q`uFRX}4+NdfRc5%`CNx%0PGRtzQxzNG;(BO??* zb2f(u)nZH8%YPvLi50!N>kY7@T-(x-J)N!h7}&W)TFi$2^yqWO1GNb)Bnm~>0S!Vz zR8s3dNlbmpWqBxQ1}l1CJCilV{8JYS$dso9^*ISSFyoMF9-7vZgIURMKZeVauoY#| zWo2s03=X{h!=8cy%8#2~u7)!&B*DvXY?iUN_K(XyS;~Kmmn~2}-N(+31o%0lxFoCxR% zrVFU<8|h(*XU~^+rP}otp^p`X`w1x}dqEwcunEki;@CM3KA92Rc^JLD4ZJ0?f6xOu zTLnlic>RYeT-XD=@e*k_UByU2O0R9a7c@tYt|I6*tx9q7XFp}Z zQ3>*1vIod_n=-747p_P>E&vXC<6pWo`g?#&>eTC6#F>c%AHy;XV8@VYe$}@d1SF6y zPdXYh2{W z&Gz)a!kYr$WA~NOvWpa4q;P?B>h&n-_`!2I7MBw8| zy;WEPOa;_B>Ef~wP(mS{##0RbTJV9~-TXy`S|W^*W@0+#p$x5GbZtM*!jVxvSnx0y z{W;V7yZX`ySwA5)CUwB)B)l~}jJ3 zTY-PeE-Yhm?PRnalYPBxV5QPx-F$D`q$Fve^uYiLI*+}YW<{~AXcDdMv${17;Q&S9 zi0qT%T?^^KjqA?UR|K%3TLspd51U+)Lks5;AVf6-0aSCbXdU%`|GEq zq*xL>+A-S2fp|vX!ohsY90rbN(qDhj!L;VP~oL_EAH;K)Cj#V;N&*5 zg^UT7Q4F0q>27~+G@QR?xS#$RX#{PUVA36~+!qWElCagVC%ufb6}i#PKPv0Ho)hH*HaLQ`0MmZndo=@cJ`2kEm)q^T z@@!kHFa=fr9KijfJ@KjjMzAczfWy0Q<_K%_@1CAO2)0KB@Z#dsTS{)zLfO+eulJA+ zx?STbDcT3nFn}@eR#fZqVa_WOM-wel9qTb70L-q7o$f1)nM_HyvHRz|?hY>$b6W*ZJO4J)zf*|vy2}Xe{(TfnWA{MtJE=1f*!wI#wjjvwea#=w zvgH{e3LCVMDS2CmcY)z2jU<=!5lajLu+VN2WTcNcV>Teb5(l-nKK>4%Oq{dsaL`RR zK&l-}BUot*zyi~@);#U>%7c}L#DidI?Me1NYiVvqmVj9eDBrN`PKr6s(JjNY8Z2*zF0k8k z7043KzvZ|9vKWa!6q~^H){z zsQaz82ulU3LZ4s`)}%o=Blrz!!v@@q1|BnURfZ&6kG`wxs}_ZD$*PYkt7A2~m{*`c zn})FQVAtXYER--V{DSup2UQMD8VKis`mb+<{;sFGT~XahN1dQ6Q&rQ#ewYh}Qdpn! z@sB@dtH5-3J^?W))Z)BpY?|LDlmSG&0(QAVYgb}*TUuf_()pK`6!IQP1*I=%wbRAR ztbFw1PKGKMdoLj=5Mg;G{bZK{h{H8cZ!GT#K|eyk+oi@g!O0~Ks)KKA6t(t6%{z!W zJxZVV2`zuFR=M`HdadbWpda3uMpkjV1B*op z8smc_1B(0odX=u(;Sf)YKs~%r3UZ@h;c+$#ULTnIC$P&1i_5*P@9w?1WTEcxB|9=| z=4b`Nc!tbWTe@qn01g7AB>oFfs8n#xI46V9a8dRcnjt4(NuhG)ti9@ePEn~e=?gsY z&#d~2p4Y+j&u>KWdeRY`&(c74AXa*R0+!&VlIRQu-XyFe7lxC*4W$8gUE9-L^ioP7 zETdK#^V?q2^v3=^Mtt9_dFs-v6hAfpxMljgS=39}RTR6yq-9lI0$UJR=E6~?pyCq(Ph;$+6a;r8ugF3Xgi*kY{dxio`HM_MzQEdC zU^K{nT42^jNyr}_9?lfqMq`gvVE`*DB3DB8XDa=i2lpTFx7I6wS{uxdyC5>cyV3?W z+Sj@c6&FyBkifk|m!mqt?IdH_A{GD`XBCHcaSsBrZkocXXcrT_UIOeb6F#RHjjr3AzLQ2Wisb(_%`R+*95--Ops^#NS^AHmAa znCjo)Gcga36rkz;3KB3!g*@uZS&lmR2TOjtj5q;|gP@Yd%YF#+x%aY7!!3Om1I`%8 zm}b%GvJzj1a5J`DH<(>RxG*q5B(#D%3k}H)dNShA0c3X%@nM}|kr@L56=(`R0&#J1q>aKi zb@<)<{Jgm#h%C}elV86%I!*uWG#Gz@D?Z+x(akY@Jv-07&%bWf{&{1PgwISOAQVMv zNbuk4Xc@8C6ohLlz@B=?euQPY$+BkY`;QGs{h%$08K|_kH|nE4nW7O5GZE*AyyXJTK{6CKWS8CZSsw3d^Au_?h*7Z1#_pM#6kMW#j;|K6bM zGTO_}Z)_nTMPLQoOcS;!>Q{Os2@BjdAhX3rlk8xH$vvwMy0g;eTW`BKK@~+eGCUBd z{m*0g?b;M$3FYvjhtAb;6s|F1|9JS-j2pB;kW%K%BPK#$=l&}s%_QGmCGrrYZIiLO z4iuIiNJf&zk2Kj3_X#>+5nJyfjx}I-u>S&%(_n!)-WrBjU&!qs{g4OTH?ASbqd{k= zRpVXqH*O*MM_y(7H&GBcfr|RWq*+Qr;LnJw!f&_51qGpo=C&z49i0q(SKVb`PyO<| zmR9;-Xk?QKs0^kV;qGRWz9>(7xw~~%i)d?h%)m{<>N~2&1nffIJG6RMe{PBXA z%eDdNr<~W5yE~rOZM?bN8jpsUN1(@tCX5%?)~Nph9uAd$9C86L)2H25OO~`x_+loM z#gqCf!TZHWxY|Uwhg@`%j|Z4y$)=W2XUV|6q@}0hAogvn{$0{RU&!kLT(SIU=?7N7 z+exkO@$0b3{IjL}*CjP@p23fE00S@q3JHDqm5IaDd2ph;T>-)xL<+j{1wcbwY^>o? z;I}Gpu@Tun;v-4A9o<$xsl^h+${(^_T~IKT9XRZo#46XSeH`ziC)Q>}dPYI`z56bg zT6Eq6B)LW0?*B#~B2MwRfFWA&nJ%mZ=3({rs4FbfOq%M?H!)3wzqZl3$^5H2xg_yb z$eRYSES))z7-ie>!g)U0cc8-fDw%>z+fJ?k zCj9f(xEQ)iG8+}H><$)KV7DUdEK=3q7lJAR@+j}W_H}jbZ*8!0fA+2g2jpi3;xY<> z-qfCu_OS3|6d3%CGDHvBXdGB_Tf0cEdBL$?dq}%uU~mw?>+9PH1w}1OcY-SY3xn_lbi3>>wh;DH(9;>G_YfvL$kg)+Ulf8|a?uLJX4?K_=#8Ve8^D9hybPC3~TW?sQE{V5vH5O!4S6 z_bF$Qn*OaTpm`U|nZTWc3dNRa5dgFC=@Z%P(2ey$_M#eR&|%YJI6CtX9Y z6jxoS&#FEsF>E)m(2Mv*+jb!3M9-<|vU4uv(}d==K|v&BTLUT;Al3<$UF`{Ks;X&E zIopQIz=7Y>2bO0irgXID-fXF%Vk^s)D41N&>=8 zX0@%;9Z$?K>q|@6>Xz(vV7M^Pg%LjpiCvj{DRiXwO6AKPV~AKmE!}^ESn*Ix-jG!u z8d(R+E#I9x7LE~!3QCn5_$;m}2s}&BopnCMPQ>otk5fnihZz%WwIT1rHUl@R=qS5A zUUt_(1s}YWbio&|;h_+F-Y-STEeh28BjXAr{a!`n=PJg7ppDK?oWCA z`-4?jVM2?a<+_Mi|6mjVNDpoWy<{Xwgc~U{%gmSePgEE)9!$B0(f{L0+%KWDU*toV zUM_!c6@rDUVse4I^md<1gTaj8TfJLXV9BE)-1O8V>xQTmS_yAL{ONfx5<>&e6gPMc zz1(GHtro1(qitoIoQ`&^r^^IG4t+~ibz#1KVYnaXU~L^0(1r{pP;#z7LYv~iu{ef) z9gwe8i2db`J>{EGxIBTOkKYEhjKV)8J2R6!pq?>%#Q<#GgiPvIOeMv|MzifPl$Q{w z#dYER4$&A0$ltSp_VF(?z8=6L%iS#rFTR;I+q zq?h~2IE^^(bK&Y;mALYQuTOQMp}}PN(hug8anlq=n$$-=ko6W=gtO~g1ZV%mx#@aM z`tTAmTR#-B8G@~@U%|k=wx~1lAMSs5bSP9At^uF-_JB}{tE@_QBnJ+2#$<5K~?5vqj za#|Wf^=9NAvH)sg;q1~V1nrYh75=3bGcgd^~JFr66_vN7Cw5Z z>1yl&ag5jC_IJqsn%}v*p)+EQ9^|(zuS%S_-zc>D>(x=G^Gw|pJiB7mLvE8t&cq9J zZaz#3br;>!cu&`?%0(0Xl6+_Ue$UsE^}^Lcs65)y;%T_%ZxA+ENcCxHe|&kDD2}kD z$s>53uO&G;`c+!mjUIWxZW@4xoP7aVFYon)VGy#!F{-gNZ4RfHclvw297U@2Rj0~h zT>-*-JG0s9msVHh5xrAdYU;hQhz}nq1Ha?Mt{O>odolvsnx31h^0JiVG59r!11@uL zaC3k3TZXCuLDvQ-AaH_4C{%%KGlKsi!9n%+eFP1vzJGs+`6M4kZ483Z$IFty6Q34| zMk3+n0rKU0JAG=VJ!$f&0LWsx2rCcew_zaO#(eknofx5>*@N2*~$cwS}&Gc5pL7LL2DWS+u;M{m5ixsL&H3jn=;17KSc2q}rgU z1=4&$Ps?OFbNEX-WbX6B2^Fw~DWRc_(>9fUv_NlVG02N7yB=AS4|50Md6a)DyKL^`R!heDMt)91w?9 zS2xaNW;#&^H9`xT&^Ms2rNx1D_VOjR_3}PcGtlCq-@JLl!NI{BLOraQxV7#Y#5Rg% zuMpf!x}`n4ZKW%d{AT8f^UMM@yWJEpiX#8#ABuq@v^(8}yG;2WSB-fd`*3GD}3u8o%pyEBIXy-5E=MTHc2xYsHg z(FeepUPZ7>P)D)!K_(c>OXZLPi(7*%yssUinH0-1O**dn-SOG8Yy0jfu^PTeuL&eR zjExuY9;8uQ;38lYHGE?e?p0q&RqrykOiZLm3*9cCz=qguy`l<$+8QR7cD)Oqk4si` z15FSP+nK!42RApjttxmfXXfXp5xxM0LM+L1o$<`tUjZ(nL0fcDS{YebWRlOhxw_K) zdchKSL#JVR*92hPy&5*!K3c~!4G*S*GN~S)n86-@+nJEsTd76WV-RGn{^-&5af!u@ zXmSGF4Jg0(FF}Rv_@$ZR=QmKMr&*+l1n9n&@ZMV)#tV#9px*G>-Co{{JQ76_lRnng zzIk4QMn4%V$;shPx5Y){S5p(#ue|s0)9k*`@>M|QNMax!KkpqwWOni+OV4;#dV3y* zL%SYtxn<(6ezl=WNmHOye%d)GS}oe8B%&aD@#^t_0b{D}XUHI-3!jGSSEE-0xKVUX zzZ}Tv3ct1$?HsG@#3nL5T=&Wi1EW%cC=ALlFk>E+p3lr*zI>Tq4TRhb3=C<^Fb+Av zacNxxmy?{@8=31nySua@l`xK_&uSVQr}8?0Dag8I2u^pv9O8-d@aG{_M`P@s%zOoC z_DMiOsv|}jBxOG$h@#dB%Nd3f;GWfKz=#G`!>A8_&CIwpQ za7c;N#hij1gB%c({OYZ@dSTw6nI->EW#Qlc@g~RZ+i`sQ&TXvapgzaFSqd`Oo@?-9 zA08flSgbvq`$Ae&PzQH0;%vjx5_1G1svK$;uuw>GE0EZmxzBxx1D!Pvt^=QAwZS@t{gz;Fc!OPqWSQ#&nnxCum%1Yh`qtwl#RZK8R&jlX!jA~4s6WJ-TTG$W}->!6D6RQ4n z-n~xgV3jZ4Yge?V^Hk;=z-$qvHfEez*x=)M{3Q0_SM?`Pn90QB=S!F$noG0UGPVOY z2I1y5!|;-->*?9i7z(C<#n@QyF|Adzy8bw%P=${rK@#VYM_f!yDg>Gguu+EI|D6I~ zE|T(hFrBILWn$MmB1Hz;j=a&%w~C62evgcBc4IcxvHDW~Y^UjxUcPcA%;XSa+5+L! zdE^K6vecj4{r#o3wzp@|kZ5n{33Zz0-IuAU-~RM~=l2DaVf^PGt^dv}4t8Z}G9@LY zO$b|kU|$Vp&w!VZDh5&2^*V%;rT9g~#<`@f;v||}k87^%*6mjN#-?X8eE9kEUO26Q z0+ZLDKYun3Uzo5U90wA4b|iZi)U5*_1KtZ@%D}bzHMbKKh&OX8;L!!XZZ6@s{F;4{ z((+Mx_sf+C262r8Y7~Jmwtw7xmJIigszrJ8f9${QxUKI0+0LJTBtEh?Zq5EM`L>Qo zbB_+a*w(uIGD$2G%3@g>ly99-MlHugF7i?hm&SOi%;mzu_>xN>ra3=9i_{{r4fMnk zxvM-%ZYae#-Gy~?OUtW~Z-GA6c~baw(ds9YLEQR%I5dBSQq6Kd2gxXB4CUAdIIux? zR(DNaUViHzt$;;JcJ_m@D(LfTLq|n?d2dxV8UepW^eQJ87t~~O&9`Sfh|aiVKVIvL z$4;Od+pBE{RRURT5GDa2czc|)voo*zidOs=P<{0e4u-9PF`687!qSdHR(Xeq4 z;zCRi^Ty2nG5pc95(VAUR(shs7(FbeJ31}K{7||}=N0(l^Q+X%2 zAtlh=!Ie5X5T$>`Du2>q)ydaa0vX2n>b+3g$0Jc@r8?2^kiN%90_c}qsD+spkLZC1 z*iuzgA~;;|Sne-;wcY*nZ07UF*jOZ&h+gI2z}pin*YND}Y*)yv0Kwv4kCTJVB1pYo zsfQ;ng4#ak@^ne@6Zp$DNrR8!+qZA;mmP)*eztxLlDY1*@%_h-N1B@KE}gB#d4}uD z%Tz_5AZlKAE;lEqv-LN8_jISN`|t?GQvp*APH97uI0#@hUh2=52@*7Jz~$B}S5JBl z2R$Bbj7C~z7ZY#V)0^%sSiF~uBxLdgD#SdS??@aa{*IJ&cQ3_t!$|DwCBPV1bPbTJ z2$%#l)h{B7K+y<*ca40a^6kJ>cki#2QFLi#Xb}}bi7v*Eq2$Eu&VH=C* zt`pLh=~%7eplA*Q6I5NB7q){%WS#wl?IT>KWmWdDs^JW0(4sX#cglnu3(d`^p0u*_V)k?UK; z1_lQOL;HV34j`)lgZTxdBO0Z_Q#5xG+9Cu0W>r?w(bCfLx4`Rpn}~>r#mX6ehY^S^ zi!?sF?m+xvi`RT2`|gsEkkI3O%$-=*9iYh~;F~7AsRxV~L{mBSsR&~gV6LvcVi0#v zfk9d1BG~bNIgx>^i2Ttq%9(2+wwOfhaWTe}NA$c3A|A_WKHZ!b5ow9jb0&0vbW}aJ zKhIDBfUQx(x_cQDfTW}!)SVwoRRdg5bfsKaO?*{Q&wbcjM!C{<@D>vBDx)FpG$r@f zsf7WRvzG#MrozT}q!Ajw`yi*HqN25zt2a^;OJn3TNz*qu{ zG$n3oUQg~HS0nZ4hm>9I`vLZfyVbUSthJ^I(>?U=V^8vv)4xQjI@$bYaQcPRrv1PF znBgF2e|08Gt+1|roN3GIW}znF!w%;}nDKMn<`Fd`7V%603Nf4%+ee~|iES1tf-UgP zv3|&(d<-d62bDFxr0JP^E19*zDCVo_`Ca}%@gjwT0ZnX2067!($2;=*@)+C}7rzP& zx7IfZd5TI*`h?feqj_fb3T{Ttl?NRRg?jn z6v3T*rff-kDEemMQes5ux(iHFF55BrFXTT3c&rQs&L{gDkYfQW$2+DnuX;7{q%Y?p>pyslVvAfkWE@wi|o1EB_q!Eo;9(BiFaVKbCD!b`F0*v(ghkDKHe& zuem7z8QdtnMUK~XT;QiU_;+}ebp*ZgqHaZKQ!K14yh7q?em5~ul*Cb0_xAp_k4>wHNGlkG*i>J{?0)7G;*XzZ-qC_-*)iwo|uY$S%(j5PCTchIUvg8spXJR zyKM4>zq(>RnUlGn3*!j{EEs}=f*gUO>JO4IF@Jhp>pg|0iRqZUdIU*CQ)Nz8v6sM5<4 zr$@{A%!#TU^9VO}o1S>k7y1GZCSF}+c_j(o77#fJen*R_9Dz9AwWA|%xK!xEky4bG z4Z6@;l2^U;5LFKgxe|f}HRL+O2{8FJ&Vcg%ZpolQm~~%f+c1*RVJrmFLcEt@+V)8m zC(6t>L~rkHQI3SVpEg?lQ9qoTGWlgv$h^WGEA#KgcGtn!el7d?X}A9wI(!ikq?o>w zV5>XDc+|cEdO~~aK{Q)if&n0va8cDm#uQP#3=aqjwCi$p%i62|c`xUtrwJaC6jQkl z6Wena6+Dshn6MFoA6nq*&{ra+Yt22zB@4qJ3Fv88uGcK*ngGZmSVr#`889PXz)Q7|0~i; zLpuP4b}oTY{Lm8 z?vR5U$n59jFqm)nZu*^+f!{G~@HEFsgY#(X=|nlPkq0IC^~F&}7oDw#iZv1p@&^|# zD`&kl6$6!!Qt5e+^5xsU_M{dE$%d4WEs;aUQStNJ|kSJg{ zkWGw)1O;i#sl0EsPNsB+B_zURZ6_$_4P!LY zWlgF8lpLEq|ND3ThmtT-Bcz3>DYyWC4TDzk&YVh|HdgF3Km1XkRzYc0P|jN${U;Ah zNC3mxM}7on`56jm>#PR6MV~~R(nQ{YLZ`K@je-Vby0LV#RH`Capd*Po+5jmqpy#w$ z4j?;m*@RYw5Y@Vcv@l3{m?`@oE-h&tsO>ac5Z?hD_KPnMCbx4e4PLM2a9U@LT!R{& zc7oSm7??$|xAJ!C`uz@1F=7^A@M!)NqFiF>U5LN?rrb>uDUPO-<2m0w6n zpPNg$MYoz*NVVU~_&fQDl|Ns~)TSqi^B7oaF4vvy=5K-(;#$Bn6E{$gVHLFZoWI+fl`*#3Pwb_Ho0+x|I3K5}<67w&T zkc-URyiEX7fryN6;hbkBI1{0Wr@-7%06otY`R>0Vc;WW+MF?@yq_EvBvCrI9;*Y5~( z6b!-d0%n}1Y#gSN5O_vDY)*~?OeRws4R?RHH>}O!SA|tcO7_S7ufa^`tgo+oQOj-X zX{))p`NG;-Y(0JifxMd@KTkGBHrU9O<61m@tInH1etEJh5)FM4>}xV|-6KfcZS$ zah2x9jLyNSU*m7ER4MR27Pvi{=;q5%>vB}xhpD^s8KC8N1T5D2Z8;L-Snik!npM?^ zwX1pKqG>W1za)k#6md^Xj@JqLBNQm5yc$uJrD`+E+n(IFKQjJ zFf+^Yr^dv@fL(8jLsL^T9T2x9|GrF>h9pc1em8}Crctba!)wBC`T19B{F`Yl3HnYZ z8-tN(5G4Kj3|R9mFF2z`7GUXzQK!Dhyu<7SK%3txrw_C$AHw|*B`>plvaguBe9un~ z74`4k0(s(~ZM{PKdd`4>kx>EA2RIZ6>%SNJLlp^bj2ohxLHIHw(E|89K1kbnd5J=h z3H#4*I4G!F7=5^*ik+mMh=YQ4A7%(s7&IvVT5g2U695kWVHQt8Yzn2}_#i!Rq24*q zRYk&R@xD7Ypam^3D6q%-oF+kL`OMth+uYv(QfhHqus925v$Qmc4&D>>sdILg9JY5b z!o6v85m&uv7L{7m4>99Voig?SAWoja z5s(5SiStCJR-mr^`SNpgG&9fO(eW__q^`EtLF~9I2z-43?&ERf9PnK{%5oL6-x)3) zlr3W8ggF4By_(jZ+9AO)qtZi(KJpH4^dU`6tRIk9Iz2Sc{aaKmMsN z1L^gdzY9lJ`mfse1GPUPr}>jiWs2r8WanD1-j38$lC6c;X%c=)TMYw)NO)({OYLE0 zEGpCHBGYo*5??FFxhQ$SgqlEVN5`gN7jdBvYl2Q5QppR6iF?pEg9&m;?WRj?T3=Za zG|C46XG^m1Ng&2%WVv&7f#LMIc#gWiVLnw8hAe+vDlxuzT#@+bnxsYvWmcivkeaOGzQn1x*Ev)}^_1u&vOnU#HLyh@rKEO1d#~4Y!}cY;HJGa#e1VwyKDDTT0M&m>zaGGit_(&o0yVH) zqjKzgAbC98Y3*+Xjj%&P&fr*$kGx_5S8MP!KZ5$+a~KD`>t$Rw`vXTb*#r#Lw|LAznzovUA^!4JWa%Z6B5tTw2PEU8n%XV0F!j737l zhMqwh(8S&AA0oD!?-Y*s%_ccRm@)hfcO;-F(DVFsKcaT;?-Mv7@din4H&+>$T{Cr) zWxX-0tbFc*v5C)RU33bLTdiiD-H&^ER1~xRL&vQ$df)f+uogf1047Cj(t)2S-jGp( z+f&b1@v9Se@Mr?xZq@hh|0$__ec48Ke2mMxSnSD|=Dt%|w2f8k`+p*3(Qjd}cpHV( zLl_}(tf|a`iI|5-t<{qrt4l~WAY?Cz0dXbowcwo#6CW56uk}3nNECpDm+QKluq@UL z;Qur)^o|y}zc#mdDY|+W{?5k0N>T7z+6|jrB}#B2bQJii)DZxHE!rRje9XYyb0RVnC$;w&gA2$#_x-e%Yi z5a||Rq2%L!$M&e$9xCVo88Y#a&{xaZ{}dGIw3dC~Mjkr;=dH6~p={Rf-K{#GaFj~+#>6vDZN2P`Ph_wV0-q2QiD0#COt#QH8!3%p1|!bD-| zYot=Ui)ECtd!r>GI@P8bRgQjDkAu{LDjx=WP?^`dO z)BSBuu=kk$_%pv%8YzeGfR$ooK{uEdRBvQ|cZooPGFy`scu+usrGQc_ zW5QOJ{iq5#P7CV$;nb~W_0fYS8`AisLUvloU7)`PU+9EKkK6o+<9t=#F6k&CHuJ1z zWspXhO>apbl30qk{T?RXe!wVnk~|Ha;DYq?kR!N)=%=H=lHfKCD~MI%9g9wyry3fN z{znneznYbgiwtR z58uSBPBn$``5w9U&=ON~Ln`zo&l7krGq_=vkW>kv4Qs+}e*s@v3dHC_2OOSJqMIu} zgg&bq8s2ugvB%Sa1<}hKLz`cOJdceUr-taWel6 zBtTGIKp`^QCIB*c$m}6q2{>!J6{@brG^KAK=;q_)b(A9&llPBvPnE1IM(4lk1(d5C zwt@|$1ObKM3)8D*de1g7M5$a9!MT3cJ+pO%Br0`9Vh z_2%IHJivqQm_X2i+`J5+StB&Lu*?^B&kH2o26TWC@Np1T3%qpps~f-1;^+$p0)jG5 zUKuL2hv|2SX}k-T#uC#oy%Apc_8c1y8JC$#B;!^B{d2=sPVN8}^IN_$Cz#yV*N}+n z*=O$GQR!+41)=|6B0Q4*!ju`H=Q7{KQXht=EryGtiNrXw(CzLEc@pVg(K=#OX;Op! zE+n15mhYIq?r;tduP@4tU??^1ez;X7rt~wsGU(cH{3oI95)A)`_hA&fa6_e}X z0hwy{7jhYpqA(P-;5Ohc{O!{4#IeeLeZ<#!monxazwkd=h?=6cHxW?)?g@@9ol?ckS5TP4JI@xgSt!d@hKdZLrJfoo<`=TAV?WaMHP zurlHYLT7&4e^^=Ih}h{B!{H76a6q=ahIi!qr?tTIu0u7t^QraDm3?vJMels;PX!is zb5-g7KiaN@PV{WNQ1Q+R9ruI2K4!*u(~43@QF=d8Qlwp;qgGID^viK1LE)Q?&5uUp{g3$nK))x{9JJH+u4^{BD#S*^tyX% z)qo3-`dLZdoG9W#B+Y0V(mrvFVn~sgYAsM+qb2|!7hrO;}#>V8vx`ATHpn6Y&+8FR6&5a0J(@ja#! zUJ5?VQ3#@T>H>Lzx)Vtw(k0^bBW-ZNP-Z$B;g*tw^J*`N>DRly-*qdYFbfVDIj{N` z)#E5XFk5jgiUdJ@rNsp}XM)zV+YTAg%h!n;KvT(k1J_ z%1Ey>&|0XX4JbDPnz~3R@E7+v{^t2}P_wnQ#e6jFtcpd$dn?xpe8~@$l(4kO5`*px zlm3O%6H*L_xbrhJ708a&IbMVJK6JYr{PM!5__-^}>mR&crmG_*{K7y% zPkn>`r$k>3`8P_Vl=b|-V3&SFihMe@gLy*Xcg5l%Sg0IC<-dmgx7yg9K<@N5x)Sy_ z>*yk{Z3|<~Sshy%4lkl&zTE3?_Ly3%O1$0WyZo08wgYC1i&Q$Ho$AI)H8S=M`qr6M|%a+eG{rn5{>VoK2GGW9J9CQ#dOM6cZ&#v4;OyuL2FFtZ%H;ghA2FSMi z$qMG=dfe9cq;J2%J6W%0A7>Re6A~9cCa7uRTN3babo^~~*p`wxpyJ9eqUfrn9odMU z06`V#*2r5okq$vGl(Bp}EG-I37p_3PKE7jW-i1&ySu3}=iC z*eXA^Bj8g}(PPX!obKAmu);uhWI8&uW0o?+)4(7Jciy7w^BchDWZyv~z@a^G9q?j_ z93$%Pg(MjEV275`!KfrlG5}JtMY7U|LFWM$FmVFPf${UReJ6lLuu#ZR2}Ue(;lGYJ zsE$ydqs1&NE!C0J{qc9mZ$fc@+XIE0`89g~lT8jDo+f}miBQN_pW%Uw_rRtFvnRix z448dC*x!F&8d4Qx4|I#rXs7Ki;pyl8$5&Au6^NX}LS0AaEqugIa;JWE#_J0b7-wcb zVL%&3vNoT0>89Cp;3Z~%VT6X7zpi~Ms2r56hDI!IHDnSwPSj(8KKoL@<=2LA3Lv3N zD9Uhr?wVcL*r#7zlzczF1~b!G>gUgN%86pn_L>dPk2E2E^qHS7h^J2fR2aG%MRvDi zSYHf)Z3R;07RF$R*}hDIH`|$X$DS@zEjbc4AS{&R!2}M>%}Vbp;ctP>2XijO>G17@ zg2o}i#+`0T;^tl571h#P*=X_o$~is!*m%1h_cSuqp^irkqDQ|F!aR-i;wqD^X)J}P z@6g6?RAlF^#m|o|?(GB`3%@9#Xc~7>u9nRIN^0wS&CfyVldDR$hP2q12c{1PaTe$M zg0C-sD2dvi`||vu{SeGQkq{^Q=r=qRmu}f&Jd?M!oS!~@8Zv!28GMb7_7hyujf*e> zkvD!^z4vLj^s1MbRfC4xizGPn`D{Q>5z%>?DjSUCGV%kQ|GLI!|Do#zs?Yf|`WN7SwAA7VG; z0O@k`bx39Y3=smPa8_(7SLQtjg7pCA#IFX4c;ul5pr~uoW{*#DxN54ICT2S8Ue%-y z0W1hS%>EK{y`?qfT}@>Ceh)$9(vl6*kh66H@O&E0FwFm-Bz-H*VtN+^k)(W=IuPMA z>#U%dA;jnTyn7X^R&-oku>EN1gV;=PMj#k2mX_S}kydn>VBa}dLSn^q*QiuJwHSSN zKUgGykIM)G1-CF8`tu$g?d{=qA!M8*p^hs*$*cNu27}KB6yBYcDJcDpAN(mT{ve@t z-;$zLbZh;Mi}|`w-h5nT3r4=7M&aW{-ZZL}*4s7yE5jTN8RqMDMj2yYFa>k=6D1Xv z*?p2>vA5OrZ z?=FZ~#*IDU~s_P3{1*oOuV?qEi%Y4wU-3aVn!Qw702EO?6m8drRJ--hHchZ zTI-Bn(FZw4>u`7;u4-E*zR7-)MRXBTDs?`~ni_Ma%#d4P;^>F?;Mt#r$$ zMSSj7O<1o_x(aQ|>Cq+dKdlO9R_a@N1SrI00ZKcwF=+S{iJu0FgZT^XyOu}#`VnxL zV52gX<6nkSvA2%{3bVwD@M?hWq@OwTW<0>QU5C_AZV_8dJdaTpw$I$~m;rGC{~2hm zk`g!E{o;6K4H}cO;ZXU&SGQU^ij-RdM@JJ-@;u~YIV4PPf)1){LT(5$HtLVzhXChZ zI2mrGye?b|X0`CrAR+>vRM=RN@H?|{f0(0*Ie$WJi?*K+o_<{m_qD?AxF9_Xb935- zD+AQcCVV?PJM=xhk6bu57>q6Mr3dWLngkP#2uwiu;9Z?UHK*-=073mK)X$uz7m<@M1ueGwbnj?rs={bLqT{`KOjmYKJ06XU1^H zJq6QKcEdo)4i{%>ctc{XquXz1qfSt|Jce0jmK z>fcK?qhKNo(jJfYfwLRdo|lX;ZC4@5+>TqIQ(#1BPAsj3OgNEf?IpVJZY#HaL$b}_ zbaK9v;MhQzW(#?~R3}G+b<`ZbpmRf}5xDP1Q8_C+NHqj%2t@M;*1o^EzOIP;NeRkh zbd_DcpuZ&b6dEmDbpJe}(d*7sW%i%YB4?lgD)A5y0EMzl@?!Jb3^Q!C45Q|uyrd1s z82q`+tiEvOHN&aEWCs-r58IEST%afm)JIP>H9L+V?{}(!`1YlbvewqwDTD|+^^Y-t z0>kppIB>gx?CuLSNDxk)uE^J}jf#)IX?F(lSq1QuAu77=D-c9l2AUd^We`f z-91c|AN}Hf`qMq{Gg@X_-wKzj`$6KPvgP|-Zzi29=>NHX4Ev9u3&KvdxXV(UswAs$ z&67?c!_ZNNpoA*Rc-h@lzf5L&ymC(=x`%?lt9#KC`@IG&-Q$dlLIzi90*AH)WM(`$ zY%J+TdOaaZG>rySzMF9Q6FvBg^vX>&T`{~Qq6hBa8y|s;tE?1zGzL_e$ZBz)oH6>M zaQ=@6>qBaZ3UWY3fpVP#mmz^^$M8H6P%4fkWPNC&lNvxlGv_p@7@|LWW7uOV*xo~a z5AbW$I8naRguxKB0*R8)?|B9FLp6G%3D$$3)0+f;bD{Nsml7m%Um1BA-WUFqx6DZ4UnztIfKN_HIfmSwC^d_&_WOQB zo^BrocaRHch27Np5Ohka1zZ3k^9e4F?KiVf1rOQ$pvRnWvIqLRZkdxqv$Y(I#hs=A zV}qRM*YC_tn6Ztt6%>Mu!+}48F_^Cb36esDx4k357*W)sutRY4HZ5EfKLb;)Z@N<| zh*5rC37sW|gjI!t&~+)}pq1tJ!$CdD7x_@Zx=Jvdct>R_YfwE*a=JLmR7z9OBajR) zQsM1lt3Mmv%WT~&K6T=7X%V*q7T`*gZgX<-dosE5h@=jT&Kxm2w!jROwJhFgicy5qvbp1ONmp-oin3!l^tO)4T zJ!FNj_-RBA)EdethM!`JL@T#vX=%x<6UGfId;6IB6|lM8R@H@o0T`kBAGVnAoxolD zzMdyJ(Y643YZCm=4pBMV2XC$z)C<=gs%U?iPx4W1-bHjuXNX{h3dXRly9j>>x5jp5 zaq%U%HXGp=Tu>STG0O<42JYpK=W3#}myq2Yfp$(#M4*kbOcD^IU)@fN#=ofnPNhY#A zT`;=91@hSq&}pDhwNTkdm+^JPi7lUE^~>A0L?2^f+I&4$N8Z3wOBkgG_L%pVp!^0* z7##=0x1O?d6tA`%PM(jB+agUbP0og(F+;G84>=q+Zz4j+oDwpE;b+Nwz16hHai!|i&1wX;6qiCD`?E~bAwVbX^lb~v$>=$w{s zQovm$55G&mP|wHz3auP3mP8B6mXfJ%)n-3kA_!XV*?W`N*^9wbi^Nhq6HiS_QJvHo z7LW^Q`g4N2!+pbEcjA=v<`ln%U7!+`-FBXljs9}`rP{+w_qvi+LevBDvT4u4Xg0wvbr_l;4%)-sz6#KhBetAh*<%n$iafbQ4T}KB zU$TtDT*v8HS^0U)2s<~-a*u8Qf#7X=_wdES`g+`a1k`-tVpCd;m)d_ZyA4bX9BKU` z$ESV>!d)r>#cW{aS*ijuRvtnMZ+0e0=&tpRj)sZ4%!NGJ2h+a^e4dXjC4nB+ z$cl{YWMrP_)$e?NkMld{asIozAGbSvuIv4Ny`B?1X%c;d05)*j_v?j@V@(!0L%EU|nil8nxk`Srq03hPN9e>iR z{EtL`iPMp+;t|%aemFSgabeH0J32Wf!*FV%l^3F^1Gjj{4k8k1W#H8;*>C2rfz_pldH0<%c`SH0*{!z4L|vGgVn-;4Z) z1GW5}<}NPZxUyRpN0Hzz=T|%0)|v9HSorOU3yN*aZYI&jtMRUT5^>g736H_VrT(tFEju zcxq1*!pWG#Mw)EWAmOBW8h~RPJci|?$t;%W2a+lviInq;Bf<_ zQfFaK$zGd?(27T6nk4p^H1ij_=64qi(CG8{CPJd`_RpNq>Xpz8Im6SLf38hZJFss^ z=NSBou2J7Se+6D!+eZrQ9D-EMcWn_%6}^QkVhsm|!8|aFm<7eH!YJqX>D^3uAdy5u zeDJvLO_(5=4ko(c0vd*jYnI-Yma+qN?vCehpL~O>EX)oVuUb?vSoxjslShh(hN%$J z%+f_vD@T1sQL+YHo=q#n8RdTS-Xv`(1AK1?aBSC`3x{q$+a;>w2^Ry))G{#w$UV!1`z6Q$d8wq@m;S?~M`c_yXVVvi_96_W8ByclfQ-g{X#ow7bG zRr%i#p!31%I<5Xw`G?#)X?hEN5+^DZJMTfE%EEi1^bw!`&^jU>jA}0ZS*9NUS>Qx3 zcX9{!q;sX(wZ?Oj&p5oS$G+)?j7>BPjmWt}s_+8>6h`WAFb&=tNQY1w7DM}f_#i50 z(NHP!YrwT;V)fVDuUNj`s08}s)_T7RPhM7A(}&6AU?iw)3Ij1@?_xLb={OITj)t1` zx#Jk7aKtt~^CY5ysFF2AS^wKFi4-WzOm??g$3@{hIcQ-$AfQ`FLvV3xi^y{NWR+dU zXPgCl5r}kw07qzLE=sY`P|f`aJjQyi!1%4P*s}mi65a7(c7kd*wD93?}emB?fIu>oZbp@az12N`41ipm5EABJZ22d z0fB-aT*r|x_tn<(QqZyTK-PWfv>NUt_0CZ%iVI5tqow2CdyHHj;~dal0@7qm3vz>2 zScPg_e6y5uv)@3;@B|DTEAi(3ce6}8MtyDG)fm3$Q;xl@a4o8;-C`j=WX;`ZKp~2a zOu3M$bw;!{<*jW&!VlX8&St$M{BN4~D|ZVPKB5&&Uhr3xulnFQQu^S2BrY=I^${6c zJOlj3JK=Znlv07GK{-&4?t%M*?p5C332YX|u))!TM~*}+fuGw3k-{DiHz3vQuwgGF zjC*^9y^dIR0776_F_ESs3yQh|oRf;qx#z8x&2|VE_(Cski?_sn3UTM78qmYTuR`M) z3|QB4H_-v~&lZRJF-jAKWO}G{?roaDt`3_ESytJi4oUOEQcwRzp?Dx%6WeYF1EColux?ww>>&x)R&u#K!}gs45hI7C9J3PAf7P6YYCx&C=OaeI*X-;F=M zbZ%Ed3^C^e#NVS^iNh^eUdmsNE1@`c=lvP#9-fN`TFTGZqEus`Z6@To=JIz+d9Q~b z9$FQy0}TF#aOv7B0nvFVkbLH*_paU>$1>RjRH_+-&Pu+n4b{H?(%K?KBT?l0p6+wa zqg&2=owN?-kSO_w(O)-@Xs^mXF55ExXBXujX)e4E>~6d^sg)JJ)dqp7sm`&d&UMmU z%=djZfwJhw>@A||F8Up%zgUm1ORv~UJ$+vG=taVwjh=IiRSIr^mtDYB+95cWj8wi@ zkX@^nvk|vMK(KGXv1;%`(E7s>zdGO0V5bM&+m`;`v7f!MpV42@&xbvSCI+^Fzt+SX zTG>~wBL}68Mhb6A>POs+^!uOXoo3$z`|>>G4b&ev^QpVt+}u71IRJ_WE*1nC9W!>d z>w*yB2N;da|GfZ*n~dwk#KhcK>Lb=NZ71vBa0~J}K!eGI7@!!o4$cV~QJt@1rz5`R zFeSPh8yZ${rI0DnIOi5RG-w+)7C_#TdmAy4CGXyS);fChs1iiOyBLjnO@U$I?>1R8 z(H-fBh5(ZyL+X{S-8OFrsp{jU_B5W{lqRJ&PJ@i69eq#`5_d%ZQo6)p6*n=~t>k%M zS)V7VZuid}Oh7JpxJT+P1qzhdT~itTQy`%!b-jApq^IAZJi9()!a*fbLwbI4;b&=f z!|;6f%AMxUcCs@MgqBY3NhyrB{IOzjnUbQUJGq^T$QEvp%dCET?)&bH&+R_U@n^Tz zdl>GlnTusll{u)c|2QIo0-AF-xryEGFBxP{Hg5Nf>TEG)M`c|>LPEpPmOXmjFztW$ zSn4J5Z}lHGg}^~H%e~KaH2Kvlm2dBmfGcFx!B2`5X*>l1sV|O*+}FU_fGOO!Y2oiu z44Iq3*GsUuxx4?=gC3S())jXW$_S7wDPDZ%6M~3=Rp%FI@h5Y(-`_S5I7$G$X@Wqy z_vm+<6US}7A8ahTJ!2pZ;Va4e-i|#)$EWZTY-9IOCKF^0fpStJ0kdOMQn6kI{6e&K zamTqMz>OeXzqlPWC}k!#@5w~4xitSIjT3s?sC<dI+D0HDq0bBgkqU20S*hbr5xg+xhOaq{?Rpzo7%dN)ub+jfxKB z9qlv|wV`P!HqedNFNzAM-=5h>T~^4NSt&Foaw_pmY@>007DGtT(Gt;9QP;-jquf*# zPCKz+#}R2@cDIs=-&UBNYH%V_{8nO4r|>3-MDp{a%zx>~J2*SPGp%?uJxqXhxx%4K z)!TUA%stOz1qK~C7G_MYnWd%D1lVOCvX0hS`d7d4&=CN)#C7EZ9p?ImfL zyY}zjA8u;WXl=&2+n*A~y!}IZnwn;(9CdYdgO`Y{J2xD1k!mnWTPj*y-Iu1He=E`_wy45S%Wk)CkN&CH$FVqZh8fmk2 zYNlE!?GEmEGe11G7`hg>7~)wpDbQtMJ~oG_$p2i)@)tQiHaSUc4~QT34^Zqp zsX=5<&=t!vS}fo2RjmB%R7MlLTff@)!I$|^Gu>UB_9bRC%)PZ8x31Dw7VXZqP&u+w zRLb>nR?igrZ4f{KTn=C5! z^>B+4MG80^lgx2qp=RT{A+%)0jd&x1S27`_FwWG@`^0tEU@0jr4wNyKS z<{}isL2sZI81c9IS}cq72aOY3gWB{XqoPtFgfje#tRs1V+2St1GGK#I%D%;FmSLV> z)Ry|YV^;9+mFYw7O#!pwWkr@+Eip-NRCtu7{G3xnS!p4K0ut9f(B9UT1XRRr3S+4u zB=Mw-4r$n(7>8V-c3tl7EH`U2rK6#Fm^?NA*N@Bg_i!!hBp(+HOQq`edoXSK9$#B7 zjg@YuyWLrEOZuw#9=I}K(e8K!ObBs4`5wwz;d%jU`X$7XuX?OA7e7ZMLoIy&@s%CyojCZ*DrFWmv zn|x-a-KV>in{m6k1gh<^N{WWvoY%K38y5}HimFqS{>W+$clr?gnUJvWw-Tkjx z7(LBEB3*!GleA1jDiT6n&=qaUIrDzglRF*Q zn=dpt2bW-Sp2bE_?*05Jd}~4BJHEOnO|13WoWQji7l>n<$RB+fD8rnlx=s7t*NK&N zPv2wQ0M5I_1qHb_QLIQXWF6Vpc2*pgBmBsxR*GzT53hLO`k3dcU@%=)3(6 z0w^PC1{Q*A4M>=Gkunu zfOOLFJnloas<#a^l5cx$x|T4%5GnubwF@6dn?F@DPG9N%uf)b6>WU?U`s!*H#gPh? zfjIXjgM_px7b+?x-#4vPgQXHB<713le@y@XB|e2dtfsroJ;{+Xa7l9Lrg?V9GnpU* z;TGUkR-r;dDYoVhs6uX@q|j*B zd0L*SbK$jkyN1TbD5ySuvmO>VuA(UgA1rL9B=S$eVFu*>!|SgPg|>a$7e)<)z%&Rr zUv4oG7zSl187NBVDcLUYXNl!8qm|2>%BoQ7|@n*E~18miLZq|1Nqr z+6QcKF+0_M{rbe!=#Y1V0m4xB?yBtwvy1m>w~m3Zm?k_Csmoo9$h~Ltb47CDXDqrx z)0+iJJqW1Vr7bG^uI?v+>os?uF51V=$$1~pk!N~d;LS%>3(frbU~`l@bW>%h-wa6+ z?rrP|wKVWu)Mbt-&VH4HJc~!GpC@O2KeAHV&LRYB(&XvPoltL)Js8cK)pLY~NYLmm*9}jIwg-@z zwv}{9CM8S8WtNL@X9Kn8^xi^de!ny$g9h}65??-!oZMl&RYaetRs5abwZivNj*PmX zZLEix0tcgwLv~&6!dpf8!-H6)u`K5ftkEXVdS|ZCDQDF_Z-D)R^LK5UT z=0*aBR0_<8NW`@dCw0uuqPMWdIpdgyI_I7_H)i3=^KiH%1xQjH9k=G#qPZ1*=!|3rI7CB-$ zAe6q+i8j64v?Mhv-#Ag}H*WDt-F4&+AMMmAb3P~=;(sJ7gS#Z-DtL8lUA*sr1m7cM zfIOKyAuyh>QVfwb7av{W_eaR1+u2kH(HNd;qap{f+Gj_5nhT{G1rIoQI{u@lPj`lp z3&!nZ{pz=+n>%5J)O_Zw+|7P4dgDz9XhO9sAY5thErnGwCL|>ZRJVagW#k&6h<5oxa}SGn~I877CHh( zM#h?Gl(5~*iDX#(N_fn#yI4JxotXJ&EGGOn-*4mgi#~20%N&Y#<2rASbFeWdXQ57w zC3E_8=TrD<#$2Hdsi?KAv54mO{m(B_V=Q!Hp(Wr#wm|&F=@@`zuu-U;QkJ^oy(O5y zA;`4Eyzz5XVG$&e$g5k|yNWpxY=ZrcN?Pm4?U1XLc?A)fwDkJ(TZ1TBmi1}9iYjaW z-bBnPDdW^muR3q`(bvS!&m*7rt=pAPlc^$Xnt_JpDM@r33t#>{z-%>VqaM+^V;-GePWEY@5YTAq#()! z?La-K_MyC>^8QH!@knb^A_f-W6CkM=94RyMLIq^eL=mjB1ivUy`5$ck%T%PgaTtpJ zSM(X7Z8?3wK3nKQXkwym{@qre5!_eTp-%bus0C5Gu+9>=v-i(74nSlnkBHpFoM|k! zgvd4F80RBM&+EG4V%18a0&BIJDST|v)evmO-=r?CZ^2@2uGM^(2bPn85K8dR6 z?{F?r;CuU#1l{Swh%!Vy!D9HobfRspFpv->rQ5teMqzDt>S?(bOQ_~T=rXVywbxp_@a5v74Q7CSuQt4LQe;Kg7 zw(?;y&M%X7H?y~qE3sQh)ke?>k8Ku3G`mW3rcR${v$<@;o{CK=YY*-%)JXiD#KC*~ zSF-ra(!CsXg{uP&=xz#V8Mci%M5qT~+Bq#xao2;>% zWPrimX=8VNk<(K7i?{tKeMG6TaP4PC;PN9#a+6C+bUNbcXbf45d98m$TQ~wl*+no5 z?k9S)V_s2N4_Hy`qo=N6ALktNUg)#S7JgOby043SH0AZ{?O0}M3^^_k>7fV{WPYJ2 zW%2TR$lK=m*H#`~)dpMX90e~({rn!dQkrAV=NO+@p4DsAIczU|pup}YWFRFEvKz=P z_i0cW<9I~6pmzcA}vkP zi>za{!TE8m2?P8U7cV8M@Crf<+U!oKHXx6F2mqMXyPfWd_VpAWM_LC&vUosjKMPR^ zv-k5r!hp?!x=`8al8K|(kf}(s)9+?dohwU=pvB~ZyD42?qH>0%@l+_ zTI`90hN>jes?y5b#Du!}|1RnKrluG^Mj14+ui50G`rBJ;@FPK6mg~vNJ+`z;;GwwN z$Vee4H(Us__~K_MO6MRsr7p>Q|Nh#Ig#+r*-ZKyb-JLulAn;!ZTb94`GcmQGILX*a zQJ^KE0dQbhP|%!;yFv9{Vw8X_;4}Ud2|LOIy#pY}p@#T#=F+9zm@%#!&Npr66l9K()tUgvf^)Y9L%3TK5n?TQFhuv`2n7I($=D)pq$d0H_8kvIFx*GZKCZ6aE2(G_?w&4k(BlSYZ z#l%!SG$CpuvaeqE_x1*3ZuIHhvu6+6t{!A^$O-RiF;EsoFc4>0XWG)N2rdRR;UOJ3=9JoURO=GO^*=rm@p{$ z{x`9tneE?jvnQ`_b1zbBIj%YtkezH%5#c#|waCx3*1odWJ6Nbn^pd&|GlP7#tqK?S z7I`ji?LR9FDqN+0Op-4S+@}=mHDtRPbR;sJ-)P}z@QZYhlhba6i^a};Q41bkYXWB3 zPyP#xDF%$+AoEUUwaagQfKO!_uSk zo5e9rU6l1S^ld(7#yiydPQY>9Q)C-8YD^WOA|~HW7~0+r(HXTLJ$yJ^L==%&LvuA! zF%ZIo_p5ykg=_MgH_hUS5>YTMTBK@eX!xK-^h)j&CKdAdy&`5b_@!4=R}Koo|NrwH z<{O~*;aS}1zFSm5FdyB?wOgs5#~&ZMl!G^v#PrMqmAEdDAd_h=G!y$LDKMrKF(|n+ z{HX)l|F%-7z91Pi+dvd$SaC6Ol7(#hqzNq;DdU^~X5X-U&US$=s8_+C#Q74N7kF+* z>4j8WIT+I7cmPttYj}V1O2d1lZyJy=HzPb}RC1S^-d-RQr#?>IoE?@S@k{Zt2CKyw zU?0!e+Gy9Hi};3L6BAovW)XU^9YWBY$@eO}cNOR)D7{Tjw0Cr{YHt9fsrY96COSx? z2uw7)=vyOpx@uW-@4}N#RvH`kA3lIq26>#*P6Fvrp42b{t;%0i@nt7rrP*-*p+ zTan_Ze=^=Ag!|aBonT^=b_n7a+0KPP*&hIfUu9%4rW$^KYbRetp%t>f){baHB*K50 z^rVi$wKe9 zH}hg+!aEP2b!XeXeWG(gO40ZWofqFu5{HgHADTLvu?^7i>kck3QelE(6kC#^7JQ;6Oc0cx8%TebC*BYPR|B07%NB;?TdPeVEDlFjF;td~E zQt+6IQ6%YPTUs3I_uwEEzHjUn;=3H(-|o>U_AH)`BG@i6a9rr(^0^x|uQ@njqv35x zkzHcuZE7f^yEQM#Pa7*@PL~C*Bq?&p>EpHo11W(=!)I*3^>{yWSi`6SGa0I>p|)Uu z00Z!MM>gVsV(txN(|H6F@cME zE@le96*{(#Sh2w>hgp_je7v`0z9&kxAnT(b5$3mlX3GqR=NPI-o@Bn=*jRc`L7E0~ zbq69alK0-tb`ZEZErEo*+K~?L4C+4&=M4m^*HXvscAg)dFyvfGQBV)OB$V@0%q$ zzVEn0XFWNSU8UYA5X>p1%03~NR!ZUHElvgHAIAE{(@6QzSgk5K%gQAh>!SZ|H(H=? z{;`1$Q>j-h@xe@*=K-RoOy2zpG9v0m>}ZhYFSnww~8`S<f#-dB$4E^{Gco}w2i+tTmvF26%P;Ma@_@?e zi;hiyc`vmIA)xR=XNVQ%8}5>x5~uV#kx<_u9?jn-Kye=d+&hdQ`s8!A*Sb}+KjO?k zp!$-kqGb@9IDs6*W-UAB5QmAEDlrkNdS8$cT<&u z84hISQm2Z#t14?MV zf)@*tod~NZQBig5qwt|3Kby#yA<2Cb8X%&M;KHRN67@(&D9(N?g-0a$<;!Yn!yeyn z`7Dz^=KluKNV4>@Fe51=_bL(V?TTdwnIYk&goTu-JtSR%+gvV`$Q{EW8J+>vD+)`L z_BuA)YaCSoxlC@2070%HQ!~BnErK6YQ#8b4Pu^P;Te@!`m0<2{@_JpzTa|(OnNzFJ z;c?bK`Oo$XSgM}UX$g<~pMRtyshT&vul{&bIPWs+(U&4uBtKRMg`)7Z+lh9)4o<;t z@qp>Q=~m=1Vr>Q0Kq#qr!|0D}Xqvxp90^FYWM1|9k~HribD%`?Hnb+-2Hg+pcfJy_ zzT@e+0d4vqgi&Z^!SPy+9b^`Ii!ZmkUI#6;YfCCw;1XgBQQSv(@cJ3Lw`w^VLGP2* z-rXGl-rdJY2!gN#nXXygaoY7}Ur{_s7Ncx3erj z`lzi?@kQk;xM3*7xJgF>KLo`B6J6XQa`TR7{)k!%uz zt&5P2c1iSpe1-aB>}uZHzcPxIhGr8a6~Gw|mk_Iazpi_xaOdfM<)xaArLQ$Lf(dmE zA?c0E^7Mau9nF4h#^0h_>U2^_oRFM2J9c0x^QeCli=AQr?Z<9%MQv>?c0@Pu3&*rb z4*OV4W~`3*^B>a=;}I_kC9c=3EjInSl5Adonw5w0ThCFDe4gd;MQeM7_?puVcHp#o z3ndhp7RxZ9BwdDnii)IF;&_Nqu}IY6LH(Jacx2a=0!T9aDvji%2{*%hK1A+Dj2NSX z$RzsS+Wo67z)ere(Q1g1>(4Qwz#PZb^vzmPApq*PEl$rTh7kry6L~AeH~P>z{5(X% z$I?Hi+a{8V1TjO*yP%e2fEb<~exjcseDvMG-5o?s-JNBYk^j*G4B|_v47qoaYHSfG z-wYy5yMu7PvI!R^4E<#fR%&i(+1F(N!fw?Nv6Xu65eFk^-oXBM3b33V^6iH( zc#@Ndf>%*BF@*Y=em9vI9bL2+jNi}q+HYo$Q%MKQ3JvjoM?#FtEaUdC?&6>1NzUc1 z-qEgWKe<5c zqY|dIkB+uCuc@xcoUQXYH2$AjAoHn3H<^lzmy|g>^;)OD?Y{nL#-j3`*F>x}Ek(<% zxXnycx|1(wFSK;FCq_K;#cEdNCLI?DEZ;{G{YV;&-9{Zl12gz&X-$_gVfo8{LGTHr zLrEqXyU6)Zt0{k;LGNh&DCo&tCL|i+8A+*k5^zGHn{1%sK4=u5G^W3Ponu^0r~L>x zx7~g1BegTR9IV;@WU_(;6LWVvXaqu=Lei4+#V;SQ6#$o#(XS`^A4}lmWqLJ$Dw;Xq zH^w+hNc;--1zcoT#(2O6Q1iw%L}(txF!)0huclA#l)hA;BYSlR1s3s9%naenI|!lY~SZbJYV#}peB zvR|-d@j_#2f>*CwutU1h+G2@15|#e*zqhE&S7kRQyvJIw*}KL3z6|xV(}_DR|4ye+ z}B~_fHsQhIA|+cj-d%;U}$){$%iatR}Qs&+Nd<=PS}oyU5Vif)G{S$7NJB& zP&HIZ^tZO=?Yt8u_H`?VV`%9FP5ni4z`PuE4-}IAAiI?pnY>#tnKF}Rkstg;k3f_` znnF=PW*BC5E>?6KEQ#bKBFY<9bxG0yQO5xT#g;-DBzP0yO-YM)3=-bJ;U=t9sBL_K zFmvG*A>W34XZqz;Y}v>_^eepy>ll9R_WCG*rL+;1V~H2-HMS4=~s;{EL6zV-mkQ0^Bx5DLPT1YO3=Lc#Z@@6 z1)+U(4=C^~Qyn-9p;I6C)MFT6yWZnDBS^c){&4LK0v8N7hjol$w0Fw+49hk_`;m#? z#~Thgy)Bdw+e5dotr1!H#^16^rVfu9IOvRt$VFWha;bl>ZD2GSt6I0|#XFVc_2^#1 zq12nwemKP>`)y#i6 zRo(4uXK|W(7SZ;g@iJnj=sqDoj&|sK!!oD8VvuYKRNC}aQxK>!LP5b`I!g%0=nMf} zt_ptqqR=(7l!^iRVWrEp+=QsdkEz|=K(iV7Ip4lLQ|b2Q6b1eQq60prDOUDo9% z;x28RFno6Gt=XgY`*$1O3gu<7v^vc=ryM_6vbln(9T$f980K-nFx>~N=(Mz{trf@W zsRb{tzMv)N_|)P<+wr5-vc*J1`iS@j?5+5|&uFHlrdFPMhnB6%H_hiFJzpMjE~nOi zl+pir&?wz2-+56&>8nD8d3%?dtv+I3yc#a^YRH{RJ1b-yG(>qE;`tqumxNjpVRCh^{TWn33_~OvkN70K?ba9u$ z39jSu2c{*a_d1_XLJ0RqAW$qdR%DU8OJMh;;7*mw6K5wX7Gu)iO_!W$2)?%VW6wq9 zou@+eOV6XbD`^xMZ5TMePmd7|jrjlHH1IG2iTV*lWRH%(aJmi7^WW2tA3silR{B=- zaxX;ijC=N+c~OkaC`j&vZGmnRc84V|ybKJNN8i5MyY6|CuvE&$av+^#85}fm#!`^_ zT!v_+{|-lxG8&i>Y3H&wS52m6krjBfM5Rfe@UgaEy+%tS0xRZ_C{!yglykoN2(%)= zyc23C7QQU=gi#Z5=El#ajk!!9d1T-x+&OqK_BHBUxZn-_qV_QW9=sxsy;AYVzh)wf ziAML)B7NcZ2N1ybFdE&NJ~6>Vvk<^ZOX=iK<>6e-RWXoLb!aX_JDS_P{(v+n)| z4a3}f;9T58oMmtJk1gNO#~#5enB;4uC^EP1j39;My5_~GQ40M)-eDkvE5@WAG89=N zw^J?x#=XB8gt9ztg8%sYbf+i!I{};4mM4`Dix4ObHK}3md#jzx0#lFgE=|2>=H z4(2q?RoU9nYCoV_LM8M69-1*fQ=DpH`7`rIu;kUmX|jmFVf1H}IAT0HW-rhxbh4P4 zJ#~Dcp&%94Nwjz~!Vgq7P@6xE6LE$Zbp#zLf`e(JQ(AEwV|hOepp96+3al81)?ChkmcF zBWdIVrg9V7=v^>7SEap72zYYjDtS&y6{P7^{~RX2PQDfq_KPOhJkA}XuI6C`o)n(S z55x5;(z=~v43zQKGl(IUL1~@wDYD|L#kSOC9rU-|*-lDL>Qt&v1XkB1EX+H~LWaLT!MtMHa{HsegrS!<&4f?Yr0><4ztZ3%fJt7=>+S0D$0l6c zk6V%43#qH0prPl9=_hz)B5#PY1a_aRL-uhf~ zLcD>}ixbQ=+w)4RhjtBjt}ILt<^j2|&@FL|bsa@+=PgxMH^gs?9(g|f!d{f23Vr^=Rs6I*o@8QC2Sm~b+S`fx#nXH0cgt4%{-?ly- z2ybfjPkY46D#zU-{Xmge$$vl`Gngg)B;J%CL8NSwW^ZpV@&n|Tcl#@X^$BvtTFvyl zyS3Grehreln%m03toPuPi5Z1+lxfa|9eyWH(g2L-^b|vgdHn=6GG!#HxbG85v(|T@ z$0DaDywn#F5M&J;X68FE&<`Im_)e{&4VYaj~p(66Crf&D|NC+lfE8`xgfPF z(rG~Q?F)|R^@8z1+i}HPw2qBB*`0w{(S-_CbZWTBeR-u%dhfa}LG?#8Cc(MT@sUM& zwVqYD#xJ{`9*gmcB)ZZt=?g`Nb1Mf}E+h%1TeXO>_f3~t)fnlNzYE>G+vITeMcRTt zoiG_+kXF>REHUW`4K6|gZPZdD&kvoce<;Z)a z1L9%!D`f){3^}V#TJYYJn5N_%=YhBkud%}WnY@>9HK2rA^7pIMkZ{QfjZ`H-H_GfC z7NP-PzNp##TK4?CzO=fo)HsD>Kq8UZuj9EcVP$@HYz5)EyjpA5HW#kFg;IITk8(K5 zk$FED^?q$XDRA#kRAz*m@0D@O7jFSx1>O%Ll;fnpfj>BBB8x52;>xu~xDoLbN;W}# zq$h;)IwCg-bR*09J5V-*pK_7jPviwj`fS@Gl@>cm>T^B<-6&m^LS2C-74TS%6uvwa5-%*Ign1Z5!h zMd}R_bD`hS&uq)F^HdolMT=58&K`-x7JI`ptUnU|HwyK? z0jWANu5lVLM9j(N$JHzSnC(n^1URm=^YSjf(v#+lQb{T6WqF@!bUb!)A8Ebtnt^D>018Zxz=JC(v6SwU@ra<(&tE68XN zf#-UEsN%le(eXAG7J{KPlD;jPYHDh;n5}9h*+CJ2W>E0(DEl7O_+r~H@e^HLUEyaj@ydhDqi;Yj*$zMG zcmSf-$Z|0nRE~-!$JrEop5&jgY8>g-E_HhGSTP_r{`x6-s}}J>>jbDny7i_~TD|mY~8rqf`3y zz=E3yz~l%l#;BJ8{{YHCx#pQi=9Hk5*~GF`unI7MDtC3hYi2xu%jaDG#N`Qu*zAnK zVaxIqIvrn*zJ|rjI=;#42SU%(-QI1Mw#~M)hC}~;vPkn{WRjxQ1u*+_ z5CMc!mXxD%>Ad7PFR`AI_+-Bb`IzRAppMW8O_ev#b*GgXKe>aLUL*7aP~9bAET`M+ZES*a+Al@1-Ku z$BOMB{JWEXGK>0dm^3Z0s5vI{`L@+Bmy`-YK?d9ATW}^p^ZTF{snXTc3j#Zgu0gV# zl4?v}cfgX7_&KxzJ-sZ4Ufe;wHTV4lLkoOA zoe;QDxtH36b>ibd{6nb7L@vEl%lV!r%U(xAwQjjh&K>LHRA(Ani+YHPBi_C zzP`Q?;Ix4v>TjQB$vnGwmY0FBk_){op;bjk2a>abm?UpLB?2EXnfd6xKx#y^kiuhE z^v=Q1^N*E_l*>gf42kK^F(|VV4jehM0~CSMDz9WbHZ>~0*if+st1DNY{3+YQf1thZ zu>buCGcv0Bg!V;`54RQA$YK5Zc8N7IOM-q(Z)~GVhxr1z(ib&HZ6b6mX&de30GmdJ zN7cmRpl=dn4w8N7oI;ZR`Qu3GU5)CG2A zrSX6Sw$rqM8PBQoHU`|93pf^`p@di&KrnsxaIPPv#rU+&?NB& zlXW>8B5SC(=SeGeuH9%L&kPh-(zmU9L&l`2x0Kycb;7sTj|+QpW$`iWpfa9BvjkSV z<6p$F&Bw_QQj6z^Q>t>mhMX3BI%=nuv1fb$7o>Os4r!l;Y~3O{EIabZsR=rSp^BU$ zRrwLq))_E5)#trdF8O$$hy6VIUZ-%V_k&Gw4pF%&M?=4%GfkrC_h8P!no;gEG~^K z5qUW{x!Jv)m_$WIYf6tFKfZmGWH>IA(EFW2PpX@$)CMxZFq)A(JgQ@;$96F@T8DR`qP)Xv*5%2G@TaCkSVX2SEF`su+>dU!CLqPBW$ zJO>|i$a3qtJHPV*W3MhtcPT#Becj2;bVazr83TJmo4@F@m0%$S-=w5@j_pfES^L%~ z8{bsj_d+G5??(qk##gvHB?=eUhT=M*(?xBC@r0w~78zm=sr+eQd;6Nl!j(#+ z+wXd*t(}q(nMKy-gW2GCy^T9B7O9Ju#MLz{(GG7ofmt$yPs|H8*=Y+^gejtK=rHJ z3og=C(hlEAL8P!sqXp?smd_|S2^GCzUxtri@C>efIh>Q%%d=abSb0tyv9M-7|33ODO2aL5R zu5xJIu(3J*kk;u|^bAAeUGz+0a*ufg9C;A8134+q?N=HRP4!6vbso_tY!fKA;b$C{ z#ix(iP?!p%KAu%>pYNb>;EX?Xt_e{jTRvaX(YcY-3iBg^Q3M*+!H3+7n+iCRRq^^t zx=F%FW8$NoC)X~J2VoQU3ULA=7QKp!162LB72&k8 zv%tLm26@ffT=p2F zn96W;*HM0cQI}BWicW3QjgW2rm2^@x-cGXbL7g#h9X@#Ql&&Y zx~KnN%C^Oxq$26NA;ToU!ED{B&F5~`*`SswVjYx~$ERHMxLHGaK?nUtr7_*WcOMyzt2TiA-yOZT-jJIyh$|HIME-a83~s z6(*vOAf^JpT2-Sic!L!xBn*Yd(NOVVgxW7MIp=Z}KsX{JagvaZ?06wX!rK#bphNnX`oW$DBuyqh&PO{g`rJ|@g>yH@P<6PF znF+9Er-|fC)_sw@*zlx5Q|R4`7(21N6WPy2`X$f2&~*@!Zx}tp7ZIL(2-zB}QVnm) zH4(-Eq`V(JSE^O}{+CdJ>VT9KQQ4~GELRlyrJ>=t@R%fYclDlay%tC@rK z!*f?g$Hn-mCysv~mbE9F1%Sa7855c>{lOy7yJLxaXZkUB}u+*Mtnk}2Bw0Xgspj@tgeA_z|RK*Jh@Mh0?H&do02+WMv zOFAbwq5)35uTBZRS|O_UH@9b%H#|wP$nD36N=bd|`tBYYOJ85F`FF9)pA24R(eiC% z);fsFJ-)9@Zq~ccE1kPc=TP&m=B1xLF9-cf8xejby$O2k7SU4p;|@Uk=OdFuY9eg# z*fVHI6;Mh)gn-Cr5>0acJdx^rVMvl`fKj{>XY(f#fwb|dM{`+g;rB#Ko{AjoRKAYU z`E9)X^wcV6U6ChA#Ao>P$i--C5%L(7YtW)HAI57zg>peahc0^96vy|1aHkjzD6`?xHTKL zn3x0UPRNFiy~E=!B~#b`XaQh$A(-d|qp9?=tn+O5;y_Jv`*6ypd-ngCr~j>1`64f` zby=@d_V=ck2FK``YggGGv6^N3Qn~dm>vQ~JL8&A4fr6pNa1MQg%Klk{mEKO72dfYV`fu?=zsV0u2UA;nv3GdQCG^2RU|= zxph#`DSByYYW{%UVwd?QPWI2}T;p!sgn~yc`0tgg`uB*a2bhw!k_G@(DS@wY-EmJo zcPFHYP)^6OWvst2NYBjt4B+x1QDfnKnxT5G9JvVK8Nw=r^1+m4RR(kg`fF3VXO^cUgncej8~ z0~Iz;CmWFyi!c=fF0;XkEg%FY_s#`adOPGEbeP)++ahnXww~_jP-^F?R&DcDtl#ocFz=Om;}x zMP)H{rv&Q>Mr%c@)Myqp>x?n=zy`D5u8yIn*vz^Ght1h3wp}?`pYpr=s)6f%q7-{= zx%wm(iI9k=ExW&3b4g#S1HBpX_Q$0aioB0Bwy>pOx z5rekE?HUyhBlSei)In~gVu>n%%9_l*Ho^!a2sgI2C&LIS(g&NhQ7E?rJDpMF zNyKuZ0_r~DLifHBp)~Rh2YV2!m|FS7(&{dx?DYq6NNEZ$;4dV6-mI0JHO(ge&Xqb5 zPs8$+%+EPh=`Y3qlo2gH2fS-?xBfhEY`@9v-=azbv_)87qt5LU((8|rB0q?tf{6Q9 z-PfIWBt2?<6#wtKDS@$q2F>xZ< zHwcxn>oLxMTsY!oemczkbqZBZ^kR>q8yn9HXSrnPrzIlLuRmE3O>DM{79pXiq)9T& zclfZLOzV7B@tmRADX}Y(w2K{d>v1pG6}yspl|@B96H-tX5`wroYHE%fH(efM$h?X|05&V#dvLRm$(YB~_(MhisCJT?~v zfTTx|h_OQbs`}+$*A*KLfDq~BUWpos#lS2GD1vt$N8>0hwVwNf@JaZm&~)7B2t5Ev z*&A~qs?6%={CICAhc&`>SIQ1Y-eTOHNAYp?f`36n+X}XW;7l=Add`<}(abG3aN|jN z{c4Um*1C$Q>k7nO(v>>2iXf$jOk_S!r017c*tFlIriMjN6Vrs`~ngX!(wjA|M=0lFDpMvjw25PsU8ox!;z0 z4itm?^$TAtkVeHsR0hB4MH&+BcY%p0P35o#s@?TFi3g2NJ}=XEZ`(?L=f zIR}Q^l;>i|R+C~6Zhh0Eo2?5Qy%e?YNM)w#C}j;Uaz#0MeAgORyL@&;h2zn+XM4}4 zW_qqq_*NS1#O(gRiy{99hNkn0mm)&vH04ifucRz|H;s?Hs1qMQ$I}|y87}5Lf9~)( z=hm9&O4HD?y6u-z`G-BZ(!V)7>uMd%mu}A7Oe6TXHf@}HcT_eHH@Bp~2SB=#+ptC< z<%<^IB!xn3K5ROhky{A+#E2vCConK+kabfyY{Ul6<1_@m_{TCnbb+Ma{s0A}=J^6G zR4=`dKyS|WA4t43x4a^`>34A*@5PtW;b-z&eRF9z2BC^fRf(ty;JQU3z~)U*6L$?N zKjCAVBU~n*g#n2(I7QSSf7w9>n+;zFF5H*ZwK_yvl(L6*=_Vh9ki1)jJFrFuHapl& z(lM)1bX%^WV|d05Z)g_H7>Huxcs$&ULm+Er(5vNgq0{^c*L~mJi%&Mcsq9tt8;9Z6 z_jNx8{(X`=07!<4ddu*tLcV#|8(*D{mKv>rr7;O>_-jM`T;+^F<8r-^o|nBh{q*=G z!Rla@`s8zceP(=o{2EMv)b#OsUEQA zd#=MjUq4y2ZvK~(k;t*1eu_MM3oao5bwmif^M!)}&Q~qpxauE!v5?@EyU2HM$}l#D z%cteL!xa({he6t~;BF*ty2mHHM_$}Pp75Q&K@(>(R}iqS#%zaYvn5ao-Y8X`T9Rf; zl*$MVrJ{Tc+f#*2XlvROsSb)6MKm)}U6m)+P3>wqL3+yWMT3Uk|qeJMR?)gMw(;=XLyr7hI_Q=97UXl z86r<8$+ZdwC79^z8*Ffe9OZKk5nzXZkDeq}mVa!5V)>M&?2-42Z6$xsm@Hys*i9@o zh|lHm`MfU3st#5Lm>n&@A(KgO^ozK^W zI|2!-x3x%0PbKD=Z8kFq{5xkGh=vanP+BK%)zyl%h3cDb%>&47v8UI$&FHDTTZa5H zVWV-|w0(KHv>8?qb=?vy&IipA9zg=|PGFz3h_FH{iiHyP>kh}(80*RR|9+HQ~ZnX8*sI!$`c7YcfvZEFx_ z^Y{F4)=44HMUPFC3>EQFo<#Ivq={AY6d10Z?gP!e$!De0n)S20GFr&1f zPa|@EVS%yR9mg)|-uq8wp`~f|Z(<@XrrP(~r%(H$4NNBhS0?m|)Kc9T?l^tnbR!CC zm2k+42>TwnujgvWq&mH_t*!0GN;*B(Jy5vD?BBotzH<7tAEvNBmia`}u+qeXq?fX+ zEhR1#QW%ifvZKkTzi1m9!||u$W!7SO^fe zVUNxLKn6jj3*>wQJQJRabXB!iXdc)B4lI7JiJcuQv^_)7&R);#{01*W(*1L^+2T0- zu=v>oyIB}T_H9Snspe-W#3F6w1}&ISx{*H?jn}Zy>#D zGkZ`l)+FP)7QAO`iDd5%rhcU=;V{FkzA@;k-U2_7umEg8#O_O>kj#+MQKx&SnDxH9 zN6)=FU9&xR9THz3=%tkQUvhEThs|}3Z=c7s0)tn{&n6Axlp65`le2}*|3hXUBKxi( z{_a1^l-EpfOg~iA)Rb5=DMqU$L>aSs%^Q9bu>Z8NTYyU%CNRx7TOnJ2SOj?w4a2wKzCM_VzN--r3) z(9LC0_6A|jU)`CGv>g{3@c<2FgHf9Zz?t<01~4}2Vv?MU%{(IsW~nWtB!gC*I#VRy z80f!_=6i4UFEGlQmw;a5AQ`;h=ekj(1y5DT+%9A;;xZZ882XOtAV}4LQWh2#c-%Ru zB%+B?5t}NzX%~P-kR(V6`Ppz7kBha?vAwm%VPR=Psxi`Vst5l$xo4DZrxEw7%f~$K zo20yMwRvV2n*FtuemK~rJo}TaR>s9IWjGbYg_-TO{tw&4-$~&c<)ITTK=k=mser5w zhKFkVs19yjd{!13gQJS4QNR2E*KMJ}c)wRVPY0SdD0~{z)IEMeEvf2xxP$t`IQ=Bq zM67HWHqFJI_60H(7U_cF-mWMZ$Nh`$;MO$aV{~)N&l29XXU`_KYy@|kpijaf722tm z*47#sI2OegE?&M&3gi=_s!19(yiJZjNHqbHMZ#?B@qY+Ycfw9YmL2Yl6XDzV%;s~z z+@phD@+--7p}lBrO%KrhjY?Zw<4f}cBt#MZJO5r-^EP0M*s#5SkJk@IQVbkBjp^}; z6%waun_{6g#C7vjr45pMi|B%a0_4})by$Q|UybpYlI?IbG^>-UcA?x4NWlmGCk1J@ zu(6u=0moN0aUyR853?P*lVm1(`zVfE=a=!Ejc@TOV#N6aIPlO=rQ2_<$Z{o`t8X&Da=*XAPow^9Q6Gfa!@PU%0=OIF8B$>rUMr@uRRn!k z^kOHjaD!85|5x?w=(L0UM$71*%g_5Bpvle6Tc4xC^|n<^Z|*OJkLdOi`lr#VR;aUD zMEa%>ys0p8IyK`5qphtiFx&-5{vM1{>kO9Ah9~fnNa@ke^}!jXu>miI0iTCBMQBp|dR|_>4_nW)slGlJ&Q^%?QSS>; zh)2-nFN<{uovHFNS~varqr~L)wH^jqon?l%%q4fkNOWB}+O4goS7U>FmF7rX;~UYi z#(Z55q7V}!4rJcEJ;sy$nXXDFjYHRnJl>TN;+w%@h7F_TB|A?)= zxiB{GK07dQfyN;|TukuMUEb5SE0(TLukkDBdcYt_;0g=mXd8bD7Y)@b()oQcCHwa5UJT zJim$y>N!crL$=~A9RC`6T>y-OAX2*Ut>%g3wC~G*Hf|Jnq{cZ}is_8=BW73XwV+$_ z2K;!;&y%P!2=!H!uIA(pWLsauZwzPl$G+q}&F}Xua8{|z58%8LK!09mWZk?%cHQ+P*c1Z zP14XSuZ8S#UL9ED58LHiRyHzANEhMM##dwd70zs+ivAMckQceFAG0w#lxEcg2Jv?f zynma;i3Ze}T)40W&#O#H6|Wdjagd+mFDd=I1M@$A`zf^iwb7TPdTX}Y7;XIDW z8*@l=K+VU!-LwL$iBa+O0zE8GlrMJfC1c4N5n@Xz!thkSCC$1q)^j2mEF`PXKjJX5 zm*NVd=!yQESXWU(n#b%IOGow_lRwTA7g>&M-LPX< zGQ?P@g5bF$cBA8M>!gbrf_yS^o!LZ0M6CFG;`xllCfMR{HO$w~t&aO{noGIjoTPDh zDSSviJ#5r&`mu+aRJo03dtYBk-^qw9UYY+61Hrqhfpz8=Z~0By`aB9f6{#?zr)$-**}C`fd3)Z1)eINQk)jzl6vlAAL0S)|($bnN3Dc|IsxN{s zuTShiT~2d2yGQ?#wy{y$xD~~Dj$jw+=POanzr_NN1*$aIKiOlDh|MYuQby~l9jd+tsd|#uXphMn|s+QH|5tN6U z?de+Duy^cseVu1=l@faIh><0Y_#gn##lD6V9P*@h`b%&{#v98(c?g=uZf0pXrYdlM z@Afv+H&P~1sVKKztlZ;;Gkz1SMXV*4F(45l0ifNQ(wfu39>kzQ(C^wKXc+==t}L#8 z=PDlj#j^EY0xYP?-HbBB?PeGJ98mr=vp=&mc-x7a6$7kUVF^{+!3Fk!DrDIX$p_=ZWB&ODFR{NvB_x5y_H<{81%W6PplRG^($20YQ!) z>4T&VXL0_X4RVxu1_$vU@0Kq{JY5~KYB}tZag>j#rL8rFssIKDfB9WTvu*(F~K^)PrtRi4hB%g)Ue6cM2#4nWGTe-(hqCmZBywjMp|$dFE( zJbxa7YEGMBs6XJEI~O|+ebyVQ0c5e#esqJ(O?GN*tQ_QW2;_GR-H=ZLk*dV6+-Y~I zr0)TtgmpauFsTL_L0#3I1XTg8&yxhv=&1+?aJ% z@w{HusT(r<-cKej}Ay zF7qd8bV1_c`PxU0xENVbf?2=Klc;*{Bp7Y{oc`a~{jZ&c^(OK*BZnyKisXgzH4{CBXXQ>~7mO_~Hj=DJzBRnGf(jsJg5Xoe zdFA1Bw*TwEc4|bPh(MGO*%&P}$!AAi^~++Zg;R2d_Lcu!1C@-cwg*0guh*kx>|>w5 z*G)0>C#n3VABSgOfM<=q3YWo6>wi;IkHUUXT7a<7dpuY2lph}5Q}R0_EsREYC|Z9C zPuf-_5%J_>$pQ(q?t#)D84BY)FK{08G;UBii{Hbi1VtS^)3fgFylt58cT6nsd;gZe zKA3gJ1H*rO9aq|XH*q{HK{zJDHB`m#&5MGx*b1zvmtmRiMi?C;PP5F35S#Jt{EVed{V3y0jV?CdQOEs$zNuMJh}m1kMoC@r_>Khi*gI7$QqF zlh`42>CFY#uFS1_`ZRHDJoJo05I$tkvS#hQt#w<<$H%x=S3bwua2DjK-+kXGe5!N) zGhawM)uGuPqYhF@%{$)kxLxiUJ26`aXJDRTif7G!w;t>iW@_V!G^hTiC5^mf>nu=` z+;*tR`9#i@UGZCPCx2{y^KfEr;xubf37=mIvyj&vZyOQAh3gZTAp5;+JrcGQ{MzhP zvlPDVJGH3Ap=%ut4G(#1Nv?px{_*+jQ!l1B-LH(_7b#?aniq3EevWL1s}N(f(gS~~ z1eP?KeQL-ZIYK6BP5gWh`*R?UR0m1egx+#fELOzCZH->ws#RoDlo3F%^I{TJ+PT>V zx1rhbR%^h^INB8`_w%OUH4H0Qf=Cy!>UtYdA{*=QMR8` z7Kgf}>%KjWY1MUgs+cZxQWI~To7a^uqFs3C$Cx=RX|neI#JF-0qw(3p^>c2eC2mG? z+RNjOA8o7;t5-apQyg}le0TMi=s>q)?X#a6P$dg~n4Y=nnYbav_Rd7ow^feTDM<27 z;^}0?GdK!pdyG$3y59EN?HvJ0#<2ty*SYz5Zsi?(LYZyhV;sjy>DzpEk3WZTJV<>u z$$E;0Eydw?w^BjENysl)uNr$TeUkxBPo>9Vi-aQ}{*0v#UT{<$=}Y6iFE zQEV2g)t+@Br2YIo=(Gf6p_3p5+$!IA8O9mkPdDOT2{99hK9Z+_aE`p7s=f#io<2f%J8y{+GH<}iP z8M`w;k|04s62o(eD0gTl)CXfPz#<`tO!zyjTaBpm2pS<|?sfco=&}AzSZ(5QEd3Cj zP^YymIChot@j{!X3j%XW2MMWGctVn0~Jg~lxyz}$8<~u$td3P`N!51UMeDR(vQKB5Tqg)z* z%mog&HI6_#d;#=b`SbylL)pm$nEuNq?(-YacMN`ma=vkj&ub zxEJbificc5F6(qSs%%A+GTThMZdvWkc@KkFSsV`Z3iWS#&hyGVBEQ_f-fS55_iA%W zdqB&c`Z`efo}$*ooVQ%WzcB_6blNtJxit<_RJHg2Hk`IuFRVi88XB<;t~)*XAk2q_I$!7KABw;YWfP(MaGxL|yKL{@H2hLB*L92nGKMH1JOVfR0E9hHN+84;SXdU$TqQQ7B_4$00x)um zhOx8^Rv?8u*|#_@{)RU|$3W>v|1C4w>g~-*#X0F0kP`2&o|8eyj_;bQFx2HCm?fUy z4d)UnVYYe1;iPXBoLQRi<10T=fY+Q&MhSbpJ@m#kiX@co=4+L$8JbVkkN`-)nA4Bg|>BxuPqcJ4Q|kQ z=Y`8T|JzdF>gsBSUT`+nV?u1ib5Ah=#x$TZ?if?FqqF0)`2%1%)ax)=!qH>8+)($q z<65Bn=Y0~Ztqc;L933?EE_6|=fyfdf@jS^7dN{N`-Vhm0Q~$qf61E8S7$?QYU97bp zRg0|)8K006bSPM1vN6~p#~v%y;oCB1duZ#UhxB4`-oG4sqWwFLl-}dLG^BChwEx1O zRl(adn3vEF9_pS=VZli+JL;fQyQ@0SUjzNiwPOu}ucs*3px~BL52&fnzKooRUSnc9JYeU!j z>^;INDsakoJ|5LQoJdDY8?aqgN(3dqMBiIHi>GZj{oOm8-RUtYh+oQeiz4I}a?Wlh zL-!7-J;j`xglHySsb`fMtjZpqbM8r*+BM-)C>&9l-O$6d_fV+Q1TC!wLo z{3+vhbMo7Q)#Dg_y;wZG+C54gqMezeEL&T>Gh6)nEG~cXu3;Kkc&dNalYcq<#g7WX5)`_GBKgkyKAGzlVul-gxDmGor5>GvFmJ@rTzNxne~C_lhS%r&ORuv&VX+*!y!HY}NUDDN?x(1oBwVRmjSoCzB$N1=2%}>pw&Sn71;Uv#TpA3e-PJBEXzvThs)TcnRC? zJe_l|fdt1K z|J4IP%XoC>6E+1yEV)s8DdVC-@w}X)C)Vo~w*dfJyM+)>131W@h%ON?{NxBZqN{mYYEVs+zzQ zz+>o&zsT#JIdcJ!Qd0~>0mQucw@fKQ^CF^GWk!A09)=Pr%*6^2)Z_8ToDchePgO!B zxTVe>n8O$~*apsx&>WH~_Fr>&sgsS1&qcpTOnm=Rsvaa+Qg9G^+t+6{DP@Q{B1BYv z=#|^=S84UcKj1-Gx-7towKz2Y$MVX|b)t5}%*e`l8A&x(k@kK8`IuM&d;)n^`RCtC zDb|f0TWzehE+{}Tzz&Gb0z3{`^52e*ZnOW&sFR>l+(Jm@| z=EG2l5#pA8ZnydC4&6O*JDw#ru2w922i18__E?lGEtu79vXPgX?p}brwK;k=k{Rk3 zzrob159iKa*0a&G!r_=*h+!+zuAITtmSAx>Xfs0LT6(ALD8mczk2-sG>~*U6<<7k~ z+?&$ATpi2tLlRuS%JWmo!v+UJ0Xq<$+hOheFAMQR= zV==k3_^S83{?f1V?Bg-4t5GA>xOxxMMdtOh3t8z03t0AhF?Oic4Slvbp8UT$mF%| zPQ{kt<9L{&!3N77h397*i_EPo`NFG+VU)+oFFAy`DV1{}$RdsfQbTPI^fnIyhQB^b zj#pN&QMLfBC_Xoo2lgf*A;F;pp{4*>WiUg0%VbKtf{z+$vFDB};&($f-K&u}xCy~a zpSZadiV~AiEFofbip#bP8A`w)^KjM)_pjj0kHu;q=@C;eQvVvF;_c_%hr+GCgTFB3 z{rH#RBq2BfYtC}oTCnp2ig0^n+5x%_+a z2SQsyJ^9ecu+(lq4TTsO%?`oY;rg)WWq^Hsi#-;&eKT3^RP;0SOW)W~zVBY~&)E{e z9og)KI!QWK4WSKn*?i?G8umZ+<7wC_0kb!|Io)dub{mB?YqvFDsjYdjL!WMiM^x@W z!s@mThSj0v(hu)jxxkkcC_bIYj+}oPweP+2y#MsYR`sZA^A`o_vJ3o9F3B8q7%mPai}!|ae<_l^sr{A%Vzi2x^LL-q+&J!I2AAR zc(11QUO0Yk4TKaMQjI@E&@?;UtBj1Mk&a2D+KI?)Tg>z=XQzdOyWD;ti2}^ z!AXxM;BK>5Tr%p7`1q|H8{@9`Rbb5Tpnv{6uVSlcf(s>bp$m7mGATBu-TB|^im)*nC%tdm(IiI0oNqin@ShcczRFkKz zzigV~QrP{+5-~l5>cf&&y&}1tRzwch^n%-tF@UfW1CNp_>i8H(e_3uxl^fa zci-+SF`H&BMTv&g#V>NSYZt>|u_YyVy{V9MfR$sSEH2f12SITD7n1XV;hhm$^PK0` zNU;%A|rtS z)II^uY$PU*B> z+4{(*ZCtuFPW2%E>}qZXWVNcsv5hQ#PW8GCroQ^-c?@MJ2@TCm#eQ^i4`Rj%8JGNm zBvfO8X8W0sLQCRVhH#(p3D!c^N2)1!kQb|K{BW(Db;;awov8Q$VFJ&)bTGN=V#yA8b|6X&DVM5Zy9`1uT0gK%Y7u>EdnUm^aCF{^`_h!1 z41ST2Sv7rJP|o->XXZZPiPMMKoK;RqwamIQDD2s}Q}WyyOs!BYQUULkr!cCjwRZr$ zB0a8=PEG2R7#F>u!^1iw5P^`HPK{BTg>CD@WbD5wHeConrEN2cX|>=Yq6$1iXcZZUDME z1TPKkFOhg^4sZ@D>$bMt+qa8%j{aO&;D5Nb`6XMFShcyNLlnRyxK6`wL#{V~Jo6AM z!l=G6$gL@8=;*{-1yGd^fh$53#`oOl6S*s^WOFp0b*IL=3N)W2Ol?Se$iU0K{OA;V z^ja>?DoV#5Sv|4lUR`%m^Tiuhs&P8XYgjWr&fc6%&axiK|HJ3i7X6Qmo+odKRo|>! zMVXwLSFiEaqzjL0kK6GUh^nqCX3lpDa$8XJxOPR06>0SEGqhX*_cJGt3%{?S%C8$yHp94YC}iI4zbMkok3i+ z-Yae1(gklwS(g0TTgt>E*EBAVHYBcK&7?EMZ&z?&X4pxsuD;t`O=}ca5Jl%knS!)Pa zaVStq53R?m&oHQv`YFc(*W4n?W9UoAlKv1ubxry?1A`xE5MnsIitm3r=42j7%+}*ezGMNTz*tOvCIC;})_S61B=xjEIdSa`BM022|qu3+2*6n`+t=)1E z28jF^sR@O#5TGn^D?1E+kFwVdY~r>o_TVZRR- zk!E8w9lEtblm2K%G4@@S0@A70P-{h;bk%E^_+ENf;b4#HutZ}_cATpvV7m{ci4^6aTzgHkg$v3N0Sa^eddPqgH($*eNhP z7u&cU^|F;6&iRH2SzE8th!kF;VgF)Rge}taC4RajGL*z^n|vM;GtASz+jR2PMkM}S zmRY;^G>&;@k;t#c1$_sixM>g4mmx0;pHi5Fhx}&rG@bd-1@q&#Ow^4k#?;#hIltb^ z>?)WXac^t*@y!Q)sSmz4gsy<@7bFkNi!#-A^k#@91wQO!Xu!j|$2VW8A#Nv4lLsBjLk%5CS})g`&PeBcPyl9%in5er`*wPdGSeFFb-d z=hBh6&N=tunIcg9_zg?=Ss!*gywpr zvbQc9&2m`_hO0`{*FfSLl5f4@mgbyrq2rnLPUI%gYi4*$segc4@GkT`Tg(Ggwz3Ho zA}^iD#AUlk{h&M|$Yy$AjTrb*#a{*g;o8!j%wM=kRl@`D@;BbZV7YqVL9TbJ@a9n! zXod&WGM(ttsDA;)VKXN~$3&mX)*HxUD1F7l!&506iC#RJT!;^7?=ZwL#!RBK12CY4 z7HL_`LzWP5f*}~-L)iWW^*}QHQtSak!{@D$Mkb%S0=muDC`!v6^(yPT-N)aMlp8M$!%&0*pYEx`mZ)}^%A zPa}IC(T(@XIq;^L$d4T2QQP|K!|<$s3fcyaVgo^v6kX}fWdH~~sc(Pnq%ck?f&J|` z3^izotWl0Fm!`tp=^Yh?_-fLDoiFu}RMFT7l$PMuHNWhrWtW2XMf0r9Hh2L%PwMH> zqgrA-P}rRp?SsB3X^rra?k-Z|qX??=yIJDRsoZRBYfHH3g9imz51N?`D)U_`J+4Sa zH}=p;POPn|>NyO(9cM{FFO#L4d#DBvCFZJV3&%JNm=1M%iO)#EkW7OIjb4j*~cw?yJ9m`F#bC#K@pSuq376Jo#euE4@(-|IG9E#(E(+_!L>)@!BrQrHub z&S;gKix)T^SNC_qd=Q}ym57yY3I0T!@sgV`M30~vU2S@AewZ;~o*}f5tP|zwm$z9= z06PSCmBi<^wz#4q!@I$_Z@&ZPzksotlAOF&st#lMK4^%9*x%>uai1LH*agw?dqgB5 z1dh4=3hCeQhK5@xvkL$_E)TQaB%%FB>C`&+k{^FC2t#gT2vwB{k{V}h)my40E}6c@ zf--5mIN@w*uo_fnsJ%DN0qS+p4P8Q`(<8MCB_3(x-)ozY6ropk(7Jxn(ujxH3_I$*(P0S^S~C)1Y_MamK{vP^wvv=NeA^ z7$L3}Uq^_$qcbhNbHdy@G}MtNbKBM)j&H&d5#JMqK}Pz2LZ$Sfghki!J{o0dZAsbO!uX&wkSp(QaHxh%jOQ4SbU%Y7{H zioQJYd(b;f0&tYvgh&M>H9wScMuCv&0YulnC@SLZ`!kQ?Dcf<>TeJTpLTP^@^;Y{% z8qFAgL#~I>WATo7yHtcmR65ko`XpG4@cdcq>P>l@55IV*%#{&WuF3b1 z^?f~uZ1;M_p1J_#bh>iQ!8MGQ*1R#sMK#9UY8$Hns~AzIckQD8Il^XZTp zx?Q!i)BfqS^U0yJXTzvYw0ShweVKA844jed)F{fC+Sr0rqjtJ^iLv8(Z&{?qxfAPF z=|l_l*z?8~umrQRQ|rF!`C1*!Ol=-?5q=_wI!xDNcK%nuDdK-=0qFE)e+rG`j5%^Z z@D~N@JKAo&wzl*?XAe7UcQNw~Dz-e>s_nkqSNh??;lsvlUoH(tJExW2J)9CQj?guk z=yMXAk(``2o>KUZ%P`Mw3rRf||I2y)=Dy^4sF!3+K|qk1>+lj*XkYZf(?v~IP+n`wgHbQCpE!Lb{5UOYke_%B z6(m`!t1}RbcbtkqlqS!l*0se<+WU@J1|WL0l^@5OdZUWK1FHDYiBQYF6E)cnNY6^P zb(Vu6$${VgmE_wKZfaThYk198j0{6!xUusnumAMIS=1!9V+Y9q{ob`w!cCQxIEEdO4B%q$6CnWIh=nc-*b%Bv@I9cwTQ-y!LGCg!lF9hKj_Y0(m* zEg4>nR1gpbOHB8b@MFU*KTj0Bv#4Dpd8r4#Wzm$I+_U^S?06NyNZU8E)!o7$Obp}4 z_<>X~wdYz&ko>012@FvKb~4C4ZEF+p*n>F(1(vQzzif1Wq#5QCG$(6r74_M-yIEGkp&fGbx!yoA^A99}G5F@l>&*ZN_r`__kZn>wGtAI2h}ga=^s=+qgPJ z*E753i|9-^S9}W{r_9pZsZ_HR+T&=-a55S%Uw=zOT|eJ?6k+^^yJ(x0TX@+xFkkN4^d z3Ej4DGjfFnyOto-KW}NG9Ti)RJ-7?1wALLSVcs}&@VYNHKJZ#odTtevdpW|%7$_uR z@ZAd%YXbJ&Dob2URCHt1eneF>b)eFC}q=wJ0a zm1vM9`P2cG8B~S$&nVh7s>cC6GV|`b$3V$A-G>cyzq;WxwKJ}B+b&{NS6zGXh56BTLk&v zQWO|!WH)lgc>j^5^!K>-{iFE|ncq0&4`0)jIp3nhlqZoFZ&NZ{8-9yOM{BkNse$l4 z`8F~LzXca{0vb_!Y7BT>77N0mhJWJ;@C;Ki#z31Mk zu6J_nRV7P`^~@fW@REws163*q0%Drwj=1gurHiTlkwfr~Q;DPqFZw+EX1@`?yrX!G zYS+@^Cx^8%Sbpu-d%EMxg~NiUt%~&6Ca`6p$qr9`%7QOc|BO;DF5^t z=hy<$$+zo!#jGYaUzB);=U91rQf3+s1DMrfi=s3|5Pn?woG7MomDeK}N8KPrSR=IG zkLL<&lAoF7)n+8_Bpc?RWSJz2nBs!A@k-R8y~~?fx~@9^tWm2}QUIPUca{S ze-0z+gdLkk>@_k=ODyVHB|M@nVBW}QbqsgO;b#gS&im356IJPl{%UzsmgiEv0~z-e zX-A)h$y}LMHR+iuZmh>%UxB`>o{*vumD{eecH@I8X5n|osWVC017?yh4VX#|LRf9O z)W(`&Gy@(danI?KGs1^3Z!irv^k%5HgY5}(v!bC1w?o4 zBISdfA2Et@vGeVWs?1v8Y*X?5&D7WI$8>x4r9s`OsQmDu^TBob#a=OO&GbBvO)tIk zse231K*El6H232#0kohi2gNTu*w)uF_z4iHyV%I^hhGLJzRfB;1<#UHa@FGL^3&z)z=5ee8t5`JSrZhXNytMN-I{DElq--jIfp5XCC7P7@x!Z)I&k)8lQ-fQz^ZTW zScIrbr_~!tXJF;xSLYD>(*POOa2u3LSX_i(rU4(TwuDw;;2N+Km0U<35rpR--CRB! zQjbm>5<2d5-PLtJN$-U$`yZbp^v9gKbKlO1k+D5Wzq+s^IIU(!1d@ z_JCCHlBhj_v6s8trbeVsoj?Botr~8d@w~oFNeRvDhmq9}%Y#uil++AGr1FEbgpYlG zO%))c^*0ts^G4mRrL=1u=Q<#1boN#QZS8xBN)CC z4fmx`Am?`wwE7{t_xkLKqVMI5d8>bxsSawhm#XE255mTV|HOLVEEB*_;9E2>_}V4d z=g7dza9#^16o%E2kHq7NkfD2 zx7RAwORfXc8^oL3_2Q(R#T%v<_*s2(4)i>mc(S@aKqx~-wNzztWTHr6Bm$!0(p-30 zP$zb#)nHq286=YyJrHn&&!RjX8I4@0bocW;d;CVZ{(l2Y|L1SNu|Bk=1*a15b3=K8 zf%9mNIQqM+F9+i{oq3;gwp2~ku;Plds;9N}2cL!Xw|qY4Jx(n;ZAtFM#x$nyj`O8@ zh;P$S-MPHHEF4V4@4u0B9ddW|-aorYnenSv5t0_`u)ztBDOuKX-gvwR!aYDFVFkH( z_mBelD#8Hc3$!xA9|@c8*ohPp~Mo()MOIK6&DfivLFUa)mKNf?SlgCF^Ah_4Y zA2W!uJ7SJ7C?Ydzzgfx3riAarLuX6^R_b+ zk|h{@)&+>8WLGsYHkKM{8dh$Ux88kdCCNoIP{yrZS`&+(Of?fwKJZ1=1r%LBWvhav z4t%$`HagCOndIc<-8fQQG4Rk?Q`gM$2~Lv$_iT&=NQPQSl4?YPkg82I_%$BZVnAq2 zDEV5ssQU{Od7S5c$Xt-&P%1sAxb5r1?Q2bhx=P*Ba_==;>~?YK!uVDF=bdT#>XQp+ zr7n*5HBNk2VZ0dH15x_*v-OVn7biAYp2^!Z_(KMOy^u}HBh{jyC_%wptA*B1XT>@9 zW}Mroch0Yv4(L-C5kWarJcbtMNB5nI+!f6O9L>6T^v5-ne5^UtgD8nUpx`GR zoXNh+m%PEC;02O7mJ)<#?)RvcH=Fq(yg&FpnEyIj0nLi&doJfA2G>Fpb-pkT zn#bi{>A43Usrq21Gbg%M930l1>xH@kP7l@}2zUk(v_k|2gU4%AQc_frKYBb3j>SKm zCh`9yDW1>ELHHBiha)kBS^(qv&)&@jaT1xuK$%E80-ecdD@5z-4w+z&B7M&x-+%~@ zCT2i!fX7eyj6_#*zPVly)bXf&OGt2V=`{oFsCJ3clVZOCvK`3te$ z_glFrXmCI_nI=0ppRcxI;6>a&DK7n!-_I}`0aZh-qqs?u&{$JUTU|-dVouwl^#=*& zSh5w6W1MCb0r!U>yw1uB$I*fPVb5i?tXro~p1eu!a`WF_N~lVZd4)N1bLeiPoh&Oj zDZXZ6CLj@+!Y#1IKoBnRm@Eq{-K0STS=@|2?V$;LiY0IRf!6gKE_oyW;=b}}1B3fF zZrq?z`{`6-Q^)?#Ex!lj!~1PoMM;9yuTs3yTR4zmm;_19PHmWh7$G89Hx5&;GR%#C z29^HM_*nRixLoPHu+`hg*s5tfkX*ck1X?$iKFkXtpo%huk2C$s|)(xOm2H&hDn+=SFu%S5lnUyUG8wat_>Kb91u6 z!)ufH$Mn6M$O3hDtm|q?Amk3r2#jK*>}vnD+_!3c^9?}_Fv%q^@f~oX=RYROaTwE_ z$mP3w(Jw)ge;vlA%c96FK=q%T-y}{K2Z2otzv?@$tTi);xMv9PPIz4fq)g?wh z$B}Td<3@NwACr+G3z(_*7;@onzeB^&!b!s!pm$ zFcLme+cl>dl%nO`Bmr!xoNas*tAInsychfc0e{_nZtS8B?zo~|k-p>W#eQcTN~AmC zMidm^gNBfzu$lC8n?5HsBamT!`3mO1|Ie`A;%|BdtF(6Gw;KahE3fR_v*#!@M75R3 zA4#|T#GN05we;uwd`&jaL%W=;+}t>fQa1&#$~={^--^ebrgWX!cMniCU{31=+l=-f#d0~RI9KFhajx_+?MbnG(vx(o)g6}%e+R5;AZ^43T0RX{-{Maf58|VQ6=dbP zXH58~Mt%A^X_Ltsxmk0gI9u9aqys-pYjLV+|NeU{ zI?5}$R83n2X}*1p`kMkZzl{Kz(@BzeVbY|b4*zn-2sQs{)kN4 z+`z~8G-`<3bSUK4?KKJV8kl8Bbo4kFLp{kZh&S$ZO>)HFRJ9}VhB=$kem(^oMji3& zwGa|qJjc**B|r@#Oz0_%9*Z-yymN5O9Pvgbzin5}iTUIB&db<{63A;@Md7<#(a}=5 z{nc${9t|q~fct}&qi|G^J`?^iZ@E}LK0Z|uI>?0DgfC2JdCjm>wykHpOcyoR8c98W zP;B~EY<`$^>X>g++2X}(MsHp}J>Dc#b#A}4L3hx;9lLf_JQmh4zl>CAddV00`CQm} zP4`0^!CkLGSG9P+J%AvK|95o+@B!Q@WnWU_QsmrUOR2!lh!{lG`G(#D`c{ihB}YAC z)S0=q%IjGDbQ%hiJ}XBPYare6A``Hzs<%dY7d!w+1iJmh8Ey^8&EkRrBRzOV$$!Cm%})FhRO)5iFv`p=NAlB?4fi)E5$2VT~%;3n4heHbEMv zr@4UoDaiZd&hTbNkgTzDSsS+2+OXHu4kyGEHje_7-0z+UF$7345sD8{Y!Q!N?JK{g z15uhSpFgY9dP1W+vwYpF;7p*gnXP@iLB)4jcJ9QER?Lmeiw3x8wxj7gbXXA)O=9O{ zIE>765%2E!NwKeprK4d|;iU7pgk?+hwK@f5nZlrl&~bA!eXW%zlCRI<(ruAvKk@g^ zF0q(QXV<6u-GxQ^#zp+mOiWB_9EYYoOyZeYswQTagxJ9e-vJ|Kh6XrdCJ-3p)`^Re zUVYFeOkEY^{$j*|+e@oHu8z`P&!#BywpE`ohv$p4^S01#E>Wc&yLX5FI!U38j~bzk zl4u*=n3_5)!)U)+!Wgr`fd7(^pF-xR?a~xq^2y#-?SXM3f==*6y&6 zBO7Ay1BZLU%`5rG=N`qqLIr3SvR&t*wS{{Rn?L>GRm@O$Zv!N^hw|wF{fInUaA)5m zn&iV%BO?cqq0r)2F>nwtn)!1`V=CWPBOVyb^S4Z_A%N{?3gHW&oiGkldvwZg{4Lag z`~^~K+NV`*eqcl?`bv&ZAb`J~JJ4L@;zZjI7p40r<%%DG}Pf*{DD^WTS}cg=8qKl0##v=nLV z-+CE#n6r{-Z(`BHflWhMtk&|nNw{jtJF3BMR@zTAN=RbSo<&l`z1yrKQuXrel<%Zx z7fp0TctfS<$2)M!H`-wew~ifbG=s=0qcJI?N9>x+8_lFs8!fQm;2GY241JDSmCb3A zk2`-9;$uTn+m~AQ-HA3i*4hpFpFOjjy{}SEI+V&e@KKtiEtAiGTS<#%P7BzDbUNkd zm=|vRrs4Ri%|2ow$(7I2_UaFx5s&4a2jis_^`6B8dwHEd?Q0tYEf0udt?@ZMy}O_p z>lHbfOkow%?so4(?>d9b+`@t&0?u67{e4-7sKkF&IXRPaC-oPwi8R6<4Y(i>K;koe82K5SI3Kb7t;gFju~ymR)I0Ag@73dGxB_@ zAh#&mV}4-B=EOVeJ$q!H4qBYIDX@6i8!Y$mV#(&J#D%QQam5=ChedyvHp%|>3>!|7 z7eqQFVEaY~2)zM7)=vbc1s}+7^>FBW>)D=lF?fQYS&*ppZeJn(b-O3L9uw&@g8@<$ zJ%#2?V&{aMi~b+J-aH!1wh#Zk5i$=+2xUwqnKMNqbBQK|%oHJ0LQzBtWr&m^BzclK zB2!eRkRfBH$Sj0}XdhR`q7twPC3Uj+zsn_1jN)z{)0knRrmk$ z-x*@IXp@bk5#e+)SfCC8@A7_f0FXpX4+^Q@e!IILOK?F_h3|^9yc+6tg9>%lGqX!S zzhXIf6!xWVX{TbX44K3e0@z4B2EqH`G=PNyY_y#3cJ&_xe>l(08HvnVz6KH6)2D<* zek+Rh47*H8lE=QjC|Q9rbTwP~EzMPXdBo7FqaPpq^1vmdolpZ9OVMXfv|wJ7seNA~ z&8-pzm;>@Z_jtfY04lp=^Yxz{^PE@2pn~{}MKr`9C$fQ|5%e7E&1-3FRD-B~e0;$> z?0WO&!Y?lewtoM^gp#CUVrYwJ(3r{RUoZL@JClW4=$8q!Kja`^2Q5Q*2$J;Wn^%zp znl3^(f99Xa($&6LHJ`~{&*FX@b^DF|{~>F{q|R-tmTcfA1G`;<7Ws#*>B#u=j!cYC zJh`jMJFBO|vnbG*rr%D>euRv}*mpw#Y|(&MeZxQTp75s#PFO9)=K5AwAh~hN)J}RT z1AvxTMp2akhNRUOiQkG5UVtdR?uzSg)xX6<)Q}Kqt8ohl;_$N1Ki+B;p*oxW8X-T% z0joU6Y_+r^&MNjhv*#{cw=JZ&hD@E$Sc=Ug0N}|+)Qk1PU!ifX{?i917LY9QPoRe; zUKk6CFY&v1>M2F#1S$#YjvrPpZKdO&J3sK<^NvZNM_*}SOJ}y({!!H+J*k?MP$HVk zrisjv0Z}|9vBGDp>>iKUjGfMj#?2DQbJqTW=a@7OlC5{Vm2MmfzF?p28NbDHsw^2s zZB-%hz-$&CG(!zLs)xlSlLfr&@8>)92B{q#nhOgG}D69$)&ANCmD0jjnm z#m1Z}HWnRE?3)mu3Zntlb)dTCXQY@)ufAL#-uKoG!)T_Ra zB*5aIM5%stBCJrue?oLuf#|QIaG&s=KIVn1#Hbs8A!9mtsj?~96eoEKgt$JW^{sX5 z-pK#C{0zeU1|h7sgr?gD81LJh4v-lvRSKHjOXhfZLX5$ykWpK6*{9XU;PIPCksZqJ zUg@9Lv}y*HjiIJ;+1){q#OF=Dsx3aQLgPbt*xkpl$$by+zsP|+;yb%pOfb(0_0jHk zTA~_D*r%`%NG8im2tJa-yG`0gbpcgRcd~N{#|%OCgJgp{cj0oR3cZ9hRPjIx0jCjefO#J* zBa^Q6Dh4SSaiprOppS)&Y3mDg(LIi)i$4B6a~G0}1uZE=6W_IoG&^GMaDMMYo%&Mb zhT#y1zOD%U6fs`D6(o>`w-488wTT*smAsZ^7lutY)zV;>@0`Q-a-R~02Qa>}9 zB{>&jYs!_%6xRJpq0f0wXj(*j{N-2e%O%z>JjTF1e7LuRj#Y{)+={j8&i((T1;C&$ zN@ft<_SgN(>7B2*(jV&ye|O2IdsS2LKPPH*j*T*(IK@-OJ(#8UzZ&Qc`uMY;bl0B5 zOTPOBufMrCZq_yF>SrQx>Cq|SXo;z0&kYlB>YwYy^LGst*xxTqMjr@z={8C)e0#%> ztRq-#H(|#A27vo^q1ULlO1fO-=%Gh%u0zXxdx1T7_eV4-ZE5_h5(r?po;xs z04iyLfYgVf$b2B^Tl9on@?W;kb`QFP3SYU^uqSbb@FnfUiLS+-hpdTi%D(--bg1o& z8q?#oJQF?lJ(Og-k0z~F63T;5P_bjJYVlP|I(DuWj1@HWmvDorCJ}iYP)E4^#Y5|0 z00C*ZNdtWK0=rYLF?v*mH`CVYX-SUXU3tDz;x+J^tZwe2z{#D>O53~{$}46L^c4;t zeZv<%jAA0qOP(tnHPTMU6Zgv5G`r##i&y{ph~=ML$vKD?TjRWHbB^*qej7^mX+iR77w(8 zBY-DSkS_LoC^)j1j7NgU8%kpv6?_0Yv2F69Drro|kL- z)Y2p^ZMNE0%wNkJ!R7?edXItxYv@xrv>H_x>He@j4kFB>sl^xzD{A!n{ zYuofPKmLqPvOtr^?~>QkVcUhB!3Zcg(#tGYXq>#U<+T05X))$v;6jXDFq!anZ<~|` zlz5MOT)j;gD@Rjsi+c2!Q!5Rf8Dm{bU!qgfc+HBm3qx(ymBqias%DDgj4d&sWfOj4 zw^tZq5WgzFyQ=_7p|zhu*^w2lTB*%#H+r5yO@TJrdu$>Et}#UpPcOu-?Muw?nH zfK4GS#h-#h{?3x+k&&d2h1pD4VFqqXV523>NSC+7nnxH$?p79Jl2*Q-uq*-|T{Ngy4x@`T(o}p(vxNxRjb{A$|uJ zwM}1% ztg1my1!lu2RZxX6H%`4zD24s?fd(V|^PY)%!mU_xIp&nJ2W(gWhc3mAq``5jiXgpX z!5#JLfS4G22uA?R5-3HiN424yN^p0@I*jla(2<6S6k2SrC+Y~k>H}xoo3PMD-8_uW z0OReG=gv`A{TFRNtve9ddAwA)cYKkPo2_*4xARJS+u|XuK4k?iBz0T zi8Nx#2pq5O*^%blfy(E+$L6J49Zow*!esy-FXJvA>+D(#f(4GG7|?kUYQ$=+Ir9FB z;Go2j;g-X#l6(QB3a0AcO>i(-2qElJ85aqK)&p z7G!8dW)_K8EiccYlVLnyZ$`%ScvlM~uQhY8D-{ z8hy}u&O(qjqd*_rK8r?Mbx%|=0rDTLBm|xu+4?r3LW=I)nqdW0R@CB`a3Eh(0hh{7 zt;>)6Y-2pICtGdqwwhI0t%lW({05bNUoy5NSyl6BJ+?G7J1%ZQQ3o`MqHhGi zs8g0;?-CPjEH!un&gh=_Xy%(JV?f7U0Oq^|1PD~PGr9q;APqqbrGET)c%iQ&RMha$ z>Jb6nVPU5b1K2@K7^!6n929Sg zWeZ9E;%NLgTZ3w0k&D#?jgC7^Bxo<`&)OU)V;|S@H-bs)U;xn)$k0ymtD}#Wt{n@aQmMHz@yo+0uYQoPFkxn@(6XC1tSE4BP7}*X8ylp3SQi@a z$D&u^g#!=B_{Ub@N#jTR?wde8;0wiKVJxNS7V3iEkm0~*$@a_~8DB)JLg+4koD;CE z^RFq9pUJHWBHM&zRt-P3ti_&mgqgk9yrW;~&Dcd<$Xcvh9IqcWCpX)4&*X)i#FNKV2q_AYJ# zl|REwl43`}P4A`u#z(c&Ls5F)L#(R^wNV&vW8&pTz5F_*6rbN8HhCZ~l(%QF%we3_NE_=Ozh2I$>oQ-6jS-G;ZAm+`067x$Lq8 zx@@-y`hDBD)V$>~o2!e2Yg%oKgyV~{{>;zs?m_B+BD?1h^r;odP&2@S9%Cg^?oc5* zw>HHcxnX4e4VpC{kQ!{mgLOC8dN&eLJ+}&Vt(z-0dmYu}S&}!pMqgXKe%Pp=*VVOp z*M{h8J|gf5bquEzgJZ+Hsh@=-E(hCNR~+|LwMSfty)!;dXE~d2e#1|Cq2pce_?5qy zz;oE1|FyVcVaxG&zv{}luG#*==#P25eTSyeQOTmeX1=_9@0fHL}W2E}8HrqI}VCW5W=N2JNyYgA(?;m&UC%|KCp|qep zUKk;4z$L`IbqEp%CV*lRQVr^@-UDsS2`pb$?T^3k)^Iz=R;5x zq}d1|IpEZPEs=zS;R~^#O5o;MvMHDEicxkXds*@1eWKs5KL?VU{15>_|5hhX3|RBM z2Pm=%o;g-3(k-CMDJDx#z~v-WkgV6@y#AH$8k^1~bMX3#v)2+kK^ z%Q}_{O+Rt#syH~a+^-G9bAP}A(05lzCmI)b!hD9sbh?e|Hrck6?+QNnJqUeHQIzw! zuKxbZ3AG)%J~>nDp`~o$zDX;!R~&fK1KWD}G7?h)8%zJ_FLHtMAZk*mrE`c7-9!AM zz4YMo$>=@3eCuBPdKJiE3g`03-nr3}#clF$;hZ{sS%$2AeqL+vq6UrU$GVXPEkSA4 zP_6e5liOL2M)@sVSKMC^p@&}}&E6C3KgD4vw9(JM@#u_fzSYb=h8PcX{OJwp~kAds%<+MZz@jujr<)@MhpW$M#}Z%!|9NR=>K-kUc2@ zv07%=K~d57K*Nt3gN=}>f1%W4FL8z&uql`{k7quN5jNAwXooyZI<;7#;J+So2(>V_ zGFX4r#Xuq7%iOim30$D+sFl55y7*AqH1%ss4R*7QvKJ4uNtzUq#x~~3p1yt+z1{Ym z`q8H**U2=P_end*v_>ahIotKLV8?;+V~xaE$N8(AM455)$ESBIWY819)%rt+oR|uKP9K|-QjDW%VQ$h_4M|S!+G6hYgV9X! z__$8;o>JcH7)GaF&=P+k^;nSFQ;z$0&fm3zle^sj^d}mr{EsWMeId|j(8!`Lt~WeK ztd{VY(iFi+OvK60RjiAVhJv=82te_OZFE)(u@gm010z_0W_dg+5sndnEC@@q#Vj8?D#csKpdcWeU!z!LxKMUiX~ zWZNVM9p9=`Ur%U`WaP&yRn5_|;P~Sq^nfGWDQXO1S+>nrq`8hkfVadzaRm1^5j9gl zg#e?@1XvkwTWeEBxu^x3mk5ca0} z5(Y*h>m;cj6L*4{w-)j&E8t;#vZU=@rJUqHqkCZ6iY$qjz8Lk%8sJepVDGF`>u;}q zD*W;7af)*5vk#?<26)7s*!quu;H}H+dxyK`hy7$*I&oTt<$-}qpaBwwDmvcZ{ma7| zIic8`maUy};1xv+v6R{=`~S4${~sz-u3MRwYS=lmhJylvBA<2jQ+>U}rBx54UU^}K zqV+yX++IV(xH0{F+{B%i!DJFsFDz9Rc7DjB6gPa5F|4WZ1U>(r`LCEdyhV4?wrv@x zJ_w0eU!1kJ7Qojn965~2xy~0`3&+l^NUce{Z-mG&^pBWv{+8q$YkN<~4R0Zxbul^Y zLOspbkVVP2>30yjmfmTsDv1~zh88Ly(bqt5T zbY2^i7bu3m!OS6WPh`19L7sMU08TXx0=#k$cm>Wto?soVLj^IvOsqR2C9A6}z^?e+vGxvf`HA&sS{SA$$T;GSHydBbrrfYu8TWp3Vyk~w zkEzBOF0r8aq?MPob!%rME_B`4*7mYsvsA;Z>qB0SbaL%u8AoxnKsWz$OOh#&C}1Yk z7{bw!&7@D{lIzhJC*HtZ=4i@iGS8n}8g-7OG375x6h7I@8&h+WTpo5WMND_Bt$f`0 zE2|459}fRt2U-{z9(EeLmk0lTTegdzfv1sS| z)XyDF3uH%M8Xfxd%o{_d9-2O;M;Ie_v9!8wM% z{sr$^IXGxZe%ii$JCTv9{Ef)lgLRd@>Xzz3;MIDwJrSC@(Ma5X*dH_~o4s*Ov6=05 zOmuh+IW3Y*1O)09wnga4{)WsMYLG@_V(bC&Vwx=<=k$HVh@tBImi_hzxy6@vf1yix z=;eUJTv}(Ga3G}3t(sl!|Ca=tyVQ#fq(IjXU|l$V6Y4c$ECPp1j6Fz%45x!PRt*3Q zb^SSCz}1WY!_XIEY-b_3KeG#DMn`pOsTT_FnNkzINqqT7lJ{5Dm8jPhj9CpS5R*8< znKk{iyqpJTr`6zmsnRsg@GQEY`zF}mLO$GCgc!X~%HuJzw6(|9HCwh>yrTPY6B})y zXtp+7K?Dkr9k=F*mhjR9joB@zmqVeCy7!|W4FFWUL5`M?k>xYu5<{yfxJ|#m{t8C3 zX*=%?)OIxfV!!nGoMzv4E>8AP^{}o|WP5Z{)}B9iE(E0;AwUhVy+0*}=+3!-2)W1S z0!PN|WyVk9zQd`OmA%Yg#CLV>py<@-lsQpq*EyhYS4N5Jzx0&m z-drqDg14m&2mi?qwt{yQ&E)waCzfMcbwme5GAcK@_KF~~61ts^Z_4l+z^lhx`vD|p zn3x&gPPS*<%WLiL564{jiUXVw64KIw%*OyYzz1;U-F+u$&Cr+#2=E1h=Oc*ImoF~Mf&^{~Gs8b}Tb1h!*-NHBFbFV`$X)i_ zY=u|Mo_R$HH5U0fKS+U*aVEH^(Z+UMK_gXVAem94d;3wq`Yn>z%qOcTVt=-#llQ7p ze%hR(et8k@daBWrJN0{?j{9_c3}vLv>yo#iq=X?N?5r&5{m^A6C+V>5$JIw*XQjQ( z=pa$s#l@9;BIEX`=3sR2p5FD+e9>F;x?IjrWG`2vPaoyQ#-3x0M1Sie=FkKWp5Ef< z#&5-}*Uu&bXc)E~e_@-`GUC!!-)VdB@uONs)vo4)DlcoF4&OzgZAF4qJ*dW#;@hQz z+lTzzKlN^Hqblc(-dLwccu|e*cmEyD@_{G5GU2Tze;)At(G?ZkqPQFbxearq%x=7Y z8tI(&A~5W67Z4YmN*uq=-VwDQzQG}72j?1TtkIaMzzB-nvQN z-)Ky+Zu)1wAQ23sgma(

    >vV2E>b0MZC>6lA(llla$r=>R`q1qe&YZD}x7bE}$5* zhWD5?27xBT)^^=;K4#4h3&{YQX{j#&+FY)auGPdH?C)Qx+LqZTFGy0j+vO1*#S-q1>aj1fQL|hS3%VPlBAv>oZc)va&iC)`?c$mLS%9 zwBth%hoz1no|3Y5>%$TK)kQL%Z9LH{nz5lzSIl$wd*1mkE&3|0n0&F@|y^RBoVVZB+h*pdYbZ%YZ-p!NhV(m->tFrI@-;0 z?}PaDHIFN<1TF>lI%g!TWR@6*k~AJEyry`++2ixWI|r@VRN#3N6Z+>r5s?nfyY4ez zz{XO8#47)|5m1J<wAbtcExvII9#+O@l-S*J zc*QjI5-f?6<#a|33S8k_&1q+Ofl;;i&+~1+#b^>ZBiL%Tf zY4;NIw+ikpS=<+-`sj{yCdocBYHxC!BpJfGX>wBG=jkPzt$Xa@A2SB%b>Ctqe!y*EN)nWG3nPFR|MkuRd*V?)=qPnoH)++LF># z$@lfO&6$<7MER!gs#`w3lUy)fO}^ct?yf}=&J%D9mHDfRzo>h#jY+`k3(M{uG6{aK za?%qGXXVJodPy4ezS*I8{AjIzgncS^s@3#;t<{ivz*a@A~7}Y z_w3S^ZJPBOX*x6*Q?LM0Jd36cw17sqqbKsbQVKuT6hwt;s=sNwHnvhyQdT=u0v_y#u;YgT))*{dK|^8j zjDkLJo|xExWV%(=Q&y3Kp39G4;gk-mZn*H!pKYEg1s_chR%r>JO51$l0@*=i(2#sa zps#5yGOD*8C3Ac=<({OVN_|O&JU~e-z;-8C&3C1gU}_>}8T;s>n~e$O9-r+nr=SaHOE0Zd4F{P^+w=Ww6HQ6kgm z@|YET&a9^VT*uvav_=d+ZmXx`5g9LY%t%O1k0@F>(bAA2WNfPTfAg~bw;L=Zzy|rk z7OlBg7L+F)iNq`rK z{a%Z<)V}qN8-$jA4h5nW=JX==pHsU+6FJXoX2*wf?VS9AOS z`h=M@xn z-c*>kDCj!d*SxkjgR&&3^dj`l`H!n^zMv`E`u%EQ^XFIh>oijaDJ*QxEU&dX-edO4 zCaeB2+oS)Z20JTNi+&WoatP7c@?0515W)-Ujd>55(ezKe;bi|};0Z!pAv=)=N?2ft z*#?P-g6DxRKh+->yz4(sg;5V-+!NBoyDJBW)dHe|$a62f!9bso6;|DPBjvsUiV{Bj zBR}pR;HbAg>Mi*f&(ijOAHeu)#uv4P{jrcH@&%3!#y)&#Nu&jfUT^>M<+chkVL=i3 zi+?he{Do^SzLk&!GaX)fP$-Jg!*4{!iZZVdW^P5V8Rnp>Kqloo;8Ab}d})4FQevE6 zqRze$CZu=4{;8+%>8^K+1*X=b*T30c9GO3Sy=cdd9cke14OzB!3A@pA$XCw15+c(r zMu}Bl_fx^7<>D`l$+04JbiIXnEiVSkR*InQwGJJ~ySk6>C(t0{>Sm~c8%^O3pvy`c z>AIs?^swDFRDH())NjbNjf!dcj%hgiJsLWHJWB6o3D3v`p{Ie0Z3teOiciNdBA;m@ zd@^mHhh6Ks$vD>Iy1vXBdY(;KPj-J7rF`Suw6tC0wGCLd=1j9&kkZX3CwXU;rq(i` zU$`=l6+b=e8$1l^X$CcXZSPIj9#|#j^;dnrS?wdlD~PwfO<|O{ZCNzAZ~ogUC$!-o zbRB*;;MhK3zGMLDFLMqQ+N^|vwR9M#Je+HpudH;Xs-}2+!9Kg^M)1jCx7oLH^^-)c z1!fCJpUa?uEnz|)CJc0(D#IGR0nZ9zv;+xaP#D5H06g8U)eWo$5HW-whIE$cD`7?+ zn*HPlhXMv$^6$ncl9V_xq8`Ki*^W5~vyHV4cJNbYQAN%@4&XN3JHs3z>PSeR!$=Qi%1rM%8uCf2N=a#eg zKu{D>>GcEcuiT5EQ|(4hj*c~Oa%AbVicOl>FxYn7+|$|Nu0QGH`)k+uBl+>u1Eax1 zNv+H)&>Ir+Y5TWt3Cc~lkJ<4@HFK`?SYroEfd&}vW3K2=8LrLqbYx}8@h!#698d8m zxx+4xw|w4A9JYLU#s=2CKVmC#;g=NSpJwx<*L=LzA+ELY_E)U8g3&vlWl@%vzh)c( z3o!k>J+kiJdilrJ^PQ?{H*zvE#{cH6B(`2TbFS~chQM)`eFF7Sm+Q-SK6yhb%DWkG z{2t%I2O6YpAyLd)1BDNRwv+Qc2-#oP*(l9L2RhdV02>U%^cuvLW z<$e0@MxTg|!ceE3nEF8tyHJL0Cy_Z^jdPB=>czV~QQ6r04#N@l4v%BQBG>)e9s|dV z7cIMyTJ$vldV=&_OW1mzsc1Q+fA}_ZHxDSPVHX(>sK&P3-EWxg!qDOyY)Zj;EEC2( zpZPkrH1niL)vVY4T<64Ebp@ncY0}JK4cvKLKr=!qcsyu{gL1+p`+(4*q6*A0wN{Wp{ z=hrvNG@?|F6fsZ+2mXkPIh~!}VdlZBWzJfXR7E)qvArh1tc6ZEbDUgE_BWeW$O2 zlZ?oWXclH}-5E}Ru?Tw^kRs)-VnLa-lUFJC72MWPyYLxuS%0?L>BmWk>1sV~aaHM?iMlFsoTO7VPFu4x_9>c}ba{F9Vf*LSzQ z>aI}}_cl9?6ZW_ z4wHPj>wfL_B42GAwdD3cy5FP{hlHSrW~1H9x$_neu^~JuGC#l&Qlr;N%@Mzg=DSyk zsget3$=HU{mg(E|*BYn&hmP_#jQ zm~$s+FL^7Oek14TIg#9wA%3^L42hADv?qDGh_VMZ*^M}28sLd4yaq@t5($|NLIofG z4m0^5K_ZAumnlWn=Yzzo=$~5AsKiaEVx@eJO#F@+-nkpW&439s5vR-QTm8e!Y=nYJ z6d8d5H_x8O_f8tQj?Vbc`FkI#jg5VjrzXf=XenPR5bpVFd;Va&YKGp64u<>~I}3|| z;pG6G=b32{cht{JNhoO6ZKht`9bGRn|65NuEv8XE@x<+pmP@bmc?kIm0R~ozKno_R zdhqbB&}^nm+Z}u_|9kmzRT-xvE!)lj!?OS)7@RrtVeWLw$)TT>Kn6fhD)sg++zIIR zuiyhDi5m@|?#hhGcra^S+;;_m>k@{dPnxRdR`$0XG&mRa0b8+rv9uP?F)yBKvBp#z zZTg26ZF3Wcs$9oRSSQBZqy~VGVl#qaJjn{kF zyYG4{HB%V^h@lb2@orh|*-|LYCb4=U#AYNE5#uI;RdR)sr5+a)j5Nc!ZsWjK*2|22 z^fUsd;VP&nuQ?MIBPCc$Nc39Yfs?7an}=cSf_H*r4z>j!r#CuI>7!PXU?Y+qh>Hir zV=@kne&k*^H0b}>F6rYiyK&>ML7;w>Z7Io+fdrfI$84v#$F1qcmOiq3 zy{+x>XZ!p)sV1JLWmuI^e7zA&tE@~Oq{(Qf!IOQY3WR<(XDUf5l6V=jI5*j($f+m% z^M?YTjc4H1Bk3x_-|GPhIAxyi*1~CRp$!;j8=J?II8){UNTJa{}2=;r*kn^suvLQVV`xb81Oy*f<6s;F5clZKDu9F{#Iv34hX%X_u<|Y0*@&^)e7K8Wpkf%eyjxIL za%cQh)&X`pd~MzX6TbODstWx+B@tQY;+88{{WjkyNiHEm=;W>5eCYs=_ZR2Z{FGq= znCBEq84Va7YG@NSraCsBq(ry3``gO;cCkkwCStUDLlc!}Yj<}YG3fhUfhm6;<=#8T ze9CY>=`!J$OO3hCz{t3-|12S4{rtIVy$TEg;%ynCW4L;t=Hp3;}Ljk`vHmSK1QCfmS zu5vLg_3`&>M_>!Oo5D^{wOSFv$n(uf%EIQeW&}4))kMoRH0F{QTfpKJ+BnUGM%ofGm1Kjzdx3rSzGt$Jqm!fHb0M*_O>e${T8HVdkR8$ zO7Wu4^uE65uZTV$hXo;T4q$n;fp`>}Dpziy)vw4DjUdERc#p{~9;{_us7%@(`-%7D z*+)MpID#{!_t}i;DkzP5Xb0ZP)~{^$?bJ!-NgNvYK5$}Uul@8S!AgOT8O_`lAQbTV{C}tR>grAGO3yt%>y@V`k!Pq#RT8Yp5J?F zZrQI7lUuVeg?a(2mDNla`0C8j4X?(ggCqr)4r<$ik2 zK^jK%a|I{u;<&#;Gm>4KK{{ZYO+$8M{0qXK5t9B;G`}OREmVN~h(aF`mqGl(JnEcE z>1RzPwXF(z9_@Jg-+y;0WRM$qhLur0Rpk5?tZHs3$2ESqnSqH`^a+Jf3VF;Ay0Il} zStUp%h&NpD6?Yj>DtZZR*yn+P?1cWaFCS7c|15i25HzNt=@2EQw{FgV2^E)n)91l9 zuh`O1Rv8aoi1sLXOh9V~s$8-?!aURQPkM)2m^oEU2O(?D3x` zsjX}>&$)V81TxUC^AwJ06gIRxSt){Iry7Od2K@AMknTj5rsecikWcj(SBXKMJG1YsnnvhNbfHT zpbL~5A;WPooY)}qkx7#5o z+IZ=;n}4+n_^$i zOm8I%Wsl6&D*uo$I5+;O0ry;t-fO?X7v^U)bL*UxRCi=E*hA3x9u+H7w`!}9BLH41}-sLB5$~4NNtKbap zlT))R?t93}UJ^ocT)^P)2P*^1o>(D`C7!ic6<62v35COv+dqLbIy zK-ws*59w#@t*z6d402w)uo&RXXwNJ(duZMC-3=Y$SIOM0tgz|2y{B~7pBaf(I~VWw z97#9S=A>TWfM(GGNoBNue7dsD{wU#7R0B`1W->!Rx%ovU(ShQ^G%)GM(U6xE>)Cla zYB%2;>#+7wcLBxJlSRhWBSN3dQa3$fw)b=u)(Yc-#6369tLU>N;?5t*BQ$>0{9eP( z2{ZJOeisy^E`}}fYHef+Ff@Hy4L$HY(D^R;e(DY{jV-6*sMVE|?bA<-u(8>zZJs^K zMkW#>^OTok)IrOQon}{)T>Gc^fWXZJJiQvO7p)HQu(Em$WcAz`ni&x?v! z#yZRmkKOE<^$LE}gdH)q+TR9yXT7)&`@{_2BM9TX*G5@_S)9MVi5&h$(CDG4dilT& z=^DX#NFT~eJLp(N8tQe-`9LTykW5Ar_lZL&Y)tqoJb!1sPM=qIjMqt@M**13kc_Z~ zyaf&fXP|DHRW5Ssxdrur`}Hoc1aD(<=*2D&c_;rIb`jr1b-a_aZl_+0I~;6FLm_W> z`W)Oc-m`8c3EzUE9C}_YHpzbBSQZBeRW_eH{l!24kF#fzKyR1{)?i7j6t}ZFT$SJZ( zcZ4H2NH2O@g^4UO!5!Ko=wPUt2HXMK)cRR@vQ5K}ItKlZ;c#JFoXV7CU_WyeWE70u9 zL|oDSUPD!6ko4W9D1d(l5QvXBBd_tos@gPHh1ay?*E;b+6KXQJL8t=A_AqTB|IPL=FyHSL|Y4n>GjlwKJIl_6??P^+JBny+9U&Zh*iikdX%0I*aEapS5gG zkS`N+yKH-0_REq^cd@Dd2-4!P&{lcc?79lQG7J9(Uml@PR1njB?t!C_XA;VY_N#W@ZM){Af8(s5;wWQb1rw zjK~A#4Zx6GIX^(LRGrS4ukrZC{_)OPuNteo`L7TN7a8N+Y{ovB_Y_7N(0|A|oIG=e zGN-F?Q4TzOF)~HWDG^2Z+0Pn!7VZxplc@T8QszTEaRDZntjGl zc~B)21H*!^B1QonU0sX5NDryM{KX-`aJb?L=Go;9`oGBT4< zaNJ*ju;u_Y3lThwm0fVH!MSr895lT5Luw&zv3zZ^F!scSTzqR8{5pB)$w(R>{jkY* zl8)R)9#DTz@a-Bf9>flq6r6YLu0XsV@g>g`CPINpn3ZyGZ1|8YYP6eQN*@_D(XoGk zHkPiD_dVN2#_K)KWRf2R?_E<)4yhTo$T)QDf^Ih1y$`pHL_e+& z)|D#&w(ZmS{4S6>J`wXfH}%2qZkD5Ukvh*)aCH{Y;Ww9He8zG_blL3!1ffa3#yQ8W zqyI2Lh351qGVs8lwa=l@KOqTsAs9gH;*+lX^b)iRb&q}cLu2O3)c0xG$A)dWxKt+! zZJx;AY^Q9z#V{rCr^N?mxjnQEDO*QNpACn65}Y9#vN&kH`FraEl@6igZ|yg6QCV{MC-_g zp}n{zHHIR%1_YNL%QXlxIAtg~;{WV)esfcMl;G@kvJli$V$wnY+X9B)V~ux(=b9+H z^#PXqp~-Ib8h9=k8gd*UGzi0~_r$C; zaUBz$)*9R&3{{^GJwsh8LL?Oz*<()eY)N3S)N_CKn{TwoY9|u7mii$`0M<6Hg~;r= z!(svxx;Fi1rO$F$$762(H?d{Br-<(MQSYIf)N&FJz(o^f=X}VrbqmqQSb}7wv`hLq zt{U3|hk2Qz>IDaDM;1i3QfYtE{BO9z~9;E_VeD8H#OWVm;4n(T?qk6ZfQ1jqN$!P|=uHADH?k`k635D^bwL6l%jt?tO47j}6@kjQ^TYfoM^vFk0? zbAB7s7pR~98bvuo%l|J3I?syIzY7)A?}{<-Wv5DBH~2o z=ZVXWHXFjWF0)>5qYemp@4bG4+0bNsQH@}+37Z;Skw6-ZQ4t|X@mEh12HHo~wAghwf&yAFl)KB%l7e-pY zeEBecsQ!{z!HBdz(KSe_ZpK}5yLg=#H9DSH4qxhn{2W(l(tQjea}}-j#avHt4eIC`!x^`Y!@!0zJwfeBqAnvJ?tEOTZG1@0P^@^6Fdzg3{L>yNxax2i1I5b@A z^d51iCD5&9Gs;VUzd*Nq5Yu@bUA1ex%=>QVj{>vF7!ufW90TRz^Ix_8mlhz`Zl5P# zA;2wFCAR{M>$E)lk%P<+HqLrQg2xdt*6q$tNiWD|8gc19Po^_1un9<{Y zpVrd)-IlIPL}p1IJ;2QZu{sAmFDhdZ*|1M{r)N!tSi>YU+%8PSd-qtyFdKSYUek++`zUB|%p>!ETX#RXv==x7%gg;^}wZ$(iOvV9;hc=vwpvn_T*m3cZO!%p&wfLFGB)? zF>|TVF#fly%`erDXb;eo#;PAV>8Lc+efC~{N3g=z&nBmgMfeV6mhUfjfvHaQ*Jq=N z%KTl2d$>FfmVkE{9CJ2JVLZB!XKTMD-thB!)En-ivQNU9L@!F~8VYKErg(8s-Zg81 zUpuL;?qTlfYa$b`t4gJ!a2UyHXS4&5p>y!^wRs)2WHt)<=F{z4I8O8cy1fgYYE}@i zO$TK#lLCIM;M=vCyjoWT6Hgv}YJU6w!Zn zwfo_d;h_Kdnm90nSt^iag%O@#KyhKA1+Gog#;X|HeOnz*KDXOXdItoTDB1NsokDyz zLY40^@Vxxe@ZJLKb@Xe#EzXb>gS&&%e@*x;%U6j$FikYz&puWJ`OrD7i!OlUs7rBQNqS1c&b6y~MmC1YcsvoD6F;ajm3g8?m_h61m%I2v zH58r}xuQHOtHiY79)eAe#4EblkgQ#h5Prk{+kzbR?uo;(f_jD?6Ul;SQav9u=Vo<9 zzdtJYb0(7PFb!vVuVVedBUH5E2ERl)=lF8csjoj%6RXwhiX_v!eqURw;?LKZzj~uL zqI}I`D^HSwn_r?Q!U3f0p6<*a7@u&7f39s>zkW696}Rn!sEYGAihYq+h7gUB^f+^J z@tKpPlOJj6-QDZjwzVCGQk)w(5oR7x&Cd6j85rCIo{b%eq~w_47597XB-NDk7rX50 zvZ=(kMdULCL1y!4Zd%d_V~$>-BsgS{{AY|%bBKk2SSZRpD(pC-aZPvj@`Fz8_D`{ zZ-vCMjV&DOf^4)4O8E1m`#jsazxTv7*~xEJ@!#}gqos6i-^b(Yvq!K)DY|~%bTa+^ zq{rix50DNUV7WM_JYPjYTvx0Tw}MW6uC*&zD9A>V7R@I^t0Pp$Y!1jRD^Let*5W#s zauS_Ndg`D&s?$=4U)CA@Zc)=LqDjp;}91%cl483!C`|R?m9XG99otAbTOR7+~;H^Kdj-n(#Ej7OEg&W~zE|Ot^Yi zqEPp+E5_2Uf*j(K^h+ zj(@ahzqgMWp2QT#y5`br$$OHolCv@ZfYMt#mfWb7?a}5T>@{_Yj((1l^Gk+r>$v2i zkO4<&b%R|2cM}!8ttJ)kmsNw4quAJ(4r+H{I>K#n@zNzL^5=N5(~LyF!COUUA@>$L zxfN$o_ETZfnV&9}*%SsJbp^w0^&hr#o)+EWq%b{gGqc2~@0j)^2qEhb z$zPIOyth)#F>#VrP?8y3VCgO8J}XCEy?J2p8xq4`fAJd zIAf9i$s#<(Ihy%?aDB{rGF{VNO7mw@LhI*G?WW>rKHBDo z##;6(dLeXCl>$o_v;%|^w}n1^Dih-$rz6BmU9M>3s>sc#yvM3oA|lycPTw$uJ6 z#!o=qit)B_=zM`DS0r(i zwoZC{Ba{ag6UnFd-A33EM}X>p#)-$k=s@lK@!cJ4oCs0;*V-QEVgdGh64&~HS9#pm@i3r% z=9OWVK634vd=As{rtr?-2lDyrVTDr9Mzp8*(Ky?(%RJP{tH>B)>DeJU1UW)U_SK4% z-8q6>>5Fk|3GGR};4$C&N=FlN2!#Qa2h#$Oi7{Il=fhVlV zf+Gz*`e7Wl0HFJ;uX%H{VEvY`-DmhChlUJM(j}Z2m#`Oo)enw2vHl?wFWqh<$yua$ z=h=O&D;F6_HNy4di%tbGI_cIP&ztY_M;iK!GiJsKG96P$JbSCdrmJC5t(PZ1Hu+X3 z+XLumXnmqC{g_O0n~PF@n4s8pSy6o@yNtN_rE5IWnB(CpJ}!GzDjPM=7+$wRi%jZUbvhcOu)-MR?q$8+@-MMcF` zW6dy*n<(`Xr{9CqN|;=;$M-$d`P~**v4zAT?&toL2l^kRL`I)Osh#>Lj9 z9fw1+A!z*AiHa-54y_i>p_qfXO#-u=%>zx1sQJPus2S(EAbh*xDCy%x6CGRrNjIGp zSsC^uY@0I5PAN2IW$i_>9=a8!aj&;L7y}pZvCg)l_n3_)2~2Cc9|1FBlveM=b=2oa--g}f>=jmluA!}BxTQtj=l&}LP!)DkyViug^VvLBgwj- zpW}C5_v5;*`=8@DALn_TM|{7Z&-?v)Jtt0XN|Hf=aWIt3Z3ckI-Vx1SB({7>qMp9s zQYzwu@z0S<5jQk~E5U3{3ZzC#ym*@=C83xThpuM!9ig>{lYE25EQT@C@gGt0jPbAc z4@4p(;6u##F5rD4+LGE{Rt-8*{~r165tH|FnhJ9kTnm34V(it_IXs0sui4~VwqLa! ziqYh!UQ-!Qn0}-<##XXpL-D%_HY z`a2NY>=ic_CiKGgNXLzbykuRNk7xkm=D`RUiumG_-W1p0l^P`lIff|j(-ipPSU&H| zNJU{>@Dh(K0w`3ea5(J(pOn|LFOm+?w1!JNLsbaNTCGzv9ZwEusSHZ~z{Fv4l)Z*r z;aA@?Z|5{vOxfC}k-{7=kaNXNRP80(Pmy;HxMTs`z=oK|Un1 zX`D{=p*r6~Gg=a|+Uqn+5q^xwBL<$Iv$JY)sptRQ>vFs4<%O-BjpZ}SDgi$gRM|N? zszTie%?Nl|+Rgj$=gA=c<9}M*xJewGoTD7$M5qwHe`>Q&sV2AzUU8i@M*w-tijv|} zK8pu0>0R$2XS!=LPWSBfpB7cyWw-HOw&`LQpnK-fh#|^z#%-MJ@yn^t+)GP>-bLW{ z-oE`XfdbaM9MtO&#~4;>-*fQlS-P@=Jh3b(S22@|W2VMWC-D&LcKBra(>g~DLp)Fz zAQWw>F@yHXgBo@S463_~liGK`V1(-Ym(QOGSab-TwYop_3h%^|v!in-^JlWmPuUCf zsND6_x|hPVKfb4GC4rwuysH~MwQ1`ZzH8Tw}Aw^N}zB1UokCC#qD zy2(LQk1StY_D*Tnh97~5VZKo9b&NE^Ad3!^m$HMzD&4U`WH*hc-jbUy#)(=>UOed5 zf0p(%`9Q$4h24+lA#1*4q%swuZ~M?Df^%Q;M`Z!Q2}8G>Q{z(|El#dnTV>ODmrjs= zka)Vp`)EO(K^i(fZi0Lxm?mDbX5{4DrQ};0x^g+oH?HS@Jhk|Pha}K z05Qb~uJ;nn1}0wJXUlvL&$F5LDc_l>4DlP9e|ZKkS>K7*Q}>kJw-lAdDHdW=bU~7( z@6#u$^8OD_PqAK%v|E*6Ea3dWKodapgD9@DguZ*Io%8BdQbP3sEk&1+`Q?}`$85`dR@ce~d7;!*gKc`h;6HSbXvx>d?r|5PPEN|6123Ir z9>c!;{U5oo5f21I?LCRpsdC90O-QcwAKF-7BKZNQrNG)8a+@x~P-$Oqdh7GRrC3cO zyLLc-s>r1V#%pElc^}c~!?&e|FP?Xzxb>4()Um3;0*gF8s#ke=+LsT;*1Ogg zb*NSkh6nya@M3y?{(W(M+(E6^50#YpF(<@P9Xcx^KY!@*5)dbV{G(;sM|F}fFtY_s zbc)A-5VvFjMcK@WL06SjKjMrK;yF1<*NJUd7H;`Ybnw8XD3*CNznb$wt7MDAZKllV z*$U;Mj`@y_9Q(P6bbf%2 zyjq6%*TlKmVCYKrAXKc8JoVe-Z}q@HwY?l1 z`rSI~J0;8Ze8#r(;Ms*k2ieajq`&B&fv<+fnnX~i);8GRo}9fh2o1L=Xa>UnMGb0e zN2m&dM(9XH43f}ztVKxK#r!YeHF)0;-ZDr#UZJL##1M7t-!u_yx3Sv3F$@_I+WuND zt&0J}4uWHVOqOkQfE_|^-VPZQ5$u4*9E=|i>EjhVi8?Qz-yaEsSZeRVoRk-${ zAi1mpXQp9Aq}XGxMQlmLjOy?g1K1njf*15sz>iMH;B6+}lFMYUf9O{H=6PRv-!!zN z$944R0CnL&XV@*{{Z|B10ij+f0!%5Z2E*Yk71<&y`tnR@6jW$(qX-N#eK+A;HP|S5 z9)=RVFD#qc?yD{@=blQKwiJ$yaWz{=NgWM*UujxRTXgiHMJ*Dqv?mYB>l_p@elM@7 zUgnlL^q#DVa>Mzwap;8> z20^xcbZlf(Nb$%@4RH?CO6K@Ke*rE8ER>Su0m3Gh#8E1mMc%cU`p!p%?ziOd7p^gj z5~5t?BH6Z@ix) zXx^eWlMl$AmlhGJ4cEY?NQ9aBra~l}yl6!@$1w6XoP2)vAtct@!KJ3q*a1 z)i@U}L#$_x6=OR|bqYEaZIDfpJv3-3gTi4MH@7ZA0)M*hy&=8L9?|N%gKnqkFHt=G z8DXs}k$BF_aeM0K56<{c3(Uzk7i9aYU?|Vl4_yTDrmYj2i@n4(>e=p+R zBh*b~sGa$3Fc80#3GbuVG*M}nLq-X~N=5GgiFeMm(!tZNygyPWXSuhTK0Atf^F#HU z@_mQknyy230c|baCtxjh6L$Zk#c)I3<4K;89`y z*Q}GJy$;CJ8)3NTV6Bh!0uR~9(z3TCc!q>mAE1z*kJrgL@$s}6BAMmtFL{pbKsts~ z^3D@oew{4iKrco-7rm+p*ZVr!2T3F%8SvLGrLJycifyK4WCm($0I|y!ZGH z5mprLp80ckV0V;YaPfJ4!=~x+j*bXL27wCNrf;2vLOW_+pKq4GF3B0HCT8t&fN-#IZPoAOckByXglmzS@tLzAp> zmPyxho-WPGMr#wTljRG3k>RVI_B3M&+~W$Sr`NB(uZhD4#GbF1UK<{z?A3W|GI?QT z?Ro!XEqoVo$m11%yA%?)X15a;gk`wFR{cO7=j?_uI? zB(n*#>x2R?6v8@Z?ry7+Z*9`E7WAo7`(?`zt%9Zq0UrlD zJ4N(&(q{0dqHR+VtF82BJeEqr@gv;FNCh_pk`*egaUcEd>vx;bCL5w(b3lc(=;O7ZimXX`VhV`xMGsb-9U6`O@sVBg(l^|JNdz58f&joyHN z<=P>P8ODKrX20Qk9z!>n+GAU9w>G_(5~=;Ng(aK&B(J+IeJCFt_x%97H=B5c_*2!| zJEBJCJ!3TM^7Y_4g#N9j9BM+m-pEG$PbZ{Rf_5N8|y8v9{zu1;q z0%ZD5@K$D@Hly^(-@cAWdqLbc(eTMn8ZoYbIh_3iB8Pr~N}jI)b8>^(5GE^J+>M6w zP?wbmBP8dnUH_Il6aW$a<~_^LCz>k%${-hL*yZh&B0;BhknhujRq=*(Te)QJ0U-X> zyMO4+o*#KP0wTs`(%WqYAsYuMHWaUGASSJ8eb7>Iav6Cl*9#`HIg7h5luc*a-%;e@ zB1-hSe#0y0vMU~TI@|_dtEf8Ty&NIrAcb8I1O6&{ZPR^2#ahcJ`k)XUZ^N8r(OhkM z1Rhp>Dfyeono7g!T-8WC)NlcmY@p?!5yK1d-jh^4xvN9bxd+B;$mRAUEfbZcyu7@# zeaS!Omq&`zw0x8le>qpX1dNJu^Nc397Tl~hH3gKPEkE$&XHwur`L3F56IEkbsT-Tt zjMTO^iE911!Lmoz>U}W(<9V)3@&Ba-AY4{<)CxM~G7l$I1o)Nj(ks+AYmkC=+;ODR z+f%%nzxrCohKDRqzmG3je^S2L>oI&4+^n0OtIwQ2zl&I1X|n?VMMm-L_d>qLUjzty za?&z!>YCYOUVkz~5tRG@zxF~dCj$Mkq~9o2G_(g?DiNqvhcrNjTEBbm zhXWy2y_Yd69r?j3wgUAInrR@M4jvxQrD0)nR~J;*MHoTNP$3ngW;RPchrNqG#AoLz)1KFD0T?BuSaqJb=-b_c*|>i`|jL_U1CAq(HuX;|Q#m2#)pCA@nJ~ z#t;`U=7ZcVhI2Xs(WpKhzc>QHHW73Lbc@8Wuc**_OlTDiUlOn2Xe0UIoezb}8TI?)dz9nczx(KGn;R;+pxxPTab|MUg(%EN zS+AjFgZWiv7ve~W$f?;eb-uToj+FP`2SVebWL@HreXw~q&Zd(>`T5%an$nVA2<0kk z7S!aq#lJBReP?q+eSQ>aKG!Q)!#6Ki97=}_{QZ0c<*y^2bWTe~Z+B*dMw35~Q!w_SP zY`yt;j3U^fpLeRwYuH~az3AzK=$h-bXYTL(aE_0~zh&%uMN~u4D0AAz`@B1@4!KO) zQqx_*AA@!A-6}e&CY-A*uy`8JPV(A$T@%MXsPx$xNR+okMj1*&1 zfMqF<6ZiA7_cTMq<$a6?S@v~&OlX~yi)!?f`$+z0vvsnysCYem8wH=&hcv$6O!1Hg zji6ASADKO~A4@c1B3|DWjCkBL-+YVwMs;#kE3!xAe)_FTHnPD7jJlgN)h?)g1tP~U zs6tk~i3i>CI5nx!B5^{zPpGoslb)5>OKrm@a4qH#Re3*n^oRpStn2yChP@(_HV_dJ zX49SuBpeZa06!PL0akRljESM<{HG&HSt!f|;vNu)tW$cJHC~3_K$g;U-VsDr{>Rq~ zLTVV!dE#~e?N$mAyE=^rYtz;z>)yu-dCH-d8X1G_n`RMr!-G2*Sq7U(hfuQ)*%yf< z17Qb|hJC9HZbD0!n$?#3e_R+`5vz0d@_9)$oKBXuqR?!Guz2Z@U zfFUv7L{xDED|-x~Mwy*JWgyL`uB8i!D8awIVhagWKS=LAu3eF=dBilC`41ZD5KveT zQmdiWBeEcb5%S7^kKfafZdrLAN9r&JP?8dv#8&neFxh?zB1rqck$@vuSp<+s{p%>* zcuvO?*Sww^-@3*>riK|k+P;gGG(Uy0f>rB5P~wHF%6&E6{-T*^?KIpoE%z6`Bz_| zO!LRZ=fs1C9+Us_{dq(1F|d9)rcy9;b5<6TU6=dA$g>PU;6-{t0nBjizW7su?hR>%njs0>tO**@VgKmSq>a-I>D-tx85s(~9Z( z_KQ8u=RIJdyjxeiSggfKCjF-VQ7+w*oMwwC=1qvmy4;ZC+<6UH#9t}UM-quM58G&n zR>=pE+nBHf!-_xR`AEv`DGT-fod#ZQAgz9R=^Zt(+G62;-s%o`?;TWrU*p135>ke& zN)D($1ZH!crp~H_2UM$jVOiOqhkq{r^NyO(^D#_4ZFrUbP4qEWs=cwluCr7=_T}ol zHFj*$bj~;`LhtGJxE0gxk}enZ&fbJEj){Da(Ij#LooDz|YT{g~h78wvyQ5bn67?JH zix|rFd08J!Upnn_dV=j~@8fy(?)Z7q0JmpVEB8(#GcH;m1AXcXMMcs8;)V zDpBHF%{sY;#Mb+PaG(kFk6m{*IJS)rr+4Hmd4AamaT%&p+bkZneZuwEEeqos8O^&zG^* zhA~J&@&}PM?^a0M#M3Do*tN+DxpEx0@FB2OQ2LX2H`&hcQ>b1a0h^Y6?uwyd!fjvJ zZa2SegT-OpwZiy4JCuGO?A6$Pip8NK!isv^BZGV)-vGK;Rj_)WiS$uPa9AXCupyUp zzHjzFS1tqqxWz>DpZYB&90b4Cs%e zk=t6mpNJW89F!ud*0kYi_RD_2Io^XNC%HIEEeG$WbD$5^4c$noAI~UKx(k6Nuebx=acqQ5}HE*b$75 z=)hq%hJ%X{FYel$8cm4|v|TWn{57e2rca2ks~J29c3FpQIDH@O8OLFR4C&&JCZ?uL z<^B1BI${suNg!lmr50jOoQ_OXM_^W(EyO^9W{{}V8a$WamR<>b%6u4h3goUoI2!$m zmWx`;)6k?HkKgzA7^_JUGSAYM$n33%9= zDk)CAw`-@&Cx+rN?qyT4yw#+UG|MaGd%5prmG`AlYnC$M9y-Z5?lawEUDjR+0bGKa zC5ZKIGq;{1AZN!{zg4@>;jDt1ec*>UB(NutzQII@rM$WJ%6hTF5#y$ZmQlbyjx4?3 zOR&#?7d5BN#U(}Dm)hsfpEt)4w(^)rE`m!+fHX{yd_`6l;a)vy&I$k!_$13 z_>u{4;;#?ae7E~K#}V-)d5yrd2^qRS3DWPjH9{^ED|BAWd60;?y02RC;{=3Ob((Ig zuI}UWp4p9(pSeCt5BBurcne&81Pd{K@5-kr-g7=`xy-B(sd0B(5nHgf!8Z0>K8}2B z(xRBz;CLz+N`;S4+7h!l;Wb{0hjI?zytiqKdjd8zLKQ@u+sjQwG+}dhpiT+k8HTC5 z4nAj(TVwN{WroCki@1lt7yk03JBt2pA3evD8}t8}0*S@RQC5-BIU&lFm$!(pKkv4l zo}NsCYreut+JC(s_76=C059 zwr3S}&NnSp>Iw=T`_m|0V@cAbv9gS~t3Hxdcc^8@au(JAYKr}mX&NW`nQxO+ofk^IAuH{#LKA8KOQ%Q zCqr$<)|k~t4yW^fx!l`G!vJGz5##vq?*{}A(JUjBF~w zaeB3ar%%5-G+_#Pqc;nCKOyJui4z-+$>zDvL1GZkvEJ`-^n>S7jyqV<@7=t|hFS8| z<|MtSi=Fc@cB)===zF1YIV!fd3VR;4m}AiekE@WZfoZ%Xjc7R>XumE&2%dVw)zt|Z zw4rF7Ad|^>ggs}J(zhWrcP^!An%C`IS`9yMVakWc+AM2EzNUwEKDb;HtLMGP>WKJI z%&D}+C@O6#wHUq|{}NP(va1EO>=tgA?r&Z>#3sG=(<9;reR+=Pqq$i?_alqZy83G- zk=EtPjS)?AoYmE-t%~|qn)`V9G8Cf@GFN0x7eus-J>1~~w1e;*Z6YCm`atS45|P!; z19;W21Nj{6EqAu;`W}1U^zy_U2n*Cu6qpykXYoB1=uBunkP6jgX$o+M2>jAEH!;}* zvu*7lGCv>sqvV5aLI0cMIua$z>uW=DB-Kz1KN4-z z`Zo_;JdMFYaKG8hckE_qv=%?4S%M+bo|l0an~;7g9*%D*;t~`R@4Mbrahl)9f>J$K zELq{GfZe3ZxJ9~dEr#uvhfO6pf4Foa>js|C5Xab2*RxZ~?E;HhQpu`(ESF_r*@xq4 z`89qD5Quw+#tGBkB{IRArYqh3nKL3{jcZgR8zDRu5_mB}d|F5%Z7Ys)@@&2@-J*3EU-l{AUZvfJZVh@$P zu;vREExnN`3KGjbIKAe{_N_Cby%*!;a*lOW(Wz7APKzk6oZTmc7_q3u_^Vp<-32ET zS(9sHlzWV#_~O2@bHpnbMy;=QzdTyC>3wxXemB!1cybsYq1;81;NROqf{NmY@ zB4jV;W^hW%!y=KM!w7j4N-~Kb4r-TD@lu3U&LLaOT{V~X5c?5V8_HU=ffH&*lnhh) zrMGJIesseoCf!0sNn5LX@bP); zGXifB4@Bb!EaMo8NNmDF-0pud3XKqGY$ow8UcODV(GVe-VlMU|J>e=7%E7B<&<02a z;T(BqpR`>ncEcrLP+T1U;^U-6o!=jV9o;Q~(cAy2)&(ii*P4P)10#?tGq=R=a>U8u zsl&JKDV#gV zeqad)dxjppV%Oj|NQ^h*d zjyZ7;6fJLR_;Vizws*>g3_6@Ss<5l}e)iR56fc8o-0I=}cIrArEj`i|v z6y)V8<9usl?iKHyboCV|r~DnIp6esXdPOIK$mbjC1VKLx8mk_@FmqB+iV=hnN)6%( z4AP&Gx+@7fUn#2`zHyNEcb3p8EwpE^jW*tLC}PeIHv*SxJ<`?Dkv0(w<=d6!D1H({ zV-aG92-`C$;FR3X(BBC!u8(OtZn=TlOfh3F5sDARFGdLkCdP9gV#g*isI8>m<$}<< z3y~h8uFD8dRY2a=ch=737dK{27nYWUj=JXK?oaU7Pc&)|9;gQvvro z=%xuM?KlJbp*a5wQ=IAX)1-#==rJd_6?@NsU?%iCviom-#k>tUJ0kE@(sgzJ^+i+a zyz%O##R^~a72Q*+=g#eDKLrT*M_cliTE9@WOg$!5qALU%#MOSVqX>g%njm5nv{=6X zeyJ~|Jfk<(tM&^OgW*_U%1Uy}jJlm}%5bHOBU)r`We37H@%I1R=L7;CIK3G%T1jDj zzaVDO$s6tDN#-$1c^~(vI51?rrj2o5g}{Q2f__bt{9$Tgi^&}C(~!yZt}l31=M_$r z7i%9(ZJ#%d?a8rk=A)1O-DDlnTs-nQR?CNPsUWZ=v2yZ%GKoUN*1JMaZ(Q2n6%lD~ zcXJclk+QF*iruf8S_AR|9C#*{hF>x5MB1;sq4+mB~nrNmOxP}*vh2ysXE1Z6g?RPW2ls)nx9MM-w`)8&n zQo&9V(ig6>qdHINvtEDmb2ck4FL(K|0EV)@uhibTf!&%U!jOyYO~iKK`@7HzIWlOf zT0#$sJCoio-E~yvJ)MxHS(dY6_%ZZ{eaRTl8Rt_JTL5%lsvi)@rTSkk+d)ZHT zkq??l8VLorqd@h_p_c9=#I;ijry%r+R##pi?hWRc7vH0N2J;Ps3XgrJ)E9uM{6OzB zOlEGQ$TtKEeEy~W5`F047PQWrlC|TM@ za>7I{BUQsWgVDH3{Y}?EVnFE}P4|RMn)9~!z&ze~cISjKzAlagm2PGsF=_5jW+|RT zzSfWSA(PV)=21Z*TumMJOQSMVo9LE%e~YoryuNEJBs9Pi)H?Iek=UYlJ8j` zW-lUf|GTKC3>QuxRRgSw--rnmF{z%87Tn5H2NZSG)s{V0nMtfwtZX_|9g?m`6T=@x z*6{D)xq8u7{QTLog6;e5yA~x%#13T8dQWmjRciD#OC5jkDgT9Bare5%Cd)Oc$4Qb` zy3-Q>k5o{EgubWewxWUik;+h@DTV1Wby(Pm()*+!dkewnZ1F;1`3GBjq#R$-w9PQiRVrV33a2IeKFu+9d!CCK4*1JrJ`H z8j(}UZBI80ovs`9YgzkQyp0txuh>vu=riqAR5KOAc|5PXyu~E6??aQlR=3Xy$M^5a z9WDuxUG`d*2FBsRKUAz#TD9?b^JGd1grh3u21Ft8BK(+opC^B<1?&OYK3Z0|fK z-Ph6Khw;O6{>LVf3+>o2tdHY4-3FiM8~*kYj8)pnQn5(u7*Blw6;ynxzgW)E2Dr%P z*%A{I3Ch6A6uP08Q%|3H`~-B7`58(Dpcv&ARe!&SiemXJurBX)_&XP0)7ra4bYcCS zJbml^#`>-4YHJVU&!uwfnvcF7%@pn}9^2RdMU2M$(Sb7hhg^2UEIHd)H2vhce0ltJ zqwYqKczCuBj0(iCzU2?pI`&RSP(s*M9yeY&&>;M@- z=?^+P^%RPoPm0U~Q%CS0V|`p{y-q)WW$ZbL#A9tLgIsajoRS$W|8R;JxrE=puW?nZ zQ3TyNHZo?$ducFn%5XKm-X597-k_$Y#_zI$fm6MQ_=Jh%>_6HEV--;W+xh|9{9Sm< zF0ic?R{Y?oll$0y-q<({+2Sv3v#-`3lI&e2<|SQ0i`rLiLNZtTKX-TUOZ>2zq6RlRAaF4-@_b+5d+?*JUy z>^JX8g-;wa+4;t$X>(nViL%YL3-3ZM$m*7}kZ)LpQ3n_^7gUue;p7dQV3DCN>~93Qgns&xTC*6 z6ng+^xvvmJ&XbDFfEKq7b5l453h)6D53X1PrsLqq^Z1Sc#F9wFU6N@P%;!P7ulCA= z^NfpH+4>ZpAG>7t_>oOfjfpSx*a9ZHVlMfwzdnVioxJp4ZE~XSan(*vy2|5HBAstC zU9xt>6+}OHcV*6}Y%2X*bDTh}Wb>Yrz>9k_t789`7C=x?Fv4dqqF@aT56r3@<=DZS zQ&hwZBJ4*{yNVJa^Ju`AY4alPW4IsF=r+Y>XVkY;SVO3VG}-%RfhlUp7R~v1Afgi! zUd2{Ms;kc%N1Q7pmi#1M3!Yu5OpcI{%*(p=uO@Hz$qS(pCR6fimv%RLRhRlJ|J=lA z*nN{bzJ;ACPHI@*SBGgKR*XJzI_G1?HH3JywqVOgH7}JP zqNkjFaqu!QGBz)b{C-ied0?ocZti2x-J)eP^<&-W`$(ZKi)0(u7RP&8`%coSCf$e!~D2}QCcbHY)6_`{p za7Dut3K{}H1Wbi7F)>Z+-VmQLyyl@P@c%8w6RuT$AA)Akf0dsqA?oi;e`Rp#QmW+i zBkvya;@k20;IA+oN2jqy4E6ig40X5|6tGtN!U@=rXB0OXb-1mfG*4xJ(%);V`2ss3 zH2{u5%W%=2HgyP2E!7Tr+6SfEPsz3n>F=_=S0&ujrBfzX^VbOFwUSF-I&OYl-KXw8 z{=)R{@}cfZEiSgo@TBkp_p}#E>G#h_&3DJA(4LV>mdn*^_2QR00c|kxV+uY8t2YeY ziQ<_v+x=ceDpO{>c)?2OZIDj2X*4!*Xm(c}vL&|o8@srO6GC2d222K+=SkddS2^eL zc+_hcz48z>g;YV0ix?^qNx?tY4;W9K?>5jLil*ImM;{{w0f=Xq!ytHYzJP+>mq=On z$0w;Zcm?ACE-C?gBFQPWeSdouT5v?^kbH5K?Sa9SU3)}$l=7|ae&)O0+A-xv)e{h& z(dJ-e|1keptyIg?J?{38JNs@8Ts)GOS>GCBwBm3E`ucCHQ)v&Flo5CajY~7#bBWYh zD)IeiR}mLa7lsG6{@3uU`{Ci6yKdVsaX5B+*_8KRjgX%7P{iW1=2q-Ag972Til1AIz!->qC3#E3kNxN~!;j!MORtbAD-ouPrDASWvCOBeX z--mSCaV_~{G(TND3i7&ky0?Tsy05Ei-#<%~cd0&(m#tVHfZ!q4&rL&vo`}4LaP)tS zlQ2fMtop9>4>b@kOzAhmlLt2y4fmzQ8n87d%zfnc-hPuNQ}b~$X61QZuw0?*DKBT| zBIdkKWk*yxHg!Pw2_sVP7I3)89!QCh8NT7Ri}Bg^#x#oYlgT6Bozf)@f;Jd4&j+(zs&w`RO^L@*^n`Zl{M* zZU_HM!SqbD0==&|m6NO>_JADD`RH9r!qv7jwzf~wH*jnihb9}jx~I&iN1t51rlNAE zbF%)?a`z^^LA4}zQsXfxDLX1%*N*U;s;M)TF1n}%5B~9^Zs_dS5LUsHyrFF|NfU29&UFU zT)jdr`E6ViP3b|iBKl1i4}M^v*Uod{Nj!e?<6@xH?M4T-lCSs$!6HQU{+yapJbyl3 z*(Kmjnn)TENg)Z17*)_N;9{r(X-Ddw)5lZCH<5hj6NiRT5XLIGgIw6WPz}j?lE?Sp zsO5FsSy{pkU0E7UW7lc4{@`WLjy;8A94eq}_o){jb)p&2-ld9KU+s{(Z}M7Nq4Mnw z4Gk7;ypeRc)cChA#1?u}6Zyy%t%y2>3t+#G{Sbn`13b@JKXVI;H19rE9q2q>@jZ_6FJ=oXj+fnVu4MFBy!+hCoSgm1(EBZo=N_w7x3%Y1p$I{Y8WRXa z=}M*Npu0+Y0@o~(2dUzm$&b)5R+`iQ!B?0P!txy2~ad8oj>ErKDbph`PipZHY* zFDd;w78YGPHBbdF31ZG^s7%Wrnv*5NHR`^+bni}ClA2G8Ji@tqJZWfrV1lmZCu>@# z@*n->Y8Y`IG45<)6ymTkGb3RV z=_>R_3gusDZJmj1uO@39!|S79upz{zk6y;h6XYQy>@Xl`~1(v$<^ zpK<0sN8vLZ!<0>_Zk$B;WzgNb{FEz57-z$gBld%EIiRai*t!AI5q_VxcDWS?ga+#T zJcF?m*ZhdL*?o`|$1YxDLid)*vCW$|V>rGqelDJjTTp%Zf+S}H2xIOCz@8a=v;;*+ z-y(|Uo?qaZt(B|{^*V&_{kgSOQ^f=1tt$_elx$uS?o{N=blfW`BI4(>@`ru;*R)q% zMTgCEH1}otf<@E1<%u@R?K+YQQg2yz?Dz)GfSHwhJa$E~B9^a#ojz}q)%fyS!x@*^N8Jfz+rm05^>Koqty|^$>X4-{&qx&?O z%lCXg%f*v@m6IK;{R-tO47*Rgw8&4)qQE&$bVz%N+EYL4zi#VsSj6|9ZPN=0htQCa zQThGs^jl$kwDqoe{o1s_6;#CtrbUt0MkXeQHR=5pbjNQk`a|f&r+f`vsmhod3HNcB zbhrHLZhNpPVuUi~b?Q(wp&_@5*S{Q3So9oR8eBL~+E^BlKWk}fS}^d{;!e!Y&Mv-jY&yl5ij$Y|ILE8N4cohMZoa?kG-r8cm#IhkL@SCD z2d=jqCe8gDL4$F$XJ)24-6~ONPe@aml(K-eWK&-NE=6enSMk2nlAz*XPWAbdFkrp0 zzV;HT?@goW8NzLavNgF!XUc~f0MatD7*@}^iFmrYezGyi z)$&juR_l6;k^3^}{EYc4MQoVSWKz4C8FsqN03_WPd2gZ+8zTJ|r=Mc&$ucOG`k z&CByY418fPD>T!#0$HtU*Tlf9hxo4J5ODBTc=#JD!-mKx3WfaIrQh& zXZNqfw^)jpbkTLOuTU19r5MpWlRWl`9Y&Okmu*uKu3(UVkzdc7qq+4c-qU*@^RzHdFUV?MpGpx`#j2!#VCg#|k-ab`pIFvfwo@QN`Mo!I!c zro>vm{Nz0}kMj(jUSBeJD-j;qGj3fe_i3slJS>c@A-(i#;+unC&%123>7)@-Nj>(j zl0ki{Hs;5P;gU&te}RgRhkgpjll>H^zpAz0zsj(-@-~ewz_-tg{~Cp!P@i-7yDP98 z^qrMb?*972m5H6R%i(?BaCe*B9&?&uTuP(W6ntQAIWaf?f+2E$GV^gE~n_B(&m$uKGu$o(xu{-;)+o;S@>gc-yh4ObhjU0;px4*LdDM=(1z>@QLw-?YeG;0)>!?M2aZ@G>y3&; zsJnqs2}Mo=^{Sg)(t7X(jj}lJ)$8Yo5>br5n?^Gmp%$euKRK0~2{D~;sZXcPC$n*3 zVc|FlQ3&~|fi!0cI6+NDsFIkPC~E}|rY5tyuD$BEc_OT0rW#RG)GaW{Kr%G-mkG1S zZM8LC& z!QudQ5M|VtPoMbgj{>RBSW$69hiGcFH_XgO5NV0d{j}HR|%w`h~j*TLHOqTmBsFl%p{naGG9Do zm;L#21dW8FYgTt@tN7o~9X;PA!P3Mv~khALykWgbBc2Ix3#2tHs6P4(Q`*>aZss?4+@2kEJ41^(TPygRNd~%<ZI58k8*##^qJwdtP0hcgCKL(S76zFWt)LSssx#G8%9KF9pzXr8EZK zop1e7k=I+beC?34xoR1tz`%CcrkBu#2a3FDoP)mM^#X@ydP~@XY;VgW^-Otgv$Q*3 z+-|o&Gm48kt<38UbgT2?eF=3#Lw4MXojST(mJar~z^q58qc)9R&4e^XK?_EcOK$+0 z`~r1%F2$tZpQCvv(_OS$I(&f+{H@KqC;b)0s>vfg*{6^-jyC7+i~aXUW54~o9~K6q ztnoGqOvMl%t>74Ao8z~`% z^?mGDm};i#CEZgoZzyZ~X7%=dNB1-1&4UU;_396J<6D|9AC;7}k@tkaL{JlVaIGJh z*xHWq)`S4GYVHFl3ck5iQf zWy0|-++rU$8}068IA}Ase{C8cd~W&aZ2b%i!^DPmw**>7@t*-iCn~~8|IqV98%#*? zZ=-AaYk0S*<`^?ek5-Q~`H>pSd*>Qx?>*O{OF;1=yU!i^!W^3%arzWkUl3b~R6plWBzgY|_Q-1!dT%E7>RO%#%=rdmaEW_N*!eo}D zdp6#ZG(v8j-Oobr9B8<^mE{=_JY+CTUM28!yf<9(z7GlvOK66c+is9XDeplTztcx? zPQs+Co-|9eH^L;Nng5qiRpNf6JU-w=Ng~`4Zy@2lBa>HNetsS3+JBPGfeM!~IRZ06 zYY-DjRj3hv6d}+-E-np;(DcAqN|E4;;oF%=DG1)560z8&v)gUAnN*%J<;<@OJoS8s zDI5ADCg+XHKVl8nA8-FUbf^a5Eo|)Uk=1x9!}{DAsRcX}z8X@oO22a!JZ&=RCPcy#yWqE?jBZS*Oc8Af{7-c?X`$%_KrkP895* z@REWA2=o)Zg5Hdvy;`(X>MX1p5#yKbr3>~p|8W)ZSD@#)5{gyW{@_A%z~k>-U8n?_QoEck&)f z_n{?X>6}qZ{9n*v#QvRKPNs+XRLwsnwm%i`kg~Vn zzc4bp{HohP;*qn_7T(WcQ}Hn|wWuI&Fo-TkkpZQ^^!##|TRBTWw*C{!V&{2Jw-{r! z%HZx{UyINaa+d&0g}wXog$+(8=2Ja~HyzWCe0vW_V?A&zm_8Uto>y`KoCKJdL~ohw zcJq3Dg6V@mJ~O{VOPw}F3)NqSR&S>~%yD0t*QHC=fgzYp?A^0Rg?rn!+uZkcd8i_< z+)tzNRPDQ2NT3xm%$EBA+eND_c=?myQeXM-}u;kT4f-V5g(*RJvEy7HHRftM*WN z2S2m2yRDeWnhxS6GN+LbtG8fz^QJTeVp*%mjTnItFmkCND~qaTV{I1OUMnuO9X6hN zdd$G#RE_}D;f+*pdWd@5i3i|0nGgi9{d*+}VVE$aEB2Lwo5P^eRN9kg$SdN?y){%0 zsqmXfLgx$WG3#5jB|ds2f>2jncuM74e4ud$z3+^_QIgR5d}|m`U>&Aj5_cixlfCqw z-RviYGIUCE?5kA-#d~0M!!H;-ldfT(@J)as9}o;KyzZ>$&$nUtX)Z|%do|=~KQ7Ty=m)Tvkc4Ew{ z&#Ha-de{faCwSBqzCMnWmr`n*u2hY(x!hn(-pzQUC;y6uUt@cz+-S)jx@F6jbl$Q6 zU$)TCxu1fvgTieE+rg+6=h}@LK)d3McI3g zl$99~3Wcof5W@X<_r1S={O)t#_c@=_IiKo?>$={r*Yo+9V`Dpf?5DpL|1%d2YYh@= zVgFcIkeJ~7e-C)cp~|syY7A~|VrS?`>+#<#+^Fyj`ELa&Wi-2FFa4O zV(+J?h4X9i-rn^;{6hE|%5V*uMT|)ts{MQ{w27sqT0gJU2)GvvRfpLMn{Gij%c*embdcle?LbBqkSgRGC1wQb|-PTmV# zX1=B4&!`;@Qzv~ysD%c#_3veqqS=Ap8~dNDEwGQphwpjYZjf$QI5K!{Oklaln_X*C zX`FKGx{I5J%ZI!4t1(;jqK|6MXgF?3D^UnHP&+Ye-izGYER*i8u3PAyoOgj-2*ZB; z0K`JQZ{X{3Bwo^hkf*qg+X-_c@lvPQpbxX(d0B^4g=p1BXiIH&a6Ol>0}~h(_e`Dz zIe`yxfB*56 ze1R6g%1X6`K7EK!CDvd2IA1ILP=k1;ETKtdtG=dFa+}V3A+1BS`T^Hu2Km>UC6kY* zGmy4s$c4|;__?Yz2%Ts$s65VI62OS7?Yh2r0H$@ZriyNyjr z&sae|$i?d}vp^AF%c;l8;_({?=+X@wRdP@|M4Z8R;qjI`Q~~M#jf1iYs(e}=Wn~5S ziKZ%d)Jv52%*AwPS(Zz89T)gZ-!1Q|tFC_NVJD40#eRKi%{n9(OM_BWk#-*!a$29i07C=LZ)##qD{L8aE`#|L3mfCe0 zSbCc5$*2p*_B<=8R6XPgKdhet2LM1sVyZotIRD@E>)wwZ&B;&X{{CMrfNlv8Hc!5OGXG-TN{Fffp#LNcN6Tt5DBkEQ@;9rw9k2fLGs ziX6zRqo;3VbZb8wATh;6;~fVRrg6TokH04k@&^>VHE3I%F*VRQsz3EIxawkewCU^8 zc)c9u}mVabKiI=DLo(Nm0K+2|gZqEaJkI*{qskz+!{$5MRcpgil#E|8+ zen|=u!32AUwD%^x4qfid8_k=e%fBt{%1cjXM0_w3@Ruv5pPwBd>ridCF#nzZdx8C! z!zB?n-l_K57xWJ_8peJd`%rm;HqzR1PO9BT{(R^ReeSTtY~rXcb$mTf{34qxMa09Z zlFigpc0pP3@iP76CL%{(CIQZe6R!qAZ*<%}e?H>ZJLuG=n zTe?C$2-Nacc7);dvxb+3wvHAt#Q=(av<1umN1cjjlM&4-hl29|LArM*RwLvN2g^N$ zh9^~mP#ZR^LiFcx!przTcgN#hJjL;x!noQ$N&)*stZJT%UFkRPjntGBfk*RfJAtuS zfo3%rX$&Q~AqA6#U^U8cnZw8>G;>{=zTAbNOeZE7Ia2)0p@2|BXL95H#I!V81YHHY zB0lTc+`@qP(`vmo`QNI{HvV1lIigl??b7K>)J|xaRoHoJ@JRgD6cT$m;HYDhNihyN zE;`eH5Qn?m-q*F2huphm-q;d5R|TL3CMFA?@ z-w6}G>&B?7f}A~uB^C~jP~R1I&34n2L0FSy{Y2CJR9$s_SY7usZQcG^R9oWH-Dacl z0zpWcu#^b>3=R&a>A7>RS3R?35~!)cfQc+c!bb^Vqvpu*dDL|LgH!TMMs{0k#%vfJmC$DyF%l{lr zZ4|u1xuEkoZ2`k+%DU0|OWiZyuJ{;q*`)0}Dbd(EVB=q6e$Keukv}k|vP0mUSxnJS zp0*ceVaHAA$-2lVi`#8pPbw|4IB=?$DvtF^msjQq98J&<{u|ggbyAGt-}4!L0~@#D z8{54{6E8RvJR8@H6K4CAF>o^`os!q+d726L(UK<`QhMzBk`z5s9@f*g+6dru&&W_- z`hj)K7l0XAy>T1J2o2UK7#$FspQMZU#`b3)O-lAnemJ8~%hq=w-7ewDc`tBHPy>!MGAeIc%5f zy)emmw5wyja5V<`!a|wRb$SAaR_jKr_kqZmIo)kwWwiru{i835(w$3>24=!5liE|B zFJ##b5Y;WDh*OrFz*Qulc3sE|$m0)I;-%{{UsqbCRe0S28?M~mRx7b%#-}zv&P_PC zN|@?oPTNZ0vZX-k%x6TL_#?YPM}6t_6` zu9uVi`*I|b(pfu2Gk0#r9jg8G=?caw@z+JhB99mJoK!nsJ8^bpnoaNimT}qUwQAvn za6s#XeJKIKsu@haI{zOb;R^%t;~uOrc`SeEmRH(%MMudNdc6?$VAc0`vdlc96DR3b z3Ns!ipMR;Za`ofNPSg>~rHC;#}Ek3?G?YbT)8wwKP z#=*@-`xpQzQWmx~Wnm$~)qQRc+Qkq1{3c90$l5gZc=mB53vaz(g>Cx2$=q0%RZ~(G zkOO*Xly(?$_&$GZBOxTTUoQG2uba~p=Wd>Wo0o4TH>huwDlGUJudd}fY%Q*_2EHM+ zk4o?N-l<=|WG`Lv6Ke!-Md0)oc|#Taf)Nl)`{A98oA*&o4%=@(6I2^J1G85blv$FzF0I|#-t8Q!5-Fvp=^3HCLhxu>c zZpU?Ai#gd#EO=@`84k$tE&q-xe(@K>fBVz5cA(dVE=bSiEIhFFGBpL zgc07oD_2IA*V?j(j*bUraHH(gyT)h24Jk2?Q^9Zy^lw7%7F@I~_a zn)K1;D89)B=w9O!63&wE!iPSw@K3*)k2bZEqw;J;jygx`^3+t*bCajbm-8$9W%qjvtAj1K`47Js z98l7t4!5-moxD7^wl24#b|f@}MB$q0aYAzjytl_L*D%#ho4Rfs?#C~xy0XF!J@ zud*`yu7tKL)e*@=GLYWkg&!%za=lB9dxrq+*?=iXS4nmyMUbJgQ+M)IL`TW5*n!Q*rSXo+FE!^v^dE;V70YXfO7HLgE8w5}@@ zl~H`6o<9dcW6@UcXmVVM$Y>0wqGKf&C0J`%2U%J1U_30HldN)B#*lMDd7NnA0`<^P zdI|?1Qed>Vj;;QWP~f~uQ2w{kh3`N>gXwvQf*{Hoal4gTfy1DZ%W6FH&t|}_% z!h6{nPwbo!p~imMF1wYqgD;LO4Ay=gu^PQ17v8{2#vShWPTB=p%nvu*+KaW%V|(qC zJr5Yc-WxY=@G$zZ7;~J7LfZi}CvylgQk)*K5_|7G_n`dTt;WS4fAB(m9}IT5kyKh_ zFUu6P;CYFGy8kDg`#50kEg`1XdQoH-o zC+*0mh|oUB9{2N2R&P%43OeBusfnN0*4EaSGk&_WV9PQ&MX!Wo^3HTx&#@B6qs&2a zlCZ}#XxKCf)(yh{4X3YdJCVU4^jg;ci?xZLj>rEe0&08Hr1{62Tn|W5pcND%N7?{v zvLR#$8)xh}_%ScE`kL{_G-n<=s+$*u$b@aG({}UJ;E-fW>A|xt2sIKIJ_=I5!w?;i zHN}GX!oqI7Tm*gz@pi=tM>d!o8$Fks$LajL9o?nx(Stl(fyq2%AI4F+CrmkaC>-V0 zfan-jix>Lq5m@;dWQ~wWM7Skh;biY=sPWzd^S&$VW#_F@Si*BPytRdKOCpVJAM-Yz zEZxletyTF&I>xpNCh{Gc>O;p*qu8!4o! z!!A16o5^W(51Gjye3)*=lHhH`_@mbOor0%Sa(&_otaRiz^HTr(VS5blsn9 z^30zKXo)nfk8Pm`Q~+DwVS}F1`2jzR6u z0#)|OU@V{*G=(zYc3b(jg<0DTAW+ZD!Gi};8xr{28jF>%&?Ct>@u#bj29x|@sfat( z(E1-HVfl9Wo^qGfN;WEO4Glkp>oo*1Ffi0iF0%P@=~TSzKE+O-l%Ic%rJwI|B%<*7 z%Yl`XYe^p|L9Xre&cNYHy)0+F={Z$Scl%qy)Ked}&jYY;(J?E`*DOW|E z7RQ%UvJc%yZkH*e2>Z((wAB=Ub$Fsw!Q5`QELYi6UI5QO$m7x?& zrtVbwW@0)nwSp-6g&Cxwlm(vf_V#@HQdJlg%Gl{4HWA3X4o#msyMG{``$kOwQuJ$t!!m zk!NFmuVc6I=N2YxN82BN2caVeg*O;-Y7&u5XfFQyy?q;l!McpbiNc@ljun{F1n|M?_3t0Q<_8tubn+hM)cp3938~eOK4AJIIq0? ze$8BwTqa)bs=X&!hy38ONW<2E=-d_wMfnjiIkvGfmE++xL`5d)4+!Gq%`xaTyy3K_ z$xACK>E$LxK_~oMymiEyz(5WTS&3yKtT**0jwmK_wi2pRKojhl*xBr&-843v#78lQ z=O-n0`@TG){S-J8Rj9WH#n9tmv-G|kkQV2Af(zZu7`J)(7nnu{`H7Bezs5~|KtlV} zxqYerCVG%@5tf9%5vut@OW*Usz_Y(JZh1i+ zGrrTWGXic>LEZ1PEF!ok&eFj_om{i*E{2>%th`Zex)-0SJ>$u~7UG?4t&;68L+x(s zEY?cNA)CEK-enkyMMx;~`te729A4~w=fOa=To^K_KDkp=J9zoK@D_41M^0!g@gYw= zK};DI7U?W?mK7_ncAT}&oa<-%VG37?=jnyAAG)1uZ&Fg~x${Ai4Lr!w(lA8|TaQ&V&6hT5j- zIq}$2G8@{dX=x(8LHB5jwdo=2d|u#ydk97%0r|gq{*XeEG0}02F512bmyUY)@ZoJ_ zH#I~Xz|$mryQ)=5JzX`e$So(hxud-ck0fT`|RxUM`YE{N(9` z?w1+m*^K|Gvc4ahqdC@b{k;0=UA?mjSK7VH0)+|+3y1K&gg?k6%F78|lv^3&WgIL1 zXqdp*;dcNkK82HRqSn2R2)4nSNhWZYG|_wb2z_A8&Uv&N?fsR7mmCiQqxiw7Q*o9U zSguS8<3a`j#)_0azqBn$&A@AFa8G}5TSZ}nAMC;murg2v8Q<#;);CkJhOMu=7oPwH zykS9IhP(&9vO>>h?>3S{oB46n|igeo(<9qmUT;f>05@b z^(7yT^R1a@*_>Ras)D%XGr7FvDJNO0jX%p3+y5mK=8*<_Ojwj0fHvxTa{0Dj=TWu| z@*L-QsdKTJa|}u=(!8-btP)PTy1IdIGoBR$>`Nnd_1ZPodMQ|p z#ze6(EFh(M$J08xd70+Lj)8$Q`yQs-c~>xOc9mQ<&PNW7{P=Xo=g(e!cS|q-3>Yr! zrEecQ?#$JzF433q>=sfJ-i5;s;YP%~|BIU>Ql$wy53xv+s)$6o_HpPkYN3~Rl$pOw zsB&)9{l8(g=hu9)cYI3I5+xJpRnLAEzOxL6PZCF{_XNo(?Bh1$Elu);cTYgp=HXw@ z*mz!j8eh>5Zn-jG1f==4vt6z1u7BqofNLJy%dyQ1p^%4m9D%NE0jq?hq(4R=KlxQB zX*dO0cieX=OiiVMe5bbP&gUa(=mO2zh0z6|a}M8sua!gPB_};t&YnR@A8-mEahso{ zdSe|HnyIm|Dxx~s5WiDTa*V`o z5@qA-q&^9r3Hqw;=X|^tmef}XIPGKVDC)lDYKAED^Ox(gC%o< zlApw#?^t3N=`A&pLt!r@w!=2CwO;BfY@$I98Q~cT9S3P}8UrT_(YVC>?9ho5%<5+U zA}XX@7AO0Sy_t60f4Gu?W4BPBo3z{WbyX`S z^~8}QAxe)$pHwyZW~}9Q@z19rPiQ;By#3jfbz3oL{v};EdBac5Y}7`!AoaNioq@(O zfuJS?aXXp#fLU(n;$@`y&Ph_@p!P4k(qX}z9|)bNoVe22v+h;F5GG_J4==T51EMmo zo9h!dNb}|md@;5m&t-o@;~R4ZUO(O$R^jrpRz!jl|1$uur2`mO-oDa*?Bli0S@OiN$wq|;VRAF}gdAz6EW+S7JW?yuCD?$IdeC`bA+ zdd8Bk`$82in_Np))Q38KSlbli0x-0fQlT)Q88;gW5mxW{mvCZZoi{je=kZ$T=9b!> z>}+150Ag-i!o-lFg_8tFhJ+PPMY-|~(6wrsnvV%vvg0u4rt62tyNeJfdz%iy0fa{I zFOah>L77rr#>E-Q$6>A!5w`)nM8T-!s%dE`~BP^x7vEcp4+*aVtL1=HG0$KAwu_s!i;R9cMdEs&!AFd;sME_J(1Z)XYrg zByXSPYIbQ(Y3e&Rx6=iijB@cRzDL|1Irq)haVxQx-qUalGhgVziG;UNVTw=dx5?I` z>s=+(cVHM?ni)7@bs%J3U0;>tIqUV<8je~Ad^rBeOX`od0Ou8_1eng-o%;4|4cPLL z*{|qh1=O`gP7I9s3lGSuw{G8atjf}LO^Z~8JTG6Eg$F_4Pz3p8=|GmNj*xpdNl%4t z#fj^d&=mv9^}htLxr#D>=gWsq*=`HWqnt`a+{9vqt*ymOA#LJ2ZmWSlmx3Z^8P z{jycur}M0N#_TS+#nv&m9du?JVT<>B>pMgjBgKwIHM5D1fkrdg7XfgbY@p~K52xes z&ZekS3^K99Ge{$2G?+xvI?;T5`zC2<$HlN+v(C9)??SrC>QD1SQ_xj2G^Yoaeixp5 zIZ4h_s|{xR4_L6ni@a|hig|*0b{571n(qtdjh&s_mCD4L?OWv2_3!!Zw_}VgqD^?} zdOP}iC;ZK7C-*QjKUsJu6X1PN>!Y;D*KfU#ntLTKQ}2d!R_p=nZU7-n?%W|4$Sy3N z_L#OW1rc{hKqYJxdZg`igXSmAe*3(-x847l%pGevsx@d)qJp%jCVsO`R?&K#EU{HA z%%)W0L-Ec9@)*MP^3Oepd2qX%(`=w|&Clj2LG)7)L76hKX_vd}m7#Ypx=JwMpv&)- zsV6ms9Oj;Jn&bFPHjSft?{GT4CqgvNUzAYghB?W81IPq7zcEgd{_}9jtjWPi5xW2yu~oFPDrl($|bo$?WAnW zz(nS>j5R(WataSNnK#Zkq%E;onK^UL(taAbQL_G0dUQof_esz#j;liv>Nd@}4E5Ar zTPyEcTApH`2jDUK_sf?EGRG4@Rk{qzGI@(TJ>6t8w_9iKT+<%MndurpRwXX zwB}&RpG*1ws|A3IBTOg?Bcb>x^3fFt0mUEGY|wGv3?ck>rvG)pmqnMOoM;-7iH%B+S+9iV&T6r&2P& zZlcD5z|%-o2so#OKKt}^5rvF&RLZ_{L{J-2@^6yrnMB8zM1Zgh<~+vM8nOk21|Vj4 zCq@E`L8gYsr5na^u#E51D8;v{59JeMkJQGWp*g?{Yy!8WZvqU3U5AqG4`RshytSG5 zoEy7^pfsQ)S>Gx!vZ!b2x%;o6Z}J^7qmrF#37Vhc!8LFQt;&h}V#*g_IYyhh{LTc-;;Xu?iDT52QM38wm$p3wR7Uguv3ZP_(v(=3$M&;@+N5COVFzi%cj&{@iWis6%_+43FYR0s*ZNk{EEE|uS0)gz zS9|%?w*wC*TU|GVF;(4eB4VHZ=?Mp7)A12%(TXMWJWBW(0gHzeLy{UIY>Q3)OP=X7 znOwk8$l&FlS-?o(0qU`gC=Q&@(n{=rA%)07ZRiw!vfOKyNk$4gu5)CWn23y8q7Sc* z5C;npR8f5W-aWy8xI@g!N~`PfWKB$CC@BaDJ>b5-`@o(jG*>==1s$afJ}PMgNf)j@ z|0b^1OS<6$2cO5fGT9{u8|FC^lB=6HPb|sc1h+kBX_;x*hHs4!=($>NjupIJO=~al zW4+pc3Py*awuhnoWa5_icc{n@!N@u(<`TCxjtH8BjkIx^@S}gV>((h63DY7C+g)>X zEUtuJ3=JL5wn4iOF`R6?%77$hPFI%y;m7$lF0Q0ip z9zUyyM}O;)YDoHEOYvP!OMX+p&h}5Ag+HjTPBC*6ea;sw&tf<9OU=UT4w(Rp6d6kk zE9qX>V&!BBPqPe=^NR+sP5$tN{EH+H&DYCg_9xJ=pc}z)OlNX=!Q>O8{qizu0~A#4JyN zJTxZuAB}R6zbG_%x3F*PFaN;6#syO^hT-Ms-bH2x9tt|=$90Z(Q!ZVd zK3mQ;{@nCWgtw3xMT}hP-7R&aqby`BS(oTW3kzPESy+6)3bU8=FNYh1hIr1+&7m_J z6elYG3b{!>J&Tp`ySxd2``)h3&UoCv{ud=$Rvqoy&So;KHQ2Of)d?*-gK^#I-?5H* z>A+37f?FIfhi2-PKZ>Jmjmki1G;$%8wWP;DJB}ezL-#xx&Ees%>f$@qC-2_o{<%46 z<9n9BxdxrnMEeT&jO@KlXN=ch^3B4(hT9}~ocp_p;Xpv8&wP5bg6IbW*8+rw_`aU| zWZ6&&-1T3WLGp>M1iyEM%Q6VZV1?uZ4)3gYJ7<{0(ymX>vYBU!qfQUH0c{i_ljcjt zK>)ue&U@~e-)$y$(XK+lAj#dWi)6?L4<5*J3A$|?Ud*1>s}7_SsbwwOuSB#nBWYsG zzj%BxqA-Clr^8B_39sp^C5ZF-EGs#{=#d4v&WJG+FCEdWEr}r)Q$ZJ_A0;7ICe@$5 zXj@N^0nv^>UwpDGM`kwRZAY*yl3;&-QWQj?9U*TdxnaSk>%QqK<>tiw!lqTwgfB4g zgn(|yyJEi&x3Cs@)6)R!)Yc8B8#kN#`dZhh?b$%P@z24*cl|YeLXsDkQniK*I-ROhJSC$Ro&nL}SufqnY zXxc(#R}%K$oF(9lj80Bt2|lw6ahuwWdu>&j>ie#BUg%uUs|G_4sCPvAm}_UcQ|ji6 z9iIfIftD#2pNCta;?Ixm?H?peCg&Uw+4X+u7i#Y$V!|AMK9~@kkA_kcq2P?~Z_TRk z`4yeO-a**m|Kc#{F~2@tqemN;j$X{J5O+-u$yXjK-+RZc&q=A|J%j)n3yMFXCMH=m z91PzYE{kLcV($71T@K03Zn8`NXVZ%uxk!fO@^TK~9#l7i`F&!)?5WW|hFqCLScMMl z64K-u_vLtaaDf{>Tkq^BfJ#S3t&9CNRoJ9TL>cuYrX^~w;ZPm0xO3;-1!kQCjaFcA z&MbO8&+0S3Tm~`?(SI4Thj?bhIPeX6T zV!9<6i8$z+B1IKzvyp)Sx#vnLT|XL+vY?J)78CKd7lRtcF_+r|O9DS5YzLc> zlTlQp?!W(XY@G~k=Ev2`8yvJ$d_kk*kv4CcGL|2SvZO2@pB#4lNw%VSv&-q#mBU1Y zrcCVVN21T7Pgt0buP3*kC;3nI%~vWyAc?+C5NT@A^DCdnU={rt)TeLV(2p_(IyyhW zZBm@@8_^L=fC>k9IJZhI#}lTE zyEqyc4`5{yx|7$6YuUUf=R(Uu_YAB9DvhtRRSQ zlSEqy3&Yk+3OL3Tu=lIkWLQL+h}_|x^@e|*T7G#a0$m?f4CWW{cAhAs=dijQoBC`o zC;+*(i`1T?_xSY~6|61irGL?6s9owU?k@l6NHw0D0hb8z>2q^hD+k&C73oeNPQ1Lv zCBDs9iEuvLmOfNwd}!CZ`g-4{ISirP!G8*W(zdx1W)~=@l0G1w@vZyx9SaN5M-3&h zQDuBGE(1hN)q^`Z{~1;J2|*;|3k!8Yn|`<5V()dN$uMq(?hX83;xb16zsI4BE-BHh zwY0c|>KN4g8d4e*F^EL^SB?mit=u0YWV3h67q645&TPyvI4e+_(a|02#0!Yg%5 zQ~0HsL%!(S3x7n;bXyc5RuGOD&vA$j^zg39@y`=MQlDP49!4jzS7HAH#n_|aA8EEr z20c}8i4@%~BfUoSz?h!NMeZS98oxbU4}WE&yrq!rzaeeHf)6x`vA&x)8jvi${e21& z-Qrv~imS?=iv8H?xCA)k2Y|5rcsi7I5?(Pi{g}h(x+lIwUI7i22kyv_Y7GR`5!TY6 zaa4!wy}8!gO(Frq^$otTrB+LajQ8zf;qsDek%7a)N7KyK{=B$rR=e1t_8sb-9^oo6 z_RuwMtZ-8)D836mn^n;NX-Y}N=>$**0jHR3%G$|A)Sd`FHX`eK7|wbl5Jg_BX$>Vy zG41z`dTJQ6x!sHS3zqEYY`fy|VoD-5HJb?HTQToKfCQyWs@5DaOupDh$3s0o`C9+k zb@|&k?0ij(jYmCCibqn*ByFe9qv{G1b~^E*At#YV8>eP_X4JR8QVuROJs(OHHq!0i%6qf@;0q&uLs-YHGpmd&u>o z&;BJcnjwf6UnX2+I4>?0*JFBci?Xa>4cD<}B@H5IHB@jN&9m=;(FI8SLTICSAM`iJ zeoNsSrh6PgM&?y>xwEd-A z`P;XOjcZ6c2gToH3gZUkUDXdVKDt8TC0qC5mLMcuj{}yVzfXWr$~-YPHkQVJJ^D3# zSg0Fp4?dFJZ00mX;i=ejOO#`|r$LS>|f zjq_GYkhvH2FxXMtRR?Re0g4|&Dku$BDgH~?E+4}ja>-Hy+cOcBI4rbd>(;L(==|}n zK3gO*GifW~Wt)n*Tn+&Z8}Uw-@Fm}**ig-dopmLDSvTo9eIP~Wc|RqJVPkWE?4lT>2n;2 zySUf0xBe&lB8@eWwyQ{`+AD_ML^=2Z>6@(sfi0h>8p$jeS_ZelJso8VJ z)(DdV zwuKuvop&PvJao@Z5QZN>Ssx~QZ0R(#_ezV+%1HRoz)#5{WaDKvq&g>)`r@*+ zFU0L$P3s<|vU?kP({r_Xbb*y$*X}KZIcgAJdsuqdHJ62Dbbmgp8qzUNN$Ge_OwJ`d z%e>#GyL-fl?Hw|RhbxIY0f1~8D%PyyVZO(f4PLNK#bFNF zi}^060P}swp&wtZrc%Pim^%$tf4y$Lf_Df+N$W+QZ@sUAM}qJM<`^R}LjMGg212eB z0flEY2V@9g^Xz)jt#aY+rjNSJPab_3PDW8Ng!ADYVE|Vu`tkVa?8pgMjs8NST#G0P za+7>175>q$ys`IwbMg5E=S&Kf|8;=DZ1cK9j_&bwBkbc=c`)Yx{!ZCM%VHK|dsX=; z7$f@SrnPseDS@zD|P z?h0)fO^O*sGeD_(*yE(AT@XdY6I2m(yKiWdaa*Qk>XvKn8b=DM=eH#w3>PtG?NAAQ z{yZK>Dt$9*xD-`>BeY2mfqFuxP@+wcP4}So84OW89xMolom%4k0q0ZFh@Vj_g3Ju! zFQ$nNw|jg_-5itoxA&aO<^Ask%p|z=cs{-nCKr)iSx3yF++mHz;yQVk_my^4o=OuE zA2U5Rc)ge_GJeA@d3nVlh*OjQNv)G9u(%SkJ zG-*he;YrEKVT7aWkt!<-3-Ne;5QIueAfjiHRZf|{CDeW%8L`!pu6a@>AKR1hPO-mD z*D7o*1s)T+9Hx*=xcq1)kRGc)_G*kxJ%B{c`zW`Ha=%>V#kc>}OoESMhH``ZAY#xX zZ5JE}#@U0A01ds0x*HNtW_P$w6sE_2iBI37Z$j1d%h`G_QRyi|7A%UOuzO+4zj}BK zBxcGMAXng)m_wStJ9N7Ex9}ozGgJF0qupfjv z-Z%+TFX0Q*A;?K=OSjH_4g%7Qc3B-KZR=5;s6vPeVa7P;M)|l`twT0IlcBAkt@`wO ztSB|sGIzLN>~=n_3n(!M=#CA6$Dzh}W_JatJ$tSt*VSu?Nod5+uv(^wyXKM=M6i%RF#f`S61GBk`r-5l}7Svszr4WFB>ucNIW8@64PlM?`$ZG$#KuM^JW zill8CYj7gxezL!OzR1n5B4O3?TcNJ;eTd%3K6c4(({XLuWVEPWPGy}f*UkJI{$iip zB0vfMCcli+MwOa6N7L)><#k@$mszL?yob3XS+ zBzMoSN!w)q5w?)@EJ^qDVPd@n5Z!dv7KWR%$#^~|G zhux8W%K{@S_@$SeorhJ|e-?AZX(KFy=ywq0py!xFnt0M|pYe_~r?joW=V{arii8RIfa(>Iy>eGT->*ZzgUljyIhJGMQN3x+ zU>YGrI&9f)|Cm?1!$KN`WB?MP={#d>nvm&Gi@$43Nk_AD^o3$6S%h2iq@L(xv^x!> z7}cLe4Q6_u0Q=|H?~>~@4ll0-2<^MfO)bOyi|t+OoF>KFPEm=V7U$a0&#UK|mZcDE z0rKBZ>?vVNL(UM{uC7~%y%1aIyzrNQvUTyRDl@jHThPB_b=snu6@ZBN1BV)|sVdG) zI0XjJxG!f@9V#+{gWz^y`8!Gi)3ohSv$dF&8m?>$<={{tr zA!oa1@5?=4)#sJHY|JDtSzBpWa8cG$$NWjdJVO zB+;a|GZ6W|3~0wk*$?`C$WRtDT{#NBT0c4KZ`53inv=%Tt{xM{VXNa2YC{oUtOH!N z8VW?*WBaw-E)~?J1&Lm6K7jSnc!nT&D5FAFa&vx7{9>SCNFSlAqEx!}>{##oQ<`8cgej2QP;cuo4OyA{ zsz(*cy6>Gi+6VZ}8n#`7s_zjT^DX36=A|ii!F^L1EF-N<`}F(W`Q!bKtxdJ$w%HcWg2c6rV)T=_LoxU;XS7c#UBgcJWD zG?uC+-{r2pLrjD(c#ED~OpmfqUvI1rZ0gi%gI=o-LuqnCa`G0^ELfL8A|1oJg8(lF zt38BHl|1)b(@0Xa{TZ)6*SS>E7>4iMna&%lgJh(A+e;UQs7x;y%F zVP#m{o%|EVoW^4EU0eC`T}B=a|GlZJ96Y5@^AvK`0{HNkPfB2fF(KG3jin)Y2!Z@EdSobNAt>+Tk-vX z6P{-fQYGx;=RrQX`6-`cTTirm)HPHhymM5UDI)OcQ^muNLaoB;Pw9lbnqm$Rcp{es zZAT6ooBYR~syI*l=YXywBAoEE7YgDp%;- z&fWJWyhGFZkYT*w+wbeJfzt`KJ8YCbvPN0I+$Wp6F4qzL8W+_Ob52SAO#Xm>g}>zc zFRS&95~*wEpY~-5DQVGNee7*#dN9dM{=d{+c-WJT)<9R)zVq6iTssZYstTWd8ofvR zq8p>z90N6$Wz$g_hy#g|1+N03*rVpuuVI@%&sS1W!3k*!p@4OJ^lpB|iCq5yIG}9Q zW7O=7uThBDJL)SMkY-?RZvLEewxoaeq0a3nY~y&se1eM9gjO?r%ARRD(Cu>ptBE{3 zkYlGvhqA^(_`?l81=$z;jaN5w%NKs3{Q9<%;g7B?VJtUipW%H@U7=F)K#80_5#PLJ zXz{@aD^`!R6B0JGoIvT2N#GbK^40cR=-t!UqTheX>8dt0k?f9hxlR}U`oA|?NhUQ< z9k_36njVbY!0` zX7GAt190zoV%ueR`Sr;rk(V*5w&lvURquNTZk%sro$auxmU_4Gp|`4KbRp+Hj?Z@T zELb#CMDt>Wi?v%EsJA&gxn-Rx! z%hYM-AW|1MKttPsG7j3#otpi?%YYESu*~4oOKkaXA!Kaz+EQ-!K=*ojKIf3QOx=P3 z*BT3ezd0gYlr&r1>a0xu75$K!%4A!%=G#N<aK49_QTzMv{uZ)? z(%8BL_biV?X5Vc%=?P8c;#c6&KmG!pmN0*?ZW?w!U1h6l_id5hV8f&QbkDlzi|-!3 zuQXD$4lc36~UlLKf?8oslAj@Ux0`v;;eRw*E z@!hNtX$(Z~L3OCX$i4Qz$FW~@1%>kX1d5*ND9||`E(|d<)mRHzZ-pP8^W$#k;(Z?4 z+1g&M#kr?V0Goux(Cyueb@!@{HDPk z0~7nF64wXPai{$4UU!&wN>T`DCae49*s9op9D_hfO&wlR^%C1u_NxRn_d&H?<4oYC zXRZKR$5$l8#C-9j`AHzbVcSkt)fDC<_+GuD%ihNYHl)@re}J?zxPZj;jA4*&`QOEq@lFQaF)2!u1RtwL_3f z5bDw#nfNR!2YbZ%?=4A1LC*1TMgK8~E+&H(^Lo`^pWUv+%~SNsPSrcGj9wl=v-br4 zw~WkTB=iPpmoJCoNka5X_2xCyON83{{i7}04}7d&?LlT0`5{9?gy|1|{aWFC8VB14 zjs60QD63?od%;*WNYvG;j_FH*jB4-jVc@FWSYMk1PKAQ4;*mWyWQf5Jv-t@zOda;r z3)Jva4G}@4B%;sdJ%QjFix!g;#RMcTnQ9D)M@BawBRNQ!Mj#S*%jV?vRsEWtu0*>i9J*4N9Br2(&@c+)-T+Jk2K+hA8UK&Q%LAT4( za+OMmAZj2WbEFFSxlt}*+Ke`y9VG$g5-}%pW;zYJNQH9utom7g{rtnqGMbOQeZ(0L zrak~9Mqf4#4$=BMc3E1jbgCw$MesLV>awa_AEZ7*QqAfCxPSPp)O!Dw)Loz@z9@hs zAeKI3{LdIS?~y!bqyK*p@W-430w^D2V@!*Z*+<^2gsEOgGXmeMsRvnvQh3^p0-+O= z^$CHU;}02^-U$1_(;*ayiU%@)GM^p~;hhdK%bxDoW_c^@I18=9PYVc?Q>Z6?{qlt4 zHDw&{Z#F1Gcb0UW((5^20jnS}c%qc3O>K&m+DlyH0}uw*M~ouCoz+$7|Dx+Xpt1h{ z|NqOD5Rz;aNlP-yj)+vEC3|GMtgJG#(n6`stXx`eduOi-$zG+bQb-~rg#7QXzW?9v z{LcUUf9HJ8`+Uy(eCoq>y`bCN49I-A8#zZM}imx?~Q3cIm__^S($|A{mL*<0KGoFF67>G zRYI_+Z4<=Xjl)f)Wy#soCWieRv}%^PNAjPd7{Y(8CRCYt~7F` zXNE}jMzU5|Ybvas!on1Ca#K>OR8a-%?X)_5*5u#5EYH4<$#*r#5jKhd zEPTIU>`hAovV#FLswmIT3qEI1`4xU2iGzkl_u|D}21k^)HZ-2f&2B0=%9pjjdA{nK zlEGGotgl5eDR$gqL+k}x%N8z(K8R9!aN>!T*&Bc|{Uf5K0@-wvUYNgmf%*v!v)(VT zCxtsU!n1@=C{r$JYD{oA@!8qk6wbPYCol%(pZ+0Vea#qe=*ZkMIQG};Z)|EVM{NSW zz}~<*<-Pm&M_)mpClVPP61Ny{&OFn*bFbcjRZFMAWXM7?)X|-@T~Jz8SDXE|_V=%R z_y@fx&EpHiKA$TCk%ysr_V&98L763@xHK`$Nxa@1dw}>a+cF5*vgH9{H>KjN0ba15ON7BbA}{_WP0tylS;HD&45E(v92@tce-fr7WxnUl_(KW|mj z&~zhBD)7@YT=6K5J4HJt7t)}Sn*TIByp0I;VN@Kth*1h`Utu7k8ytoZFCST@Z%5@} zVjw`!N{65pvNHERG{Nip_v4pe$H_A0X-ZFf$-I%79lG5@tDhiWDLXNlc76}k{g#Ol zn5TY=ctXRg^;992-|6nSUZ}dsJ}~--#0+BaNumpVLoCgUx-fi&bh@<;Wt{3hIeyl6 zDM!qDyTP17qAbgsD+3*BvAVxp0yKQj3;!roN?q7je#q`+MXNK}nf<$6L~h5<0H4#a zw?%N3jkqo`Duhl3eQ7sgC%BM23&g!haLHV?A(pX#Kr<{UbD{EEKQ}kG3fl0er{Z@^ z8&bIJn&+g9B9#FBxtq%tbFxlM@FvDM?38EVV8Kt6rjO|v0ROKH_yO0c??j4aSVXi( z=x0~i(cv9_w{O_*<5KhVEaEnTwnUKYfa$)X!~N`(ykiY70S3b-;Xq4tho=u2-I<_C zo-s48lM{Jm2fNhl4@m#;oy>;A+ytHIdt4#m$iL#r(!bgcKM>#kv2n(^neHzKcJGe* zMSbtL^{V*x2Y9>~bOU2MBvyXd9=StEnGi%HNg5^=P$pLfc4}M?D=-+T4ZZQpKPvG0 z4Il9FxKDxDyDg$?G>{V{qsilZPeeX^_D5<2`mj}H1OWO~KYz}MCH$pCHoZ1m07;e8 zlp`jCbybu%tt6AhM7D5R1g&OOF_`yKs6>sU=z{|U>sW&}d^y>dX~aTzd&_@WEa@03 zR3I)#b|iE&iL}1jwoceY?Vo_~n|}C!uKr;^`r>>`m77^VWi?nfj#f-wBXcW{-Dt~M zNhCiDn|qoPG?Z7o^)olO7?tRsiaBN6*T1`9-nqB)S3++pHTj0O5dBlkkcg+-sfVz_ zU@mY_u#{E$Vo*Sdyxp;2A*bh;Sziv$mlvWE(BBv4r;J1@`BO>q7s5ZF@|U|?;ygeY z{%AT)(T~1yg!R57yQBZo*~M%0k&dcO3pHz53))BkwM~aq57dJ9xfV1eshXq~n+O>! zBnTI5g(%SQ<59}soJBT6x!4X{M;{R;6bY5-ae-xG$CpRAnZWDcC!c6#>lp0?yOkyE zg`NKu0Geg^jNqkUDl}Vx!5I;*N%)dUbYscs(YR6c3+-_7izcj3FZL}R)#YeppaGcuNfQ*(jl}=C}3$jOxd)@-ZV5=n=JnR{duq1^6iH{{HW(pSG5ko%=$3T zUg@=@jPiky?rYQD9;wC;ioVWyQ>-7cetS?I+$M;1kZ)wIYQPn|#4rE;nY1<;-^LiS z*LW-9zIrhwy_1K!z`F#?f5v3rpK^(wy#|tPSWrl^Lilkg9K&0nSQ77IwnO9x`r&8{ z&)dfCI=yl5sjRj98G{S*zMC*U-cWbL}K9gr1eR4_0YD8jx_;KlY{HM zG6rRXF}Kxhjj@kY_tt?r1wDN6rwpJIzrVUFu%{3Y9<&hq#<7XIif^9klvVGNpWbH) zIB(z(U9QN_KfB{Ef*bIB-Pe{yb{8R}$$W~!*3Q}a5T|5{r=K4`mR+33nj95rsKEZ> zI0p3&PJ9_j)iN(XsF{eltJr@;4MNP1N&lo3xY=Qj*+5zv-F3wNUB=G`+B8QMp7d|9 zer2$iChMMbDf3F`ca^{%(zh~3K#=CoWJbCa_$Q^vfS$g2~& zXngs41>sFIXiktlh_W9DSBP=sNyl@{l6LkQ99_%oT|YbjX(D;Lclx{h5u5$elB%{* znPR+2NxkYWqYv97tA2VnJ2EtkyOqBB^#V8xEYw9-)I=DQs8GJlY_`)(;W27G*ugJ- z$aC8+Kp`t_r%VghU!wi4pYo!hCcu1zt11eq=0lASiL&a03QbCw8*by;Y$Du=2>0#ZyH`q9v{iBL)F+5Q2)QhYL?p&v24bPfe+Vvyjuc#R zP~z}kEBP1!#PnIlZ-hm7@)~mH354JkK$5~}7+4zzcZ2Z@qqRf_;i6u;yS}uEM3=OP z0n>?Ze~ElhB84GkeRa&ujA`t=LPF*-dePvfPwS|ZKcBjA@gg7NQ=9@2S5c05K*7z< zn68$5Tm-e2?F{vJO-m3BLnsXfWE7Zt9Ql9O^@>Sx+%5+^ST`K?z@t88GVVW?vQiGo+{I9+8+Y|9g|tJR70QrV;bF8xI0|sxX(h zF(atOD{cK5e$Z!r{ejET{0Ay#f@xWA(T~KCzHvCp1NbuG?aRJfKwerK5% zN81G*Ix1l+m#}(^4tr+C87ZT~0*@};n0NX=gMOoZ=t~ov4|OfFgTv@FfmQH7DZw2IJ;wMHftWqO$IFX_)XuU&Eb1}1*`Vf# z0Vn24)~&d-gri#Vg5XFF5hzAt;P;2~1sCDB#KrLE3xM6ie8^0>iCe3w5V~eUsZP?p z*_sW3(x0erZgL2SJCl4-n3wyY&7pfg))Gc8Xm$%Axgj6By->WvR)?Xn%X~zHvHAHK z?|8@0*N{%u#14doR$Z3=km2aWzKo*Q+qp6(G+BKqMc3msXvbsrgg%+*eOB z*?t2>41JnPeVLpKFYoh$;j*9ihsNUKYug*S)99ByRQ=)O;W_AV z+d#o?idhvbx_liJBCJBn`##WM)*?Z+EifSLW>R?qJ4r8g^?st`{r%#k5H@%RU3?si zSN%T}JMm%tYJ-g7ohM@&%Rj>Dlz4I9h0lI>5r+kxc-jQ!BhhOug75S=Z;E?%I^{^G z-6(n_KPd~X_YWZ|38PP+anA`(rg~H6b*c=FikA?EB9f8Qp_L@ivfmC!u#&4ppLoWs~!rPZu58(^#?&;AoIJ1wd9(CAlh^@F( z9$WqBO8F~I8YV<#cm~8@4pWop;(QRlJ(K^*Z?0u&aIxwJfb%RW7Dql^TVI>a#O~#6 zYz25N##aVB@5>3Gi<7g}igVs%2nB@|tBm2X$!p)w+PwBx7DDMZ&W9W9&qgsTSs9N~ z+$-OL7oU!o4S*a6Gjn5UtyEMWIJz3oNCScohWhZsuS zaBMBMss!~Bqn#7?Go4(PeFrsdAKDAeDD$p0$y{=Zea_A(Psw)L036RY#o*d)a4^&H zD&rfV2z*A#^efT-GHkn$whzo+UWls-d=m)vL z^DX(sL7nM3hP4j)a;dIy4t9S^s@I%g^4IxZxi~%K!p)7NIY3AA!Hrhz*T3?t1{@*A z4>NFhXq0cpts`c;=7(`6%F)DjY+EeeKHPmYy9+;|OqGgiP#gZDHy^=YePd~6CWPSC zuM#~HwSWHxycnPsOFVJ**oAD+Se=cneg#N!XcN|P#AX8 z{gb?Yz3taO`E~kPB4IUAS&oc1R*V)L5Ps0_+7Y>5!h&(eFx1<<%EN@;Xum*~VB+Ff z9MbVvGBJsGD=Jy4`HLspsA>HFhpfwQ61tEhw~W=!$W2+*|>E7qk-QdZWG@`7$X#&tyh) zqdX7dP~c}p!z|~E9fPdCJX`vD@z?bjOo}LItpJC44S?H@C#SFd=}H;>F$f?_jeJmD z=~>*=R7p6+cyh;FWgWX8eV2MS<#jScCUvGYGf3F!rA~^n2{n;4ms9oUKUrbIfxlq! zwGqm5P34rW9QodJ-?ZAjtgL8JXP@PRVB;X_bxY>!N3lTaEIXla5S2C%OPeEOh$tfv zj<$|ng}QTmVj{|Szd^qGn!r8r$rt7SmlojR?mEkSfNs2dk=zQ3 z^9Cm8_qkN4W2tQkG!IDi;^Y7fu0B_o`Aj?^C`$hb38b0p2mGiY{)uwiXOf|#^lA+L zQ;igl{w@6$ebe$Bw{ALH*F>zi!EpWj`SW`mTb=fzcE^9@_Fi@R=|Yr0b6C#sSi(us zA?%Oio&T8W4dgvAj~z^D1M`zN$R@i7#)0nln-8@eG!XF3P-~u5HN9B>HEU{6`r>Vw zK$T~Pa{>BB!IEP61mR|6qZwOp4P24}U=7`?Y|5ueHJ`>z>Z9G_e|- zAav}S=SJ1NA1Glf=Y)Q_>|N$xOluocgU6v#4&D#smcXxw3M>2yEPn4x-Jj)gWq!Sw zAVKUHm)U3?|6c6R z5u^!!eU5m*L$AG{(K|Z!;FayC_hnC|@k>Gx5O!)C8xd|uiVGD`%q{Br;#K}NS7iCV zm$^r`k?qmtQc;RGy6~AMod6D!D>Q;{xxLM_Il8*Kgu|3+=vGhNee^c|HU(>5=#)9E zQ6GgGi?T7Mq6*S2gfXxN=QymE2lb zz<0L+f|b{@7A@jA7chN1E^TSlH@-a1o2Pk5*Y!9ic;Wp4q_AH(EPIl+Sem&fodI%5 zL+Sb7Btc3~T+vsTjWi<6#`ovaaVdEOcTK48h+?Dhz{yE8U-w>7Z4N8LaI}CeZunmN zyZ88=hqvd#OLOiTF4@&X+{~YemF@qaL;M#9c6s)^8^5dr#3HVYCI_ItwAjk<4PsuA z9{|HK+nv|1Iua$VnNSjJk1qp#SM5(Kp;?VnxRSl?(xBg*HU{OI{1fnk2`8Q2c-a9@ zYi@fA!qO3w=XMCx?9T)l&+0b;p|A~yuW7@s#BH8`)lWDLR`96QTDUklZK172#_x93 zNkX27^+vYrUo4)`jPWu1s$99xBP6tS;3FzN^mrfC-s#Ql>k|-YT*~^e=2>Hn7Xh|e z4n9%DLR3samyhL9T7CS5uVtz3beyf?{#*cejLvz7{OCDx=s8VQ|ArDb8e)GFXMDJ<;9l~sEZ>{AzZkQt*83-c+;#{b>jD3vt(aPE z*qwS$X3Xb~21V9m{9c|-;grgGy2YPpuD_O{$M{DByV?zT6+ALRZSlPwh9fEwb{>&gk0Q16$nF2PikvHHxzmvXOQ56l2i~fTktW{Lub9ii_^9sL%@cFpgr`_8tV>FU%9qEs*qqVRv$0C_Y{|$Tqh?~) z{(bT1!~_vW_oT`fOdd%|0y{Y=_?yjEuL741Oh;wvXEil7>BP+t{6eI+nRsL733pqE zi>d7enR*3_YQJPi`ryRV@~_IyBGe3oTmz0CYJ_Hn0}*h-f^jo;Z7p9^hqq2m{M z^O%z*(p?7UZ2~+sk|vYe9Zb}+Rvg{MWteqrEj+Z9CjUx@UXWvw$Cec@a!s18!d1Ur;gb* ze(Fxx3xv#4jy>4Fend-zgSZ(NGs`*goPxb;P!DYt0!!#moXD|d+5L3fS+J}qx#*Do zB<~KHm$qg|XTh5q6x7$<%_w=>Hq3dh6eX?dEwt%ELCh9<8TdXh^VJ_j0Y=1TWd6jL zpFfFF2X@(ME-3DaJf_{z^6&=$Mqp(OJ@gv|+!galf_!}S4|kuV?I3h;zPms_#DX~A z?PRR@YrMiIJG22U!F)q960=9|WLMd6{M+Wv$e+Z<6lM5bxO|$5JjrS%WW209?#muQeaQn5WW#s`d~z?~=K+s3R-%gW0mcx}=mU*6ceXHU4`qM^&mTELf2 zi_3m*nd$@O=-`)D^AU21J0C!o5l9er98Io$Z-CQKwg8y%Wrt7l@6fvfq;IS1q`iZk6FUT z=C1G*(Q2eoW4edis1;fz)ei`=q7@2Ic+Bx&qO7e4e zUhxA~XXTXGZAV2o4*Dn~%xZ)}Zp6qhg68gw>(Spo%cqqwi|u*dGvW!RDiN$r;d+N* zx4-B`Byhh`-0)<{08$xl@yz|BR|-C4%Km|Pi(Vu#Y-2}vxFKTPE zWCO}XqT?O~ux!T(tv-W%Cl(qeJXMJ~)kUYJ?N4CPIqVCz{H$MSen;tlIXQ>Fe_kki z?4HpqCS9S^N7KclXs93=S^qu#SDDBwIbQp%NxEx1DYveXU#58N<1zlsZKvXDGLx+5 zOmBWkN1t50TaV`C-Z2c7G2t_Esoe69gF}=Cc}>IgB$PpxsQPB#VdxkZl`e38Q1eJe zk+Ml!sc5X_hd@@2@##)bfA0)Afn5iC^Q+4cg5vto?B<)jBK=Y}faNj*$H8>rq7OEpa|HuLTp*(#Bzyfpa!+X=p5pG{&q+hgaOmsTyR>%0wIm187f2vo* zCnZzb_{Ne&U*Y|&Bb+55Tu_m?_UQ-WMNl1d!CUj`#w)vh58~w9MG2{T%faK?zBgA= z7V~0~CjzTZi$_^%PCB(bNpoTdX!Rx|JRHCgF()QG#L&}3Zc<)S`P*B&ML>3RrBgM8 zX!A}HJ;d*?uy5aiV_E2o=o@d#iKOC3-T^49gn@ha|ME)~3#HLhcux=Bq=nPt-IIS; z=Fsi`n^}e9mU{Y5&ZZL5gIBlP_X_-Ccqb-GSrXyt;!#ezCC?ib+j>=C%BA=rNH^$@ zIc0NNs}NvQKQ^cJ?OwWN?+*cq+M^kiVFLMRHHB#lBqidbLO93iImIzZ{SXSknr;me z>hKHP3V8G7vi;qE7wrBWQH;1F)iq@HV5Y>6SMokPvX>fw^{JT&I$AuBfe<~(@27i8 zJ=TY#{!VCy2$d2QY5lj$dKe_C{Dhi`R1+A;5q$Ef5Jo&TU${$qr`lu(VehD<1PP?G73No?6tDFJ;=X&UE#Tfnif*#^lzYk_B}#nK|{$C87tq3|wsX zEg4m6GM4sPN%?J6*?tH7VH*ifqYlBcA0eT>e|UY8Ww*X-%oR8bY34%~ zZiVF$bVZ5Oh|slJ<%F5W6E*a4A`X8bVI3}Sf6f!3KD;PnA(*3pwk9O+5P(XJOarWq zKH4^I%j@7m;uZVRgD0@*s^q!BtdZu`G8+xh5*}FG35jjFY|SL2sgFCX;Yu9Uzb3#zvchr3syD zd!}J1HH$fjkp@PMO^psceSI56jHMilKy;`iCfc*cU3mXw zU55j$c(4ft%<8Uyf)`1w=1akceMEwNyn+|!h}@~;&d7MVefu_T#|0xJa-r?U>qn_S zOplMtrdjvGUB1VbgR~0w5{X1;t==O5Ah(!+ZY*KF7>rr>W|g3&=sB(k4a6L#?@z}{ z<>JNI+HF4Ub0OBN&omQJ36eTTU*ylE2 zC260ihL}Ad5nGjQhGfbb6S8`EYzN-Dpr>u+4tTS|LHA7IKmErOyauTjOX~aVJ#KFK z&w1xy_f1W*cj}f4Pp4j@?ankVJv-=xpj1LoL;A<_@a`o#Fc2HJTr%oES6F*9wQE{u za`%AbJ$LuQNC}bNuF$I}P~$ZHBfBDL0|^8UVXb-}2U5&o^Qi=hyVk+R=k(N5+Q#ZL z4GKcJvoYLyt4zpnRA0ER;|5bWqY2P`Ovgk?{!q$7~P&%ZAD#|Jlm=Gf0Z1{-+kVuO!O*#(eG~wQwv}#4DrtP zXQ7OcFuCt(+IP@>73f@u4zr0cdxCAUgb|`hA2A4=#$YsvE=nsYEN*C86Z$BAXRMJ3 z?BKiSj?md49Gv;kII8~JsxlDF*;fKUe85%PJZ-8GOAHbTs|QJJyo2EuV*iK!84j0f zC6OEl%Cij$c{GGCtkx`fs_7((ZZ$w8K#o{l79!|8dF|H#NkmD+6`??ydd1_h^K z(sDTQ2Z6AuGc1^LD^<@Ug17GlOod9SsC)^HEU>xXqsUMedG~GH7uyx3tn~T*?jSK< zVV^7qQ4WiB{FK3IZW@9zh$Hli?0k7|QOv4Q?DugWKhu;&5pvnfvu|1J_~Z*urV8m^we3yts0^bjsLpq0_`cwCclcDt*qqL_Kj$V) zR88|ui-NfB}5=9kFS3NV`*1k0xTW0ch!(F z>O8QAOj!Jgb2t-O*Mv}T3^?Vc=Qo_4orz^op`8)7eLtcJ2`Ku=x@&HTfvN?-LSYqm z>hQ0tt{}exj#RxK@Ch^k5|e*h4iNdPOASYGEE7@kkW%clOAP@b?7i5vU(0^P2^ef* z^^1YY$E5xXEP+-@_)#HvB8Ky2DC=j}V0!r7ioP#Ge(>|>&%#UI(z|ZLD#>p-u;(;` z>%x%n5yOk%kY-=(v%cQjYyZd3#(=#qYN6!y>&;W&k?04bqRosf0j=>3>Q%CmXAq@6 z-k;qWndj`@+uFkBzBMX z(ZIK!}!M7*qo zZD_y8o;`co2L_zP_Q`vo4%)^fTq?N5RZ;K;jDO!BEb$bS8gB)7A9^18-HEN#;|a({ zzk_@*cf=?Oei1O3EW!GS)-uzx-N%XA=G9PtbK(abr%)rK23HpQT`5C7VR!YsdQUOY zFqQo@vz_!T7vMEam_Jr>rR#Qb+uMT$F-eR5n1Ee|5`W`~kV$$m?n_WR##9SOF#;78 z@vbtvp=&xJvZ_^jyzH3yjwOvRdVR|i%U_M%#1U`w;}|+rj4Wjj=+&uc4_hi7DLl6Y zJnlBC>w@3qH~&X-_}$eR|L^a%NJKe(owK8PdqVWN6hxVcbJee>%$uX?JTbwLfY4$Z z$~&e#H_Afk#hTKW1hLdhjQ6XS&&`}XQ@SZ@^dYAJ^JjUhfd#}lb--KaRE}_8(N^@87-Ol7mh(7dW9-o{_2EvFDPZ*ywNmoVNACu`f_+OJa^PQNBV?dIb8L97# zaRfw~FlHG);@{YL?KWuVem3*?;VIV#g?eontV~#s+EX># zem4Arvj+fzI}v<`sl{j?A^aj#_}0{qz`Fi!OKK)^Jel|#Wr1@Ox%UzzUDbGOtGE>z zcz4%M+L=Csa(da%(eYI985LCq2ctvLCz>kqF7RpjI}La^-v0kfhiV9X&jaPwc!KtT zhL$ZumP~qRpG3Z$Q_zL8XLoeS7H41TjdHbGJACcYaS;IfSY&fBd~Ec`z6Q_e&Vv7> z_}~3;;I1mtxL`}8B)AF3Dm{rHSrcZATg5IPi(8)W?#Ug(Z-hqq)+`37C-!bp4r%yu zaGP`J-#pXEj#jMPFsi>*h$)qxc@RMf!M5FsIrM!JNQES(=fU{cdGIbrJ2pZIe7Wbs z#Sz>Z(PL|!u~j0jv#7;?6o*Gno=S7x`1;*$$~5L7!+-r4j2Q_23VjIu52HR02eDM# z``9DG9!SEY&iZxp6w9A@jpbUyd0fZ?0!#moceOab$eBiO`Y= zdF5;><(yK$Mz(V7`JsrWt>uCEhPo8Hd*r_wTI!QhKgbX7v<|wl%=*us`Yek&lA!mn4WLEo*ahhv zw0Uk%Ii6;HoV6d-fDAJL87CwXk@Rcq{WaQcs9C(68RDbId4sZtF^-3(L&Cfs{VKhO zHy*I}X`2KU#SGS9BZF#N%VZTr&kcb3&Poo!T=%fx4-~BG2r2y^ys^NQPeJ&ad}@*T z2J|JTN32HWCy?#N5$dE~^LndUIMAP(aaFcBmd69}brUPtxjgM%1=%=#QQqI*?OAG^ zKaIW0$gis+Y6h5IN_4oO_<}a{lF_*QAo^<*RBiQ=3Z}jgRp-}%0i1gU{>Z3f#a`?k zKDXrqCke>wly26P_A+1OEmx<%S*Vib+xqQH`X5K>So!r?3mY!lrE~HO6=mn&)?ma9 zT>5i=$){lW)J*e72@k4O1eomfa0v6*5Q^ZhPr1`n-D%sCV)zV) zgj&vB_@5`t&z;*0)5J_sjz0@2eL=Vy+l#Md5Qak8_!Dr-;l&l}>XvvZ20~mBd4o{6 z$P2*ZfK=4)H}k3cuhh`~iI{%i^%|4|q>0n*uA9^Tm|u3?5^`GOzW?pI%4|1du_)p& z(j8CjV(Vq4Zu<6o+#DR0-S~DgzU}!e`6UjTPj(63TE+GMWAJ=9|ZU1Mr#X^XJiR|E^$cP9eth1#o zzq2Kgklz<0{}LO=5ghMs$8Q|nj%5nv!rl6j8o2KmQ_WR=#S_7>$YGaRz!wIO!K@;V zedbuKdLPEd-uZ=E|8?VVdC!!Q$C?0(Y1JlFEAyl8tR(4cx0Y^P8aOWE7RvtEsB>cR z4VQSLrIP5;UJp`Jzuqx5HcwyaN@e{r3nyQSfC2d=my;M-za&~ZJXDVN@3YB903Fx^ z+vyp`BInvBsqj^-uY(>yL||`V8o(3iW>UpB5GfjUywP})SKi-gaN4f2|1OvMaQSfJ z<~QDj{a)rf#ArFGWkd|AMO(Q9q;q2ib&qqtssj0z54YAOTI`N!em@^#C-W9jJ#Y5# zFjCA`YVkIg`2)jt@u!i*kF)EW$yz~SkudM0RQ#|BFBAA8L|y{bsrk`wwj%SCal;GW z1uG*x z-`^#6;P==rO|SoT;U`i@aP)pH;k|DLk)~%J1YK`{-`iXJ9)>0CNaQ9lEqTZU%lIXP z)O1Sj;kY#nw`LtJWkW+06vGgr3gR&LsD7UQkDJ@X7SH2p86c`T^qHmN3rtBrVfi60shtP> z;A>(8Zkf?QD@K#SG{q@&gS!bLdBFv;AQvkgy;%whF&w|O%Q^Qx)fLNyl!iMb}PkZbVu!Z zrE7ixCh`w4NM55%v9-PsZ|XMYFm%z~MP@uQwplFGiN4Sj5n2$TFg-hsryO9`V6Ug@ z$=<<1K6vy-%7me1%hCo;R*Bv?A_N*M7>9AM>A?u}5;7i{-d6j~L?5t!3C+w`yb1;_ zJVs|8Jl#ZOsb4OGl1dk?u*Ml;u7Sz_nN-P#2eud;!flD{1oAYy+e>;k_XK_iF1 zjy2WXRU!?>W<9*9|DZX6__ZmdYtT=k|7k0$9jr{@v zU_-Mzz7&U6AXrob9wJqEnx2{p%MoFrf7@`JmN$^hA5WAK!*6vU< zx#-+Hw3XfmV%sxAFDC7YhsGIEDdR6Q3JVDL5;jhuMo3sJX1IE>T;JH@TWQka< zDnS#ylvxw{z}nN*TS!@0SN~eC>3jLO%qg+t$E)vfFxheR2gL4Nn?oa^%jmbLQp>}7{V3W8L6SMnFd}sY`vf{t@SgUz zR)1r-6kTV*dK#y5+DpXv9l;sR5&mrsiErPWhTZ$!9B&C6`u|EDU&mOg{9uN8*@J60 zu=Fgt65NW^Z_*#1!V6TDk)t~Pv%}$B(1zp5tDR%CdD9v7}6*->1aMw4tIA4?t$t%HnkFr2D(Fm~JeFc#e>pH<{c4&qw@THppm} zIBBW1F|{}*%OBHNiihKG?mI`x6$FFcz?rwo71&KDZdiDnZ4->2RHu)uc|d#wb1n3D zmUx*Zb?|E67kD{(|0D|Y>-#;S?tY(deTUt|mQx_`j9J5YLDvhv*N?tMJgZ<&=E-gYuDuUUh1KT&=1abZf;kfzqiK_11+w2H3uLT?dhx3d}rqL62rc7uLstM2lm)n(b=JHnF=$IJZuRO)8>msqus6ljF|DfrC zjkKCdqSpQK$CDF>qU7t}Nk#kK8y7U;r4-ypG&I{Os6Xnwm^phHEPnD^A~?M}0H&Nq zPkq*>Mo|tTH#Kf8%+H&z{aGM7E9_dPuudgNCSR4ynuC;cKnKr5vo#TZ8JH|e(Ps7& z{O$n8ieMDXhr4=|2X-WNBCYNI&T634FMqI(r4k#zc>oC>)N3s^1@ydoxS20~EOsLB z?NlW2%95-EZrr%>3ns?q`1u=0R;i%2NlvX){&h=d*mr0pS&{GKKI$3_jzb`fzGxVc zf30XRmS0UWp3ur>Doc%aAHbADV>dxqK6n>p`I`?}IazJu-+huQd*a8j$nL&P?iN}& z(x#VIJ|8Fj`9!Qf^2jL^#)UZ3k7dW=LD{M{xO-Zf|44yNs+?$A^^#&jgKEmkzNqf8 zZHv1aps+mLoIu#>FJtvdE#`3IgJ!Ydj=K$HYq+K zAx-2&SV&LOoL0C5NP_FVamNXHGn0)^SbQNX!ouNlFc*B*XjDqK`i9V4SJPH#4Sj4c zCN5x&0SeN1?#x0K8D=>FlO3dULkyz*#ktqSqLEp0(_)nU`&%*#nvpp818#*dYW2D^#Fzan_AtuWCnCV{!4nS)gFi#QEsyqiWHHs** ztiky`kiWm`FCs_K1VB`D_M`LlIS1j|FTb|oMAWEVT3TXflQ7A7My7?@;)$pPi~toC z#>r-CvzZGPnjyhPAN$7Lm<5dg__lK9WNmlTd->?EQHfoC@0WAzvsLHwc@1;4dJ5H? zoi0+6TqAW9e)ycejUO0e=%6H`k8x1N%rY`i)sVbRCeip>tj3GMx!%j;HQ|R6Wj0Ys zP|5V=D*ik`e|yPTURS*%vht_(PuMt&X~lkAAm34sjI}!Dv;S8j&9*GM1%(b>qXA!E z?6uH#(!Y2ZgZke0K@g+SUl)tvJt=Jza0Oq|f=&GjitZ%W@`2A+^V&+pl{&(*4)reB z)`S*hJWlUsXV$wML6($EB{^k7&p)>}rL9@v?(0h$-r#R-4xmjn*LAPJ;!GCCJ!>J4 zD_4Z0Pgp=aL}D5{jxjzhHF~`8gZ!*_ESWg_Rb-^4xzjCqc@vwgMl(&T{N$i;l0f_d ziLNRZEM6nNeEc9I57+MYa8CG3{hQ1;Mk)0aB zO>IZkE9P4Sd3b8E4%^A5!tg+11dj3_1Lb|&nA5X})Ik(PY!Srt-5g(J9nStHs@C1) z5YHptCS6eFF#AJn7%6tWz1A@4UVD)8v#wiL6`czgx+_G>s+0xq3ZvMH8@Kvipus@B zz|F(`jYm;lo(TeFMQMJaYZ-lV3zgB=)EFdp7jE&WIXT&nHVwP{(}UB5XKg2^qrsbl z`4QY>GJp&l%K_)XC}KBuf5mu`St+0;t4{t}HeU?Wc$wSJXZR3SlIGHr{HIdMrh=)t zInQ)b#8h4Xq0+&}wq z=%2)a_0juF1iJkH_($`>ZC>;s1BmEtmnv^P>hRl^<-+G_?xL=Ea@|5^{80@N?*mB! zMRlH@Z_aAkJ$m$ruzC&Yh(6%(XQidq%(XF$r|?|xC?7!O$q{9%7u|8Dt~ZWqHzNKV z8Ki{d`?GmQvd(A?816-B((w*RADkZBDHsaBBBqfQxbCTs+}5Cg)5(!m4jl`!%D)t( ztnDKWgWmjl*O6r{(skx80t23(f)Gpi!GaEWUAb~{egUZ(vXH26kAH}D0XWYBSfePC z%%RJqmo_T6#UY+0+$gWm<`^hi*1^+CBvtfAbu3b%CT2?HKicnf7xrM0_z>IVn9&y% zd5`??deL>}YZ+41$|*X%m0Jv3#}Ixuk<_|x#-(M}c`b3SX=OY-ys9Lu_8e}I8OYFR zNL6!5)595NYkLCg60mE?--NL7{R=Q-ADr&>&*-4@snC0RO-c0U6>{(O$(!m9t64uq zEp{e#e{cNrGA(EQMG6B7Up3ZZIxk^`|rcs657UC zB*l#W9>hkGx@QXFq=GsKgheB09sG1eoV!O z%ys-A2|~O%%r_*a$y+-$L)plMu^JB%e7-$O!DE~a>Tf#UW<8fr1@LOa;Lw_i(QK2{ zG0GYIu|`{hH-t=Sz+{JDNv3gV8$wbVol&u4EfKFoBEZn$_)0rya9zI#8z6AQC})GL zv~(yUh5@`W{5gm9$Ho4*WMtGFWx9fEb_9Q<;+;0A!-Pa8=#ZJ$2WvOe(g9~wr3cQi@P5$ztc3YKzj(c`<%N&mopJiAj+2v?vebdV0tAnrarjlscDn((R>J>BV`^EMJX+_j3U? zw3PDJ;K>5<*hk~-^#}FKQ|;tjhe^o7lP6v03jP;LO2F1-{g!6fkJEmq)3$j_#ubW8 zs?^o1ECJb`2^t$`TuhRm+!FRIRzh!nrFtc4oJv_}OuHoNEB|Cn3O>NIhA&}>C(JCp z6z5HE=TtY(Iw*9)nFLRAa!F2(PAfyU#rJuLeo)TmO=G3@{vp1Mfa-O|SF!sWV*XKj z7}ribIjfNs73Pt5=z@Y!Z?W2{IC;s#sECqRCe%`DkrkV5-`l!9ns3WVXu|C>F}yZB z#g_ei6Pe}uNyt9+iS`oupf}SmYUH*iY%tnuj&!bX-)t_o8#HUEJw{QN*olwQ5-tK= zJB%6$X>+*2kQ8sk355jI4n+8Al3AQy*mENw;9t%Lp zq+jV%#6Q#ZOs4^~GGc_eNOdaAptZEfShdgjm$G5}PuD2yYu=s2Ef%1T=QAFDvjy zT!PY%c?IKy6$Nf)5I~##;Rbev5XnCJ_iXb4zMYz7uNtSk{8}dt1VFI>JB7%hg_<=#<)fm-V`}O_?!`gb}O5t_uo_t6AcG+iy@$Z;X?;ob{-% zm6F{PL^Z`tewH}2Q~D!@0GK(0ad(jPF9-k^I2C7b1BA0-ksVsw_TIgf%-2s-w(msj zy@_k<2YjamMEVS#Y&xQpK(2adP)!J;>QP9*wP9Vtte8mkocT3eYs7ya`^+RXFv zY5!^8A&*w?Fsc>8u3GUC z4oAY#!e~QwV$^m?{pIiJ<&{=iYS4cnr|){g_g_~fq@;w&N3rs*eb6^&c9&tT)k&f1 zomab#Ii>w#p1ySG(YVUtD;^KC&hNRUwrL+@AIV0PMSoagB0}B$Vv)P5U`F=NtgR2@ z<9UeBKRc(u|DE4=pLBW3AnZ8|w{ePNcHg8Lej`9x;V`fA11^0Fk)$h7c)hoAB$ z7#JCXAb`RY4qmln%jO=FFbUeL=f2EHjf%4Av)X>07gE z5u3d4SP{c2sV}v-3c01(tGm6eW#34%Gmnp1;Qg^<91j>x9KHgyzE#YU-|I^qvbhuM zz3~i5+imz{I5e)kQG5Qa?)%t*=o4vK?btw~so>egInm{QfniDzs*h- z9aA71+sej_jq!bcxKHU^M$fC=o&GIkdzOt;e)w=KD+{I~jF3U+DGMeMTi%NoTKOu> zex6t9RApCJJ`S;_ zp7{b^=n48?82;r=`>`UdB|G*In;U?&;H~ zPxKlo43R=7v(~jIZ7_Jf9g^H+rOwC^cypHh%(D*8cURp5Ak?9VhzY3d*pG9ReYhu{ zoc*}rD4W}QSHi9`LAjg^PnD}e^g3DAyFCMsD=hx`l-_4*dXjRhu$a2dO|OMpU^qcy7WtVvY!=vSp0g;jc8WLaX@`Y) z?8bk=b8lV}twXEQqOpA!y1;pF%x8w!o9ZWv@r$CX>6b=~H@*yabzuo)hkaitoA;IA zRxdJ)p8h>QzYP%TBJ_5`zJsHqA~v?R*4bwh&!*^PX)!46a#Z;H?px|dn@3K?AO4Sj ze7tOqvYGbKp5rtT&v#P1AjM646<%il*B%U)eaG-#Q*A7)`%-jp#rFCS>WwGf^TuRL zf#JdcU6m{jIc^Yk&7M>yWK1sj96WO5z|j8a6LoWwQ&X=Y1F@=|T#(1i*eWN!#ftO3 zv6ZBh7^==jw8JQ`x*RZ~#{bf&@IOL;fZ z{4z=3dOI8bP#J>`A~%JWdYzarD%slF{(|o5EU~)&n^*g~=fgKB`({$`t4Ey@{pzyz z>4zD|xd+m^YTq<_X4L z85)TUg?8zdAfN5(28D%%$2ER8MhU;E08j_QAz4JelB9nN|2#%)z#+EyY6@ep#`Vb3 zQaRbA(*^~o~Z^itLiLmmx&}@`W(-ickC6;k=D4)WR(I7;; z)udsml;!YR7eOJR*vcD=-wc-kdK*FRums{CSV>DgIRS*znu?@68+8|r;7Kk|oCgGa z&)VhpvLD0?^rZMXUM)}HGx+*teX>v;bdEs^sH@bsrrH(%8ckMEZ#5AlTjHFQDg2Ii zZW%vn9@n@`4~CxOif3(QPiH1NB`xpdI78`?{;pl$z5GLdC3}HxzUq|E{lPKD+HX>J zWids;cQ0%`eokrL(6&c!SlRq*hWjah5PG8ByLz*XMzAOyEG{a-BQuw z9r0*V`51YmKwy6J`v#O%N9YOfRJ--85rttvnOlK zeKwH1edC6ezVYV@C!W-_*1Un{pwYH>I*oQAY_aU zVX#F=KF?9XLC({Ja%y2BRb?TFpM?YGVCYgGht=X@$Z-*a#IGUs5M zEKMyK=O{bEclhXa9%j)oj=&#Oi8=|Lk_rlY$yZ>s2v*>9q2~IQ^z`m{X}aeY?&n=I z<{+K~#bWe9<1D~*oz~fZe*6q46WxYANWh~*Hp%P&UNUv+vo7AvMiO#zw*amok)A$% z>WU$tR*iOjIhDDUmAbSlWF}|CV_$cCD!+07Pwy_a0Yo-l^77Jq>yiwu!)HGXW1(3* z{l^{i1q?OW#{IMOHrKu+M#gc;$uVxWQc_amKYzxwU7HCJ!rw_NwDP`z^lx-rGjwF- zLmTmz*_i>>aRix&f|RPm0?C9L>_d;k7Ch-16XiItCNHC2%4;n+zoT8>4CvQPx%6CD zevG;sJ<(-_fsn4XwCFMZ@(7zLYfby(RQs{GApNQ1Mkh7C=g0Jte+?nm5O|vo9m8`W zU-Y^P3hC=sS66p*oN4jz3)&k?er&4vdOUNdv}e5Bq~PESw!v|@24-ffC5kC|u zV$IUW$ME@SBF&o6c8;7Dec%>=dGIVN(mR>12j^)TCV6RJE1)0us2POdYQAQ9*?WWQ z0MsOKc(`fYd3_1&mgwa|&;r!X-MI8hMVQ3NbY0!$=J_oGFFT}f-MY2G-~b|zp>D8B z-h}vi7zB8vz!KFUN=4nqs{<%}+9++ma93VGs`@Rh@~}XPjl%e@+=ClmvjpDQI(uee zaX#q$oZs%3=@Al!(V>(+#bF!Zge`j59+N#4|;=%X?qnZv9S zb}8eVz(Lu^QVC@O;OMX(&(n8|8V}31e*3$l774p&=N8cUbG$y2TI;LeHhQzWx?;L& zMWn0(4v$Q;YSXl*M6H48CBGgaGv}B0IL=0m{+{C)5&PMo{QKZ4C_wiQjjQ1ZiVE4V zJdn`#TKo3&nT@p*?_5A80AMrp%6$1#%eIIo1qGYoza^3OnUry&&DxRLa7y{GOH!28 z!;7{VMcGs#^oG_Kg&YgN9J`@st2h5x+g-EN{`>G9J?g%-OKXo9M4iJ7D^4wLx%Q0m z$AjL3UgypQdnKy9C6QC3ii3c?5!6IdM1)CST3;=_lb>mp6lQ_UI}P^#t@kx7UH!vm z+j7FrBj|6YUdCgb%aMR?KjZJ0sS7jM9(e#`_*0_73@o?BzhAEP<+!W{ko)uVduAi` zw**fi+3QVt@ty&rsI3Vpf=t&(OMJA8rmh{1c+)|C=-E24{)~~S4&@@5bqTd^jo`+yY=O4Z{ankJm&>&>W#4GH-ALi!=O*f@J zfpb13TwhRrv0wRfl z8j3x7cUzP#``(0yQ>uO~9MYA7V0 z-QL?PaQjqp+Y!WmY!OJ#?*lqkl?Aj4UmQCPL=-1y+P7~7!(CA6Ztu?jEFe!+YKj$)PYj&(}bDlo6@BH@_Amd^eU8ZqYug?q+gOQT~uO$Ey2bk%CyjEDJ!87wR08B2v+$jah3u zvnW0rau>{R2m{zB3Jaj_9A4OF&52mo3`OIlz1;4Yhz1x9Gg(Ya#m#oYmnDTHmJCV( z5FTXR;nC4rN(DDwQM7Q18z0jV|Gd&4Uv8a3?)@VlaSdMm0bXNsClV^NMh1EOY~K$! z7jUgSBbD}-&pp$oyFc#g+7{N)sN?x#?(|1AtC5qWX51M1pI_wO@%>z}?ZzoY=X=ZG zwcu3$`(3ONlKUNF-^qh%y$nT>hjD5JNubqd@s(X#E`=f<%c(sA5x5XUZee1)Z4W-@ z5}ZcxzxrJm5-yDBd(h;qaPR57VQds$crl5N3G2ZV+xc4q4)C-Vn2w*a_+x0~X|nm* zy!VAs>4I2rb=9Y}2HRo^jJn2r9a_a5AO#2Ac-55YlWo3^>yl2ib2glD!HrTY>006F zjP~gn$P1@QEaX?#XjPh2JM$hz%V^kxuYEFPENYRjaf)CH?)rcbPBO9R@1i!U*Ml0>`B}=BAIt>m7Xx2~ z{{MXCTfB}!a6Ru9nVefLtZPFo7A9Bu7rGdi+n2FXLsY$OttcmF7lIfpG%Y{(z|Qh2 zXK&b5`Q6<=ejqmJNfcr^0|E7IUw?~ecz`$!UgCUTv-}=DzIbsf%$A~aYI%GhNq<$H z+PeNvxcjWM+qE;t0Z`c8fp<5SlYGp_eDC7wy4=g_AGjurXCHldUzN#8+rx6KIi8^$ z*794oZdL5{eL8kAh&xnmpw#_HcA$g0@e`xaTi~U`9JWW#&f&q??Tpr?FUAKtd})Lj z?hO4jYO*gUtTj-h?^>dAa5m@(E0k zI?~0MQ~yAO>-;U8O8hKBMpx8Bt2bGsOEe$x{oI)&b5Ef6)}wGMMUjhk)h`ZM*m0vr z@|w2;d7Xk<_Ali_>iQ3=yV|=hPw^XX6okgtxIVl6FJLJ}>4?{RHv77@W0efi9Y>@R zBaI{*mh=DUPZ^-Dqa;i=FK(MvpGtQu1!o~u<*z#>E4N{Hx}9Zx;Y3FL(68~U%#}P0 zdMkT?-}=|RQVs-qF2{0fuE+Ok6B+ARb z_?2xk?lKPWkJx+czJ8Y~^%5t}pxy~rMi1(hb;H>j(2$$;OJ$3e54#p0?|#mr@tIvk zKCwjw&DpLM_f9cyuindXVxkBByTKxr=er%a1uat}cW_WBDIdDg(cnU8bQ#E47SHMZ zurC$BSnGLCjxk^pfvbevV+#DvNzQY6Az`Uh+6DrI*Nbu2a@ohOE>(y=!&;zBV@-1b zL{EV74S&uvNIpT}gEWQ}l52K@I1TX%l95UP8hpq2y4s#7wQyL&vR;JgXJ5%;I-EhRUeTUj^rpOKJp6Pe;+DGs`whqnjd;{uzI+(JIdr zPtvT5$^KGK*9_oUAG^qO!U4{FK|#U2OXHK17l5j!M5pwYPMnPWV*7QJiepaG&tLh& zZTsk*J9iQp;HS{(LAU^J;7o3CXfwJ^t^uyv4Z4(z_IOc8z99|I91cQXg}2bUBf;e7 zt3j{PsidUCVUEC(v$L~15$Nq&QFsj%61FGUzQNbxzvo2d?sA*15iILUd+?q8mBK*@ z`{~>IN_oAm#m$oc*Wk-{OlYfrlaY%dD4jjG796+|6fb8*AH9>tY``Sl(UIOU;b-*J zxJ@sztx0wJ*P_>C_qeXgZG{N_g1D-(=gnylfX~m8s9I|#7I?8Kk1GAqJwx5yu1c5c zlq3|J@~C#~P<&gRA{LVBzmQW$qm;Hd+nf| zGdEw58n3%4CRx?_h~GS^OrMkXzH}lhn)(niO0|B{Oy6x4f*11ri{4&M$n@=tqs&}1<$VjuzT>;!fpAiL@Y5!%I%MB>I)5imVpI_ z1Ht2S%l?WU9Dg2pR(CJv1%yd8D^im!KyAS_>~P`94Fp~%DZQ|Mi|%cnwf?PS!Y4J_ zH#$_U8dQzPuWP%pB&6bY_yO|OpAb*{1k_9J8BjS$2>p#grQaKGPH;c5_iA|i&!7BZ zIY_RIIqjqOOHB%^Z#0jjgOX9ooKR8Jj+!eqwOGZ@-g1O3{y_X11l6&5d3WX&iHu(- zxP2%|PD`Kr%1bsTO5|4wJP2dowq2>f5(ofCY9Z+cnL&uMjuzzo{itbvW?Wxaw}*|k zX0_%0t~AGXXPH+g+IC%>m#P=>Hrap9Z#o0K6mhlq$49SE>cJdeG`5OYecOk-By?Fcst^3Ag)ti{C$(2zm9cXQnNC-W}6r=QW9QbJTyEEb#g#y*}SUawVc4PyaNdh;sUSnM9XB*ud89Z~{&K z$hop2iHBR1!SfudjGmvmb~TK(!{gPu$fp^M3(O<7yQSg3S+1sH1;)=av!i_+Qa2h{ST9T&*jQWN1AtHT#FP5LFTC%V_{9udNQq^2 zsc71nh)JjJz(|a5wd!lF;^{RfEJ449AmO1%uBSNKjn!c&>Zi6yV#Acp@gv6*?2E$h zYwr?m;XT28sVm2rmDG>x`}FTr1ggmXlnl4|IQGxjAVwgs{Je*$hu6o6^GB}D66t~-`Z}xB~#Z=L1bN?mKFQN|g>nfBb z&|%tqettbMz?G5#iqo%**Lh!csND+h_-?jzKhuDc4oMQAvM5b)rXO>b=jN#dq^L?+ z@^-Gfy`2gZwa(MIXO=-NBku1a5- zaO`)Y0qw5OOdfYrQzR5qQ+#FCCTD5iR=*h?85x?{_Wk?bh+p_19iH2*u&$-^b|A;HSA_h&(^Sg#DUPQ5lltj5n$>FSt>!X zD4?AhuL94k-p2!Yj(xz=D6wtaN?ze~*wtTP(aK?Cr6&=zr6780uTQ{t#%tDNTQqT( z2#%%Pkdl-PfW=VW;4o2$Lb%fWju)#$xhbq5ND&qv^+ho%&V0?WjYARPmL~zH%pW-S z&pO7x1XmA`RIkzqmcuA$?R$!n#=Gu=nC*xla5CXNQ^CQ(za-3^#@8>V?{wJIZIU@e zK|H>KF`f7`S-~|qnxM2ef#$+kvnuVRe88@r|$)PXa~$hfW0fxi`Pg5y;D5APn#2p zLRXKz>C>W%AiJ`>;RC&T_39BgPqcDz%KqpHDzrb#4rCl;+M#{SRS5ggq%s z8a@(_=ttAnDigaHZU1+K=|8~f|A(1aXsKk2KH-~;dlq)J!u8NNpI}L)f&Lyw#@Doa z8;%{Pn=2Az$KF6O%!ME8o;Rk<#i(AWFU$LLhndi*ZQ>QL!HM5fv z(r)MmAj+24WAldWN_v|SRWhO$YB`%#IiA*=Img6FRtk&?q<^U8@hrQyEZMD*-f>{? z6!1_VB5w@9WtbK?54khwSSeJ0S}?l|>?k%y;>;O8Xl?UtAdGm=fXP5XSVqU`uO%i& z;Be=CeAe|6q!^QHP8pHK0 z9cF*455+4cUAq08!FlYwUEgZ&)|j)21(R8|7s%F;gRzsSKryM)%SMR(%ZF8K;@=DtXdnJQTO zTl=5^MbvN4 zI1a~FZprTFpijT|o8$1gAEZ8pn=d&Qs-|yg+}YPBEdJz@)CZqKO>DPFGl*VhEj{O@ z+QRkIH1DRE+vrpI1b0ji)pJ1aaJPgl0j_AS%v7mkACbcL(btE*SKr8JyQQUNR>!**!(~%TOCdOaAJBf%q84bpov?6^#Mf9g`WkuZl-{^;!L+J^l$LjwQN zB=&MgY)hr%ZweN!IOzHz5vX~0p^BsXD*eu5(Cc3hm-_acwV@H+4(3{P>%-1tm!h%4 zybcQ!j9GVx->iS+vhR&Lx>BHo*pfVtc+*|zVNd=zh_q~~kIF|c-s)-p-JiA2@$&iu z)8ZR1{|&4jVe#`bax|{YLi|6*ddenhQQXWCR?U}5+GY(1b~C>ev(soebWT#eZGB>) zfNb_isC@Gs&c-!==i1TOaQ-GyepTaF#%Z6WPN*Jc<0#NSCKx|TntHS)&CVeATyIYH zBhN!>_J6}G-&1gLNR>cq3Gm)r9*`#ic(?7NeOWP~=qFRwX`B=8)KQZPDYiu^?n{s+ z%|XaE7s%D!+1K|-f?0t0L5)8?gwa381 z;Ojm)t*56)OK%1aD&^J_mpLh1aTo*zMQX^*TEGRjDN&)=d<%P+K1jyLulSO>-Yq$ce3i z?Fu@J=E&|lHC`0*(}eC=#|k|%s`z+Dti(O9L|i?{xm1LpFNv@+4Ce6L>6wf79R;BMOlGOMsHm?LKv>+#S6?vhs9U?hJih zKYwxpo;kLPCHUoB7dBoZ1Z2)oPmc<~&@TC3S{r{^AO84wd1aOmvqW_ey@8@!h)`Ur z{w)=umC{HrytsSHRfxSJ=OFX;%RDc*<2__@xgP&V!Xoy<{AqPGIR_2Z#GUNGxX_96 zG8%?hl7AI9>F}+DEBxaWpL*G<7TR<4%LXzY{(;f#EPe}mPKTXP&1CIaagy?gPZM&$ zf#OxRUAV?%MrIe{fvG8Vvu!{3$aRG{6bUqnN=pZUfb0MgLwu8TZeenZIq*N#_XD#d zl;`|@1%apFZ51Pt(G^dj%`oBH#r;ff1b|@+k6wi{*!+b0>xnIV>GyU`Hj^JTQT$;nl!prPa>T>DbXBf@+ z2RM#01O}$t*om;-UXsmJxqzg_Z`L0h+@vJ_vRi4(^?8s9I3MHMpGlP}ocu+Nx6)`O zqfy3Ns`YP4W#xZCHUtPma@9gbj8{tyofZw#_-Inc#K}>1J8_ndp7DpRdhG?c=s4iB zB9RJ*k3ISVVB%&_q%qy7tJDR9x9(7vo|oE^Elf^`o!DyOar}W?vVgxr9AS9n7%`Di zyd4=OK91M3G7WwBh~x3Q-?DR-sGA_YHhEM>G;N5i9Z3;H z)4(Ex{m8^{A9R>qxrD?Mi^f|RfQ#QXo($teo4y%b@kdve<~ z@4aFBIHY#*P>8VsAG2DBXw%rSfWq%T_R8$zOI|7?B-V$P3<6^@mxEdh`Q7|pH16d; z_S%NoM~jl_*L0|qjcLbeOXv+OAGhBB3R;EJuhGGS2cK2zQeGo^?(j2RKBD2g(DvzX&Cvr2i>OeS6Se}8 z>;YNCSrK$zz@qBw(M!}Ui9wF}9f$V*!o}&n<37wUqYwQ4HegoO``b*EXjipg!d}^l z=a*QlrY}dE&u=v2djYzzXA(bx#^?C>vL?sxmv?@y`!Q>HYHQE`LCg|kb09^w5Ofu& zM6KpDN&ro(=bYnTWx3ccRF^? zPWZ9c34OhMyl4S`MS!%$g}TX<`e@)O1Pfkm*3`)wu65=0zV7$-y`|@~gKGs+G3_rvmnwj}nIYPMWS}b|OslA0Jvuu2 z{L=oRB7@F!#MP~>p}=X!cK_B}lFF9dY=+i2IVyDEal!OJ{szJK#JXMgP~g?hDvtCI z&zaQ*UPfo%NOdw@SJ(3m3N;^DZ5zCyU(m%POxLwrM&rWQ%ceH1W z;^CbcO6^iMmeYveD*D*!HsyzNg?|PKSt|J)(NjVVD#p_1(iXbP))S7#EM8M&SFaaA z4+fa%)H9yTRghpz5P4r=tkAH;e1-E9AaSUFFzomi`TVM{VZ1nOvdgbL<58bfbvVS8 z91>S8*zyk=yd}FAtrmYynj(qI!C&xmxmek$-xDoXi}H6AL|HbQb9K5~TbX~Fp2kx} zOIZdT8euM`FwlJmnZXwx0p{&gr(X@4+1RjjJ#4RHwwH*K4W$-N@SM1rqb6d9L7k}f zTns^zNdMS(;%5SIU2x-oLBqa=Y@%EnyAz{2(Jmc_OvdUMAc{CI6e?QaQ^GT)`_sCb zFAfN9mYS0?-~Y-5NR`jcfSUOJ{c}-|higK~@7+jHgz6^Wtu-H!jh?m}8%rfxN?iov zOoT+e@FzYUg%52*QV>ES6ZDJp#-KF00pB}9flOjKvWIa&@kl7<=>5jEIb13-^0V16 z$AEFEp3CG+mQ$Q9c;YxW4Piv8UFDhHy{o;@V9^w=_Dr)}_%Sr}R#!fVTk^ zW5VRF6A7A!mZv$jR>J083=_`IirH)Fy|tJ8K;@ohdX{Ui%f%q$I6f<}W6m3yzp1$V zgwj7mjTMD$R!sb!{>$Da=UsNMi8m*(5(*WfBjnP%)p(wDKEknYzX7EmpyRVQ#^uEx z9#5~g)(F}iX)-E7;uvE|+ba{lzyD0{Ed9VYLYi5LS%OS>BG_vW^0pmu0cwU4f%3NkQ ztV*&tc08U~S_Mr1ppeB+R`raE^AnTi!P?xxO4R*Qo~qy0VOFY^deD#UUgD3l$sH3} z)L6~MB*qn))>3nry}g!-S*}SB(wuD#{V8;+nK1VtGi@)G$wNou;_ue$dnQL zh*$bP-pXHNhk`Fd`?!n|eJ{FsDbPkEXcxDt;rGihkbm_JjUDy{?2eodA>gFD6wRXl ztD^Cw96pfFOdapiN$6)G(il?1b7|(Ik{3RL{$5vauLeW5y_G>MS6k={xRDlijf%2v z+zLc2JFkUuXQqw+LJnKR_a+s|z=giG(>)`g$-TYqXMW;45yM~~K6uGsPxorx2!nLT zW}3YlHba81F8&*uPBQSndrbIS@ppymTn}qU=g##2j*(O4Y2P*K3`rbWWXrVQ7hw(x zNtwYDSx9L#FMw(S!3>;r*Tu*8$8SvF?Arety0`ASm^U`s|9THAUK%sR3^az+Ckh;r z28SwT0Z9m6+W5@!@+w?i>^#S^bkB@E`pNxx%^dF?^f_3`>=8`Cgf3{xrmqblUkPsnCC7+0hmMA<9X__}Z z*H_S{&ZHA|ty-wHm<^6zqimFz^PlgAXv%vlw;f4lpTg=dzvJ?6R##TOiAB75vy)AF z6(DyFH}sST6dI)ZIUkys5J4F+=fFJwt{$CRmOb~Df2S>c2E2^a{RpspwHk#r%wmd| zV%_`7@9)6ZDW`f#+s!+*gH8PJkIQXmA5txfrm36^Y1F6tWn@?Aa)dHeTcq2{jQ^I`H+)rxW+Y$J*?MqWb2+PfT zry~8v@m|N)fVQUn6FXuXT$vcD#36hAlW*3$Y~>YN?=ts2O%bQT#%;BV$rJ+|V9qqQ zmNkuN(IFWGp?Hpu8}3s_x32E^*I9S?!$jF@dQ*c235IZG5vO|nHj{ZY zaOj;V3;FQW&4d0FZLx4=8eb|~fI&+cwNkiue3*p89iPm>pv6X{0PXbTK;#W$y} zE1gJ$Pj#!%Xlro9m$C5mx9iOvnrCzi9L@^73eJCxj!1mQJ5DxqBRdb{@#AjqnA4_K z97$?v*}hf=>{Tt6SsDT~$ARN3MHuiVjEVmC7kyl7Og_^4?ct$KJq4L~LK)-M9H+Xg zJM#J=OzlQvMPp+6^(nvCPjUzOo#2)p>^&nP@o>+5lm=k(deHm)QO!C(ECAAie5H%F zHZ~l_%fw|!IQvK(YAigP|0-vrmI}9$W|kWta}$}kz3?GX7_??+Li?}#cI(!yoQH$sD`vwd9UWa=zR-NIznMrc;YKQg#D8tX2lq#)-qM>;@m^N> z{SCqqaMGXbhLY#hFf{g;WJ>I6ay^Ntu*2sqQXD#P>+)G99Hy3vesoh+g3dc$HMX|$ z*Wma=iB$@fl#fW8pfk-pr6^>aY9&%(`hk`HG3*1|(`&$3^V!t0nc+#1h!Dm8Sj{ z5vRuFbBzK!?G2Q8T)xi9=zvn zTgv?wd-*zY-z$Y%*G1Frrpc|F2Uem7I1aw9EN=YHFTQB&DdnAgB>^Z7pqE#H_^2it z>>vTy6Bl+>@20&}?#)*tM}aDmyAR$tN^2bHjs~K_&a#jn4HE#QQ4Kh6t zo`0kt(wvwd#IL=SX#e>_qsoW#WA;@`mv-3(IN&Tjk!1xz++SOm$F3gVar*-4+Kn4D zsoM=oUoAMG0z@}tvL$3+yV!^XD(hbg?nZ!kfZT8a5F3J)P8oz%&%ardn8IJ}yBLU# zmrW!ZNJ(8P3>HsE2_r6HKTq287dD?=NJ(RL*<9_TFfg0!n~Peiad<>cCbj!I6OoyVAjbZ#WI-tP#v>n zbj)~-cwx}H?lDPEk-_EOoZP25HD1l>ZBNgf2e4=zfqw^ojtcz$JphQxT-3VgA@r?<46YifI$mlU2 zYuXzx{|&dE?q6T#f#r{hiIwk%248 zUSLjs%zMkjTi)7NbCAor8KWN4+B#G6@Y$AQ<$$*uayGg8S{zic` z^?{)!VJ%5Cgn1Vue7WY!Ln|w0BJ{-PaVATW*UG`*%Y!os*Uq>~>{029J~hQ?n6|qw zdZF~|G`Y%z$;MX$_DQdMv<4n>VOuMNm75G``dUMi7Q@6~*ASpV$cD01Z zxijnUzkgx7UZ!~%3!mFW8>=)0iKwdD7BcghBPw-y(aI&qBW~GKO*;!t0$gvp4QfU{ z59meo6|@oo7gZo;n-!E4=TB6%9G&wuX-mkkDmnQ+-f&lVP{TAC%`Xk*8c(nI-!Z$y zs^Ii>fNAOchqHN?d6Z37`%F+IjT{<$gz#rr_@s4ASiJW~VTLXxhjExqAbgAu=un_R zC30o@vas=tpK_8CkNfNXI2}rM~7eWvoTf1f^!d_`&f?07a8O^KaRWGDi%q zHb40M@mAH+#TG~34fS*}hlUB~@X?);+za9IZekmG^fSM$Bb^g+ZhutZZB!|E7$Hzu zNupSNzA)-m(V5a_^q0OTlMfjp;~H9#r2#A zQ!--|-cs{QA8zZ!Yg+~1bTWky#q+YYQoSn5Mo#j^=BU+o#^le;l-6JK*`1>4ZzZg@ zDZLyYewv4tYFI7&4F}XNs01r+2WCJigf$6ErfxQNA+OFTmS}c1HbQI7SwXn`7lfi4 z2TdM1?gpzxM$(V8X4zOR*;J=jqGg_0UeGH)@6HmxuaWzN?60cX7WTu(CRqUOfRgYX z0nM>(x@W+QNTsppLj}k_n(C{CBOb<;igUd_HBDh!A3pipR9P$a9f`nRJ9PKO8$HRU zF@NFii}Z!4(V4CE4^L}M3w@Mx{(7Yr&j^Tp1_s>Mt^Uh@a$KK@#AxrzZvL|E=+@>R ziF0#vyqbXVGGX=*b3O4PvGN7|^|8%SNvnYS0W9Ek{`P=@g*k3VRkdEWmj@<@A!h=1 zgR%ELyCl>|TgRa`wC8z#i4uPn6XX5p>~(aASBQSk30Qa8j7t$Em9aNDRb#ld6ld`x zJQyb(C7w(eE4=ob^X<5&Zn9cJys}Vj!-ZM_T;4MsbOir|CJq6>8YU@6#bsX9>y>|j zwhAG^*c%#nPg2KE#H#N&srC_9boI~sjPgP1k%wC+NEA`xSJFJ>3yi3R^2JrgmsStB zZsF%lA=;FXPe9g+`v#kZu`PNzX4i=Jgh!88)k$>1*Bl)`e@DXEo}4cou;{QCUf?Bz z0$XD-$azu+5@p4ub?mDZ$aOh15vKh1T}#pqP;%we5r$;>SZB65Jp0_RIE~tR;(JcZ zAK@Sc_#fUA? zW09??eP!7@qi;NpHZGQPA9sySOFsK??wY%%I#AoveaE*2K z^_Sl)d9WCzTfeCf}=tI_UE?m_K{upZJLugKMYi= zb!nWht|n{kGKi%6e&URa);{r+pQb&w931#V={hB22iGLq>=))ver>GTqQ;^tDnjQAD(n&zRlju& zvFRLBOMTW*r=zcb2tx{O!Xf~M8Aa=noBE-hn{^V;rjs7mF1U_SNnTW`cW=B>%)8l6 z%`5qGTls+%KB$k@<5pX}K9!jJ$;E%o1Mfx^q#=0xp zlJI#~cfv)CyQ3c&@>@u2Lx-flpeECssJbI@v}S`jaeK40v_#~gkvLY(5Wkb0{!EI* zpAs*l(}w}<>x49duCNbg;9-Wt)e>^_zukoO9@&lzu2c*gG+O`M3xn_wtu3TK4yAQC z7%Z3^YVhYw?e}qx!SIzBp{!Q+?@i9XDQ6z>df6RI3;yYCRndiSYV3HbYTOlfrnbZ@ z?pXzteb412#}{hDw23E0U11H`;E4W)2lqL|rq!!ozUVqR^ zeD-^gi&BHGdY-9B(}@Jd>KK*`&O_JY=Y;E`!fYGLvAAW}YRCSjh~5hkr`>0Ch|DyC z(Iy0DBoYSxPw=O{{rCehAX{XcfnH#+)OjVo^GRy7)8A_19UXlW7WQ0U9WXxu=Wsm> zFSqaM>N50ygFpIGt(^oC8TVSEfGsX3r>*JMujOnAYLN3iQk3&E#iY`^>yX#Z&Z7%*V?4 zN#%$62pOdTCkuiTpU}u&{EZ;TU|am&zQjbACi{L6tHGBZI0aEOFxPLOy!AGZ`~2oa zS%!_&^5#mx%1oJ~+0|KYheEadij`;avJQMt-Wk7B?~WB4Q{(q(a;SH1@!r{U@LcNh zhUjBq)|+LO3$Z>+8BZ%R>y^34>+d{nL3MOC$c&D8Pj?Paf}-mW+m z>$I=xl^Q>MNDEz4L?2>XWa)WuQW2#S9vl?AE1Od=?*eGIcm}8x3oUH0;(1>?x{l+0 zvCzJ+t?)`B%i@`J%=w_5;!kL0fOhTUi|L>N&9^%bTr3VLnLXMeMXhtM5=5-Wg(W+# zB)mv*L_o=fYFEz~roL^XFE-TA@H$B0U4u%`r>awO>8A%mv;91T5kE7=h@^|EHEmz1 zDVY;8XhQYGbuWJ4hV;5{E}})~#XGG3T*Fw%%MAaiu}n6~-J)Dp3=PZP^sVXPdy|0A zlEiWSD8nCo;ee!9?52L?5G8HfZ((IFN-8PgfpYK{B@u*yDymAn%UelyFr*QEd*rIn z0R6qLq9#w{G|uEzdam@X(h z1nuUc;fCD#yyap({^X^d$Bxu)^xNjlutb`|HPmqvWDe#1+TpCsgDT~RM68?8Ne%#cIwD6daiC08>SVuZ zd-#9iQ}O-mbt(eP|O0_c zQ=fN!ZZ52gC4lo@0tMY_`!m&J85J%%UWhJb|NXaMZI5hd%IzH~?iMR~D<#&Ocwa34 zwdWN-;QOm~Wz~RL4&o8Y#~))}Ht6omHS#?9SM9Cw2}f2GN7!TQ^@Hb2z5?BZzD;zi zHS${8eE=ABTr#;Lv_KC3n1Id3uY5=i^#o`_YcIsT9b(cN#tqjb7&ieb6z{-Vuyfdw~VOd*%)n#s8od}*CO7@a)YkG zb&J|meUeJdM+GGl6t4QUAB7b5xigc&WHhrBnmU00sz9Ae>YuITxd@xhbM|`l^c^`f z{xkgBom#V|2n zgB`&ChYy!qq!Wwp1%zU($uv>q{j{LLn7MI1>T_bE{!P#AdK3R1I%!=vMn-q`1NQTY z8WecVf-<=|GK1kE6GDgc-=o=U!9oBgk$)-urv5&zm=VFlkHlA#wpfU~Xhf9xbg!Dp zg>+}YmyBN?c)fURIYojhJnhcgL9@oDE-O7}k>52>&El#A(i4^rMvjkUXGDwX|8pv! z!z=qm+iXYb)9G4y&(=}0qjZXW!BcUn-BxI+`p@NbWE&P!Sp?UnG%A0L-#E?N)p>_w zxm3Tcug$TI0F{YY*OgfmC_!C}pLiDCy8~#<7Wf~cRA|N?6yFqPHjt_I_VRjLgnN+4 zM-hB^3Dl9ufu?!l{8#XQ+nCXV{|UY6V?lVJ!Sn8%)r*pJ+cHnyXN?9fWSvDE6)A%L z1BfC2Ihjryr?CH!E0vQB=@QgL@Br-&S6Q<=ccnbu2ysytWsWiNC`*NSr5o8DZtCQF zmzbFF7H#*A{(IbQd_Wzr=EMW6;SXx0L44g^i=2 zED%x!RpG(ePclz&`3B|{O_{{G17C&l!V}ua?K1IytPc#P{m)#0Qx1 zHToA`nYi~wVbBJ_WerMTrVXK_Dih|6lJh=?)Uh)NK;r9q=fN!@Guw4hP7X(B$vkW5RH;y!unnv{qhPb+<_=`a`u_FJ`*R?7(`5IIb zgjrc~8`o&I(fczQ3Idlc2bLc~bk4>)baKv_Ni)^Hx**~Wddb{1R%DPN@rd(lmli2- z+j`zqXD-|*h||1>`a#6GC7H$K{EABR;kVTTJ$dHX6)UqY}Oes$oQSTVuh+C!{!n-({VIcJFB=T935{_ zx&G=SxuV>>{q?OEL_S6|#xET=ZeuP!?);9z)tlRa8ck>N;Ses;GBE5O-Fi(DIcOHW z-xX}b={d%jzR*6ITPG?&fw2ZR8zQE^437m(%-Qb+6KS8HFjXMx?^eXCe2s?p<~!>-H)Rz8yAx8>GF868VI=4+e7k9M?(&;Y?Pk)= zp?sH|hgM=ensT|M|I<-IQ4gjct2_I5qk6J;8QWN`#6O9*#4yl`TfVQoH}m<2VH3Sa zo9}mat?)n=BdH_l)dAna$6Hlz$@%1qVH?uM7V{!3F}~cR6tA@z#$*Zu{^k@}8sSqJ zP(GL4#KFtOZ9lYwL?^(*+6{3VgrfZb@}AjnLDIt;4~;F8tfa#{AI=tu#`$?+!q(Ge zb?DF`0zayLs9t+{{5(P+PnFnd1V{a?>E{Z z?egxl(bS~TO;KYxz{g(qpeJ!CXF@9PrE|+V8!c79s(9pe_7BJ`7%)?5KiH#5HPp!( zp>Op>w6`-IjgX9In!oBoNldLgwkRIiAlqfzz0o&Gh`YaD(08bk9xbSH%@}bsUVjFq z{`pH+w%Ui;pHZfY4D_UDhCshsa|!vxSUmj(NWZV{%6N7U`_);ZMZtAYL%ZpP&da`7 z>haQ266EnA*U|IxLfag2(Gg?~hUind62wb1-1ATFxC~Y8*hYy^A#ONAwNOJ#CTt`b z?eIJ46D$TK5@FjE$RA=N(G@?ubt`x>D7|Du9Qvz}H-A^xMK{;x5~lI^-4FQ&&34vl zcwXb*Sxa!glFWdH;6l2qXHIyRj9%&T#%p^@4)+wS4StIUoxOnh`L#O)Qryi7eQ@0R z-OV#%YH#*2Z{G_U<*KVqKQc5^$e3H(+^&B3^nrc_S^oR>{9alOn9MNsrdJ8xnNBe; z{`s1|R&>JrkJKxD_C=ZlVsoFxGakzR9jBy?zA>>&b;)e88|s%CTz~Y^kjasec$TX! z64Z0=m~G^qX6p%d?SXKa9m>7guy4*?i$4H;3c>Q8Rm#oHmDjp{?b{3 zK1{zHo5IiW->7mKe0@(b(Anre^U(hzqb7w%=)V6)U=8t9F(g*T5x9@gpY5N=JyCPFJcscg_!69Ta7w{ZH%o4{^z5&@}rJkrM{G3`BzE@^s`G+xuv? zAaR@!(37(}mYmRsbS-31XMDM0`sfj>^#XJxyO5jycNyo*ykF>f9(wPa{4B#gpna+% zaL@u2wC7Rk8vdNxW(4}p?_^IY`PH7}l~kb!2y2*}JAA0PVz;vFX%R0oy}g$AQuq7J ztyK54<(|rOj$?v}CAB4~I=9Y$v(}S6e}CI%dlzG*WWTe>sH(o@a@|_$NX!H+=}N=* z*Y`$5wYPB{J>pr?#;E9B=7vp$i4X||Ow8V$5G$kg6WM9bFYBo1-{qnwFU<5#`NQSh zv#%bsYFv(azN_`Y>-XWb{7q(7$~>+i-1DpJ=d!i6GKwJn!Bwo#(811iglldZ1Fajm zTF(BDD}t-?M-LiPC)Ce54$7_E&eve!pw@nwY-DH%f%&VeN<_ioaF%YqN-~{6%zhXO zbPJ!w#qG*naL^u}GMa~$z7p+}L(`C8LQXIi0B)$-2N}kPH>vnj1jQ+;{O$iM>!?I! zA(=39i!Ee$5-NYT{XC7~Y0Jy&O53wNFfIb8U{oW3BNxaaej%B03(0BjO^~S(4nWb%ZAr_-r?GZHY0!nRB@N@ zj5SS&cBtnDSh0Rsr0$3WrW2;{A?}kY&+579RENhyQYqtS986k6cmw{Bu_%~@KYh2f zYro;_;_fp;|m{a+Nb zadC;99K!|77)12QEjyk3Gde=JF^7u@#hyEo<*zh}FFWVFyykQXNQ z+F*={;UaW)il8Rb~qt$ez%JuvQLR10|xSp@h8 zx#h#+{rtgkwfQs4B(|oz7T-R-H$f^5e3ZQYHZ%Qai6HKDK`ALypT|3xsDxR1G0V4f zsz8)7Oj_vJOfOpX3z$e=6y5OKsb3+q_)p7#V>I1Yo_B@SU5(@Hc|*ptP068*%(p}N zl1Yc39kT1+@}!lQv2aFVZ$H`FINrUx_P>ow4p2B7pqTiCbnIH(yHAFbo#qkJ+>Lez zbA^f-;&TccE;}A>HkoEwcF}y{8yO}hG#XLV(QBN(-h9O+9(gew?Ci7JP{OBo0I(v$ zKL5?CYHt#naU^cdi^#)UcQKH2*4+{tO55_>sL(`1s$2c^2b0qeJ`3WeTGlsptgrm2 zZ=8`ZtSv|-wk&h9k5uU@E)|$G#$q+x5mvdm+ha`Sbp@5(eOK3M_9~0jiLai+(t?$#h zi;{FHJoQXX1$Nd&OFFiD>|0HlwEW2Y&CaybveQzl*s1G`ECP%c&!)nps~2_0$hL6M zmZ_0u^|Y_G%cd`scO?xFDh|2nU%joFtrxHX1owfFwJ=9Ooz!^lgRag_6}{!ap7i?# zriFpO7K}XVY+!7R&DE#b9wiy2v*+nP%ux#)K4H`7o1^@9 z_1Y+fjw2_)!P^n!cOVyk+irm_3vqUoR(j`o=~=M zIeCw$3};XWxr1XQ+@p_s^s>W}o@?j$zg?8+E-iJ9uFX-qcIZab{3%^PX2kdKbKkFA zLmCj~09%GS&TfhtA6t(sPq5hJDrfmZK*rycZlKZ?PV@{v>0IK{$0&`u%pk zZ#kBVpDB##lrvT4@9%83h&}lEEOr$8J*r1Stp>hsnbz&T>gZykMBDn;m^3AaAh&s( z;`Upi>QIB^Gn@N}&4x~yD&{t0^JCOmI)RRjQBuxohptD=h}ZzRJi@%a`1mkXqO3aE z75r)5(A+!Bma`tX9KF(-I1l7wg?j?0O!0Lm+~LG4+_>`9lLp!(&8k0>UD=uux=2$( zC2%m$1S-XdkY2|_MB`eu)v44L-eatF*>6e$1S840ZGRd{HT|_FmUt*=Pm`lRA48!JAEkdhuXR=~* z8I#5gnL!s!aRW5#s`vR0hpOh@*Cj^aet;%Vy;6U-DX{1^5y~V8aL+x&Z7sDSEyL)TUkYYQVJJntK zki7YE-(sgfos|###!^5-5ig9)%qW1u@mbJz5H_@!L*sPOixxam8m2HM2qc~AtL=el z9W>4t=`^U-%@M5zzOC-%wtcNlz@F&xHzex^&|OKky}2R+*(hqMf*>3iXU~Fo!x>o3 zGfyKyC}tkJ3LKl8Wgp;5Koq?MI*pWPavG6Wpui`qWO13HRD|hoH5ss0D;3VJFN6`S zG#ChlVXsM$+bMlLgNW&(K-1mLiCzt|`UHy65Hpyt*48cYLirR3q#2OYEQ5r4HL&^k zbQ_hX#wm6v>E!VyMMx2&mYmDagMN@yQd?E^e0qBN1mz=^vqO}OVye}}R(?GG()`{E zPPffb=ShWk!|khmMrUwuo_;e+;4>s(#zK9s`Cwh~W^vyHAvoA4=}4Jc66c0&ce$et z=8X44Z0wtIY&2VI)1ESey29!SJs z0k-gY8g{y1L9f2XSlrHR)aM;?Rp5ij-&<;2yMl9aa?%PqLT=bf&8s5T!;*!V+~qY_ z3#0D6@lj3__pK&nB7s*079X+C2z)#S(=2@gw+a7DxpU{|&rivCfaaNGvLxWk+;~T3 zdioSsDfO@2hkX@Xm?6_cXThr^Y@iCX6R9XVMr=wE;6~WzmqTZLf+U3w>8kfLp zJp3z|pUi1 zT8bgCK8cgRgIK@Am>@{^Ed_`CcRlGA0LhEH{4)qd==9XqGQ0f!;KUgLmRS4fzQfpi zGoXnDwM`l_g|M2OCyVcv>sTut=o zPwjfh--XhfjV}@rlANXZm87ufBx}M@jpIl3v@>y=!OK!CZ8V(*XEp0v&{nu&Q0eb`R7(Dzfz*?D+J<9 z@bW?+|BDFXwMx|^#&%QFw)ed0f0gK+Z`Pm$p3aTq^m%vHmNVIhV*lf~DFzkDPoq$sKb{;y(@4@|jg zNl~!R0Wm7W&~>^HySbv%HYh%JpYjElVc&Irn1{2cgWd5eX*+sDv6~6*XMD%;`tv3z zp?(aF9#%f(=za*hE?h9xI8O+?bgMSZ&E|gPipTKM+jP_~9N)DQWwW%=qzRkhS6Hf& z+`%E^h4eyY&v&E~!aB^FvPRvL<=1Bgk$stU$lM`i4&>?nfr0w8vss2hD z#nom`%MXSkOx?s=)AAo*EU-DRS9t$K)}?3<)u}kP7jlz;uh!WX8KRo}9o;L?oX9v` zwfyw-kKe}KO%}X5Y}oeIqZd43GIz3ov@A|?9iX{*Ys{_v$0J&7=6cKf^qo$$2miKO{ZeaC9l9Yj%x;ojjOc2<_%-0r~B!m5oE`Vrh;7ct4JhyEEyae zw9)a?#hkFPphC@SW{^>zy<*Q1R_EvE$3gN1py~Hc+YUas_*#&_%yN0zf+)tIn>Z0Q zWk8#)t6PB#u}+8yE5Vayvr@QjB`#O1U>0$aztmkhw<3!6w39AJL#dvSm1QSuNEoBC z8VfP2z+DXh(RT`0TZH6!A{HK2OTe-&xL7bmbhd!1O@h*W-=Y)brJgDyw_QN#G8a?h zN708Jd5O5Sx-2{5^n`VoDKgu0K`#GcHO$`k4kx0gr zIk4TdgCVeF=Li5T1p6es29x8Pn;fh@J`fu2+N_bc3{tE=Aj@8=P*LE`p2H|5WM61H z`!gmh!Fl1`rdz%5M}epbJ9|_;P>sRPps~_4D?58m;-l>*?gJQS76DE0nzvuTWb7%N z3*i)Doidl;x%@U64Sy*L{$5WjG@u(~W zo8v=f1vJjmXgiOWQ)CWAhZ49+Xve2Ta9hAYIwPaONFFy%T+|s7M>R|qVo*9bj~%`= z0J2e|j;99)g9fy;9PQ}-`QjB9)K9v`4B$VltrQgOw>Ym`a9C*MRkxRZ{^cyKFff(r zth==K3T%JTjmG35^^V1vZ$<>^f*&wimi`>m`T`jk62nMvHK+b+iyk6 zi;YMcV$zWAd3dMDOnA$=5ZJahP^(0|o`rp})&qZdhC@8^|2f}1Na>iOgP9z8n3wpolOe3f9r5muk z?2GIp9orZV2_`1anStQu0cjms+DmsU|AhTGVV6t0c~KPSWiZE;QV8sZKdZqTYd^i8 zY?=4jzy;_d6-VSF#`5mZfu|X#j;%0h6zxhv<~} z;K3MxY5FsQPE={5dfF*4kB``GT@*EV9SFxH5oD>XN`yjTvt!a1FcEm%i93^|ZdMHE z?u#j4_9K|=1kFQ5Rn?ew6^PSe>YQMkZM54eVs&wp4w`E-a34tF8jSH5TSNC*MFW6G zO5>5|P9jGKEYfXP(kTEIAC!0NFjf6tiRFa zOCnDmgK8^FgDzj|HJnr`?E-wyXYTN&b9z_R4XOnOjOJgB5`Mf^3?(J(SiNKHq>-FoxdZ!shFDEk5gRdnh3fQmal(|08Z_lZ^c$f1FEzYCwRwGR?@@bXcYT1?V z#EQ`-NUud{O~e8;hhGvA@}E3|86?ky5T1DM48S<@z7K21fiv<_(edvb({MW^Q=@D_ z0v2ZAGrXKC>NieZD~hPG^_ud0EB1{#fJ97(vvZ|&yndc}%ld80L|}x+Ubk*~!p#Y{ zo+g3EQwXRQ7tQ}-{J2Mm$3|m}-}_bu{e z{YrAfui~&l_O1idkqk+jKmC|2P0S)Bv8#^-T4isp=s&39Mc_qOHb z$igqN9<$JhX#=vZa0O!`nly&S7fBs@oqoUk@?P#3Mo#Y8%?3M?f%9??*wxjJD8ew{<3f)98-)Y?+ufx4=Uv)=93G zOju|;svr(fs?P*nTVb7ys0`@wpQ`xlu}U(_YfrHkKc;J@Uz^_22&Aldt>V@mBMc9P$&*bsNTzN11&w zGXMJ>@U%w0@la-cF!Cs~{Zz~ryNQo5!flvYjgb+dm0-@X)_y3H0?!Dvv{C+tO4brpbn)7*n?Md<_OY!Vi!XnSfj7b-rsuA10e{e2Jd(isTZ7Kpg+P$FEB~LsTjMu3 z!3XtjowmJoCD7|uxNEQ{L6^gU*?qyc&@+lN)*8!zOYu|CfEu`X+}^?x=4{~Z0#X88YaGrag~ zd9kuS#Y{0)XtUVrXA)w0?9tf+yCUY>Ril~AU4QD_sBZj!FJK4W|MXcH3;@qLLPb^e zZw*{}msk4P15vNs4`*yU|7w?Z)^>aQGO@q-7qvgi;DHIu^w=IHC8dMAx3R*G{`qJe z7jFJqd4EgbeSd0&>-X0eCnqOO92{f=>K3ePxuaOun$|4ig&$W4EF$j+X64rJr6uzU zGU^QCb9jhnj5(l)pNr+8l+{n$#V5aI1>qJU1hUrU~ zdYwJ@>FMbSjMV`KB9Z?ixx<@{lMH4FLdC?DL$d_B z*!{G$`jvT^+LxON_9(N4|2Yzs7(Y=su=$W(9kMsQ>d!D6@$&CUiGu5;;URUYjihtH3l zTcsPXE6oyl0jpe+vDi>F8|%^n)+avv$7^>|>WIP6vRKr{QZyWzduN5&#$k|2y7kK! zx8C~mo7qK5u<-pY#kuY6=L}aSUlPDo-qqV2V^``mvJ}Nynwu#lyWO~P5WQg@{o5S3 zn*q&n>1pn6Zh}jL;Vxr5V_vB-ip2Es^=&2@ue0a$AGHrZ^(|_22&`L3CI5SIErHv> zZv(&M`x`6wTeo(D%PF8%xV3J(dT5Uv0s;wG-5Q~-7Em%oWktJc;Fgug@)F> z3|m-Q>h+9c{rc6^(9mFT!ue0fO&U6|Ytl3+Jh!K(XCr<3*vD@LPvZaF)Ek=)e2JH+ z4_jGTc|d01eS3?l{SCrr%`7ZLC%SWahjT;LSC^rfI@Hn`qA_Z*P;L0%y&WyW|A8(B z?H;=-hoTG=B8FqnW|ciswHieY@f36d29i=!Q(dNVDrvV5Uw*fHeJX$bulY5b`jww9 z=8yjU)e*gaOM#UPjN`HD;b}&T(){n@@0oX3x4H28doQU;ZPfduk)LP@MYCbivC-Vb z=!QhZ@BIUjjNFKYpEm5dX7QJmm6aM5j{mtzoj(_|)B1ybymwyYrhMK2V=g>;Dg5o# zL$>u%Mnv8q>x|E{jYF$oUm1ZdPF!Corh6OnWQuBLPw?+1g@9BFt{Nz=+Waq6StUPw zd&uCS#AxM{#>SIig-6zTERxIGPnSAs*Uo>JVneo-zZHF@Wn~L^vmGw$+R*Up?0^+` zK+3=8rrvpMR3nc2VPt5XIW)%%jF!#T4Lk$REq~LZH+VxNX5~;SI8y6_0eSy^%ZB=& zD>+{1R3pV-j+Jk?Bgc;I-bEUW-dJOWeg9862z)91@2&*<=Ec@a+y6I^`afHw+m|={ zGR}*TQsqyfPzJk_TWSX7_Q!Eutjg_M<3(XdP>X(LWjFl)EF=5uGpm!S@&*Z2Aq*n5 zOTskiFVaYJ;@6>}jR4(Ke;Us{;g#M0)YZvDa}7e`&n_JtUg&ipU9mEX7mu2|3&p%N zPgBHo2tb=QZTdo`Vx4Nj1m6C9zD^+_60W}22?f;;Ir(Kj)Id)&PGwRn=|-$bap-z? zj=o;lj5PmFKn>qsS$zHW?M`y?R;WDwP9i)?8k(!A=hYm>R4y}jX`2O^`Z_wh59XLZ! z!$4ICsJ+7>%KePY%*-U*$#VN0J;k63;mmg+&wiZAWYx{!dnNupG#sHhy#*H8A) zmLW3M*^z|&u)$j=c0k|)(lM9cT_vb2;aEGIy>NrfzvSYB~~P&WC_Kl^XEdy}QolqHugP>EqX@@i^R@~6|&ccNG6aPc)s{(et7C5YMP zriqU?6##!feE4wo&G(bX#)7N%nYFdGed+Jt+rk0dH}jz zyGPSvMTJgTS()(H3^Jpc2!6tNu)d==sE*5ML=xUnBhjaPZ~qO(W*^Nj@utr$pTV%F zp>i0;AqccVX?gh_nqBdxCk34Z>xu%Af=0QP<`W-NBDJ&3e0_Z>y1AB0*-S?rP*1T- zn!G{Sbx_rz7_fu7yDgyx^~!-=!iGVAo8%aTi<$VRyDrZ3K|U|mKBX(!;@wW)xGV3@ zbl=&n*xx@ZQpC)*d;^}L?7bvCEf0oQx{|>L~xPbSAX70 zJVZ2}y0sVV)|?LPF$3L7((D`gX8$n+YUH8in`S&(!8qX6<-dYyXG9MVIBVXw%~?Tt zj(+a%;5>WvO8MgvPe#{xuq`2IzjMTh2-L95VSMfFwNd5zU5NPV4O$ae#!ylCfLM(e z-uAxJCs*XBl9Dq0Vc7n?Octq??3AZlJ4n{8nZUb~AjqM@@1%EmO^+P5g==H_ygs)%!z7-xW^wy5qskr4wA;l}V+e7<_ZlH6CBWMrq5fRc3l>s7< zBeHeRPSFVMtd_Ey-=QA^BX6wo71Z8<$F?rrAvtH-m3O;~>ep`rFe3D}j@uvV50~=) z>9gn5sZ)?oPj^v~26SBaduFE8?rrg3#56zk&3iEgeB9dW(WTGkUbJbRiI^^jETiK5BU}?$H2c_I`aQmrknWrnZd~6*ke614ZmlI*2x#_^8_N3OnxRge> z{H1ac!TJY{{$;I#3f_0sOKK?%?#=F|^NWCpK<&usn9Y?ZyL;$VDY2wj%Jh7hHdcq|zg;fn zi){1m1gAH4=snoewd*U>BP>51*`4pf@twcp@1~o6_SwsqLN+d#<1tSs`JZP`zDyH* zt8899T~u?&!WVCA=HlX_*HiNdJlDBkheH_;KsCV2W$*RAn46QcUFtW0CM9HWTD-cR z?(y|MW-EQ+!UeOIXNmE*svL>`oYw8GmQod*DGh%)9(CLIP55!|uY(6wC|GH#W_N!V zvjoYeGo7aFez1u;mIEr9)pb9qmHDkiSU0V|BjA^FnVrjWU`<{)+p9xo2rdio=uW7- zB>Bo4OOfIfM-7;MWvjK&76nDWSj#uxcfc@yaDxO=7fP6`H8I^1DK^Kk(ZEfc>T`8t zMzlNw#UN=pxt5*}4yP2hIdFYF7SbF_=Ex$^q!-x?Rn0q;Fa@E!?4GEN+P*vY#wBjV zxttwlw70cXp5$-h7dziCDE`~PB}|VAe0*l*+Ic(g>;Zo5EbLpNp)`96?S!696d7o1 z-vKMSr{T1y4C$}z=?PsTdk1>rX#Q3_fsd;+>Q{q*FD?qcZN0+?t~$H=!83?f>Mafp zwTA zDW#b_TV(wj)A4@QMWV6a#l_x8m6Toi^f+ z-slWzT4@iVxYL=H%eEf8Y{}1kNZQNhM0sg&M)e}+55(Bd#shFVGgJ?UN<7*hez8ug z8b(=(R{RJt_~2iW!r8&)kd$pX?%nN!58FKsEaq3@GbpuNYmaABy<9b@75>c`HqOZa zUY{JiACO4-+i~2i}&is(p zV{EKrsGu{E^0AV~Mdw|aSZ6tP)m^V-sj8|r-=Tu8&A-@o_hE*#&CVMKVyeV@oQJH8 z-=I-zwp{eK^(-tFU+Et;^71PYT_3^zg9TsgwC{)g!NK6+DP;HM&5`J3Ty(OZ4pvgt z@|mrgTTqY$bR=Y=GYLCCKi-i$hN64V9#kYkrz5Qn=zvLqw$)Bwl& zy;V$(j-{AaL~pQcv)=TFCBu@--ZVjJhCNG?ktJG3_F{ghM{jossq&M7d-u`k50lwL zDcbjVCm1sVT6%g(o8f2)C}=#xW)xsq^XO<}=sK-7QW_3VyDC2yKt>w_aAW$AKqMkO zw6i3%gJ&rC6PmjM|6Zhoj;i&74z@IYIkaLBK{X6Gmg=WoiTAl0++ERXH-l|e44WO0 z`TX9WQX-Ib;dQsWa?z@tV|MK;8tQUM;(5mmVV7C*@F78@d((3f#MT^f%pB*Dq{GVk zpN3Z}&)>z=fSMfuD}hGDZP<*EIG^qmwLETH;U^P=)q0tO=@Y%FDW|XSl%BamGbyD~ z@?DDa7+u)1-2Sw=`Q{k=nVQy@Je+@s|AO5s*{U#}w$p%1NJ`-2NQ2qdJW|o$m;lWK zouLLrtJX@r^JhjNBvumle#k$7%&Omf)rAN1wk6LhZ3AUV!BukW8d6vQ zF`sX!bTb`+93m|(4M8)sI@u~mFSo1k zd|CRn0o7O976zi5QdxOVp1dvCqh{sy{j#_7*b1E=e|1-0~5??EClc$B7)#cbCQZ zWv<|*ntY&Lh?BUsQAILj@`K2r7UlPU_`{7jHy}9tTQQPUOr&nb`jp?hpdvZy!C5w} z@aq$V_yjK&Ae9qt&wp#oCfN0jYQGm+$UG8qw}Lb09pWFbyXLdTTVmN0`9P_z^RMn0 zuYETbfzZnxE~&o<)gt`HeXi!U*Yw{m$o0o!uT+G5gvF5MFz>eiG@OW%>niVac~m@2 zx>wxXyMUyISyE@4g$xJI1jdg~BMnAtrS;BasXw0xm?0$@9pIvoJvR>zhJ%fJ{Uj*) zr&J!D{KF~_g0^ZlP+cclzUHJRg`A;ib>`?Fo#~ie2V^~e<;R=3NBOJ5CS}?&3mH!2 z&6_u$O0ED)ZdORaP37Y!VeJ2&!W32Z<9?F78spy`Qi^v6CmJ}(O;kw{vGhH~#^ z0axXVb~cVk5$IV#!C^yA7=|+mcu>myX@FsW8A{h?>!NOQBbzgW^B6ke^z)K!p3fPP zBS%Yla+}eEfL(wiRJ%D9Zk(E&oP4A^%!Mhvv3+^yRK>H9LX=}C@z0$w2b9je=>4L$ zuVyz8?Dj7%zA;|=3((96B-ulIC%$znz4k#Fw}WutNUmx$y?{you#`>vvc0NY74ACSBZ1VmHx3vtawwH1A-@vtv1N`g}F zI08K)>XOv?7ktQ%3S@~n{!teW0S!|OBP%Q0{Pm?dK5z&Dw1%?v^(ZxN^f{S}_Z^H? zRa8vhe$HQQ&o}D_aP>&|?7%(*wZC7H?&I!1=cvAWTe?1@*%1BB)cGH*gCjtOezEb? zVOail6oR|FuvIM5wQFE8_UXQ%I#hVjcbAgu0=IA9R&?EuxIo%S2$B}mJlm!|x|J{b zId0qf`>zXl+wI6nli%^Rd~qd+o}xRNteUrFp?%H5@KSzKXUUk;>b%bobph>vJ*u&y z6+*Z;-qFwB-f5P#P*7KA&X5=(5Cuo9qTXiFgyA%~-N;djU3*LQYoCEA)~U&|?OrBA~A zxz&i9j*c^!m%@=zBTDIKg$t&tL#KfT8ULj_4Kw3@Pzrm)*H@GmTob`|_3#h|&~I{T zYAY388-5};;K`K2+vGrqQsFO~sqT;fNb=7G&5ZM|;M>z9o7UJ%M*(SPaGxhC+}q-q zZ$z8DrlcvBz!0t6kz_?{%clqbRTAH)d1vkpys}SIEfUF6r=p!u2r)QfI}`!dC}=RW zH~!u(oy3_uuHjxoOBD(x0IRom-22bozP|ge#{UhrGrGK#JZr%IE_t}HxcCB4_RZo7 z!jPKX#{+J;n>6fGIzP(b+T{s1JUtJ~JJ2YHayrIM{c4#VebxFM2HsJ++!KCvfcdu0 z%>|WYE?@Tal3B2hmW8c%*9_Pjj}oqSmiaT*xs<3jlwwQOd!8TL&()&?m7^={V27c8 z_?;QvSc!t^1|WGM1GTLwB+uyyI&*{Y%`rs{Czm)w&c2|6(f0S zAdPBlWkrSH+pg!}NM|j{b>y{s+^HdSg~;;22k>H~cmqnPcjuE>&?Msg5e~-2LWo*L z4GjYgn>aX}8ujk7$9=oU%lZ?%<`Gey;^h-ahIXNIO$zv_yok_>PR<5yrRMUx>o;y} zsR?Ioy2RP#6Bt-r5OjShkJMgkgQl40c&8Qn?F$d*@7G$%0|4p)`R5aZ)2NJdiqS595UDu?es4{N=sgR#yP>aW? zW&=b3?hlmRdBklU7r*}ZG<`TyO|9h)%#~}K*Q*n*e?d{hTkw*@Cjb_UEuQwgRfrrI z-8EETF>ZJS7C~#{czAdm7%+}{-7&7cI6H_1d|1qcxfI)cbo4V3$-}%oHP95x?C`IB z%&YmcWA_7p;Xga}#nuNRm~B@MWdJQ4*hNa?clD6{02)-+)F4;6NT}h<7m4|0bRnTHo9BfytK)rWf#jSgNf>}TnDmm zT#NV8K!}xxOW^WS7M=dt9Y0;n(j@<7tl=l0Qu=-`@>OLFwrCNVA+SpL_=>iacuK|I z-u_EppG+TaaJH_XKGIXp==N?bOfxmkeQpD&(?hEhy6#k61S0i=L!}$DzdU_9D0-$= z%G51y3vy&PLO&NS3W#an1ipmMjr1(2f`=?_0i`E&>!csNjVuz7zw~Wbhgx?*SJZN>p$Xn!zUdMDci4g?CIaw~C)0SHhV1~_hV zX6Am9;dC>QEwudmk9B72YJQ^;3VFo)xhcNrB?w~1p8|_W#p20jg^VIFAtc9(o=`Yp`xm6 ztm%E1+F`BwAES5qd%A_XKNb3Ame<*FE#~Whm4U^_S}+#IK>0U~a*~vj;96$}lx81m{>daEr^Ylxc5S+{YDs z>rd?dXPJ{^{+Tr(re7c7tmrJ`tFHDLQHN&vcs#-!!f9Z}89T`fK=3b_{QKS2BfdR_ zpMYI=0Gy=F&lb0PYG(z_0N@=qLlJmZ5%bZtIpLF_u%;r{`i`!A;oy1g^IZjVNTCOk9DT{08w?V_d3X0F5 zP^dvcVN@>HP{6PPNn)GETK$6I3NQbwAl*Cpf01rgt30zq8BL{R1Xdg~d8+YSb5V(x zNUlM+M$FUfrI%@ld%i_gDrlC)?bcRpYGhwZj{{YIHpA%*GrHSj)(MAs&2qy_Bf@Xp ziX%(|7u1zK+GqEm|o}Y>U&8V4yM!0zIVSKbkaLU8kOHvo%va`t{l||MCp&CqN zPq$vji$E0clipo<^GAVbK+!mP33S$nyGZM+(*>1|4hcvEj%-Huck8H=XAJ&k8er>E zYpiqj&=U7>dCkn<^Z-)k`B$Ha2>L2mRzCfCHu1dCI(navt4q1h9^jvs(CSxy6*N{y zfhRVkfl#_$Bu8bKH`Yf0;8Q6rYeaRLxHY z-b=cS$|?`ZIyh`*Sz8Q?SPc%)TtVJRvyhchy9x?RbH_5+On4-{tz_;!y2PYef7znA%P6Euu6k>caBVz>UgjWZ;3^k zoID6!2SR?l>Hat={_p3L>X?1q7`IBo-X)}{%7BXG7;<)^;%&3(qxg@l$)x1VntF9- zQ)+Mnn^I4vIYhYY{szonCd9@00nn||<066CfCd6QUrFN=x@VcbZUF1yndNiaHE_1? zt}1$}(s$7XV1q++DK)fM;+X*MA3k^=*ot2CwiEyiYVGM> zl!dLqsF|Z9TATDaO;h8*E|{d{ z(-=?JjwNjVvZIC#j?>^k&9YFwPOS=21)%soU*v}r^>tQRcT;rpjk|ri{Rux_2;|@8 zX;}O*h-q7IyZ=EN{D*%vyTQRhV6cI%rw3vqL3bCk3g~J!6PIg2%e$Vk-bao~02=u~ z3ugOkyXH}~D-&*?t;h7H4IG~J`SvA>gqQDI{xFbsJ#S~ zcc*W5TFu1$t^v!^)sM0WxFlnuyJ#gLV~;ylyM~uNeJiK@dr_l1NooqX8?IeXkB{h8 z*xtsL+MVcnKSK|bVLAKz>vTjN%DC;Y6v254PP=PV)@e%GBRge($F(OE{CIHHbCD2G zKtUh{`sGB&$QT;rmwzo}Ku5g0<@ibnnXj1K+jH17+A1HB0vwTquqPI^DcR%+a^5!L zZaLikQq0~+#fV;CL=W7Zd?ly+X}&rYXQWOMZAg4g(XWfoHV$#rcj#r>jWQ^eSu7@K zL{UI=5QG37)*MweUOqRsZJs@a%*Qy^rsUQe6H`{}GyINnm#%2c^7nUyDsa|aFHk0e z_3x*ow6;}0J$Qp(v+szALQt<`$d0_Im75^LaxjO4m4k=Ry39lx?>ltQZZ`z$kjm&7 zKU?eV*jI{1h(k}b+&A%|B%civY()n?d&ncu(z&_0&%21U+d0D{D__*SYD?G}^gchG zZ{Cv8;LS(K^;{*lU(TJ}P8>CRH59-+zO;IV-54dHXnFlfNqPMJGqax@5!luT%M>RdG!sDE_8vD3;5zCP)XSYAZ2rx`C{ccNSn#p)(=dMn?e&VVL+KYqh#Slc5^ zA^+@GQY$Ym99?vVx5AbI-aa3#{*dZPNJwaEqJ|SWxz%AjtrC4N`moc$a@FtySUu#* zC|M^tNnXl2{)dnp~knSgJ zr)*cvoA2>ea5qp@HRbeG>7SHR9pyY3f6Bti!*C}R2!w_a_1*y*;A1j4kPXA*@f3tm z`3eUVS2V*tr%E2!Wm{Z*i_`X0x_<_w;+=iYs~&G#-3WGTC9ZT#2&O)mxo7o5C2gDN z6{sY7WBtfbaFyd61o@oXmIgGOr8*WSw3j1#MdefE$UR29%N)Duq0t_Kv5MTXOzl-m zs7NMo=`#a1w?wXJZFS;d=)oe~4UDtU@Tg)B2oivNj|N{*f=WP`=<)ei4+yMfM!q@N zgupj|en?&HbhrcCPUu=iHI{c3{Pg+fp-|dOK#b%ES<;Nx^`K!8@Gy07aQMee%HbtNTM8!G z!5%{U^RhqKu15quKkhpP1WI=2QL?bbThH$mh+AP{ZXnXpDBtI>0tkd+k57f#N(3f< zhjDjy14vVh)FLzghO1lxZAI#OVC!$|v$Qr(mUh;KK|E2-dEhwsU(4rC=RG|%H+<5_ zh%3|pvd#%h^&4xDPsjuSH~Twd=v~YK^u?hw3LHJMK!sPEUHcj(WN3$RfQkRQeKhDp zY}yhL1_8Dj*Vx$jK+7=e!kHqV1Kk4|r!PH~Sdk@m-%J=e)3=6<&=g7(nv0}=e$Nh# zpxIKA{OJ@Q;_F=O;4iw{kZhOI;ww3)v;b1$ge1(`B6 z(CW&TSC=_|sPu5VYW{Y2;_U^W&L>(*kL`aW=~6w-t_Y%^9O^h%FN8HUIyn4CPSuD9 z-E$(#JMSd$x6Kjr>2n`_D57t|Lv%(ux4tJxu>mGAtz(cBP}{?4k=}Y?Ab!Bl+XN6s zHbKW>5%7Y~y-WFh$~4gm!V_=Fb3hUz;4JeI@*q6`z@_jdhpT5_U4EVhP)!qPsAo@P zk{L>P3QakSv@NM854fhGo>>tCwQv2)q(?+jw^|$Ete#C+)Zs62kSxR0b+n2ZI=iYE z$pDVI4;pPqIKb*F-E4(eJ^&4aJ3a{lYrlRL0I6;liq=Y<@P2po-hnT4`kL+Bi$o@A zl)@qx&Fh5w4RmGD9Nz-J;BLq7^)((oV6ghEh9GeX=*}QPGO*dAbIT9alMljFAYV0&4gglA1*pKjMSp>GR&1A0b~drA zCxFP3YI7sy8AmJp!7_n~jsyQ)=KlRxCkVzldz9J@-1>eo{T~m%Yrl(nCRU~fqhqnj z0|7Nm9SE>$!x)g%*(}NkAsZzEO>;QiTtNU@ZG^8jDqDm{`tDWrzE?VPG)MZrdIa!4 z>gwwD(-(olnSMb`UYzc3xc4p-hO~Ub>)xRw2KL)Y6v)S^i zzPQZrtObp##oRTQLm6LaG%<+q>wUr8C#AGFj&tVgf5!{2x#TfuG%UtYJB7UT<9 za2w}HWstNKfXdOr*3oNxkv@vs40jvRH}Jx+n2QP zm%M8lI3@Pn`g$(dogii^74vlXX!tZRx^(2+H*5}N1g(O5e))*f7Y*p{q#b-CT$99c{BZTqev9bmmy!q#rM}f&0{P8Nq>K>R zDq}=m4ag1s$f*w+G4LhPq+D_i{Gy^S$X;GJW99ouByP+S&znpK@HRReG z!y+e0yGkP}h;w-BYB9(%_r#vhtdx`#*S?RQwjVvV0;5%5^AaVeY?_N)6je@cY2Euv zP0WtM(SeKmW4wLKmd*pYfiygPz2r_9;Mvl*5iSD^kSPEi*ezXL7iR#sPXC6HOCyi(@;A;d24~@keu&pU7yZV)$=fVU+Ohigt!h>I0wX)@;3lU( zs|+4)2zs2%ZyF2M2LkDXcT{cXkgVgSi;=$n*xk3xGn8>W75j9dUF{lBU|T_%1n5%~ zqLjD_0fMk+fV4EzcNggT0{k?VwT(s>F2}7v&*3!}b(ij2*Aa%u7e{{Z>nrtD0mt}` zAt1VP`oY2`>du4SPZwn>`|QcoLZcb`PK^$^kN_#$FwP=P$WX`c`Gq&%(@~z*BYHML z`R}NR>{sN0vOZozO(O51OB;nE4Kf_%*OiBW=3Egtq|jdy$?+<5sMN^mUGb#)TP_C& zc%81$xefC%7Dv}s)khm@0Ado#8Q|XqAhDgQ0cOk*|NgQd=f%Ymq&99YHdX*cyRK&# zfqaM;IZuA7W-x@cb(Gq{v%Rq?ErndvJtxP(k0=dZZ~OG;`q|6+}bjlh~h8aP6W_g6zbO*)dwV%-dvGNO&$# zDZwoh0V8FT68yBsVkr(8X1-|pwAG;g8Smqz`!LfewF^&Lom9Jb^sji(2hJX}m1Rb) z1PRtlG>M8imz7sm(rGBxj^4GeX;Hg{e}Qg@oEvUT`ZS6F4z>h*X7IVcKvVyXfW?%7 zfArZ|iKi)`xB#yu3Asq-`_w?!k?1dvT3e|`dH0S#3^HLVlvPx`c=Kj6G`GG~4;MH3 zeQD;2;Mda$giE`M8kzYH(c7C9sV=%2W-Y3I9Mcn)t1I|94d%MDt%lIBTa-Y^l}i0? ztm3+16g!3SGA(q8oCaI&F?O)U4`r~~Y>Du^E-w(~w8!1O+brvcZ{5&Xu9f-Iq>+;; zuG`!N2eAa4^X;vu#TkK1l9RvyZQMo!;Eo?=-oLJc>kz?4fE)#2XHya(L~pW!A4qbL z5j?e+rwz>MX;JnN2x)~%Xfeq7=Aa_l;%h%GvfruQH&W{amwjO5`FrGFlmGnFq)3ecW`M$GQUqqm1SEq-R_DgOr@{huKKfQ~@g z9GDzmfBxLFyxtHiM5zpf$2>Kd2gFUW=S?dXX72da1+#DsYT(;OArlw;`aX(4B^X{9 zzyAaI1oFvz@12j)A*AuW`N9W7+&0}ie9%Ac%t2%|ei-X<(-;rO#Y`$^wmPE=TJdCK4oCV{{W3`tzl zq7vnv$}>K+fkt+DBg;JlgZ@zYj7OfCMSZ`hd-^;U|0Zs&YiOC*$neC4u@;%?%{fll zbs8~P1&J`{ZiT=#oq}}`*g68@eV!ee@$Sox2gG1_~uVkl0XED2Zb z=CtG)KT`=?cIF45jH3~|PBet{n&Fw#r{^ovYk#(@b>^#|M$x)(-FKeYm{eCIo ziv}=gAd1I~SY?w0AbyS%1SRkhY&Ym@;c&8)7LD(0M%?IWBVWUO6~a3 zOcV9x0YJZyKd;#cK>Z-$AXNh9p6IyxRb^-xNSFMn1Q3dgc`EH?QLz<~D$js%ftHd8 zTjNg_@CA!xy59P3lS1X}qi0a~)=V=^9YTsqknNL5QGM^M#S+T(={I)qvdU2$Qwm9x zLqs5GmF=2>L8H3ZrAUxt$HRN}9FicSL1;~Ms z8q(&ea$A#k^LRWZ`cp7L5OQmFK%Y;IwRLI_wqUoYGz{I;ZaIV114>fJ7tJl~NC$g+ zz6CU!d@4~a-up#Cfhb^2jSh+$(RTvPl{ES3BVhXte?DBiJ-@0BoiliaH{|vS^X(jz z{#n?BiGXd3uRbw8b8Zy&kH*5x-WOIQ5@bj zIeFv2qh$d5l14U3AkCeDO2!{~gUW+1Z-D8wcmUkNXuxABO=r3GmQE=m8j-eC%P@j` zidsNR5$^@6ET9}IgjyGnUx$41ApX!C5lBG-5Pw*v^XYL>01_a`kkff|>@1a#eOWWIdlRvfF6yfxxA(R4Lz)`5j0gK?-nEKH&f5uj(=e z7Ilk-Sc~1f5S@T9mhN;-t1%o*MF4p_jc}4htIR9RLQ7wlhL zw`kw8Hk5Cm@%{F|fGg$R%+iAOfL?e+w56Cn2w5MXkP8 zH?0;cvF+QRT|IQ#`93qg3}gg=R>7SOuNQ^5ljg?vqSqPGZ9r|=32kYg9QCozF8K)i z1uRa}=U*<#&0|+bTd`V!wAy`-T_Q%}lT7MH?;UOuZ056jpr?N>T())d6~zWOt7e^7 zc{IsliLdq7M;ll8Q>6yxYHDhfkcDbTK=2e~k4&R6OYboKIJMGn=a2{+U=T-_#kQ)u9>xZs#*$@6HDJ_)*KD!vB-9tN6gQWHh zEvK)#S$)grqW{VQ&{3|vx?eq(p$IuJj0(o7q`RldgJ)ZazrR`VlRQ;Y7iRj_!Bh$1 zGe9ug#l>HimL3guO6^$G-+}&UQh&Bdki^~Z=+Dn6p*Z~J`fu=0}N_@{H3=`mWYc& z1nakgwL-l4KJ~@sa7NpU?2ba2T0$ee$vpt;Rq<@P&k01Zadxi%h&oui0in=C4^+P z>+FaQKStgJrZP|6DFu4TCg19X_@m~4U|(!qXG#>%e)1B z22WT)=$tq83K&Etj}gTE@RcE`f;S6HE}ITy-wiO!C<3`E^y}RY_bu&2;UWVxYjjG- zYrvK)%pO<*yN*m3UelBYvA4nSAt&7Nyt+M|A#UId@l)e-lXKj; zxJv45X#wv7D@p^!+G)}Gsi+^chUVtxh#5Qy`eABdU1+;A+ljV|^}(@9d&U4v7ELSz zuo497tlwbu9usEdZ{-D`6>Nq0?LHlZ%q2Ust_>wVvdvcCs+71b$c27BfBBMl-j#|j zLpg4EQnUdkVk_#Omaf15q*~JWGNlVBeCd{N*re!H5~yvhv^tc)4`=5p1rFfxrc%F; z`}SNX=NW;Jz=@dtj;ag<&~NyDXHf~?&jXa>>-XP!6G_0WhvQ*bYu_s%Eq$L2(mIQ*p|qa` zHbM|c4a5?O#7{4_)Lesuv~7#)5GV`4HcKHq3c0UKQVR#ero)YQccwoD;z$e}43}bS z7ENRX}Z=Ce3ZgWbKZf*R>{rEt4*d zlKLEW(V%!xvYQwfoo%7dxHlXEq6=rQiPRr?1ZHpoO%eIUqU7Ov{mup{CBmAc##e^; z@Hd~;`~MAubf{N8D=*&zxS7QIocP9(12?9pr<=c98Otw@+#cgkTLO?2p+yAq-*?7u zqtSA}@kmZt9r?2N@9@2=REdR@#7&25$H zy*d#Q0Qc@H&tZmuY0ObqaCg8AmqLs^dIju{Q}X^Utf$oJ4`oQ22=T(>kw=(5LW|w5 zu6~Av+3}ALIS5eQTJDm{LAWNSe_+n^VV&UlSC=Icb1wLTNFum1`@L$wCGca(&hj9s z4EDTU(6Gs5esbuLm}ImL0-)q{ly_)lti5*2!gMeF_tbaDXL%1F4QX|$e6q^Xi|utr z?SQY(B?|0QQwf?nmfzXk|Ksb|STG$j3@!zd*!2~ee9}2+*ft7#m?4q~5*1m+3CeY) zs9Rv{oIg0gn>E^ZDC4}Xa3qlG6(i+924H$uUh8-Uq_NF2zati=>34E}_o)~WP0hEq z0RobJCKt=H_f-t-vaG$)+ddxbIlO8|ruL|)F`aa8InOj&`9F+Mz(Eby|nt<6NqDQz~}ncxU%aDwt8aLtPsl-nYIOxFH1qW*`N3 z^hI_n1yP!zr{S(cO3aQ=qu#)`T0dlh*<7I@{DEw{UdlzijPuVOHAr6b71Jdm52(KG zW9l#bx{j-*4wB+{Or%{e^C{AEbbFXDU%&4BNJvXbdH&&p z`mV4bu=Y@SA(*j_LX`~g@QWdx#L{)(DbzW;d$j3gy358>U-OCAn^v2%WG$!Q{(xEb*{)<_4PjUJ+4fE(1))l494fOcHH zJTb__BqIX1GJ+&ocHp}em6r&P4nV9Y8z%a+q-;&>ozvmpp`HyCsj@E7P%UqHVE z-ZvPO)?CtTx0c#!VrCN5`4*!irEVVwNbnh9{rW;u-F1`}ncb{b!Hw@%Rlp25v>ej&=P9$eSWN8CLfkr{z@%hzji2Sts@-+=8 zSh$1PoW@i|8f*q~Bas&A!fr&gbd5ABwtcDDEKBoytJW7o@zpvx5Re9$f^E;N?Ymk! z$8j#H08&wi^UMCVzz~r#tFEdE86Xr_28_1Pl2#U`1%9{cbuG2g0JS~I;zo-YR%@9^G}e{F-C!MdmBSuUw&tnCkZ$2_LN=Y za`fyBs*L$h;qoH{F*ofnNC<^-9LuFc*Z*XCMlbW%zl}sn2R10MMx-Whop4gk!wmYi zVO5%a%z3u+1e~3u05a3G#XY0P8-PsPpcqJ*v50zV-=+z`7@1*c}WtFQ%;^_c89`=}DH8w(RbW`@vuNrj{o zBUPcJ6#I6%7h@4Wy_oA%@2IhvZ2%&W`~l(SgdNW}tPI)0G4_KipjVVcwDeX+;gfv* z{dZs$P)oD?vvDUev3zK>22`h=Zk-J9k{#f{CnAp`KiMq;htjl=dz5T=m;X{7e}=Hm zUgUwIBy%_bo>s7y3kHCjx_}ajM=#G;3y1W0S3KjPw<5&v=9ARUV@B(N5;6iD#jSg! zDK*U{JSPpD7Qh4VRLQ+#`g?GVG)+h*OjCadyjX!Q=4jQ1VYojuA$PMtkd zgy2gw=8u{|p3YoYQCFwTJJEPSeu!R5E6ml?N>CPirsy5w3^mmo7gX{7cE=Q$F*~I; z2VPBM&*%f3x`TDEO<0}o;X^gFQfhT^s~_DvXd<{`t}n8WnPs$z;`3`Tt?P53Rwth> zE1>5ZA1H(4oG=Z9AQ;(r15M}#GP6z~P3~7aVDST?y-rXJcs+v=@CqgS!RFrt5!h|A zO0QP@YWtYffmR#v!V`e)%up-EArOxj0VV&^BEbKV&uO=HvQu(M=RM?&ZXe4D2a({% zUDOiSQzf_Ge7^}qXIqPpDLd##2f#}T91#Z~0p3P~cPurvJsxZVW~Y|6_O8X7poiIN zHP%{oHq&3>oSTmKI!gBMwAmR;p8)X4$x_hw5!0Q1gGY^8&30Y*%-k#I(485=8(N=W zbXMTa%>gSyE5PC6oTmBnT<^|i=j{W%w@%1sEdiP|it)${_I1yJ#r_v35{bF-O?jQ_ ze57wd*YXP|Qd;UWE&k`{B7p@q)uc=Lhib#Tasy!pBJ-yi5C_1Ejevm*2~P4i+p%MZ z4tte!;J2buBbQ7Eur882RDuc-zxml4x3V|+QF}ob^*`%2?%qt7ve3AueJ@_Mvb}qp z9%na)FIm)RTa5&w5Xf6KgVf?Sh_JEFW5YMt@cl9_is=pDU_ekHaao0bQyvz2YRiBD zkOV`44xFYq<_S<)_$=2Uph^UqTPTi}w{Z%+)>7AxyG*a=0b5HkwsAzv@HHrz{F!e4 z3ssE9!<-wy%$mRt;i2q6Vlw|lX&^;L8FJJ+fl)VDqZjV$(hHQ~K4}@5thk38l7#nxLuRke2i!ixq85fLN=lvH3NARPiKDrKMoN~gH#?oKUCU%xYg{VM*ajl3# z=)!h9RmC0VHcmE!(yX%NnZormVcoi0|F{7#Sa$q>w4MO-VmO6w+TJqePmDxF%kDl? z{TlNmMo>$)CpgQ}O;w!NcKv$#Vs51TxK*2rV6wSfUacWiP_QpO*Dm*_6USide)d^2 zeG)ot#!yzY*we$qCc}nKq=Q9Zd08EFY#W=7v!z)Y%g%ABHOt#FqBP$(O|NwI{H=dP zS@*W=N>B6iJakGF@r^6p0Gyl%5o;sKePc6R#spm$*TVZzSS+Uv`slOF$}*D%A>iQIs`zxTTavjC}IFk!pC z^!AhtCT*x5S6AEsV5wgw^+9R{G@NK#+UyYLwO1na`3{(P7jh`B`Gn70A^us%G{(e0 zP_g&+xef#MBH?mwremfV1I#`d;4=HppH)Vfe6KEeC9Ex{&17*XQ~gt|*+}7jaoF&Q z*s#!_=1E{JMWZF)k4W^XB@>`z)KW7h&0`9X!Rkim4YF|WKdVN-R-87?4hxB~YKI*@c|!hDqbq%poFQO4*$>A&EkoSH2wJ9cw}*`YBN)?nlbE2nD4aY zSar1`D$zA+F7NIh0P<13IYCAu-W7O=aFIv)cXCH9A>S#%b@=&;@8K@;D0>&gDO_(C zW@pJ~)}qu`&ccNr@Hfjveyg}`EYWkB!g0o*j7;mGlREOH~mE zDfM~t_-j9Q@cK;h2E=W54^F*UzYW6SV?rzkrzV~-JaEhL#8zI4CvWW)DFk2M1voZm z*aQwFgKuqZOZ^=p#{Z8D1O^xGX)T1am|u@rG$0n z{*f*LP5By8i&8Mnl1F`mOC`Hq1CksBB3wd?LfXu!C*L~RT-7yqAATz6W1eqJ^0QsjfPPn^W?Ziipa;jL#g63XPLv?z_^98`FDfJ?J(9uu9OHnaimW27c!) zxTK7~zc>NF8QD!rnN=f-LDGYZ2^uD#U9UFw7_A~e!&Lt2sZspBNDPgV0(}hSR6BI` zD66eRhL(#guPJ`yk?=a8K-J)5CP#|Kf>`V}W`EqgCkT{^EQghqe=r;0Vn1!P_mHR8 zNf7bf-oXK333(<2Hz{omgRP_!>KYoZNgnmo*FO2UP1l-_V*35+zZWS}+rG2B5x28rJB4k@)Z6~wpV4aLWar72H1zALEo z|Fm$HHz*EV%c`W)SuKqN5IDs3yR#nWFfO_>-n#UQ8(;Jxx){If<9LRj!E(@?L9B-+ zskUhx2fbjY{`9z7Urw9NpT;mp}4Q6}N%ZqBp33Q!`fY4o2 zCew|-p(`GeWigY(g%yb3)@HF7HKvo)?=w2x(pJ>sJ}eQ@Xz1o9nb#(S{2H9D4Hp;s zBlWwj;)cpet%CM8p$8{Nx9j%DzKh84Mte@W+qN0#HW%py)^|tS<<8)z$q$46Ix|j83li|6FP&2lma8FwsYoVW;5e1 zUq78?2oAsU21OWaH8eDWqz6zsSxZan^7-tBejr037KH>s0Wp9|IfFT5@5x_PIAfpP zE0M)qZ)I_@76_}mg+)%#t$ZDU4whi_FlQ^R`mUbV?>`PY!qwW6zh5_{e6 z(Kb;TKhdq7nD%b!jV(IcjuQi)y8PvAl}}QU238xM_d2#13jg!pKb@os5+V=?lz(wL z#vg?I*6?JFE>_ob#?uIvCMj*57ATh z=Pnp@=x3(R2=L`n=i!)9?2mxM&X|$cP9Brr>bf!<%8x4|MB)Qwa_OC-l5+DKO*^jB zbERbJi1sMeu#uZJ?h^vgYwzgaI;n;mC(MwLD z%j4tYMycf6Uae==-_EQnijll4G60>7+wM}~(9GMlOwlrtmruATZo7nVABj<`b4={D zV#v(y)v=okOS4&MqK@C3$tYkIy8JV`t?A;nfaa#==Qk`9Q1EUr3T=&vSTz&>5J^yK z6Z7SrrIPaPUEQMCrKmL)=AxtM<-r`Y{P&d4(+McBe}8uQ%u9JZ!P7KjhpG?iX&b@( zl^H4u^-lWRtVu+M+J?d%!7_z`fg;1?hWE4fFf%}Iy5(6@+>R+|ReXin{w}njls;9Z zra8Kun$%`?#Pi*O-C@cPKFCf?u+#-o@5+_$=hX!bg6kt&a^0Gz*jTBs6N1C>;aI#F zaLfrt{ZPxx)t`9De^T6sr$vB#`SRr}N{Chm5wRdmX)n|6;GNHeKa0y!avpM@@RN|{ zIzO503COb>sB8$3_34(HOGo91Irtju4#;6@0MJ4+P0b$U;D)#B)CWE}Pc5EJmN0l* zAh_Ya92U~j=JQ+B$;k;p<`))@9eGk#JXxh#_X-=Zo<My#OWKK}(2K!R)I0iZjSQgFOn{ASd~tf#50eC@XP;*wn{XE623VS1tx| zx{c0K3xc?Qle!QBWDy2pPz(-44&_|LJ#m%Pe> z)+yz10SkvlZid7or_pb5f`qNgq91HupAh2bU!TS#Xvie&m9A6-10{vJJP|(t;ffaK z1?(Ui%%M15H0L;V?%>6U#-fTju?&8qXHoZUCs$8m9c$Ot9FQu&S?y7iq{5|3fzekO z)TM1>SKV@2r6Kzbeu=%ass2 zyO&|6E8-2ZI^p?d(`t++4}U8Je|5oiN(p2qDKF&kdqbU zX^Im6>johW98*Q`$zjGHIxVA@j31@-ToP5+^I^2p5&Ds>;&L`d%_FIMDnvUXb8}8X zVJWKl{hL?Dg|p#&Ul_p~SQpANR9rad5ZuXpaet!|;)pU*FbnrbU#s(fup|wziZxw= z9Ecx1J=62n$1>%wwPGhs20rvk_ndOw0I6hui#6?6pvs|_*7%DRgzm#u5mKYCR>lOdVK zq*tG?g4bAYrVTgI{V5xbhr~pWW)dAuk;1(_yD21rWXAc-ME&~bdey+nl_LG(2I&t+ zcS@D9n^!tpmtG$_`fhB+{kzOBo!0h)TYO;HNqUKddccqRWIsnRUiy0bx73$TFJu{m+##8|`)d3%DAS?|=)9}l}I z<^Pa8SQfHA$IpMs(NPrjM+4<HLLB9 zNnU9qiK<&hX*T%2(r+dRc{upH_dd5;*{5T?Q_&20bDT|hh1Hd z&i$Y?`ryVBv^NL9dmWsr8cm3R>^Bh3;$N-l=t&`_GEik3!Ep6f+WAW<#)4bw_&J@+ ztyOE1&}Ny;cYTIdg_KtEgQF*RDc_8U8^)|vD)*!M>RVyd_<^Q0D!MqrcReiKU@8LL zC;<|}Bw8n`GvVI5;cXL@oP%IBs+l(E{N+DJ>i9ar?1-2u*@hUUR04i5>dhNN_{|VMXvdQa z3VOUXb~t+>1PTqFgZqPkSS=U@ITfm=4GSLUJrL;^!ITgNG#^zJ;riK(*(8i%vERDr zJWmg&XmAtiUVB&9Qp2-1SbM;oEhLI~OH6xD|oSy%W7T}7ZcoRYx&u&_r#MXzLqNDq=rNk<)to zxmF#8JB`c2Uwj%ABwH?{`2xBY@PG!O_&svoXU}b=qTi~Aq#NbQSA4c)K+aErp!kmD z3$ehn%c9>Y}9;-Kms`G|=I0A}(Dhf@ zz3+UbdYhN@v+?%Pp2v7e#`#Lt3FL-+eH>=(@XooZA0?@KF}j6WSw}(L!u&7h5-uG% zW{-D14f&U+tCttb((+nkcN?ADkUtO8!9SjYPv*Fq56BZ4V zQ+vR4!VFyx-pwJ4ZQer5>Hre$GB;s}JUznE@ChDT2Q~|5*&;MiV(#&d>O$}9D8v#( zS5Pc)o#|j+qJTGZi|~fEUp))YyPFM^f0~+_ZtCe#bF}NJA|GPNMeaSRQ}PkIQ=>Cb z?TepT*BJ4J%oc)GY^(3@ev6{LHT+N?*xQCr_2#EYC6E7Z%;(Yu<6UB%7m(ZV1Mwxl zx3)@eo5E1RlvX&y5&E=4gWRRI@zx?Nm{ZOfJoXUH7f$=r9nIJYB+oe#MP+b=gS?ekf?CjYL%)o4Rzr+;74zn7_k_$$>8Uy$i& zo_N&R_$MO$1KV+`qQYGGPjkKT-WFd(N@KC-KBqm+vDpS$EF`hMi~iL)H8Ob!Lk23Q z1Q>$?aa%5)xv8Z!lk@Wa#ikw2#-`9W6jKF_-Z3<~_wUb(1Phg|62`{G>50=9U9OG{ zNy(F92zI|PYbP0^EfAEJ#)J4jKrLrOOe7LqX`g5_`7E000PbEv`TY$|tpVJpPvlp_*uJBf0s=gN zAQ%d!BYEh_&EoW0V+t^LNsmPCm~44SNzfm8S6Il1*g*`+1qq1C0r_0W^W(fF7F=v$ zoCz97cWGWpG0C_H{MFB(W+otw$}Ga`!&A=@5fOn~|K%EHk!4QQH+t@%VT(>x=5^x- z7T4{U$LY^$e&RmjP-!K;AZ+ATe!}?Fzi#{d!@u2zVm7f_iH6vD*-ytpGs==*xI}01 z3gx23)Ly;ZeEt(giiaSfXZ;9R;-#gf5#rchAm6Gzw=IaoZ4N;IQup#y@KZJq2&#wp z_hY_b-?2j#{p5u5J(nCyxf;e4V$|y|44v}(s1EA|5*ZGF>Ty_l_T3oM2W3Y;S-^Wd zIi;wmFDB`^xQ_hC(A~GV^v`8fG#EeCbxz-fm6fdlPHO@}(XRjtmuAnethi1GItUJj z7#%6z2wf30Ifqr@>+%J|<}nz(&z3S8cpXbkP5raeTe(~pLp|Botmb2}l-;wB&;Ih| z+=ts|Ets|72*ofrZB7m<(Yx$NB%Rh_q+6W7zu{#qRr%%1k!TKvs|XMUYX0gDHcXAbXJi+1K|BxM>1%FF`jG6>;}T z`3@J10k3iZ%o#N>AS@70U60_AYPv}4l{9Oi)bsqU#3OcUpL_WmPkw5{XKGZCOm3TU zSeExlQS6+e^d1Q{?R)s|ExSq1kR0X^GTG4D-p@tj(>a&N_;}thP;`XuL*od%*{<<{ ztoxc+ImcpF2vDFv;I%qE{_D?IkKrdbb#+5v*kz=bU2EX{v%lgShQd>b-Asb3F~mI7 z=zdSV$l3)DCaZGb*8QzOqR6^B<>q*)<0u%2cx|Q|YzFX(pXg*B1?t^YWDYmf-tLE{ zl#{C!!gRyJOQEE5H4AHpzaiY#5qH`l3Er?f_wJQcPOZDo%=>`MO5j03Gl^k$cQHNi>vpI#~h)x6ehc1@OjPEIt}0EGp-xu)-Sr zT~}E=qLIoGYmp#p>viqkU8t^F(^pG7@Uo0wss%3|&iEdXp;4@K0{UfcwbbvGm>pZc zJXJcaTjq95;cK-642Zo?A6}Rj4^dH7Jr-8~E9r1M2?DSrvT2}^v6~uBbf0F@VoT4+p!(%D_`?rM z!TTSd@M>T*Jpnh9vrYr3YfA-8O-xd*Ix;rpVKjNHjYA7e2l69iG@bHCs=$Om%3)W3 z^l6PoiaZz}hN0Udk$ z?E3qon_9PS`RC+_)T`0T$yt~}dLY3c=h>pOTn28(0$GwUy~(s&*a>}3G7j>;@CVjHIKh|@nWzD2Io>6br2;}=jZ3+76;IP5(v&%*$wDDrz)bNqEc2I zC4Y@2pO*yW5jqI_j0koxDJLh#D1%d9qHNmiR1+)xn*4uFfBRR7pj%WF4d2GTS~#R) zy%%y+O70bi?~XfihCgHcie<*7>Enx`C3D-~>`ge<4f4PC_V&?$2sDOIA8c>jnqtEj z+Rc(D%g~pymatlN9S{IH^&LPk;^(^cT!)^V)l75SpX5;Jtd}CUh|LY*U%+vOlUMxC z&murafQf)1@Sooxf=c)T;MdZY7ZCbv)cxfy?x;}Zo(RNd0?7Tq*gfT z@CP-^U_Tb`LkgyY*)Jam&iC{%wjTV5z2g{@u0-#wzs~9xOy+lU;fhISpbdvMpdk8A zVk`Xo{OE=J${Xq#bhK@^>iEx{TWvesYeW3daQO!FTri-<_wwKxX{?^C}4v<+`955+MY*VpqUbfc6zuX6T9_Nj|5hK-U zy1u@ZEQM~e%Pmu2ZmQ0)BOHFqfB8Z0h$`W5-j80OJQG_D`1WO|2QKy?(xNwJ-HNQ0?T<7?T3^lIpNStcCE}xa#r0}9&+<6D9F+0gm+Gv|CL|6} zJS>85^z}X6|^BjRxg!79uVSZaJbW3v^^b1Kpbn}BEz+4m5T21J(LFf-%!+5I^}h-iSk4{mZT z+f!&>|770S-Me?;Y9m7ieGm8f1O-nqY}iF@9`*f01SfAAw+UAW5qUt~%a=6K#Ydkg zL1*+GW({3mO0(Rl+yU9(nP3S!K=V-mf$;+jb5x=d6H@J)jrpW+H-9XKb1Y3!M_%I^ zKS=#X&k?G&K~>NG zV~L^kK)K9LXT5tz01#a@+-{RN8Tft}sVs7JJ__4HUMVKJ2IdbigABPQ6^3({JQCNK z7>()`p|#>G2gM6Qc&gY9fphW>00UI8OzXz~Oj&=YN)ao|RuA#A1jPLt;8QDU69Us3 z>KsOq99#J*=>f@G1LrQf3r?=P_i8mdEZm>&AZvWJ?wXjvVidk=PG_qG#*!8Jy?qNF z`+t4z0rOkZq5m6$uV%Wm^ep2r3oGsDZR?-r+cZ){#WHeLWJuwaJ2Q;BAR#5^XwV*Z z9r4zWqCBY~6fK@@l0O)n`=umN+@f5{+%K!cYD!~Olwp;0OBuzeCg%%Rffy!G@FWQ6FCxJR;>?ms+N`jr~A1b?Z12Yb4eUh?C&5L8spDM9^iX;ENX(KMQXRh|Rj<8uroK2|W-YFv1 zlzvP9Fi`5$TR1ye0&&E|u#yU8ULnnafcVZjTq^oszfV3Vx^E-VsgueeTxqDfi^t>3 zJ=)(F_U_yi4&Mcr=)XlM!yXHQdy5tqYf#l8Ixb}P`W6e>Qk_?i!g8s$e;c@$d1_ic z9B|{_X;jz)DWA1aC8;^iKa6m+Xo-D-;z}-xp>JcZ(_V0%zoP~sI%IV+;>I|?!S)Nv z3nTFIT7jkoa5cma&20o+gd_)+YvFbep;Fl$?71_3Ws`NIh1+-k+0Fw?%B`@^KlenF z+GOOmV5?D>=W=mp?&Ib1euEcCS?eU?+x7s?HUHBo)E}9{xB3)}e2D z5|BLzPzOSI7F~{^_jNba)~n`$=A6?2iFMBQX@caN^=3FT(X>~#fhS*Q%O|Q<&rw6d z`t&rK(2#gm$J<*Ds1ZF5K6x^cY1XP2284wGL^HfGWrd(cXix1Ij3LRm2u|c+zPlbi zeqHpm+3bf?dwajO+FTMLJwn-Vz zupyKw+d;9JN`eQ_-bzz-}1jV{oi%(MPbOaaf>QV8|zVIN(QNU&~~TT<%mik zZS5+pW;I-`tSUVk@@?j-Fpi423I`I99_H*3DaYPqn9AB9HTocQVn<~A3wh%(;R@^J07s^;~DP&sUt48>Cqgl5t&{(#gdq0`IK65^5b9IcbYuu;4Qpw*b=;#L2T zMCv{i%RzBw1%(7(P(A4y;G3G-ySu04WdKJOFsK;R+l5&k=hO!pQM95;psz9%fge3d zz6b6WMdI-MVZvaQacK@!_w+0^IdeJX)|)qPiVp0VDwWjym6{0%G&i_&TXeMcW#?`i zIh4U128uR}qtH^gg#=reC)Ei#vUsMRX=??JXv7b$`lGPmEh0ksTIrMyED>nU)~}1@ zKlku#%Qg7mXq*%zMALA%nSV)7*Nc&IZ*`|Kvx3b)yrQ(%pXWWDQFtrU;cGq3Ga|D% zjR`s9ZnMoabNfk$jnq-UEmoHQzHlH}jp#4&y)W^R=oC?fKCwexH-OsjBX8tHNl*!E zw4ZuFI+&KBvLTA@xiI+|Ee{qim#~grrxg?`9=IE8*{0-d7W<{$F?FOwUqr@RHPnow zJzfR5fZBcgzE)dy6v7f7)RzcS8HDyt&G_0wm_AFx*h~)2E=B!5@xJLroQJ!+6iCx% z2@n463#(`MrQ)#b)z3D5!hh5SMw_m#t|DXtERFUwo9NQJD2(0<&_)yf4U=9R$fty? zR03M!Meqr@5_Ezq`8guF? z2n>g?VXCkdIO3~gD|2(zu*U#F&=~A^S%qo8K$9NRg%!FX-x$x~qH*ynDYs_&Mqniu ze88)7H@N7A>rQv>a?{SB|BtJ;Puf)fxJ5+0uGaClXjp zWNmz{olCLmnhr)!B}CM3fGYSe9LXscmZJYOi0fSkX4qE3q@)wpLcPa0Zrp0*JF%sd9Vb7TmFp(v2ta zjs+m1v3SFm_AHj7ipRkUQ}388A>McXc2c@%3lPolMcXX5C0$&3OYfoPPDQe~P&M(s zUbmU0|G0nXh#RMZIAQS(k7lvJv{%#rbyY@O|Gy2^X=#hhbb)i{);?88bLv2fw^{ig z+17Z5_m5!Y|L8uPZ9)dn85IYg(LI=Y%xIj&-#GWfUF2vSogqMLItQ?rYy4)bbjtS~ zXZyezwep@IRR%lYZBeEv(QEBGQiWF0_hn^+KQ_cNB@;AC#T$zljL$q=`r`F6cPHL| zW&sX+rrZ4IkF3^mAc%z;BMs{cU&2QKhXubp+Y0<7c?Ci7AjcK_Be=y4}cUiZJ3!!?r zl$p4Mij*IpQaUPOC_q;zu<~=#J(q;6@Xw3d?;P&y#waY~yPUSEEJ8O~9n%u|>8|;v z+72GTy!Wv!N<3HD+t9Getb0n*ARXRDycd!GG&^}tH`+#HIaTE*4+N}_J>v@EW`#@F zCgGZS$;~>QGV;gSKY)6L88an2`xvUO9P^HHUKP2>nMuj?z%o$ZoW5twRtjI_Y@P#Z{Ed*ZubGOiAL6!zSe=v z{`jPZ4pMo5-hYVStnclETD#~*p*-xGG`Y;Y5-n+b&X5+kV~Osz2-Fu zQ!fy~5GOPN@?2s>78V8S!}!bHw^3sF)ha+0dEuql8NQu+yKsNGbOn~sIg$E7=b9*G z3@tN?F;FvD3aJWCR+N#NrCi6Z7B7`e_ucTHxk|PVz}VaKMgbP4^=*$VE$6<{xnx8z zK9aCIYZv9`3!X83j#hc; zbY9|V^sql)r%2n&-dp{&^<_5Z9^obNSFa0H4~uBTdQ+oXUI3y2&_debv_z$S$^ zeXb0WlaK7^PkIg&jfgyF338Jhme42Y=+tJOtDW~ST+1T1m%4P8NRh{&c@9v3&=)U` zpi?gru+z&*eBwyX=E~rf5|gE2(Ytqh>N!pO`}>JJ(hR{;fn}&q4$Rq3y$g$rm#wWc zKa$NXmWaDg$X_dU^IoeXC373tyXkppwW!Wm7z7E8#XY?}Js#L6E1#Wv)}$zSoW+R5 zb>4W)cd%Qx*H!1VGUQI{`8fE+H<}I#Gl~9EErJMYqUGqR`tda*_jnc%A|@7>@deicHPlbe`hZDWjRYhq&S|UWo8}2FAu$g*TRF5 zZ?-<`EJzh74W6^_&t8Rtu^bXQAy@Uaj;x|9(W9FT_6JLc`>D z_?qzer&5*c+wtX7+u_0%)-nrT?$k}P_<>_bD)w5{jueMUM%h9?g)a!6@o%-kJ2PeY z&-@zQY|t^0znZfm%d?nT#f=9715`|~8)Xl^LsIh68oXnpj(1e(c@(N>NN>oxyi z4Q;7XPG%?ynJ(8Q9Y1Gvfq8TO3U*zDMqd8UC=r^^b5#BhhhH*-lxABD4%gOQr>=Cx zqD7#ymXf5D^@5$XF-C&BT9S~xZ}9)AA%oi zNH_Q8{niNusid}25q*G$Q0ROXCZu5=;?9}eegP=Qy8>HRrY|30+T&Eq`oDG1sLxqs z1wbPRNAoHk7XK;%hQTZRgN0V@`*iHkn%8wdz(jNy`Lyobk=%aa*UM-7a!x%!DYf6! zYD&5!K87{8eggG!4-G&*Ttz4MBd?tQ(E>CiK}-%Px?C?fpH=*rddAAMKFt=mE*nwh zhjY4Y>XTA_EBhQ+-K*z2_^JThj7N_H|~Gf_bMwYItjfu@I^!jD~#dnzI(!mA;hu06)}4eU2Jg#qnS$? z>23Vm?_{rVw@ztL?)B)CB?MK_My^5~Bt+004tQ)~1XcUtYM9PG=j#H(8?TFv3l9Np zE(2>9yn)u^!v+tV^Bv_aw885Gl*o|qkn4dgdxU$JxxwpW-ET}>IBg$3Q~>Zk;z(X$ zp{Moqb_W$rMWJqV?n-=d&l{&0ndPIJzuiM%-h^MS7gGlF#{2B-A0{LX>s-CtsysHu zx~+2Qo12?B4}~jNu2fseM30y-%gd~RH%#a!F1KOKuFp{wR`De2nAzJ411Cz#VJH>? zjQNme>3dZjQ6^s|?7`X5V$lgptbM~MvT9mP zJ$VyoKYIK6XQlhAkX8Zv7RF)GC=#%y16<%RCBH#1{yIgsmcG-K<9J1+Fx+yG^%MR^ zn)gDoa+rUb8n&b|ttmLDxU9@eJJijdi0rRFvl3#E|4rHAR(+!z!*r{wb^d7`j9->A ze+pW&p4INHRtCiwkJ?*=gIvumB>2UPAZzn${Q}Q&Y^{tki#bDT!e?;%TFe!ht2VH<7Oh?rwfYPHj zv?>x=&=`7@SvfppzOa?lD-iv0qJ?BgYc8TEUG?Uv;P22ZtYQB#o8Fr0z(bpQdv`nf%WZ!(3w{Q!&#Ajw8jy zu^4y0wzvb0_6ebO?xXp#Pb9|v53u{0%bkTgQik0zyw**S;M_hmM2(P_!1n?7%wJ~IUz?f89ZGiSLZeh&=%YDbzsK_yB$~YTvta$FSqWbxc)v zBAG|T<%MPfs)dV%20n~KVz&3MA$)2`V;5BN_o=Dh&GtdsFVRe;J^-vjc?)Mbn`wk! zZqJ%8lYtMZbKSi-I)^H@BYNjl#ogCTS*4xGp`g0d*D5T-`sX@yI0`*Y_Bs9(8DSf7 zOc-R}001a_N9o-w(KjYNSKuoh9ZlBC3F&QtI-^AwUawxIvm z?TqctYzt-iuX?paJIO_F-i9rTEMfU5=pkZrkbl!rjR8^Tf5qcJ9R|0b8E9vVSYM}g z&ELM|pz9R*{>Bi5ulya%{qbwiFgr&ZMP<-gFvLhV?M%OWcREZXb10qr5BkXqGWff!bs47od;bM>#U6TBHcAk^wlEf5##`J&=@$Y7&8tL7BnXg0 z6t|@^Eu*k=w{4jRrV>8)zviA6w>xw!jyItUx%}PCqqzMgEU`%dYBV%fA|IUub#^&bVh^F?zK`M@hdp8oKyY;rvN!Nay7|jDh6o5dGD2%@n>Ehs<7Zsns zHz;Jp)35?>Hl{{kKguyZJv|$puyt~Xk#^WAjY`^&q2py}E)D=r>_?Z&GX)Op#fJ{; z`=t*n{QVw{3=J*aWkNwZ0t9C4BEXa6_T^;agPRowK233V@Y+0;g>ab;Zy=tNVPIl$ z?9sfpK~2mOK4I**RmT$pLKJN10=xCnM_5O3iw z_q9w}bN|1haReQQLO_F|e?dwcgoQ5ts^W21&V<|f+e2IoWHNk3_jM`0@&-Z|@v{gZ zrB5@@)wr{8<0v@d07+=sm$x6kJP4v4gs{mT6TLpqVE~4$WqrW>g0mNz#8zO); zT1L5^fesia*wbQAzw4N&91g}spQE;&#@Y}r9d5!7ekSAUJH zg!O!5{vTKIi>6(@y{PiYnU3bEJRb zM4S09+$b|jQ=cr#+V&a_y$iA%aO6*je<>*`xq0_42~q^GN_prfP;HPDDV0XsU*m}w zmhRbc9*!Rmjf3Woq{FI-cWX*r&?Z7xi)>?2og?W)Y?(N*=^U7Hk1O>W&$I|vgk>;^ z2by|RR@^(SSEBn7v?|u-^RFHM1Bai`HZ5F;9Xs5Dj_AtWyC>K@W>3Qt16VHk{rhj- z89mh~f6yRLvu+g%BQ#<|CHhrv`yy86P=V8bOGwyU+&xW9N*YF4l;9 zwYPG;$e|#j{_cfVulPV-8r-BKs}(?U7B9AdASyaUi8FAZDQRgjB8KRw2CBdFp1-YJ zVa3~!wHJgzc9A^l;L$${J7{45M$pfnaX-JwJ1X~!NSbV2$x%-4R7*iO`J}6`wcU>c z+s2{{^$NJyh@XF;Qzqllh@X8l=%~PJZf=a63|0OyJiIJ!vRuBU|0X&*1^lN9H;?;( z7!L76bx1$EjJ*q*qkj{R3Ln2mhSZv;QM-1KIpX9j9-cmf?5J)c`| zESyy!+ZNdKVSW1X9tO?#ft{*hAW7dE{0}RB_;Bd>`~+$bQjPz!>%Hy&7ex) z@Qa&ofC3UA0bL$pwlI|L#vEr1tAA>ScUp32q%{|bF~W2?3|apy7=trVkJ6pQOgS(! zUk&#G;0HAkyQ06h*IFYl;s;A`NMV1SYBYv~w#xwBU+_1I%}3l09#PT$@1J@spwWPr zGX9`AEsYdps%trJx-V4v2&=dgvNuE{RjBc`6u|2ORES1HBM79yTpWe@@KnX&{)(pw z*J$%+7xU;0k-~82sd(<5)+rITK{CA(jZ(8pn1v9+w1B*e%%S5j&(^~6`@2{KRHuWZ zZ^?oBxda>6@S{0#9`6KfZruu(fn42OHkL~k-^Ehjiq@#DL^op$R1L1y>D4{;tprY9 zkHPEPV~QfXv4E@V49`~l8kFAu-~*u_D%e2$d6oF+zX7<9AOI3c0c;7oHCt?b0%4Jj zR2Ct$)!hW@1Qw6n<&(Blh5&;uZVOJ4r_C5r+MLi)zV+!nk=^wpr`70wIIc5KG|O1v zuKdL5AZnf#U#E3de%Z(M8|iiSilsmP8QC@?_o%!A<9YR2shlUdT|Obij>MfRsMBU_ ztIrGh_7CBDM~m_UCNS=O`t+#^s`^y|kTWk&XR6ZokgJ z29(9_E71$ELk<-wE^~Vv9_$yP3&{_5S3o8Rz_Mli_LB!xy{1-N&zL{QGq9LGXK)2s zIO6yFw+p%ssISaj6d46`>2IoauD%)Ju4?+uM>@fHf}+6(1GS1OlB~*HboP0hO7y$l zyT6MSR?uo9;R+ni-$k8#LZVoq?4y*x0zZH+HnV0^bK9{h(b9 zH4?B{U_YUZ4wJx2W>vDm<%Dr#*PEtZm2h|w9DGY(fB8`xEWU@G+#{s4RwbY;+hSd3 zj&yX_cw3+cNa@hk01X>Xmd@uk1_J`U5%!VEdh%IB+IkXYH>DJrZj>`MVBg}oRX7BD z!$5`G<$v0wwvEKVpeH;&JxQHr&$<8N|1nh1Rei0aTg8$RDbjN6jG}KM*!~@3&L&VZ z)|}&TyKcT;NIdgItpug*;DJe5+sG%?S5^7pUmG}g-vuq%?;N*`&A|%qQoJD>1Vf|v zlKowfm|zbfkm%~({>Y)6AIF0@{FJWYHY`L){`{E&)({Y$AC!vCAEi6F_6y$c8Qoz3 zTwgjnIVA)75aSZcevu7&mA)c-PQR*dJ=SmE8`J}snK)@K==i2fkVyKy!qPj?Mq7!J zDOuGz{~Eu7LKS~d+^kNCqhIZpAiPzCsC-CV958ALs|khD&kJHaEbQ>2;LJUM5s%)U zs=9^4@At-CjQx>3^s87M1Y>v&#uF!s4YwdxVm0x20zoT~ZB$lpYk_sMWH&^gcX3w# z!GmBjHaGBsLZZ+qG`<#&H$u2nfjin3r=7tPT@Xy5C(GuFou2)X0vhY(^SN?tWUXHK zO@CGMml<0%k9tb)PEv&#{U4OrT;aq{11`)9~}M9-9)~B`{74IoVOep zAV5S_2534G5HkGctY%pVx5Wu=O8#D<51Xdv%1z(nDs~?2twmSXYTl3|;b?yW8IV^_T9UY*cE7f%jq;>%^wW?M^MQ+;s#fJ zwowf!5-ox&p~;;c;l{Ug>7=dX;7jwgIt%^By7Vh}5Y#sS!Odb|rkDX!#wS#Vg;_%7 zBOn$J2GC97p7eT4m%|bmrYS(+V{1!B>qcnT^8f((l`8J2Ale_E_5c3p{z?Y9(d5VGZ8ADYUsw$EFM zcUQ}|h*ASIpKqmE`uTTbHqC(EILr2bjrX3|KgHhryhawyh_(corvMI=yfy&*<4myRd@;-oa*&c1Vk zj0Fw;f+Bdz+a3N6C))#zd60E1675t$=XJg%YOX|pzrE7Y^KnV@v~`(Ow)Ic(y_S)^ zP%J0b57bf5V3;hR zB4Cl$hPbRg?wFNVCzl3NtJauSV0CQ?4A+!Gz?tT1`ekyg4DY`ex={VmK6gY0G-}_+Mf6g6*w+O+^&W ziDE;8J~S%+912m}ku4Y|U%l2)Y~i|Fqy2ELQH0F9PwU#2niq9sIv9&@fYN5ChSZVH zZ=vNR=K0AZPX^6Nv(9dp4=o+oce~K8B{Mdd{AEu z%DE#}gGI;4XjrTMngw672`=%SJ!`)t2QjR4&mZGyK={B8LT^}S#7IEQ{T1-z@{Esf z+stxxK!eNhH>DQ6?;xMy;lqcB-PySM{m#0FYI0d$zBu5P(am`*wq*o}HpIt_|0=3~ z$Tz9mdgneV%ky95X1c}k^fJE-^Ye`$cp9~S`6W{sxVslG+%PamdccUTqMdSpG(l=V zRh>JnhB@Jlyk;P?)$rh^>T028luhwIJ;&KF1>q&PrLYMG!Tu@KbW|9 zK!n)UpK>*X#)j=~+^&{^LD*2KD-k&t7kng}!N)J;I*N_o)H!~`K8s<5AsJtQN>m(M zI%t^K^(mok#zi)omYt(rjKYa-8jor{%jR6iHy<&lxIQPXXLEDful_9by$>HYw3q?m zgiCGN_){_9|DV9>9WBa5wbQ%0KWBp?AQtbsH1Gsowykf18#nZD6h-uI=cyx4#XGmB z%=PSj6BJt5a~OsZq9&a-9(3Jg-b)vsgh*cS@3eKrl~&@d7afl32s{ z5QLH@piW~G)Qe`Od|H!uNd`|Z+1`Phs^S)00k-PE0co1azd% zM28EyZ3u4Cpzc|Q*(z;$&Ly*1kvk|Ro`IBA>1Ym94qaO4h#6?czU(yyGVr{`Hb{7i zGovFT$Dl4CgwF{(<8_1tQ|tP?<7dKF_;<`twXdZ;)$?oEIP{!fgrGyQQeNGCmxka4Cv5Oq5UpN@_IoH24nTcD{b4uzR+<0l(L%=>GRy##gOwG!=UII=4%8)Lt zV(~V)Le-|dIrlNO^5JB96n=rji|QyBn*V)iiZvHz|3C6J`mI|bN^cA}lC`s7xfv2< z7VPx<_JTSAmE-;CjHuRHdxpE3SEMeH66?qp7d%w-=Md##GA(A1iN@|{#S~S;7of!K>QU}eIbgZ0^ph1I0)Z4bJ1B`YoTe%&BTNg?XVDkHjq$wl=$lL zgjz1aQB85o^(=c6MrZ!lF|#oa&Vr{iv9RnC^{m3GB|=oq{J5e*UJX`xDI~Y`a#u{s ze`9zxBBrTeaWy9Y*0VKvRMSmR^A1d=EjKJ-d!C5HAf|%1?Ww7rl;}C298aD+xvCN+ z41^%sD{X9-y-fe|rEKP!O4KjO>_xwy{9X2GWx2~GH@_2am&4Q?CcE}5LK)1lq@YXw z)%1?y)B&iCQOEj&(zTEV3r}!jiG4TWT$-4<9+oQ`-67w~cNGi}gE2+9M5`|?b%GyO z#)N-cUWt8ImAC6A3zq9!H}9P`X%*nuAF3&F^v+V_nGn9G9RWt$atpNG&pgYS{$C~n z){tO_FNm0$&MBB-12U8`e38-CdeWb0yWecitm&K@#5M_&xvdo{ZT*loP_OQ;&cdXB z*lg%X0=)u|J}8Z(a8ueE;ziM&K8V4H9NXbA3TX_b1+!4GX^y=i;Uz~e{LLD^jkx@3@nB>KO{5Y)9-dw?8txnkD_se%kD z&;N(5vyQ52ZP)$;qy)r3rNKZ^kdW?jBN9>~At|zmMTc~Wz!nLEkOmQuZV5>dkXj%q zjUp{2-QPXY_l$Ag@BFpL*xQZ3n)7+?xUS!Y<}=()fMQg)xdin;z|WT;p2%{^jXw3p zo_bUsTQRPL=53EhKQ>B<(1iWb!f)9z=+QUH3nJs2cxgl2#wg)fz!GC;8r=N^EVgJ~ z@ipqxu;1y#T3n`iUi@->lkuak+3zm}Js0>xIypzKBBea5F)^ zpAJ9b*h^Yjd<-`++wlfZSxzDxCIU{fia3SzZd`N~NYP=feH*@epIQcS&@$d#>+;g; z&^9G2iPXU6Ix*Md{liLSU(o~gGfK$>C&!|2<-i4DP5#Y^;4H!S3f^FuDS!$Gsl8D; z{KMQ1s?9j5QCKyELjBeoHLY~ooUSyHCme>|L$=?Aez0F6Hv0XMk<*A$j$!E~{b!Su zCp=k}T8)M&oFh!;oOu!nLvZzpQu@?3=;J$9hsLo*8`GF(5TDo@bk-&qeC;zpIQU$$ zFD<(U#!g7>r7+PaU;^qZuLgm&(4kLDi#*W5`i)H>{B6^tdGIHq->CY;z-#p95^W)e z%Y>hwRy5nFS$8n;=uacDkE1=`nROafiGO-1F&bB$stxe5XRt1kTx_1#-Mu^0eg#wX zmqOuj%2MHP8SuyS`hqE^f^xIYehE}ad9t| z(!0U0Xw#SbO=neK4jU(*3cIO=;j%D#p(lXjKZo?u+#8hZ=X>6(R6=05R7bJjC13k>-A`i4Hin09d zAMf9cUMmc*h%CYdVa3Xs&pj{Suu%F?9Niz6F9eq$v;zU=|GMV7#yO3cwE?H2pFdu`@c%p)K?2l@Sr~E{9wrgHN~b>Kklxa3s-} z!H}kj`r=(tFSjb7N=Eep5l1OCiu(os?~(>KKd52!Pn)_4HEP>qj+v$X+xr&=0=j2Z zx0ReD?|ShXH$NPuVK>{QN;*ZNb2I$TSiRzXg^MGgOFrM~IVrx=p#4NtDXU+{WnAsW zYv_M!1wcAf<-S=l5B!wJZ<6Cq?C|nwyvNxakg`6Ca~#^DE`2F*7F<~>_wP^TCMsTu zKd0;Xnf{GkZJkswV?Ti3$Khve1iu?O0u!ftke=ZoFhz!0gD~283sk#tu#|;Yn^}qM zBDP%DjK5Uq>xJIUz3}^3pQwUCQy5bi1mOxRBcvC}nlJNyW>!ub$|b<|b+G8?EvoW6mBI+}t5 zRL&(CDJk_?evDyFRrVe?H|-(hx3IY`*1p0rp%`#ikXGkzaOj!gNaZ2Q+-m2f6*}Cq`$H7!o6ker|$m@pZ-sY!4Kvej`Oe| zK=3GHc=Y3P!n65JJBsnB4&#e_W9&JmtZP{^vmQmi`SHEbhv0hqKXK8&$@H8rnrUzINK&c|&B%h5(htfyYH{7p~I!uL*2PN=pNZr5YX zNeMi?`a3Pr5@}Z;9zpYjCG@H&81@KMgU7cE(mmpn;V)hXrw4P7-7fU?r_XYly(W}c zw`KxnF6T)8FHS$Tz=?EZwOa3cfcU`j=ETQP>sC38{Z(yGM8#G1EBY&hG zXiku%O730eMn}cuDN8QXs>!mneg%=ASG)7et1SDj>>J5Tm^!;wfo|NeF_>m+Z?)ry zXtdgwS=L?j%g201)cUtbk@mNf%BEkoD+b#WGFH3Jb98@c;!BOEScR=v(-p9Hj#3xd z#pj#9*{^e8UOd>@y>-x`eE@SO&p=d=&X+PEeyLgF?-Yr8qme7<0+kvmPMe^e^V{Cr zyo|P(AMN3~q{2d9PRWZQVLBX}U3G6C+hUyU(+=!oea2Zn!g((#IT?wp!$|y=p56$T z(lxC2K%I_3k;;qaePj8uzEPgf@j78Q!iL87N5=3FaCG~_l;iy@ik%0al4i}01|kV0 zEUXPgpMnz^(idfsTCn@uf!_PJu|b)wQQgL!-I6O>rO|#e3$;0^Cp{=m3_cnL<_I{& zhh_|ge*;UyA8@ev2q=-&Y`u=Fj+We#CYGnar;zB9k^(zThv(qvq!zHI_o>y>f1-oH zTEnUTbO+*_t+D-p{hR}xEZqBbFy9I+(Gxudwc4CfDqi%>c070fSf@1ya~_E%u#s5P zLjuJ#m}iGy98<~VG$uYaXGPkd80OzM7;LFK zOGklUO?uJh*cYv5hmx01*R^uikTWlnywA#74gL!51+qfm8ZC&a!@$Bebs$oQEQWB0`rZVP9VN)`%t3;HiDR$wPYQf1A>7E z;5rjH2irgpHt3(Ldixe1^)(={X{K}t?BBt(i*~MRn9-Y1$a#OPs6f-#Q1${zi~pUp zQs~~{_r%~1!3OBS6E&|%s+`WRt{!zbjN*>4d-ZYq>N!V2Ci7?1c_*}g@BHEW%Y0Cd*-Ds3s*X@x1#d8 z#fg5MNva9lsz&8J(L#*s7^7te%pXzkV*?c4C(ALYyg4~XHsoMe4nIDh3ttk$}H2}X!y0 zs`e0q1C^MWq!8GHXv_-oJ|~9(^CQ(Muh12+N z1C~Mt#N)7@dmKwpyG+{`x8DZjC}1bJFI5pE;N`9Mh4ZF^T#2rw*$H%UKEQq+hidK^ zf{JrM^jBWV2{4F|ZQx=DK~#?SEwn32y5a#kn0^aOOUGDB@D{h#9jp3 z8c3#?`*QWj5O9psAFQQI;GvnmD(dPJv*l2;4RO6ndK0!P%=*&}r%x6m^l9KRKl|(Q zpT7!EoJhR^J`2DUvZ1nqsi%H-PRMT$4rBC+;-&WbzIAv&R0iY>U3y9B00NO?^nn;Y;q~pq}1L*(*I*rh)wlw4UH+gu&KlC4rHj zxv53nI_Ghorq9dx$iwwpi9B_6`pRR_}PZ!o7B`Y1?2Su%?fhttr zYT3H;iXWZyz#WVDK(qiobnlB=ckYlvtRcP9K2BummbrO`2#&7|m9m4*t1175sbpdH z#eG9gf;4}JZDAuys_R!--JHbue{JEsr(a>9HA@gVga;2@DNOTnax7Ke9jHs-!M*C8 zwyePf&HO4(0`*PA_1ErlQ@U&GF?dPXj8<+Mky*APi!HA%ux}}uHr(!dzsl$T?9+a4 z0==$;-tf=Kg#XpbKYhp%-Ys3?fk#f(xh>eQ*Efmd)ziiI)*F>O=y24(Hh;P1Ifp(@ z$Z0N|EcY?6Oi|M-TXW+TWe-kL{;g5V(aU&3#8*ACJI4f7eyG`a7Sx7W2Z(q(?9g3_YE*TMQOe2yQtN ztNMWc3N6eCyWkwj4L~PkfSsyjzMJwwRBDmtxIewsJ~URV{NbRPel3h<%w}e`bf0!$ z<_BdMh-VokRhSa|vS7jqs0TMX)?pm@xzhbmXc~n7E`$j64@5rZG0}O#r_>$kQ>C^q#0BUQO(laP7{0LH@*V z6P^)eKOmyT3Rimla~0PSH|p5!`HCVKy#EmjuTQ_Qm+(q}VoY>GygJbme>pAmk2#_K z{E+|-26s^fKbUQ7Z9A(fQI-c>={POLoBF@pZr~;0KK%oXfX|53efX8R@g;7X#3{uW z54i#w6)Iu08$2d!4r^4z2h7N0S#>#BW^DX*tOJXC2KI8;BMz4SsE(C&mgOG~g#PrS z+s=La0{r}_@N8?r#+&R223ftoVDnM3{DlI)LEr_?Nulo)r!hP;1)M*F+v(23FFs*@ z8&pVoZ)y6}*tnnQ6~I=ZjG&GL+FlD4sz%O3&- z?8sH1P~mpeZtIG-rWwUhTy&_#i=l@si$&KLcHfoGROecTpVjG*TxLH9JM}j4-An)W zJ;(((M-a*dir;&8=XK?DMQ0<0X-o&hAC4g5Iet00IDs@Zfc(D>{+3G zTTnW{71+uw&oGM)hor1lU%qekG4P9>o#$@E@XhXH=o7}YOX_(9_88I=L zZI6EE#N27)e_e9(?A69&A=Co5PLZEhy{AHT?9rJQktO{f*MIL$^ttX-0mnD6fh z9j@6+w0`uo_Dt9mnid_DZO>g_TZ7U31n94cRUE1NcfP&r4e0-AIytA7Wv$KQVbs3a z*{e5{JNP*AGug;NiNs*&GLO@x>6jnf7Zx6*QntxwT3&Q)nl7J?b2yRU@`KdlJDCBZ za-C4+aqY5g!9ChU-%kI8CbnH4nl)VxP5Zcmk^cVaJ5u2$uqKBqV3?|>xTd@D2|^LfeFc+fPlayr@&J8`BuAbxJ-s-?H{q(G!%QxvA{_FhJHQG0c$p zJ4&DgalA1#sKP*S%#rMwRi{Jk_*=_UmGQ?mud&G!bZWNZ$m@# zdZkb$4tbCYh_n#)(+lLXNl#yhZFk$T_7wlIA_(Sn9vv`(!xqU}hP~%5#Lk?~k?HB{cg8CW-Rmhh_5D1joGEuR3rRwwt?92@iFqVA zdVOOWy)8iD!cZ0V_w)*^`!5;*InV&O$uXsG&T`ytC)&%VFB(iyjg^TJ;%TUr!`#bA zi7^Roc&NX9(TZR;w=xQ|0Q*>Z-6B^Tue(p9S38q}Csc6e2?GyO=L4dR+lK=WH7|)^ z`dxz6g3Pm<3XIN$HdTW-1r`I9WD{R?DW3dtRGlHwzokCv=R9biwNj>@b z&cK9qxSZbm!!v9_RTTq*$`a$?qO`W;o#=2S&sfuGzL5P?@`Y2BS#}#*5?x_7OvZSFj z4ghYK9+I=B*ZburGv{qGB9C@fB(IU%d~VJyw=Sjn8{66Qti5Dd2J_l6UPBdz52~~I zv@hlhjPhyK((0=-&*>7}-Ordf)%EB$G=BX2yu3ivr>?51LV{Qd!tF&&TBy*@0_E!Y zJk(qHxL84kEOQ?I<^-N4L;;p!CxDZn7h5v*VN`ucnTzXdK^K44hc}bMpH`jNdB;_+ zS6Clkt^j``5dyzcataC~XfzQYVAeshXt!rI;KY_Z7S1$T!sH6^LE%P_5^Za&iaQn0 z>=YM!IsB4sl{FA5b~l%Z5ydxLcm@Z*qfqq+Qp@SJLgq26VDQ@lrghu)_kO*o&Q(eG z_y_b8myc)bC()Xz)s~lkq6h{>Kltnvfq#N5_oyoy0d3SoAzA~i1x~>JneA+P2idi` zie`0H)$ehG_0yv7GT2JQ;i7#-J@SQwjWvIdW~u(ewG#dQSb5DdsSK08fWX@=|IDSy zv66n5Wge0n!0P~vm}67X>43kl1u;O|nxAp+Wd=pUiI2iMJ?l?k&&4_?Nbq{Z;YLgM7J-_E>>1=w48{9l+ zRGZ80m#=tFkdj82SrL>1}yXaU52uo*=Q~-$2KhMwM zA%HQe`3{>-Yh$0$<3=9^t@oI`0W7SX!dQ5YS589$A2YRX!{^zZ&F!?(^A-Br3$L49 z@I;4df4OU6Ze$nW#v&+AMV=?;85n*vE@*!ENzI}nw7h`2P{SoBf5U|%%E2?`!j-&M zJ9Xu!`9JCMnoM0BJp<#5F8|x!Q!&hydgsDB)s@UQC9b^JHP`^%>;(}_V)H%3fOgxnEw9R3>-0* zv&4=gGt|&ImMil2kD2j$I8j|)7uJnB&TuH5tfHpj<1N6)_Z<>s8?K74kRo1$_?W}` zU|}gr5(bBhFXddC0`DDk=IGZj2@W?D1|$=`5H{8PMKZ-TZ2XGs=I@ysq1t z;xGGn=ZF5?!0ZLJ7;~YZf(-%QFSIuhO7nT67nww^M&n-|o2%3s1MCJ>3i3|DwhfYC zvvMnr!{)FFP4hy4Ygr(I&ZIz9y*kAVtA^OiMx@!DWuYYI)l9|L)N+YnjeT%eyTNP% zMSF}nLmn%dJDHkFh+6l3;cK2dVn!SV+D~;PE|uIVX|xEwudeQg{x@(%5+j<8Cs>Ib zWv zEZQex4YTPicS^;llgIE0*1mr?O3%9LIN?`UfILhy@MlO53wqRxglhB< zTgb2X`29NZ^FQbHjv;S&&DHKiY6}n-hd_mmB0+)gg^!f|e5Fv?_TG92j&6X|yI9ZD zIs#*@eJkv6ixO#Qn?aKe0@fhIR8~o;{XLP#_tj4&cg>OpVYmn_5p5R*`+^_Q;Tm@m zkyy4m&h00>1}x-Z`Ny9SCDZQVvvNOH-;fkiCUdgu&#o4p@8*=6mh>~@OQB-zVHfsM=(M+qWL&7{X8I+un|6qNiV* zE9;((rI~?|mdzqM*0k}QhgBBS-Z(KRY3g8BNr?yozoM!n7N#q#RP( zv()zO9xsf`FiF4-ANGJHTG(6Vsi%c1V6)Io^#KdeX*bcKwqU#R$IIKMvD!TGn-n}_ zEiEKXM65Sy!av~bAnKRp;9R!BiEuX(Gm%!Yq83rZjkqS3*UhiU%Q5@z?(S7L?SHY= zboVTb{?AnaF9s2TFAMr}@_1O6$ykUSkuhwXj&`Z}FO z+%wSj!q-O-l&pZV93U)876y29OwedMq*(xv1~nYOPl_OkJ3Z+TJf(U*zav(F61IpA zn>{1L^W>uWd(0fAJ6r*53qhpBm@uQR-pG5v2#2ecV9hm{4K3$g3I>}x=uq%s)n-@6 zJZ_rUQ%24@_c#xzUe4J>w==~EW!GkRE@pEM({B0Kxoe5M@m)6CS>$q1ZWr#Lz(#~c zwjXzz8a#(-k_p^gP9Ig^TTgx#qt8Ze8w+%7CJ-=$!RPKi0j5sNBPfs$0F9!QfRw~a zb^Px0q5l3rc)Fc)WCBkJId6di;`Bu6vdssLrs$f$fnlx}{misJ=jj88^d{dNm;Ac6 zC^EJk>X4BKeixV{&Wv2`f#=)jdkM`gK3?8&h=;>dY5O;D;6ZNyo5JNvWhW;-n9~lM z4uARjn4FwEDK{7AnY-Dk$S+{+LOx%BrIY2+>%so6PL`0_61B60ygl>=92l4lHLjJ9 zcPdj&>OW4PI#Nbj)SWDJv7eCQn`4MMolK4wG`;*!)^>fT129gK++>V>5Bg&^D~vu( zIHT}m@RFT@v36(#nm5Z#q=Gf-38PH3NK==zTq;Qr#rhCgr7 zg{S{DG@%BczcDq`9Ppf=xS5d=vaf9X37M{#O=zo`J<=ZDwIIfBl}_uv5GH@2=bC<#bdz3DGz`&Ls5V|a zI~gjrdnQ+?J?;Z+UwSnS2oY4;Q}TLq3Q*%>(gn-e&%Yw>X!r(49DE)a@(++T}Ms~495B3xPMY1R*T}km0dPzmFw6fJ4xv&GeYaVby+!950+mM$V5e}_V=Mi$`1+m#Di-cw zG*ALP2gp+*Yyg_&P}cye&y{+ngOfmjJ|sm@S?aKYr?fW2$PFbzYCQe$b)vsZO}BqwK1zX zUhxVlDD{B)*wOoSjK|l1&bylY_(VaP7}2o_Zjt z3Lv$R9TEVC6@r2ahQBS0Rsnkv)XzY2Y@AIPunFyli770q^&aOANJtCNA>qf0E-2~j zf_zF(h%YoRG*+z^2oMz?KUQ_XKLH&&;$rLfZ>OueQfb#V&8_(WdCWu(%j4ce!%+=E<~ki3>}xtO4yWTnGz#&*?OvHV;u(Rt{rpZQOP!fYF2 z{PgMvl&lz;h)@Q#R=_S}+TP$L$*GS)=nZPMD~TQ$gSTzC6fR&@b#?WJim9?*dt#8$ z2q`V!;13~&(Df5xPeUm~h+0i3%*Xj?*F_y``q-}*eGzV)9IoRQ-2RceMMYnJd!PYDYmkNTW4Az49lq^Y3Z*g() zk0}~#VY%<gna~OP4d>zg!#CjyrsZSgA24W$A;YgwG1CB;Ra3~6b<`aR0O73*U+aYJ8Cli3l3~C>q zF|_c6@pFeAIDoH5*cS%En+#9@TISy$W&1BRWxzKC3r@f&p7~$1O!7jxT?+Hhk{Ks> z&pkH+Z^DtJ9I4sq&09`k+9yHsA2uA%)-5l5TG)Di8&LJ2sL?NLH7}I8Q4s0S{kUPm zZb>V3IKb`k!tI%h?MX@!{kvvKOp(;ak}U_eJSlNSA0nS#cfLV!nPv~2zaU)ZU6->T zl%7c3`g~P)tfU48vbk;Du$^B?<@C&WbfQ>k5X7%@_%ERZLalaM6^&5$`hoV3_*#~ML zP%?b{aDtNZV-1uudb={)cgo5E%uz|ZgziltDxDzd{Q`;;tvqd7@$8d0euI)9Y-*k? zq5(F*ATG^n*N1Ts>l7H!(6xF>OZRuZ*?j=$zAl{EA#45W&)3^aEV(}8R*5UH{xG{Y zi&4<(t^IRXCewpiqu$WxEJmJh=J>n}LBsj`b2!xGYy>tN1l|4`!fA!p$aqxib0D8( zZLZDaj?w2Kzsx6ysck?11B$V#U~CmuUpbE{Qk;xuGX}5QkeghkzUyJkBqjDUK`P7O zr)<~qYW(FwUpCF$&w1Ya*z;?;p6k7%o*;|W8!vK-Vw#8(Az^hjjO^=74 z!8{B22caI~ON0i;c2n>izv!s!SI>=ams(Sqbu#v~_idA4-#Zwm&|d=4Kt=8A{;%7Q zB#J#Nl>s*Zbc*lzMZg2Q6xCX8r(bM#3Q}!_kOqoZ=%?Na=v((#WhmWX8{Wv^Jp4U3 zha{R*M;yRr?o$|}U70C=%m*0i3oz+;R|3ir)mFp%jZhKHKIf?TqL-DI*AX56_0UK5 zX-|cEkr-g9ibrAkcN@_6)yw{z2y5;&O!UAg*gwP!S~mV|nppfeY2&>cTk4v|*S)&! z(R?MmPNpyaeA_AmsmvR4@Qv9EF4OA)R zx3UzF9>d7shv(Gv>Pm7dBQb?CJCz%OH2S?oVY2NQcb9k+kqYzA zBMa-p$jY3YcDk!-X{c%wR-Y4qlR$#3CoZHWGSm5Z!p-^_O09g5Jqt9VPmW#3beZ|N zn~r5yv!s^N+sNZZ7}f7~Lp(%f+ok@em>~^pc8_~AKgR}Vf7SNkl zK)^Q+6L=)3gRbZ+U>re)T5 zf;R4uxJwVoY)}Eib_oO%&yR4-%gTpq${dD>JVAj>@I*C0qW;7;9*lO1hwmxgY_$_36wQ`t>G&zuFT8Qp3=ua4Zc{@MrE z76$`V{BTOA)pnfpYzd^A6tKQg-MoQB_Q_I-YNO`A_@Q4>=7b%CEdWMPAm1@$*mXJ{ zEKK&(34(T?tb*=oXe6hk5P&r07}rDbbmRK*$cb8|z!$iV!`T4&nXuYIJ$SI1fKxIT z+zpvW{@bh5B6^RLRce8mflyVE0wN@COcDlE(5S{qjfXObz@%{nK+2~i3yQU1(CPCq z`XAaZyapvEPs}IU&E(HACQQRrUE&V(+tFRW>nj$LX_BlhpR&wI>$$$at$AG-TSd^Y zo?$Xj97iXR@TpzVGr+Nk6MQf0eScd%aq@FXbh;;7*k2L7>M01=F``i9djq^;4w4$c z0&;v7y~3&#Dx2}D6)TSSXgQ~`l3mv?xcKml*rK96obgTZo{9r?)ewzst3 z0L&>1?NX*^8bkCo8D?A)3MJMO_Hw_k%%#`aO-xZNrL;YREvnVO&uEZF=lN9?&MD*pev)K8n`#>lbo$VvP?;Zb?>UiMTAPv47t?kIC=b$5d4 zkQz;af?To@CkHxx@Fs1llUg zsaux+*-Eb|BzidiGBwvlIueDr*^=;<>}ehak5SiYJk~xtFW~#xLbDMu95|u<-~n0E zjQHr_;9Oz(g?+7f$ew<3n=Vu~1?B#tBzSyQOpjBw*3|Q9e6Rbu8Fl{B$?uBerFoVC z*%rYAGV%UpSOW^uIzv4VOV#vP!2UO)J#USx)m-*9%`eldf}o5^aaw{<4-*_ZVE`R$ zIe(nECvCJM(bzW58KD|rLdop2c4LJ!@o->rt+J<+Sq z6bV{)75LI`nNi8c&wz~skIDdZr~FFzlgv6nKkX`IEjAJI%7{;y75(sc^46lb95gZ7 z4IP+)Cc7eL0*%f_-5l~ppiwBRor7838&TK7lmkX_4{9`#m&*2oAD(~TEz@n5UfZI? zUtyufa!y3!!DT2BYY!xFs4Jfg;V|r8`B?xtDJvGSft~H=MjuR9!#Y1o-|ZSQjs|V{ zUhe1>QppsXT_yhw86>7HXZw^prdJoeL2LdAT`W+|>-9qoDZGUKKk%v0O~6Yt4)DB1 z8;VGfUc)sqU)&?9S}3Wr33fjE-aV+m*t|ABj+K|VHM2w+g7-iz(DD<8&}y=ZEI=U7aPNKq3km#bdvFK+NUGnvxS@ z*A^^}HHR?QdUKS0Z)iU+E}={O?qeXH*ihaCZi#nI@#C9t2%B>eC6GR*#BIm zgtB)3D*^Y%ARw5cnRUd1}FPSl~2& zf7-%pHZ|%8R0s{5)th1h{D>}55p3H{9znRBG^*g@^+>IBAQ$AEQ^YAUHLU=6_!cxw zlbx{kRDJb*q602hAVYPV*Z>{jc07b=lfonfGQpwe~f;9)~ob^ zunH3BhXS?44hGAM<)*Ptx)Bz4OP$*t=Z6WF+X$Vi%dYU!F+>X8i=^Cb&ZnDhgggDUnuW_W*lJM^E+4u(IylY zsiQHrB!BF>?$>p~2Lv~2ITKTN)ELw6eFKW0#KB4!62!R!e2Bv-vkuY3&12)UlCBg< z;iq%Q$x5of03QU&(##`5;5Rrn`z7NUi*%+}`R?rV3N`DDO%uafpIGlis6z=o(48Le z`e)_efC2+t=Us$SlWUvrm^OhV03&jgr-(;I@Eb~*2 z1)w0x9_^73{YF@`jMml?-Li zM?O(4c<@d`D{(x21?kP=ZqU$9ym5G6r8n~X#HFAB}M|;nFEYU z&S}CO1fWF_LU|0}0l7;?(KkIeKsiMA7}$X6^;5FU)562l>Oq2H7JACma2@33|XV=QFo$nwfq$B_A za$`h`RKWUu{E78n-vx_8go$mcx37$P?OF{x_3I%)Q>MZPEmu?+3O*PJ=zQv+c42va z$HrP47uv}^>9Opv5$5n#TSGrg>M<{E1B!BnIR%a`kZZTq!7&5|9eH{&s#C(frm{m2 ziuF?Q%7{bKz_5#`zS^hY$^Z3YJ>&Zm(iij4+ZGV4($bH_&-c29@<7U!B&Y0iW|y4Q&C*PAw#kzSf}dl3*E z{O8>wF|zrq+xhbkk3UMOiZXSXi~;8Mrxuu?Y5!;e!>3&bP-q~m!tV}$oUf@p+RWEN zJlLTNuBBG){hJ?v=GDkwcgHOjnyAK4g@bHUYicQh|5W5i8G?7ay3CA{#R>e9` zy(qXx!RR&=a<$dld`g5};k>xbg`C8u8K|2eSl8#=XpU5-lfH1nh5I zeIs`nV1|>;cY7Md*3NYPDh}o2Qj^b#^NQbbWjJGE!a5PK&?$rpAy}CB96EMi%)V`k zRCl}fKqli5CigjXIJ}|cG3D#q$an$K-_J(Y4;3vyJ{$_B7*w-J>$2#rr0_9iPva~_ zA)o*)?3R}RkM$b~Z}IBi5qZe6!n2jFW$$BtX1!xwdm-LMN}zUXL=&MMTVZmjdFRd* z3j5;3$|$vUz87qozZD7YQ@r?us9>)ZWp}eg>Eb78HL~?A1*R_Wc4~Uwn0}7813g#V z1$`h-^`D6B2KoOn5klJ52!Uyrz+d^K>PvmA70EK`BP#1`S_h_c}$jhKq% zF+Blsj=u;a{iW*&?R3s0BoNV7Hci+$1L19*-_DWYY3$iKhT6j{M;U=puo!{EW1b*m znpSHScF(jhDs@<&7#bQn1#<^PZ+&>j(tdG`Mzu&F#{t*j=)lff)xER)y`r;v9z!th z&X~WD{2|`B{*^=WM&h&ujePEHJ#RP0p|@7NeEj?po@hh23jYvQvh&!hWh%$Xe1!jIwRiglms9YB+6pR7as2JWGzU~_0h zjCy7QPBuj+&N>HJkK0zdo*;0{xej*`L(x+WWagzU2$G~-oe$EOwNv-c*($e)sVx}@xSjUf+ zVwEuY_*QDe1mQXmt_W!~Kq{sz`=tgvPBc&1BoaNlky;oUp%&Sm-d2ZILqIf&RgMy{@LblPbO z)q}NTXLom`LK||GrzPuwid?q+FKbslQO7%~N$9 zOr0jj(csO-ZD5D4H?n{tYF=yL%v_+PSwrSC;9?!baUfJ#mm%Ep+bXv6SCu$H8$TOaD$Kwbls(16G_E1LpKF}Rfjm_?9jN1! zW5Rf(tD3eK4NuFn0vmhtqd3c_olZ>hvf)Ax&no?ym3UC0F9wJzR9LA1Z|ws;UK3#} z-1>_qd_Y?P*f@=$5{&6#yI1uK=HJF(sQ819ek1I3-TLDKKhhBO1Ik|*64f(&go5)Z z|LbLBOVk1Eh22>4eAL{Eh@_3$jXm+M^1e`g&7E3C(2yk8TAG0uIcIvOK(i`C|9RbO zYmAvnW`MnXB9Y2PP8AAvtaDKa`5w(NmeZu?!~J<(h@9w$&??(kPH<{4&2|qS9}zED zPq;vOfgPk+R~Sc}V6whx_JRk-VZhxp1_U1xBsB=HMO?L5oIo!mznlz>+HmIew52A; zdPgj_?`8%h_@;LiY>NmGx_Cj~fIedH7^CzaN1tc6;L5v6!eC6)lKeeU?R|!4e>oE) zV1NvWHCQfs;nY>P!2>SCPQxU4O+nq~v4{+&8E09~dA-a9pAL$LFoFK8`zU=9N2S1LvszqXn1)JEl8e zl;N5PeqdeRu&&v@-gE43^f7t~22+YH!7z}zm*X^MLXxC-r=_coJ2G&&XH8iFun*<* zjqE+0!X|UaSo5z4{TLe@>-?9K_c0wU?I#dWB2DT|@ zP~R#V(J&4#pp#YC?z}^@>3teT;oB{@3`IV*r*b`L-+&*M)6=?Ks$SfTU(DlfN&D~{ zwRjI$(E9vvPWcek|6Oq2wJn7;B>-Er?l!wx-WVG2ReQc>>mObi3eqTI65jaV6VAg( zWDHR-eD{6n_A|y@;mT_h1rL830gR!mp4#))*)KBATd;mH3Al5+kKKM|BipmzGFjM2 z{V;PfExgNsl?r7=p<6Zt=->4-|mn)u>=25n}23(x6`L@Qp5YIWuHa5Lsg$+HBTf!DMxhs&6~ z#?8Ms)RE>LJwGnS-;MH|?MXVbOc8Uh-~H#8Q<}!^kP3v3%N*ySMTV)@$J2(O`Wq!H zqD^^8n_1$wZc?i2Irmjw;8Z4C|7vmZnUcD}G22Vb zZcX#_?@f`CEuG(?t941**OtDI(dJWY2p={Jt=VXlj=fdQ zL5|}tst>I>p+s6=s6W}#=fCE1gEX!1SnrU->!(e9Jw1jXdWQ(0Q%7s~Q64pI?b)yO zPJaHWb1e}qWZ1#g1f5Up*$#|zJN2*JjB49<&CPjfsje@GXA9)f1wsXqBVcuA=+p<_ z&4T}s_HX;lXSv!Kt}s-~t_;SNP=BZO0;?}4xqn^zTpviEBL zoqbJf=s$4t35`~JvHz5M+ryVJtu@gNYL~8kNHl5K(uTV8{Rq_Q4y65-)FE}n?UiZL zJaaMr>N_QBh4scVmv}=bkA{8d@uCVez9SMs5RIAsCNxl=(QxCPQW&~%W{HZ5{vxl3 zp&h)lmHbe?l?7YEL532^(J{lwG@AB%Bq5Lly&mrp$kPnrIOY&B0D>#jTToXLpw8Mj zp>Z?~6xBhtCc@0kR3)uvr_)Ll9@(|S{GU2zi|2$3=4rdi6;st=f-uYz<=U#AzLb z1E+NbbdHC1Tp0l4*=ZoCX;rzE%rk||f@KD1m5|cgLgYffl+y9E@G5A;puSNU{x&cQ zVj>#;>*`Mxqh4s#j>+7Af*HE@kE$!tDdCORvnkP@VqskjUVnY?%SQPKh)1%|X0sGZ zJFnQ^b57bSWUOF^$On8@q8jK090WP0aVLV!3}q^^B3X|sTzxr57ViA2Px7B@U9^%a zGMSDbDwro!_pnRvyXS{c>?aKg6j9+t#1UlHj+d=yt%f9Cc_{>byaimu`x094f}vR`23Xg47x}X zAg1rOCGhu+A`+R)gco&zFgsJ3vPr{F>Off_!{^lIsy*Em^4dF;{d`*RU->iP_MXk* z&NV@DJ&ne5_PaOccZ_^8e^d5)Z?3PGAE**{tk$=mw6<7r839qakN9(_ydpGpD;%$( zQ-ns{-P7Vr!(T{JncYO2V5sD_&`BYhIz`an1kvuIRi&e`v>pjri5Wcs#&zwo`p?Js z&<@u!&SKZNKbW%H0 z2C1?}vt6nBD(zT&FIk>*&m+PO)au!iw(Q%^o3uaVK1rs)=|5Me7uH-Q36G4gqUZM^wDMB06h!Yeq!u>cmCSwtT9Vv`;A(%|1PiGB zBNiW6u#g6yU^-UV&^H}@Ua(9?*C~G#qnzH`tuW;L-S`#~kB>B1qN{B@s0s){&`k(R zbU8BUew9-!s)0t7kiG58?+TRG>$Opo_(?fIFE*lKKns*gw$iENI z#hDp0MK&65Xk(#!wt-9rX4oiB*{~asP|XJf68Tj;cBQDw^UAGQfqh^p_eqO-Jnu_V zMYV9%@c2)x*6-f@)*r&&{sbF4Py;SgJcQA6P1O=cR!4b$5wa;X@sY|s6z6;EvZmrC zdSxUN#jN+P?aCykznFY$sOLOdT2nJN{{Y0crQGSVPHivdE6r7MWu5lCNamCOu17ph zD*FBLoc^^1H6b5TCH_|LapyELYHmRnDV}owH4SW%u9SD2fWZo6lSkbduJ#nME}x7% z%}}b=9j)dvpj=F;RGt)Mi``j) z(E~7bM>UzM^XG5q+*8ZsXyavjAXOA;go)k6c(2){#yI2@bsi2 z3g<}dCBi+ZN?5u`OoEo(ere8#=X#OA-=ETlUH8vF{RESEO-yx(u!^!jEw_nlBJZA+ z$#5KKtIz-oJOsvzk0P~^*DoO-WM&uFxW@~?@AOAlXSl_M_Eu7_%U=A>saVPPI5*y(!3ekj zoYs%A;nuyrQnbMNyysE_i z?o?EFdl7~F&gXUqI7K93}J5K9g4P$#vCH*{!dWSo&m6E1=QVQ_>KepaGkm|mV|NkIIiZqmw z(I(j=BU@3*$R;x(PGlW3A)-Ypd%GlikL;16?0vFH9L}-I%>2DRy6*e;``zE~U)LYk zb$7=(pZDkWem$Sh$Me%dwBu2-e|6J3?Z*S;rX{lVo9*Ap zo0Mv2Hb=Mn8*}UvYB58o=~c$#A8%NvuEmQ!j9;Se$nS6oJ$SWvTR5Ns5Gs2`3ttka zz)BLEYTYM3UgcbPre{RMY8bNaaVI^FBada%`&BHb#PKzdq({AQ)JUw3USZGVK;0=Z zXnEVQXK_||MnJyclBRr`>=RRc<1_W`G52e~GHWO~vs%I1MOkc+`prWFp6Fk?6k?!Tt znQjkK3^@X79#~|42>P7%GMq_hxtbm<>3oB3<{udIlyjE*!M-K<=zSjf7w+V6Q!d># zL)IVeUM07&g_;R!A&Qw%qP-Jbl;ZFI2`pa&hwPAZw+b>Qu1djqQS-PUc~Ov=PJ}rX zvb&MH`(n_pqIEmLVqCZ)Gs{PhTSwzSu#CRTt->6GPijJg;2>(-_3=V?0Wi8D2r4iG zt-}fV@@f~(+)6=QDAlxE`nA1*pk22TuKFfbTlfE_%! zavABZG=fXn(^}Vq4~llq*4;%jzJ8eN}MMU>)I4ymy&mc^fmINXy;zxOV<+Q@-cCsc%Dxw13@UOLtxBn*?s&2b$fTMV2^O& zXO`XEwIsZM&XWB*PVO5YJeETOa)oi2MT8%CA6a_&pKJ%xtxqnX?VvYwi&~6wS zXiCACX;HT=jO`zZV6!Gtqf9Mb^mpb!^ecr=fQ&u}16bbJr7d`gqqz?&RjOC_c6@(G zbQUKjl9^r~?z@~uZT8imgOChd0f8fMc^lgGInuanpA{-~B$_dx>rm^)r7%fh<#U?8 zUUcVAmsc0jZW;DtlC00ZfjT>=w#-ke0Iw_fmBBmfHk%LKH>gMOvTd|bFJvX0`f;nG zq1Wz5NrGN&1t|z<0n&pi1Y`{DgfVuXJib@!^o!|kf;)SOfN;0Dcc=(`!NJlteMKJb zD28ZS^0T7~NwNQWZO8rgVvnHeGn>;M29X~Ic51{8sJy>G^=&Bg3AAe`MvegVw3o{m z`q)uQG8$6ml(>Xd&p6bWkxcnQ?%p(#OQtmajD^@MQcCqmA}@T-2HHxTE1XLR1Ot2| zy=N~o7MLDItJkcvliCuB)BcD;Vd^so=^jQ4NRctHp4Y?lbh8PYK4sjfK*0x-rv>o` z@RKDy&`u|S%-=(l*LsogiA=4PkgnV=U)Xz-(|1iNq$9W%pqT!8auGp|gk(r=&5i_m zH)UYqI7o9q!S#xAJCz3AHovO2;we2`5Q&S?>#TF(@d#T%Y-NNet-;DJ zGd)ELUwcnUfJGA$%J}}*HDkY7{=*%$=lx60f>}=htX*Q8vxUQYTcf+%>?j{Omn9lg z8hwq{&&ig(uJ#x3H6XUMcxw^0|BQeU?63rbtb>z0R>_IGxUWdQc#I~tzYQXmw)bFE zDXSqssSVs?!x`yN9svY>2cbUXU?L-njnPKPjl^wrbCD8ID8B}Yi`o?V>wISrnaa%0 z#*xm2DW7J0UE!cjp&%6?vk8~yH&e*eb_6dz_&x?Rq>kz>2!d*W5D$yXKeIAA zY4aHy5Wm*hS_?K@2(aNThh@h_MAjh~Ww+y89~^^h9Xc2^^Y4|isqTMJ=X;9uD_Bom z;rat1+$TUBKx*N%Gz_@J%?fmui(BiBG7a$Bfc6&1_)8hg01wZ;RRoW4hUzdX z8_1UdP@((|h3=~>U!hVgFzA^Sr09bRLiDv?6y0mF*&}CI+!LJwf{F2J1XDi0Uq;lU z-YSxSPr{AfSN8i$_?#VePWY4;z<%J-MmKczp&giRgg93gA>R#8DdUA?eWwyNks0TH z8Ly2ZrGAd{Qp=Pbf+iU_{ADn?cRKZ_W{MXEM9Z~8WNL?kix$$$7?2n!5+f-&D5K_X zoI4s{eFdUguwCAVple>}iFF~UMhSii8|iA1JmRr0?U263u`Q$YnaP40{~Wd8m|&;t z&!@_kuc}{oVi}?>!}|QHWP)D@gDl~!vm0IELdzny;yXs#+ZHoQrsj-k%YKD)1>>K6|&iAqFpDVc@&hCKgtIpFBi zj+^q{Q2tq(>1d|q`ft>S65RnnhPrmeQk3yLU$z@^)S zA7#DQLZONSERuB(Tw)Ho3slW@lF9A?1odDYVw0REccwk{_S?N19m$iWP!JJk$+l=x zTYT>rVs$lD*o;=a*jewwyI;8fsymM87|<|s?+j^vYvnP(kXm|np~ z%M5&=@qZ$WW!`-g^Q%m`84*6cbc2bY=V0vfLy_#J?Fx{vzz^s`<_UYmhS%&1m!(1P z){}R@?@a66Zf~7{tz2b+;c4)a0A3BDPhs;h=~5v*u@Yp`eI0jFv0OU6m2iQ4kiKtb z%92vl6rT0eN&H*eo*$W0#?8;I%cPq2b6!fEG9>VElNz0@zz>ZsSGU%Gtr+ z_?XvS&ZwcJFkg*}(a8{3yYTKj8}(%ESgh>_Zh5?XDJE<_w`eu5$kfTniMsedk>kCW z5=Ln9QU7^)FI(P-dH|AACr09S(cUR(@6~>*{5{(S+|h0tKZ9&hYJE<6`Y*v2=IN&& z?lOxBEpDC4F2*F;98FCS@R{;rT)(8nm*sn5rQ?8zs(GI=F1OM}FF~>=fZ@Q?x5$&a zUa-dm0{(q-ePMU!AyO*?eI?@Q{dgk7qJ zcmZ0f*a^1SfuyCR9deM$(2Sw2cIqr@$aGSO*n%PWHEYrb?{cQ@@86$&dC6c7U!?gh z*om5>O_ncqsG$t)X@wPRLQckXsGai11RI(pDU|oUTOg5^rk>R>9H3l?VuD8j{)@Gi zY0M4aci>azO|Ti6fBK>9wZa7e7Ua!vj|9(D=)S%TE4so@1C}^Jsi-(_fa!w~R45d;0Z^KVdx5@pU1n;1DbV~V4T6$Tz~wh57zu+4T3v;h zLY^%W%o6dBe&0Z9E8Um!piu+eNFY9d=`Q_!bDxlz8S51KTl-*~!&^)YOtfK zuQJdHigNEw2(TMA3o@6|DYQ1zxm_5%Wmp*3Lm6oMQ!2_KhTn`F?YDp)IB!Hf>)2xe z4u$b_Hf(a@?#z7t^N0;KoFQVVZmdcrcN#-%0qkUKA~MMK@(aotYKat}0Edua;V4ml?IBd>+1h3;OsEH>-&`?1# zAU(Y3io4)=GMDu?uy36Yp#KFBMEDp=U91}n@K@6ubT}Myq*`@ITlPFeA$fmn#Qeng zh9-mS6R%!@U4C}V;|QR|nnTnHUaaQOMqJs(mx=Ywu=75z{yLE@i*GK65 z4OG(~Cr8&Yi0Q&e63Ml2^>fhsj(iUT$P?soDZ zMq?|6>`nFeh)XZOY(iohZN7n0+IV*i7*lWq_iXDSouV2m<_i%RGEO3juruV@fJEA! z!SnW8StFq&Thqk%F#;0oHrG+Y2xyET3DZD;KbtI6W8~s#_43M*D@UJ?LHX!0pEKv7 zW}_i_32XnwCvL9mtx3;$tm%ikaWska`Is7Hp+tFf8CF>tsW;qsN|InBdFN%BVNTXP zIqP9&PA3&|`*Q1E;XrAO!D~Yqt8Z70>Sw74%8j>knM!UqGr#?mz1`V*o_R3e*6frF z#QJn!yuk!#B!YaLq zJ3DJNuc0LUN2d}yr8l-eI#T5#j8B^|gEe<^g;w54wpU zRw`EIJ#fbJkr>Yi7ipXou1?ET-1IXXPzWku?y^=_ICdo-S_C1uGF)ox)GA`Un9yqZ z3%(j;2!sPz0aPIaJ{8HahAl93?`aQ+kX74f2til5q?L#XpXg@pU`}kfD?lisqo>FP zExr`j)z$UGVnLx$h|=#?uZ+-OCG--5T3mL|Q2SDjdGoADqn4;&BqjdOo$5zr*n~Ed zG#N0tjp!jM+WUL*rJ=yL#SLsRP#h-(|FeG9X7^Mjip~1s=CVl-3-3>}*_IuD9!&@M z2d86}l17nSHK5Kp<8Wl0cGaN=m7nKTe{54a^9DUc&6R*i>1pX~Cgl=O7rG(ne^S~& ztr~7?SK?0|-SA2x=)h{Nk!X2L)X|xBMkr^ZmUf?)<+Ld~R9BnO3O)a8NL;-Ae<38n zrmZ*}DmTHk$6KGi;vco`4hIB)9jiBaKSeg}`;Qj@t(}eV8UW`HxB+MqBj-p!0DuiC zLNC$=U8-CcO|ITQp?a~n_Sw89uqdrLTF=>JJDZ++nZe}J%4KsR!B#!>ek&57ZxzzBq&K|oSFnd$7%+gCuR%tP3H2H;I7bzr^J>XNa9gC!~B zk=kbgWss~6)0uemwC#7(-TiUfy%q`m);zs<=-aM!mEkCA5X1Xg7KW)DwseK?siAls zcso%4#vM(APd6`7@+arC9JJu)REZ0UVxpE52^w=;IIO z$s|dZlRTPfM-Q0#&wX;4u?n4C^d_=A{JWXsXGTM&j9`58{RAwFU+@Y;5Z>zbySjt1 z7Ec)u2c~=mH2T`_XsCjDo(1E4SLPeT`JE&=eZ7NGd>@m@Vlc}zLpOLVkhHp_kfsGXO*{_mjLC?+Clj)lJ#D%9x%aHH$Ocr_&~fm)$j_MAb98 zb&e^}a$AR|GTV))H3pkU1C|Ed+BtXNlXyj47LKEkPhlC4bYgR3uli`GxF|6&+Ij1| zbudF;DZZ6D-2G>c8sF|1geUlX3e=2mD&Br-QogyCAr`K>~?| zav7qMynd?3xGam&haX1uK8pe9zcTue2cRCbLn*nz*uIX^IDi*!)l3DB&ObX7gL|%C zr_ec2Y+3HxRiLgcFtq|Z$u|mtEH9IEiKseY>>Iora$CG z!IPJK)!!|0dlR`I2yNnrhiMT&TbCspkGc%nqG9=X_bDdiOMxeN>g!=AZ{+>)LH~~p zQCObsbw@d{R>Fsy_{nrC)SSgK zOh2BEQ;n;|K){FukLk`%5)q@qVs${YJf%kMs z&Low{V9s{8L&v7|hZuayjp$X~&H7nkd{TH7T%A`MXgMvTdfnq~Z=E}ea+ucEz|J+a zakkeOUm^IQ=Y0qhP6X+}v6T}@Zt8+bybCN0#zL`tC1ntD-KYDCS| z0KYpXn_l6R)=h&WWN2E}9wy3)B+`lu8XUNhl+#}spg{~f&@y)0&v5lDWCz$@M~Jls zc5IAf2;fa$BpfqC-U~0>y5NV7%@F-YeDn(~-Q|L-*HLeW2NAC8V6)i^E1(^NrJJ88 z9BxGmQ$s-PlF!}4!-In_QK5&k?KQDKeHZhB%pHd-KA3}6P@)X|pjJ2m&?clV0>T08H^Qg9Ae-j2R(-E3y-)CRC(Fpa?f(Q7ugCe9+Z zioQGO?Iwi?9`_0t2!Mjc_oFdx9%4pDn~;x@N}w7 zE@W)8+7R&*c5f}k%R)R}^p>B6pY?TVNtz5M#q8UP^$wKSfF(NKB1(jjn<4T(l7hFW zSZ7k1V^oKE&y7s;drcm_9yGLvbL*4WisH>Ei60+fm~;@a+|vPv50NWVaY))82-SsYIdwH1p5yS3&)*ma*>KgKW&ley3uJ znqH78691vtku0QTo?VJIeBCsZo|d*qOkDbNd*V9J=}35@`Dnt~e|m+Q^#IFx;IPf$ ze`31_{ttOOT#mgSkT$Y{QCFb`7v8#t7aqY=&r9kCEStrfbk_@$Zs1%h1$#O)qQ|+$ zHzVXJyo45ybjv$N`M@6Jf16K}n^JUJLJCWLfrlcc|Bk7DgDNMbbY+R=M62X4!>jP;H61h zVtIPzy>ZS@`npa2%`^|Q6ia|$|5IGtdz+*Sz)XuLVGV4S3cg2JanLmbWtS)`#MQfZ zTXW)M&e>J%mEol3vS7;GBzoJh1NN#~CRqa(xOA&Q?JH7nbSMXjh>AwsOU2FOT8d>_ z3`nD})W4$Bf4Zq7vCqhQ^#HNGz5pv3fv0$(e>aQ*%xRuccD<=iuf7!_FN7b5JNH*~b-%> z|5qe!Ajs^x17%gIyN5xu+Q$WlbC;WeCj?S9Ri_M1rdCp-__i`qSyghGh;9lQT(4QP zuZA-l-WF<#u;EEQQ7ycr=k*KkbDKiRj@MuKA+3k-bqgcs_)Ooz@ZKL~TX7bNTKG+{ zWRx@PQn5ce3?CmGFGTW?YZ)aaCO4}fqDu#ovc(FKW=!ld%n0XWm~4a_oYpl7kTvZp zKFO)k3D}AGz0yMGkxRrJRo69^B(8 z1dTgwH7j~HyVP-Nc8~Z=HJL>zltdoC{Bn0*ns`v|n0%WOL|}jasZ<))F>sr9Pb3p- z3?lp%SEo?Qj$*Upof*)Pcmz;1SY&aIK~Mp@fODo6E8RwDJh>HJoS>hK-gJ9VXoJxMus@_aeh-Ld1)&?Es2!bvYA*qGPYOkpcufT-y42Y%W7dq}GgtESYt$aO=%^xbq zXI4fJaNqg_VC;i)`0gIm7bRuDdljSp?G!eoNjlF~MDJ2WbLm{kVC)BRFzrP3Xr%v~ zYXs}R!Ckh>>2>kO7Dw#r9YTOyDaPjXpr1OA@Zdb?M!4=3sgbip(L{{1hu8@nT971+ zPkn1C?jyGfiM(|I+tMUY#USqL5&2iTA_Lmr(6e~LM6-OB#f>1BKMwVa)X8M6N{`rrKTRf#;0 zX5$n_Xu8E1d*fp3`={zCL18g1Ho8|sLU=GcH`kRZ1so{Wsl~i2vi%o$V(2BBu_slXnA%~O26=PiUu`ICe1&NAE1OcNGZu5*V$_=#l87n|0}Hf3qHd-&N$!? zpw!UTtL`_c8W#bXcaS6A?F1Ds>Am6^mVkDdo1e$}w7dkl z0Msf`OzTl6le9s%>DXN3eI7Dzf9L$Bs#;}MmDzU2yMXn<>)fWFSIeX$Tg6lFiOTd)zgbM1JG7zzdyT4R=v}9* zdPVLMW5JzeQI$3FOxfv&Pn!5j6@B>d|GM(Uj>7fm^C_=TxOO9GlxrLtMk!DrJm^@2l7Ca2;n#+jmxZyLT)i<+YZ{%>n7g=F8vdcD!Nv zh{}n3x0EutS`Supwr9WBIe(kB#Yg^>+0qX*~`fZeqHi z7XNB=d)^iCxs+4-DVd(+i`ngUDLN#wmWio3tsOVf4@U2KQ2g=UNTdk%1bXj7!p0Wi zOX0dbT8_JfT3P-U0PRh%Z_nREv{o}pvPH(p&}(weG79!UH}`u0K>KbLQ8J%{9U>t= z-KYew{T!N>#@9wBXl97t^v{32wJUqU)yygIjs)ViEi-yQ04$qcy|=B1}pyh#LwX@;Z#pQKre#pbdZsejh||6?28 zG6Crpo+6p(rw8k@PEtts?vnRP)B@Ydar%80v$NNAS|Mk%(Pb0ML8>P1-*r+gycw%{ z6yqJUFX%S69z;H$*o6Q=9$0+Q3=5J6;c@WliyNQHi4yhFqbrOtyR1TYy=hVq)qKGw zLW^|t_df@EaFfty0C>jtGc~#bAHodOdbEff8AI*fsEN^#lfnm^Vz;>Q5MCRG?Y$i@ z<0);zQzSe~vd#ZVABNc=&wl(Ct6qr1gN-H#7*6V1A!6)|v~ z8unVN-kh(OS{nio8=zoj1%JYnT5IGw{1hO3y{-8hjEjrUCfY3v^@?qd`!v*u{L8=Ep58==O##@eAHl-gneVvlaZA5M#q&@WQdq@4ns^9|pPuq>P zCMq-EM`00B^lK}NxnE$3R%`9rG$q`TF$k1iU$|^H^bEB+rm-C*>-CeHi;31HR@(D% zbt@5`Y(_gl^;0DUrO{1^36k$^H?hQk(D99Fsaz_xB#tj{oag>ELmc=YJJ1oZ15pVG zFC{Z;@yO9zasrtmA|1q6-onh_#Ru;sY>}Ly2+J7x;mq=TnK8wd9%LpA${ZG!2et9a z_n8C{5qk5KB%8h*EiNrBq68_MBlsHOw?v6BUVgwR4cCB*2PKx| z>AkvKRbW_?XoZD1YPQP9;(3u;u>X!(T>hK#Kl!rj4IO;wck`buKu;*b6}pxh?{Q%7 zMhDlb^b7A?&xx+UrE7K7g$2kGvf6Bj)=C|b|4^;dr0xlH*9@9BnU>9aG{g)_h>N-I za9Mbn2V5E9Md%;REs#`Xl~_OkMj)A1@rHyN6lR^SUaMLtlXeV3p(`l)taO8zNv3t5 z2q=*Y=1p0|-;>Sxz(5vNOVRjNR)pr_!3-z)cqP+>EUja-_*PU7!|+(Ka6CTdR2eCVyU~i6I+s*oXXSj_?PQdR zS58xIQ_}BR^{~iAOlYlqoyZlIj>nE@GVeFXSrKhfk;mdw3u-?r3CAFgR zuhr}Ck-0ypZ-2@i&4=HR^Jq7ZD{3+L!)9h)?4!@$od9+HdBZ8&iqAN1M>Nj&= zj0+8Yp}>R{qo{?>1+XLm+%{@O!dAnEb*S4;`qR}b!yzytupjknjNiSui4#R zAVX^Yv_3@l!$=wnf8HxL^*d8s@2Ro7Wfu%Ad1&HA_7fKtl%ONXr>VRe98!AucPSCH z0v^erl7ufzyYwcvPt$^# zq{8-$;`l4Byr}v(@=`H*&)Boa*MnBXd1G(rGipGq2tGz=$JctQ2W=Lym5nG!c68Mr z=+#)->FhF({RklKXhAnKaalIRe-x0paP-Vf#$NGy?RhGck6(;U!kLq|YFPvAYD2~< zkGZwl&-EPUe{;Qb=V{7(I*nguMY$a)V4g4kvJVR2IW) z;lmfYz2XwIbucq?Hjfo8F{AhgIm%pLUs2x#BdOlS5gU6w?h{hX)#U|r_g;N?6$_I+ zc*)SW65<0oQrQY1z`bSAzq7e~s|U%WgUmQnjZ*}+F!xBDv?dFK_Kysy5|smWKltus z{$?&X-DBAP*Ea|zHuMFHH4L0@-S9z6cqpy+u|LvQyLli-sA8)yV>!a~f9AMB=8a`;GlQq{_)6T2Mz_W4? zDMd}6J-3y_85RMtjrMFnIX#t+vUoQ1HjZ?YNT;9l^?%Uv$p!TOxNtN4mg)%7YvO9& z?bQym87lJ&3yrW{qd*{^^v2bPTuXS=z`zznW`rwx16Cw|_hpa?Kxq;UuuLUG4l?jw z#bPrL9-g^aD~%fOPKBCNuZ+=@xaHNiLm?cCQ;PuNlW*SW;rn4;ru;4TI9Vd|>`zB= zfXU~E8o+A8=Y`Ae=+4~e5ZP5o0>O+>4ZuwDG?qNH)KvD`fkjXrYUk4X`g9hMeQ7Lq zu>3*Nu2Zu;T9iYMOKjcXi1R=Bu(C6I6Tp{o!arUKwaIdySTbB+iKkAXG@B6!dpEuP z1<~@;7_K1FCCN#SYPuh|xB&kmSV?4g*?Mdf)&rT}5AW#si!=f&X3&pLW-ITVW8QLN zAB!&MwMtk^3~N48qvOABZIz&Hpb7CNIHug$rt6fxFMt&~3=7to`H>dO!jXlS9yk1* z%;^7JZtgOGi~U*MEp?cWD7e+V#CoZ|!^6k-Y|K;GRzu1LoM_6H)|TLXxeJ0Z;sYeO z0jws;^q4^xcS8G>r2@2@5H<;A2My7tLdt_D+?Z<4_Fnj48Si_Kksll<6)w4uMMTTK zDSyJ_kAiQEPDBMBr(yn7d#PsvaxrG8Gk~j3W^}j3N_v9aWL13joy{Uz8*XEd!a(5J zw%fkn*HA(t5+#%K;I7j$2;G-YspwFyWt0&c|?omrF~nem8dm7~2rm!uGzp3Ljba0;>n- zG+9IeV=0>fCd5;rrcs)UXQ6PIAQ(^g-<5{%?y2o^$=trL(npm zcn{)5M4OMC?F5nR#AfE^rIsN;DdcjW-DGY%+t`W+xP(Q6Z&OwJc}kIE?Nv>`KrgS@ zZXr2%|5{U}Q~z(|r=jd$c*x%AK7JNj1?cXg6uhg@xQ2o_i>hQrGO4tLT9mkg8VZzU zMVe0#jsHM4+OK)KU@pW?RedR=+_Co?)Ko-R!$Y=&a*)^hMMobUL!VK!JKWW%b@gIz zsKLCtXcLV-U?mn>p>Z0q2agIx+H^I1Lb2h@i>&3%lLaR$x_rb%*G4?~P{1@&yC)tD z4h%>}%)x6E?z{Pj50`}t@G*IhwfsuE=~fzF{uqAN?$b)iw{^*}K)gX;$7r;N3;|@o z3xG40l0us;_?9v!XFk34I9-HblO)-P!`#IBIX4eqJZyQ7a3G@=E5ZpJnxt zo*)@el}84E=K>h$N4uM&uA?b@&BjQB4}d~Z@ntVLkqI|7q7sXS@r1` z3Fo7{%9eI78GfK4ubbOtmNsF}6PfO>`bK#XyKz#uZ2I@^ONAc0{uW*9?mIy}P9qf% zUk$#t1k2>{Nb}%d%flO+@+JH5ehJjMU&=ZhIBy1cUR~fAZsyMaP-E^f3=4b~ujS79 z;(3{>#;{3ptrUiTXJ(X5NhJV5o51_RXhs~g{chtb3!XyEI0H?L3_ z&{jfZFi!DGC`$-bL)hdo1}O?aL@w6t$8*F7?)fOhlN>?4EpWvQ$6NJ&FPx3G`J+9c zZep^qaaG@UUS_98i_5+47@d!>?7`Oky*?_ft?Nv~-R}){QHdR1kLGw2584MqrAK7X zmokgm#SYd(_=B>k(gb=_sS-8TwhG{r`o@t!lXylB7RQUrn;xbbaMu}`*#0biSya?> zdB(Bq6>3E6t-WnMY-XCisYg&r;jGMw&Z4EyD|l@{9=xrgd4sYh*}#5YDDkMX8n|U; zuW1O_4T(M6?xDGTo@&`u{o#{Edqs<5JqyP?+!WK>WvLDt@&#u?YYdzfBA&1IH;-6H z$+uPg8`DDDo8(@>n)wV&5}?)?_rXX}7I>pCSLdU3Yp9J2^CE5Dr>sQFBh zGOc4QJ|X3hapBy6&i!zz+ABTqZ%4LM0-ZNDsk9=`ZPBW;lmEN=KKs8e)_u@GC-(}b zDB#Y*KGQ9#BBUfe+O&0+{ITVmD2`qiK?PbZ!7{T|^_lt8QqnDo)&Wq$UOsCDD{B=O zF1FWKZ0F&_n-g5Pq?1*vKqvJx_8S~>Hq)KTyV?fX8iDS#b3%=Y!b9MSLTX{e35G0J zfA6gtAQcGQ{rrrq`HH}1&uoM1hDnDZpH%=8?Sg&+@i9-us;U zzk5@^Pv~<5SdlYeXsTRl%eNns-$Qb0?)Z>3Hzx4!l&dq7z5 zEiS%MT_O(sD0uE>8@04k)B;@*UF}H1437Aq^B}xN!~kqKjuj zhm5lWsJ0*+ss$G-$Mffn6VpT@xq7-T8^{@q_ZL&Aa2uXzM3MVW2@S&Uv3!4F zQH7QZ{%j#@8batopay1+qOrwW|8mIE3Fb>jDOl5HMnkJ}EnQhM|2_Erk2c4@&k~U6 zL2H2L^?gHsZ2wti>5D2t?+*l~bo4y00x{O9)v_UE;gUR+4Nw2)TT&*O?(~K216HjY zfm$1YfH4C%E!?mspl42E>9Xalp6Ua*j>El71{v$S^Y9BAMKdgdXrxl^A(ghB&6?el z;P<0I9{qZ0@)<%~h94rf`?BKBJfhVSa`0T8t%KkGkEliKs7y$Pxw3})GJpO2!r5@u z*D1rRr@*b;1$@OoPi6B$@#1h&B3$(AXix)9CpUQ@`rFicWK|GQBw1@oTvGAp+kLoN4GD?_Z@d%mM1F%M0X~KTp?lQSf;ov~k}>WOd6kZQNFzloRl~ zm*OP2-+VEBn+v*`N+Nr8Dp_c`IM!4HZWUGgRMWXE%qOUGdg~RZISMQo@PA0 z_d!K8zvH7Ow*3@%`w?MvOVa~d{XCU+dLI0-XW6*@@#70mE&sgy^3U4IMDrh`-)rEp zi16C|%pvUy?+1zGx)6(`HG#D=n{~yE)3v8z+!{S&oIq+_0DlS#uIF}6cF1{!5Z1)N zr#8k+;ZFZvi`MxgF~k36B+-8ayhgbF?ChD9Q!<3EG|ay3>IS;>oJ9a4<)OpkVrAhm$~ znQ2NPs|Cfxer?#*9LjzWA27muM*RkMw!$UdR2N*y)adtJc%|S&A08;&mQXax1y=}P zeR}Y;gtTP_ZnjCQDy8mVSH;N;@hv#-a{*a_a&+Srx*Uya1K?v*<$>*Lj(8fGY65NI-ViSntiIdSbD0yr&btsb{% z{(&eWeCz`IB71eW3!9{zv-J<$id(7IaPXkx)Q-{jNa-OlKi#Hj_I--Y#`k8e$qF*W zDYeoBwlK7j5uzRhs~>C^*A174>^QpLKsPRk{g6YWdrH?Q_wLPD!Jv>yR7KKgzu4Nr z?GF2nd#72h5KN-@l>2pWK%?a1lyFP+zwS7iy*tl(9!t1Vx1%L|SBy<>q}4@Wrpyl0 z)^-QMou`7zf+tMTCI_R&y!e#vqrpEsAX|IAH`b$2TaD1t^HL+pz3a8nrlDXxY= z(Gg`wpipxk<%`Ae+g;qy1sV*4!>a7m9F(Y@{-7H7 zLhaFyV@SpZF3gl)9c=U>R5U~*bPj>23r03Y#~mDxLI?|NZlhyjetoRjrj`8?$%nRO zEWl;44w5&+hWu_*ETj!bVq&9*Y8pQ=&a}o0*9o7qeN?G)7jWXk&4^a5Xv4 zxb+}OJ*~`6&r;Q|A3|rGTU^h_u&l@EM4b;6y-)6w^M;ex;m321a_JeXi*g;KDZUMj z;=NVh)>iUv1&zZPa;LjP?+sNbQ6UL`yS#=i{4bo0pN0Aa>imTRaMBV1!IHZ%2LT9OJv*Uziqmhrtg^jj+Hp2u;zv<(q+Zv>n-QN z0Q>^75Oljc3%m0h_UQY@po>m&5dn@hFLKW zm2Kq8A*@cO1G^tJ?mj+0P+u$9WTm(=$Cv|hdXLk1<4xJ&Kw`KK1jKd(uCrxWLBBSi zE!Ycxg1PS3yM(QMd+dBvO)IW~*bGApFt@VTJ6}KknbSTN&AfFxS#cat@?t*90fv6= zm_W2o&m8aLI$G`J2IC(Y0wp8zN=kuOURm4O<#bNLJBj`FOP1$X(bUA6k;qiC68UB# z*{Kymr4A^5f*l6md~j{O-_=%T(J$@=J*LAgJDJioK%w5G?RZdb?B{ul?nV)>$Njfy z1NI~AK<1=U7hfdK%#f*fKF##3*||U#wrN;61(S&J36sswejIJb>1v#JiB`ZN9aRW6 z@nQzXcp$WF9Rl7sIkHyqpP;wg|5Ef}fA4izA-zMnQ~Ll%deXS3nfrxAWl>sEIyPKS zCbwUxPo(dywHfU+tX?B1H5shC?)`DM34-F0q91wpw9?A(+}^PAi_P}6VakNYLrDJ+ z-zT^XSc9e(i6y~r_kH&*c1gFx30pro;x@gmCu`dZ5Mpyhf$|19*)oB=>H^ETPtk2# zcHpAmK5;VS2!Dq`p`8dp9xF+)$k{3kR_+ByLp566$4A8;4ymELWK_w6?y6&` z=&G;z))sAQ0vOp}FFD#@DOwGt#R;fN`%7}pOCr?4{<@H zFxwpBfAJCf8jor8QZ>DZP(F{Ilul{Po)#yNMb6QrT=dkM@6A)$J`_b&If~{FYM`nE z@zGQj|6+ayg^Bd3mK@p)1I2ALF7K%9SGPn}BSO?}J6yjfiR)4Ds5WuiL3rln37$`o zA0R_ucCCjuhQ5&9-!TF66rB>XF4C^c#Y;aBa_ij~V|EU-G-YP_eLBL|7Tgxg8D{Fr z#LMc`pM|dzaP`$QB7Z`L;D*py9mcE5m8)TbYnhE9_V<1V*hAY4*7t<~%cdj@!qH_( z4esEsa~t!e;>Y%JBemj$*a;)GX16!W)nEoiv`08~lWjCf2?r!`Cb##aY}J8J9z9>~b{_N(n`&8Imu^h;R9*Y=?8sTes(Focf7li7 zk)ILMuOX+~9vn)$PrOlR)#|!x4fsR7n#WRbc#>%yB41VyEFOBEr&g{O`Xj!15)h_F z6^2)&PTiGlS#V6~c%bG@p>;XQX$Y=AfA|+4dPLGczdD42s|`Uj(R^Y&asi$cVP}f~Z6?6? zIWc5kPs__mYqShM%E1okQk|oWH_OIKeKqYf@Vkg()XjbjnGaB^oTPwb53~l{p`Zpa z!g!sJB~jlzc7TX(azV1$r`~S@zkw&2LadnU02K#~g-qRy4ZOk3K;vNZc_CC?fRsuT zjSdU)g>EPL7PnE-aTLWZj@=+dkeJaKOTzhUcZV8iH|TJ#POZ%D{_}{diR4O;ChsQH z59EU`C`vf1_^Ou4D%KZ94&-=1Et>ps6z#yUL~g>_S%IgpE8uYV~cY)lXN z_y6cX_5`PWpgj@%OzE@c` zK2emt4>)!YX%+e!yuBghmZWNsK1eif&APbxZI-bR+hc}RG$?!_aiZEX{4KL(D?${5 zc*7nA*9|-Zd(CU85a4qlENTvPC(x+G8;NikE{krO6&kckSdoYhY9lO-#A0`0aE~}X z^&RI0306ZzeyBqCyehPob-Z=8qP%<&Zph=1fCYR$7+Yt4m8HsWS9Lw>x5HvDpY;RjtE^c)vS;TdTYo1j7L zkDn4_2j}!>G>zU4x&gxFNSnQ!SVM-z=RE!I(gLTkpEBtPr+2)Ba*OxmKj@kK#YBSBV=~Q3v4x*h|CdPdR0l{UF7b zRBE2j2zi?rQ4yv~Ctey`X9H&S^}wwv>CIcVHS&JxFkFs9tQ6rQ>x3wx10*f+S$ueH zKeKJ!^VE}$Oi%+5e6-qbSOP7@9ZWF58`C}4D_5KOW^?XC1CC~=Xw|ipPu@L%?FW>I zFlfEw%9XPPndc)O?gR5HQn3_96g%)|&_d@)-ChbDb<=$SyX}S>oP$b196hqCt#sBe z7#;_EYl>6jFJ+Ck{pl!9Ts|jx>%b%@@n9mA5SJ0KI&*R)5J^zO>}_^P1(uYUwM`-hviSKZn=+-(q^8j+Z-RLlAvW{e9v383+r%z?+C5 zfPC>qfdIxglvav6emnD3ub=tv{^EbSM*eDCux^UDO=C^k*IM19Vic4nO!2|_PGYoI zAB~sZW#ySfL^Anf9yk4%W>N9$=xY~@^fy0A3u3bt(qkkC@xQEfR12RfpQYl`m(nop z53uaU&BBtMQOZNuuJV^6a(rVQW(Gv7pdAFU;7hi!v43j*TIvKu0QaeY=T?=3J0zJHVNVVwmIFS@C0?EXToXBpd1`4I_AN=@oO$X^ zz;2JG8tOf;dj$G!as+7yJd%*Hf6rk8TcP;d(c#aNlh{lO9}ehR-V1AMZ!e_#<@Tty zz?($@=FZjP`HLFsy;oJzmw&mjp!RBH4A6zn^mYiNgmYTssSXV@8`H*oryaLAkW7s; z%b031*Fp-YweHFqNHn%RD3|zTRjAJwlg*9U_JpRc9l$l*g%>a4)0wP}l7J-al&n*L`At4washmMs%E60;=kVTLm(bs5(3v|?;b zncM`fpIR~WGpBu3%qC1B(JQ&+BpasKvP<$4uudVi5>f- z1jFHKMBpjqHQiwx)O2*8PXJ}g4W1kU;MPFb%{{0UX@EX18bl0UQx0GW5@RjFkiEBD zDrbJ>7{nApSaNTt^1)`~NS(=hf?gH~Bfjfb z5w8vBpG)qQu#85=Snq`@pGU1xYop#WK26(2HoaUAw}H$gy1IEHW?yVc>BQ!Z;ROs;MqMdL^i~KHaiEv(LVgMD>Kt$fY zV}7)l{=M~U-6mk1hlWr}+Z+|o;#JhjeO}JJ{qfBT%&{y*Cat9>>u5v$=d)^!UVakM#vu7VKj9bQ( zNl~Xp0kk|api!8OZC&+!!Ni#BKHYH|zV8e}0f90!Sy8y*O7}w7*Z0SA*N&@~?H0`% zU3lj(aZ>t`7=ZN_r;}fkBj_k2AlAdpFKlXFn}g?xXr4C!yFw11B=^Do!^GUf;Rffv z^NzLy-qlWA)ututxi{R(hYaZ0rH8I?_iSp=GQol$)lt#e3Gu<3c(Z~7KI_uLhw?Qj z3mSu^&S#M6tdTH@m5t3tKQ0TJX|q>skIo&XUJyOivqCyePN(yq)A4_K{C`i!5X)P{ zVvVx;SH@|rtk+X-ak)>ov38mC(ngz77xQnjS=LbZ)(O4Loh;TOWC-KB;){jDj6Xt~ z4{&^qZmzV>UK#D4mDoH|?ur%9v(M2Q&Cwr2Z)+(jUz|F17#7jh2YOoW-R*0kUum|W z1BGH+M7NNqV6Y{8@cZW7GE4Y$)Xv#j22MB>T27GMMWJ_a<&BS*;;v6Azh|UotPr9+=Lqd1w~n|5%Q_#@Iub%sJopd47q8 zXF&x!Y4ujcxHG^l^U=YvUBu3{8gzGlo3n>s_)l>Knj!g0!IO`@`eAfN&BsG#RZZV? z8kRWX4em2M!E_=haf8wzE!W9#KmzBh42 z%a_P*!cbXJk^D{F=agxUM`c~5TKLM8YnlPkW79F+6!&NM$=FO+*hm#I7YJi$Z7BDh zs9~nTlJkhX%F4*7RphI9aW7gFM6KlznlwLiMFzh=0<@F}@)dLpl+2>VgX3_E((Bmy z&L@TMkQ10OmE`KfQ4uHROH}`&?NZGc4W(N5?6|>a;=Oif;Yv8KQ!NXGsTlfOjB3`>SS5NBj5ANCy$#rycK>7#N5HcDEfWHQ z0~e-$zN;4ydVJgu?|lB-^qQW#60IXXa|Gh-QMHnDOgx>}ABnW?+F?qKiNbePGChC! zl@c+eGiSrTh&4=TG*D!JJFAv8ptE=~Kb`5=lXt15Q=nQR96@~?9P2_0a(^v*(a%&`QzkKp??VzPyO}8Ett#e--cS$<7^|WH*To{IGbL z(fbWs+>>D`zL!bN^Hf))C22tK=b(YqU;|+NFh#oWnp1ia56VT2Ovz5VItTX(`8=d# za1*G_^iA0ng6lv`Y+}1Oo{C*|VX*J&B@v-Ls1bMpg1!mJ2?m(z*$#Ah;w5}n9Y4)5 z)VW#@MpKZy!4Utp*fV%rhkJM_yuj7G(&4vAlPKfI4?>etyLBZXdZ2j<4bm&3_I(ivLkU^3S1%S}aRS7~u$WWLM7; zW=$0l$`}xG=0cqqMn*{yp6bL?Dk)5TIQ7fC1>e)Pu@t77UloOh=Ki#JnUhK+vlFZg zXGJESHImo;EkVQz^HD^!lk+V$bK=q-ZV@uWYKz@TsM_}EVqaM3Q-a5`d@0`FM)Jk-E{q`~n*O~9Pydj#I z59ViS>SIgy3zK)PlbZvQyF-`SEMGX*dN&GpS`alMDqJsBYkiyaEX>WT0X~M76sU7u zsD#)GG(WkNXDvl`;{vGQ>#{aF60Zt8+qOfht&2wd(G-m^)=AmUgB!SAyEx+XtJx+L zv)3?Mj?P=?O1$u8eO~9~LxRx7#O&IO_yAx+Y`6$AKJ3`Op|b^siE{Uc^+=?|#XXXW z%dr0i%R$=AkBEm7@M^MIrB4n}@f)HG98$z-|#ny6As(0B?}5~SaF zd`DvRh%W|fjq7wYN!G?amAz3|Vp*M1>*H>CFr}VY$OwK&@bO@8%Lqk%VR%N==4|Gr zFLFj1b^7QgANSG!sH-?9u%fzHcBoIybL#Y-NuJ=?$0qqeA+#i z9Jg!P4}GBe6Ayt;{tJ`iP3x-{#`lNi7agTfJ1M`ErIJ*l489=I;m0U*uFFp(;nzR) zqX_CA=)J{n{_baCZ~1+NY?9z2;B&6}Q`DfT%!v2?B)b6UaIwq49#aVdW9iCn$7iXc z^xN=#;q`VO;GqGob&ton^&J0?{Pz|-qIXyQOk24HauS0z^PMJYt;|!CsrRBd%~aB< zx1$&zUKOpR8l&E5lz-Trfp^_p?G%&nOW$k>nW5ZrfF^UByda#`GOBisuG!%C)rTx}sxnrr}9A*U|OL4zqY zX1s;lfv}Hd@7?LEE6~%|)Vq5KDcJsST^d3x1CqWKYcc6u@m+Eq+Bc=nbyi^Fsr@Y2 z7E;sVm&Dk1XUk`uLG2jb07g4}nBOX}7&a^Oui6bDkh5HRuab=+=7ov?Ct?Hm^$!)5 zmb=0-pP&o!x9IAD2(9o;8N?@4)V_k}lq>W9J<}YxFGmlgfUY-8&cUZDe08x!_En|7xs=w@nj`i%B%Z7W$fUL z{$}b`sL2DN?ycHq>*c<_MOT ztowizqAnhS)XOzrOYJtbE^&iT^e97a1++UXsN4#mE-D1V9;B6IHq;=NuXOp+bo42T ze)Zqqvpv-t$v0*e&Q@@In)}aZ57v-|SZztH?pDdD?UyFUB~&boLZ|Y{Q&C;55~9ukajq|w4cZ(DhhPk(1G?R5 zJ>?Ydx4Wlx_y60{1W8yNbb%7A*|M5*ex+R!wI39rWn{@v>>0PI-3b`BGwIpiFs8n! zQPUiI)a>@8I)S>L;uYk*xP+MfqD3W_K7rH_Sz2S&-R>cq2Xf6DvBy+D_90!vrsDQp7Ni?=W zI^zLalV0->Jnx_1XS1%s0b)M5V{$5INdIOOu?U?vD%O}~Ki<{1Q>G3sO4~=E#)8TPe^hUW9L~lck^*OhVdkU0vMqC< zOS)(N4@SX%eBt#!z~R3t4pcG#pv8=jldTdeSR}OzSUSTuCpJc1nAF5%%!kl^$C$A2r?<2!?z1&O!w+#2db+Y z)|mXLuZz(72Oc=r;9rn?<=ED@+%=#b)FnKBZ?Mo*x}b+Hc5_EEzTJarQimOL{Zp)& zHdqVg;m*`HYIO)mu5~EUp|pNPN$wncwP+>ht;SED&KADCBI_Yhq#6u~ev`pcRG|HY z2vNWGmIBk)4?o~lw-PqcsIzdO{!{pRfZNrt92R2hX_3kQW$_exg>k?8QHRK)6;NI< ziY1wQ!^Kz!x)id6?}OU`-rL03^U1R)Py>M1c9TZsuRb9bzczQxH_Ad=b2G|2ikjCs zGMy5v2r3))6!M2kQ^du}LtGaJU&7OB^IU>p>DP{N>?quIjbxgX=jKn@|HSPupoZQA zn+H#@KFR`I*B>b+y$sbkh$E{1LU`%={w?aX$h$v9E!n7vqy7ohe^1`L zUw*h_cNN~li#ZVGhH;UNZ$Nuv5>%SW&`Qmrh>Qw05oEL)$g7j_iMOw3 zToSTUbY6=gKrqG;bL+q$kSBmOW!z*>*k^k1#dK}I%gvj_E{lVN2_JT>AZ?XY)QM79 zdkCEVf}tpTfa+*AuKKZ2hDuaLMQXSlqxS0IzDI2%JlWQg#zrfUL#?O=V;(d&lcPC) zlbtek8&l3bUlgesz88Ew5gRp|hIgiUcy;~h_6I1AZO4twqa99gE;gXx2xbUPm%gbH zLLhT|esS?SJcFXXUGI%!2HwT&?%N4_MHLmS^2w&V(CAQ6@K6WZZ$z9I-C5g=7{o6b zar`;ov8Tx>S?(WRch-&j^PbNk&5KR#$W!Gvw;?9q7i<*_$559cKg4F>;qGlwBM=KW zk(LtY@aT|JG2HFZ7EBPV-yuUl%qvgZXHMbnw#UDKEZ70tV*l%D$9`l7%uAg6!)kiv zwQrt6`uT@*=BeIX596$JbRP6m`7kvJ=G#Qc^emKC(p$_;t`YXwXdW11 zQ?a3rHa2H7X6MoMoaOk~I1Yi(h)QFgg?|ZPQ)t$K%l-WEMBeDhDc6S&G6&4&R%>}O zmV}Z8129WKH>~yDd@@hw z&%iBhpnah0$4QcjW5l}V!d4Rp9&J1xXR$~oxJ>_5kEUhnBBzwoAa?a){_{M1y@+{C z+f0-KG~b&iBgm+T2sws_G~t6U*GJ#=)GG2s+Vea^#b)hnP!rQTFVxVc`g|}1RISkL z2Ohwfr*moI&}2Sb&`*5FK)m5kWJgOSCRtr&yyD*um=%R1+`-rS7AdjHI!C60f~MrH zv}udEYuv4o*j~#OA;T5nuuq;mQIL&iU*YiaeNE)_>^D)mnpOoy_D{Bc z6&uW*$B=e}Dm8pxb#K6}jAi!^S`Dg5~HDp-QG!cDoU*mYC z5T%;`P>iiI#Wyr6SHS0imI4~ss?HX=Quee1z;d*T2}$j!({dqCJ=O;!b?qP{0yB|lw#MPzf= zR@`-B;uTSH`B%2%X~PQ61EF!hpQagdG_&A)2CfttMW%^eX^^9TRwc&9;Uuq$} z#5jgjNv3t*P_6s2p%x=gD8Kkv1UXS+g!u{5skd5KEa>hLyz#}C>20(euc=(M&CG3c z`CiSQYGDZ9M)PL^FT40gV>FuzmWz^hi|RWkbA9o@tB~vQM!Z)_iFMH-I7zfF{o5cR zvuy#U7{@G}E1Dz@M=2V#g7^UM+U;n8B|yP_biD);$_e|>Y={3Urp5qBp}r4$XN*P6 z7p*9pggYp(nz+}8cox4>>disvmtoY7wbIB|)Y$c<(sx^dWwZ5z<6D-HrJ}oBerRgT z`B*0!#9+ACUJqduJO;U5+RbQKDQHz3JVy(ynbGVlILXRZ1;t~a?9{X^W|nY)$(TB{ zkqb2Dj^#Mb@3jmvQ>yCt11u8?F{2-!>gxKvv#%v!?~Pke$k5^SmV?3NeTvQD4Au&SeYReB6Cp07u(TS85ywyYPyG|D_W;6tES@+Z><}0l8 zJ5kmaV90mPnoks&3_DaZXLrt$z?JJs#=2lhmsbghsSKdCyG_{;^!T^9K4RVu!}=AB zYUL4r(-sDe$M3M#;K};Jf*RpU5;9{U02A!9pI9~giLmlpN&l6Ae+%nwYB~IDW!|Oh zMVe~uKAIQ3#LALt@xs@FgU*6KEDFP={`%UlSJb&Yu3w`JlE>#PnUtR(>=r|{0v6g# z9h*?67O)B)P1s-zQ8Xoe=Kdoy?R(k6K4$C%F+*sF@ORSl#n?L=y#db(lktu0Ck40cA7-V1fuq&vZ`pwNN47-YC00GHu!S#O!!eRlp?9*~vz8 zK6*0oG;>wT-?euEMhQ!gC|jHk3koF&_%`2%x+YxIAo25{k&OT>DK9mF`AQ z z2hC(|Ei7mEe8kzN++jq$<65^;BgOtX{uoI97tWnoNAv+@(zh2Hw}yH$qgAt>oJ)?h zJm5*|kBU`)le+2KquHtkJ{2Z0^G&k^RfFB997d97oOY=$ES0yR;p4WR^V-35S^g^W zy)1!a4^u2#R~&-J!0C^x#5gLLD*P61F9f((=AvXarJ8vMx|^N9k2?PH%6_c3%Y`)a zmbKjfeD$nU?8yqEv2Ix*qs;jfPg8x85ivtX-pk!EP!12Sd%%uw;|-X#t*u3vZ;lhQ zP(4A|<|NyFp=+M;6o}W6fFS}Pg~y_XA@sY>ZD9MSKpmoF>=!#UgFJgB_~-)Ju3p&^Xvnrqv7}bp z5}7?4o_ZNJNlysa*FGD=}^El4Mdw#Uh+BUO{X$^BKGfA>T7^k3Icv&hfei3 zTq?=2799y{^Y3^urK9kj5q`UqYf|APOAum{1-5?w3?Ciut`hlyxBqR!o&*iu?r(&_UlU3u6UA;ux z(W=k7b5)K+raxgc7E4MUujXIv3>LyG*bnO%S*o5;0O*NP6=s9%rhu3&yfI7i;~>2O z2MeX_!K`wbSB`SxB^l}mf8Hr@GR$e+*U&(5v-XSxO`fO3Hy+i$GeXiwY5$uNkbyzK zBh_Cs%D4BeprY~v{O~&KedR3ZRU;tpbQ?65Z(%2W0dD6t+O{oa;2J z3VvuJN0`gpHhMMi)b8ZuR*K1Gy!8c*wdIUPv%^bbJSH{ED)-o&7m;nzn1ChaN)RBn z9kYcT4-q0?D-A|EB!=z4?-|wis|1&wBY;u>s{xW~UZIsyuEGuIRf)&*v2H{T1os7< z;M0VV4YHJg+vRa>XKM&JMly0WGwWZy+iF1*Wzcp>q&}E+el9ovGArM*+{?BLGDJ?X zpHB2q2yGp!YBrFG(GYpx{dB%mLjtF>H#(|^&M^oYE``p-qprZ@Y41U}{v`ZXTQf19 zWZu;M`lAno>w6GgYKQN&5q1Y9km>ZSPF)eI`j zn;X5d0z#=#X06rGEdp}jQdvtEVEIcZc*do!TM`9(wwdO$QR+9>!b0_rlg_M-#nwg~ z*Fu#(TA7@jY$|=nk80o~!EE=#o0v%3U=1zGQnn@^j(mBcO_mJP9N#;1Fw{JTn-%dH z$Tuq!nRFIcpNkB$$fR1m$lPJ?;OTT3*txfmq1Z87m0dE!6mk!#HnGef;89HrZMb6S z{R)-M%3r_P)*=8+?>g)v^G&jInpb1XD#xG=`c96_za^T|E&=Ld@aHbejp#=irtm!X z(DpoE+}4zHy4ENAp=`okvR2JYg*GE(h#TB%M&t^LAo#OWKkcwhK1Ke8FhCB>-OLfJt3g{4%4?bT>6I zQnl8Zq8!s@$#|T18BcD~5b`$UO*O4J%K z!X`NjF{sVSU}b=Gk5xJFupVLFYQU#iM%7H~n{-=7F+IZ_vZmOIlR+M>#L3 zwh=>#jGm^dIPEmLk@I}=!K1Raj}}Yrb~Pnk?!OL`Y`hYjMgO_KNuXSbgNS_z{^D0% ze?P@gw??)0Wx8r=Yl$?;Zy1J;^;)qLoTu#X{T7HfE?+~IzrCBlF4>u(UBt!@0e!~# z17}N%E%{HFcGNzdHmujG8TVtXh7|tCP(!K5e;qv22>>>C8$vwl(}5Dm4xyJm1fyO( z%2Hyfu%Tln2?&B};83m1%sruGWBC_c)=>)Bg)E{GE9KU244HUg3j$+C^$)4fK{RJW zF-h!7*a=WT#S}_{Q1@H6QZ1S&RGH!UMd5_d52n!d<5Npz%Qt{enrVwAM?S$Wg6cm( z*fiEU1fqeMP1aNvf210IOhRv&#i#%VCsY|Y-}2e99F%h4;YThGuCzvr5u`3u@%seb zNWzR+Wd1DZtVknfH4HmOSq-W#%l_k~MegRGx00d={2vmiHDm=C4&$D(Th_ZL6pEB# z4#q%&3KHBEfOQBECD|QgzGjR_7Z5?+$&PxxWv89AG^(HtdX}&cp0iPXj_oer5GYqi zZQ~A2K|+|AkglUOYUf(I~!ioIhxlb6(fPB~o%5A0o7XP%Q z{I2POZ*+S}PHxUlRL$18eDVc0WnGT&pU|v1D+1dzC<#+;Q_G#Sn3RY^r+3iy#fj<& zQ7|&Ik!il_%h|u1MOB0d8VYTb3DahhAUGh}M;_%rH-)^bQL6JloWJM1fgKu5iLb)1ItPnJvh0~f)e-PdRF)!d$uY=QRZq@1{ErsEfg%7>(eO}_-cgzoY@O-vujn{+ zUPmtG`NGqhgkVcVLrL4V7;P+5u7M71{8 zue!@J|4w9Q(NG8qqH1K%!pEVkv3V-`7PJPt|$I+qvWl1(EHNo@a)ccY~_cgEZ;Xx zc)wP~MXd*?`o=+o?X-NsQ~QXftA>Ql<@?#rytl4uL`mMO6sI@zw9zG?++=K-*X!Vj z_3jqwSf0ZJul~v=+U9HDbRh*nHkZdjU{j<*?JRf*;K&!yb5_h&`bC%Qhp%3!z*8uG zhr;L1z&FpmO^N&pSV0XSbZOMh`QD!#Fzg$kGFH@Pq5$0vfx#nMD|3C$_lXhBYvH3czcyB|Cz@F(FH2^MucU&G|poOaEkE30XZWo*^imb7QQ2GNSM4 zrh&CGZ4xe~Y{hB1##paM!Eeb}_(cDka@1>bKaXC&eCjCH6e{5smw+2ihT2j0mS<;c zpdLr2HPdv1QQq7xP13>n0d-KP@F(SSG$Kk1>GStex{G0pS?SI8I^`1|ITFH+ntUa$FRWxL}>QMVgc3; z{s9#6+3Iz4VnS&Xe54q5&e&j`g3SdZD2}*chZ2$ltuRI<6%?F;=b1Kdl&VcdU?yVL z?Fro^&re_V7Z;LcbFe#roX6kwgCiqB0A7H12L#4em$oA24zI4uk9hA>p;iw1bFf3D z6cD8k+RGr5!{BpT?s(yGV=KipafAOtCZVhf7?QbnJ=7qXEX(5@4f=d44l9oeC{f3F z)SCQ;iXt@!iN#!Rt{(Lu2;#)JWPXzjTt$n(VS*p=%yR7S=&>+-cGoDdu5QtXVyPB-IR+}N`lSTf2m2d-+K`&|CiY3q>^}zV*@r*COho_>ktX#Bv5W{LW| zx#7_idO>9V)EyqDkSDMCdgmP3%@3R}5A_ZV%;wFY>j~(KhP@_7r?-1#Ao91)!SL9~ zmxf-l8LpVSy&*`VfP1}K%IrQh5ko!}yzKIkvEA^Tkkgj3wNn73#jG$$1($MUPvaT%%9tFKcY=Lp#Wyyk)}zqFC=ycB_bjmaxu5 zp3kVTBHSs#OdANFo4gUscKRyuZL+zP{~meN&r$1IkK}ryCczU=dB{MB!>FdUG2P{s zQ6K6=;zYvms-9_syAWT$>%r|nQ}%+wZ%pxNvnQ#^`uTdXLfhRR^wNcFt*rjkXZt!s z{O}`f?GabT&{;$OE)QoVO$!TvLJ=RZ#klVp_F}_9=;%nCE)sJ=$Za}IV+9=mlNbU_ zU9Bzw%UP48`k9z19z#!U2NUP~U@og?tL*$~KPKvn)}Kl(!U$6Fv>*`7s0s#Kdzc{0 z3XhhSV1h88WiYGYBl{}>azEhY4*~81LC^^tlFo|dXF)rlnP*IT$nLSs#%bc5{8#Q_ zuk$=}2PNe-(YxKd(-GkuQv47UJnmKis7h~4r zisnYl&hJF%-w4F<;xzboL_(@qPlhx=pf)~HJfCk-9$Kc%2FlovB?4{1=b2QQrX!)aZ?C+23 zL87?|$})Uz9;a=SJ|4!{pwrAbB|5x095oX{6L#Y7S{9=$F*T6WIa~id*wWg%4#jZ+ z4eVI7}S^j#c-ecJiW&qPwI<^tM}az!z~VQ z<4F%q*!7!4dCw+C;2RkkwHy?cn76;Oh9okwTd9{%u*zl3R1{3v!^Y^iXpnppboMao zfirTX0JUi#@{neV%3&g^JLBGi*m-!dIk|41#!7vuZLnpI?klj1P`2#bL?pMchscomT*PD1U!a5dxSr<=b>9^~_wo!rhB?fb zcwN{W`ms?ypfc0%?zd;t;J69KO_vfQFp+AgjRp-p!R8-W^R&q45x!bmTdU6PG#6G0 ziJ`nKcNGw(>pXOh{@NZMW%J6{uM@&Uj5X|o@+P32$KPG0vd2A+dmjn>sQ7rFh5 zRF|S~;hdgu3)qaoKy|F?2P2(2>N2Nb7Hx?Qs1?~aLTDRTB}`}~@nuqs)31Oh9C9nlJmGD`KbWSm3GZo=F4nmuMN0-3AE$x5-C91p zEjZr-k6OK-kUSYYQedeuUTHseu!}P>F}anjdVRI9Flh2|D|usmgD(yKBkg|VmwjO! ztyEQf@!Oz1J8_nk%^3%YVStGdvUN-Nv_LZCYk4-FFS_;d?~cT62xa_TUw@}bOE6!k zO`Jf!24RtT>cmkQEpsT{exT{8c+5;Q%ZiPFjd^seJl(2VTmS5P5`(CHf{+UB4yZ$O4J4{Clk!bH) zO?Ce+M8!Ptc}P)C(r8_jJK_F=b9zCqzki23{^-z4emA3Zbojw9zX^Z(jRxfMjBMH_ zg>Jo5G_Zfh74cWlR5B<#TT9p!kg}Mz9Ecr!2$%Df;q=MU9<3xi`iYSYi z;Y_b29tT+yQNsAN64%G;WO%avMSCBXp!?)ptyv4R1!p{u|EP4{%)tUSn;i`mh>Bt= ziWTbH8=V6OqH89*Bq(?BPZP&nVCQ!8DDh^QUoy+I1#TINFM8r zQPX`ZnW1f^w6h)=&$pDqeieeWhATuEWiy2P9b0i3nVA$n8=&I$6&wZ#g0?s7IQKrh zUP7;V*iLrJ#;=tz{PW&~P|S%cwe3LnxvVCi6M=R3?PoGRy2TvbJX9|z{>V~9lnG8! zkc3+{;nwjO%W7`-Y}bVcS$Vime^KXQ-)gqQBVlOcDH46nUnQKs+OS%?899MHG%XQm zh#Iul0qH;)Gg^G-^IV`VZxGZtg@uLkpD!-OGoCF3p947ve;lm^C4^LT3Im6%4hmh^ zU@koJBfP$#=fm$V(BQv3RwbvR7{yt<$sTf&nZfGC`lq21ae+tBlk(p8iRw~YTicn* z@LEoIRTUG!AJskqSFpYHn;S{jbH|@~Z^z}-(1tV+taravqLLafHfxhnX%e6O;Aqvc z<0wu`W?1cmcb!PE-6WbGd>s9-HFGEvFz1-Q(B1=EAM?5!v=G~FF63Ip*K&y)8-pZY z{C9$%j`ezJY3XPCY22sg&}j#WZ$(8(5N#0~LEkL?t%j~`Tcw1U>LMYQPU$$(sJ&!MRiJBjIW zv-VtejrNVWfrMPogGYXWk0aTTU@m6<5C-eM#A-li*8PI+B=0pEZeL%c$TYa9|XMVrE z$JT}0Mzvdim;e-jKv?g?bx0)*VE1y9zfe;j=3e2+Nyt3m!Wyl-U$jvhJndeyb8I2f z;A~cD>8?*fro_@9U9slv?^^Xc?vj5xuA=Q*uHNbC>G-)TnH~IR2Q(D>4;Fv~x*>Hu z)30rdlv{cd{uDoAY8UIxFiMv55OXjr1E33VVAW7Mpj+m4!uQhgoV>ct4M+Kv;+-u~ zxE-D@0BdfS{sHcyt@0h~f_aesoZ^hjpSS<4fZ0U;v^chT8@{-bSiNqtb6PX3W@y`^ zSAU8YJ%zYmKJIw=?-2G%&qw;VC&T(~-Q=oIQLCUVft>)xYyZOE=n#VEk)sE0I>CD; z7cv_I4obThoHyqE^Ft%28|jpFnm#ULi=f9!{`&Rn^7!qH>7eW*#hFM${2r=q(BMUp zWHYV;Q)(^%^c*DU#cZ6bTNRf)qJ0ydg;(r?rz+`2ki*&(3jd>wT7&(t8<@esM@XY` z*^WgT8zq*V$hWvLZ=xOjY?<4`E31O%@66k!g(uMc;Ra*;KRwCV%FTk$syaO9&C+DB zNKxfF%Y+>v`K56|9~bXCx3kBL;!|A zM(Qk65Ok^(mn`CvawKrJC((x@eq69)k00=hx)6tbQ`vaxAG5ow!(LtK1Yrj2%}*j% z)zUGAv4Im279Wf9YZ{#24loE$`c1w(V9=WPtDAL*|31Nwij9zJbT&tw>GCz73>q_U z&t?S`a;v1P7dE#=hz?v1Ivuz4Nm5%a{jsk?7cP51mo9URcK2Xk&?dqE%dPF^uHo()oo9KXWI_#cLhAXF?Y($^9z7 zjOe@NTOSI=-ATR^UI>x>FrzFvR;k6JU&FNv=j`c^ZfW@CDc%~KQlC4`IgGv;lD7D; zE$1&E2vBzc4HtXf8qN87-Z-TB)drV;FmUOK-sMdfs8%J!G_#pB^Hcpf*RZCjl3O%V zxI^Rmv1Y9V65m&#Ru*U7R`E005QtI~!wkz>Qk#Vr zc^KggFQB3HU_Q-xTt|jfgXKC927H#I{@ZcT&A1$l07C)=UIbe{6<-$Y3+zoui#cNn zRA}c6wDzp^)gNqoj%SVoN>|gBtcW5zNHuhd3xHNte#S|z$G72=%%>SflMtyfBmKpR z=9+^p4X-D%;Wmylwq<;UK@FWV%pS(pcip{_R1D?@Y#yK$KoB^ks6xp>j(`b@yvwEH z(pn#Ll}7vmqnyXr4-?k=JiCmuCE0X^hMa9sfB~+QZ68(t{7(cmcJx#uIW8+gKPI)* z)n_p4(9y~QGop=^>{dy;Apjy6&wWqB(}I2M>pI$fu4SV7ftPqz1dul$6qrQKihrVAwXAI| zJ-|{4feMTDZEe^pZ*Q~{D1g=F(@?!p-BvRlsoVX4{Dh(XZ@H`U)%kcf^`UZdS=sMz zeMw#Ry5Ux}R2X!D`CFf`Sqg&kx+)C7PO>b-%8i4HiFh{8AfZEx_^gB)Kb& zvi*+LAKaFtjJEvfe~3@Y1e{V+SO1)rMyf2cL5qwB>hC}1I4Q>`+h4L%8gsHHTVxq` zp}*2o>Tq4|?@7cC*3{45e-F_hlVS1v%sBd2nQ|ALx=~OqxPJ zf4I#uG~2+oH!8YU#HhZYH5uV<=~p4_DE)2uo>A?{;d0S?{V1Wxx0dUuP#ulwL33RY zj^kC;0H=i# z7`omty5pPl^~;N#^hbN-zCWU6$h-v5*Pkb9>OJq!A$ z#M$b}r)Fke1B_d!FHS6%+9a5*_I&AT7fOuzr4+Tprj9DyzIDjd{!w0D?Xpm-duNAM9as)xj1 zN+6Q?k?|5-oFm&GP^SS!wWJSOT^FH`lz`1q@eDET3|CQAR@P-Zea({ZTaB{oCt1QH zBFY?xp0lfP6E=|Z9G!>k#B~Ye=z~L7mkPukE-J1`VRvqi?tN9AnBEGIu(;c!1%8ij z9H>5-p)_v4&};$P6%PN%$tG*L@XkDJb3|G`u4B`PuVA_2oAr!@4oEH#wA@8}A*XhD z4780DKa8V=N7@=!yDBro_ZmFM=e0c`{q3Vh!{c0^AAMgM0LDRlP-g*v+BR#Ympls}u^k!(kojST`UI*=@Azn6uC@oGAqb5K;%vt} znz4rNYXOGSISZQWgL{d1JoZI}FRz?V!Q@!Wt1vA^c;#1h&pL7RoCUfAO|5T#&Mtc& zPOjjByQ@4VI5)RoLl9Olh*;2~IxbA}9e;>V%lo9Eu1++gCd@>6tDtrwr}-ik?bN!C zY!2-+>f#sL&dwr7lshUnfm*I#)%mb}80Bdd+KjQs5FVgC)<^M;@4Tz))1b859Tx;a z(zr%j3yUkjlMi7BDUPk<_4nI_d&!-ZYgcaeZ^ssx4b^w<#}dLQP9c>wbkzc$DLQKBF9$CFRR2XdW-y)8D6&m*TsDyrm>^djstVElm{Q8ZAKt z&42NVnbzd(pGg(f)OXZ(W_QK{M0q1p-y)FGSChy8DwV`B=(xXpWS(~-=bEr%fTN+U zpIzAe-K8p%g97_J!V|%+Z7|cV)DY{AfoyHATAbPHII~spPV$oGcbj^C#ry+1B2iPV zHXEWjUkCbgG_U1{#rfvoCm}7h6`NaW_p1uc$OSbkW~{v9#OrHs=vc&E6LJMUMZJ>9%P&TU`)jqz;x%W_o2^fnwGP$@9>7{G4ZYKd4>@l! z2S5AS_qXJmtS60d6Ln>vVs;GzY|@%iwa)S%FbFFiy_P#=W!p@q{AhYrr6Ub&i4io4B0)=xg$aCnWeH z|8y&3frPp=gvvt}3oq{`YIbu=+kg5q=3DazlgLEXi#1I85k@bvWWUwC{tjcw`_nk= z=4KG-(mZrW=?dmAgXk|cE2|Flq6qT$VC?Ur^v@Xy+&LpUdaG@sljp0UT>!IenzMT& zyS=YFJTXE&f8u>^x-kO+c}_oI?bHU7IO*-{_Gyb3hKIQ(-AiP#Tm z=-IlV{a2jy6IgL9^XIk7#XM3547PB-jDQ~*VFk|ql+c66ukXTAxxvpdk+0+9E-Rmdq^cS`Z5-mx z^-%%bdbb88L?eVOwAnRxo7sedO^Bb1^uWY`M&}A%hwKj)h&=ox>j?qVXas)-Ru|l8 zD)ifHy6eY=a8P+*t(dIpDGGhP6=hUGxvY=h^h=%by zk+M+EBOC8nI@NY==JrFv{%-3?oVB(z8{eB)GeTcaB1F5$rfz>{eWa2n z7PtD%QF{;a$===N(q#rT0hPW@twH43Lym8L6~iu6ZKr5$Q=0yx1*leCht;^ce63?l>W$cXMX%+)JtY*TuQ_N3Th;0RJgDr95R-!7G(^!k%Q>NZJr)td4 zgf4ZJo@F0%hZH^GuZ|#S!Uw!?RLIL?Rsh2Iib5~TdF8@QI~LKZ{`~hAYewP4(RdTg znWBeu>c<1?jsyBal-Mg{3v>t?$ozHz*mA-TPM#n*K5Ag8w}!Md@!2mQkv~1TW(4hg zBPRX7sF-h6;{Di)2yz$l8t5>p?QUm8s|TScfKk524h@D8a9c5Oy)1W|zWwxHua!!= zi{oP_nIGtXUJf9$yHarN%>7WK33-#h;?e~2)f~1qHdJr(ZF^R)gl=rq&Q&_zE#$l= z-BAJ~i1xdQwO8^T?+RrHH$l(Z^fe12|DbZEpbSzBK!scno3jXF*Zwd~Hp*}TmzP6h z2Gd#?6G&^k$M>qw&6WQ=1yPDZwVt_aR^_P%MY6#+ zuhVE$>2C~CLrK(m$L}vlk*Ct@E(?YMto~<1q-hX4FoI4(qp*u}bP&o9xaIl&WRnq% z1K6JiI3-K*hE;emrP>c*#PNaE30nm{GmrrDApj}_upxfbvJ4QgL?yc(*$#?_r4i;= zX5MR!=VKpLw>8{gVdhd_AJDkQV3n%*ci*GAVQr(I**ea0OeNnsM0$+`#&a2@8gf(Y z)?39UrN(xs5Cg!|d|m9j1mvg&Xx4Kz3e>`FEeXb?0w0))3$QL*Hj%17$$8%ps5mn{ z6E%7Aypq#o)LpLFqCUFo+mREML!XJ6N6N+PbC)yYE)epi{&<}GVbpwnozHtm5sQUL zQ9`&EftZ4hx1LNke&@HIOB#IRxbTmdhZ-7XqFScTNX^**S9mw6-Q!IRsj<^2@@7dhWGY*?>n%S8g9EB!_ zz*%w&mXcU7?tk*sm4qgZId2BY?;x#$==>Gi)X*V!p zGVmK9!IE>_MHR`(gxQt57k#c|Ij&RnLAM#Sqqb>lL(cWP)V)Nq7|$5ae$n8{FGFo6 z?qr&E)o&=uG={x5znTd$W`f&EUV+FHJi#R%?W4aTd9r-_S0EZ0k05}JK{lq0*G{uO z1UK>Nv2Z8X3tI7}m@aM^1z87w5{qj1-Y#v+=ElKL)jDc|*eKZz%Z1znsOF;{WP^o9 zL`XFr0=WJr^sMMiC2?Pz?mE9V^FVa-sH>cRoTYbk!|+ng3(}J-Xt|sG=@12X_mXHM zQPY3cD3H`TG5)>xWZX;{S5nuD?5n!On2gaf_65L8ik=cnoDl-X1uv#040?$!X~Q8o zT)dOcNZz{|PhJ-MumX8n8Bl!e^FbLztO9`%ly+_j9Tv95(Y47gl1sF{|F`huu->ia z=H@`-`F;G>*Q4T%H$ z>7|hBrHdEsW+Kf>Eulz!!k3s0QE}@8F8>Ey_jm{{-dh$0J5`;9Ebg6YY24DpEHt<} zmWXQqHkd@;-PP$Sy~0V4J0JJjb|J}vnp(nf&s#6|KkLzAHqXW{stW|G#PT<5nQ4+-)di?4zSL_YkR#1#}kl- z0`SpRSC+d~ce#raE$$d5#a<;u37?OQ8Nj9CBaqk4b)2haqcn@P@RM=-i+Jvki8_A0 zFLO&v=!*2Sav%o-BNf0WU_ak!bLBVwd7NHO@4*8<748?{2*5`mEAE?oqo}ulf4n=J z5VINi>(z$d`VS4(NA`U%))F?A0I>R*@D&&_uMmri`G>uhdN(Ww!3^c)(m9Bck+z;* zu-e5_+nC%sJZ4)Pf~}yB*Z##eZV&%=kQSP(Cj}D-WN7Y&Qvjf9EExG&P=iSE`oY3v zcu#8tWq!{`&a{Qdt&LE^tP}v;5?k&)-4q6U-S**D)$(A~lWwkk z03n1B1F(@|C{bJsoX{tKM#bLcvPbE2#0v_)CilkvdfmSwhA@cRaiHzjZ&{`KSetK8 z^;=qyQ7L_jxXTsT^wa*A@aFS9lg@orTeuK8l@wFDmm&pb%We;&y@rEC90|8_rz|RO z#!ml=Nex-fx*)YsimF;s#SD0m2saCih$$G*=tPi%O0Ql6t@tn04W#mJA_S$BaS%vb z1N|h!?vVRg7A(`f`Amz*d#FvT&3d@FoTGgjKzp>+d$NBIHaFevpb_Qp&+D#=&=9$p zvsW|rpfDEapC=R+6q)%)mKkdqlPdF6m!H(Z85 zAySx~nB%ygO=SN8yT1DA=Hmf33=6}Q)>X_+SBg`NZQd6wG($u2Sa78Wp>T<09r zJZy5%#kflw)l(cbDG2w0nh(a2S;6litWu&mIq4mj2)fUA9kfgv522Rb=Ae?>w{yinN{A7lG`_iL0`MU$H6sOS zg@ts8UDxgN6ChZjVi-ZO05~8>5U6Hx!bt$#GQxn!MCRUm>IE;wndnv`3a9ybh!(Sh z;GbWh0ig5wj!)Ju(DuZ@KeQkXIH2PZR*8rxdx=s7qj`BpjWx=p+7C!8vD~zAun3Nw zncQ|4oD7S)3sIqhJBt|6%Vf+^TB7cF{>ItsscBQc8n#ih{48gh5EV2&F^mRzM^L z2_;o*y1PT#q!wKZrJF^bF?qjl@87rg`47(V^71m4u-2UOd7d%uaR;MQxPs8B#}2|1 zDa4=&_6wA@&A}}&E6p2Ay+g+y2)%rXU#ys$^|G>=CRton-O?DgjT7yZ{H@4aH!2>?6KBm+x{D&%{RqB0{F5H{CKfj zmsj2%&NZYYRB4rFs7YzPYgIT9P|^I0)y?=;=(a@Vf;<2YsLX+YeulQsOKLKOk=%nI zBK=0Ce{VWuT%HlGS|$t#3hbuvD&epSDKL&>44Z!i$6uiJNYzkEOk^B^ivx+_^?YZ3 ziB=EM5RGnwdvcZOn|rP*>bAAZTx9FyM_@1Iw;t%b?ycpSH^Lbg zqs)iK{HBIVCU%(D2a!N&`Eb9qX6Id*9kVx^#(D0t8!VWm#Ab2eA5hCo(I)PX>~wEE zseT8IZuc#lRhvcjt#n)hyRF^!DRTAriFP=B%E6zrsNin%)Pj$aJ{7nSGdxk@WL&H> zXoM6Sl+U!E=WXWN-5%(?c$^Kh>4n`2VP%Hw&i+t#M|*SPthD8L(LEpMKdQEj(ZQbt z1S%_2`+m{5*tAohvB@6s0CXJ^U}>9kR%5d(PzE+zu_&A6!e&y#k2=9m%6 z^KyFNHdYq^W;!k#FM#d2U?YJo-)l1SVYOxchS7In_Ef6PGyvmg;WfWVhn)K1@83`S z^WIvGvLm~^)9B)vW;B_&Y}r#VEZ%-=(qE>HJz8!nj=LhIu7f=eI2pX-)|j^L@xI$q zowEX#7RmLEt4@+nv(^nir4T?@0KKSCw-?YM3BoGv4eN^!YZd{zM-LwoGj#3+D=4ibv-2)Rmlt=HUsY^-E6)OiZ3)X$|V*HLR?3)B(iwg&|_*zdN*xinUpOYFarphq*gYsw*abPj(qC$ z`+x6&h(!hknRnL$$*-#M5N?c^bV;vC&A>U`cGo-Yj~|ep=S`YCZ7|AbZ);0oH|e_| zZmS0)W)6o$TMg_zqCQJ2qQ`}0yU&oh%U~K6&=9UrJDVsbJ5Xqk!`0%&Ls|zI==)EP zOB=UH`AN5oNV#RRrcAXLV0JNQ$b$)6s?!xlwrqAkQ*K^d^}sL8$j&QkrHC)HcpM6+J)&K-A}{=&J|NNmtEo4GkU(22}_Ce7GSu1 z_3G6+@t(CFde+`8a0DCX<^ntiPPz}bZlYUTpl7~S*O|_AC3a*Pf=Et7rhxm)L*Gek z51Comxdri>(v;cnCCTGMy}b{m(!7R4uG#sP7w_8_KMPFrFbCg}#C&_mPa)J^j^K%FQ_hm>=r9=ho8Bm9oXj{N z)?c_j)Y4`n=<4Rnaios(S@q`Rg!)jx5}SM@qn z3PqPUFD9;PC&tH<+?(H*w)EG`e>l(*Bfif5aXvkmp2dG}1<<(B8b}haba8|YC&vg2 zZI}p<_IR&uDpbuxN~sO-SGeIsu*P?w=+@f1Hl98*LH8vP<_ z^NTMrRT1EQ;Jz$^x6@!b>OtDl(o*L;={)84>Pq@$g|h1Rg z7N<#BFe63vEEEg^PJJtv<@E3VuVRi7@lroSz~}W^l89|K3@@>#e>+NH#&yt5NtA{6 z{bZS*_jswR`@@GBFc@GW1s+untCfBM@t(3;arKlV*p8%*ng%RemV_)=_iaos+W8QX z952`yb&NJG`EvWXOhQ8Iwsuf53!w*xHWe-OcmXNIcBUvbx*^`d(Qr6;?;M zmbh)^?Y^A3{92o%Bq>_rRFLCG?4vTR6rI76!b?3P2H{J^2d~I}M2l`N#TC~}<&+&( zot|W7p4pIW?1YndS`oH$YNo0kUC zVldXBk_tiFIy8f|*$(wsY?feVx#cj~=$~wE6*jzQ-9DHMzCkv`qN5OXa}yvxwk-e1$?!N8oSXdcRotKLepodiZFE(#7Ls;Plx zSKZ||nV;^@S3$GYCFS`8ZEIKlUuq<#ihcbXQTPQIx58;^BDYp1E?}^wP(ME`F>v0S zX5dyTIzLDg2)F7vg&9$(DPgZ{Z=GKA)MNGrLIy#Yw8k4MW{NnH#GB;Hew$M1eTm(> zt=l73hodki-;8n@y+Q3@ZwtdT!1Q(a2%^K4Bn4j1928`xSC=S9^FE(moyXGzs|0SF zQJ-4Ij2rxLSeS_+6C#@J_{+3bQka-@`Ql#EGI($N8-s!BJ5dGMqhZwP>@|FJP{I*u ze^gjX99{d(R4(K>(2LE0Si=hY&p6x^24`N<05%v5e=B?^<9z4L{{iT%Vq| zw8DGKv(dcZ7LO0{<%J$o=3QX%z+l5#^0+pEgOqodpdNvemLvmk4pif*^r;w+qM}>i#^&^dK$p;+oVJ4n-U9KXI^bu%Na78% zHB~j4+Sy%Uyugx_XO6J5@SVN^t&>)2pO^*&=1M#)7sWfKyJGHLljg0uHwggH1t&Xj zh2!94YgJ2B*KXPRSXfy2^_T^be5z(;9-$l~^SZ#kMo*rC;f62b0%PmcrDx8Iew z35A6WWF&3Xf!)Y zLAYg`f~eAciS#I^0tT10mHt4&z63Ejb9B*$vZ>TuBI}cbGS`vWPdNLnA3cdG}j6J)~TaHfX85j*0t&b&p=?!CjQ8Qu?rspWu4V!ka5*`!9s|e+eLf zW63V^{j`Sm0LCKC7G~wG$BwC2ceJ+?wmmN+rqk%@Vzy@!UOVY}Q*859Vr<23+QLmN z*fas3VCa!rG@J25&QZ(mz z#%g}hF);XZU{Y*zD$W1E6Csg#1hp{mrwHnRHf@+^)J>HcJ>@O?K z`Y6fdbMO;hxNw0mZ4=Tf&43Z^5K(R{*)zc0^Nc2gH=;RB!jE)v#lwuc-&5Kfjt=2M ztAW7<{qFlc3)i_$&d$yr`^XJjGto5!kDs{W_O2$>ZWL;z0I{jY#mJ-5hm#1#d`w~c z{Wg-f)h#DBsWiGnj4njIinKYLPAL3P$TE^BhV|^fpJ(5zCTXFs($$9&4uSGw6f%QC zdJIfiOMWl;7WqqG?&@7=d9gKpFsR}G=}eELq@Dau{%%S1FkNkpgmA{TDAtcjEa4n{ zMN0i{p3#vJw%Nk;wxO2a>@-St0gR@dPLgk5yqmU~+VxL9VLjO%!W}K6N1C^`^TTRa zO8E54@ukr7{f|KV2{;Qeg8F|u!|po4ez?LuEE#3)0FWyX{r+3n({bhS@yu z3c@v46+M>6>cm?qnBm|B(|2u?=y&}GLF7G{+KAV_Rv3rytCEr_zC&rvM1r5^hsuA( zyRChp1A#4g>w^ybMZ=-P_!4bgoGbn+#W_gK4U`-VV$eG=Chcj<`0bWT6yqD9CG(qF z=TD}H2Q+F(6IBIwIGva1{_-5WppB+i-H%F4G8NJaCpG=^j_;Vb=l{$+(Wz-IyDeyU zcsE7)nQ4vhWP_GT3!C<4r{SuYpXlP^BDI|q8nysl5a=l10ea#Dbz+?N*9BRtJv?_} z)*)C@Dnree5$V_E5mh_AZ7%6DUp3DvZ21ja!;;=jamp>r6f?5;&XEI8apKeM&wS;H zN)AJ8Z0@@E`>SV&U5zvbVQ(h$*C9B@^L+C3LR3_gvR!5E_7v{?@@}2|CS$&xK$P5~ zG>YdPX{Yqg?E-m*2t|rXfjiVZms?Anq1}_B;rIw5ZCvl@>L%R2vpia(({Y?|fTEkU z;ykZiRj1R`s&&=ZW;Pq|DCsa$&_s#;1~&!DqUX7DeKK3=M7xxHot(N_+ZYG6(o`uh z(-re{s}dycceU*spR$J!`0?XMC*M+hQ;U*6JJc6_YL$)vVdUic9T3;fr=?&2cX-|S ze`yIs9pA7oEm&t*MVH6diD@x-w+hU}DZGvFWV&mN1-6w(M-VB4gW5{-&|GwV!6zLPT zy;%?k46gVn266H)DjEmlvRGy;gw^nN2?+~d1r7rrk;mSC=UjwBX^QA@-sUS@--Tn# ziU%y~<9{ij2kr-?Dj+DRt_5-rh%eCgdoCDkTvn528+I)1x{=Jg=WEutV!4$yBSZWC ztJwzi7tQNk4ZsE~?CC3#C!0^jRgvt;$G0eh7GK=5 z9Q$896P^~GYP#)*)t{+=WME1b>2Djs8ehNxhEdp-^a;}~KNVpLIx`tDX3;8-ao+q@ zOs&Dpe01_gDC4QD>P>&c2oa(fSK@8hbaI(QQ*OstHn1?;lYSD;-kJ=Pab|ZcEYWA) z)3>RuV(Ux5iAph5q`TL(WB@r9ozfs?*b@6~=oXziMOzWRuf1_?Tqy90)PZa3GjOdV z;QRUk0NS~h7OkSwhs&*F^4gU@8$N| z|K0GHM+Hllc@`?wN_%hDr<#b3Uyk9GySfA5+rp>uu|$MV7g%Sa3I`SfPsHCtw6NL zM0YgC>>Li|TS4zgs1~)Px`|2IpZ^=e&5D_PlDouA0u~CCr=NfXlGeRDy)bZ!|0Jj; zzU({f7LqX?Yq0=InsS0y&3pFU9tx3!%<$YEliMcSW<8wIB;GIWM`1|sV!ByTp!YjH z+Q@K_^6IF_r0trI8I8Di+y4AkiHF5jGA`eKt96LM3-#HD)REGzRKS&(@;gk4Y$Jde8~TB zAEkLAV?dj20nGscJ;QAeoz{~LD=c7^NYFT_$FRNj1hbFd&G?n{Ul;z_rT>F14br~Q zzkhAOo14_)!@NNeWeeE_spb*xHSHbl(tf&WYu>HN8wP_NCyjSgrh8cmJCmeRxqGpt zj_$2JFU5JsRHqM;=2H~zrBJ0|K7ewxPA;iq`kDM*ZwQFX2?$I)}%`aqIqD)1L^ z&CqDiJre-SwCR@hmrom-?x&E26p!lN)o1o|4zxOy5Ypa~kHr zFV-|+Q$sTcgcKE6s4=J~MU6K=?jA1;!e{}D8kzM`WM8&hbAI16z7!7P+_W_`a6uXr z6vn55K^{K@S++<3O1TSVad|4I2bN&~S0Aan=kkU|{om7t5yOCJxPQ423pQ2sCJpcK z|IyQWw-w3O^0Z|G9QtDL-rG*8`!WeXDbFX|vj%)z2ea`kFo+XV9N0BGrhiA0ZO_7d z=socD&@*vQs1#)LtUXGH@`%~Dj7#9U?xS4LLz>|@v@20ku2?Q8>16C~60i(WB(Djr z`+Nite@?+n=_0S|wuHH&cVP?zJ-MACp{Dm=U#nppd9E>1&^( z`BIETxn3EtBdAhg^7QfZXBU?P*o#pKKcvGNZvpPtgib3I3ZUxwy{51-`4{h5Kx{8* zg)*CBxit_3ora)APopFRI30N_F2e$Pot4$ew1hedgJ$0-3ZbYUqb2d@jI6cQ@9pk+ z7y0&(@@SgtbJ`2@FYX4F(ZAXPpJ; zOvcrla~eZ5T(vU-;|aqrElX>-_E2M71ceg;7FG=#(kET;zB)kEaSbwhEoW4xx%7J3 z;~3ZUcdxByDH+9*&$iv{`Aj+**RwBKp1Tn`-9G=l(6s>9mzY*a9#B2!R+ZYP{&+=x zMImy2Kxq2JmQvy5-a-6lmND-q_Cxxw>~X8_{>FqL5~e?Q1_nsNfo+FbgLlL6{srsXoCN?*)u-WhypTvCf9z zKr>I$Ku#^<^tg&t6oh4*oxTKNY9oJ8ZLgO94&8{)8hBoThwj zJ|Mmzw5*@UHQI2>E^6TPzxvptQ$yg6^`9)^?^7qutje}GIu=;=R2EuulBW_ZM?#qH z`&GM+N{jXfjBPvbCS%JWAG7ZRm`8A$$-m4>)KJF*e}P|GqjX=DAWjyPdm{r8&Wedj ziezF}Zs^YS9mhrd^-FMVjDBnhDn7cbeLs2sPZhJhiW$6{L($G9!2vfVCh;RH<$H%% zX8}fNvI&TO(|C@}>31qI-}Ni;#LfuQ_BT*6Tgfxn>4Z|9R;rUfI zPhuqR{`a#W9~R&+EVb^5a7=Tp(r%1(tK^MPl4PN-<79OcLLEWS@B~B0Y7zt;>yuKR z9$UNybn#(luvM0x%PJ)7;ZkyxkdQzldH5f=L8`|j`@uLnvi|k&zBLzArzHjhMKC%- zLlMAGmk>cymeUXSa75$GMk+B&mI4eEFTPL&tAJ0agVBC4f#HiH=T%oHr)hGIvbvej zzyHAx+neYV-l@rNZ2^N$69_C zFfI*Pr#BzXEbm#AD z5*ET=e4G>k<`__wyv$T#{;{r4YLL7W&80Q8c308AqRaQiY~F9wG&B5l6&TcBGR4EN6NWD^OZT%?FL4|HSo#D3e5-vdngF53Q z!D9aiT$5nRDsEH{$iG)-)+1ory0g@pi`^zraPFIrv}$_@@a+W+Tt`>eIb5sp?yB8p z#)q4q&R!D=<#nQ%furAZ5Y6!OTECA3XU)JKoWqJv zkNqIp=N_7(N#3I>ncV#A(=7t}du{fLg9`n{wv31wIJtp>P#Ba!(A;!dD?SEKH9`dD zo}%}^aR?Y!&?}G2S%FuPG=v8c(0}HGFGZxKw0{vX13MVa{bgVd9|uiwBV5d(Y7kx4 z0ofMVIB%JtBd!CQ8eu+oXiCyvWryUBCaYUa^%=E}-r`2O4&Wd`@?}1tsm%T5>q8fDBd!{+CJ%@1cfbLZ2YtXL5^W zmxZsOMHQ=-BAzR!_F(5t516_*!Izlq0p+%7^$qy%ibt=8Q4hRQ(H`x&z}x~gu<_jl z9??Vyvjg8?DU8achMYh%!?|+|eSNw#2sP5(=$-+pxLuSC2*8`fpGW3d-L>0~fc*e* zIA@=KHQl=l-$4+j+MEY^`jmL!x;}=$EmVP@B;(41jG;#uAV}I^!2@j>1}GJv0J_n( z29!E7@b0<-x*!xx1xMFJpKCj4RL-YcAI`qK4kk>bXxUj}H*m5aA|7Otz;Y%**fa{f zL*G_au^e#{?=>AmJ*Yikv;$2A{Dd$F7DO3#Au6e%05C2GrEvz3rhpI91dj4+ZPZEV z^_1Sk7J<_x&A$C{xT+9KtL^vEjd4>fZ=PR)8mq04d$b4?hiI z-05-$;6!lA-d+$&uU$J3!=U$Np#(c*4QCqgnHr8P;G{|SQ9C%e1+INOySe%KCyqFm zXsrF>+Rd9DoK2%-mg#OpPiXzwLH;dsTFy!JzzWM0H`C<>*MVddZgtu5J?; z%ZEUO>EtHXu@$a(J`{56OQWL;*k8Y%z%cU&bs}Lj3IHE73|wRVDi2YZb*&69`7t8s z$3}t^%fb1GDbd)C37rswNT}*yXAYRKB)~r1N@Q1t^_nitjw_u;Z?vQTuAvd+nF9ea zW7oH0Z?)qHvyIgds=uRW9E=hrQ2`a2w~l(q!hEC9s;dE|SHWjw2BSnm1dWkmp?(V) zZ=jlp-=##XdeV#wQqhqq?DZk2Pk-&s@7y6ATv7C5hvoWA^Gk#CD|*rsAmAFm7Zx24 z4{Hpg%F{yxtoZV=8p!UQ=wGO&vjblc z4+f?ilkRX-T*;lDJSaiFhBl7xVI6B(`*UBv=A_{Os9}pt(I1{MLI|lZ=7+z55zZ9) z&C1JV*r`L;fVi*ew>(zASO$sG7fd1Pb7^t08@Gnv19@CJSxhY~iXlZkBWKl`UtjQG zUbTj-q95{Ll=Skk6{%ogOFz|m0@V7B#Zf>PTA3ZI0>g!CPD7EqxYicOi-9?u2Z#Z} zqiQFf@e}$BLmB}xRD&}AfmD|7G_C^AN$b88(0zeE%5aEkU!@hXB=V&chdmf^~(EUQ?^*7V3Tv(zss2ttrEI1AQ*!PC|@5m1A)Pj4zdFVLg z_NW2<1qLNUIIujWnwNr-w#FRNVmAU=r-#UPJ((d=P^FILg4Wy=#dQ>!E_Z3P5z*+Tvhw$G$hPCc4Lf z*jT4?l{Fa6@q$ZEo*EgwQ(1nw5i(#<}nomgUp9Tu*=g&008t!GQ@p}RZm=kQQMC=!5Zg088!w-*0C3b1OZTuy?_5c`gh5* zXU~+ADG#^7nFU0EAPwHZr0T&cT$+6AXGq-y+J9h11hd1`R22*PUt{hTQ(vE>rZ4El z=K#MY)U1j;WEZ%y%gc>4ll_=zRfB=h-DbP-!NbT4!otG%a=62Ep77EAPx!6IrJF%G z1gg9g63!CW@whU|_{bzyF9~Gt`V<6wS0=8pN6>sHF^mH}){l23&?wY>K0^nV@!j=&L zAJ>ya2#$El3hUb7v}g7s^IA?;GF+YrEvc|7cr;k~EepN37T?%|AxKwGlIXeg>pW{P zXT<|WR^bqs=`Z9DVC!y62M1m}T!Os-0-{wt6HiOUc=c1MYwoqX#(rS4iif-E1N1jA zQdq(B=aij21UKXg-zcSn?L9b|HA>te85P}fB}~D!iEKb-=^pD_INh zSe>5|#4^JEYKm{yBTP?!_~RPv`%2)IAK0T2Z_qLAf^QCI#F0^*d4Vz~Vr_+Mw(lK% zHGP5iv9>CEsdVi)h3t?2VqgA^=-NSD|4|Egn074xbbvSHV@Ln^KU@LPLh1;{M78Ee z72DCDt_UlOqUGCv|AFm4nvVa`G`#Q~q@wlrMYnPYw1bg8Cq23EVAl!QQoSLb0}xY? zl0-Y{+fKgw<`_q-viIn_k)f8w?1pEf14xUHQgol9H0~A@POdJV-bnDm9t|Ooh%y*tt17{PYu6D1Z)(on? zMHVzm8Cp~XK^e(#4#J*6iPQml6(sC4PEiIM6oLRti5iU%iDsuk%4Xk$Ya1I=A!xLV z2-vfr4kw5RB>vigNkQ=gcq;TO-2|ZyAw%>bFa}1&2x@-p0fsEkL0Q1g3kfny{)f9r z09su@g`9~kvF#IrC%9A)Wr^GXhUoGCCd3^NZCsQE6#(8x@f(9J25349d9Eupo278n3_o%$XF)Om5nV{`vKoGL z`86#ln+P%HIB3gN;H9mDqrIp`FREB_*#izMcV7@Vo?i1Ca<4Hh$=ztn!EE*JEJd@A zo;$37p|yxE@P~48>3FUy19ug!H30&28@02~>m2>onB8-LMGmYB_iPY1wp4&Eh*NI) z{typy2gG2Mz&xt1x>(VrR^1#oy=!1|eO_Fybe|RUjH7!H0sH)orvj9AC_xhmfLS8C z7XcgH)pr066(j?SRTTFH5;Q?waU_mvD;{^wu@JLYvn)U3XpzfinJf%csW%1~m&ZnTE=$ihI+)b|wX=>W&X zabz3fO(WnaWB^7V=*}Tv2L*Ewgg3>B5RDLqqxBfFj2%5-fU6GABN1ZcHegu>bxWRE zJt0yD+ZkkSkRl$N1J+RNQ*X(kDUPTO3YzVOjKL(Y0mM_z;L`<;BOqE~-=DpT1b|cT zILZxO(69AVK<%IVdf)^q8*K##R1T=Xp;AEtV3xD@SFct@HkI_-8gqS5LYKq=%PhVt zZ;5L`_VeJ`AB8|C25Cp2t=S4| zZwr-5s-8VDTTQHA~Bty1xFc>o&DfyDppNAFq?eMQQ-GR8W z7qz=xD~ytDmP`+%{A*XYBKILs!{`wGFfh78P2ZL4zkbfjB1TXc4Gj1l9H2Z~K$pxi z9AX4uuDxpqtcFs)5}2{Nk3i=KWCLaY^25DdNbDoRyaM-x+FKzTVLi5^xssBba<;e` z=REL{Jy=TJr|vIakShEooe&>yj_P?)5+VJFOsU;K5Ck8SAuyf`NA;UWd5gb#dm&qn z3Hk5p9wIu)5Of}vgPAm+Y^mkkt8BwY!V(yaVB2`n#vO%OkjMv*zqkw zMh+N)Oent9oKy=O*i7%2(8^o}GtItYe{5ppezJc?dE^0wEb|q(vIhnOXW#xI`> zOICKLxISbm*AXA1CH&_^4D|&LGlK5~^+6k#ay{)aOdZ=1LDOffT1>3i)YwxmF`be- zci}?NF|ph4o<#8-yGeA7kCT&&cVX(#yw-MlWNw~g%@6NtJiozhS!!y!U}9VT!q~H$ z{2;QPzxu%cjrBsYsaNko^pf27N8Y9wh;p8<)_u8kCb=a|;bpB>i9fH+VOYz`l_iDm zzPxM3R&GAI^E3uqueFbXRP}XNulAUPX6;2GUU7%W?BSN3!Tw5nQ>_`f$VfwVb@G6a zwI{9WIc;o81I1niwm-kv^H%tYVKxq3a#J4hiYtBKZ|jG>2Yzz#@}8r;Ph0kK^r;#D z+y__^|ER0GoWFP0iHDn;lZE=r#7XMV=PzDl`f#3!tQcvQ&xMzOYNF5m-G>ivD=O|T z#cv2Mb$|W(O;VjXY4q4={9NZG)Oi~QGM6ih)W8ZjY3eB_jZE}PAKCGSuF zT-#07!#`(i<_F?D`@#m9DM`WO0+GhKa-IC1|Bt3-uPsCZ{-dR(*KA;+ZaZa@Rn1#D za)!AzjtNJ%5N2A@hr98C2T#89;5w`$c)c2&bI$9tI+SNF9z(um8%hBreG1958xW&s zV3>f8fEX#d|DEvs`SU_^3!orDq{+RY-|x*arl?!tf_&RArLxeVzJITl?J>Wi2ufhu zW5o0(bkw1sR8dO&y_sO>a0%wDNGo<3oGb_t$ZiOS-6Zjyh?Eo~bUVbz!^I&cK*vcD zm|svr<=FT}HGG|bl2Glxxd7NKkFe>%4qE|+tGSm=Mj8{$HTL{hD%vWyUnNRqf+^wj z%gJ5UytqwRa}`x%c998(ZF1X(Ko-n#4||uO5WYGBbH-JA=nab7)sy>Q*(x0T5OPp5 zwa%sRe&%7atok(vNW}UA9U`V54534fbQ4u z155tgIU)#oL0D#lB9vrgn9x^lVilL!#+(anTXW9)^@a5&4`KTs$vIRhP8q=V?uvYE zYr6#g;QyZUtnNdBMMW2Vpie|tqN&bF_x}`36A)g1!e?E@=6T(Nwc~K$@wh~b+faWa zxXf*iExTubP~hg~t|yxQDU7;0+1S{`JbxYtd;v(BMWU`=uet}5d{toY{Ms#roT(y* z;k$Sp02ID~;Ul8_;K6y+j0z2iM*^r>Wye6(a0&=w6iAemqKu3|?XA0aKg%yd7l#i2 zk8&ST_`_>+K8#Jl3*0rqdxAyDkA>ZL{R9%48^&7$Ww!@t;?a|40glMwUvyhgw;LbU zg1;Dxv=b7oxWk8-LAxk)CBdV~qO0%(CtGx7RhKT3=-WgdJbipVlA4%Mwi^_UmS%;d zY9s8McGHChX>pRM9!TX&NlEWU)rQKme6^f`wP)Y&&JV|rPFDuW##(3NUMb9G5qG%T z?QU0r?V0Ner6NrhqfymtK%rG^TpV4D;U24+ranE<+BS&K_;)73F0Bd0Rdn8}5jgeg zrz`s2X8R2MSsRaIIY;Enndh=#%jmC`0POIk0 znR7o#b479a6QVe8sN0OJb5t+p=a)fYUj~Nv#Y+A=KhvGYgBj6$6eIv7_>fFXg5>$& zU8ZojFV_u>j3S^N8+NTiy;>o3#&&Vq=(+d6(*|3;17T~Lb5m6umpo-? zrsNbIWhL75zG-3Zoo4)&mk&Z~ZP32e$;?CLlanWB{%zGxRW;a)S~u4u60+as%zwST zN+S<0R^+)h0MP?blpWdulY>l?FtpJJck>45=MbdN*qt@a>+ktKf+FZE?QP}R^pZrx z@8Jh39@$FJ8+rYC_Z2vk2pZ1>)R)`($;CG0=ca0z9~ZVCCcP{y=vkWHoj#-tv@QsW z;H!x=P{Ml;nQ&657A}}`KR(6vhk`%Atx8{Kyhh~Qj5Ene5~f{&=-0=1BLd9oyHpzN zy-7yCTHx;T$;ilD62Xj%o{8i+Mz@;w57(O>Fwm)da!rSe>A_hj)#H)l)&#J+Yjk)z zFb}X?7P!FO#`fA{hCd{Qivo^Gx`8U#q1ZBM|qRE%=q+!StmnyVWdAcmPs$0yY(4;NZ!XM1s8JkkCb?XVM zDvts}k=LrBoOJ&nr{*-Qt_C7lD+jN1`Au zP6zkzZTR?Int!on0j@(pOz43rzD{k^@=8dyS17)^Wbwg4eLrLY{#lhGf`;}*xa1xEzb(3;^cp-;S zP_T=xIVLSFYJT1hg|?7yh1ObV2La$O57HX0V>*f$1GC4eIm1wxe=C})e1q?)RAOsT zwb-7&s9Ijk-`}POhW|t$tF?oJcN4#1s&xQqhNVqB#^D4Auj!}O_nDbZ+;wX^vN{oO z*B7p|FrK(QZQ>nyihzN`vUA?^tToaTXoQm-+-UWFf@?j~>SL2)G0#2YiHE_LoVq#(!+13GNLxWGCT-XL)@@MreAjP2Lh^n2xUyuMW`XC4Kj}B%H zR?PC8>ERhBVE4NbgBr{E(T+AuOzQste|^_rPyY8W3wlI^;84 zU%m={aq(My5qx1;@~h>5HOx&c_3FoLf;dKNVa4cnuv{umR89|Wm$JDEA2ZRz+(^3c_CNXJ>2a9_;^0}7}l z`bG*x|E_o#^)RYEGw{Pu4Kpy=M3O6}dQIVeZb7s;v_t>A>STE9 zAz%s)=-LP}=1~pqlT>wKRJG{j1TuyYC$mc|OC?}W|8Tzl1cK%&RRP3CPGwwl{{##n z-lsKzj|nG3`1yHSrz*Mtx}QJ6`#t!cJ)D2d&CU0Jz6WX)nn!U^oCdY+y^MPqVb8@t) z*K{+ZaATmu(DwCOZwL58V|hGVN`~joc+)jeG*0rQX|K$>CNuH;nNGDhb~cx&{A#ZX zj8WDVUcP+!wW~|@(j@|fS2r4007>EiTc>dz?|LP18CvN_#(KAJL*n6U@Ri+B2+>E4 z534RnzJIxEU0t|WssC3dC!+eeiHQ@1Do*A&>Ej%I>8KM$-_!yJS6JFyjVm7NEOj(9 znnu8^ZyZ!1NJ{lrUBAlrWlIIT+m4)nC~_%Av|z+0I<*lS8#{4^IoES$Wi_aneBjaj z9-?&3Ckii!?2aC7$NQ!CgCVu@A6+ z3w*11DM1)nfP~AHv)*rSbAbm$N+r?erF%bql=CsMmh#8 zE#KbXUxS{XnY(#+j6L=QVTY(}-~uilg)yIf z+@mJ8^%pN*5N{W&?l_Ykf}VO>0brJ#h|Ma=cS=?70Vc@cdxgU5HM@&Hw`zc0gQ{~W z#yO`>b=R4na!b8SBeUi|kZ9uly(NR9y`jBC;N0L}DS@6aJ>!us+)aD?Y<|g+54WOy z+Qu)wN6l&e{P}}wF#yx1K(}NBO0>*m2-TQ*s`mD-*90^U?w1t(x5vrQcr_$tyAGzl zUqotPPy$u^9WO!x-l#hhh^vdsZBKLn29`7pUkVBeV&dc9k&VIViSFKLmP=>eUw}|) zo6JN$UjG1J`*`Sw8wria_4Q}ThRB11ciO%2BE{-kVH~C`8WyK4LwGytJDf}w)&Z0+?aaKHDNt&k`Zh5Y=v*3YdwqvFDB*|g~mouB6(O|hik*A*=cjkYl2njXwlt zGoRl4EOj)6C%p2>G2P99B(8Ru%LL{2*G)n$hL{d+SYIZ{s&j~^-nGM!*XZ>V9BL8& zQ%Ci3{ZG?FfUG%akBtGi-K6LW3kpJD*MSS-sZf9upV$80Niip;bXL zw4D*u5DHDv`uw`QqT&o{(2GtVknSNCc@J8EYe~lD#{s=#40yX-1cP?eo(UNO5MA1B zKB?Q<*;y2}#?%;QLgj|L36Ho&WxUq;pfnpX$j`dbK6!u*Ce9 zPb5{8*n5@^;iec@Pnz^=Vp6M=rEdX@fA>&5nJZ%Abtu~p34c&6W1yM*e7y_!pJ0Ha zWALe{h+gn+(Kl%qwl&CymI+Lv?&|0e9!b&ehW3AAI?T~W7ytxwb9M~MJ9@WLaEaL4U-H)r zABP2G+}i+cZ!rfPl087GO6*W?7m>&hBBx)54e>bb?Sr=7yTYd{X}wF4)@RBPDj3V)6;#f(nl>|^QilC^za zB-8H6S*lqxt3njHHk7*e%^!;i&0AXPmu@r_zDuSfYfHL26}aKH`U>-lu(8!bu}RDi zVnfCYdlZQf3v27QMMbx(E%tY}S^?&L*SiVOJp9$K-|U`_K;=R~mm3kA;1x6mP512Y zFNr1PA3y%1;47~rd0TmAu!GsUu-I@ZUfwn?y{&AyFo-zcR&UeO%d4V65F~d|>`H0c z5|>)?A?;n(;c7HnGf=g@ReQT5n$sj9-wk?7x~w|(^Jz8Mq$mb(H6kkmnD3mC_5IU+ zoA>&ygXf2qU4!yY-*=*h@wFj3_Jxj|5P@^~5qFH8!1vdBZ!{}wEd9j^pDJMC=@N>T z@m3eC9hZEnmyR|2uH1R~G`H0k_osTJ9m!p3${7Tbc5!zNXoRAZ?WNwj2{iTdBo)qb z;5DJA_hN3Q=(M-e%2Yk;bU%ZOb<*`^&odx(*j^g|=mQ-~oC*>F(f`J?o>V!;*V*6F+A^T0*V>x4F7dp8&(5=l5S4tsQYe0DP@(Za%b`VOui3jJaF7|fv~eQ=sKy1z24{5fFmmKn zQep?(|Ce*I{fqn>Cyli$R{#mo!%=y-(FdUk`BN=^J86efN!nL3*rqm!=5 zx_WB;XA)}l$;Hh*4sqyL6NQXX$|zFTpN}yfuzP1mHI~X5&-sH=gYH-wOOYf)C{tJ> zVRsBm*xZ_|e71`rv{nrbDv{RUiGS;DfXF}0OI#M19+~d18edD9ehSj-4(jXa;erO* z3I#gFeWJvx2Hh!&1~di|ANPrAGMr6f%KKi&Z&ybytHQ9zvsAb&&9ItPAK02Y{z~^! zDY*=VZ$f=uVAG})l(K;CK~+LDrab6(fVV!%63~IJ8mu+Jv2ME^uwo?JO$xd|oa z73ipQAmSL&GzkH~W^QP4pztcE-w!J}cgn|;Sq%bvck9ub4a%1@JYt}5hr`p}8{qCJ z7MV9at3Je!FJ-=ee_^N=y=&j!hLT?bq>TJEWoYC5ADLk}JuhC+!%Kp1`@#3UoAb9~ zF6Z(G%~TZRL^K&}E2Fb%ErLlabIV`6h{;ljvMg;!C=NF}3veW*;Dzx94h9?TfqYD8 z0(U_R-N0m3v}ami$hOAFsA6i8i;D{i!@<^g78*oo5|Na(U6j0zi~%v4SEzjIqy!hq z<4g3XPL)&M783?=zys(vC+|g0JL-&Oe*WjurNEZlj;a*{haPnyY%A_bmboGsm>Fb~ zw}6L8wv18JKVNa8{#X5QLo_Os*$GR7&*)5iVn;EjgPnSOMtOQ*|-ISlc9sWZS_=rI66mou1a z5+Uf@RA>dGsz7iB%7Eke8?ZMdE8ATu{~B zL+17$U=o4)*$#$wc3#&@@M7NiYVCXNT3E;{Kpu{xNH7tNJO}_N*I`2cpw0NX*1&9I z2s3}Kb8WU!hjp~Xsy;VXR??%IoMzHUCRO&)k9~a@!5pOl!ILo&OJnCh?={(Z_`jeu zS(m9}gn>x6(xFe0ZJ(y?Dd6;8%Ps|mbqh?-=#mupvn3ZJmx#_JWm$ernZHcz&S0k> zP@qzLW6TokyuEC0DGPXcqic@>S>2~n2PWPz-IG~2g)FLfbZKd=x)zFhH5b|0JBO4$ z4Yx%!Xxz_BbM&MsHJBiiIS6a(=KdyPDiAYx;9>AMIIQiFZo)#+-FNA@krwWO2-D4c-QsWKX8?&gE736KbW=06xLIuBJfPl+L5z>t6a7;-}qCt`xIxcDSj9n|zO zCM6|H#SB=P8*-+;8>h%AD4?m9xX^E*E5_i$;B;JeM9IhPVgmNkKg1f9j8i5Is88(O z61z`Nli?i1zyT;L`RUUqo3Xf9jVhcBBZ+r&*2HKMS@VZ0XTUxsEf*l=JM?M*z@BM9 zyCY?e091u|UpuX;o9`uWC7b?Y`6MdP~qWmp(PH~4FvNK8g<K0y@ADl8Mm?kElM3#&Ts!x;KBK$zg&bz`Tsl=jhlxW9f2igMo5HdDiA<=U1~oOFB7r!VM= z&PX(gJzEyl-OPTjUIUg@=;MX~dTWImJTEhSps$7Xfbm3SL6LXya|Oxk^KB*0tF^!UD6$g|JND;U#|{Px&iyc<2q#V1*7D0`rNF8& z)A2f8E$^n45?)7sLaq57_9!IE-5dxwNC3dJbZ|$jRg7?*FCPO_^=e0|Oh zhwpDlWZf4dmTC`2ByuJ=0Yty7sTtK_$m`1Q96zBmhbJYAdvonxc6I|+EWisbVPdKUGwAbSq9=Lm_HbrlEMP?7;SzLl*(mTVyCmz0CtKe zH`AKVtDQXo=h}uy;)@p_Lw~Axk>v2M-McI<&^+nf=V$dXV=vl&uwO^fR$!{ni=pYV zxLF;7GL(RSf&@TIK+h`sunx+`hXMkbiEVF;=b5I1udMuO;=-h*q(s0!OhBJZG?sDa zC>AK{>MT&t^fKFF+n~E3|8>d4#ClV@W9PX-yS8OGU+g2R$=3^|Qv<(#-A?@QIU_@P z%ktux-~F3#5zldRH=hczyj)?YNgF~het2T3GI+RS|0b!%NaODHn)=;fOjtSBdkX}Y zKd#Uzn(q?XgwtzJ*Y!( zK!Lc+F==sVburL5u`~S&L#&NBR06*v7F(m)TY>Z>!E&u?CuqB^r>7OhRYvlr7U_WQ zj{_0(n{_B9QxF_rZ!$Blv@WCWrf`EOGYACF_65~vH2mO&y$9|<@O#vSesBbivbT<- zHUFY~^5cM*Y5Uy--cL{vA!{W#LZE>FaCUCJ2^VTWQzVgXz-drRsw3Mk5m3gVG*Wa5 zMukFOIfw89yy-n=19mb52C1dPgP!x@Ejh5aE4_s7qlk4#{~r2|8Y0J9Sl zlv_6&?sQWK5eOXnhnk6CX#C8c!^qD9p(mkW(#ZhcQhlO_>p!*fLCnI61H4%8begYl zho6huS6>#tziaPr#rcrzUwp64m#K11o~`e)H@w}`;#QQ%2TeSDmX9KL&oee37s$-p);N?#=b(`ew3{I^XhY zfvUo9)^RTVCvV2`sVx=V??`=2k%dCK+exm2uqefk{q^<6C& z4gMd(-a06&wfz@ggn*8N?rfpe(==8=&$7k=PD|^~9sLv!_1l0u?bHnmkhY%vs?wN@}gHt-YUV zkCX#8oXr6)nNIXxb8Uqlc^(`YU>`oV`1}5}gcAown)Wh}>7P&*AbcNVpCYts@Jm>O zV&BTcrh=uXd1y_ca>@Pn3&wU-2Y{f52l(bm{qC7kp(Gz+yP&VQNJsqjjz$ z8zy5^<&HYm5UJBcG+^?R;48>KTFmzSrOE1Y<-$D$NZ6-_=Um8nP6E+zuR|s6kmL$Y z%xl+LHG6wu%hZUKPyHV)0IK5y1BFtnF9>5Tc2@gmdf?qNKH$+U`}}eTJVHAoSs0(*b)t?FC)9LSan&$?G45)5xmjFI>FVU2mmX;M51-^uF=M0h* zN}s9YiU|>CX&!mrm*@5KUR=H4akn5rx625@(v88?i-L~)zvr^RM?7NulC!zHOR z-m#O&vPaadH63}N5Z>Q#2 z<;}#2&`;Cnu2s?y7_Er=P!HEYOfZ@B-UUchJJ)t|n^DqT@k3TfNXU8Hj9X;eOsmz# z!sdn{sRzvUlTo9Ul~J43eo+T`KS*S*S(7S?Z(U!&e2Es9jK>$@<~|Rgc{yxC4a9^y zvPT&n6R%&tW~2Lpo4gwG%*?tK<{T{Rb^@&Vvy}2}N%I(zKubDQ5CRF~PftoY&Y<7Z z4zP!wcvKjy*Wj9`!wBO2GT!z6{{A9YS}H0tkm?pKmg-e@bmO>u_^s0njwn>>h9F?> ziGGy_S0to+hV7qS)1L*nAQtU2i?sE>jgZ zlkMAXy7v1SKl*qJ-K9CYXCXBODol#9H^Hj742RnL7ZL?HtnyZ65v&Fb_sH6) z-|g@t@?Eh;j?LQs1XbXJ&7VNjQ;)od z7*4n-itvp~_I_An=x#XY>pAnWjrr&|#{4+4F3+#sA3Qv-PghTyxv7|kEl)wlK2`-= zOL4&#G$Qho1zusL-zAvZuLPF$<}Zw%;QqWahZ)oD&1ufaNm7|*Xg$_Y6bkUabQQF_%D!5lZ<| zHm@OjM%CM$&9V|DeLcA%o8-!sF`B93?rZMl7s5a;aSIn^c}DZc$L|9M@~shFQE2ZH z<7N(Aa8D5_Qk&uN<&>8G@>sm@DTesJ=23|ZFEGKOl+F={)rZKyCSnHP8jOq5hF3o+ zT;uqQneT*U;od#ZzD|e5Y+@GWm3Rs&UfeDad#EzRUY0F8Fqp?_@Ceo0%dENeRQTb| z4#z{rrCpsFY>ACT%=4V5$0`fxK>|tAW+<>72tp+SFf}E1OhrXTepV%vNE?Lpf*3g* zG(CL=_~z9|Pk|;>2GPUrHV`_!@bqrMD}-$qcOHxLYz$tK+Tb-vkBLi74TFu738`>f z=?PAFJANQ{B`!{kG4K_=>YY1#21-hJfIa-H&m!Ss%hpQe;$=*aIh^ zYG9Z140$5tl3dsKa`z$^m*kcB`hUxp=}p;~2r@1j`NkFu?4)23Kcfdy1b>mBg?DHM zCnm&7(yG+ef%%q6D~|J$aqcU>T)jhy09GiVg1{0aQ$hVs0;=Z%+OZqmX)|~a2RC&J z;|_MN@iETHACyoGuXa1?39TLIe@%#fPFpk2^F)G=Pu+v?(Z+;&;_K$K)046j#WqXk z@N-%;b%BZ;tJZX8%3>leJgfR|dI_baoO!?MEe)Db5^%&OvMEpn-TphH z+gl<4!GX8~2}H%xK&z`2p6BVzU6{NjoZRLKcCQyBA*D9Mu~_ zLYmUN_*SZgk%7NQph<^L{%S|nk6Oz1=0^VF;m$U5nsJ$@6C9YzlfV;BrEA^=>Qvkw zaVaUG05!nF`hkBt0aBvS=NuLuEV*6nEt3?H_!FML@1V)C)LN&*%h<55=8{7WeF1>V zR{;G3MEB8u8>QvtlP|$;@`s}JXxL?|hrtCwnEbYGqRNLdZ%XSg^p94J%)3LGmo4nD z>E#)DOHwTL!G~w0LM5q@HW@{C9t?E15jha4iN@YK!R;%qhfjQ@20{g?`CZFS!$pAS)10@Y!H# zdV1R6)lbwV3Su>m!Di6PZ9~(i>sqaSfH6VoPd0<=X zSwTZ!!8ySXS%@SEOd!|L`W|BuU}Hmdh6>uDY@Gh=rK>*}Iw>XP{ls&&ZSJdAJ3yBss!%RRZ0$4~{D8*4jmbk_q)KP1lXtf>n{R~4 zp*J+3eu+sLXr}>favNe5gsU zJI#oKTk>x8D~}G&w(YC4Tlr_W!`-dB$8rAuy~IyGG6I1SI2}I|c|-5Z3T#XuSQ&+U z;ak6R$v`~}B75Dozn`$TWrO=+b( zyyrJZ*CmWJF1!Gh9VI~bB~{%}$w7vunjT^zCd5q>zq8bJJe%uX`TDlH5N{81SOdNTu5^GW~3x|t0-5AZPxj8e$d_~w<-b5dxFIQ>K zT|!5cly**J1V0|sJNF5^PI2Y!Ep@C*$>;P|I`~l-?`Cg ziIEh*>RnT{<7MkDP<#(s6YviNUlg%fgu_s?o1KpMdnWjmX=Ts;h@kWfLTYpXlWDD>#(CADHcR9*Hy<78)Vq_TgCA8>nwJS`D4W1vGv z(_@f8&TKFF>X$$T&1zFb9W=&~R4)JR##6L!onwIR?*Vt{%gpBKs9)6|krSB2cm@rN zTwW=e#-3flRs>a;)j<&3PnNpwZ2Eg2{XWQ~K|AF$KFY4s8)?IT5Z8f-d16Cmv zo4SMLf4qlU-`_kQL(PFke%sv$qiql5p%+dsLuqVT=w17KW7?tFQu4{3NLAqInH=T= zcB23A9sGlFk5pR_26|>omrgN>5h=)YpI}_%=Ns^Fig{$jF89m&P38%|H=99?9ah^r zxLS_ks96C&X)l^IE0ITLl80;3sNHqmVw7(lzbaJ1FC^gj(Zl$1kR2p}QUpw7(4a^R z5Kx{&^-f+bn7^r?p%!Bp=iW^&!}MMzanELtCDWj|GF7-+$ML8&MzS8j%I-gX%NZ)4>-F`{@KH_UIm25Li{OyA$%-(h#w|Hm3#+4oY;&zuX%wvz`~2D75_WSgPO$b^W}j zKCMZA39rR7O}?Eo;OX{&aR}7%)ct<2w!Yq!aC7=Jg1Kj3_m{as41u>vwv-Nnu>G)y zp$Hr@V3t{iq^>rQcE+H@Z(wx``_p|Gm=D1zP`X_Q5gRzC>j9_usNWvJXWj!XG>)Bw zmUT9;=SHE_0+z(S+TO+kJ{8LBlDiEu!f|>wrLSJeC+`5rjFAQ&l?n7WZqPr5jmVt* z5Q(M}l2_G*u;3wWi%9RlT)F0ns=bf{UWNx4`f__;6T_8CI))IJ-4B^_+S&2@?hMx- ze-DO80CH43jQoLismW#``0}23H-3;>bNpjb6G!*`kasIxKtpsO+i~N!?diasbgse) zg?>CqCVZJ#OlA$cty8AVD0}%wSBVm)N0O#*S|O})tYI5X8344t9oF1O{6H=iSieWp z%1|Un`q$ZS)3XqW?f{}k8OGKu`e?W{6E36hJzS zE*XI4h#M%sRNge4piEV=)K_(9biF)vGNME|tGjmo)jh*}oIwiUO+Pj(!=u*?`5rj` zlu+l~{&141cj}jNho?UO>H-d6(l~E1rD4hUpVq=^o-6R;q;|sgZ9I zRJ+w7doM8Y(r+LY6r7#;5qxL3&Cvx5+tnhlxj9VDq;g`;lw+T!xK7YomnAcuZC(9COyOr>N`d4$8iH!mb^hrj3AKTk!ItAmpMlYG9_5F@fVZo095&? zRtoRNSJ2@hixBhSc5`oamhohyGP*8@CZb)(Kf|IqrL&aLD6^m zE%+ZS!N79K#P_Ed(uOL#&|L#TzogvU+%}B2#c0N@ii!iB+`eYKsl=@G^rDTs^WEV@ zGWZy^gsoy2;_;ch5M&F?U5n`C<3kAcTr^7t02+EZg@&|Le61E{hY1#JHpW4o=CBW+ z)rfDc3&r*_xN8tJ=NyRSs03B(1z_Ye!2lg*P99zL=-p+#FK44IOmV8@FOpTNj=zVRZRTgS>(heDwmRq&Y< zKT6+XZ0uRT&Uyu7IET0NJTTEZ0Kz-2s!G99fR>m6_3j0~=XLyNQwg3Zpf_cy%mVD0WEKpZ9AQz~Z&!0`f0qa0d6D;isa)O$RBRcB1gyobIx%=`;cY_#0gi-# zNi_!+wBO^g-G37k9CG_kewR#BTl((OP&qcIYY)(oj@&8aLSFSjAQvj(eWMmB*Zvb> z%(DfF^C9rMWNi_%f8dohTCldTzLR7+DECI)J;1U{=waRKRN6;hc>IFab0W?JRbf@u>Dm(uI!23$5&+vIj z$mF(%QE2pvXKB^I?`0>G^X0YuzS%JZ5+BJPuw}TJQhVmh3E@Bv_|3=l!r*#ZPR|3>WHpvH_%s4mYbGW7Q$lD z3lM(K3`L`0Vkv z{Hx|__n|QxorjK6-d8T5LB5~$j=&dg0X!7Kr~rDpY#COk>Jy#tgBmW;k}hz4PNuO{ z4(@nbMwm5z*gx56=G*S?i2xZBW_Za}BG1Ina^##&_9`(sPMp})^EoJ%i}Zd&vpW8l zmWNlr3Kt+PN`y1o0{S`LpORjdi3X&%SpE=#F5Zb)!sG{wU+AxEOY6c+C3J>3aa@az z;bw0#M?bqtWL{_;+2!7tNx@Fq)MJ&p5#)83=<@R8wiOrEc72&2Vv~L*Qy0jc9YCBB z+7Lr8MhFl2ODS(*WDyEL<(@ndM>t2$0112YA@!&#nqe@qs^w+s;DY#hrgdNQ>AC;y zKm}oo0&Iflt^l7&k@f=5sT%29n7^>V7y4PP8ol39{WqdPYzAZ~H0@!vWlV49wJmH% z3Rsqh)DF$s>gQ6ty%P2jO)BY?JtYsM)O`%&Kv6FIqTRXTWUHu%wTt(U)bJE?&GC z8Cn&J{o*ajwx|NiQwe>S$d@=rT2SeWdm>7RVt;7O0Pa-IYi<0g*R{Y3X zUbaBZ5e?sqiV+DF2kTaTa6JHt`R3)Un>9E&)eHTY7veYFEqJ3QELS2Y=OHK8-31^g zPK%yb=ciWqt!A&Bty~ooz6`#PbU8{_io>e<_hlpfL;QqhfU%kQd}BxCU@DP0J+#aO zB`Ct!*$ZbG4E^{>+wIH#!Eojk$2&l{OJqtWRJa4=_j-ZcJ6gIXrk{75RE2~0B=Ezu zxIW(?q0X;6Dk^S2WjC%=2oo_lymX|H_!XPGIHkgN#J_sRh(Agobz?O8H2}7~pr$S( zt$<(J5@I2Xa$!kZf|LgA#UI`>zP$3_2x=hyxg&ep@B6$L`dFF6H16Dq91O>x8~Y#q z?f=8k^G{UsKeirtB;bEQdEN$%3B~fzs^;8UHcmLhkpoqtfrIUEdI;zw%mMv|RmKDKmJlaJ*7oj}A-wr< zPSmcv+dppM%}twqxHF^7FeQQ`F=4kKs7J@9V0-Ri_k^9H`@rBX_W}xtx(fk8`@kjK z>!f5oZ0V_E&{|_+W4!GfJOPE#hDXGm?aedx)!k9n22z}L#Mz~cZ4*!G#(k|nCU3w9 z(QC@PQl$Z~4@L7NXvR=`>Y|s|zwBR)DLF2x`=bi*YJsjeyv6?n+jU|JK8;r=uPZ79 zv4n?xpGkjlTuK$f7~z>PdA0QH+D@MD{oemLv}nh>ttxhb!1(a~^i4B7Z zW_YDC5-Z=Q%W#6Ob?G<19kGe39}~o&-*#Z4rKV=Sal=r0p!~x=JyeE;g8&7`)%_g` zt$#FOlxN#WIJj?Z{f3CfiQI5Mv!+qSs`UXVo(779XOugvc%xI|Z%DoMdkc3c6^x0( zZ|~-iwsG@t65!jjwuY^%TzT*J)SW;NJiv-6exH2q9NiFq-N(}T`s@cL#dAjRd>)XL zx@FJcw$qi8WNmPyyQo_q_nXyn4CG0`-==alLB0@V^$Q~SZ(AV84k3;81ylIPH>$MA z2t9+ePt8sfdVe=$$Q{Vbrw?aKU#oR66>Yrb5-lF$#&3CG&Fbm zUyOmEB^cN^-uAveAO?sR;AJH#e7eRwDKQqtU3?zdi1-r z5sDW`!^C9%!rBep-Jg!&OA!VA;DZabcib!oO8ino#Cb&Z3JXHQtA#Cld*qKlSO^8- zg}TJ3@fPX_wT}cj1yiE$M75Eqf)XPoL3S(-F{r%RMAhY(q(hYni~xj(Cm5h zx9XbJ0;(3z@dfYw7JOTa5Hl%)ETX|{5YdQo{zx0x|D!=O_wb9Wj6%07zk@h^OwmWG zzzrjuxiQn?&r@`^I)5a|v*SVzL_X_Z>5zN=F|m^nz~RTH{CX8~t*m!M71q67rX@Ta z=GMEOOenJ`;i`;xZ%Tt0s@N(cQ+q)D56*U&>AcV8~XYpnSttS8YA37 ztI7-3(NG-vu}GVTBpDSkQOWqjkGotq>-W>??mgW&y+e4_jYQQ z_V(|360kIWJOt^i=t^}=W!3zJ{bz%&Bz6f#4rEzQ#ga{kx$udTCCh z(dMLm#coB^NsT6!!S{97`=JhPP*#a*{Q`|%C};@m0$wmlr^g-Xw#C?GDr<|^3A{@H0ae!7{}(l?2(x4s=3!p1&-o^H6aFR{Vf3)@cxz9 zqmA!ibt~xS1h0Gr*sHY100n?timTWFFl4DpthKGrg8_ZsN#2h3x_Iy0+)Ii=@qdT^+RryP z+KiwEEHn=RfxOfZp7TrK05s}XxX8}2&Y0i#(xuv5d&Vx=sCV;^ERki`9+9J;m9_LWy3;Ma)()8S*~XjnhMbpeMPK9YMkN9Ne%2ya(4D%di6 z_>a<>=`X-xlvPzdE;jSEQWe3iOlQwAy^gwz?z+O0O8=~DtOpc+T-BAg;+SGC4*k?0 z@l*d5?-|2zO{16()#jnlBn0ir{IA|?I8xr<%RqJIDC&T;vBpZhlC%ePOe9Fp?(9k= zr=(;HlJgEyRM||K>6>nrDYrQ!kjVctAjIDMe;Tn-%0-Y)5#~?OcmFKf?xVTkU~Ls^ zaOHv=JVMAKfQfRDUEm6P45Gm?|3)?SmF;Nu@d3;`=s}5SL?_1SO5OAK_m@}W^+kf4 zr3&jxqOE$ezzT^@s+C=6TpGN7n+6~s83VT}A8jwZY<>i8(H9Lz8_DEpEQaoJY&?!T z!y?)8KWeo)vQn0+Z*O_d9QlKN`H7qFj|G~lLH{K>pBEX4fAH?F*?}hI`_VK+ngjcq z@~hhVdxPva>AvzbovqTjse=mYIr&SH3?*JOM`6=e?2V<* z!rJo0I~fEFk6l!JOF4^$1gb-t^uyU>lS3?LG7+f&_wv%tSv}(SSq}Sp+v)M!i{d+( zeVaeBY)3EDYnG`*l)_|T7wrwR-!@dSH(sgC{C15MYMEdi64Ql*O>nDVyDrD??(9@R z&>f=jt{5yW?`|762THv#8jo9gSsWSd<)J#zjLN&>NoSH)UmcYfV=IC6sPZM6l(Wy)NI0zTkU@y`FHJ^B`|TuN-3{Ai@3qY?}g_V)G11chOz6#8}z+dZrJL@Q zI)plb0DI4v!gJ&=IR%+)KH@0uMw)kFHDU;B)E_wTM#?=lTgCc5!Zq&bvg$406pzC% z<9H_cDK7o*51w@mrdz!9heg7sw-s!;Tfo)eAh6;GK?tSp=3lTIf>VL|ya>3xPz@f> z28!y?zkdvQB1>?wbpo1!g#fPA32CO4-n%wPTRiJ+Bd$;glF`1$*3?>(=q2^SPBcBP z^>&XeeazK_&;J{D@ci@onn`(POxOw;O%pPR7E&X2rIvVULR5@ z1VJ;8w88HY562!vVbUO55MkT-n5&w_#?k{5pi{W$0A{#L1h`4wpzZYoyKLF}b>wp) zShz#LVzC5&5WGdXxnTR5m+To{y*;nD8?Ydg(w>3gI$etON=Qh&cl+m{u9r?cdllGA z)GSxnep=fMI0Vg_CGwodRa-8C^v75r)|VE}POl+mfSDYB0M7+y+k2Nr=1qV~v?XmTWVoHrujwK00avEGGd4Y)TdA?63s@V-fYA96rr!9V_O!NQSTVjn)} zf;W>0mtV|mpP(|6Zt)L;sjQIZ-Ihh~`q4=Dksk7=v<&2INuBZ=82xvZBa?I-_8a{J znFE;>uSQQ)E$GfYZG<|9VXQ5f0(`Q`$KL`RYXKafflCYg$?0IuL>5t(66hzk;cohF z1oO4Yq&9HNgz|x`hZSQz9l|_Rf%y+q7$BPtH14JTv~>A*Th&1Opa4J_WM6Ef&abc7 zoT*hR=lGHg7AFJqT_J@uU?LM2g=$;@4SpD|2=l`jI$Js2QCI&j*t#w{pKjA}=z1!> z6_eSD3j0A=jpp+6&Lu&eGNX4}EAZr4f;Ewz@DV7-%^Kw)*uT~-R^*wwtB40JdXj;=J(?76&zgHG08{`iD9w$ zYWds(LS>;Okf<$)$`pKU1}TmJg+43GssslV{y4p!~yW-?ei@6aeUSgXrpic@xpsbm4Tr9!35U1P70}4OD1o zkUF+&LZ8Z8)k>XgE+Oj9;;DxMIu9MvY&Qy$46i~U4S3_Wfr7?zEm?;Rp71v}FRvop zp`X9M1O*0-yZ5!ug03e11@qvb-@L-nWBa29&Q2Wqp;7u2Q+$hhA=3kIig9+O$cO|E5(hqry}d`5qQJ$C26A*>gt3q(=q{L*ILH%!EX({AMz!z2 zHNyL26bR$L#(J8n-}$boS7mhEtS1MkH5vT1u7KZSyWY7}3rTd7OOUM{1yj{`Ne}qP z-%nu}Y~>MYyrTJ|v4crgNz^;!O$GkF+&!3i(Y&930?U83zKd|UOc1hxexMhm$JE^- zfM4FiKsezm$V3RKt4f=(jz(Ty-N{N#IX9TMq2K}n{nmqW3*MI%mjL&aAM z*^fAU+cCsTRc>`V(R=D6V%{z=0P+Nbq}EayJvM?+)i>mC6Sm!4gOF(j>z5GGOAOHw zkCWS%6(7STftiNp%L(zB=%Y-1lM(NelD(kn1yxgPX_!MkCs&l9ho0=y=1>{%_~@L- zzz+&g44PnsWNsD17tCkafF^l+&U=HJn;9{K(H*K_%3m-HUdm*P4;a{tW~t1xt0Hrh z*Lo^2m=h$Y@CHYNhL_DLvfBb(>36iaPuAJX@AP;?{i1*_KK`y;lDie`a-QhF< z)qnX@X%alo>o480z}C-Q7Frc%3~VZEk{Vs<6Ekxv0ReICRY{1-Zh&!2tS$h+yQ@?H z>{)`7mEMrIYk-c= z4$$HepOTVtuWI;|Z(;SgWgOomR!3q5s>RA7mmY?2(bFrnIxhFRVLbe-O2rKurMx-Un z=~6~2p6HZVndrAiDY6u;ib7=n%?L2l?s5dZvbC}Ta_%#DjlVt(0AIRTPG5z2CmL=X zgY^_*Ta5CsurVoaOCq&JMTEeEwdcCTgv-BD8(`G4&Z_nh8HH3S_u71zcE66lA%ZB5 zOUTGfN?aUxVTZDAOtRm;BGFL=0F%)YTJ8s;?)v|q)e($LX^+}!|T5H7s3O;y;}INb}WTzQ#UrO~)I4VAP{a(hHjZaGM}}#_$t}!l)572h-Ehbv`~4ID4(% zfIH<12$`Y{c=IcQEC3gPRq@=v*hh*`?&x^3t1?p421F7J#t&uc!Ld#j2zL({b2r|a zLdG}vFZPTf6aiXSifXdldM*xp9UL4qwtoLwy+p9W-I466z~*~udZggj4-iS`GkHh` zV|*YgA3)?Vuw}x$4Q}G|7&e)YgK^9&J!h8fJy*3XhHIJBnQi1d+Fmy5v!|!gCJG7` zU+EVkw>doRnc-bX$>HTCI*;b~@vQ-*(Xm=PX;QrKZ7dH5QWM2wBxs`8**sPx?u&m8 zUv~V^Q?f-UG|;hOlFhR%QMqo=gYUYWLdGbO+i!%5Z$Krh)f=%{T2ut7;F=1b;rmAE zw@XCa+H4CL+glA4{a;@HZJuwIVlc^e^(HH)ET=PISm3uz%gp?7HFj$1+UgBnMpc-S zmo>c0oX$XCUsYZGI13=0_su_f9s7^klxU;wSN^0z<34$5KGAi6T?~+G-M{W82Y*Z) z78B1@7@UTR?sDFS@&+Zy6NKRH#hp9uuQ>E^N^&9bNV@|NrCjeT&?N4*+DERIBXbFH zMa^f>$wuG#7Y^PPh*fX$p#U+uC`~g23x$zha>uZ2-ys+X#o3^?vQXeebma@7ZKU|1 z4o*E-gP&jZLvsYc177G>jy5AWw;b6ZFB0mW{4~&Ia2zW6{B7+CLc$cVc9hx50?Quk zcZDf%v<`&cBCg;xP0~2!X9#bs$*Kt&6_DY19kAoPcFUrnnlPKr2!g@_VI1?VgE8V? z0tel7G}94=6vXu&v11ANqsm0W2D4NcB%hd4G!Oih3U#cZ_70)^=EfqJK^ct60H|~s z^7IaY1w4lwLJ3i+KS0}GmELk*8htjqu6Dmv8~jBFSm9o;bn%2@633)Pa~Rs}kB>AJuj6Me}8pklvlXdE<<~Da_Y1 zvu<#|10i3Wp7T|B_Py{+3SN9jLJjH-`tURRXuuS2^k%8qqOfE#=R+n`!Z*3+zR#4&#oOgm?Ucq% z`qPZ8(O>5R_Jprhr&h3+Y46I}W#7$9#@dagWU|~%Y|Q6Xt^~8~(Y#jVW+{}5yGj#D zNa!W?U3<*(@`T5a6@x7=s&n1Xj7bS~F5HZKbdxRc5kZig;OqGJi9gtG9G&WUj!10` zuNiG_3iR}*TM8;y9T;pFyJTU!*Bs?wv9BfUf{os>!lF7IYfS2Uhvd1Tb!EkyuZ-s2 zhKCbmH9dt?be@k)F9?04m}X77tY4~`yk!m0Kr@XoB)fkiV`FtstpVkA+$e!S695Rq zJ`|PTgU2Gbs_K5VE+-pXE(~q-e8faVXvBt?!ZA>X&)^;<3+&!31Kv_OsgaaD zHaP7jyLr`vHxoTj;&G=?o~)_(~jzxgcC>_KKD$FRSt;@Feuhh_v4w?DJ@ zhDoiX5zHW6Noh<6fdCFXg*#ts2Ojb#`-D*W%M=vP4iCKye}zEaXUIEK;2Y5tFE_w-u@Dd-6;l%cH6H;Q{euK= z9tSRvgjU;*_JFH)&*Z*^Mo+@;bJaDO1RGB7d>hux%*=H{=KkRaT1Wh>UtW(6N6Lq?4>xiG63ys<&CKA7D1PSo@l6WjyEJiXP0 z{%ha(J@YmCKD*qNEqLR?qoZq>`6SMsOBv2^9a$j0G7L8>)2z=JCF{F{#zrm4t#6)2 zu=v5+cQyC}-1sKty2<~+@Qe@t?EojZMKp0ffZ=27sCX91S-UA4CPqWc}l|$RXiHUPlbep zpvY(}SjfUpur=wj8ouvtao={%;VBA5>ranycU zcJyP8-gaQgB@er_{-r^|a}FsKY4(Qs@9iJciI@q*3 zRW&|2HB~r}Kf119W%ZiGhg6RG81&#PaOP+hbb$QOK0_CZ?uuSQt_|V#_Vz&U=BENO zK-?Y;gZ{vvb&!ywKBqUZxA&3Jwx^nP$ol2je7~N6#G~E;x>&JMk3^4w7b0yky+f5^ zl!y>-mU)1?lbTxe#FjKf`3_gpv_gb)P6xzX^Ejp;|vA}K@PiM&l14IP}$wEOt+BQ&X2 z{UqV|f`Q8MbVpZkxqau7bzMXNRH!9Mu!@{*|4CS^9^vFiM@LDN_6`th?-3=jXEqCX=qxZ#USy6?ev-S$%>_ef!8F9kJq zNL<{77+e!5oh%VCm`GTeJFriKPM%)|h*d1=(cRJ}rt>e-_S}UDb)gZ-mzl)pEz6 zB5Ju^wPN?Nz6FS)c&nKVbYni8tut$Lb8|LQvWb_wJHm7XN%OsxGw^TtdQB79zUf>2 z)gKyA*H{0InRcExAeGGSmq%mvrsc#t>T^wbc{evS2ASiJj`slc%OjHafPG^ zKWOYhc$1=5{ZR-N4L?Gcut4jshA+-@@E;<)w%Z`pjfAZasd%;9v6+3%g^7igRg6{z zrUW1)oZ?M|0#`kCdeqCxe$WQiwqRypi$y)0|AHon;(NXd*cT{DfIK|{4R)%iiUl|M z*Xbtsk}*a&6Gm1FfupKN`ZH{#7Sq0xm!JFDD9uX*kZg)DGpnmK1P>0cv$A$e6bbYs zd0&1J?VdFLh{l7ODq@cC_$L5`J&;=}_N)covmf&x7y`B3&@RRIt%D|Qw&l6PUFNRh zmbcxzE1o~ow5Rx%dQugh4?jU2s(pf2AK}e!YH48t7!)aKYgfPa<#k^q^i@vnGw`)8fed5kmd0#kP+Afpd2t6p;YraPj_vN?Pru)B$Ik)rh) zQY+vdW&X&gCoBA%Rx0c;+7>@<@0!Q=ix)X;S<1CXBHDI^q@)-@9Q?iq&M56`ajRA1 zE;9deGHfKGo-57YBzBWbl^|qdVk#^A6dH=Beu}4e5`{}Gub_Ye*DJmMk*^v&(Rn!{ zdV56LP2%edwBzjm{EItjIOR>*SPXE9p_3ckKqZ8NxeBw=XvB}X1{zHwz$?DDtE#G^ z%my_@a$FpwpTNTS%Cz*?0O(*6awDu8!T^bsbI~S0zBD3Ia1fV0N!ejqlZUiUi{4hEsT!%cjf3!!2 zn!0-Jt^32oyNu^)V%aAbMWuVjFT*`FEL@%uZ+4Vz#KUq=_>;%*sQHwOU}1%RU3fVr zJoLP!CHdDh*CWJk^=6rf_W%cPP6%rOF@tD69WO`Nd~-qX%Evxf?_ZN7Gc&nF6k=ew zMjd5vY~(qug||+h67e@6kO_Joi3%`<&$HqadQ{0zCF|fQ_%IKn{c&}~)y0EU znQI=DFD;aMZVh?HootVCjAr%*%C3axDRz51xmrD2&(F28nnMX<06k-j+(k86NTegGF93ErIK zg5{IN?3HMA1DJR0@wVa5(be5OU6qM5w(dM#b!!uVA3CUtelSYgFDKw?y|jgwZ`!}f z7_;`6lAivd15W2r(3+sL((SY{_r%m8s&gYL{7%B=`_1^m(t|_p{0s4C7rh@C8^-`_ z_hvpz%KLurUl2Ec41XO>wn_4*jPY;ky6c1c+4ke9ibLVoi4H=nuu}gj@4O*kuY{!% z0?Km6<ChxRfM?u3LFkThJdwsh$Vcu*~9+S{l zcy3xt{flBS_X8VI-4Xh=2fH7J8tq585%Dhke5wZQk?E0FW*%yf1RLw%?m)$|YfZ{( zYKkzzwfHJ1Jm>p9Ea>OWk-A}>&XL3X%x`IXWoXyAeztC+fzb%knbix-Wqbq;f>_tH zCGd{Dc~Pd%!op$;EShK%XLi622A5^@Fd(LNcl;8#$+1wM^gMusU+n{pqt zuefIIw7S4Do%g_vIt~Q?E{anCX_hvElo_Ae88_2);Nf% zy7&z{A0y1Xw&d7R@a)oci)K6z#;tYYrsNY5vimb;^ap-l1^0AG@md5fn~m4Io9eKv zN^-1gHk`^)?%gHD4|VpqNTGQFQ8i!O5!v~rW^2Y8Ykr7Ly>cMJoPy04_2PvNSGv*0 zZy)N&7KnzD+U}yf^Vh6fE&5)gE{rhb)YNYxJm>uni~+B97{&lRRE)gm(?#QYdXym3gsV$fNJw)j6{YQ*oy$sT1Ql@9 z3rNo#Q^X%mz_Dhq_Gz7V^E1|OgZCxQkgRidQ64rv5D#lsO; zdMnp~Dn{BU?@9%M{k%Ayk^uM7!d*B|;mRx^+y~}r3nXhB`j5bWgW~&_aAb1sj%J|V zX!s0X-tgo{%rFDVDDWNT2D0>jp=WKNRg8dY;0?dC&9hS%v~aENs7ovd0v#$qVUDEa z{{fdu55x};wYi%?B8l$k7$n4fQSx67w&K7jFaFxe+}hf*Vbo5X=uqPB^i5mmIbqES z;;7NzXRMB9xzTjg)ZXxiW7TNn>XWf^*jT81@VFY690q+yDeL-Kr{Ya%prA9dYaqAr zuUfR7TY6uM*?3LhTig$R&fXtPvOJpCdwYBH^YYqzF29I~&}2P;R3RFC1i zYfQ7yzG3mXP!md{6P_LbnDU>uC~#IJElJ7A%wl5N2m3Ey((yHNZ?^rlzaBL`Ezsjs z;bAb-kM~~X(xOOkj^(e`K#XA1kE%gL&$cx4Jiso{&*hs`_U+&#Nt+lm%O*J5`bs>BVU64vnjOf+a#XA$gg@y** z>>a>iN(MD-FMKG@4p+#aD+}!?3jEU40ue7TP27&5kL`U9k+*-F;a5S4CD9BF{P|1c zf51*chenLTl1hr8&577Sf$j@{AyuMfdO9pk5tN+EVCF>3xV6r>m;alZN?PR>5FkUf zb4xP({Ad`hfyiGt;z7w(unA&y30;MkZoxlDj7sxk*2O+h&Q#eR8${sgp2_Lyiem_o|Awx-l_Fn0Rw8|np2 zw=uz=cw1G2N2C~@Wih+=Lt&!V_Nzg3tdN3M$54+fGCT@Iu{0x78`lxMUcp9bRh_Cp4jB);}SNF8F z-!wjhaUQLk^S%g}I?Clydd|7wBa6`c{qaOmNeSxUmDYElEd@OTjqk!c1y6A4em6X` zpH)!fG9*S`fP$5eE$iN$>u(}nB^+Sj%sIpsM1S2hllRx!UO zx~NY;24Fb+$x9zqfT0Uj_@;9ffT!^7CcIf$3Om2hame=rymY{GN+CR+Sgb@|bKXCd zPXJh}`FZ~hKEVqntKh8O6?+=drA1;>D7M<2mQ-QOm&mIAHb;fOu=sxu#V{kU2TG9d z?ds9+8xfRGMnV2+a99|RsQ|>+(;B&g!Y6+QqQ63dJz-!%>vu*C6%~VhE=EN~xq;+* znBZ!B`@g(jxX|zE>)S`sM|pl+|NrRv>Zq*Nb>B${f&voK(hbtx-Ka>2fJldc3Wx$y zQc}|0ts>H>AdPf~gdj*`AT24}XS&C^_uMna*?+9D*H|u=e&3w$`#itgv4E=x|869J zKTb|1UIvisb3IwGL3pa52;ORy$%KuY@s!~;~gm&nPBn&hDBm3r#|bd^W~*iR*P z?#ENn$tz0XN-*A=ncaUA;oHrcyw(8!5bf#knFGk#Hg!VcsD=OWO2&ng^!(u&wrB5! z`qHPnW^aU93rSD)t@Qfz)ZA{*A0)mULX}4BM#|WHySk8XS#rlmQBiU1%^VC8d#don z5yNlRk^J*~s4YL4e?5^}AFFGRE-{r>DsD#iM-Y8L&k-&H*X-m;=ut#IImzD`*;NTv z4i?YS(9XpQmmirQ;G`6s6Sg%*X@3<);`f!%WK;&i1bHdhmDzu+4(o9m-BEWV?fRWuD^ zc;i}zI57+%dSBwDlPwN;lY7T`iL35~>c^TFNBz29QBeW5sB+y=6btM70y&0ga>`oD zfp??rWs9YcXjB*!VT)w9g2f{M{T8QzXDaO~gIa0?K1{u(P<`H*4OcuFQeuWyx8z}Z z@2kT#&o?Ntzl~w*#(x!htF0e*X>(dypDoNO=U={sIsk6MTJrvZ4(qd9{CL z+126Zh1z7iPEpUv1!0gdaG=AsyL=(fmw(oHqe^SM(z+ke+zdo0F*S8@j0x#>Z-{{0 zt;SKnWjB1`bOE0AO$CH5K8O0YH-Su0^E7`VzoQf5XUEziEc?NhZRpdP)wyuSn-}?# z1LG5!e!Gd%>a=$(jqU8&(iHOD9+pUNVZU^BrLObSCx}lZ@J}?-NC<#rA?O(XF_R$C zj4RXjb268qqn1{4!8=A&K-%!4q%Q38KY{?i5585!$hjZq>r!i~c@Bf8bQVyc`&vWR ziUzEmAhbX62T`z5rlfx+-+f6vkAbeIU2e-%GlSB6+H0J5r-z2j1MOU|EOm9MU!<2c zot}Jiiw_#3Z)ZA`SU+trd-Q!oNXRXfM^?pD{RCF0T&?>wSq-lc6N65>NV8WlKQ0c+3c-E zqF3_#I%4lruX_8{QYiWL?+d&Z0##Hq8v5HjqGjFG13w;}G$%Cu!duw+Z3jAA1`M0# z9t4I8$*}SAUSnK0E298m+EFP0TIh9(u1N@a`vVZEplv&{&kqNHz8&>MtxFc5Bb3a7 zx4ZJHINCVa@ePzHHw+Dns-tThC%%wiyEZGlBD&~6)WK{wS@(TOVDReWf-0fR!C4rs z(J0SisrrM32x!L1TVaRanE`b#AQjIWx`bfSw*#l@P(F^&yVuD`>DB>y`c_75HVFHEemmp8C;u>~^S{>2 z0mMfmpnqj7%-fbN!ta`>Ie;lKIeA(({s&S-kk(brAf}ElKB6S9O7|7-2%yz(Yef zUSNiVgfIyTjwEfRR1xo-#6Pk6g01!uvSdfEDslA}JX~oge1Kx35MriR^^P9CWxz)( zRW2^ofqn|5w1H|ET1C<9*VS+WqM{jUi=|gS>gwmgv66e-9mlHmZRaq}rtn-E(?SMi zc-1C^TTU~%f*6QeWByhCE~(OJ;nm1e0ii`9(=08O?)~Mb0XJNi&e-C_yMOLkLR&6A zGcOm{%?}kUO(gd;u3aO9Cp$kI-H~Hy!6IfqRasd20@iOdYh$=F3+_`6F0Okx1UPA$ zF&d8=AP^g#E&TUM4S}(wuH+5y{6ctd!*6CH=}iQ!Mbjytgs`W==g{tRxxs61j#o{_KF zpuOP-7_Q+DK761ArvjF_nsexVhQUMKPQxKG= z(ufUo+yRACO_D*n%u`WNWrjltJ2I}&R$tlCQAA=ZPs(yTNXs!au1_;2)JYUmrtJ0W z3g=B2?8ilcgl3SEn(nwGA|`*Do#-u8^#+rc%@0xgdNkTHt*u(j> zYI(PFK~?VQJ)x8qY-D#&SC#aC_tM^y z{G53i2JxQSMVI9*%Vnc+vi&#Rw-ZtNe^&wsRMb!=eifnNtAaEm{0LJ>8$sXXn7{D1 zQx`o9g_nJnpCtF5%N~3V4jIgHd%g_ZmSxwe42z3lB3eDd!osh%QN*FAk%OzKO(!Vx z{B~`1Q+<8?Z93e6gx(W1fp8DMZ+o_iUnHcYC!8NI$@RjzcpeTcxXzW;)E2=ff{CD+ zUTGMA;Y0@o|Ipqnj2#eZOn=WC#60#AuR&Ur=XZ80i38H}^3ScZZYz=fEnOXaLywA( ze#WsC)5Sdsu+D;I+G(;J!(YV@kAUE_JBqgF!@-J_2;M;NC!0ItMb#P^*VwLJD3?lC z2Fj}f^&T6_rfBijD(C(IXyyQxR}A5;(O^mVVZ~J=f}a?>>_Tn}Mog4wkK&(DsPN}iPEHLi(svNR3E9sV*{)|@Y)c8r0CE3ey?9%T1qVTWpWskzcn6-ddwma} z3>8d%69wQpG!RwMU*VDxV8K;{-YYm;$8Mvk_B3+YoxR$&Y+(ZjA0gR7l&zAjKt@_l z`;#&xdx&)FD=G%Cr9ekH3G6;EO=TS7zjwtxvB8q2q)+g4@uqhpit%0SqToK2*ZWQ# z_9fL+#&GS>Zh)0>XE>6#e7McAf97e>2JgP@?@Ac?JvWrOPwUaxLg3YY3|(esgzaYH z2$ZalCukXQ00dpJ-a0r!nt@a#Z0>pE3NoB~EX3s<&ahA-X@88&hy>|X7)2o#ch<7> zD)H@o*Ey7@9p3^^Kn`&a5Mp^C4ydD$-W${F@42+?`6I>ltcp*3o#0LG1Cchp@H)b^!blHh!zI5u@jYdQz%H!)5_{tjR*vmNnHdL{|*~ zn&f^8P$@A?>o=-n;JJt2>LwgfKT}Kr4nj)okKn)WWYs;e3<#l2Cjd+QE(^-ei{Jr6 z+atcdVTV9@n4DGU+@V&GxeFYsuU@}KA4+`W0LXyNGQYpQhDr}QI#wIsX5WT&(0Dp;etRM&}UBEMImdN>n6XkjPM*i8#Sy=XP@=r zZr9rdQkSpLtXh7n(!k_%y(^(5QAW5k-S75dpN?4eNSGwm`7KRgp)h&NZR7`6W8nOe#+64N0{OP{>xBhHU;k*UGlP&_$aZAkeT&+Y+r>VT42y+t_GilIv}vfxIhlUb}T|>@UJMF<$ZwDCIC!9uU1jFXyrLoYp}r=Eem) zycWPbKL?t@0<|11O-Ho7v*WxIG(85s*kIzKmoKeg#-Xm2d$e{5f`s4tj6#JjDrJE8 z9pT~K0}SaLf;vD^Hh%9%?~G9G0xdz@F*$fr;BNDJtDN9*y5-?Qrm>Ct&^nrvMVcJG zWP2y4Fg&&}}dmDM8TSB-<A&a+;l)C@&Wdbf>O*_c&+?d04@!pO}* ztw)c9Ap`RnU}E!N@GwyS4T1r(ei3NjHn}|r@B`|k{4xhTXY4>2C|P!Ye>L$Ohm}em zb~;rtwDIQX=*ZTFcG*sqy8FX6bo`LayS{ALet$8qK##|13mlQdVQ4YU-YBrl> zF!MJ=yF&)Rv|Yw&@xUUtekjp(9R{sMbrDCf93thaYoJ;Vrxbt6p^j zWTxm0{zAhQK_Y_hH`v!VR_WvK-T@%s4NfZPY8*bCfL9zYCoWBe-78^}b*8+T%2IOSYH+ArSlwL2<+1+GTsDDFbsZ%F#w&ncw1<_B^H-_g8fdjcX?E!D@vO`>la+f z=S>X%;tzvB(w2*e*ijq%4uD=RBmpI-@)CcwPm z=o$~}!bH(b8oyKgn+}@T<{<4*ng`lN<$3-124J<_t^x$n1st?f-v;`o2|6|wMK|44 za;;cfmuxOXib_e*fDCLziIAgUUfIKID<>&KTpP$@$M$%Iy?x_J=4D|>K*+LU2S*zjAn|aQUK7JKlob{$p6~o+s zdn~=R)5_BF5*+5?ps5^+v46)|E=n_uU2V9hh2u!C6(k zw8l--Ag@ePGsB`7Ur+?URM$NZA4Hw}vK|m^`;Y`wDpoAI3fB zpp^sFsa)+Ag*DWD5<0*pvzS+*_$Sq*UE8+j+NIn1D|Cz-J%qU3JLaVp_i?k6Gboh6 zM)px@UjRH@TjS&cOij|2bR(|%r61ih2zUWGfZN9 z#b@ckwv<#tkR9(Fkfp=TQEOH(!-BTa$+w9f&=E!?!kFRE48oMeAoosr(Bt+S!A?M) zou3Nn6&VpFdYYf|`8cgyk84mIPyxNi;{6|r@Lq~qO)hRtlDymYWf;Jx0;3)KMyEdc z?2~}Z8rV@qsWGK)X|q>>k|anNXZp&O!?T)%+ z^d~ac&C!Ww74*ml#r?LHRHH!kUl*y2i`0Xn`^a|lK&wBl%aG|gF9CT@oBgl?PG zmp-fndl5U#PX9L=Fpth3#yhnj`l)N7hcEf|!jLXE2~K3%n%A0H>*b1gBs`I z+of8k1R$cP@+9!^@QO{x$Hy(<=`KD93JS_uJY%t{1z##!nbWZGv#co!NK5IbQ{*1zm( z0i>~{udS<$VULZ>7Wu%QW&a6Y$xx3S=)}*N_t%0&!QS~RIy$;?Km2q%Zn#3Rb%S&9 z>RFW~&Sa)n#@D3bE8cVF-cqmioAIRw9X*SbmBa(?t8Ra|Gqd_j*<585zQrGBY27@Y zZ^M)@%YXcaEJ1SEza=+lDvJZ<#CgPs9DMxPBGB8ES5|>Rlz7Yi7o->Wz z?0(;IL}h=7;Gl{bk-y9c$Igw&c!1Y$#J>Wq)j7C1(%P25kH~{o7t2-qI($ zt_>$96PC)g{o{Gp+Ob0@2seVK!wOI{q(nw@yAS-SmN2e;xehmfXlQ8pTdf?2epg>I z0oT5Zb4>Me8qV&IAEkKVN=Z&3$%6;9zrlSXc^`d*mjkfI&Dg_c(YX&*&BW@X*^{6~ z8&iM`Tb8#L8(SU^JFh&kzxoSU?gd!bs#RE(fKZgLgQG`ea1heuD!dO}8y*1Lt_#E< zMt45OHzH=D&OR}(Ivsk?Mp*Ey z<^y~T@21caj#SVT!>T*aUnXa=w%M_(OMY}%hbHCbX}{bBWuaW{F$}IZ{+Gd3eg@0~ z(P?=57n2C$4s(BXuWaD$cLXh^&dL-2q3zk1@aio$euh`^B%T?Mwl;2`gvc5A(8Rl9 zpot0g#ON1NNzd|%7wROoKP>flkeUotQ}=1r4q<6{2K@AkjByZz9c!-W58Urx=Rch5 zQxg+f(Zr67Y>tU&+5_bS*8c zp{3Mh&q}YodoMP{goPP^9AONBtwA1cFW7Uin!}Y*yN}qc2Q%_!H>H{GlqO;JpRrN05Wdu(3q1;P9m$NG}`H<(+?pQ@ccAR#7x26*&z{bhmuGIP_1LO=SC z-N5rp&}}grosHE@&bTUQ1dBZ^SQRbbQ$?*?>p;lKREOqi$9xC3sRdj&npLrXdT5hn zf>(>C=)K3cGsx8&HJIa9VZyTad<)_qG$V+V{Teoc#_;72qFGhoBg?x?2f$H!E;Z(} zqPoxZckx~1ge?$Zm6z}i4pS*8U-th!elyqzjmaxr()tWS@ z^W-l5faxE6-UHH6cTYSamOWvF?Wx!*jd@TwaJP*x$KE#_j~`?kez4>gW!>v$eZoQ? zUfsR8wMGrMu5M8BF6U_3L1fZnfrP9rLbYt;|M38De2L9 z@9<0T6%53c z0q_^pYgouM;}gg=i$5$g*Q zpB~CN$nA?HKOnaA-<6;ltX%Qrt=cBm_7oX80;uRjJBnei!H3#j%>vqd2DjbOItmF?92r;*qZdCJAiH>@z!AC7plL2&(Eh+{PKtXqGtnyDzZu*4LFz>?mas-CygV? zu6)EU30gF$A_OMG*Hs5-W*_947Ys~7TqF$|IfO|6nY2P}5?he$hy072Mw#(sdN6Mt z&Y{M1zz(6kC^MyDrpEiRIvt@a_!$++z~1=EO6DL-2P*5ic;g zkk#{Tb@r-Y_SA^#zb&IH=)1tCSDJRxehn4vApg|r>$7{XS#+SeE^P#22;zIV zOc5N2B@ZQI&Z!TpDl2ynff0ub$G}5c=>x=qfk5sVK<9~ZJDabA7wMsw&Xq87Ebc!X5-QOdsG|a{*NpX8Djk7?nGrrVlY1-h+&ZDW|(C!%`P~?rQtt_-h5K~cw0lGrwpXk#7dn)t$$eCUcKmcaCC!r`2SMj#AR2ArY z3<$wKKIDMpjIdPa)ai zrmzX9vQfYowhPVWm~i7$TviQe1v?s`*Cxs@$!p_?k& zym`$p`x+OHg}jsJ!}Ch`g-yt6!1BSu#&%Dx20Gkz^eD5y~8<;u^XAZ&E>$u%yi77-N% zH>09s#Vp9;Q^X!*ZQ}t!qpPo9&~ZlZcamG^2*h-M7b%wEtOYokd)%A87p0?luO(&; z#?w%+5cH!xm7_$bZF#*@EK+i6o}Wz)*1dIcgQzTH z_YGLg(Mr>I?snAGh*K@Yfza$5o#*c#`X645-vGMzz+0Av!jxgfzr7~Olnc#i1Zf)3 zZ1~Nt`~drLCmWBKNq3f{4uqsu$G>J_YRQQGbs{>*Mn&VG0N3DQ>N>m3z;Iy+IFhUS?y$R?wW_iYtQpm+&p@(1V z+|j`3Km8z(Nrd5H*8#}XNiLge`(eeo4Oq>O`hcQh0%2U{c{uVWYu(t!CgBPu+F>Ixb=51M4Z>!nK+6Usr&ARx%#q>O_)n1$oSkZvsUc(uc z*P_~3P+cQw;H1=8c>Iui@I23-- z)1P8-uKoUmpIgFSdkX;Av7F?M$`;0u`a^BC(<*g+?LVffLS5ecy@Wl!JZ{mkG}}~C zaYhy*nTfW(=T1$>iKU!T3cOpm_Jo2aZh<4t9U#eq5LlgLLg3L(e>R}&Q&ms1Q-U$s zGu%xD%&m>{;a}gc?CmbyZYh}-0OmnPJhu6I+k~mxi===z5QqdSw}dmEYw{~Ag2ll* zVqJ7hjtQMf1THzWSK6cEm z5~Ez{ZD8Wi@9xMKbjR=9iXlCu%C;X9;W4g059P^8s�EawM$;30f3_fuIsIM^su2 z+qq+eS=QLFZ;J62x zKu-ZU-4|D_&H9ywuN(XMp!V-XU66jFgV@~)Z5S-FtCS+lt0ZbI5DDdMFR~*f>xeR; zjqvuv6j8(IQ-EeyR-aTvCQtnQ{4F?vb@^MQL*EY7fK|U&>94DY1AO!5%>=8C z*xR*YYD<9%=lY#KY~PnQ6O`?vkAl~En2Pc?L|X9M4>2IkKtjV~pgLK)tlb5OWgB>y zv6~m(P>_=|iHT9j>(w#~9r0~+{q+FFE~vvbg}%swGX$s|R^ys`=b8gLHONjtn2hF5 zmlgg3*~S(qw(gw)VCmqH2D&3Fh>rg!J>||bgKUCzKGe=L^!5Jx*12n0(hd92pOG$FEnm3x zNy2K%+NF?U{KzaJ>z#}Rjz)QJiF3cW# zuwcXqm-%L&tozb0rUbT-8qD&3_pneQ%V*3#*xq*b`L*uc^%b*tB|qvN<7wYIU3f9W z^FHRdcd|Xx5ZRshRgmF0E(yuXnz6$h z9!gd_IEU;(umH)IIR9)A{GgxiPp*V%$;3L{bIbd8#O85|a2jacOu9LuDgk1?r+=q$ za8(Tr&Zh6_N#u3`<*dC~G`!m?A{d6Lm4LfqB3V9vZlg{gl)wJZ-k!{{N%Yw zZQ$z%bLAGqk9~1{4K?gHg+768jhn;?KuENTX1fdP8G@>KVg4gP${{Gv6xd{>q;Cr> z{GWZ^)z{O)$Ey1bv^{Xaz5HXPt$oq>ofBTOF&_%{zGKsuVq@ztWEwIR(!*hZ4s-@Y zLs>x|@=LsC(b{aZ^UHPf>}S(yZwM2h<_;YatACR%zQPaf>|NcN8Y1?;qQhwAXh@8a zHrfpW76|Pq#R}Q!mFRT~ok-Sa;AbA%uCmrh-WSPVk-pU*TCMyCyrX zMh_rT@F}*#6^0;y&V+)&lb6!`ivPBcq5g-n0_PIbw1-x7^z#$0ag-|)X_sEZ5y^>t z0=k=aI4Iw)$9rSm46Fx7EaP+dQK(Yweu4u-Dzrys3;F^R_l3gJqni@cp&ZgD;Dc3z zE@HBUl|3&P=yu?iK806uNcr)c_YMI#tN!^Qn}LxHeVOHj5BeMob50fSwe1#mxoiT( zen;)2OvdL<)-WxgRB&xLOblKr_;XR0FAJq!L}>KW=YO*)CuDPbJA|BVc?*9hkXChV zs86S4T>02jgUOgY=sB=$l-51r@wLZTQ0vCl7h#ExnFmhFDf);*ghO-sVvqBZd!JQr zPcjzs$qo~Ky|{S5`rXPu5)u#!iZR(S6yzrut^enpxlPxnl*QVPIgvzDx3^U(tn#3o zBeUhAH8bOrzOJ&hYW>{K&AU6b!_;at%v^E+0=Jra-98NL0#i|soZ!K-17@@3AP^tWI^Js`r3f|{FY^=Wv)6D5t?Q7|9`3;Ptxfp152O4ntm$qDd z5g7u_Dv!y#i^%5troRM0a<#*!dA>Q%3UA|9kUOquJq(xOFt&Yndk*m{s0;pP4J19R z)uS452wVvS_u7vJGmrUmC_9kNe0XOT(yGviABu5X(OZCfn%TMH?j}c$d7L?AfAV+ zr;@%(;pgLzpJQ3W#+IluR$+CX8nAr)`+0CMqokyKPGNk^! zArHUaZ7wz6RB+%DwS5$p$gn~cHeph_ywP1_r}cpi{|3JjZaMe8Jn7V7atR(_enpOG zDJbl;vY2&4tM2r!CyJ)@P|zef*;g+5AM2M|1O{Xh`MYnbXKuo|WC?oqf4zPYO&uGK zTxKUuRDALIyb~3eoa+#L?XdJvct4!Gw7K4M2SH#gPz1yIdW;S@q^O(F)Kuy<)Cl#e zc4oqf*^iu*G#@vKW4uU#)vXo;u@IwyfxyT%RWvgJ#cQDAEhhU45{oqN-+!hbbb?%@ z&P>A+|&66g$AB`SYeU;VXEVv6W= zKVF)OjEeClqz$uXZGGfl!Q2dFS02v@(SrH>6R~5B->l)0fW`2%848tgOG23GS?_ZQk$)P*S zmsQq*=n)NQM@rQ9fCDSN_8o-{!2}-P5r$=aQ5i@yOvJ1j8VYi9ja9OI@FN$KILcg76VS_%}>&OSm_)sGa<5aY?crD?_F{JM37Zlf@rR zIh~ATUeBlJpYy*f-kBt)lF) zl?GEd2#FPbJ{`5~g~}e7HhV z6YrjtogEG2H&Sjs2q4ytu_)z*esz}jv2%$Q)viU|Gx0aCv3-s>)|q`@RMerGzU?9X z?kCI|wl2`=EQTu8KtPUBt{DK{{ud?Nsm`uptY0`==R}4d*EgxlZ8m&}1{obcd|vf? z<0gnd3Z$X+axdzEUO=Ncw%1Ut-oH5B_Uizye)LVAW*QXHm8!h1nXQq2?lIp)wxqk} z&nzIVCf@DSz-9{Ss?83X2T1w7k_(!scNW69T0yRh+nje9Hlmw;e(-})6y0RZ^f$Nj zqAVfKv!o{r=S!y7-}N^DA%!lifKp%~JWv8_u?qjU>g`KlF-^Kf=T(JpGhfHZk2X%h z&36Ka0;Tvv2IL#0gor*mH=1LewUyOedx7#Y^LbKL*|bDDkLVSdyXO0mNN9L?42$bH_eu8sb>fQ&-vv(#dyr^Ne0%pxku$)W=5?dy*n{SE=95$TdhAJF-S$qg9V zzLUi87NlT>-% zC&j*>i;Wh{qr%!Ayqm}6vCPkV;Lj%jpZP} z%R^%!;q(lIMRfg^P;Qj`ZqS>KL7TNA4!UhB_G^M?t9!kR9DYLc;bvtMD>no^H%)yz zf{s!P7nbaFO%JNf!wE0E54+43V%D>2tEeifNDGt_?Jj!#6Y#46-#RAR3418`hMdap zi{3!zfa-Hak{FNc$R`}g54d)e`zym%8V5sI+Uj6Che)E%O> z^6{f7o$<%9uZ>qcyXInlexi&YT$X{tvnaT^PM}8zDN)V?VMn>|KJ*c`K+^0cT+dht zq?^%Q#W;fJ-yA+4(Y7Ra<}AKEt@Fv?4pWZr-SXH37Vxv#ks)Gw_PZ|yh{6dP( zc&fE;b1JtIg|b!?OKhm*%#>y*Tve+AbL;C(9czSi6bG=cWpRbgTVMR}wthA!jfAI* zd9mdvpg#Wme#Pd2#AHhymsC8hgjoB(e8sY=ZmapWYoZrKc_)PiC(?+y!)9F{#f#C4 z?qYnz=nbhb?WJ>Dq3T|ZqqKqN*#2vs$jU(Gg=WnYCihAj8XC0F9yquk8%`dn_2P!1 zEMBPz3E0isyCAT96RQUoNJ4MthOMfFcux-w=F=8#Zf=(!W1RgdW_o&o0Q4EeX}1jt z*G-v=iCOF6E!Zb=e8>n=j%!6hZ;(ExZyzbw#$h=^6yO2S1o{|<;OR)u#XXpU2d6*J z)b*~AmjfG?6&9U+&+8bVxMAAmX1|1h`JrTL2|%~EJ&lx8aRiM}x9R+)TG!^bV1eM- zXKnd*pB`Mfr!|2Mq=RzJ;ri-i@J}6zQ%X$UgI6c9q|cVch^!c55TJbt3Nzq%Gspn< zo(p6J{5a6a#&Hu&@%HnyQzb3e?a_Qz9Y%mfcURPmRg-Z)F|wWs)sC@-uGVdGExRe) z!4?{7-(uNOE=?3o!`t6ILH)AG==MVRp5E*j;^|*2&oE& zk(E=a(ttJZYMetT=1|?IKAq+BsU?20N=wE9je2@|7)Z425eF(PIaGo4=z?o#AHpK& zh}9rb2&6;h_J?sjeQgWeHWV%lckvovRWl+U)bN4(k7HG?{%E6@7q3T`=iLPjoN(%IB{%br5Kaaul zvN|#ovNFM1E=lZRy8L9EsE?M=mB_ORF!?t^`M`6f#q6OkHe^mYcQ9#6 z+7W`xxnCoRUY@P0?Slz9xG`U23pJBoZpW>I=g8$K3KULYaLRbUA~w^ zU?D-|@!~yz?EBcNUM_PQ>6BlY?B0fv$NDb1Gpv6rXwR4ka<}(x)s`#_Q#4o@~#dHn(yfCUkA6t6;y6-QIDSs*d#`XJ67 z=mk{-4eY-H;Bxa>(E4YNU;|AGzLL`gn#i5)GJYrIRV^x;aQ{lS4~HLRLT@!Kp6@GBsiZFd9kRCF9>T^SPQV z-}54PN1QgJW&Th?KA*@)4K$XaGH9>2@|K?0&CQrL@Yt?L&Ao8!)SQEu#i_iElPkQ7 z?27}j>)=eFN%XHG63SEUadi3AR!|yxemRgaDek>uW3M{>{rv7HAQmdPQDzxJIq$|`^O@hrl?%ds#b2}SlTed=1ZU4{GOMydLl3fZ&`Wg zDDW;^Py%1yzdA0%1hi$_aP|=P$wz~ck&&MOcoqN`31S$=2LMro_0Eaqn#hp;9#YQG z34764Lrzf<4%!BllmZ0#OVuA}WC`#i5ro^ug0F2V$Cn!6OCA5UgeqEqfeIm_Cv47J z<97`{Rvp0Lb+oyObr4BGO*n-8VpV`deHWCummt+^YAf4wh3bPT?~M0OKjM!@JV1l3 zQLQU0Vh*hc#YE>{W*oN`U_Bh563UllerbI-rjbV2{!-DkOnd|l6@*}lT!U+LKD)Z; z<}}&pBZYheL0>EC%HJm-BD#ew@8TkWbCEKKcn4;CMv$RR(?Xnt)h!5PnQmg~8FcG` zl{Ln?9*45#5~#fZp5PQagHqTUyhLs0r<+Ov&n>@YG$&{gmZSio=l z$2C_n{>p$sI^>6xN$Ew^9G5JT0sM1zIE$4kY3X#C6GPq!)s~V z@#Oysn4Y}}vmDqIGqjfwNaW!1<`Pc#q^PVKaEm06axJV@ERAzMy$)Q0~E>T60H zkM7lMp7hubN?=mvId0dWA7l<(D;oM6~rj2``^%xVPm)>sr~>nK$y|rKbFu;{}Nf5Kbh_gGCotM z-D?S4Dqdx|*os;l#Oj!g&VoV?@n_F>N)z25w>Ipb`7Z2xjZ=gPxedrZ-Kg6C;wUp% z?Yz+c>M0zc3?9DH*<{^N|w`}_@8 z?~G?XadWdWlgxQe&?As5+H-c(uX|fK?)b;=-vc6EkYFDPw~Zh@pMU@{IC*F%V=vk4 z`Bpz3+PNt=@}y8tdB+InoXwCHfp)j6BIJBZ(#c#IT2@fcOc(4jBC#eLnl&Mjz}76n zr!=h8IBmrLp3tJk$&i|!ZsK&U>!!W@@R8cU2hC?OM>IE|t89-x%abR~DZ8T6RM-S_ z@D|8AHzh|uK6wCqQ>Z&D`1k^V?Lvky8{wS$muI@pUpD^Da5^_UpeJw&7($O|bfydl z2-pODA0`6J!{qlS*JXTAu{E=@^WAMMAsuD%LiUJrR*z)8c0&(m0ix)De^Gqx5*gVi z1(n*3_->`Zi={XC@3Ng|d7mbXVP67}r?`hST2l)lv*O+d{0IXm+M&Z;vF6_AgF`r0 zqQJt4ARw^pe9Em*#rnj!l$lG}n2yllYVsTbIH%BlaRdgY`3lsT4cF;&NC?41fDd>M z{yn#JZv9s|Zz|Tme-DFL8Y~1J%V1FN@MrQs01AAn^&L3a*qdJ0P6^iWSl+P(>X7&n zkiBgaj<&hU$iRRk^WMSC(d7quW-DY|`})Y{ys6_pJv~J~iv2@S!Xh;K1x4uhZ@<=-TwOZt3(QheG8H2TGEi` z?P*hjP>uY(m@n49boh#>Ep2*Lfg zT$3X*oQ?&GKk>GgH#SgyhP+YA``}?kWL8j6G5(@;!qwy$UaxBoyVJ0FppJV)ST_ZH zVW&E;Zx#*}XpsI3(Mz)6<>9eDpHUxGoTTZ)dUkd7Sy0hUYvX}#5EWwqNPv~2fQvWc z`>h!xL+VLX6<)%6Oej(6m2%^^w70V)+}I*M4n4_cr&H%Rm&nG*Jaup7y6hV+MHa>| z_}|*tYf|JYH(U0ds|piv@Iho|zTe~_UM=|&fPpC1x3+vYh8zsp}PjlZ`Kptd9iZE9l9zSK zBGOO)TqKVOUVR5mMp0iZMAO}=ZgJjF^|?c094h$vrZD)((mT!JM@na-y?TIbyOGb# zKWy&od|q_|I&9@a&BSsP-c7UT#M{Y)-!_!lD#OcAt5d0*{`ubL*FUtdUn*aS)37iJ z!+ve@o)?a#f!4>Mw}4`V3m0%EUg=^12(a2C3_#2;F%V@?h^FhPeP;6V|3a9jqE2S6 zVR=7Jl+ohPjd?& z``|5-NWFK@$QRI>wR>Zsem~dO`w2seeXm;?-NfURWYK!5ewws$&S$pVLWxlP7nFF3 z(ZTEAw%nTx;3kIrqIgGp`&P{oZJzv#A8Rqho$<2F_vw99_nu)m3*Ccy9w`Or4(SNK zK!NyY-s{FoBCPN6pS@r|Xx+Z+z$f-lfi7!LsamnVjp&uQ>g`-|GmU2Of)D zz4{{iFN`LT#kKlP56+qTh6eZ|i4fzQB9K3zZy(a%azo;Marm2{<6gU)b87Bp8qbH@ z+ORwc-y-xFJ^{eRaPK!g3SgNd9ZH^HWLL&|HPnF3PhqluG?G*ng|NhSBT|CkBHA!vo~paL3okT1t5z8o@qbaZ41 z8Bd5ms7%oe*o*B^FJ7nsMk>Lf`82xtE>rgNqkD(j%cz1%DaH>By5Pz?2YOsYcunRC z4nT1>`ekOBuNB~j1ipVS2Er1IoP%7Q-_IU3v)S(eYW{x+d+%_r|MzeBjgXNFiI8NE z5E7E?5lL2*RavQImOYE4Av0U{hz4a9NwP;uqG1!s9wE9Oug`V-?&G@txbOR)zQ@t` z_{jV9e!kA)Og^i}I8%d_g7q zY!i;D0RO?mq0e~LVuX!v=Ti{Zu(>(MF+;SJH8nW9WqMJ|TkpR9mgL~C?fLw%hCzXm z5TJGz3{d2x#ou3d6Krd`P&~S$Sc<;wT#9N)G>w?3?<_}L#8^5tHFYNHhpyG^;-Z?K z-aRM3XrFt&0j+j!2mKcpK6}NE&kPQd6?QlKMFV-n#ZJ+gwimoEeb^^hDq)a2hj zws`jTEP(gjh}5=bSOX}Cq^b9GPtJ^+UrD;mM9Drf#9y)vrc3jjUpP%zYPXF`Vvo$_ zTiB=Unq*s(H=H4sA(ONX3xZnLFMZ0}dBpd*pEQ-Lf{wga^If&LoQyhZxqXK&eIB8I z14S+Z02-3M!nr`?izdsukdt<>vfldg<;!_9uC+2|bHlHKnJtSx!WWGSO%6cbM~?4w zOZwdW@X(NK5}}DmQRN=zony&AX1vz}Z>9ZL=ymBzdLOrZIj%-MXA!i2=RvPVlV4pr zhEm=065>1)?pE@xYwPQo@S|X74R!jQ7K9%y?ExS}T?XG$V%Vfs+9|*wSk2w?Qvb+L zeH}m5e!eihb`gA+sNUqA9Gt@aCa=9cJiWYJ#!`;O06V}?BRrmb9RA71gTas3KDG)pm@1pHr z;KYCT{{8&b-`9>1s$^24X%e%>FOX4o9jR?Kcf-Sjs;a8)MTn$XP=ygvpOGe}c7|zq z_woG>yfJ~ga^W2v9i7X@nupjWkAItf^n0Xlt;9ck`0)HMDozKXt?K6!uTOs?*5L?kAB!I&6RTpV%(<-u0?C&?&ZTBI$uH&2O2F%YmAn6{*A5rOv1O zZ>(wQ>W;iVU0qUYqJ2;7kzC+@#e*AS$Bw0Kzlge{4tm+tK^js5Krz0N?QLzgsS38O z6O~4mzr5t7ot&IJF*1@lZ?QL!@()aP(@xMN)ej^xPHLVq zH?ED|6wxv7e8HP#Vwc1FicZjyMLhR2RG7;69ZzCGpb?frJbeg4p`Fzp@cq-5o? z-pkI{uiJ0^hw?_O`@5IEzD~6Cn*#?U6D~C`H_|7x=IQ)7=yzY5LX?FL>`R?l0){lg z9op&==lCsVSG;4s`&GxV8>d;AnV$|hLtiy8G0~LZ6I}G+s++58d72R`+iOEdjbdIg zN!w+cxZpmGVReTfWQXlLdNid(0um=87v26I!(N43K#3-Qq>R75x_CI@FGb^ceTtrWs$^p${ElcK6cD1cUoj{n&@8B zQ(W(6t~V*>Txwc6Y4RxYg5#Hf!xi>rq4av#HvovY^ zo&}$_KDbb5H{TK~(qL8&2geXBlS6mTUcM~K!4M`Epzq zq8zT~WuRTGqdX<{@1o<4g+7h9wY81*_x--6@qiOMPtbANZ2bf7<}Tm^mt|tJP-yvd`4>94N!7q!xpyJ)&*G2Wt-y;w8VtK z*Zl^EdvCz-`)!~Gf*QP`oP)JsJINvD0T~6Ag!;Qt*Fjv{m9F-syD7J9`T3XK%F62d znXI@2*^H5k7KaZXwlF(n_N>9KWTKQUD~|SV$r(QFx8r>7meW4(e=MF)B<^f>PR~(0 zx}WFtCdr?uxS$-bX6LG#s5oV9O%V2+OS@6Z`zpLo_?!wxf?@CEtFPv$s@JEFaJrj~ z(seC8fByWb|Au$yxE(xN_*FzDc5`yx1(x!Y!DT*Oz5U3NvZZBe@x4OttU^ZPB#kE3qBL!MOE%N*e9PDJ z3y(XJ~oeMS2(Pfk6UT}y}AhMUfwgG`A^B2qDpH6_}qGaWRJ&4 zTw9vWrft?{;FZhh3g^pwlaM8tr|-z-uz!==&+f}VyMiBe3*{A27epr5NbY;+wou2< zJicMHn`QE5f7Yuh#CbfquG;~?=Dh-Py2yWFIiJN}^doY3QJ+KWv`xgn)Ou-V{i4=6{8caP3y8mrV;J-hktjsB^BTq#z;5H!6JMV32&jW9 zdFDBH{^#o6 zE1Fv8P~B-$Q`0*Xw9K}L9h6VsOb8s}a9HZ(&wPR5)&4;e@{Zp0^u!-Kc5RCF>NWUq zMV2`LDR7ETJVcJouXin#*M8IDpIxeiGGZ3<>Qnt&Mq7-x+l=J3sJFMY=ro*)@BIpi z{WX19fk{`dUj329ub13N9gDs?D>rxH5h@TO=60ZjP^5Qv73n`B@8S85ThgrxV0`8g z_m8Fp!BU?Zx_0JV&Q&|4b@Kya-M@RvT33gJU^n+*F+gHFJBXJzW;uAt$HK$H!iE8hg5?w^ z6{Y%O>FBdNr0)cPv6@N%!%$+IDoDu6vaZbZ+c*3M9&PiWo^H2TI&A2&*QYs1C(aIn zrK((snAQ$+k;}D_(QCgrY47UzDe(gz&2m1QN0V~w%#NipdfI&DpeXXR&<*>{LlK{O zo3dkn?#t&?ayxl1<&(sz)yb%nx-((s>m%PzaZaIekPs*bsOiv^MU#1w@O&!T5Ly1) z_DBz0f4EHT^)zRhddrr5P;6aze}{~Od?*%zhCt6wr!<_Eh8ZcE{{%S+{MF%(T}fFl z&dUG#lx8Ezs!Tf{_}*nN8dO4)Kq4UYg>I1kcX5mJU>SDcH6>U16eRF+k*wh(!Txl% zntrquV+Zq$3t6BrTz#EM3ZWNnymRbDQr|-q=6`AAyrmjD``J4`KY-z2)+aS8Di|cW zrO4!`{1C|6m{lnw&5BGc`WyXY*=#T%VYr^#<30UN4)7%{J|ty2XBMoc@Ody?(XYC81rwKMm~_T6VDNo%a%SW96;->9-#j~0w3UWt@U^Id zLh||t#~1s7p^f(=2*A3e*K@`jyD=W>^glL}^7Qr|`p@mUOgQAN?->rVc%C;W$#S#1M;CjNfL@P^c#evhkUCCCryiPfv5OO(8r3cYYXt zE3(c3ZNQ!M%KqT@Wh?c}_p=GbaJ)s_!`L-!#5F1~3V&pRp^?B^>pbk+5^v&yD{-9$ z2gJIp^V`^KPV$1#E;-x9sA`>>qi$pL&;%YVS%T>g`F$RTZ?EJ7{+A0e0(yY z=A#z@KzLNxC@=7|r59!3`-NzUQwaxiFXv9NA4*c{&7<8VOpJ|p_=!+@bFtlZhiNp# zOCC9Di@xBE9J$-HjHcQ}rmv%8`)+YdHRLanIhY?`+q)6}Tn=iJWG|}H%2G@&=B_zl zSBYLl>>Gq14^PTocu)VS=u9sWN&k(d(}W_ilJOQ98CkNI9Cml2MA4CCeU?S_(iGdS5UHGaa2^R_G$p*Z9&=oJ z!T&mMT9GgH&YU$U^?Gp^NQi)t)5A^iCGzU4^UzIOv-C4hzTcMo2>42Kreb91MC>KnFky`SF7 z{<;p$MJ;5=4VR2Cw#g+`1HHCfAUn}?gpcp0aY+r32($nyL2L6!k*^1KBs=^K8$*bMCs^JUr~s%AKEw1W|L*<`4kpP{FK>?Rc;vkG zAS<^2?mg-~>pzb*4(Fg1QMeAUq~kkmg+@hZj2o_$ef^!Re(%m5wM>n>rZ;O){_g}Y zEHA%5hB$;D4Zxsy9x0R+f8HxDu%8L@bhC2EKBlejrWr9=G^^&bc7<3O6Q-b+566q&;UsG3XsG5 zhUM`crFBI1jkx&zQ$aYS)(67%Qsf75_dS$2M^4(7JqLlFTY`eN9Pem=w@EC!*av0T zDY6447W(>c1S#j^o9yw6hXV1Lex38nRFvg=-}#bWpf;WsxUWCD^nCyJ%~Rp->xp{l z3r3soHRvc~*&gWSN>BWWdp8{WRCyvW(oJ}~UDri}C$<1HqylCK$ng4!M`A2Oq7u1V zFhcxl*~6P332c4TtV#KX+SfZ3N+QA-En2#SSH~K`ZlV%Wc+VgnyZ3dUS23?7?{0N3 zQzN;c`M^{(Q*aMN>zwzP=(@T6Bmf^Eu{IAs82gSs)fG#y%|Ssy}LLZ)l)~aM)LH zWofBfZbWHkR#!_4-C?J11A7W=n`4x%t@lA(L2}?Tb9i;j@WkK0c+G3=N)DbF0))>B zkqFoF5s%4tVeLrPq{n-$d6RaRyPxV6elm8Y;dHdRag@$DO}Efe4`Ykk;I(hneo2RC z1_rG6asfWXMITq;gBP@}*rI9{!!)^I2CtT&fLsKcEct`)@QgCSmC>sQ{QYwf7zB+{ zh!J*KMsQbep~#ZKzS4o-68kS@SGh=c*YzZ7fd&lW;u<(K0D0PBaMiBYCpoz_03(sU);{VSxd&Ti?P ze|?u_QigS2_y=WFwndE}=yBYoAu6Qh$fhDR|8ru3hKq|!OjQO?mROC9Z&-?Spu7@| zc4`uTboeg|P`Bg=@#~zc4ez4(DVGJynxI9c^s$o=sXud{FsQx?lz*?HFIMH=y7dN+ zj?5u!1+&|vn&fD5)@#k>FlGwOU#|o-G&QlNatk;*>U2t8tq~`%O?hZ#`*ALx5hO*t zPzv4@sCAmx-vPh(;5$)+NI=WOSkQJ4x+g_XB!|yiRzc(Ci!37gYyp3HN+}2kND=jK zS3vgCBE*xVB(hoomZZ4kH2`L4>7Df@u;&avV(egKhMyXRfyWseE5^k&e2E0{v04e? zAF&`pPD{nM$wA!ECrEQWVUboq$FLM@Q?a7a=kxW-x$DWa*qLm%0n;ou@FZ zy3LlJs|%f7SQuV5lqP=)LnSGhf?|CcI?$T0FM+{%+Vjtx^$GO>diJrpO_3+Bg3&EM@BEH5 zCJFCF2htn7UdzBvOtvu?&Y)VlRXB!`gcZxvER zzY`{s)D{Y5%qc=AWI5F==}Elf{eNydBPFRa@q5q zkX7`~twHSh48N%2(@iX8t4!ZAO>ziI z!i7?D>x91k4hYQZ@@_)1JVXGrs(ygN==ktS7|G0 zh57T^wmh%#ylS zCx58@+~Qs4aEIi~aywa<@pIHxs_@{*f7$5~-!gd_RpcMDL{Jh-L;l+p@n`(lzwq?* zeZFfGxunvSX;Dg}Vm!drJ#COM5XTSB1c|aaNRhuFn3Pl$D$n^NBsf?Ck$Nmamq7#q%d)=;9_x>@&+?QR z{a%HK>x3#d&oGyPF09DBf)U{A07cbzr*V2O01~)*WLd4UkMH`6)`H{4{qXQwAndYV zo@A`$ZEv)Rs{Qyex~CTJB(W3koU-JxW57)Y!~-Qy z|NTH(9KmLHkH-oR5}S$ADNUWemDf@)!$7CtIUBgb+SI!YauzU!T;yJmD;UU~l>!Fd z1aV|R(;E!j$kONcAyVI(GlcU*R6*c!^)6;+=inh&+J`*GQIl&Cva@Od$&LbU$tK?= zI7lScLbljmEZTp9bjVXQnzl4F-zTQ`@@JP$?La*$Zki>{OF0F-Dm~9lMJqQxj=Dax zvi(og#Nqyb>Y4tNH~nu>)3&?MnYV24$C~G-3&%bs^RuvP)~Be~F>Vq)9J}*W%S&x1 zS#|P4@9n`ufRoh?_XI@~Z^DwLRrG64-wAuC}Go;R# z<3fg#Uh_6LZr(h2coG43&hjah&v_W<#r~am;bu!^p)z69yK^ns|19QaVq#5>edY0s zB`PXPHoB|Q z$0Y6_j)OnI6#+O21W>l%X;I^!Qu0w!=Xgi10h!UQBOAY=Gq9b(IS#x2!;2cNE_u3o z1&zFJ&7lNcg;X>~gNYM36`>y|-r+STb9nGa*tkGK%>{RRau6{;L8#-&V4SB<1~9@_ z)(&)EWOQ_0%nbq%8d*cuOk-PHw{4T)alI=s4&*e4vR72?ifyf$bW`zd;{vO9oJA3R zk2)e(qK`237`$cNw}C>pwpICW$RiNagUv1fi}*#hMXSW!ApApcjyu)?aHH50ttlzJ zO3dP{-6XI4je(K*4W1jS7+PG0-qD0Ao)Kli?w|WoQ+Pn~PN^fSr;==QlvT94B^CK> z&)C{o6uY=`Y^4<*FD@%9E7nb|%r;0u%6t&oayGNu|Ey7$6dcXY8!TT1nWm?yQoPRC zt2D#05%+mVct&LN7l;Sw`EW(cz{K2q$q{W10UIQg5u5auxgzQ_L@b29>PXYC1!VQn zJM37&_^jdZ;2LdP9e(`_Pn2oR3k#h;gwjr`Gd0Sz zbeW??{6-bsv<2^B&Kl9$ln4A%{C5p>5V7|op^Q*CwkL|2m+t^bVczG0r-F7#G}>DI zf3yGsPwWw-b8aLE3>jjN%Uio|?-J?6fYm+?p!X44lw?YAG;RI4Kv;-)2p%RtQW5yB zXU$DtIPSVE!@hFl(fPoPCGE*xtuI~x^LV_8cNNfELxZwcRZl1O9AU?#xZP^4${&tE|tY(QptvUBh$etwN}`j~%VX zyhq^Nqyb2W!g?={*+XK7L1JWT30*HC3L86@o0IdrLqNxzT>V#;Xr0Dp3sloLkkEC% zFqKex<^lgDRwj}5d>7{D4{hkMk$mQ)jBTDoZ=u*q@N67`Sk1W%GK^y zUT)J7&<+hj(LsMSS5z*YTS%9miDw%WhrtLKTexa$bOBnKig(145+ryvcGDJ{R}iY! z0ceS-(^oCBIF;A$iGIxM`V+W6?MyNCNKaQX_W?d_CgN4P3T3P3$^Cz-7JViTyC0iW zO&qq23DrykI^O5^fwwh7Lq|0_4G@*1o^?E{JrIEa`1!DE;o#L(}tt`{w5I{JaVRCKq~%sla&-*Z+C6 z53l~5Mvnp+haGGDji!Pt>FTHV3L3dcy-1bWPz1#Qn6v>K_ZXB4<>2V-xc3byXJ%Wo zaT;WSY;d*W4##eo=A;#TN31WGK&YYzmKeE&4ml4mKu;dNHvR&m{I@&aL1P};tf{8< zroTUp`k~@ZYG1G;70fHza(es=gz*D|GT%hxGQJ12qMK8=l#$9Y=I@J_dKS^KPnU1E*$ofOVzpc+ zt}g%2@R?#sf$PNKp~)_8O)8JPf1`Z^7@XF)L2jMry#(oo`C@G z8Dxi{ec<|1kF6un<^fKo^CV!}!Md0t&MmP=T)6kRj~Yg~!LhNNq}>K9%I!?YZ^TH8qq+eh_fkN2{loj$ z0Wo#tkDb5*@sPoJqZZE28=f6$$2$1*x+(1J?D!QF6v{2cUoSGQY+Ir(sz7fQ)MPW1 z^%ksE`CVqwXl1#ImytP?OBhxdYliUvLLr@_6X56N9U!1=>fa!Hn!`MRr$ef28LxHZ z=KDZ~Zv}Bo`rkVE{5bO;3=9s|ZhAty^W>08;S1KI?GONxcSO+&`sw{ADhVwAe-)J& zcv#%zV)`5LoIx^Jfaj{CoRqO=Sg~fBjRx}2w;rl-!%ef0KU=aSdEr?c4cLJs~z~< z;%$-(8V@e^ikWq(Yn*^n8|$ZpP0B0*0294PTak%Kym`d^`{2k=(tqvO+*JtY^5`*&|l^1Gq5RQ>E8_zLmNI z#5ugPp;ATw*9A`(bHopul{)McsccV>q6V@|e-1*!J&rxaZO)!x_K|%Qqt%h#8hG-6@wAtQP85VWQ!2@JmYiC1gF)_<3St=H;Ij*c%dFf5uQ$<8Vd`A zJo2Vzt6d30aK1{5@rNVfmXEHRXE2X^DX*%kgJR+DmN$zx5sv-cM`we-WPx#ddSb#% zH~ibve@@R&j#k+{GyT&q9qPK7)MnStP_UrC|G5MGsJHH{mC{){A;Z3OWeVx5Kawd~ zaO2;A$!1`TGJYlFJ z7VH(P4T4B{>ha5j^oE+tHxLga^vQAWtwr^&DN%2YT#K&Ba)2!z^w>Zlf{TTFtL`+Wu>(R_ke7n%Wkat>tB@ji*o6n!|Jv(Cjj;KvU0dnTAv3%|QY8+#Tk3(On z(CJ4GkSn&@NgbO(fj@|bNrMKlbuEvlYmfnDaje+#^&Y4t)jvCa%Bu~|!dtBjbB1TX zJPIdKXB@o8G*lQ8*NZdd4Um~Jj{+1m5@g^v~24ZZ=q z^+yWSCuoGw&uHxSpiMr%XbBBt%`xG($J_QZy}eVs1lQd20NHbIw?OS2-(Z7_k5F9j z9E1T?&s+@Al40{LV4U-(?CtG;>AR{uBNi?ZctZ}`9rnWv1hNCDW*docj%IRWMdQHl z-$gACTaHHF=s&Kqn=#Swc71-Vka=;2WC%|8V;t~(>0ja`FBgZCX)S-ZoMR_(VgxupQ)AJLT|GH5j_xi+f2 z>iLN--dsHutBcVOY(;*WkvTWXHIZe6G!g6xLck+*YKh1TBABcvV`CyWjaX>&OOu8> zDoirABYUrnF~bJF2`^RLIvj87>UJ_QQIK9uknM>N0OLkahSS0d3T0J)H^@E5{FA8) zwHRj-cAv&#GUB8R`a`<`Z~DNt%c#`!oF%5BpcKz({UFGlSMQ@zt(-QY28sO-38kv6;tvGH2{; zY-UY=V8kX)cy4!F+X?DlanuySV$E}r?Z;|AX}{PcaDQ2s{iLEex$bM!x2@9`_v(Zx zkG}r!H*WIFd^#^@$hU9iz$a&46@wbbh70kWOGb}PAA}ruH<4K<@!c>xys_hF)u)C} zGLT*47XDtYl|tcVA>XJ)Y035`%S)vv_V= zmf2^2bvJXNf1->N zeV8)+r&Iy6%1RTuhiiy-x--Fr(L&kLQMg6~%|RXB)77I2*g#FJu>@!{yEK}}bMesR zk1VP;DiU0%$jh@IoUh_a>kP;?#HYS@BX z`AnHp8(An(>06D)xgj&L0BIe27);pf8Bf8PRs}O4UIl3 zIemIwV(Y^4#Y3I>Ce1Fg917wi%3Txg`ypX$$i$0F(1gE6fNQIk?QQmYY~stES$8Zy zj_JuJx}ed0-MGuZLa8z|_rLZzJ>CzDXVSsKH5D;{uG-R*)cJ?^rTv3`0r7{U{&4Hp zVP>r8fS={Paxf^aXM33}dIp_;=A}t`iusv!-H!BsGPQf{AM=eY1OIt1n~dWoiVxOV zVozktu=#w9xcHt714uz&w5T?(m1~7{k}f}IM}136;X~`>GghWzGPrHW*S-TCAe^w& zN=Gh3OlB9+Xg;*rN3l?ZfmUn+M*Bv z5rmava{jZvzTgL*N_GHR-U$2@x40qngbnD`+dCi+zB&cEgfkKjyg{H2q|#fSNitVt zO_kY%n%@}YoSOH(xPW4+jBiMDwIk(7L$V5q7^BN-Z6vuNKPVApF5f5i1(1$Mn7GH@ z##Nn#xa0w>-jJL*uzx=}96|PE{D6-!H&04tvP7t#c8ZW02W_*EBRYQPPNWXC+J5;@ zy5cl>`C;eQoX{v9@x^-)RDZq}^Kkiz7@Dl=$iK>LFLGO7iAtTuVAKA_;1NK0py#TKVhOtZ-}e}q zn&u(TboFGybuYmyIKmJ~(J38S+|jWHc_m>|quxA!{=B;~MIuGlPLniM$JEAsiJvZ| z|I%ll2-MrJ557me56X*-rNXQI)dcvk`h5ZjDcFWqGL51AOtinCSEy&OmHerDyfY?FsM7R$nc#!6%O%`HP6xo)GI=sLpmc7!eEIRL>WXi zY6rCvlL{ztDz~nScSaR5j=uf=ofG8bkYetP_9+FSYC7hLE2c#zoM*ahBx`$mPVbh8 z^Ib%X>6%2vz;O#+A$Y=R16h1sppt&gEG{m-%!IP3x6)Ww7t)g21rk7!RnC={RK&~5 zy%TJ{gl#jkzv6tw&ok~jvd14CV(VmNhZRO(pD4u($qFpl2}4bkzS74W_>vznl2O0f z2#wGo$4|iF<=jI3E=?Zxip<%_;@uKd5UZTwB55`ZHT9)n%p5hjF|YQmpWGve-#@rz zw9Bvm|3{A^Jlag3PFr|N%HIYBzr&a-_p*R;0O2pkk5pIy`jUaf7m~DA>PU)VR;1+i z7SMFFm`=9d75-Pb|A_ zkJ-M|{4JHBZB(%)*+SuvV31JZCd-j*n~V~Sn)(a~4=It>&(~LBp_e#Vy-RqkgAkdI zXZW z0beTiNgXZvFS4X))DQR?DZXLtFfmom7$g}^BDwcsBk^JP@p9E`69cSHiyRxcV1heysI|v zOz}4y8>n>3!jj88YLb9arLbm6vn~a zGRQFqB;-lNjW=4Lm{Jk`8=K!Sw#i zAG{Qd8ziL`+7^Qppl53MioE_2{enG`vHcDrV5OL24qgsx=v}`xoJ>=!2W7>2JS{Tv zP4@vJp$4>sYiK1N*d4Kqal?GCPaSx0b;up8cff{fS+ID_&@xe{+pgx{5xDrc@!(Ta z(f?SQ6WKq2L4Ke_LSoMxTb4X5n{O-iV zSKC#bjd)^@KSh*TB&S1Vn!C5nwo~$2@$cyP1heR6wRVcEz4o<}TuN7=du2Xr>>z2e zf@Aol(nEyE(p4JftAF>-8h9K@`rJ;}$;QE$umkuYoYzn9nu?ULZ%W`BS5XnZxX=eH zD@>tHwhgH7+oPM_brLmw2uFuh`rH`9vM2{LC+9ZI-D9!n_1TEO`N6`@-oju6(Zo(J zE-QK#(edyR!UGX~E`)$9A-i!`kc2HVjAM`Zgi_v)r%~@?`u1~j)As4ttgWt|12#zt zB$gAQYco%^!;XZlkg2I&t#BQkh0TeP!q~2);vp${q`Ba)e3MAD?HdQt(RIGRR&2)RQm<>x%&@e zMRC)$MvBvbUn7J!Fr_v^_q6u{zR%mS0a+ClmwLnqG8w-Y1qu8QHRhPn>dPR$sW0G1 z5}ZZx{iL+CCvL$%ckr$26(4!-es|4$-dSV8NWMID;q*4Mg}Pa z6O%?tqfKr$*${y^rXx*oq@uAMzhqVJ9OU(i$mZCq;F->J(~$f3eCL6FzX^xvT`Vk< zf681tqN$nKwopia-|jV_-*QkcMNhGu`0WVmww4EmU!t{TsRo(CK0~7>6t&1p!^Gdr zs$ZJZOc6QKX*e6xhqZZkAu|Llc$xT@;w@S_IvM~Mnfelo_{I#9jH+*w(_&_am48sx z(b-u7X1Z4DMIe;UZIeLhkmJwrpO60puU;3M;4Re)5}1Y=wv;+{)1q~JeBbrY>^b*e zpYKv|Jr@`Jv;V~bsLYFbQ~oCVi?3@JKSI|ZP=!b`2LF=)|Bz_TQU+tQFEq$Y|wG9oLyICWZ_sPG}HmwpB%D??Wo6I8k%|{Qw#t(P@1E>v!Qv5|K z5MJ*wp7jPqYBPc(_$AQAp5eC-@!KF&IU)%T_n!}-o%;7`Yjh@s#=CW+Y_~Ptk7{Do ze(+%7OHa>k1a3@~AWzsD3KotX)o3&6c;q=42vgySKUj+JXLa>iwGOZ^;(4rlVuhUH zFN`5X$IqeQxg&6_24cmzb&a05Ptu7ElGd8Td=%W$dW#8JyIB99e%~gzB`LP$ei6Uif3YUJl&x%-~#eKxW!$dH6*8}E&R`zILo)_B||JepA%df>8@=9fV z-FgO7-fm3A=LaiMlLkP+PkkX~!NZ|gx`zJ9DBpmR6d4X^EFl^SYInevaykPqz9u>1=Hjp+t^Npn0TpkL2<-JMpUa zmE3i$ZtMJ=BaZF|HU` z1igy97Ju#}h$GZ!icW^0cyTMc7#g`8z6pJOtHxFS4@aWJf4W}mmiVMwdG6o$Jgy>> zLNQkU@%y(89?2E&&-m#*Z;_EWVAQ{-bB9XITp{NF2ort*f!#-}3uzm1;>Lfr?7Iui zF|~4dgm6i&t6x-@&zaLBzER4KLTg%KN7oPzP6h9Yb@d|DK*Uy*&jG7Y7tYND{9_Ni z<~hH(_=!_=bdy3UEbdgwW>lw~f$#0-6=;KrJh3@IC1fP%rUG&ELKlSa0gprq=oo4- zaaLS~1g0bG6I%2~pccN30YHoj*+3gskN;OrJtKuw%5-E&h>g4)XLLeV$NxWNla7IN7m4OuKrKiAOK)Lx2;Z;k#+z=H^CF z0hTp3Pj3pdYZaF-@(HqW8`trj5fl&zYfz(2`0&Dr(v#NlH;O47@aL8L;HeoTrptYC zb%WMU)pdiNG(8VaYP;QI)QFivBOsci=`Cn*RzU6%&OehF zQl?6E&~3a9){=vG0K`J3&dA+BaVXNc)roHOd=)|>2nw@somi_5$&9f5CjhDM`Y2Gb z2)HYgNTmtIz*wR*fC6`$vUP;}Z2Q6aD;J9%Y_f%KXxE;ovs94MsQPwbz_jPezO z%s=z}j_=Lw4r|XPY?{=FaDQZU)ipD?EfdWsWNQZM?A=}^VXqcZ z%DI~(B~7|{t;q%fu}19W|J!(Mo?#0fg}_S-3twL9xtG}n*0yrb1dNcCqD;19`Fd-p z`fQVBxX((lAUpT3h?J0}rRD7M^4OgQ=vG)6mXR;{)A3ES{eBpvW7$Pdu^W)Z7IUu~ zjczT_+L^#f)R`W`li0)y`F=w5-W@yAeH7*XT++H#KQ4G|C$?VL@Zag<>u#`p zuV0;nra&2-2#*1Ju{l)gd$(J(j@S;_yw_MJ-URTIUattvIc&)kq=g2)4Ar-QQN2j?K3Q&CbP*+0P0i?puV{ugJ8>SZfqo(UP| z&{tlcDGpg|rq9p%lXpR>k%Pu1zT@PuS{l&?7gPaN`{2u0e|}Q+MRS-akJ>FZx!ehh z+@paG3{W-Yoqn>{aDSrAmD@1rNNC&ilo#3l*(1DrfA!{<8`_qY^MUv$|3AH-x<2UbXDX*Y~5!XVhF*|3?b| zosu)0fbD-~`fIoVm!Dtzf|h~kKK%P}YVV`8Q;;wUhamuhl8`CM=%l^*_>l=dK4Ri0 zbLdvsYLJWq-q@(6QSZ0ZeHvE#o=1CZUYaO!6;Vp^6z-?b4h@G+nZZJ}*Ln{{C7gdU zNM>ORJ?SI!s24%X9{>TQXl#4YG~xdC{Il6tk-f%iXJ4MOdnfc!Y=vKLeDsL2KgB8| zI)u^Z4vSu}a%4WjK<1v{9nQgB8kgn$<+6;%c|4wn+=Dnkb@=@~V%f8f@jkHnWOwG* z1ZPe^Hif?(be8B-zZceK7lISg5doJ=I?9$V%{r6?|0QnkIZx!%=za%^D+B{xF-Ijj+S(fnr8xH9;DSEln-(zES9k}9t-8?6r z%9c5QScYb|iOx|i7oqLjx2G&{@4i?uQC?B;2vw;yVES_r`{d`MmKVAg7sP11^;Tj! zPZ>YFU9I`spyItNchtR-zhW*|KT%Y<&l{bSm0T+x8Ef8Gi~bc?7S%OLjr)7=;(8+1tvwbN=HUIL)AQ5jRg~! zF99K!m)&*63D>-!Va}c6mya)()Sp)-M&$7$2n_jQogWh}yv&=jyE3=i@xT~;;ST4@ zV}2SMWK>NbW0V<$JPonQ4Dl_~4Y=gtgeY-fmaBB!x3yD71-iv=GKW0XltmA9l9-PT zG+lp^RWs_7h@E!ete<`S2*Uvp=_0U&M}6&6*ZJoiP}a}>nY+O9{`kq0_SMCYk1{a3 zTo^r~wlX(A-xd4(5k(B`LQEK0ZyEwxQbLjGIe;a+Q#kDtEj{d2=$f-CfB|Ia`@W^(VV z`3igVd56^89&+f(dp3tW#W=LKI8i4t2?SuE?&YhA%w(qo^is)v;<|&=nj7BZMn;^`{s@f z1RAmb`0?XaC`b%uI_bh7^NBEh8tFvpdB14pXK*1BUC{bf_~eP0Bmgla=`|u|7Q!>k}> zguGv@PI!gi`(OB4XX!;lLN4hwI^K~szA}#fg@_@cHo7+bEgThRZw>xH!21OGH=~|c zXfGXliaCER!6-6-nkD)+@AgOmd4Qy>s?P)YhQ^T}|6A+#{}Es&98q}c{OwXqMUqBT zg3TO_x8&5xvE(2e-Kt9rKGfKkau*)W%%F^U2KNBtT}c@OTf`0OMT}}WNc7@y5}Y*M zIR^U|k1SP64r})+8jmJ!9^h%@byA5#ZWf5klJXXWXtDAWn*p#1{$WXqySR`)|G5oG z!?joRm9!CYJr8}xQj?beS|EAVfj-<7|jvv`9;Y8NNB)CD9&2^_a6`)X$T6HgE{ zS2?Nz$@=e~xyq?LqxXKxQ*5xNc#P`trUX3~e%=;Uy-&*VpZ1MY`&CF+Hjn!MeOR&% zd8j$Vy*tm}-}oHqru(*~%}xq%m;3%Zj0Fe!1**O?zzokBqt~u(Wz~QF(=NGVwsAs0 z(xo^YWdsBSs1ZoP7uS}_sL6OnMCx|T(fB-ixzC?iCN*RG9+^-@4s(|#rhVR< z@%d$#s&7P{>Y;kEMX-$`T`+`KXUD0u8W^mwv~REdGnp%WH{e}L5II9oMe+v^hF^X( zm0razJ-#9Bt|k%^j)D~p(;An|rgs8vlV5U@qb55LB8TKj z%YKlJW|Y2onuJ@DaNa5zW|=&2TAWhj-p{-1H;qA~ft>~4i?HYMh>YJ&I^iw?buHzy zwdLO}>z^>!-XpqVeOnWgI6dbR2JAokM(iPN8G^y-&!TT=d|(S$;}fB5k@WDet~Pfd3jlkY8XLhXhJhb{8b${gpE?xjP7y$E}Hkttk8Cg^-n^j#Z{4k zftnOZ_^U9v$xl+hpy^y@o}`;(PprE!#Pi_=;v6)*V^JqG&t@JC9t*9hsbO%jL(AUj zogXaL!risdbTsIP8_5*H2;A5et$k1=RQSQlL!6(80jOAhem=0;PTse!xAh(tEfNiB zp*TFeJV<=$+1j^|^`vlrIq$}e)aYr={@9-A{zFsslEZ;ctm0i=F0o-``5Zd0cq`Zz zXZpDUb7Fi)8h3_Tf|;YiVUrgy8!h~x;ed#>PSM~kg`*FRss;Q^7}G3@yhNUe9KK#L z(K%yG>9m%5gSX&{i<=uj{&x^PlSo9I(dY*PLxn4#)i9&(iGpbXwX>ILw6EY=k^7m^ zlE!~s8k+4ba0#$2YlTr)iXSZ-`Q5B~@3Jb=Mz0FH7oqBlsS?*e!4S4QM3hG0uJ5pM zPy!Piv#xJw;DNdM`opZ{ufMNx-qG1emJwxBifRvafB3UEi)Y`jokutruI(W55Wogx zpsgUiIldy<$wNVlzNxh)`mf@u1r1Gnq{79;>iix4-cA#`73N|Hm%Bs3MJOYH6+8d# zJ2cH69KDR?54h>aekHWoSq$(1cOcRZP((;1^sYnWWQ%%f-)8ggE-KiSun|r9o4X2H zOjcG$-;mTJ6)LOUU;Xsrb${@Ae|qE2*aem465pPmovJ%@_ONSji&U0(q_a4EkBpLe zB*t82O<{3C$KzXW0)uhg zu?WHUxeXf7C`67Z1%tc(sgNg8-WgQ+qpTWgY6FCKmxO+e0@%TDlpA3Zq*#L-^FBPL zvoD5*hMWP6@~s50eQT|ey4J?(T2 z;wSSY&)I=mS+MJ*D?As0$Hafk&?@z|=7zuUbGTQY?^$Ct-OS~wE5bc)GaA|Ly&a)dD$eDE_DYGAOfr>z40*1pK8O1YRCL4-Y}6$bI+xmqZxw<$H*>w9Io{)n#2+ADv2&vu+Hs36BaV1;24;Z!^F z3hm`5n#ZpZ0oCduA}H7?w-dIU*`=k?=dy&eE!HH8fr`^w=qFFVz>q=#!|T?|g&rS_ zneWnf<>xU7W$>=LGd8Ji2tH7f{4?$@Pl$tRmDxnItg~BP3o=eiD>9EnC2XA-D7bJD z_uOQv>aTmPeWxa*R~5kvUHc1h!llougti1?T~pa9ylX@NRptq!R9B3=_CrE~Je+R{ekDFV$Y&uU_Ae@x$^KqQ<1#b^|Vm$8+Q+@q(rWhXkoz#{#7w({eHvGLhYe_Bset>i>*QQ5Z7c%QWL4b4;f zJJoim2MGw?qEtH-GpXuls?WUTf$%MBb+s+oTJafMgZG`-v6W2dQI=9)+li{xP1y@Y zttCt~{p*_-{>omub9nFE51(em^{Lm*$!_1VkEI;DcuA&z2XPIG*n_Lz{Ld#VLh7#x zsQF>FFxEzlk?Lo_Ts);u)cA2p*~ED$^e)pklrM}arIg(q={=9lm~v01QCaU&L?TI+ zw-^dV-PxQ?Ww_NHz5mka3)mn&C2$R_syw0cm@*|7KS!Q=0!)`m^f<% zTk(cFzO{u@Z~lp%lmG*y<|psMqzi1F4v9d1NSTf#+*Aj-C7SeaQq*COvy{+2K!Sa*_@;5k3znMdDB0kQRxcAAcZv0T;^bTRL$f z7K8Nong9{+1}`hsn`YX>SAUp$+2S%Yk)4P4_YR(#vDC=bnvIv+&YU(d$k-u{e+bX+ zVooLaSwa&y{{ahP%&D$5zB(cfO$-gz-z0$};bUS(oQnsUREW!V^&o0^$3L>uhgY&k{adh*1ogJx-oH znU5mWfSS49qO7g*H5Gc-6R!-E$5=#;mwz4!D;(l&QFrr(@A??-oyC91MY#+5b02a_ z+M3$$R3+hyK-%S{zLtLX$;2~f&uY~zAjpva=9dJC@ozNj@7BlPkUL_nCuH&u@uE=V zWp4CeoP$97&5z?^r#k%uC1^BvY%^A+rK9`YqWD|~bRa}y=3jIj%D+joKI3K|^Va{^ zIo1`+d@;v3u=n-9kLLV3N7MH;F4NgXOdb&w`XFa89Cdl!lQY9J_R)n>SCzS#K<gH%ohtNaEX;nrIiIGNM_OX~vQ-Nm%RbbiA43>j;wXn9fRbC?EUhUs} zyN8tj?=eezK0G;RK+meovW4=dP)zkKnsT~?o_;XI_G!qz8C!k}P%rume%#t}`24^R z*lrGW{KV;3f`gfGd6VV2HHucu`xS3Fg2e~KVQyef1E^6HYkDtbi2o)y&edhfeH53fV_?a@LREVHaWY1Ta7!*J=0jg57e z@nc<>Djb({Mv8a55>dWa{F^DF_~xRgo2m7dYVAKCMqN=6(A&$`tazD{Tre{l{nzrm zSbBRj^+ckxLaN`j9UCxr=W?rdEdO>}DBY^`+x4-@Nd=EDx2|jWcvJM@@kB*^hS!-i zQ+EuOH=j4+a=}O$+4UENm_(az85{9)0XL&DqY`hCk-EE;QG*j7Q>!ZSVHf(H+kTut z&a*$Rrn75F*HO%bzvkiq(6d)xdrA!*uKDgOlM1(;K1FOG_l)-bBdnhIzh1_qeP8gVNSC-lB8f92fI;aG$!9$B zDGEM^y#au9{67AfGqA5?zECDY*>n9cSL(SItb_K7rSOgqcN*QGBF!FYnYVS3J#fad zQAmrb`=;zcpcHe4(+fXNbGc*yB$ROf=mIrrT>i z%JFXBSABBp=w$xxtK#n>Kc3pd$I7ubn2qma%eHOGs7b(_s^jwmJyD-rFZ#cwoFYOQ z5rWF>h9Ds_5;U=0?`>68X*ZUhZoYJgkbTS17)Q&gdeaLYT6{h+3a%m~Gvq*ECJZb- zF@@r+f8Ix-y4+TCdlOSET}=uTIFPeUWI z7mFOgoN4vkea)`R zPlgaa;><53^d7QMGJV=W5HHu9JP~#5h6o|a?}YU+35_76PDPjs9+@mbwh{uS`rNuN z4W}Ck@;ov#n;AT?y{F{H4aeEUwBzr(mYOcO9+-b3C2;iUV7j}ewsvaXPh2vRww>w? z#E5`Omj>t#8EO_krTXlTqb6U<0ospvkKBe0$g?9qll1+3!vhUbjD=Z7qLNotY`(X3 zT69h9L{@|?agK7jkL{e5sm7tge8_Vm)>;ht$$f>^p^tE`GVR`-Rbr1D>Zf~cVblT0 zk+yg$J>^}%Wg?j&a#MUY=YUPA*<0bgrELmxmlrSnUT#T_Ro;3q|Ltr3h-y(kOzzcCvW%3OLnLHS)K@0CFz_4POGM4SWBhljI{ zlqdVPzzreWKdiRszdeRpdFmzN^cA@}(!E%}-;aybY%vY+r05EfCIh<*HO!vmW;&-i zZ-3vnEs&@}Mku?9yE*WYdW_@SNrdhWuEgZlW^f9G=Kh=ZC6Q>W_h^WKq9fNAbtdG{ zIz8L24K*GdI8R<2sD3cHUOFSmZ-Ezz%V6DJAo(V(E22phjH9cf=L`Pv;N}0K|oY+yZu=q8s8)VO?H$dSUG`lG@|L;u0ltDG1oV zKp8^6Og>dE<4~{1_h9KE6I&?UX4KlS=Iij9YWUESYP}*4&%5FV=UP2aDiwAN13bLE zD%GNID-=cD?@$hNS6fP6g^4-nWV4i`__5dfEHvCJO^lw^&${&eyzuCb(>dQ`HBpL_ z2aK_|Y^$s#Q>4$Kn@bFqAodPL=KpKwAXbp_?JXI}gEcN_!@w|;0&CFx?Mga)lMc_U zR`84m;aB+hx)O5~Oh9k>o_dm#il-i1=owrvVhZ>D9Q4#8_6TQKu}ss)k9D~9ixMBe zaRKIXc@BsXs3pM`8$1Js3t07zf|YsRe)LUWC^9sA|7b4u0F<}@CG(@-c$Byg9#rmI zfHL;;Z-t2mO_dJHHDfW3Qt^f12!E|5Y7AgqBQm90X?K=Tcw*H?WpI@#Vr=%#^t^udD%iBuBYYg9GOBjEV8AJZT|XMlVd~Yd_^4L8U@XreGJF&bH zsbM7D54_j4c)Jc(e{5;_s^)-^-2oJDwqX&SPe*S~YU-&cJ|I?dkGQ`;>@74`R|Dpu zO?;0RO0v(sm_?$`SDcO;vlUb&_0=28qNGlbefIX4hIP_6<)4ck$ZBI&7Jt)sDP1P7 zCn+q8YU{e7fIz{*NK86ech(oHHEDO<5Pk7aod2>7N( zoDAt|hkx!n?GC99&+-28ALnFstMIJgYrcZ71X(5RRSg6=io0}c^6Tm%hfv^U4*+r> zlJvmrSk`JK!vjDJ&cQOM`V;AK;X%!!MWckl+m%beQ2g!dAuEawFY;^{PsxFGM^ zQu9zP++(D&J6l(xw{g~GI&P_vCyWpzWgh&328VyJrI*QU+bgf#7P`^Km?*PoYu@3=BNH9yh*(x0#T&8jib`e=E~%XlO9Uk@WW)JY)=j8{VOT zW!athpu2{!-@J4Qs+k&(BP7etlNyQ6kQ5vT4QAhUfY*tXG4}KZNGBa5GQ$j`__Bt5YGT$zZ1dCq58$cwRlIWYdU}&g(h@=j} zbWRc~8^k*F>Shf#A2NX5ngWJaR_QrwhpR365z}IC3wMggLW@M(Rr&#ZRQy-KBHqf> z5UFO~)Oz?)Y5Q6N{EfsL>K+yn^5E|g?ffVlP9LuMY?vubM1H}!{(98^%~OV37b z?~6^AL^ie;I8!0j@BMDIC~_|#pr<$S!C{3#EpE+Tk&BVv#|KJ^5n5L-!=?wlrup3xWfhgor4Ga(jlZKH0$YA5lB-5XnLu8vtMriL ztaL7h^AV6+Q)5UY+m7YpD3M`aLze_yz{|;TlCd=Hy?Q!3y1WEJENpYM4IEp*Sv+eQ zjSOaQ4*(X*;V8rY{G(EQ_xX@vM{-lix^F(8eF7;Mx9^f=9qx4Y=eTWIekt*v{nSH>ooSrahRgnC@R zYsBeRvIjLc4aCBR>v8I-S&L=teYjrO0R#yTP6-T`!wYU-$&O-(E?#bFX*qT6TBg&D zE@UC$<}zaZh|uw`uBXH67W)I9er!wdICkIPKN%l7NhnASe(QY4Q56!)4O~$t@A`lz zC$g&OCM!oDDnw>>AVr?o8st}gmW*ykHj0hHJJ4X~_)!wG1wn+L))3MxrS$hZ&v6zbwH4(jDcnT8qo2{crmFOs8=P+SDMtVl$;K*65;*mdM@rsz_X6)QQcv&qh+oM1f><>NoiZ?&m0JrF6p2ybn z3E2>PyI@lp!X2-dsf&Gx=-^BH@aj^zuKQSuP3`NKfCr}rJaLS7%w!lA2>@%fu+43l zjy_a-jhAfP^Vkn1;X4u>J;uAMS0~sLus24mWB9Ab-t?sRaZ=Ltvsdw&O(_y-XGGQM zh&^zs8r*ZYs(*l_G;tdSx{guyJ`nDyrC6kaAtx-&?>>$aErI(pv@_26ji#8P35diw z2dA_AL#PSr0QW7gKbDH3-^bBjAbs4yA@4*pnQf@$f~LqZN^-JOr%(487O0ASH2-LL zu;QqbhbHas(wDXN@^|mvT~36bLUStG_&L*QQupr&&|~bcgh53?%`2_1^yP%Cfw8u> zKOhaG;2|vkM)WeVCrxs9LtIikR&Cz(RF3TLHoFStMT zlHd^$X|3;xTFXGREtGoMwJvaQa$$j3)<=sC4IYITtv!&J+qKFq{Q(Qq4)uu+S14@g zu&h7qz!k4Ud0yIiSW*gn6dsl-GsV@hh~`0Q3i+Nq*&4Ck+FF74?{czSpfJl)DkMc| z%OHUeA-jZeK%mO0NCN6gAP?Fblc<;^1Phg1JB3C=7oVP*BPTm+bLl2IRH53j29)En zu{Ek(sxdLD+@)MOVolTs2gT(KsHmul)t8~0Ofjwm@UahEIVwd+Lig`dbrHW#e|t*G ze&)6a+X-$_MMdMp8GK~T(ru`kp2zigO=TVQ*@cC4!qf_Ow%CG7;V}M6Ks*Rzp(cSi zXSxr3D-inFK92L-Po&YckZbgNbd1Fo#)*cNhj1y-8ncDBy|*#r(+EG*63qCRLyl&N zw#OzSlTL5dz_?+?xmDxQfnnC2H5%M{jh0nS6;VU}T_;U8p+H$ql&MSQShF^&@+{qN zNk>O_b4IrDL1<_l0jjqxr$w98vfrq9M%N1SoxokI!=ElBXpU^B#n>bu1}AR-8az&B zWrMVQX$;s(B16VMLppCyP zm>%xq*}qKiO+wE;Vv)<-5}BQUrT?8g92T>^MOwpUAUIN>`To0slqe3QS&_`1jS)L; zkM+|ZQrp-LpUV1&JG9-)6(kt2*)r=hF!rZAJNhZqu zcz6jItMk|rVpr>+9A18dcc3f`yR{jBrsmmPtpDEV)_|Fl!iSkMc8ladR#0$ok$Fhl z7R#F$G1DisFS{J!9i+)fT)wCEu=JI*kB?V8BJwvaN`mgYx7p z_D1rW&y$zQIzdCSBfL$Pbhd)Xe=pm_nAlu=8t04ldNhJCt~5s;x;=o|U@t6T?Q5Hu zc5dYwVC^1opWJ&+@$BN+31x_-I&&^%RbN8K=+9T!=*d+=SbZ-%SNXxZG_c?KqtFSG zE_vW5W53^H%Z5t9fr0!yUmG%KeJaiG56y+S2mo$f0+V&r)l}ycYsNHlJpO}likJ>lHyV*0dZ^o(gwLCg& z0S#*OF_8P#}y%@(z|SpEexIAMFP5EDDSoH%+5c)Lpi?)+(dV! z$D3N_=g*((q-hJGxkDn(c&DI@UDE*ThO|*l zXpfJ~CAtn|7>oiu%@mkMj-rL_<9EiY$=Zja8)BdN8ZXc@Fo;h_gY$jUZ^1U`r7#1d%4sBi^YkUkK<%s~7UZ%F{q%xu=aIU|Yd=MP0m1e`~ z%tp~EMe+fNCYbUZSMF7`nL6pOdso+5!tm&i)GxuVCvyLe9ojkveKskZE=5xd49`7} zpQSWJ1MfpV>%Q?gw^s453GSO0FGS~uOFJL4ky6`Wxyq%N<^FMK%166~t-$)kDTBvR zlSSHtt2eG6YMk2ORW!}qyVYjpcBSRe3#X%==T7ilPU3lExpn*YPP)*H*R$P6*?IQ+ zZ_;*WSp$ANt)cO7zb8@T0iH!2!f79`z0s?!k?blVTPTwz1HNZ_&cCH0V7o}_BB(G9 z@bU@-jDzl}hj2M^u|MUqtJA0(BbU`-j;uV8f5p3GVRQr`gM z-uoW2xi+|2kGJYlx?o_1(Z%(#TVL%KY^K~IX>y-P-Ca96c=OA-zjpXr>7TaUCyGDw zUOSH6MmNdASj73vrv*qBC2o{57b0U47<$&L@HS1$9JS^>2bh9!dK*0ZMYKubd%X2+ z$M|)XFZ0U){HF$Z_?1-{$l5cJuk~`^JfWIRNqOD;!=;=sMZPjQkD3+Yr%YM}mA1SG zn6EhOqiOQDz-SP4Wl%okt{_*>X8M!xnc~fjmFhnbHE2Jzh9L%FMO~BN(a#3-Fkqw| z^jr#^%2AJ#q{WRbe*^E}qww$)=HJjO+dHqI*zpQTr0;{(M@eTp50%O!M-HIQBvQI=qv+N)&T z&50W4&J|Jha_HlVJG8RJC-e(Vr2X2CEtHahNm8~f82NMi74a<~WBIC&E>b-4QymkcxWPfBbrMn)POeXdtw;A%n=FN72yn zXMIq7wMW_F&aS~(9GOC}t`=5S0y>1qS^C2H?N`RWO-AMQPgmf$6G-YpdN$D#UR>Zkc<2VRfvkFISd>}JR52c9^g0h< zSs-Xrb@y@nwgiZ=u+YFYJ*oJ+WpFzsd5OcY-!-MLIhWW86^uJk^dvBA4itnajsVe~ z+9d|%YR6(zW8*P3wIJSKl_t5othi|cu=QQ_*+8^Q?l;A9eq_^<#hG12yQ$2Z~m@u)>U1SoJZ>u z+k5*c(t27Kd+&~qk6*^-9!C`=kYI5EomyV~P9KB~#fhhzE)QKLBUl%Tr(SHyBC#MC z2O=(^Ks`9XUmJcy0R;d@LQY1YJ%MdN;2c1wf%tz3@FahgEvC84D&Q+RrPAZCgE%<}=r^tH2TI9n zu+A5qC`Zmd6EpJ)$lliHAiwgsH>&+Rw())QoU{=<)|K$aqR}+mT z1u24>aSOZ8i0d6vZ#M8(R3t@oVDSqGe5Nzv-f;||accwS zP5u@w?U?aBX#rcGA7U+NcumWu#p#?QTgV?)Ltk3-=-A(}8#&3&0Zsl(v3s}ZQ=aYJ zA^t1jlcE)~5&zc3OIk%whl2qz>)H?L9`R#&R6WkR)mCbFO`X|c=8lAJk=0inJe$mh zRY20zH|0HQf)_FMSm{y;kSHvhl19p}>zM@B||cU)VZz7H3&ZSYIHFQd8D z8=ot$fxI9DLria%flPi+NCMnS)R@_yyM+`xvU6?Xd^ya{;mCDU`D$;9*= z)Q(Aj34My87!4@$1e_L4U=w5``fYV>Ac&|%4&cpDq&@Fo5ix$bM)&;uSYo7yDXcie z3jX9*w8?GN%dK##DB}ttfLc=CZ(SA6rt;Q?3HKYtVI$IdfQYXSRLGIeesuyHQ3912 z83|+lam)`oTkOH4cjF3@v;OKSlS#IZ2buT_=_yG%m!c;R@^2j|uQ0j6 z_L@^uAg7`6Y?bQ|>HTdVa3vT1a#Mtp`pXfMtGD~z@73L9`!Vw0*+4)H1;@7>I76Nf z+hW!ikhK ziu0t0oJTU*zXCB{0DYTz*hO7EL?jA_z6gOMK0Jqm9}oi5wWD`7JTW<{=xCxvGHreX zK}JMke`dR|r%{!u#4#&<;ms8+?#87~RM1)U;n2a=%>53&heth{Xuh@;q$s$?*gx7~{Xh%2ztFZ!%j^j4OFMiTjgnYjbnK57smB9QpW-*(IMGjYtjr7nQh=)?cpO zI+VV8FbtU=N2IeF?m@@$eb2w__xc7&4Hrs=J=bThu6Zi;$-jTVu`1%+`qAY^i~Vj( z$7IQ!b^mS^p7nV*w{}N{P0xL|e+m-^N63B7+gp5=Teq`ItM6UM!pwd@@-BxK&52Ix z?J91qOL(t;?7~Zss%j)x%rT8LZ#Vb@bOtZu-J1HeJuSe`!Lo`gC~q;mflpWY~|~e(jW2 zX(FndW1Qh}V!ZhY;?ADi5{dhHFj0ORW#Euamgj_H*Qa6hg7;r=sgE8%zQDV)agAX& zo2*Ua>#X05AwYo`CCx7(lg)av-sBzDNX(3({$}1=sCinF@ zmr;rIjN75)Z=;tG*7{wl$gbyNgHg_dE*FijTS5Z|ccxPiE$Wzag-EudafdB~sAqsE z*Mb?>F}Q>IA2~|*KMTMdDakgveB1s(DCmH+5>jW}G=yAxS@n4W8SSskoQ+vyJsO7R zz^LsPV%fc1$+|sh(?25`FY4L#e5IiN2o4^3dBZ`Sum z>8jg8B-O*LNUK{~E!j!f4Tb>`8h(PB`~uAkxPY$A-DZ}yJ??mGD|B1NP12ltvFjnj zbXp3HlB_t7_HeT>Guv2N05g&vsOV?sW7Cgp+1lw|7|~L`PA6NNxmmANzr{5A-f<6suDxEQLs=(Qgcj9+p!R%SB;zT!aWDp zIFPPBH1v5O?PAlDkJnL1i`ehzYdes<-c#}k0KNR?sWV}NJ0-qvyfBNAxv(1FFb`6@ zHxArD6@e8{3qkip40gPGadrD6Scya;3IZbN ztnh57mhV zd~gu^-M$@LlCisyvQMq?gej)@-NtXU(gxQ6c;|~ow^h?32dz!+xUbVuk{AE>2rqd& zO?>u$xv~761VkwHNJO;6`k`1ZO}OHibPHhw4KJk$la?~-2~LretPsUVZIIc9!1|zHH%TBl=nFKCu*NX{ z9+!<|hQs&veanK1oD4Vuf}W8_sf5iCtaV^e(B~y5O@Xc!RVtR*G4IjCE3)mAYZ2Gv zc}7{4t>{AI8Yu>hD13c3gioZThgto6u~;#tuqd-4N|Ws$dSF;Cf1ihRSU|?$J8$hX zX9CZ?Z_A%<`+uT)dx_1i!X&r&u}w!NGg8sBpOU4;$`tOmsx|sZCuc1a5Y`-fl2QJH zfr{>}ZFF=68RmyMlq4j+=(;nVk$rl?RA5zyh-(1*9^qn6$ zxO}CX+*??Ld1=1+mpD`L?AUVkw-;u7B8Hiy-$CR=3Vyv%P?1nMz66?sT_||?7fd(= z;_UPe&jFLwZyemular4XPD8zT8VE15Q!LziVD*WjVp4Xqw4Rzl>DTOkPAqyqeFZnd z-;Pf|ne>9VP&1L||0Cf06iO z$gCMK#ob_8^7`K;&ex1SPV{MpW=^#wQ~RH|WyE=5mvEcOZ-{)pfd_5`53*j)IDnle z3i3!mB$SMUTp4@Lrp;kNvFy!RkQG5zx1Pd>#Iv8Bl~u^-1A*3$tx&^IC5!B z(ImG^cYQ}|iS|#Vf+wkdTMb}KG&2n+& zx1$UEuSRieX3luQ|4mHE)R&jn)}>#O<#aCoizkr;}mwPLdV`Kd5&44&}dxAqHWZnWEpx#!b$3jD-T;0t6C>Q~U#RZ>IRgWvl zabZN6)T#6YFjdenjyGg9hh7Xp5_=#>7@Y*_%y61RqQHMLdUPc*bTexC{>pBZ z)A)a$eS8n|%YS+aWeq@wQGIGr7yJ}AS!oHIChoPqs(s$4iFmOmPturgE|gllB4Bi- z)iK77ZP$RQ7Ux2);g1-#b!F~;_2Y+k?of0!i09$sYfxc7Jh_Z4+MCtx`04YlKJEe9 zhpshnf}JaW@I2JvUEM+=B9o9Q@Q{GzNXhN4r7FJW&Zk*Bg?F%)7}E@LP4mRq%Gl;K z-f$NUf_q`r*2?26j>5g{PuujU5AAQ078Lx@nbbPDgfFeTH|lUo$Su;CM7j)&?okE} zB>ruFUXnR8OYWrZjoJS*9dmFuKp4%7IF^Is60r`Q07adQn8?yjD5mpM(Oj9>NBhX) ze`^6I>gadU*c2o?K5D%aKX2?(MH%;ga%7tfo@2RNST~T6cQ0u2=1liaM7C=Ca+h9* zkWF@n)V>mO%PVK3Ocg}a7d`TUPY&fbaAJAARL0b%xD-;`ROdKGif#yhR^d~*_es}u zUGnj}ukXwddo|3pKdb?{U)Wgz00~_U4EU-j%!*QpwSJqoC3Qc?e5`{wr|V9k$N6GU zX>a_-7q2D=*R$`r{w76+O&K;pMtRXuD|xGyw&u1rg5PVkTz!?W0%GiHe@VXlBSN># zrxUK}wC=>=a`Vsa)l~;G`p7DYmaxds0soFwPo-@A{1cNO(I-tbLI#-KyMI56zs)@C z2)HHbNZ%yq!Q1zS3j;#~GaW}l-IpsK#*9eRejH7{w|B2&6{$Np!pV4h`|93DJ_(8Y zy=AD%nkuxsMdi4MH|raQwG{xN`=Fw3eDi``4%6inF` zXPeIyoZgt|yuHhW6^1S?5t_9;KrKINCINZ3Q_$lrIZyp`sLy{oI$J=C7L z6MEl0LU^hp;4r#(?J+U?S`K0Fa;8T$rgfz%A?RD}WSVx76HbaG%?yA;HHl+r;A?60&XWAjsJJ#cv_j z;i6{XG^T#oGR@4F!X_{3>1nV=SuaGZTs@d;&dS8(k7c2657Gr*D)nOYB|w(ZqAn8( zlH#xSlZ04~WCoG<{M!1SGk@2;-4r>GgdIz^6pA@3+||%_enQFYJb4qV+ULK3wHoj} z<^hu-MRm_Z4J`TK7EG0i<>32jkk$F5>@n0n_;EEoN_kaz3y6H9dcfdAZALbIv=H|6=bx&}Ve^+=vZ6OY`FBy^ylSs7=McB(br2Vyf^Yz}a4 z(~vebhtq>oMnR#xKb2z1{9}M-jsdxcftaXCMIb&yQWU8N=gq6&V%gI(c5$BkJr&=s zzp?kdfkGl^r2?TIASZ!?NukR{c=tWLikN`N^gE^q7-2`){7pFu+x;ZjL$x|*dh%j& zo8HRvS-+<>Yw(d7B6io^zKau#VFCKk5xmmN2ze7LOO~urC@Zpyb#V#y$WN}RP#!c# z$jJ3#@(t*l?fhso!A0YqU2}ui2wKSxi6^aU_u&()SLK4p3F+QBPafc39s+_$O;Shb z8xEQLg^3=U9g<|GyZKoEB<`kZI@L_F^vEsyc0K-`pt)c@t*qnE@i%@UV!0=CqAAQ{ zJ&X^Uzv!P^iQXA7Qe8SF+Vyrji>auB{NdC3ZfENC>mzrP9KO7u}eftGGx#)nW@9f<@2Ly5ODJM)ybOR#5&|h zbjkp)y0@+9-P`AsHx)(yBq)l?E(n+(G#5BtG{XmA7|y0IqmV~4;k)Wc=J2`JidndK zA_7^o%mAvS--jvgW@BH?`Qx%{rrFq%>mJn|%U3knE7K^<45R)Il$8P!zDvT?K!{K3 z{04JDEHTUnB^d=!^+EXV_Qp?^8ZcevJW_2NPKt1hw2-BFt;oWw)`EL0iB?mfN>J&A zF~##YW92hpaVZX0c6ol7#6TTJ6X`T1*Umy|p{e=kT!L=+$?W0UCOQ==Igc+`347oF z-_AFvP;)4p1)yYy`DNS%5l1?G;eXb>*|mH8TVpq+CGNKD6Ba3639>LZDTsBKDGYHE zVN|MbI4sz51;1yUr5&O(P`kx+tpid!yT0Ocm)|;_3zwS646-n0+gHP;c42*jGc)^P zbDnC(zs4J1zI<6Ogk~qDq(onk@8a>vq=c4P>zEhX`qN)CC`br;qacc^$CJB=&*knL zyBBymtz-{p{%UjoYs#fF$6N^ zINV9L3+B$zkdQRUv`HVy%5Y$a9KWI3amw2RBM@XuLl9Ham@OMmEQy^~0Jwv+Ir%wy zdV2dkWaQBiOAb_EZhB5v6$%lL4S>jj%e*PRxF2DyG zQt;prm?M;Rg-xrBvqBb)Iu+qoG29D4u-H{QGR@M|JSO{P*pgwZ&Ll)*_KY$Ri$esi z=23|0GV;ffwl&Ub*Ndx6mC-o+MsV6?{;C9(s`p84GAYxdcFqGfaNRai#i45-onNPeQ)IFByiGR$uce zn3=Yvng?VEzgy#+`=QPZj`lQS=aW2s$^rVVLG5!-S*q9-$Rdtvf8)xy;%vGAX6=Y* zn|qI-yC^!BJM)JhvU7$t+`InZ;ltwD7f#pRc`+eLm&j|?`aczUVxOZDe67m(ZcWSh zKtOJ*EhP^_DPutL$+|ntH=l(lWPQb_)V*Of_Tt~sH#Fm}{r~6w>NZeSSJyrs1^-eH zWuwpM=tSk$?^}{1!rFqvDBsL%5%cWz50gT$g$Zv;@tYjn8V> z#nd-N`r*j(tQ)rK{|bNq1W{cbh8Sjn@cm5Bt`J<@ht zYT~qzDWFm*w#>=OX-l0n<0hf5`SW-JBn{|bcT0}W$akIQRVI4wQ39X$9NCV@4`n9YE5 zkkm@+|K&9A+1lMj9_y?~BRl-=k%3%Pi)&B3g?DONn$5+X{E4Gi(y#8{6yAhvO8$S0 zk)XNBNcb4*fD1jj4x*8taHt$PBq!HX{30;#x$zySUm{-!(o)?Ua{3&v@sOD$_QaUIT0`?y!jK;7+O@5Cm}eB{JR&pSLbNRUIi8c zM8v#BU8A;3$f#IdZqn(_g!h5^M~?2)iw_GN_L@*GWSNiHM@P#+&7^!TgD$X_)*-%NV&rIn)g|{;>MrRlF1~G_DT;|Qq+0gGFhCH zIEf{JhEbA;)F4z9+CNuVRH)yGn^FE(ff2=imdQQQ`co?raHlzrSd5;_ys z7$fhp9!ufq`u{U+x8zUPB+!Ao2!E`OxM}>Vhy|ms@#}PydQ|v{{OxeiPI}%2$MI@$ z&-;RE35icyxb%Lt1kvbyU46rAtjOPZ@mjQpq#kLGH$CICvEhgDPML?v|-mDZLpeoa7Z(^>^##8sE9;@K%oKP0yD8yWlY+j zjTYA63}HRs*kQoFpWzfH30>y2Ib8@^jOA>Itn@EE>f!95Yzp(R!i;YW}Hj4`(*Y-hk$H zNQ+}4F%*Uf5Af7QO8?h?hBFNu9BgFnV)KO{Yb(iNt`b4=>wj-EK;DJf1$ANUBob)( zH#(H({Au+HEOVSJ&lgOBd?ONY5jn+BlbKw2F~?$=O}9x$IVU{cmZZ0TTuE6Iu#YRVm4et77a@}nXeXwFe;yGIrr0`Rm<4e&en@(@bTb6`G?G9 z2xO%9kX2Jy#UFyHDmDBofMnq5aGp?+G;>XkAb((ok5tuGFR2XPtqN2#wJ8Oi3lhWY z%KY-AUmS`J?uo<87aj^b*DxKR-@aW1{MgMSc#WP9^nuv<^vX!4AL>VvP~$J?QteN; zswD@R@e(aE>G0YPyvj1Aw{HUP9{p12L!9C3+Z?Irg~({G!V9N*&} zdgbfRTtVK%;~O7!ysuY|yOi4tPo^^Sn!OU-zdbH0>K^`yX={cfzjokadp0T7rK-XZ zxDQRW!A$g?pI}Ldeklld0RkA8Xs$#9?fxPy4W-Ko?j7yR23OsU z*9q}Ai3B4pH$2!c{mO7@60v7^XBkK}phdn$Ke{Hdrm!_yR78X*hs;Oo&0hAMAuu5C z!7`b>p$=3$A4qOM%?HC)=lDYx$B)=%9HSv8i|@V;e&xwwekDGhggP>;zTrBF&e@4d~v;1YFs87|6TF7D(M5m<>Mz+YMZA=e@IZ&nEqLLs*Ds(`{~F*)?=5Bnp?BIn6hXcD zMVaQ77ajTC3Wq2|XkBH7*E#$@bUU2z8*Z{1{DHWDTYo`vr@k5>rV`-UHbxat!%7ABVlfRyw*`e2)RB#%1!Ui)W0n1{1mg^;G2s za-V>!5<%-Ehkt(@nV6XPXYw#A{8c1E+VI~R#WTB%jg|R+c5U;oh<_kJdy4Ja&!yEN zmvUy8wpMw~vQLG(4o~ly_qg$yTlUlCixmE7SgidTJ~UdOW%1dB)ljrGnUXo>u;|<) zhakD3@Z}T9Rp}d4eCA`Ui={D?w2Bj3caPPWCLMPt^E2OcjgVU$y323{uI&=2g2?pC z=xXbvB77za#X5e=afkayDl)33JABrhxGy`>FCVhTISK7x@(V?40Hug%cs}QfWxKt~ z%fnu)819PMT0)P50mf!614m#_{i$%3maN?oMX_xkB2#fPu^&9uDN|~qwc780_^E>H zcG7={1wt~ut|NBqIV;#cUQGlXwnL|J?igV?&3y*70MVb6bbIO$Nmt<$^v#4Ug_J#3 znth(|v}z5i(l>8N|*`H64oV|zJ@KH?6mKwF8VBT7&+X?%euoXsDZoD;M zQOV4X&y||{Dc&jd7MTe&egDXzG#%gkWo(12=;S2@OB2@0rSrQo!xf`X0Wc~oEyDRja0&4z= zh;sUP`BKgpi+{ObegA}?-oVWsBDRi9=up0)=NalkeDt_*WWE2%l7jnOvAmKj1*<2H zIHXbLIXfQ=jF@ZQEPQT??c6y?R_=1p@7ThPt}vN;XrcS4Ibfea!uT!EC{fcKognD6h(}MJ3w~9C0xN4r zx*R00=km03jM;F|kdxp@;shZs6u~s?$YlP)Kxs5-B)y$o?N+4Yxv zs(;J8o&Bh(Qtlz8ccabqTbSGjOFwVx{q50 zM{u{mKbCj=Z>Ax$ThyD`^qJua&IT}7h=@>I7l1ob9c;g(Qkp#i;A?&I2I?{$ar&i?ix18&h5J7M9toF_)i09kZkP>eTz9R(!UiY_H1hx z6K+1PW_!@2>ZaQ4aPi@wbE%RFV@%T(k;4w*4eC|%)=mr~Mvavpq|i7j*nG;**}c=x zB4SBx&fPm#j;zIgtR-OHBSX}ZOX_TiS6n@rft6cO~eMrC_QvCzIq|dsK?n07#RQ{;VGcsdc^Avg zt(T*-a|{A5zl?vWza;U*F+)E;MWBom698@!osPfAAbz>u9<5` zA|$-`x>*6{H2O~hpwgU{IErnBuy^Ju-g|0^^cUHOek~*+?UPs#=Ye%Yt)`5`Flw`X zq!L3eFz^5zbgZxU6r|Y?;C0=J4VcQzvhh;RXX&F>SxRh1rCbsBn39G6d`%ey>-8pFGmRr7ItgO;!S!2!kpCY_u`=2{>=^>nOspZpn`6_CyI7!gl*rS!7( zcbeCSkdcVu1H!c^wNluo^bTqPauShXiXei!aG-yeIfKQ{r2U(F+h;P~?^E zR)kYXx=Q|C{zvO3&D*(MwpkkSbaU#%5nd0nI?}IiU(1>4i}g-^(0E1dNzLGRoTbuX z<@6H)bR;z?^%xDtJ$tU%wl(mRe&p?s!u<5e^|(Wm;n?!+WmjmBU>wXV@^hZ~E5UcH z+BbAHdF#RP8f6xhiPU&aErY8T<}&V${WoF~4P5@#txhN>T|Tij_Q_`SHlkvZNQJn5 zfEboR?7n>DXmazQm{mjK?mI5pV{2CQbocV;=T83&qwt(43i?tr?{-gFe2xGUQ1C7w z3l9tzbQOXJhy=Y7&((t8rsveuYN08is@cVF{(UvupzuGAPhZW0t7b|8nW@i$oe`2F zjv=S=Ax5Ih$j7I1RDkj9w+}Oa(J#TH=p{l1g=7Z%=kIjxGAAC>``CK4?yeKg&`B_fr+{AFV%($TDN8UhNI9sq zvWZ=SdT11fkih#O^#5;yryHLcNTFTytFa5=;iWr)nF5613{-NotL|BH?j5kD2aoAo86zd zbNTWnTrqRPzDH;}6S~bNPbQE3tBQT~ij}(gHf{RoMXbF>FJzNN1qA${W_X}LS9H4S z#%DKH>b5y4z5&^1L*1y7bi?V|RbMW>yxpzsk!!$R%~f>{qkHv>OX5;evT_IK4)7(( ziE}T-4}U0lh;jfz)212JfdPrQ=mM@2$x0Ey1zgU3d>Z~u{iDYMme0dMZ+{}PLi$XN zk;(b%2J!00wY;q@!#suqcJE+jPHURS_Bw?7vYzq0A{hx&bl_)e5+POA$yrdCtGEkj zSHS-cye+KKcC6{=pKh-)j2J6t7SKL+;)GvP!`zBF-drLGX}Kp1P!;T0pVuP9tDqeG z=UvUqOw`Q6Eo+k^hX)DHM70MIQPw0vO9V#MJ(}^!n#csLGFA>&$+DpOZvFy!|aA-TVY%3rsv0lu4Sy(mh$*QGHD4q9z;Bw6}a4 zg){Atm#3-p-|D(_Mnj|X)M*?rQlvZJXCEgdWKUPjyd&qELt;FV?TCC`20&@LUmguQ{4r5 zob<4y+#ApOCBshp3BO=n3bT)g20Vy~TQwQB0k7XyCxWM#y^(~*O;SB|T-!!-Y z#fnEui|Y>I=j)N{T&|vSp+43l9q#e?YVJG13$jgd0u8rj{!0G$nrCUwNIEkcv#@O6 z)lECTHFf?`j6{P*;Q_K{=Ux6>jg|X9e)QeVgiS;-AG9bT3HeXYGX%Rqu?~Gqu;5CA zDutF}%T}SDRjU_mH*4gK1Xn(=Q<>hCRh~FPo<}>}c!#(Tac`1Hgh~;L3(0pal|6Oh zqucWG@-#~uAob*b_bhtzJW^*~JoV1>vxE5RB$(qO`2qyaZM$lDGAeV0Fyaugg_?%2 zMPNVA;8#W5l6)HAiCO>;k>xV>y^;jjC3{P#RtH2yS2Y*|BCi;OLa96ZjeP)r zYvzt;{3o2nYL1M^9ozYnljoG%_4v12tNH%fjk|_q58pb|$(GaqVNEpQ)b| z?~COfamKb5L7B;|3X9p7xi9hkaaZ%9(tn$#-9l*ap`OahT$N~3yo*ot^3VQKl8}a2 z_4D+pqtC-Fjt;K}9t{o+Eqai-y6$~026;j~o%&jzlpsbLJUIL{c%hufH%QwU&o&Tb zm!bbm5f35v;cL{_#$MP)>?$(|Y6 zQT9ruMfObPmXN)Yk?d6(X4zDdkPzj0UHyK)<9MFq`99A-_i^7xclB|7uJ`#q&)4}H zpq(hzVe>YAOZwAm$x3iq%O_6~3JnQW{L}5nsIulbtm;SM75t{?Dnb7ZF98=5#%OwC z6`<$SxmK7|z0ES`UO$I=V0Hok9D%NAB#BdzO0OIyb3sA)`7zR` zGDhPbWji=Bg>Wb($^%J=^XQ>31Y~jJjL@`TpGaT(eC(I=YLAmg_8;cL_S3{IBP}gI zXiHuCn+xK*7XP}jn0{w2{G_?ds=KGBV*lq!HZtvyGt4TDv+Oi0#Z2w}ZsJK*Yxw3{ zySo0II+AYPcTHBS>Hn)CKeJ0KmyV%L?7lg>Aa5LXwjIDWa>HUZ-4at z6jm#&0K>Ig#<$`d(N_QW)b9h0?QGM4;Uk_Clodk8xO3MCfY&J! zz4KEn4de=Nlv<#gUREGPU$sMoY;X4^*!8%+Sk~A38`sWw$HdR2ne_Crk(pV#{&&P4 z27@~7@c1uYEBXg$1^5V0racFa95`@tEdy_|!PF3-D+v6QXDk4&zbUvN4c&cyLZQ~G=lrIu{IDH9`2x5g=@_hFEg28Za+cHX75^;~8Pe-&$~Y;Zx&=zu&R77td|^(_t0)UuY+2)6;?~Vs-ObXV!7x(oKVekZbh4WzPnR#hJK{eUW_&MK-ZNN;&?e|Yd zlbdZYSQz^!T-iSa4}ij}E3Tw^_;@^P$w!QvYu%rJ5?!S)!*Lf~@ud^A)486++D6ae zyc_C=)c>ZI$!Q!@Yt~4A>fblN!UG8A{RCmI0FLQI-R2*W;9kL^PVYd2wWBdhq6bpX zMIV1}bAaKkw5F|Z{aJgP15D?cyWC@)V#QcocC#IFoI2FJem(jDm-(cE&bi&;Ni`jI z%V|N4{z0OGk)JKYTcd zM%l33IjPG?+GIMaO}2k*f->BcnZ~cc5RnH5TdNEGD6pz|}DMV`(|X%6#hjP@A8j5;77_62)Exv*~>aRy@WfP<@#G zc`ujgEv)&MZw=q;RAtZR$$4-onKv`Gs}R|U1Fm(%yiUV+fnB}FeNn3-j$?et&+U70%*0qW&X5A zH%%anAXDExp4#*zK442>yB1jD#xpkfN;I_vq@@kQykP`Z?yiQG^DcawGR&*J=)g`= zGZ9<+Pl4JduW(ccaK;f|D8kC3jj?Rx4BBvyVC_kk?AWz27QAy_*neNTNsYbuhGAtB zLy+`}lFv6nwn<|v!QbvVII)n!*-r3~0GD9N%Mi@+`H+Z+!PfhLE_Q?8e>UzhHQ3vS6qAtZW?;OdFW%{WJzrTkaH`1s&_uZOMO(A zkU9b~9}L3qMx^&SEBh+)r~Iq~^P8A`NWs|s5q#<^F8DnmDJamZe*#@9a5I1gcp(Ea zx+gzYa2Sb9_3CU$(-=XzZ$!^UGR&&PrmL5<3S2&~b{Na)A6TjLPraP-AP~{t-|;QK zM26^35)9KV4%zR*%zu^AqM^i3>wek5%#vR3cgw->`)bza#|wBSEO48X@`7LGSA5FN zawq77V0HaWgLwJS-n+7yjZ??{wBjl0oG9I2p8h4nC@8v;Lh(g>S5Sx_7$nZ{5oTqEBti0*#pYO`a2+}FPG3z^gKM^T7ew4r8M>4XQO?ZH97l{|0o^&9sv z7cx@dv{zsM_4WN0tiG=#j>{zlduJvz(tA=&m0%?O{Gbebb>rD}D5J{dQePjFoN znQeI2|5Wr^#k99?L&Cg!WW-+Sr9vw^-hMT8_2v3)yLLqu7mI(?O#pcYB*MY0COfyV zG|E6#cmBkyujZS#+bJGtpYex;wCJ6qb zlO}v(7S8KM4xDs|V!f5bYdvKYyXWv622Mph%+EEo&|l=Ib%jyfF&GP7N}TvB`K+DOn%iBO ze`;V`eJK|Vv-lG5{@Q1?xIQ0 zI5@1#YTA_TANwU;)1!I=H<{%ir6zWsIld@X{g`+^-dRc-Wxwc-%Os});1D8kIFTmZ z?D>fNpsv6`pwtE`O>O%lDHwM+NMxM(ZtN!4^V(SgH%_ zPi-)7uSa0x?>MsjZGag{+_#Of2Y75Wr8~td?)LU; zYj^jDvO-+WgfGQk;X{YshTn*mHaqB-A4{Urez5KETzXub?Sv6Lg9a$OSlRd*{FE=d ze5_`9adk!i*oq|E2o2Uw5&WZ6GI^|Pgip(0ft?G_K80GCL3ATefHew~~cgDq*k7gE>9$XKsdA21>W$+!A*VF!3SP18IIcTe% zkh%J{%<+H3smVNvkj7Y{7`Iyoda;H$SK)erqy%6CgL^b~VPrW`&JqlI#oO@jDqdct zFUNS-_n$iz5~p_H{@O9c3?`ys{YGn{LK)#{24f>r07}2tFnT&CnV(}xm?dW!ke8t7 zxOZ|bx@6~uDZ?Dg#4N~VUuH1I$7R-a>P=~s(>)ay7Jl!efW%kAHbM9yU^wgbf|Gul z{e{EV9=^)s<#K7_P*oL6obuKvbBlc3w&W=lq8IV_R#o)&3aW}CrCym5my4W<3WvI@ zY4bGG&t(!HT}4@$3}RQ3;cKPoP%(PtyE_=#W}M^ewW!7#yd5XT$DKFqGqVCEEcDp$ z1(rW~qIS|$yfF2}IQxs?!*Ale@V@@GZ*%n;ci2fSQsH!1eK6XN8wB67R2o(YZ@|ac zbzn9PnMg>gizuCe9jb%`b6Z>6Z$BsmZXFY}KE%yUPH<0hbv~Pi-o5wxJ-G{~yicB; z1(B7BCoz!u0>`*yDb+95z0HZSG1NBgZXJxuwz4%l>@j1SQmkPTSCHoCZ_VD>H9{lE z^<$BNFjs(~=~@J!dQgfX}_NOr4{?%_x>{5Zn8g@obi_QMKtg9lCUhZ zyU>=)Sm+_Fcz=Ofcn|BPR)60WUxn)Z*REY_obnK0ApGYbWh)QcBT~a~%&z5Z`n`4K1!2lhb*I0G0el;*_PrY8R7@(Jb?oM##CdH$8dmbf zbYV$+Mk_Pkzt!$^ii}ux7_F`wx#sr6(~rdL?>=*K6}JnHNYfq5K4bD1nV0+mPjXHO zDU(MkdI%3-rTWj)-QvBsG*f)%K;FgFs56<@)w@m`5t}zlI201`EhP2QYb}W!;%tgN zG#=AIi&r~jECct-4`j1uMT-Tx`c%UTo70Auv_GVRm{9;(OU*>=9mET}XXI9x2m@I{ zF!?^iBng;7=|9QNXA`nMm^KtSlPsgPsF) zt;YuKCJcb~!C++_VE@?im9n3l`w}N#2SE1DA?J0vOcuKKDB=!30mj>VzguY9fs4dv z?pik$MrW~ibgFiTMU0cW=vlR61;%A+Uqpa+>(d_w*`=9k#&}Bn zFI%LmPDtW^-Rsvo_hjF6v*_5kb%uGo$MmrJ>6;lRrUTJ(BH-|uZZ?Kb9@t_$5hpB~ z(K#*Ot<|1+`l$R{2ROG8Fg zBJv44*>{;*{{9L$5O!Pa5<{!;wL(GAj8Tw4*+}}1MKI0P{dzUi%4JOKJS+j^Q;SK& z$_<}_iA3d>X1FDz2x~;a0;dOUzMNsw!`G*JhnI7yAz4wQYoBL(#aF6N?rGlIM<&IUgQqA@rZ)1{?e(N!M>{Z*P#5;pQht zch=4A=GtezDa>>&<$k z|Gu38F;S0B8fsQsc`ikCN2hT>(R@!{>fk9%eIbPbe)?{e!ET)$iRa=7mv38|-uFMg`ff~UQ0&z>zL zg7nee-md$_7hIB6*b@pqG56d|%CZ)U;@#XEEd21*#T+H7#rp74&*$p*hjv~h`^J0W zYu~eHD+m*71`zfW;oUK>5i_EqkDcW?%d3l>iv^(EA*T3_9SyvfBcLejFP`S>4Q>%U zHDt?pI%yx=<+7xJ9U+GK!R;`@A^@A~K6~N?#&*JfkMtrK$f0URV&{cN!rj{?PQfQ( zP_sF0IDsl@@{ggVf`Gu8L;DGXQ38Oq4P`THPvR+AB^chTk3TCVy&bb-Kld^l1*r5EM7;)$GnK|V_|k|G*a@@ zufJ^!LOk4`imz9+UnN&U14*RC!h)TgMChE;)Uo1h!hp40OJLC;Gw&qZzD>L8%E1t41Gycac+`Wgz`Bv_;lReN(hlati6dawnV``S8d0!_T@Jx( z3yX~Rd8FKqACP zj0H??9l{kP5F(Z+XS&T z`MORQp!@qV+_69C$FwEP(x=^CZ!2=@NTsN@hBeM{L&LGZaSg(f8B)iadpeTX z;?)C)af8n)MPcPUTF~BMWK7@dDg|le1cO9b2iI{ikZ9c)N`1E zADanjw-UA;J*nyqbSrlsczom1ZxWd4dDS*mYfpbmrd7wXesV%AI(0^DpVH(YFejgK?^@;rBGgRXL#kS90^Nl#Wm*Y8qpud4 zxZ7vW=qgsayKtTjg@HH_bDntv+*hsi{2kn5)@KoD3k?VI=+f z6~Z$Dw+0!BSV$IO4x~B$pS4M`wvYFhX_3=bE*89-8Y+m@}^b$ zIin;!ZiDoRd#5Uv_$=Za;W7!MBx;ev=Bg+$U)SfF&RU9$^_2cohZ(P?qBzT7r2Xkt^WJxwk0!0jxZs!k zr(w-Ed*1p@)}22OLz}W0D6{k!AZ%cRit37k%W$O_0g1p5fmA5ojp!>W(kO6z-?b?O zXEczLb{$Jj6PA!av@-o`s#a3AGxhOZp%T_Q`J0KYmoy)dZ{9wJSo%g-0gy;UZE}07 zDeZVY6-8|y_?nHVuB8_Se4WwX{&O7Bk^=PyHTJy^g&;WtAa1Ok%4EGc9Neo+G1?j^ z=VEnyL6QvEvm3NO!!V8{1rt7&*kQ2ilJYbw1>N_ar&L#*scO9E!`ZS}FlqY;J^=%#C>t{45=OjZ1<1eeFJJMZm)yW^o=C?pWJotWOG|f*~`D3dHkEgsg16( zrgyFuUi8msIzwm#DN7%{fWFOn#FFMrde2E2T|IjBzjnIU-Tq8oO4o|^&7qH*FnrJF zR7qLBN)r6TPebduRoq)SnEq6nI!&>}p>E-QpZzk%>obxbh``;QDy7Q*9%YG5>TmyA zwa0f?EjlMuruWXCPjrx!7;0MzYk8s&YxBBu(Z#O$!^vlD)#xB2;K?}pFG0l70DL*q zzFGvO0HQIIxPv?WBr<*pDUKnF@HY%jnJ*7-p{`pBro&2J{+bzQQK-@kKVijIbt{=Z*Af^zq+mFhQV>4rgl%%rzqnvg%TTjJ0Mqy^1U88! zVzF(9msZBnt5Rmz9(!kJ9b!|n21Ve(tdEP-bFa8Q|HsIz8U~wE?WXs z>qaiE7r;C-<$sI@WEVXc_E%b}P09V$w!%}Yyf+&Lw5G|bO?|v|W3^h&xsl=&XTDXp z{gGM=PxZ4|O}DAjaNtUzGoM@+4dm z>-*t-ChaQyN)Q4 zcjSX4yZF?RmPsS`a@}USQw6ez+N|4kx5h_5E?pzm`aGViKP-tX7nESchrv{aVA|NZ zDgIrx#x7{UA~Q7&tIZZD1<6R*wEsh8YmU{8n9~{a-Ma{q!IaDD9~qN__h!);!f0RL zl90=sKxV>UDRknyc%|doWjzmiMh)FlC(-St%HdzI{UzMkB3sh@n;gg-eBvuX49ANmZUY>#Dv0)~9@(?lua2#rHcIP{ue*V+PNiz9gb!_&YeoU5= zkpqD1c$E| zqz**#Fm@L-!4Zc?0|tduTK{zF3L8!MXzqcKR*7e>D1pHHhFC=m%j~) z&jjP7$!6X>-?L3iD$-w%O3#iac{lS~8eIvCwXcFmu2p9p^URA}9n<31Ka?ZW{H6tx zYupF=*Mcoy4h~$mqRra4oe^gF_uB#5pS|Iy7MEJH{UG)wOh4NXWdKcpLGO=$YA$u`rSYw8UVIc3 zhc13%0v(+&o(iTfVtev@{oQ%3G321V4nFDY>&x2`OTkCF|IizE3_{MfekSr*2!HeP zQ3{%;pgV!*>@v4|+qPf?T2U0fx*TpzV3$NI4p)435@FZhf;Z`B1%ispxpw!6$d0<3 zh*iX^%!hj(=Ce+Hkmg^AQALi?=KPGsdALEle!?YCy6~~9_~xSN;-*kLntZNn)4s9x zF`0~wQ%MpnPPd{3%o0{ddumh=e~G&zpa3ZhT#}OSefF8{t2}wTo~0{BHrq9##vG^N z*457ozRn{rlD8#TZ$59kat`qXpw_1Fv@uHEIa+@>wRt42@wvY=W&@v9Th^oIH#ak$ zHLa&|IA|J{vYHPujNiy6+f13cZMskCvC_6Xj!ApqThwRzsl|6+f<=O?z2Are_(vr5 z`%Z9rPlWd{>E^x-xF-toIuiahcu95akyR8H1ovo?kjw_Ilv4i>fGN=4Tcw!P+@}3= z>Qma#D+S7=mk&F&p3xWgY>Le752()yFFyS4sR2_kOC}+^B&qM40vc4k3mgR^V(ldk z@z(m#^^zc)_`yynH~ADj6r8V-+G&|y0mxHo%w#$uMY#%lO~KOI!oqDtEHTUs$P9Nf6-4`!QBv_v*J(o3QC&if4Pdi{F&9ma{AH~Q}`Zaj* zrH$NVYMjLGEwP^63KBk|2dbUzeAoOm{~OqO!}2?)_SiPJlltEsRTrCV$PzZ~Zg?#y z>lAdQgbBUG8ea))fjCdz8h$?lU$uhNmALN7NOR{f=V((e~{0^tw z(#7@D!A)L~Q=Ss64FPs`&X4jFPqiG^va?I4as-=@{?()Z{v*6g-r9dga0if)cWm1g zWmhVa7UDF$@V7Y(6!T(xPgj3Kv}5c-DD&b+Ea>rjhPXcm)|pE%!z$f?Wrc7xMrHiR zNH$#lutwq^R&%xYiS+9}E&pS6(z)zsiUM z2PT@mBf2uvhEKh_l$7GYeIwCaq~y2@lofs@rU;H~-$F-M zMCB+W(Zpf56l{jWhI*7HzsSK+vr}T_l#%(L9@6yl`VexG-JBI;gM$|F1$23*bRMccr)iS5yqsb z?Y#hoXcji>7z@cQsCs4H>i_U=YKGQe%7v8>88qnR^|`%^=-J4F^-1^s-iVG4@0-<| zT1KCR)K$wjSt_JFihN2sbmK+vb|?JnNk0f)C`>V!2t9E$94qBxHqorJ30!$o-%B5(uSm;DTo4C?kct@1j2?Aa9CVPK>lX zAMx6;yTC@V0X$Vn6GL5HO88Hm3D}zx6~1V1_N+o@?fz5Sk*7Dt+b-vZPKs*vbzb!3 zdl`OIeCO-Qwk?ziBMqIao~lWk=A(0|vbL3y_Fe~u8jW^eKaP-w-GC1=?A*|AyJ4oe zm4%tO3(=zmcCW$9&CZ>M3xi>`*Avz0kFL^)P|_Xq#!Y10tto!Y^l+5l89hgGQI!zO zA-(0jr!oOjJ4;H--V}Ue*A{p-L-9h15cG`lVCUaB#py>$Dg>Mcm9E3UzBW~NsVE8M zUZ!BlNZjaHwK9_GkwBHDt<=4v^^$~y1dCM=z;Q}y>Wh)qNZIhk$6RLH=Q~Q~4>lnw zn6SD9+)X`CVG-u!A$6@}R~C%xtW|AVxjs)a2TmQ z`Y%y6nfLBmlLPs5u&2~fAguB46V_hhFJ2^Cm10YU>CWYF^=aD9^!@QT0?84wxMEPa zodv%u6d0)=7hlrov+#9;H@AW@+*h<%X4snVmand-nb<;P)_4@fKgv@}ur<-ZJR}vKghw7{p7jN|}=A)uMMk_sXsS{*Txj=hwiiM_D91WIlc81Pv*(Ig?g%OT!33ut-D z69u^@!USaV>P2SnmWuIsABc7NEnt;r%jFc;xrvt+&UaU4g$eEO`aGy$L>VmA9mI`M z1WIH|Q2k>SgGj?!l`Q3bx6>@3a}Nr>RSS1+C4dD;17;t{J@zjr;KUH~F3I zu~H5EPg7G<)%2)X)AX@|m5!LG33@ zDc|hG%<~~IzSjNqg}(BCSKnUfY*DEY;n|2fR_fmh)YVI@;M_xMsFvQ71rRtA))#_) z56HD_TRHblQ&o4+ctz%=Nb)5yGw7N*H|6G9x*p%#MX`M|eXV>^QBT>etMNYFY&_Nc zGvDPJuEnweW%QRX;RDE`)|aih2iJ(2Q(QW{VWmg>C9p1{B~^l__4D-rWF|zY4iUFR zy2>?pC>+Nx@Rl;MBgvQ5kTEev{ivDJn>8+HGnqwh!fnfI$ zKL(0&j!O}YZ->V2S-u%QNWK}<1CwfZsM5W@zM~7K+Kkn4JgAjkD(kP)az9N@(GdJz z2cOAq!&KYtt^u?>6*jl%l``<4Z-GqMvNMRMyaCH&*@Vc3#T`T zjM9`pxK1B1Mo=1StO_kHOiFB@8#}S{&i?a1qSi0ewvf>>79`#E_ThsVn6O9i=2TI* z7Ix%YYT^%#^BPf9Gcf#!f`)`8Sj8ST-c`K^fK`MO!8``C9U9*?(rY7-%x?Tl!Y z1|0TeD^k(Sv-+6F70}V-^lz6clVhzFob7sV(Et`z`3admXX5$9LhvudcruBMvz433SH8tPAD2E(I>II{x$W)meHn&*6e?|r? z$pT7^>S?H=8NuEvx@8+F+u=>HOi8Q?zJ=83_|t9#2a}ff61_Cx9H5^aO|fG~YfL!| z;N;@Nw{+R|P5w9>dT}F~JwWM8xY3Av8#6czzq-g+58pE z$b=ifVqw?Hz00F3Gd@R#;+Q144_i~)Kf2Ww#wRawI6-~y4ZIjb)1BXjtKPjZ)7tOF4J^M*F-BR}&4DyR+e!)cHnh?aI8x5y-AyBQ$PL#jP-Ap>m#; zQH>WeyIxkzbnaaV`N={nNtkid)$3SeERiC0G{BDQS-7*?x6N`qnEhN_ zBv?bWU<50@aRQ8fZ8S*ey%upDB_0b>B&mP&g8{|hUuHgyLIKvLYPg7AdP{pxaV9J^ zdbhHP+p)!(`xN=tX=B%u*%V{{J5{eese}XjID`%7x?%~2Dvksx7*nH2z|TygZ)*2fU=_N zsexl4odjbI;M6P*+o9G`sa(xJ*WJt^UGCv|T}(X>w(~a;+2Tl3WK`wxpTS3~)6>#!!T1^x00<#dMkbV<7i_Y$2n$vsxZq#P^;`Zx;6LZk??sG$$TMOl zqPpP}LLwo=fylTaBN4U^5IyBisS}xGNh%dXE;oM`ix-sgRutvzQGf2HdhJ222NQE` z*TBBWYcOZubE-y=*P3~IL!T|H0c!n+zuzHuI?hj6y8$pig3!cZDkn}+i{QU6yuRp^ zI=mrAi%esoG7W{4`X5&uxw4w;Hk_37RrqBM^yYE5*pdaw2Ux&j5wEut3g6WPEUuvoYF_z-Z`(yY1ZdXj-_#i~lX!H`S2_kpB#U7Mhf>~j^ zh%evap|aBGbPM|Dh)NnNs{L?b!z?k_O+J8}iwL4on2ftp9~>9= z1m=j`(B~S3B87`BSh9}@>Prh+9it&!t1KgMd%UriL;MdB;Lgu8mLm5n$i&%M2y}wG zFoOrAbMb;pIS^ms)olKZQ-=)JvUnTQVjDWu+Z=TD?e!ki8h7{PDR!-sKlHMho6bwS zKSUu|yi{{`abx;hzMF+#kfoWp{X5&VQOWp{1&^bCeBF!c`z~BRWEd68Vm2UfymUAR51&SlAK2EG#e5&!IZzFh^*bn^|Fa!l` ze)}6ZnGmaW^y(BA`8-%llncou+_DPT?YGqs*mtM}-Mii}}50U_Eif zNr<00Ho0lNZfLIH(Uy9b{Q&~rA8j+xO433j^3N3O_-^>He0dHM)YngY;1rveC$vX8 zz0WV(2FdK`?N7X+D(<`asTa%!FOH5+uLdqhKEXMPlY zY5toRQN-iaUtq-vq-SRULjD*Br5u8-t7o=lIU){3h)nH#i~i{L|GjpdFn>@CPh;C)D1Sz8mP zAf#P-T<~N^2S;Y%Qq4_$A6!d#l<@b7BbgjVpach!M6kLEPLM9c6F~d)V_IrzxiRGp zWIR5pvKFuAX?5>&kC%`7P-fm%H!@I9epT3X-<$x{7_*p03ETPdd~(zvDW- zU$?&WH9c{5_lX`qCSsusQ9{~eKu67ON-_;gUB&R5Vp@iZ6J=Sr^`N|yGTuIaUGKW% z!t>VWh!Gse)iXFvq^e>d*qNM;T7f3>%MyAS)h`CXcZisz*DzKjePDl!0fn$dAQk#8 z*=-$0PWnzl-kxuF1s*f;oDyGXvq^_&mW&=T<@C2C7B^01Yp|2OEvc090Rtvq1Y>yV z{X7Ncn-SF1)Pv!#`*+`!)crLLX@p?@#QzR&EY&r}WlNN8#Q`WHwL!`Gc!|^hhw^($ znakffpeiV<^ZC{vB4OYCxh&;~W9V$b^RrAppe^`t;xYg;7y%fbv5p$+OHCcK5Tm&{ zIgbRL6d#83(TTM?ckCcfc$!t?fD%o(5{hoX((WM+JSi9&v3jX%=mIoz3<{VGX<&jB z2KFZ_NfdE>1m&7N3RfjR!cAU7=ttV+eXH*a;1Wxavq{0Iw!6?A8MtJF?D>T010EJp zYp4tWOrg>Cx&-oC`Px;y7|GEnuSE+RDmhxeS^?$4LjR0_9*DWRwCgCzgkf;Xon0tg zK^qhMNWtK$oesE*|BvW5R@Two$z6Rb>A?8vfAB;3$1^_>D->Z9df<5X#fKapZB^c( zWR0N}>&uydbA*^p>o(GB=W8~xCmZfdY-JF$l1V(dF2igqsP2&+SCSKxH0|U*u%(-e z;#YLAe@`d7`YRnZo2aCu?@w({tgbJ9TO3}Lwzph;jwKs0+Nw7Er2*w5hTlRi+NTkFFnYLD`K&p|rwP^E*%P`uKJC4<>`U ze^c&PikGktpS25GsI*8ty5geeBdNFg^yq)lOAvnx@Ad+@j3^H5kD+|tNnm!wgW2qE zYkL#r2?h)O!i7pVGQgzzyz}VB8xWa>GD2-Zw@QFuSDwM^wd)bFAa<>It|M@~+xSPK z$e}||AH6zkL>E#euJI{6U#)rASsFP+uzSui4n%n&gbIJ6*Oi)MORdkGxo)>7Mbaq} z)j&|U7D_bG4Vj>;rmp{Y;W)-~A3l7xWEf<9qz33OydXG+2(SJSa}_nkfa){W%GT%| zlh@i{I*&h%n;E#S)%md=f;j?2{lnbKt=8D=G!qP5J0Q}98hs@NUFLH>TJ5%ff zpSwE*!!h>p>K0TE&>VAfF6in$N>VX9Z*u`|UbAF|Mjwc5vA9CeTnL9DQvAgQuupWy zE>J!&yqjNIsynmm{MC~VH~Ktc)m}QuZpzEZuoA%}aYW|~CAYRncJ7gcP-|vdcfHSt zvumRkRuiIS6XIgs1=bVuctT%|EgKdvv$95Ejb!$Ohv*lvc6x(8mZZ=267#=cY6ZHg z{%N@zH~tS70NzBT(IoqHWzcDfys{TeEA~c4N!>RggdT;ANKH8x27LtGm(Aqd^_k04 zFXB{MCod$veCcF~dtbPu%g9RErp@18H&IvMo}#Fu$>PYWVlLqcZ zYhtjJmKJh9%%;{#7K3YdY7JFmKaRNk=+q z=@23iNpWM=XobofA3mu$Ja1WkaO4`(VLR2nL_*Fn%nqq7p;`*ZD__uvi#J7T6QdlU!Fhe#We9r(K7(nN;t=J&o)GTQa!9 z{gflL=xA@3l@42{3LN=nDAA>&*1a$mu~(Kc>hyC7yrTi% zEm;V(Ef70m^uajf^ku*zL?Z9;03fOt^hOB7el#K8oq+GwS0V6vPnHmHeLbC`%fE8l zU-73Wt}1YvJUOf=geLfpMzi8Po4?jy!c>(Qe_yn|n-FC>IsG76y)-FV$h0Wr+5Y*q z>K!#&oA~LkWExhu?!}7XN5AzQvS4cElPuLBTKr2{P=7WZXJGawa|X_6ht5$=8e!NV z+J3#KinuCaSn zejIyr#K9Ucw>$rX4-2xy>@(wB>mW_EmvwicEr+7uK-2o2sWl9KG-n!{j6I=yaz zvL)dt^zp>~vT)E#t))QNlMxCt<^m>*nWiKoS5kSHK1?tUf5xXuelG{b5W(WjFI)Xq z(qjcksNI&uwII<*FubYm)t4z}3uouN`~$bxZrNVjqZAhvjA?Et(#gZWb7jWwHUICQLZ&bB>Ih>QEuyntrS3KyDSfhYVlU?MpifL*7aX*QIynDlPh5#e__ z0zVphXp~BJ5@051uda+x9_t^ZE|eG7lTGe5v)XXY?&q}V+3EfvacDTYGbM>TwxTV| zYWz`>ZATuqY2*WH+B~TB?+S4^yFdTUCwso@f7&CVfC`-;)z#` zYuel)(Y?Jo=$}sw!PS-nez)4Zw`d%L-pJmqlYPyXamJ*GsMLZ~gD0I%G?Ux6`~JE6 z_ugfQlt2nE-hb)Q2rdD@u-<`51ClKTuHu(%Ys9&usA?+BVI3Ea*<}=qD00%qQpV=H zqW38BggL0}7YrZan9!V_y+8vZASM?PCTdlqSP}<51qv(^6?D9WNl=d=hx*r}9UI_d z<{indDrI&$8ufbD{H5vSi#NQkdmeUTuzy>5pOq$OvamH|Te>_7uAs&;ajPLCOt;>9#> z!k)}=uYxdK43=8RlnA$yuNC+ePL}Vk3tia&s=nt&bj#g$ALB3EGhV@w`V~jX{+Nl_ zSQ8OyL)?I4KyEm<1zW6S_gm4?uW(7~vHF18zKT9*IoJ%b-Gtk-NX-v&(kPIba|imt zHg{$-6o_a(UXpk zqVTl~7{KqskY)aH0aj6Dh2)Z<8x+BWo9#bn2)#Qy*I-@HF|a(IT(g9#f#@<;3UFG& zuU&J>;E?q=Ig<|QHbB|s0JI}F;2Y{_0rrvJIeKXD8tx06e`f#*Z`jaWIbCs4j_J8` z_wr9Vfwy_P0{)kkA6+lBoRp8)zHd8#;tjVk^u ztkH-;l|l5t8{I!s->PlMRrY(K_*O1;%d^P+=?VJF3=tv%wgo4dH7hrq2rWOWfd2)4wHz-KD-_kfS$w(BRI^5H1+K- zyE2ZMuYCb%ri97I?h9dpN;rbcO?TOkd7u9vHvK??{N@Uk8AZqqvG#RN`@LN+u5DM= z(eKQ2*xxr6U|c-DaY6Y`+epp9xf9UZM9ilY`%<5B}=|}gVtNiT;G`Pl01j9LU z7)1)cHT z65Wh%2SZCOHsR8}A38u)iOF{5n5u-?D+-({r~WC*|4CjwK1(t+@p&a3tdcofTwhY( zj%Qs2zKZa}MOf8XpuMNB@&mT?17gSi)lc7O)zdDnMN5A}KYQWZlxOUJ9oJ5MIz6?r zm}C^w<+TCsZ7$;&KD>*9L8NlZiA7Sh}T@JQI@LvGmx1<2>x%?qP`Jp+jX~r!%MaBBqP$ha$*f@Dk z+j{Y@U+Rzj#((W{_NBBnPM;`q*0vKpAtnFC{`>k<*-8)LFGFa(1b)tAYgKfs0-IbS zp^T}JplK6Zh}2fJ6avu_(7EuaMr#LN(9nR>IQ`Egq%#o_qDP$j!7)7(D_4pUx*uIt z{0?|tOSLG4@&`BBVoAAPmO5mObT)jQ7XyYdyK>^^?=6D!{~eS?_TZ@>h<4`OT88bqI_#z1Wo5u9wg}+ zAKKA{s)feX1pP4Pvp)6P8)^f3fUcQjMDDK>kZ&;ri3j6w?+$Ky{|CZiJ>NsHFO`a{ z@_%^WeY~hy`4eIs3Nk zQmYSFnULWZsf%4s=~G!s0=%|0TM_P=Q*3o8GOJ+29{Dkd&aCG5*QbJ|VC-lST)E4i z{0@?yQX=69@Kp`~0=xoL|D>aY-~WXULTPo#pdhFF8q$x&%8B$C1oe7?dbeLe!L()H zoh~#C6C;~{D}J>A0{MZiTj2c{{I|5~9nM?u9GfjusmKIS1et&^;n_Clg{uGc)B1pY z#sgdv>?EzTXZ0Iu2;V6DLi+J98Gh4j@A8b!XDq-JwS9^(7DT)9@JHYmbG8}o$abqc z;)lT%bFq59gF}k>NN=RZ7CHuolK?%1Spk_i44s%9fzB){DoWcNUOhwlf6wh;SPb~U z9aDQx_Q2nyjrbLv0TWr)vHmjq+c(xnUY`^&NX^WxJZ~l5AiLPjNG;O4V}HC#K8^~j zv}@|3F@TIvd{W0p_j!JgwuyNMHT*{GnT*0`NjbEmCGO5(bI|J1jr|CJ=8YxoUd-pef_HJRNupk2Fo zM%}s7x0OJ4z+r|Z@xmJ0fv<-cTj4n8e0C1Gi7qL-mr@S+Htb;?=}>s(#%I!;_!DVB z(e&e6VQdJCoezyxxM46GdYzX8hZKT>aDs0+(|a3^!%g@)mOnayh?e47edgH4)7TO%~jg|;~2s%=71TiJx z?UWnD^5O(MAMA7pqL?R!`KEG;Dh?f^``1e@!As!xMo36VoJ^{-oqi8P`gNtf7P9rz zV+l+S3ZFW^(P%&TIlFvCZ_ePmHD{$^VOyR`SCD!cxx_4!Zs$R^8N9P6!SdPrc(_h< zAO(<4K~n0IX|7w8zdG4RtrR|B^Ny88L1;Fi97D}zVG#!&jP>ogz3afN^m>KIUbM~m z7#z1endVbSH*gFVu1!{9dGT0sVBM!m4&3u)cx(L@b zupGy6ET}9NT&k|zhCF9M5& zr4L~>^*{*AjuAFQP8Z>a1Mpt*o*OcFKnXd2h>j3>4UJ-hWiK!GVIuRq|-MN)h zffdl++pGDQKi_P_jNFWlRFbp-F~kET`2Gn4HaCt2pUQ&D7ygW8i>DBzOfYk{<`A3( z0zA=sQwM<67yn6SI4D36Tyq9s<$ST8q|bE}{ro*vEK!Jtj5G@7cB8t;i$@cx8#}rg zwzMeVY)?O@qNe7CX(iLQap~l0IVBahhzJ*|3uK1Y27@XwyT$De%y#`I@@cLF6y&{B z7GFDDQ8hB(SGGNegTwyh0gL?q7BBqO_;q%H1xmLu2>CN*IlNjpJpZ4uK+pdP`L}BR zUtIlnJeK|cKaL-WY-LqeDi@+i$jHtvB2Ig@$eu-JMn(3>-g|E$D}^X0GNbIh_a5KJ z(dYg7-QJ(yKiBQLUaxMI^E{5{^YOUPQtDLK_AdIyM9HWJY#uF_5G--r@84iZLwT_u zPvW6H90X)Jxi$8(wb|Lihw zYtQ)c@<9+vpWp84XfP^40gVB2Bl?Ox9eNop-rP>kSh+6l{nV^FfX>7VuoaY=%r|T> z7*-rx@j`Anl3Y}R+7Hg_%Xl>ZHC%kn#K4f>wfuRd=Kj7T?3Xe?+(2j8*+wZr@M&|t z+5%nOS3oJZ4*yuedESib_iRdFp?iX|1%1H){*X@s)`zOuAWs`XPS%4xj9^96!9v8s zWq6@qCxLZy!RZhX;18&HADtBu&b(g8FP!!twfRa00FOG&7F;Oi^HI=2HHjKm0PjPz zo>YLZ=KmCk5WWW&5+#Jl0CrTa*aVVci%m~ngFhD}WOicqUp}f-I`{$A$wv+Fa_Z!A z!@C1gw~A-U9r=R6Pq=1LG0+dY`%@!R)9t*rA3IlfhuJUcg()z94oDp@74T{S3MYvt zU9;5pPmsvNi^4aa#D=o`nW_c5MVuP;wi z?w>&qs2pkd7e~gWUl}CuDQ9Z;!&Efhr)k({`v<}`JJfgQy7AytQ;$%Fg$y-^|Mw8a zKskCHVo$P#AHOAk=RF-!(3V1U{FO)g7PnI&j8*tDs!2h!8}e!afMcH(foL5dw)%H< ztL^?ng=VV_r%axaDbL9K09@%{AXbt{eDW(@;eAk0f50+Y2JF)dIrJ7sE@ce4*^a!o z;@V(C1i)^NsH0m+W26#J)0-7)+fDB0FuHLrs41f{LfouK-n4tcU2=$ z0BuNUa-~@ws@8-5NzHd1E+7sLR7(P;jDb=o`a2d9@T53$gG;=savk=6)Lzr)YTBQF z3HF?fNqg8~bQtl9d(_bP09eHgH+aA(&@?PgL-5|Cn_b`S*AQ#nYxsHmEqyU4(Pa>L z?#-QfK*@Yb4C?`49NKes_-)E2j*hkVqnxyKG9YBFN~lH4A?hdFZxZe8(R8WzZL3ZN z0EXRBgjKYsQ+uEh!YNr04Ii5bc+_xd*uu zQyVy4Q~tcv?-OR=OVRT<-hQ1uT{vF}{k`ouWKM-x>Y`}c!xhd0#wo?|sVIY(S zd73!#f#SbyEAfN5YY@d(aOecOFZh3O0NEr!Q0Y7Bly?kex?>SdeD*&!HmJy!6Trel zH3m_rsDB#K`d|W9I$>h40SyS(!jWESn^Uwi@+W91AJ(|Bq|+ld#N7TA7{BuVE%auf zf2V?zF0BD`eizX4G_uBLm88w0b=#CfI%n?zx$N+j>-zOCFuLGq6{xAJnCsm4elwyEGB7*l7bi0hf=BW8v7Px8QS1b9qYT-&|*Ruut#2%a_eQy?k zN(80VI$U-*#mPZpNe>0;Vc^ZVcmC2PKgbYAt3Ggz`f@~F_in9JO_C$D@6_TwA;y0K zMxq6+A8#V@F0;x-;k!9m5wC{Ls)6`l?1_{VZehd8YlQaa31mzaOS)cSVmnKloC>bh zua`Es$_bsx#TuicqSD}|J2(P1qtaUic*%moLfBbsx!pQz#j7(#E}Y$cP$K{KN}LYS zMEQ>fXU5y}bkB>uQnmnuv4sM{uTQNh39HZS6v~Dg$$hG-g2>AAm5u(|?V&kDz{aVO zAAm+2y-7r?V6Io4jrqw#WZ*Z+^@JgtJ&ScWOo;Q4@@x#k&gKO2}jwI z4EX>KyZB`hR$6IzPgDW&L;4f1KDPMu$BqP*1JGbNuU|)bKfW%gP`w5wFwUa`;03-x zMk9g%;o1tLx+9l@gcqKU-u;+?i{17iFM-3QMUSan##=@RDi})kisNRO1<_nGe9P|x z1M!U*YtxmcnQB`jAdf(YiJtxgsDnXD1@WI!ohaQ6QT(EN(Kw&rHGmegoV^!-;O#`M zoji;0%T~oh^8)~4$7|fc`R3vG{(U2?>XCL4At6XoXI6a+>PdiZJQ|m~pd9{{$fZ~1 z38U~;h{5%Al!xTbyhqunTEwLj9MQL2b}Xii(Ao(Y%Z3UKiY~xkvv>9YP<)44H-PmB z0Zu5<1AWBP=g)H=ZUSzb3bX8x2OuJJQ$j8~H{ig}v|NYR>)MqolC85a0u@Nk!h?e{ zDY@ai5F^0=5qv+Uhzj1jA^pcZ#`6q%k^BO1J$dp|OvRuuDJaz!--v=qPd3s>RiTlt z$ZizCN45rXHM&Yt3-xZ2q=aj<;Ro#}X`#`T6n5Z&4BxIOacT zfM-cDY%gxx1hL&b({-<(nC?4g+PRZp^B#c?QAvB|mH!QD{=+x{*-V=Nm#m+<;`l_t0xw};`Az?_eUeC=e2gugZnQp9^8eCC(p zRLsW>j~B2`e7=AR%;9@8&lH2B9WanPzD`^*lQ8E0Ne2!btrw78s59W!>Qo91XeGkkz*K*+x>FU(Ur0H#w zTUy8*MAY1awd-SO9yG`h6QM!n05KIu(%~iFDug==Ln;2aBn|i>-JN!r6I!xG44s?= z;VsU{_CdXHFp~^fLD(nSXW`#o0okvOdVa*rRUHc7#wo>}~wiq49dQ}OL@YMnF5)45d%f`{xjuimz{?cD`} z4}DVIt}1T+^3Y3F?WcU3`4;eg#dbo6OW7*EA3L@FbWg|PNSXd$Er8u`qfpQr@Jfb> z&aO9`he|xXl=7H^=ZCcnb2xs*l>3G*lbW4)vQ*%2^^&v6om{J}+UHwOh5$0<-)#NQ z@8ZgJuMj=l;5@_cuRQ&2**u^+C=Eu!epbL`^4^rs$phZ*5cLW_aU|Cr>0??qplKsXFxRiXJ zuV02UN(7{Vxyd6SO9u$sZ2LVrYQ|QBI0N3;$56tAVI~a_wu7+GusXVRXqee(|h_3E_!2`O9g>497j7}&R#}y%?|>=BG3q{jwO2@7#%zOxpgZ^Q5Kr` zL*e;+Y3epQabw zhzn3!KYkiSvi<0U92_tp!-lSOibkocL=GolfN4B^vMTyDKOeiY&hLhek1@{n>P7CH zQ*xmD4;J21uvasi38rX){u{Jappmd@LMQNyMWC-aIDYW{4Pd)cYlYUpMR$6 z7}l+Ca1VE*1qW^W3I-G4$y&C-jkLny6P%_y$MI8}>RheZvgFFWaQ-=Ue#iQ2bl>Lh z-iCCkMKTc+EQ7=9$X^F?*uG{3mn$kNWW%%b^1i}WlK?fb z&|&;e*v`C`+-;60C;*zBon35n1o=!~;3Gv?MkRf#Jiwl()!<&|n9ckk=?N+;^`1jR z8=ykz; zSX}oK6#q^;zfH*8j{(XVM=J(Os&|IWZ+2aVsXM&(Gw?Pl@I1|m!Z&UE`&ra)d)5jP zdF%%j_NPzcZ;@RZ}S0xK2b*_ds!tYDQtZ>Z< z@Z)uWQSko7%-(;?tzCKsX2lZZW0#r!{Ydh()55|+S-{uIW->A}b-HpLN?;XA@i;x% z$%oPDC4^^vPn6Wrp+mctPrQP3;kHV!Vgm9tTG%o7Zzp{8??Hr1(glOu^Ip*W-WiWj zQ!YuIMAJK<8P;_7^w)jv82>{+c`UeRHrE~LHa0evP9oaBArXGLz~=i%U18e`lug@N zf@VZNkbdIGAE*KjuwwxU)e}qN3&CBNyS61AL*}CFM#_wE`1W3l7yz#asO3{Xs4{*- zaIt13cwxA|w^tDVKh`8c+J(t3it&?gwtX(Y%t?Kvlu2~9XXSAw8XQNswThVs~i{ zw@(V^r5$;e$8CO~aS%K*i6sbV58MeiiB$*g?bYjp z=g*$;6Q}N5{kqbdn_Nlpp>?t)Lj;(S^TDkNQ=WEKi;Ig1X77a=918geHD{{|3$aA~ z&Xz}9NmQ+vP%fzCo_WARNtE@vV@yARhAbIa>3p4bp1#fgzCIyr)YUV+3XdtJJHM{l zDZg;3X*{``c1>xdv`|&Jo^HyfcDrtpm)uvX3U=|Oi@<-}D6Bs)0{{|{uq(iJbnr70 z$tc~DymvN{kXLEQlsQ>a!p*G?tt2LX!g8z3j=-kbraJ{9V0aAiv(Cj|~t^h$jg9b#VdY_=-L0 zd}WA#E3G?wx#*$zF`wA+mKxHQE&;=c#pO&1Ru5e@HE*pOGc4A85)*E?3cZ7n$CKa~ zKI=T2@M;YtK*D1F2eQ)BHb1Y0zE5DI{U8)+up*<^S&pcJdlI_JM#Bz3_N7&9^rk=v zU5UXEO~37SHmtrIk~~RE*58RA;eg1CHDajR-bBx#Tc%PLqoJWw%tZbUwQg@M4-d?= zfw;`F5DeZ0g06eTf6WyY{oPtPO0aVJix?N5!+b-+@nc)2P0{z#S3epFLS5cEpy1IX z=ej9|njY|TM1&`Xhfh*xMVI&al(JUwuNCFzI}lUCg#2-BI<|8$D~cVlqeW^f(P zk)o)eK!%UbamQ6jkwYrvtyD_$;@4j9H%hNMFU_(Cu5=zf752u$6M~@Ewmh)q=tm?|j%JU8Z zDjUFi5WyVFAG8DrMi7`H1Vz}ND^)ZTj5Y1QdAf6lDfsTC`^NzdLSa1jejF+)DDYr^ zL5{@3c$ls-_eS7`fHN&m2iPz497V#2i{MTuQ+#zbR6<`rp@)1KrS9R|#$n0(onIM# zw$t`Q^W(u<=dub#u%~^_S=gk*O!qc4z~PuDg>GamlL5Tg;syLJ349f50?xG~-PT%= z{Pj2G!@jfEDt=-dX>VE3Y`&%IXB*&bfF)}h+`C)QZL(B6a(l!Lil zJ4iNd@bjx8Nzx141_3}e3f~+8o{Ul5|0+_xfw1uY6{)OLz0xGDTi81=aCbMYeI|DN zp@M?svu7FhWcd?wo821sT~|QMf%>K}$Db^RXV^b+M!q?~9cuDx?Qxkr<|GXpRqG8Z zaH6(kB2pANr& zFjl7G>rh}Z0B5O_0KU;u)X! z7zH74g060KNs0&7=yDGvbvAjPeR z>0a;kdf_g_E|gp2IPCAu~e4B zk@76@$JavT1qr#fKlcWY2^aJxnev%M{sKe0;CCNLq5f^+Cyfg(pNiuhG^Y}Ncq_AY zpkKbii=Lp&mHq^t%zXXN{d<3F-LX6w-0LI9ZgH|)d;=24H}iTHo-D*F>RfH*pbMn? zHC^7Ld=GF`OQtR8pMZ?MNK6?h*)4BWyt@X zaIb>T6VScQGUM)D8dl_Z6u{t$kUy56#Vz`jn%b0N<@?q6u>PPE9!K>h=Rb`>VkEd* zhQ<5J6(Uomy5ZL)9HkQu&`6BMm(~WqzFi@kzx&c}` zhE6IlMj~Mlo5F^aTm2n!cYzK@At^4n46E^ScAN_r2D@+`b(7Z_CJp3%xw|-VeD; z7IFP3oBV7mr6(2PzyRB!*5+rZL+q!b_v~Ty_j0DopWA%=;m-7*&G=CH^w4{$ehf`i z6?Jii@7xdBmYWMYwUcO0$(I^TeV7whDm0VJ8@^DhBbGfNCMYZK$OE zwJ-`+R#gpR(;R{W0!YEc_04@4d^YhmO++7y&A^@wez0XxKu8KYzJlVO5@2RVtk5h2 z(#En4bis{eOoK{&F6O_M``i7dqOK4ADXa2>>a> zRWK50ZL#F_%)gvmGKn`{gb_VXMOD>!mnu+p9gtpK zk}?R~&>60R0pVu~!@B5>u1o1fwD(uH=>UR>L?LV5=*=J`-X*YPH*hVOpkU2Z*nJdk zK-!$`xBE1Q>Fz#jr#AV^7U`MkB7FTRht>?6t{Wqx4>q!eK()eGLo)-`?BQGw=r$uM2D8V<2(`OOd9Knhn6x>(l_A_!by4=zVwO*ZUA3T#)AkY6}UCT z6PZ`$>+M(1XT0iF#I+RTJH>L$)U%ELs zu)rETtvTUHRRia!(sV6ICCEE9sp#|l*Y|C_f3@RzzhpFbC*Vuw-LvRT+3?n#CNyhl zsH}uzwA?1QhhyrIzP_dXE1fAT9{-I|>QUsAkJ`-`lEw;<20QJs(|ddMgsb}vx?(ko{| z{Yzlk62`EKnYas3`UxJd^u74c`k^<6(ziM1rt#uQq+4==A9hRo#y!`*akaC4wc;|= z-FqXq-*?#)>?8#)5;5x6Q?#EagY714K&}q>!%;6R$kHDJGS7N~_F$vT8Z1;e$b;xx zIKZQ3V(ek22?WFySKdDeim$N@3&24>hSt)T`Dnmnef>!MHe3_B8Ou=H{N)~n=+no^ zog$m0C__6al5&rnWj1V-NGANAdso^j`)R*(_97vkn@nj}YB&1`#QoIn&&0iqN4JDj z|JoMA;{{EuP6t26MXX4xq=~WxJw2M2;WB>=_mQ#yD-QQeV&fPE;VMrYk)#3K^|&_W!QdqZ}seRRxIR0)^2(9gD=H zdt5%u$O>n$T%2Fm)dGdwuW_Z|BtWH!fkB{Z=esz+T^8Nc|W+6J96PV7H0n5X=7_Y5z^Q z|1;M<`B(;1U)5R@i7kM6c=FG$5H0V+VYUvJ{bPVTkg&CW&_Mt2G1Cn~{mUpRil+}6 zuUnwfG-;?iT>0FMTErBz=#0N)#oG}FiSB5{gTf05voyYAJz}v?GGsz4|NJDHC!qOp z&}(i^P50HuC%RX{eV&;=*W{!)(AU5o`PXPrQOl;=$Xq_@a9QKbdR=#5X!)#}_9n6Z zMxPMP6%`nUJk;DG2GZ+(YKQ((tk+mc>t+W}2qAM0gG&<;o7Sj?!D)l{5)N6&PXNFu zKtguMU=oD=Do`jxgA~wFDUEFwMpw@WIa*ZMVe`d3vWh3KVdA%jB2BOyIU4z{XPRM& zLRiXr;CbT3yw2TRT#eRW?Mm^k5SysuRL9KXcNSrEI`+!`##aieMW>6AOfPNLPT_8R zDhCWLU-uJs1Y|vNPvA4#(N8e<3FEX-;grdLeO*efo6^5ufrS$5iaFzdDiX1))YE>) z*tb@o?zx8CmS}(bDz zRA}7Pt#P;x?Ag=Fq{v8LG=*BClx-W(kbqSCg`BJjwD|&F3WViK9}uBUhNlObNSj;W z;UGMi0l>KF-Yo`Qr_lEjkWBWEzpXUwZpzjHtL-G$zVsT%t;kC#0nj!!iLlEGqC>r?-v2^wk!j26(N}A{p-=fE|6Ser^z#>RvVS7lasd zf2w&=?FZ7*umVNLh#qlMiS6*F*E+%A19?8;VGqk`-7T1((Zv5CpU`{L1r? z1lsf6w%aop`=Q~|#;7MJ13}~OqlgQcBC40gRz9@st5`NpubVYW%gGu$zt+p3K4&*; zKk3XcO=BnkLFr@pglO*lmf)51ky2zUo)L8Woaw2S#?yim`|07c_=Oo&JRK(;IQ-|rP2 zgf8iaM8xiyA*#ZEh=V`Krjb)Wa9+h=FqP*aJc0?ml-HGs5_)VgE1&Jo$9z{U5mowQ zYV@PiRp|_C;ZbAE%}&9$#FFV%iNsdxui*>&cV?l*d|^^5P-ey>Xao4nwU$g}@Cd$Q zP!;2B&=f_|)aE|tKECTtsoXqIh~Mx0P)uaikWtLg^~XE&h1zbGhcL{<%bnKxNjJs6 z5LOer$quU|ykICXjJh`gcnKkjg~Z;N%27R+U{Zh#p^CaRh`){9roSv; z#jw(F>P|8Q4-prNWA9YMC4Lo!!T#7&c(Uj@fN=#0yU7EahsDj?0v3awsFaU?8TeqB zK$u}lB4e2y2Vs`kz|^jBzYn{&g+3c!@l($NKWX=BQC#mp;2cI|4#DG^F7O5J)7f8Cg+7Gc15hUt*bcxNOuE zxT^-uI<_rXaFl4beieHOO<=% zj?y!`5M%=pWr;+)b#R;z*q=4pC1ZIJrl<+9qrFb-`BDQF6$Bv{NZM_xc-O|QByZ#1 zO`(w@Rw;kRh-m5Bw%M$~*K}nOCOLT6(%h03H9$R@V6d6XE2$Gzilh!;N_O~ zn!5X!9YAehC#44oQw#jDmQ=BjQ2A^L!07j&ox=lR*lo|JGrV+xv2*eOe4ao!Dcquk zAZ7`MIcUfP)Qx3AcV7LmJ*zCTEvcOa@(-nNbI68Ns=Q0Q2Vfpy~#q%?2Hw ztbPC98fLTPRTH=4_B^}Zh%{+7*#sUky`w{+up0IvpcZ%jL0~_5I}v%i)3!bUw3f@j{A)3)<+2=+pD>x}i1$G=?JDiq=BJuBLN;;~etFzy>=V`oCy#bH ze7ZAMe3vrX`~g#Li-B$P8dLBvU5Gr(&zu~%`O@o>$;CJ=7CcR52yF0iG2fNL8N^=8 zbT&W9>B*JkYt=ra;T(u+yCs9&6DD(i_Gt7;LRpQ$TrB0FN2QqC0W*}8K&WIhSPJ|{ zk5^tHp5V_I{v+ftcMdZA?=QCfg`?DBxUwU6;O@(%;-^!P#ZhAM`?ko&_iIR)Upom` zRpZPtNP4>9g+cKWB91bsq>x+|uKNuzTw_lCf-dz09{BM&Sfq@BLHIFZ- z`iaHGq|AB`5@dX}Zaja(w|k1F^2yiVm%g{PIMAs+>Rc4-^xQKSbaKql(9m4udF+$3BECNr4j}VaxX%d?i2f_(?T_u69kfy2H;>rK z-{HjSnLkcUy9PdW$YY!div14{F@II-v8ACSee#>=3)#e z=5N;SoAii60fX2sEYjeINDXz0O=U1QH&@ltB5agV=J~RCnCB|Du(0q`fcb)9^$MJR zF&+Rb2XTLQF&TTnXUtA5#ZGP4<@?~ZJ%jd?+3(T}zqE~5*Kx6J6Ig`l_BG!y>eJeE z;r+k3EiTCtdvUVY2}va!bPNFW03^x_Gs=?0P_*jb(q|-SxqDV>6s&~cv!q3AnrSqR zK=hAz!iq)LaJ+0?&|U+Xlt3peJ`}bXESA0Xvf%x7k@!r8OhAy{bxwaBr5?$mx$k>F zbhg=yEic3!Umx?A=4v{|L2AXB;^)%Avg8(>^Kt&K`4ZE1b3CKIOFL|J$2-G3)*~YE z9w+;Vr+Hy@3&%YmNTgxTBOQ`?Po+vG@Yf+cXB0wcs#Kc|TSWlKgU%F=CVay$3|wwcGu=%XBcxc)|G~gc$|~Ez3Xuk9EOd@$KCBT6vZUbz>UQ zv`8uGwLiMjI{zsLad%2h?ukFjamsSN9zPWcA%; zr6F$)fkpdq*J%@X7qN~hb8{Z4PHk;4$vPac*c%+W+9ap^`qzgb0rWms!;u}D!-3cU zG$Y7S);`aYuRMAl^A%RhmyCM4N4ssBb~A$4?YxThd`s*soW+UN1`KAVD03gd9sOw( zvQY(T?1Q4jxEbZ9w_p4xGZ4{uQijs^cScch7Kod05vJF3SAYyXi=g#GleL>z-h)0s zguLMGjV)}`Hc5D(eib%s z{|R`WWO=p9P0xe&ePs@DI53pig+CJwSghQ{f^7|X&^iV7dVz{9wE6?(KGREO zd%p^Y=O*2~lyj&T#ro>6`zdoeG`l%^I(7Z48(OXeTX&rr;$3P{)9RrWY^IPgVX2IM zdT5-<$E6%^#$%VqNm<8CS>U zk5(WU?ktYvsoJiq+vvgq-B285WMj#df)8^(@bai@YTiBM41Mh?P|i`vuD-3UZZG8l zi|R8JGpd9GP|Gv(L(Ztz1DP5^ysPO4Ab$7?a5)L{C3Yr|$%uiL4qrd)J95@M3`IyeY<(O_LbL9ZcCeZfMX zdHXZ*n_nHo^1_a&aDpfW!iCR)$GlkP0R7UieK7Oeft?!ZYDSJ z!;W6*2YGWB1^(E0+nzxaion&3BT=fa0y~D=OXvq02acNm;v7JL-RTNcpMzlV)6uPf zU2Nc@kX`y00x)Lv5-#K~*Kb`?Nxq*lPwYKYwduUSJP=`@seH$ywIn%wdGS2M{BKIx zmd@Sf;df{uB>3lWLeiO`+CE1$q}7A7A*fSw*l&KHzd9l=4nBHgkJ+gyL2^;VB;LtT z;)#3u=zU?JmcH145!ZGD#W-rWe%BOn5s6#_T9Ba$nlZ0vY}B>LywQa*qP(qmmnp$ z0v9hNn^eujnv*V&@)2INf=oCF94$OPg={vM11=`ag880*yclljG4ODF64KMw_Jbu< zdreDA>pghjFUIT5lH}0FbL%Ck(@Ro$aI9slnJ`7AY98s%`eJwCN%Hw>9Oq(jnnffm zE-5o9mU)xaZSS+cR?ZC3_?mkj#=tvBMv$QeOmXPZiZmvjY_Wm#9IjzdXWqR23*uVQ z6AsPhoU8|3#2zRZa1fACHG%pDhh^ZwwDu;`#Q>3mxwI-cN(1kXfW0n`1Z9A0UM~AX zKIE?zYuM`vyl2YB=o~uu2gZQbAwW=)9rP+?Q@fyg2?Pa!Rw*k3`Q0G~jX&C^or*COyYNzPLRUhg3KrH91l1N zcm5D@Y9>9{{|m1%Tp5-9b4&`CV>&$*@aoSh8jigZLL)i?tHusKv2Nw&<*5QNGAYgR zab>^uC3DVkTI;r>mc!~Vo`I({ts=!~ow)B^jNahWYW?qjFyhmycX@niQB;B1nVs4! z{rv;A_kvgA@*^M-@<*u)utliULK{p_w&1KDgGKgCR|wHgQ z?hBYdaFA$0+pCq!;a@ki~MJ~j%;0Om2f@K72-a3_j?;jr)y0L1bY=6!NzB3{?|j3@*F z5zaU8I4PZ*123a2$Pe+ZVB|9>;?ma0k}AZ+4dovUe?Om*GOB4T*>P*L`VjX`Z6VMX zX?A<;R8pzhUxen}r@gIpOox|v6-L-x!6 z%}cVei}s07MKuX;Nh?a|BB-GZ@Nk?)m8-;&1G^ukT-~4HnmWzyzY=7Sg*|mdrh)Nl zQD~%$7?sAcqiFZGM9O@=&z_VUM|Ot2O5Ww8gh!OrzA0BlYn0FIBrT(u!Yf(dN)+@k z)$il50}2@sUL2Me0@N%C5V8V6P1cXI;9$c$*@$Y0zBmZ5D3q?wG%CMHx5(D|cr{qd zx2HYFKn>0myzTATa6=q5K6yxZP=!3`2(VxVm)5QM94>k*n;-T&Ac<`~E#BT9r& zZKT*=^o0?+{8$=(p77_q1yUIAazi+_U{*fkezI?JmpiYU!@p(ryq`2_;R7GMSp^vx zUyNThfo51uK7+7aontJS!wCd0Zgv@0i1J}ZjOqk%)DIZ~g5FAklJz*Y#~&SlTF5jAv-IlAdM#rJ(D z@_O-_J^9;mBRZ-7lc{;fdaxi$|ytt{VraZy}a&65Fem1fIF|?r5TE1$Z-s8nxyWZ&ny4MGd?SUC-SKJ@F*dd zOD;`yk^c|`*}`4C3D!pm6u$@RcNRkO)Gh$#$^W8hm&TxwzO-_?8;O`)_{vK|kR|$# zlY?Uol=r_~>aG3?_wb`~T*eW8yk~UYHxqV;Xl1LTTt_3Uv;8h5rJf6vWt1_vOjoq} z*viW4+6i;8Oi;2ES4?!u$Q#z%5qER{Ufil)kHtGYHG%I*RU|W&w+qRe{w!rl?50Wd zq7zy;fN-(t*EYeCFMLQk_2y~vCGvOl*WEU&=>FigCHpPneSXVs@^RqX(_jJ|;ZsNM zE0*3#=YJKp|ERsg^kaZyUH608FP$s>C&DQO)r4}HIqvts9a-Zr|M+MfY!I~Qy^X&_ z8gc1{As|~X|APLm8J45xe?H#dcQ9ZGn!Nw>@B@56E`BAJn zf672S8yXEz=OO@>Uf=^Bp_gGA`aZmKNWA`+D^#ADn|t;BUt&RP2g9E2s3GEo)tpN2 z!s&IVKMHR*6Z&@_IzHzks<9pw1Np}U>=Sq)OW9I}+oeCazCtStqyN1dwB}RS)?!S( zbdL#`V{BABZc-EfK9r^-48CuP+jm*TjO5B1Llo?{Lz~crDGgZGy}IsWwwpIQnD;@M zK-tTnsYIJ=xY)DcRX0CZ&Ma|?Pj6^ocdL5t1#9mG$<#75N)~AZzmMhD1&EXe#P`{U zNx)js){SvpBNf!falvu7nWHAA+U{Hn=c z2n5c%&wL1aAwyx4cW#NN;F5`AGn>7h74HR9(8%leR-icq-EwLB9Lg%FgSKE;+;Ih zV`7j+*w!EIaCt7Cn>)PPUHAgM=rphmq76|8XSXo!l*Os`VIih2>|~1eeS`X&ja=bbGKc< zahaKdbweO)(#IYvbXKDD)>;=7*@7wp@uX4~#DScjPopCqr^nejIVHKMIFIOeW<~~T zl0?&Pp)nS8g;v$mv^|#d@ZgH=jp4aiwNKBU_KYbsR|S>Rt~syo@B5x{mvak)rwvk_ zejcHkk8h88?!JU4Lw^;JKyXAFW5%KWZ47i5_R`Pbep+O)s@9OZdYL&KO( zmRMlXhmfeK0m9Ih)sifc*0*hBCrXm)24@3_^a~r{dfkJMp0w=~fLPFG_PahVF>!@O zOWozoZX;3;=$tEUDkbE^A$;m-{I)-AQ?0XzxMlc@7mEC3PwoJ~3*razBz^vy*=*m; z^K)`+L#Q6m;uZ^~$ecY6n8ccsFU^&CdNch$^DsId%e}#W5pGyqQu;tX(aw{fgtYOE z+OwxmFKXTZ@kDR6$7bB95&S>|okG(QYPVoNk-PbOc8k3{Dv~G}2b&vd<$F2D?o(6d z)*$h{jO#!3Ry&KHZ)#aQY@Xz7_-JEx%4F((cmq*p?QM9@^a;L~Iq1wln+lkTI$(|q zTOV^`C}?NThu}1bh5-VJ$*Oi;*1IanWu=;688{p&u*R%3{uMh~yJxN@oft3H0GBBa z;=cQN)ELzwM}q7U<#K1$xhhJN`;;D0Mz^^GJOC+5UVz~t2;}@(Ppawb|IOw|+5Da* zI~QHro~vgj!(_T0*s8ixzA=*hJP$)!(7&+x9)yRGr1Iqjr@P|Yc38ko&(i#= z;XcyZoaJKr?7_ID`(h)APSvkbdu8w5Q{yA#B$A-i*@Yz$2f>ORT0qfiuj-$^k3#wV z^LOVrZ5_GHKU~6i6crVLSh)p;ap+?^+--%4;sMp0-0#F!`h-A8Hd(HHts!i8mUD5z zWpVS!!N6u|>lMSRAmC9yov&A#HZ8C#c`ZHkRtow-sxX3p6m-ubu&cn0lV@RwpgblOOH*N=N)DmM*M?I8B@ptOU^+jG z)-$^4=XJXlQKKjOZ1SL>iPLfJ#lpp_15XO-^LD&e&icQ{3mXzpbi5k-NT~vI$70aP z>=e4fZ;2g%;tQn<$kDu&KwHq@&e6MC@wv;gq!gX~IvW`t-jgk}2mmyKKsLn{*iSo( z(8t^RI}qnViN2|{?>WY5q3qnzlzNEFbMe1cujRLxU{Cvc80*LtuYn9-`JeKy`_1e` z5rY!lmGL1uaJyoMf2QQV5j0Sft9ptOX~byiPYaeq*j}wA3#xsA3v6nwp5}2!GC6`C z)M)EZ3)>O+au^16V4v<4llN9}w1Cge6F#rLGjAZ*%&bcmE8w>Bnn@}Yb5Y6IQTWc$ zO6Y;dZPn?`kB(&oM)ak^qST?k#_B*KmT>aPmufhPhbLK*Z;aJ$Fiw9qUU*kAe9VN- zC-+(w_A1qVcWaHL3!Nn!ooP0L!cng77xW!MCDnivc@N?R`rW8mb+dOx6e;mKb+W|N z>mmnYa4e4J<`p*a59r}B6FGN)CheudWw)(RyeQ33v5_RHKSVs!j*w^^*E6Ap$;NLy z>~>Bg5}iJ?Nr!v58nE~TM9SOT1bU_xZf>G*{>GO)eE3knRu-Yv>WJYz3s1H%nnQvB zMv@c^AQS*xL=xWNKgW_<&(h)AWN0=&=E-Ufs~IjV5Bd$A*y*YbhuMX;}Zn+;h#RF~#!W1!@ zx2tTDV7u{zXmVU+1VUCtfH!G5e*)9{`lp6tGGy%=)x$9WX!$$B;nKYZYv4b{{nN)1 z&O@Q{X8;od+OKPWc=V+IjACoRC2xqI5VSe1sd>7{6~w!mAWRF;!5JjrvN#hkNZIRC zAZ>pB*d8K>m^nB(8As&@*zIzJvdS|q>>?g+8t?CVtMti^ZFblBbw2d=U{#4JA3vZ8 zlr4bQ)FUu)e08=ld zV384Ui>GDst<)7r8SU~KhX@mDSgQ#_9#H<%0-tOFykH7Q;(8^lix64A{Jvr1?-O&$ zzv7Y4b6oE8(KQ3y_+obxK8`dnFrr;KI4o4;5Gi3Z=i&O%HCVfrot@n#jHg746l_~} zvRo4wmG0OoP4aeYynNubx6F)px8?>RU_~=|4CXQ_jD$&xA7f+9YNJrqO>V#b0(9Ttuc|x1q#XtaOTTWQcF|TzdS^VjakK5E!*TPa*heFiHsk387yq!9dfNZIAlzG>AC!Ee8IE)EIj43A5|r<2 zfL*(BrVFIRZ%}54^2XH0V#(H}?7>j%6R{+D7Q1gotYG~B!9mdcMfkM{fPsP#nFU|X zbztBFbN|*3z|mdnQBzr5nvAi({9^2`x>!|1(h||qDE)v7>s#uMWOMeeTb(go z)3uNua~p67*qXAsb1|G~TmusJ{sSuHU-dTR@3%KA4Q*0)S4r#qJD}C|%5cD)%&bb* zE)mQKLO7H{nMd(`s-o}wCFaE%eb^a2maRKFF>F$twqWRD#eD^j*eE+^B zz4Tazg;aQ7w_(&CcBJ`;p9yy_pxSDpVn9LNG$b!Wj4rzSC@i3Qzv$b~{MY?rqSc>S zoE*Y<&7k(Z*qc-ru#(n<`LJ$s7}ahN@af zy`(OgE@QHMa7l4V6ubUjr5;wwGXYto^n+jqdx^1T@KIMKlxLRWpV<_o|1y=U`+@RxToRjRs_mE!CZ`V250VyE&(Pg7Mlmfk zR?%iA#sv3YTaui$)G_gaOl)uWl;HXN2YZ0gAKs+$tqp=CIJw_-u<7-;*a$A|75+1s zHcC?bKW-IN+LzYoMSQ?L7`^?J_R*F6_BJyJEzWto1B@9wB$-1suv6w?;cP$wGlKh1 zWHrlDd=%D4`Fvk@Z;j?UknpW|EPgetIF8YvBz)HI-Vd|rV%Jh((eu1DCyjZxpt9KK z3KdEWo%5TYJ)eF&%rC>D>F`URiK%Al%{0jI^!0Q2pBaroRTQZu`p z66K7LtXgC9n!DB^RDGd!t)7ZNmjBb(%B#~ImA=_eg; z<~Qn7AU}4n+aR8I( zP=6U%TeI$YVeh|WT35ZJv#vHokf$f{#FD0PI6nk5y+f|E3@lBcyC#JM4|18-cjdV) zej3(}N1kshLU!}YxeCd2BsGIT<|G;`U`@{KC*lN?JDHg~w05U}01tbZQcj-{Yis@M zRYQ4sFY{zk?FO;3_^|`hwlD(<@W+RhFB%4(ds*vo zKy!g%@&9T87$ly^IE)1UiWCgq*K;#VxGDlDs13-G?iMvwI&y{FZZf~ppt~*TVEs!p z4M;~QB}(Zt@Ac1b7~qIx1`MSO_o(2iLb*3)7 z#+sPZA#+H=Zk>~h>*e0ULOhjJ$K*ohEMFNFsOuRm)x^}2q!qCa;j86?|3WBGp^ z_$=B_@SA&P)4wDBgpk=hAp1V3VF~XEa3QIaw_cQb6^uI-__VD%V?cQr4VghgaY9t$ zwc-Rzt_1C~{;-q*ylUIExv}x!8!I#~0wf|uTJ*z z!WTZ2sXl$%Y0#>gAn2~Szu;3<2x>WSiGxcH@nkt$1>t12Cw(bv;}Z)Gyf3ns%_A-&X2V3(=9 zbbnRJn_axS7n-e*Mo4?Gh2vAl=(hdL10Zs{Mm|ILt2QSZYH*b)m>z!u2JDwQm0%U> z1%X*XZm-b5uHpbr^0>aSM8+z)Om;+E-qny;>T3~6e@s`@NGst11s!IBQIdJc<%^s? ziJ23zv4cI1F}r80Ch}_ELd-!5h)w&Y!piwGa@KVAbsbM=h=0!hn2r3{-__s(;{y7L z*R29MtsEA(+tlFJt_8$`XfW)(0g)6R`s;FFHJK+$f=L0*AqJG?EV8xqo2ucUujv1T z+n^4z!+A6&2KGEyiK!(@o3$afTyh@s!%NTDGFUNNSX|RkQijv5hFUjz<5C4kc~2OL zed#Jp@3TRk~gF5i2Wt1N0Mzan)*lu(Eau0jx?@#=WRoYPf zg=M`KM<5Pc=lv_H22k>L9StL9t`;M`c&pKr3oWZbV}9s456wVAoO(w}^AK?*{I z45>~fgh|2=6}R>Smg>o;B*82XQdFK@HpmzqP^2+VCmz7C*GO5ovGee*i*T1M$Ex-c zdpBK(k?dFWPP~_QHvc;Ziy|fwEX7KL(_o^M0$=*;oh2d!4T}VZe@=q)RR0I1hA&1% zHk24JW)1B5&c+?X5;n95oN38iSanJr&6mN*pir3$`Y)EdYd553>B%<8ayg@7=VJ)6_Ppc{{)7QgY$4!p=? z5C_V~ehd%W8e9is+#dfQU+*2(^Z&npKPwecNkS7z(+H&@r9?_a+CxQX)1+vTL>fjJ z4Xt;3iAs@5MT8b@X=&5cUf22X`+bk=xUTDWT>pHI&+#7K^?E&@kH>wS=k1(bO?6-e zbH)7A(tMo5gaFhcxkA1~~%NG)fG= zhn)E2QIrBt1h5Wx zxBHy1p7lr*Bm%A9fYZK>R=~J^3>%pvNt#jWciJ`<=-33tx&JNF9f-&fv8;-`gQBGM z687--9zE)ni-vjV+EFzH{u|fBhLriSUty7+zpSAVv@N(&jlcezp-50g_Y8!y83)@@ z<*f0P!ouCeYqrM?+%`(USYPAmUiytD4m4B@wk5=NyK3+)@_I;)`RU@!6F-bSZB}GS z?aYXM$TDr`y|d2%duti{g1B0%_^Ne0X?QPH>`t)xqGDd@r=)i}3v>`ZT<>2TC_LFDJ1w!9=*jPPTK+ihdhvdp6!4)Y9%JwSmr`A<6-u(4g0E`1 zac`xoMQZx16%sBBa}c(61zr$~?tB!eZ-O!KioxkKwf9KhbMC;nVu*w?=oC#&pKYCT zTUPsQVXPe-F}Lojrv0A}#dHVe{&jJQqBZ1^3`)RSr6J%f;m~0EyF*w3$963aVP6j% zb)4l0u+b&YzhhDocs$_tl2qSAFZ>6w8v4B;&uSROzM22)5#x>Af96&5cWcn?WB$oG z`Fpm@OVBeC15HNo_H;fbr zo2OLjA)m8Z`kOWFgVlRxZ{qWJZ7G;(pLA~;%z5xKc~rfp+xc(N z-DMgtczK4~D^X5cH!?|N;S`-im92*CfC*e%)BVoX)+UTI1;NG`Ytb52-QOni-{a6w zbIj!O7>J9z>BafGWktbWq9^j6vOWw(<&clqH$DVCU^+$I zMdRmKA(KM=l?RFU^qF6$Fn9|L%Qp)2JY$$@H-u5-tp!%16&g})E4HMZAn-4`W2rtT z!X-XU{bT!}CG4+H+xRawVb8%(!mY$+?bXyNQk)TkCubO7yjq|wRA5y@S9016^U&>O zGfy*li`F?oT>VzTW2z|NO{V7>7S5F-c2OA(ZYBpG)xDqLWwu%G^yTfb<%SJ*Tsjkr z4bv{5cTf-BR+5mKe*xA$MB_vT)EDzR8L-_=$y7~^D$TBwRBb0AlExNIoTX-~CDwlX zFLroZZnMJYFJF|Uc`oL74~7p7by_)D!8E|2zXWTP1EN>xCG`*v?1A5s#g~Pfp$Nr2RKf9SyByWAd}x9J{9pQ-!?JmC@0e z*+olhqu*AoPIzq9Nv`YlZ6wZ=Y*dIC-fnuIc?npP`L&t%cS^b2X^206e4yr@dB?&2 zqW7MU-}XS_ZUIURH;F{N_xGW$|8~?e!QUVVQ;yfNWW94VK5zf%+>UzpDLqJG(@5`VRRzXWqkVqR26S3`+{r2JCyt06OLuxbjkE00ar8~yI;?b_tHnbPM z^Vd-NQ6WEm{5aZtq3uWLiS+4DdrmoS=wbhGb`Jr5xCY3Q;m-#I1VvePOp7scty4Dg zY&djXcTKqLGcU>Tk4!%m(08iV3gDU#->wL!K$O58rd6PORa>(eN zSCq-ML(Il!dU)H#^#dd5j2L_oOvRCGY&liDOnsqi=>WCJ?owM38e%eq)GJt7*-My zi5-gqKG=NN86a<|!d}kK{te=2f)y4zSvPz%ar(3!dPgx(^ftjnoF4p|Nybs3_9hNU zC(*Vgum7&Aoj>2hCT6(i_4k3SI8T2El!ina_(3 zoo+23%>TzpsKT*%VAT=ka*0ieyJJ;n@rH|?L>AXa+9D8%S1m(tI);Om=9u15bd86< zoml0kLZLvg=LN3A3SF-QZ8% z9UQb_A5C}^IBcuzwWp-p#2$`)-~O7}igVdED}-$Vqf;)u+tVl@nx$J%um0Ee{pnoK z@5KoIoZ8i>O!blQXQFUn=P!i3!J&fpO4B8H@oO+BI%>2=?&5_DiQ5|VK+3x2i220V z`=|jxW^475v1<}1l&2|DQ5;Y;9^Oi+yXpIBdHxp|w_4}Gb{-b)=*`Cj_aYnTPqWRt z|Iie$(DMJ=LrC}kzlC%3m)9GdRK(U_#vN7QQ3yrHyi|g?&ObdN%Jy(Gfmfe_;$ClT zs6Op+iZ=Q_qD6GW5}8KeWe0l8a)2VJEzP0{*jptQrayHbzm>B$A?!>{tobSkZ4IpD$=P^M03LvU4FBjOUE3J{9GN@ zhjnwm@JHKLc2maM6&m3Ziio1xw*3^JEtG$cdQ9`|p6+}0i~0t`n_iZwebfqAWY1KO zW2T@8NKxSGM-LzR;?CnmT+<3F&92U4CLy)(;YhMt$1+P-WfheabvZn!ATOvkHM>1( z3#N1v`!EL(wbE(rd6_u(hF@d>C9gvIhG&C>>+Tc%67a~bt~SY zO9X0_)&!-oRM)UI$H|JY)CrHJqN;MFwf*ykNL#yB`KzXmdF42D$8XQ+%1mBedYnUa zQ_#^2HAex7kSxo!P0RK~0}9U`sc}3YSGyHRm@gUn(H`TgNa$AgeBJSy;U znynvy=-+(hkYxVCVRoGdy^guZ%kF1#S{Xd%(9}5MoFO}Ybzx)|h+7cO>VTs3@ zab|JEo0ZCkV?_%>z2DBMon7DllQ#7b1dorA`r$SIX9h!E-nYy>KglgK5>>}=o282@ z6yE?k5np;TEm&y9+<`GK3XW2^<0s1CcN?EsjmsJ$BEf>bwbv5! zb1n4rrvmyj@p5zr9~drCSQ=xcR3%!W2ROIW7V!mVcOF4!6?E;3Wy)20Wi{)BP>EH+ zk_zZefl$mDyolElL-fe0YYX-gTt@`fWoI{MTlj1L(Y?B^I>8w|&*zjCY2GpNhNwxj zqXgr$Mt0-T_Nc>v+r{{ps;Xl$_upkV4Y@udlR+aG{~3}LeoS|55}!X`i*D`mY5CLY zi^|a~$+z=CPr*K%5ewD4ZrC#k*PV_+y38B4%3BqkZgb&E9`cbBEr$N>+gol~DE=2Q zcxqG*yA3Ft^Y|G4XBw18c79YHdW2D23Y1m%o~p{&&pHeS_A!)pz|j=2pn|*Tnaf z*$y>24uUh1XpQ<;;puR>p_w;Or=i;FAyOR>Jz+y@#@*)o;UxoL@zy_jv0v#ewcUu?Af5`Z|^498CB*2CpwOWZYmC$FOGk; zqM-?qayKCx$M9@5Jb3$`&4Ozcbc!RSqPE!Ed&qtXF6pyS{W4fABa@<@N5<{7qvK1=wppf-~l=INQ5m?8~EIkP2_HCm!(2GbmHOvd-c> zj>?5myt3od&5i8Ak>TM1c#97-e7wKyYdh!Ob1R9d$+vD_N4F_S;KsJGWW~OUAZ~v= zxirTFHzA|)F7RM<#~Ad94tiJ7%vtKwmW%i-C?D)lkY-jNyXyYBl@c}C5>~nwi>hL= zmqPt)_@WEWIwjQEzvsiHEl)kJ2vX)3lx56!n89G(f8*CumaL~0wVoOw57AVY{Pq+L z5BMc=C0t?^8oE70LmU3Iy=i=Kndml%?mC=sO z+xhihZ5S=TjZX+ANN1#2*u6LhHLaS(x+JH8qNG*x4$^_}z)RRnbN`QL1l;-y@*-3@UjBB#ISDh+IYTs#q` z<{kvumfma>gy~QKlAKT=F}7h;{O30Rd9RWZ>STRI7AF3bYVKdMSX(S8=%iuhPLNd; zH3&T>@EjmCsswnYyZE=m*S+0*2wL{lqi(y}q(Ax5J*ZNJ&z2JCyRGNUdCWT6?9Vl( zlBx;(qG!M-x%>>TTHvNVrE@WQy1KErUMPeOWvrRkwGiB!{|VyOw>I=!AU?)V*!vqj zX$-VKz4==1deLv21Leh%tyO9_nS`vVDp79lwCgwY zXmR}^>&b?t9B6*5HZO*$$&2wFuXv)Fl-g<|e&USM7MW;?g7Rv&okUQ1JEdERPrO19 zwh&ApHCf$y>WAJ=%+Hbc&Pcr>{&W(~O3>~E@J0M`Txk$jiLFmoq`l2q ztK8GqcG6w!vPDL`U=;x`b^UOzeh+ptjlg{-(rxRON+eNVb`qRTiU%$`&q#xV&Gw0g$MNCtvL+b_- zj_sGXjS_;BC^333@M06HRSL@vY+~Ey zMjMSS;9(uG8R8L&+vTPJx}dZ}2L+#sP4!TubCn|6t3S_Nyi<~s#kHD&q84iYkEzR~ zQTL|A{bNmwb&cPKWh&zv+`r0X$#Z(jg=h8z2;6ypw(513m4x6LVTTzg8a5ohwdNg$ zxil0Y$263FU{(9K0x1YVWcZ6yx5pOw1T#-_Z%#2VHXi!TOn!@RWV|?Y>+f+l-oejK zQCnqiSbVK^c6R=AdIxaHSoN~^Gr~^&|Ff(lJBPNOp#&~;0^ z&}Df2?2%LqiqR!TjHx*G0eE=ms*C%1hKF9UYyUe3ecK zTk44I4dAb>gj&IX=mMFrS96fY3#L|iRjeCVrQYge3nAq>pUyTFdpM7ayqqa zLbVu+6SRjw_88{g=wD&2Baw9mVRBqSXE7S2 zd@%QA1unW`=c^yITMWN0;3IT^GJ}r7`yYZ~be^!EfGo*Ft)waMQZh|(z6#r6@GO7%M4N5ZsFtsP~wil9alY%zF7(FTM zshqMGx)!pCwrInpjc|}#B6H4PCONYoJb{j-P2T6%Tm)btL(ODSqq~F}DGnWAL*iXs zuO8PnIIsVgd&N^Ec$wh0&~$yvydxppb2{yjA_`#FJ?rjl z;bCdr2d(WpmMH2 zp9dJj>dfFY?gzs+5;50l6DNWitJ(+o9>aoSvJ}L5TX6w6XMG_y9t*RZR}y}7kT^i=wpe!RnWz^!Y6 zVKU(u&`6Y6eVmVg&OA|1P) zas6-zT>of9Xw}~*Tuo^yz6m$ZF-5gMKSPIk5#Q<^XsCPaI`9LO;iej0&Yn&@b4pi# zdS)i4lf^^vWx=7})vOtv#Z3(($P8TFDPvYOR!SuBZ^c!*(ZbRwRJEa&7JH z0Ab%4;pAj1({pQedJFthhf@brZ?=?12C7?=u;>G`r6@ z0iZwvsV@h?87%li3QN2AEf`D*i74%QY|38oY&z>s(7CHI*zRk_W zWw)=E*~i3&!%r)-i41;JpMgd|A>G7joiNw2aZBDS%zHW1^bHNIGcHjb#P8hiYHG@d zG!3kxn1?xkr}`dG3W~O^J9NYX9mp+$Z(QqW@BI)XfkR)`$0d&*lo#{#{(fevC>)9*wrZCFn+S#F;9!VPQuoOA?T zgroZBRXauh76&Zq;t;19B+!|&)uI8(Fi}jGN;b;e- zt{l>ie){wdXcP4fL#Tyi{>u10b1v?52XFiGirhQh4<0|ZtXN@Qp%X;aA39m9GdLu? zJruI)Uls#Wy-^h5m`bzl7MR22|x8vKD-drL0+@ktM()czQ-w&{o$6qet?#{HQ zXlV$A;)2Orm@H#Z4+kG(Ok0ocv>amVvzpZ?Ftm(~Z4EmK<1Hdqv~aIMO-Xb0eciEr zr`_;csEcrqN*7laYbW_f+?qN>A1*EJbzLT-Wsk%7fi|w^L&a;JxOrbKF5Jj0nA?)n ztpk=ZNDn`BrhqPVfDpb5j!g#A7LFGt@1Ra1Uy|iFKp@qo_eaEWjwOS%Oc9i3+ z4LK$%?EQfDm|PZ9Y`@@3uf?nXKzq1gO z-D83eHR&y3ZX{NIDy!HHBTE0`KhMUCmKm8$e%i)cxA`XH@u4 zDTT)&iOvCM%}vBho@=t$S81M_Z7~-CDF4Rw>DZ;_zC$_iun4}D?l&O0XTKg+1bK9Dgx{<1V z4+fPHm?5iV67E7tb17=n_L$Yk<#8uhg)T_~(yDjYYjH8F(Oj3_ zL|cNYp$1;KD^eX`^#& zR-!&BUFNvOSk!>NZM*rKFtaAA722=9iiWR+B|zkY_P1hT!8MCZnTDBp_m{t4K41>)E=zfZIoOwxsj7#i>Adv z|NRGbYK2<;-IQ`>ad_m`7FwTebt>Ms8e^{WFYn*C@7p>l(ZJr#7MT-Vp%*S(Fxo2` zrY2LG(&;d>l9zY#j7xQLX6F7Vf;jBJqq@I64_yo#<*dJDadUUaaXG}RVk^NUhfSRUTMF~{lYcaR~a_-YG2*&;=DHV{mt#Z z5l@deC2+{58D3Iw(c71leu{^=?nC6Co^ar05|N$t@3h5e)0KdOP_6oMv4J6>pcrgw}>yDsh?_?kKxz) zH81n*zwJMdLo9Kwa*vP;G1jG|K5zM*)ge3*DqebS??B0HX{R`;Y#QZo$rFB1mE4%~ zL|BqKpFnlmF{V;`cfP34a+yl@uA00-mV^F0qCvi#-hLa$4VE>{pl4%7C*k6@%q#06 z{!^Ue_hOA=aDkFP&4{P=uyk6jva>Mlk=h+7K3U`p&dl6=Jh$}=lcLTqKfN`&r=u+* zQQTD@Z0K+s$K-b}B4Wr&eWsm8;c8HHHdA`?-r~8u)SIll zvfmZG5j(@Ef7+FU%3ACN-kyfS5J?GPE43L&OAEv$-!ykh0WNO6$t4UKN6`^*Idz5U zn<~OqUN(Z0uc7hZ3)Gqafmbbz|1S}`PTN|UT!9t2cc=K3J+BmM8RTj z2ZEjbh={Q8AymXQe5h@6Qpd8qCdJ6+Egu(~J>QrdIbUAf7Cs&&C86ghbFuKs@NQ)h zN4vkCTPu&X3mtJel@VRN1w__?8xSJKZWBH$(Pn1!>S7Q^WN9%YAW(ph8L+h#hM!wx zG8e0BH5rwxxjZ=}*E!2^dM%URZ_Y6TFXfxVyHRK4G2kUn+RnXrR<9^b_S2w@Lig;< z2!?m|3x)^#B3Df%T70`fEvner%{vmqYi#U0+CK!qFZDR14EjW>qq z8Br;c5rSjR#n%IZw)ON9xk_4__=47+@k0sVt_Vo6 z`6&Vjh!w!)3XIhC$3|9hY939>-{JKWYPyauw=0D60}=fYUX zZo(W`)3TrR2Extn%ARd2bK4Zb3~QJ3YMUBQ?b>+uSu_m=r0qJ5@) z+_698c0Ht7$TWhIMKMc6I+5oipeWrvIjdy3J`D<;KrT}{sYiSUI# zMw`~ybfioe(WgkaFVH&Nl*f*w^-9=@B=0omz>z~JVeYF7el`5``Sam3XJT_Bk0+d} z+Bbe!5P1mf=Z5A8LnGR{>v~1)mIzJBil;Y>7lNI(Jp3d;fMHdb<1uQc@hktA(7(y5 zRIybb0z{!bJsY#v{BCbWGj4*cW~_O7-Fol80cHtV3S%~a!r=2$9E_!Qql;VWy{>x@^6u=z9WvC|a+LCKGCv&UK=m4czRH&Rqq)DYO)4zStMj(UXQ zU^6(`26oEA-y{XeJ*|iwv%oP-6;ipl+BO7|Ya4KY8>?tB(%wLN1$(}MP0oRCLF!;A z5_8n;L#ZEBMZ=s{SgN|#SXOsK?#4q?Tm?ngx^i{llfN`%X6!mY+BOIrM!($mqtM+M zgqMnfTg3B^YJ|R{wh)4W0GPdKMjNjFv<2f}aA?S$C(N|Owq{b_`0o7=|LJUD-T@$D z)T8sSqn!%FPAUDNqg47ElTUo;Q&Bh~)3R`Yw}KSNEt$M{Zpa<&xxL=bd`P0L8;_&s zGqnV}kZ-h91%?_ei(1Y{ja^fJ-gSCE@OYFkBiZ!Mx4V?E_acHuPx^L6H^@lA(MsKs zja^5~E1vxy114w?Frjc3tJ8ycY>0!Ne?60-p6fteLU8H;G-nQfavNz!WBjpLeBL01 zQ~nT?Z4^ZoG+1J<$$Q^zU2l*UO+^kqRSKMa!(=LQPXEY}g~9rpi7wX zs)s*UdZzt8ex>p9rSH*(ezg-;uHSd>_|HNME9Pw8eT!BKz5nU8_D1x*v`RTk3yb*kA6L2e zNsk{qIg`$`KBZ;nnTKBYm4c&+6CMVn4!rDgb6&v4-)@KdC1S9R>jy4H!-Om>Hh1!RyMun>XA|_SAXaG_1p7SbV)wnzHUV5 z{}y(1`ET|*bpHHy(!WxNu>7{KN<1avfqI&VnQ8uc8OhodEHApz&}?XdR+ac>Ep=@y z7I$d`L+d%R8zTH~+S?lMO{h&y=ITg2>0Es>oGHy@$ukWD9>bwrqtCftmM<L_?Il;0F6gKQa|p5Vujn-a#i#NHQI0k zlE01+?}csDC9`c@Bgh+7LRcxE_kts3I$r}7NE|xd|HZw7OKg98_jN`-@ybTrHDl<9 zje4#i`3oq)y_yI9NX)+_5}mJ62--xAO!ZB7SuZ6uR+%fr2I(W=s7Z42={Bh^7r7psIK%}u&7#5_ZY>UZ~XW!-O7 zT$z1w!_8?=%Te!u`P$R4{RvD zy1TUi+2r)towEc!Xaw|<$DTg#ORaKf=^2hv%^oY=O^uE^(uLzkX)bk7Ggi)>_VnH) zG1@Fv__%#?NPM)}Yk6^+#9@6=t?8yT?#Ul~WcpH8oeV9DwI4d&oSSeKyx?Zq9^X+L%LjSX^`+;j=31gp6?DLhzm?}7&^2( zN&cxn-_?~qDwFP5L+$+d20Rn{ou;7rDaGD<`|yO^*v*X7ROHPyl#umtw|p~4>XLmCWGr7F zLrAs zPY<@Uv>RSKGhrw)dAo8MQm+y~O@hus5PQPU0hN}yn zKgxcfQc{Rs?72nbzls>rqPO<)+)@{FE=yvSm3<@)Z%5S)RLSj~$dBH`_Jl;|y&lS> z>(D*7+5H!r2c9@8e5SNAtWF~!LuTfsnU6-)Y1x{})=tZ%qCS&*5(mEe-PR0d_-z!_ zH)51Ka)*lSB9&>N?zSNk>3t{0NaQ&%g-6=G0f3mupsf$Nm zZm|X$flUAH?IfOHHz3J408=WdabIeQG9BWTybv-Vt$^m=%F62bhMfoleD`Rlv3hwQ zW*D#LzYj`9XXu65*w}peB;w1(mYEgrE9yzXC@CQ29(6^*>XxHT$qvX74}(? zE*dTlo;Au*KR2_}c$g0U9hLpQ=UadZVQ#+!_eV!)$-4=Z4+v~YN(u>PZ(vBnB6`DG zRLE=4BtCGba0>}tGlR7I!a^Te`NDK8X&(k-WYwx?2AqHIhSI7C-cQzw(N$#*cC}yW zU#59C87+#+A+=nV{Kvw@dc02FH?$gso|(~EpB_pB-VV<;O<2*(h0Ni{;W0JSH))*; zi}4A>0|Y0y;tGV&NO`+h0s`-ESsgQFF)z}6V0jx%;+K5-cCTf=ua-b8g z-$?R{Xej(o8A#Zc)gwX*vv=NR$+7y`0b^t%H}Pekpqvq~8EC#+7NJsXF7MCRbo%je ztY;tDBng}VK8I{{TlxB@S(7#1&DU3xpY+tJuAF=4F4-()Dut&W1SqY0^i4sPaHWcI zD7^0~<$JLclC(T`&K&2m6`nAv`o!2PuJ7(~icxXLQd3iTT#WFh&0Xv3>x;)!QS?2^ z(5HPVI+Z^iGhYR$sR=&J^T#UU%@zBMioRhLiIiminsT;w$VruA*G>($*+%Q@>dLI* zD4wEiw-~Q=-*LottgY+K$1B0z;Q*RhH3*;e?U&~A8~9!f_RV1LbEC|6g>jVYSN?Ss z#b%6`?f#F8U5k<%-&$H3%Bi^A+a*4A%s@6!-O4 z`d306ZZ`oEM+mBKY0h&!hn#=tWEh7;s0;F*H_6yFI^wRubh?+PF14aoG-<&*?|irA zp@(zsh+D+D5F|?sij1+bg-1-Y^ok~pIF5dF|B~I+E-b4o^H;WgfoVXZr_c6$L>onA zt-BW;J)*OAIjYoEG^`Zw4>Q|4o6tON9Ra^njJH>4dD3Lqq;?%>RkQ9nR-u;5zmn#> zWQCbl()fO8*LSAZ4HcS499-I&HpTRCTH!M&x)~+~>o77Tq|Tb86PvY-p6xx)M1VaM zAH5}Jg;W1vmspB$UMhfDv_+*2PdOn-k7+zcydXxe+uWK0cGX=Dy6H)$G4epK)m2_3 zE)Ifx468I5SD&`X9oN*kJDlq^$061v_b78-?d4Y4yA@TRJ}E*lc(_bU=eFLML1$xb zNp-~eo^e1g0oCKg&9}Pb+nxcq26nyf=co8`4`m&MxOT@P62r>Ce7xSi&?`mxpiGr* zTc>mPW@WcYUvr+CdJ|HvyPa55e5j-3V=fLQpLogUYiaXCCvw=PB^C%tJ(3RwVNXu ze_V6BI2aF3s$KJo_xA+RcxUhFGCbhtLty-&k4*BkfHk_U{KvxXBVSLtM&-K!Bzw+*!)R z{bLZ2+$o81J}Ng8p(ud(R%- z_U!U>0c`nWo4qs6amYAvi|c?i7>y*GrmreEoRi#&qouAF-SLiJ!)hc%C9rjwP;7a z+u{vMkYs1s^da|imCSvDsoddt5n6pucDk%I!&kDsva<5G`$e3#!%?et|BTKH@0`$i zmQuA_(BEZ;OYp#f(s_9<#(Ncd!}+orzx-^uqw$~a{lwU9OtWkUHVWvv1ZPqdPjhpY4d>?v-t#f||y8lk} zUhxsU`oK(ucC;6PV=r=pKIyq8PPWx9`bq5evzx)bC0l5v?o`B$U7dXGavD*T43g0j*6WBLCY~3!~SrE*-v{$C!Wkoz3xN#g$F(UCnh;-$Q8_Y zS&r|YSfix-g>7k2MVEA6Z?92FJq9nt`tsq%pByV}LD4?n=q7Fe+Y5W2x~%vT>eM+> zkn!imOk{!O$!muTDolJId=lsy%b(o3OIooWit(!P_!T zyuMOPHdd*Ko10t9(9m7E-FY&o-@+-Y_MnNR_ILlTX_w8JSy@tUa_+qzM_Zl+HI__( zCI)QGr)Pg2n|Tf76ybHgY{jrN6*DlPVc$&xg4^PsqzorulQ(uvSH)-XGtJ0BJ3Bj@ zvdSsvUriO=j!6f@gIU>omXvem(pq}6h%;!&{F3bcoo=EEXD#v?tH%ftY`^8#g}Epz z4web$=L68?>zWdE0^`zl0L5QoS?GF;f-Tf>Ty(u;}h!($1j zK78hZJ@Vq4Kl}SU!qaO+6?kcSB41hxRotI0>rfR-GICWDSVtBi!~{>7mQ<;GK{nKY34D8$+>pD>B}EYxuThiy0CHDUnVVj>5FJfUM9&&S$UwS?jI9K zr!5!djP@o65N`n*Fo#N!By-r*D7hj}5MOmg(D`PAa_Q{ilXxW|Gm{0whAB?p6;VQS zMalcGk5{(Zg+|T@v7JyUZZEyFO z^x5nuli7Umh!ZP_iuj55P3sdn^gAu-Z%(J0$W!sUV&sX+qSoG}SzP)_~3@gJ0 zY9&J&-}NQ@s6hC|m z52L5(-5={e+NZG0>GRm-Df~?$S<58#0bWdB0yiB#L3fd1KaT}7_4H8ZNM%Leo=qiA zg&2dbpk_dfAhV&?2;!uZ1D6FuR9B#DrqT;8I%w1}&5`6hOj-{o5wj_@*9YUu%4+}G zF)=amJab3$1~X$dJg&dp9WpM6OG0Ew)|KT|e4c-`EKQT|8KWX06v&7O_yj7j13ELJ zUH$AJ=`7wHmFG5sTm5SV%mBZk+pUU!|LE2&h6;tQ5f6DU`J&5g=3P&_)!H>4ZZuk@ zuW)bPm%nPg{UJ~8@C^pAP2YxiuAGgP!~&UmPU^lndc@`AO%5xj$Xp?rk)7xv@pjGp z!uNsqJ-C{jbHAnLmKWp|v>m^H_;B=a;P{mzPf~u~-LU!ZOko2~t)zX&$@qom@BcfR zm-Ya|j$;uEw}U+M4ejeHvr5k`+H|)ZuWRQk%et8H+|}EU*Fj9X((!`d@vR<9HbCFI z>)+gF`(9mata4~Ve<#R!p%2Zjz{neiU7)B0X#r5}`vc`fjf%cX%}=R_rA-ab8#}dq z9E&ErufVuN%-spdTRDjorA#@9l!;-o5Gg&RDv*nYy@e}-G}Zuu_m!5_>^JRV^;rag@ZQ zYGc5Tv|6YSOLu#Xt^Ujf0yzha7~=nQiDWCAeqI~9G>1>yA8%@O#hiVnx!UVOck;=D zC22kmd;pAaVXP;)^A=EVsbxM=%Op08gS98wX$MD3GSlZdxsUhthlML1McdY!qc4(KaG*6!7d1R%N_R6aPiBYr!u}3IS|5!Sf(b}E?XlYf3u8;gz zAM$k9fzO{G0d94oD>gD)}=5i}$Y zj`AT&KgAlh+Olg{2Yk5lOzILJNeNvUf_s<+_MmiNVC(Cfm!Ly@Y=K{g;b8UW&t)Jh z1b)ziPzi@qS_gnVt#m>so&{q2Q_}FxV8<=Ip8pJ?<^l7OpD{AXcKlLZtwKy}Sf(z{ zv(|hc4z<7%eLyFxxkuS^uWo`qi`1fg+qbaY8vS|ltUs^m>T+;#b7w>VBYoh8=7%f0 zpQ@ild)si|>PNmKaa+$)YOXrJ=tlJW&ccTC_VK54b+PIRV7&z#?9k$M+sR|uwoj*8 zsrCA}7g*epn!8?436BR^sq!7SKCQg3U!LcGm!kWNKL!?03pfb;^?O&ybV=m54*lo; zUsgkFPk(oLXTCOrJBJ~|#4h-QmPJQwm!0T;otN4ZY1n-Aiyfi@{Kxu0`(6w9)}Eqo zK%w~~LfgP+xbKwpq0b!wcZIfIRTDeu7-M|tEywXkJ7_u-X<+iVUVG5A5esjIl5Wi? zlC>gd)ep6BhSX@Nh<0#?k?r2n-GLwWP=!I!k&>QA4?VmcH0~CrSJIBG-Zdq@J#2B_ zA2==lK@f6SC05qOj*mRrpS<08!lSCy*gP+mUkt-G*!_Gn~|p}ND&Rl2UxPZ zZ`b0eUQL$yj#{1JQ7Bw}eSGf;Si`CdLt!}ssz?*ll-o7Ei;#o2h{h88-Iw#Vr9N}QXgaWBVmROMOQ?fB zW$-x6kIjz8@E?d}=F?(XzlDkcdzH|YG?J$YM{;Sl+Pj5FnBwr)sltPfI5_gEiE*gP zWHadUL?J^Fb6yD4Z%;N(6^a^&NlC(BG=@;C#txUDV>XKDPrnbqEC?keYA0bMa zWeyrt#5Z#z{G+}1`ji+moa!W5^284-z`cn5I!fjJyC)uT90L!@uf3x z09;-~iUyI21BybqdD=b+2`MvmwDfocGw`&Rag2zX4#^XP|#7r!0*C>}nCoRvw zxv?dS>?StO%WoMoG*jt5x3fZF#6^qr+7F=K5f~-q@bKnBrl~JO;p;~Ze97!d6=%nf zE9h5c=&?WjqOE&1>T{u{{BGU4M29WytC>Y-g40U$kRj^mne?C_EK-dpSv@t7uI+P2fkep62bq-}ab-W1655?^+Yya%WdDve zoO{dH9)exB9IwuW#SDyST}+Up@F+P0jVAePXRTV=O55N1(mn|&>g4f4?#wcqI*w=kWCDUWFeeUDj(Ln=Kwi# zZ;Vm>8`{P;QwZ7JKnxGz9mIaGsQJ$b2@!jQq{3ARhqZZfH~Lj*W*(`x6?wjSnMt1R zpD>i)g5ja1knF{X3V;QQrEg-CoD0qSCK!^h<4bIkn}w+;n^f8p-|FgqOOtR$1cRQN z*TX#sSg0k5tbvaflx@@)<&f>umK;9rve2$6M+_mAVPb@fMDc|zobaAwC)vi-XlQd| zhOy-}d0)A31;@;yXtQm{md~$?n&)b_{9~3mqK~~w)Z^-~ibTBt{(ZSOSR@A4h{q<3 zBo>_*{0y7{$!2P3?qv0zp(9hQg{fD?xsLTQ#`K5EI>j;WjVx!LK0aJqc!jBc%;4{J z_Z@FHFQq%@rlt5n)aA0 z(nCY^WexkObi=b5PsAi1aR^-aDG^_tXKbYSZNJtb?X*q6c}X%kP8=R^_sGx`F;PjY zo#U+euVj_PJAIA^gZg$=pFh8}>%()JE=r_G7I=Rcu0w*f7F_f@>?h=EIaizBy>Kao zN{y8D@^3tL`!5;GqB`mZ4Tzp1?Tw~nc)gpwq|!_)Bn{#)l=#YD9{j!vh0{JNDUaHpj0C|P_%{2jWMcvBZXVUuTOvO(8sP3Wnf#{POy}EWc6fsa*(o_E&h!evD zFV7#~m8a_&UuTmU%2aH<*{Np%034YgMd*x@;xbRV|9t2)y?%R)xQ1!GsJwsKnfXko z7`plD54pEpR(Ue!JiMtVb;qv5L`RLSUBrHSIA+K>!gawMM)rMu62%v3UAL}u)eDxH z7OV=vnZHfeY=+HJLQgEWFWZa2#R-a%a{CyH(zy9`F{HpQBR|_3N&zYQ0S5e38|;Rb z%%^?@IK88}q)-U|9YsMQD^HT!nfggpgKhVvn%{W#(6<>2VdgptTP@9~c^g4b)@S2S zBv6un>TAV-;<-UFs)VEo>_8Uq>-(d;2^|$GYBPa3wmhr7te8r<#ve zV{3--Pu?RSY@}BnIKBIr{(r2hZ`tehYF*RuyY%jgU4C7Gv)X_|4p14bhmDiF!qm2s za;$Ntom*irw0bW<6dC|xZ_chQ7%MIxG^cYgzw#?rc!%z?rmx+uN|O~vIab$+YY8+p zRKYhRj4+D_MDpTYBH7|Koy84C(kEJVCinG(*Xcy6UQ?_Ky~|iqP>goOSR8e7;OAX$ z)=8&4#zSCI|gyfTYOm4YA3zlB($K!DKeK)xZ_UPdYS zF1$r&pnWfYDejju?^ys8^Z(zsnai4QBl~?K2h*4nk@Fjp};)u4qGXqUOROxf*Qm* z0kIPMTYN4NtH%D0v~PyAfl!VpbIfwxChPTg(l`?T544Ssls{obOk zI;k~l{?5qic{!>q7ekG#8SSEQ^Tb0{ud6|MPoNsurzziY%5kOl^xXlG{~jpVo}@~y ze_@=84!X9=S4ZQj6HCq09yj*9uel(y*OLU^3J|`1~O*hgPN3El5&&Pdm;EV%Ff_+j$xE=}Q;yZk25i^(1h;_@S&AD@kKOTUB^vNyyMcmb3u zG!*7$BFGlH4oMnCU8sH*L^$cRGmxC&x;H8{=p2^4;-=7YAbk4$m8*CP9vuP!TYOi3 z2Q7S42PnCwiGO0t5psB-mt-u7XG5{j376uEj_uEK8{L6Y#Raj`Jm6@&idFxp;Rw*Q z1qB613yh%H7@NSpR^!RNX)owI`ljLMYLNz>sUafDi|*k#0a}x8rrShM?-o)Yf3A~h zkq5Yh8J|HO>+`V9Sp|@0{@k6%96wUlVcKY-u?zcMAz^In_Xj9pz?vK0ll^3d-ej}S zV!5QddhOw*-;FA@2e*c)oxzlek~3;090L{y&|RD5bCH~^a#$oxEf&h(;eTRwj%ilU zE4tk`mqjIYR-3+#eqfr|n11m4;-@>P_$@ah{Vd1!!l{UAIv?#{tA01Vq`LmUaTXOm z^c*-f*jlP=UZ%bljSSxzL>bKQ^>Y&q46T^l>|ZRlkOdwslF4$q_4M@OfVP0VFBl6c zxmnncvm3U7WCv>xL`Bid3qqJIJEx_e^wVo~N*>SE%YCA&D?l0Fph{FWd)~x1!s_#w zp1|4H@Lfi%9uZH!sKuA9gl;8>BkaX>L92B^y5HaepeT}Sp&iDHU~QuN|NMKOH!QfoZyO_n6Zmqa!Iq+C=~)B$fa9Yy|W6i}a!hHy(&iS9=T7{T^zOa0F|*y4-( z3w-)%HR@phjTBARG-R``F6_a%?HF2#KOwL!m$1$o`8Mh`lF)rJ;Vf-(jLYa8F)g&FT9*EvX^=dkPu6qP^3?%=C``ZTp`3 zD$hJNHCujju|ZtjZk?bfBV)BMhSQ&k#bNK)B>CcORX{x<`l@|Pe;{m^8y8plY08#9 zhRii@cnK@KFAoim57W<3b5LJcYjya#%zN-pFttdJC5sIa5#N|Ou<%_|uRo|b+ ztj#0>*7!KKy0#nycR-~4+0`>%&(%_%yC#@W8p&&;Y(+(3Sq;?t2H_75p0A=+=%9o} zMfd8QB3eomy~f9Ln4V%Xe5f>K{Rys0LNDyk#6$ddu>SMUMY7~2I$~eD+AHShU5J!qWEIM)WM%L5yw2|9cO1|0Jl{XQ_i@j@x~|XXJm2rve9(<4 zLB!X!WZ;-wS1}%hB8bD91BCG{uKjU2?O<>dt|Hb^QfhVy%GsO4hVHYw2#2$N<3_p> z!S7^|pmZVvx-3EhkLF9EJDSRH#9MV(nweQ#pF$>M50<(d8*)66LfhlsK|Dt`z(+2! z7T16Gxd4F2>FcT;ly+MO7^sGaQ-vv=rJXvz(BCl?47MQ#>d+`gRemPFmYc`Vi0Xvh(HJP%irY|Zt77;3v7Qx|qojJnJHgYQ@%=oG9NcR%~EpWu+| zQ5-I|$+TCMssmggraf+xhOQsjKy7z?4z2{lB=-A6*qFmnw#mD>^FeXaKE-`sQDMba z4ajnvMx|z(E=5Usw-TNFlJv5&lO*VDWSbtG93bxN^q4BMdEp70CDR7fZNH81 zpc}(0OOayiBTCa#Bm(#j>4g%^)T68t{X(xtC&hZnlW%EFXYNod!V_(NqqgJjr~TCS z2uVTff!ifEjYp$B^S1)*7lI@Nwqh?~0JJK`u)Op}` z<`$2p7PmqA7I{;a)L7lzi^01B=MV9`kJ5VTy;0QAwLM zw67Pd#(*~2ZMEPUNj{#jJh!c}m2N6Tfj7GcO-N4sLcQ>|)#AS(XYTU?0M-ooKb(aqog}Rv`58mSsF7=7$z&}UYG29LJ6C7Ostl>6eSRp{qKOHQXs*!>FkG92O#<~_-KY!O!RBa58q!A~)1e*7 z(fZ)9)Rg7!OVl9EpS#hEY!^jCH9UWww#290HaZHLNo*}w+y)yO8f@CE^1JYgF?MFu z{!2%s7(Dxgjbz}%LBz)3@!Kpt0QpHN$#d}UQ{Z(f|8az`V*RxYs<6$+*Ri@QNbUtO z`hDynBq~AZ>7_|3Lum(I^D87{;_oxdtgHer_}FVP~xsn(qIFO3MH zZ$`D2*h4^)*!*DU6T(Xyv2$PFidLIj^O@0d6-#~YCtaGh#AQE;Yvtiq3HF^j*YfD9 zUS*Tvq|n=WQDr*=ih(!7h~#@xh$XqB2w8^BarTI(CPQnavI1t?zJrgx%o|(4E%WMcz8FD37ku@rMG(%n7|-wbl{x&2Q2cucLL z51;wWl|J)2J=`9&MZU!xtnY!C-NPGRA(SDoJ<+_q`CIsD4W5#(sU_Hr#W6-4K;j_9AkG+PbOF+C|8oyu zr!b~j1XykI+oTX+2q)1 zzrfF^_-aCTam0|{hmT<$9C%^7JR!D4N}S2?#tLh3t+K8cZix|>7bAOr9f|wEEc5at z`-6ZrBhO{<4yv`)j7p-hvcG{@0}oQL{y5BvL?6mLh`a?}(rA-;CNwQQCT(Tf z)SIJSx7b;{nxQ5kPQ9t`7!ruk@WE};jR!Q|bZ)cYib^AmHs@k;fh(tDXCdz)#gU5- zi>Me5FGkAy*Hb$ZzDg=ZR}x+j{L&LgP4IZ*e@6-_!;u5^&;4?DL7K%)^3wJgzHN7> z4!-6eVE;$bhWpMGZvMOb4fpJYW*i38>MYV8TAypFb^OWXKnojAA+PUSD41!M z4;*YFl_z!0h4z*tQh37%E^THErFohTaK~28jP@vLnNAIUyD0;n1yqLSi9U3{!3@>^ zr{6kbXQke>`J6E2Dv$cDQ0mFeL6u$N2+M(P#_voXv2mLtrFNpv9>cmJKk<7KFrL_94ScU z>nk|C+fZNBd|~>6mX=@ea@+ZP+aI5OG1&gP!Tc`#L<2D&ASS$e9SDRfmom~I_ zIQakE$s(G&iK&o?@4$Y8t`UA4CC9W_6`Fp{;k^X1k|~O}b55pq81A2|WR4DofI}D5S2k;r(4s zJ1hOzk!Fa^(^79@Ax844pX?j~2YqU^)YZkUV)ur^UM-ct*1!;|WAhERPG?ryl8hz|WN~%~g53|0}n`uK;t)HNh#=2U&VXHOx06 z!&=f_lsZcNO}-*z7qVub@4MZXNQ$^-F=Rl}gkVk!FGlncG4#l`)=Knp6P#@89RLDT zZxMKoeM^F2Uhl1|1YwgECzvwzVXxZACT?Ha)YbGIhl5{bUR1x*Jb5B*d~Wp!r){P?@{z>x z>G%j%cpUhv1dGF|GiToUZZx<9XO7O9cks)&B&P3~ZDBfT`R8py(YX@KuyUuiuV3%r zXZBnETze|4PVn&;bw}kW^k(G|SRQ!p2oa}_^+4eBo&@QU%f|Dt2hm4SqK^7#ZkTny zv1A*ycGnj?kqxiK9Y?kjT^>FQx{=gp80-&ivLnG7_gbc*hh)aip(#NcLdo!U#|xqX zF4lg`W|-!8q(9Ks(sDQM938$`s?IUe6S>Ll<$V8;$R zlKSYrJl{b_A@SrMOXJabi6n7uW(M63mB@Rs9I??EZ!xCcK5usQYWdvcK*qch01C2Z^aN3{ z;1GFk=~w(WSBJhK2M1@e#i;gS(98X3?my@d?R;1e5!n3 za==1xto+#7$eq6Q<^!xu#=F;Fpfi_jpLVF-3F5bgD4&~oRMiAI&7EcB5x`b?+QS8wgQBzP;X zXA_ezOQJgrgG5T}h>2!s$r*#Tbi-kk07A+9K4K%s^&L5b@Wq36*tZ3mE#md}-1r2z z^(RNKtNxXy7u3}+i@=AgDXwe)CxEw!%wb(Iy3K}FCkwXPWdDw)8LLfV#cby1NXn3p#^-?tQc}hRw^$qbDOIny9)OfgXc`v zDJ9&!#nEE1{ybJ66D%XP@C|H?oKV~N@XL59x^E(QBC!#_8-k$jC2K9;XzN=x$d-dT|-zBUrBF1~q82Ubjro?@# zJM@*TZQ0bA9vG@C`7|3YjXiF@G!3cz-O#{UNG>9Jb{TB(Wv%)k+T5RLBG=THL>Jp_v=kV9qk}VI->$d zRr47o7WX>2ehyD9(Lx%;Ib;I?ndR~1S4`xV%;>g}%9(rKr1brApSDG3f&e3huhkZd z>Lu`md>_EKF0vB98E&A}CALq$6-`I6(*WQC#g#pmDFt znm#AdkmrciHXq@|f@69mnpOtHjO#?ePh2qk#DpM2Qg#k?jcJKZ`U&vlBjZT z&DBOlZ@y6RUJXZn__gk=KIxt8xSFG8QGmmwC)Yb089e;SCUR$eI9YEZ!>D>~PxcJU z*9J`|PrH@wphF1>cKGeVw9|qtNlfp;(_?;kX^PtK{ldgA%DN!kpwV$`l6`%4>9Knq z2|Wpy{3D*R#QZVaXZTjCb9Qmd`{EQ_28irept>)f)m}5=eMM;y83m@R2j)>EG^jJv zF${@=g6~tyd_G#y?6Vw>F{gRHMT<8~o{hXT#8>z7%9*p#N5B8=g3;j6!MqFCUekjE zd?`ACBjE(lwC{BE@gg(mTB2jn{2@AIasHxw>sApGmc(meS<}DC zNx-?yNf4VRx%l2JBC4->*PD3mi=yJ1H ze@!HqE!}`4-y>bn8x0|-Ttn7JH!!%D_5gqkN+A)uvPx};Lm%^Na-D6F=)uZ^f%4C9 zcXo@;hFog3Kh|q_{5a9)49=RpsIj?HkBse}hib~%P6teDxFaAVyB(93l~oBPWcEMr z5)S;p#|y}~--futIwuI}Rgi^Z`SA(v>{wVsa#kT4Tf9Lf=Aa`Uts_ycW2Y}Li%Oi1 zJ{J;JIF)V88toOw?Em+Rv`T1U`lFnR{M6E*@rjTWmwdU_^>+a zd;qF(Ka22of>f*z6@Y9_-SVJhA`RG|X5hjly`qVk&Sk6kwJ(Ih4Ja{UDVLiYmtu^I z?||iD+rEUym+&Sx7nGgTvTS-*du&!o_jF^x zo@kodcVZ_+^0ey}dtl68S)xY&7o+neixpgRIHpJcanb7c0g~D5F(}&P$=GKqdg4`) z>os?ci@rSI|Fx%H13C9v4$Vr?xt#Gkm5;VI%~q>7QSRwtn3KtblRi$wK1+Dl_OPpH z?~HZ>XG$;wokbuhep>oy5m2nTO85K@V}jSre1xUgQNYDsQDk;*WRT{cEeQ9ot*Say z?i0K_jYT&B!$6J`0 zSvv*>JQ@+oZ9$8f+jk)tmTF;C_K{Qlv1R$5akyeagysXuq#Wleu@mkIvn5NU=Rgkc z$A1E^!EZQ7U4kPHr^>{*g>&pG6+JKXB-Kjx)Qi8W5e>JhgvQP+?+Q36@m*48q6pf? zneW5yen2UhDF;ldH>F*cfpo6`mN=Fnd+bY?<5}~xig8N|?A!OA=wc}1Lpcp+vz?Gz zL7c9Ud5?5if6kW*jze*oK5%-^hIas8GpZzQx=$2gsky!6jMXTDw}m=Jl4?$FU+W`Ce)Cq+&+7#M1p(b&UDCrGI_-g< zC^j#zS^OKoHaTMRE5NfxnvdF~$q}`NHhsms{f&h%H%hQd`9btNLP-BsQ1MfTl6?JE z-N@@#uZrwE30RzDQlOgM|JG(@E-j{}UfZ&M5o za}EjK4+**GVg=p;Nk3Li`a?Ccci!H-SMP?@yp;$?RKC*IVeqhbo1T6>J>a$_Dvk!R z#KGGoZk~6z5*pTCGeid7nj4hc6X%t9-QHt9yS*mjpfSTg;J)Br5ai+OdBYYmH@*lv zMgaia@$mPep5vT+`~F6m^LAI@tTUGw9X99QQWFaw0;5fmvtr|wPGRy&|CbMh3ertD z(+;3SO`+g4kHfwVdMp2|u%sl=yp9gdl)k@;Lv2&))Vs!#FSQ}ROcGb$xfae8GIo~+ z6S0obEH0QH7-e5j7x7_|^qn3}Sb#1;*4%vaCpgttE|EZ9$w1`Cp60cSZf%}Bvbcj} zg^BHm^t%!_p67Gh@9Qsp8ttay6CnY1o{3YO{X6@LQ;nEoi~G;c(U&gloe&peS-DvJ zS>iWJnOF(TMZv0yM}u&^BXhT5)w&?pO-#GUr;D(ebD-s`+MC!gw6VHJ-Vkq|-WAH~ zd-ulGojxuFsZ<{GNx>e^_4d)Im<&Iy%@WY0t+ z)!MW*7BV~~(f8}dlb7gXCz*aV{tUmtf7-VGa=3w?oFg7KM21LT;M*)xAM2IJXQ`Q~ z=MUMb_CPWehQ=_R)V%u5O;VI~<7lrt>sd7&uY6$XFSpq%ae5mFcsqLWOfEa2_?GB3 z4dwKaFapp|d9(qX@`DC92WL7S+8ej>%^>g$E;*0*8}EzMJb5gKbi$L&Y7cyvMCt>~ zs=`cSDrzy#(WyblsBDzdOH(xIxpyO0w}WUQr||Yp0>LlevNXa-G1xzj_>68Gcl4d5 z7KFmM^bp$U>^yx^u8uv@v?Bw)db>k$^#YL84OxP*v5A>%^-h5XlO*pC=?m@*kNTUd z+_Y2+CVxYW2d?Qq9aL(u8r&W6pIrh*QifwbUQv2)v)DDfzNB$$W!8nVt+sgg$*yj7 z9i?-R>ktOau5tIo_8F7|S`^ppe=~Tgb1x?EYx;yWqu^{ITwDp?bYg!UC~6x?`tq?kRkq zdv>E7@YmqC!u<1E^6dHXY-74j(+zH(r_VJR)VcqDhWE$jQrp3V(`Lg{5DF-q!!X=t zle5T0>A5akry=`7`JKgrqDtnL?H+cSwtLVGbWTI#*SXF{ z{xg`D5GzEaGRE(2F=ZK6L@hR+PFPmGOHbapCfYkL|LYo6uk$E}9>oO7y*-!%9S(VV zUeGwd?O>Thu*t2`xeL7J%-gAglFN@kUS1HgYmBy^fa1l}$O1P0Olg$=!>lj&*0cXKk z1>5o#WC&T8`z+ko`z&U8fd4CRUnf7Ot;# z=L)a`I;y4(r|;(RX<+ne@3T*?8IBxZ>6yFwi$%_MNxRi*D{jlnZZ;SPH&_QYSi$CL z^k{L9-rjxtWWA7fmwQJ{N^14+ zZr25~ku%bdG&>MEcZ=AM&TVSTzpk)7N^EFv+DkuetwsBe!3Z{!^tq zcPp^1dNqo_U&Zz1)74gMAB7as}y~d2XhiV zg{Y2}XDVe#nLavhm6T@ zb<=IR*c~S_%<$H%&E39TE<|F%3742QkdNJAr~-nD`9FSG<+45gexkB_gVJH0D-*~% zeGdor#bFmLl*f(L480?_YR5L+v^~~v^w<{9Nd6feDD>#knjbj_tiB`v9FXzLD$$Bx z1yeR`s;9)WcHs6T*j5=n9c596|LQGr1+Rh?h)6BS{0^H!&C>JQJGF8rrMz|=xjQ1M z{vxoq%LbKnJIWO4J;MJ^r>l>@iNDcjdu~+XUsR zvow8)l!X$O#O_P%+HHwPpUml;h!nK)124rLkH%-4)NZVmgh#vYRzw9Dz_=(Yb9}AP zlH%(vKel|$zEY|-yS9x8nS%qy{OxRdIL0x~h7Ye1CG-qenyn_OG@{gub%ygUu)p{F_7+yy=$P(!y*o zyA58@OW@yruZ%yxTdfSSO2N9Q+$}~*|9NQHb?{9v{ z=O(i1#I-oXjELV3eCrdlY_qWzsBTW;Dl`Z!`?5YxA@w$&A=+-Qjt5uvAY##%ZdFUn z1*KS5sU)gsz_o%omOrNid(TFSDFxfSoeeeZFA}I$o^7||YntuW{-QHxsXf!Si&u$5 z0*eyz>wX7T^wy4~Tk~d9qme9o&>i|aaWrdpmV2(@h~IR?TYzc1puoyY zmygjfCe`XEF3ChV=)aoc9Q*0ip|Y?PHE?&eLmT*b#1Rk@dNp6Ynp5QaJVjhK zucMx^JwEB?N<7mynx&>WhQcyvLT;|v%Kvcw8J{%nR4*|5U9o<=v=sb~d5~%01#v$af zjFO5AGsnCc9gifoy!@U?(inkt z>9_Op`UhDPf?q;r9QJHDj!EVC!Frdp;VZ{7!}o^2I2W{m?~MI*4acIWcgThiKvwmG zEADPI(!-(!P~2wix=t~wi;+d3TmIyo7D}Y6U5y%&uRh3Rse~R?{ItsNT@9xSD1=g3qDvXE{syE@pC2IGWFAAB^dG(a`@9R)!TN zX|yD))VT=W%8VH}cFn26*srl8eih^<;glM5oO{gW%CI#B5sG-V&Y4JZ}z_nTGyhO{L6!K!}VUOyAm7S2dRzS|hf;0qN`o{D57T%O=pX6BQ zBs!d{odIOl9#>)h|B4Tl&zNFnr4rB<|`ch$iCXo20+KAS}JaR z;v<2ioI=5fLcm@tDlONF^`f0WXP%0$kP5+R;qv(bPX zWn|3wscz`v!lvGkI2`T)&a!cN_Ikma?`ONE1Y7U63UI}++q`ohXdTCF_x-P^{pkrV zJH#1gzoQ)mSVgXu#M=ol-j23R(t%1txw~O+8FsE9+xKD{7ovXtyqr|k-zzdd`+#y` zqlQa@`R)dNo72^Ws>-7|dkb4M{Y3PAFfax8OKD`za%RxJ6kJUKsjuw&blPva_1-nr zAAG~xgm{nK93)qZ<(du>WDcT|zRpwRTw|czW*iX_OnP#1-fH!Amc2`f5iVn27HvK@vPDEkt3+!2zl_p8+TW&?DORJwA05lZWGl?O3E@WqM9YrX*T63CaLec;lJ2q7_3^m!=0^Vg+$G<9?c7``zvdh_DsKukKfr7qk;$* z$mK-BrilF+3*nSLe*OK>YFjN#fn;rcdtO(!KVtNl&ky>}sL^&GM`?)hE1>+b21ZUZ za$g?a00)69OvYLw8)K_m7v;i=g#-m7Y;~irZ~s1{zckxoVUOP%9s5zp{ov~g;`ac9 zqI;$pIZup)ZG~yb*tl+>#li|DC}j91;&zHPG-0s-_td8i(FcZ}xv%0Y#~Gx2-A~$C z2RZz0|Mqu_(;}g9q5JZOOowhH$7kKTb=FvHXh&)i34`-{2u8-z9rvVYOOD8JSZ!SG zN7OVfMzH+bF8!GedGh2Ai0um=czZs4`ef_4TqLI1vgjVVy&}hQ<;9L;K2x4!srEsm z#Y{@Qyr0G&ECHOm5vAmIEi^PVfMfnU{)Scj)^ZZN^Bau+nGu=yf`UAF?LnPi`Ws;_gf+QR28g| z+tvBW0YAuoxfDil=$-^jOXC=!DC6;@<%3gW=izPJN@KzSD3B1Uz-P(#PX~we?Amgn z?f%TXMOs6u2m7^;B`4U$xc#f&qS7b@EG_2iqer8!@$Q4aCNSgiYIZZ7}^}T zHJI#Z>=icCY~Qoy*!i>oSNMGJOCU8bA-r9i?Fk^ z^K9DIG8wWW_0OLH;iQqnM^%`Ho97cg9tu9b?T(_(xq{v7$DH>!NvJVCvbJG$eJJzC zFV*6Hd3p4CX#_}^&}acJBD@ZTcds1JLFyW|Uina^C8M*`>+H|dh?fSGG4!pm@Rp5^ z1vX?`hKv4=W#;Sm+yYZi*aoR>*x|1$7fL4M_+R@gPJ2@){SG{BLC)Lhg4j=OK!aqc2aum9rKvwXXV>B3QY8CHqj5kHN4$3sh9|3Z4lMEUb2ffy#@`!U>g=V2*salSor^}LPjcLyk?tmIsY zGWr^L-nLH&{vUOl&7bOwLdGTOb))=vnhiS<>DZ=7WrTfuW!kp*22>#NMp1r9Dk~|8 zD#{_l0cM^buH#A+UJWC(xrqHh-m}gD(n!CZD@eCv4lXrfqVb-fF8tb`=p$^|ya%f6 z3$^pU%p19qo=qR!wX0%XE!QSM$#Hl>#8hy)2HjfAY4?CRvp2l#OK52Eh8yj48jf`x zQNgt=y%{9s%g^}og8)5u_l?o~lR6XCYNa}JZhFW4{r!XAhscfS=ymC8Lx}VD?fkVs zDE=rfZVu^^y?`1N{(TTgU|)~28)MT6)ea)ecbWpMG}sF7@qIK&jc-B>{Q~ax zQ)kbLPy-&S{`xxpx)>j^HMlF?0fWi!v`3uxtgQ2>cuyCqx9|IUyHF^c9cZPbUuaxD z8+mO>i*Ch@>kA9&?)S!mH?8>dWPwAEb<@JP3p~bH-qq|InYIXBD)gUc=a?(5;(^Ul z7)X*acMj%IV+`YeAl;`BG5zldG=K0CuVn_40VI9m+L9Z&ElE0=V}J2aN1JM5N4lcX z`*8cNV?LL1$HW%fU8Q3lKCX5;A6zIIyKvZDv*Oj074=k6<0xR}!JLsC4BzlE5osHZ zW(}F{#Pw4xO=zx`p!Pv z5+)~TcqopX6Zn291}BZtD5jZcdIsrfP{edE@ufq8Rq7`ChgU zi{F_RlG$0SCPkO1?vMFyZi=w1(3y7<>Zpr8+rY#hd9g`zMy1GLX)#*7mGB<8=WURD zL>UqP^YbFKc1bnDN?pwgQO^CihGm<{(5dr~Z_xkjLq zXmf`#UF5=JVvPg4vbP?K?7rUi1`CmB<|v}oeut;e%IlLiJ#(ONt!3xfGLf0wt~&QzD2~91hggXI7b>lzn`MqLt=TPxnfZ(}xr9m1 z70Cpm7<5bU-rHO_Ks4t#PWCtv{IJzD3y%ch@;AJ=hj$2y%W^~*Qyfb#L%XtzL0NiI zJqMY{o-J-WG2O21z?}2)wd&#une7$JS8v>teo(jh^+4)SjHwN{&2SO(OVek<$Vk3} z?`*aa>(c9ejU7z~Q<9VT?lt8rof;|JKO#Y92y!gfmO>hIHJpOPmvSOpchKJ1waI@) zSD}ic;>%o;Zu{r?8vB?(-WbVU-i!2ou&iJD3usL&_}R6>kA+8oKz9*}nbS0ahLUGM zd!%sB|FT=F8m*dK%DHT`Ow9*b^Am3S7n+}s35jres(sVc7V4Ofez^79wA!nMxqOok z_tc%n$76H6)1(j29!}fwGhXO=@cXM7Q+89fPjZ6-sYAERoFK~gMP2!Ygb8>k2r+V1 z4ai)D+F8u{sa0-zR@<+pAO% zPs&;6JzS)H&yN4Fpg%MG*rYgRZA^a((|+Xm39mGOMxgGst!df`tUo07{KX!3hC0@H^x)F_xqi zzLfkGZR}e`$GZ3?SFvV#>|1w)<>pO4_W$y*VCMOClQw8_{X{OF-1zwTuMV%2o~M54 zkBU9#q}jH(azo85YJUG|^e9qI?IayE(Mn{b#GRFfjp%e)+^!nbRG+jzYjo-m_ ze>20*a!o7#J%dLb#U4K22VkAl$<4qYi9mVrFG;C{;2;k7ME%Z{>7|Zh+1>H#Y~kCv za*DTYt1V=leH~V~M3b*lkk{~~pVNG!?$eeQjoGJ(&Wr&ImkzJc&rkOpJu<5ovMw>B zQ+@uJ>fZEg>oBf(c#x08c)7XX9w37t zGqiSQC$tQlcKz^Jc2m1+SZJ5xqdb!TQf-1_*rNydRI+NOicxdthT&CB-^>+QzWw=)K({s(tMYfI8A!y$TbOqUhD@6<^^iUji8Ig5<0d`K}GNh(}Xx=nKn+?^fpLfW^hnFYP zq13Ok12K7n{5#KoVZ?AKfTMiy#}-MumEb8#{!#d8?c>~umn6)c(VfCXn5$_s$bjYP zNz5CeWTXrg_ogGg21!uTS}A9t`aOM`b??o)ckl9WZCB+(Yq05wH$8}RAuVR34iA5P zjX%w98f1R>5g2D4De)}D<(^@ivoHyYY#AcIY5O{0u&7htuMO>)=j}{3sb__Decb}N z*Af4@#!jJsd%VUH^xi*z|8AWem-?8$8 z?~WWixEAK3BCBf2$GCS%cPcTfqjIHIs$peWuL|#<$(hhylD$<9V~l46&pm=}3~x#U z%ZHC253Wr9!aQ+(=`dWY5SYIbvn3fQxZP+R{oWyRE34CsVCo7O7 zqT%#h(dqvx+w^c<3BUTE96o*#BOI}F7lg7#Pl?dDYj%Be&EgAm-1IV+hnf##Ou^3w zXRHUaD``i>y?JNF**MD@smvR`MTBz)J&%ou=h$KG1<9m+T`+O@pB6HH6ryV3ReY{ zZX7-X1|sPAG_rWM<32X(0mxD?(|y+l)o_%N2gaZ(1a`H~rC_;0Hr>trPj8*CKS`<4 z`x7NEyR*dB07epZ+w|+u8xxf&1>PhE{?ZXVDm~D0u_1*JFa8z$f4aN~*w}Adwe!HT zp^vj~`%A_bWBD3iL*{SsJy+kO7+SgB=6sh@f&dLGw=F}H-Qc1oBmlL{P7Thh6XWB> zWyR;U=~%4|+{G}+ydA(aQhD8D{zA`jayC`~mry#9iJuT`tPExUoo@&?x%PI}gi?YI z@N5j7J;|1|amZ%GL2(?SBO1rOZ%G3MDMNdGO-3|mIXXPNe<8z{M*11-ASj3NH+ieP zTIbI=IX;}eEN0ovg3yd0qrsxbioPTHyFl4qZ;X2U_W98c z*Q~N$B8mKhXbK|LGNp~q2mRaXfF1J83hSs@gTIU1gwY=wp8xx%HtG|Rib52gb2FA0 zJfSDQE*DVA>#_%6z0&HLb?lcaUv5#{*ilO-iM4ENP0OEi2Re;k{=)O{4z~R(!$dg} zE@l+~aF^M$_gHpS`2G8y@Dwpi`9VaFAF`9ABT``HdLNNjad%@6N%h!e%aEiiF?P4A z{=<%@TLA__Ja2cz?$Y*eoa|L-{}a(SZEe+mG_L(5M{yN`r;%Ex_N_T)5qq=4`iH1u zPQURz+gL#gOK9_sLdYM9dI{gt&@i6Wb;o>!)BRK6HrE!t2(uG$z#t5S#!;gbxIjrJ zKYW~?1eGE08T;nT*Ufe9?Q?gf(9U-}TMmp>-A`|JYQy{+)<41-ddfJ!{p#+4DlF)wQoQN9EjV1kNUvr8pmbKxK0Df`N{c zL-8b?Zp2WGNpEFI&tBZUZBvgCVx&zgC@RVUvNHGBIJ#ZRusxgl zU(h^q?_q)Im{zXP0_}rT2RRLk8uk|YP7TE{Qv>1w^FOg&`TRDrmK-pIbE=>}HSKC3 zUN+&A6Tm#MbZ5SJm7kZl0m8rHp&b|xHDzIWt57=p{T3+__S`s81o2n1J%MS>8hdmX zH&S^6nOyrtUyY@IWRiaT?c1?M8ir{+mpe$CJ7L^B`EzFHJC=XkcLlsjbOZVwI9IH^ zmf}v{B`>4w9}KW0{AY6|&ieF!qhth3Va>aYfFo*WU-BK_({GzTx9@D?jLYXV-nEOO zC1*}pYBB0Fo*f){qfs5w@$nWDdj-qC>wlY+(v)ph-7Pn~j2*2tdgtx9$a)Cl( z!u_Bf=c;u9Eo;`6xA!k~*_~2ya-;rb(^^a!2p%>+?R)Fi3erkZ{%0SQE2OFHw=qW0 zM^|-nEjWNK54|D=hNHMxT_@@lD4r;ACCPoBNhb+Z{LEd>NFnKerj|18Nsd_#2;%6% zys5xZgs9w9pIf)hMU}(8=5`MauTAH)S;~kW)heHqu3}X;HW9(MM^?i#O z=fpLAIik{ZT;(D;TH_DQLs^l;S`)IhUxd2uuTWOeC5^kdk|mxFmY1I(u62r0L_WS! zTF)!yi))U4J|MJCuGhaMX3fbO`mATsyH4nCyHl0XxM61_OI^AfHc6IdR>yDpH@=e^ zd&R+Y^|V7TWG;MW8O1qC5`i~O7l17#>paiNEAEVyU0Gw6VIMo<0_Edxc_`;9rr&q) zbwyo)h_^{nVj`FNHOy-T_%SlR5W$6Ih%gkONzQdJvZ3{76U%jc0}K*xkjzH{zj``g zwCV(#BPQxv;=?7l=oz*?FIUyht8C}#yXRI?Z{%@&j0R zuBWhh@-aI8oL2yWkJ7&Y$s?3O>0B@E`pyS(iB<`U16d)xDMPbTD8vX{KFx9M$0vL$ zaVpVAXU3zKSxVB5A|Q1=5o}P{B*p)wdYzTVC*N5LTf}yf%~Fl5M$dezKqTMp-K+lj z&Nl^Zd$D{iJ!W21^x@m%JA>p(ux<`rPc1yFot~+m8b`UQ~@9kfCQ3#^*2aT$%;&K55PuPV}vYAA|A_*f@~s+`p;G5rV#2v|?;*K9_Z z;4M^@cY{}Bpm4*MCjRT88jI`~EC_A$8?H{qm85RUv^MJY7z#C9(v=3059S4n$G5jK5GWGp zjP%S=*rX3aay|b8>24QMlS1*tpOAu@b2kQK;@$=xRXK6idLdgoySo3#T^@FCJp< z?#x|u0Z5mAWBH!P!n@Rk_<52GwSsb7o3LhU$h#hV_^^vi)+RI0baTpB^on0!Y2~(A zaSa|4ICB2}iG6}>pOjN2Wu>60o^I8U;yoPj z;hSl65UJrRpAv&-3itRI?x(G-y5v1!_`YZVeuw1E81(r@Z1H82+q*fg-=9AUf5KS3 zN)m&>(6=JYdZdf`(hg{uBoSHcNNhH%~%=zo~6zo?R|XTNdE#RD|5Sj zlpn&I?p5wB=pIg-$?s(10rMl;VsL8Vi<>@#h1?oq4&O3hkxQr;PAEv|{OJx15j zz9k|>^DecRkM2%mqk4Q&Qri=Zk&(s6YMH1_&CT6-BVKvBLGG@dclD`k?#8{kx3E`n zdn%L?EZ^1==q%xb_6oSo59RYLADd5M|ND6{&^BJq+F2RDK#6X3m9Jdlc zAd*7O7>(z~cqmndYB~vvYJ0=49C3%Z1J*qyrYI7Q3hIXE z*t@66{$f!Vt{zUiV^YpXvrAylpJs)WlZ7P`cn0j31oINuv%AuWV;a(dO zPci-s4?pTAiR!_NcAhY@X-CiD<0a+@LJ3eD&X~J!Y3>&pPt=jm*g3bYLH%K)#T%wS$zKF1{B6~# z5lfi?#pYqbdqdQR)Gy{U+5Vnius)H&EvQ>^kGHO$Pk2uEyFY??-BUyQb8no5knM@2 zed-k*6;LLm>;bV>MrfR1S$z}ej1l^>6Gi61-x?!B_t7qXkxe6qGKpN^&|=cSjvJm& zElsj>xoW5Tq`Qhq(6&uK1lc&$ZllkShC%||iS6*Of6b1Q?}8iu1`an(?I9F4aokZz zB`S}AV48rg^tOi)mZvXJ|A^ZSt(~g2v1Un~$l$PBluFZ!7$EiMb2tD1Gi(34`13WUqG1LEn}9jE(=N1=y-_ zDui0OJXc|_?*F}GooZW|K)^O!zWD7piQ&a!)2D6L$k^N42PYe$k6|$qkb1I|<*yfUadB&X8O^>9JY212XgDlY>)H0>&Kl#t zJOt=C{F^g*{hE_ueNRg)UM!#Oo*qm8Z4f(R9qiK*g_;|zMU1PnGFD8^`_IM2^kLcm z2MGQw#`{A;6ZC6|(Hlo8E!3gVj>;2byW@6!+)sz>|8#eE%ZnV&xY_?YqgDw&laHJt z(s8!Q#L#5N++jTV?*`CK_>T7+0p&NF(SmCX8}#ipj)3L4R<-pPA*d|vp~ZX!W=%5e zYWjNsyEyW(V*A!0@42X~730`JOCqjYV6W0*WHS#X*g*9H&v2d%7(QqI3**L2Yh;Bgg~dIqm(5@!k{s zAm^d3aLY_t>|jdV_AvF!3pqk~M2ft6Kw43Xu1SExIAnL~#*LRgzhk0l9hWXZo#ysW zo%TFbDN}^UQKN2GqZZFqjh}!jMg4YfxGQ=-K=Vm{o-kdz*alAr0igwLiFQ}2+~bJ@ zzw9Zj=PnNwSJ#ei)vBz{s@crs8)0<5?PlNn>(bqOK6k_^T`ZN{$P&MDRY!r%4&;!o zENQznJ^0PIE}j$vqtTuL`F%7cJu%<02{LTq-x*|F*DMv`;OmxZ`^V3*udC9ge|p3K zHV(pmHeWNvazz41vMrhfDJ1~=A}Lz4_GcRfiqy9abMtp>zFWakwE*NI212LinqW({ z$YZ?cZWW~d@Z_UipeCKuWr$_cYA%0xbHBj;{R&_OB)*1Ix(AS{eRB~R4Bq3#RW9Ia z2#y#j8n0}IXpO!N{}jz2lQJqu97>nCk|aMSfsb9X=7 zLTOV^c|6$^m%%bo!kql zznQLR8oUzx8mdw|otT&?Y3&}R)L2osH3-s!Bgj7}nCnm5D}Xa5ZSrH3m=)KThK3CL z22wbOwuwzUry)-}L9(hmH%Zzh?<#b3PZJB|yA`Gt`S9USJ)zhtXSQ4yXtolELJ9gb zH$8ma7;`Zlc~BLIN@7u!|4Q}a>f7E-gW^)TKRS52Eca5FvN&$`p?T3sY zsP)|~8mbVO0`t(Magac_fk)_G_$IT^#YR{VdcQ{f`uI>j$4Lo3mhT;Xp3~vnu0MRV z_xu)Uoi{gce>Tf9a((W8|7Hv-Tvl12N}{~5wxFh>wQzd`+O8dOq7dwzQUZLzl8X_V zgta7y@?#hJ<8ZKrGt}bLBW00C-hgMgTC@yNZ5&KrM@h^GDRX%F6P=F7p-5N#Niv*! zm!V!5g@BKfR9m}|zTF71hl(dLsg_~Wp_Dx6^y1O~_nP*+>mT+{k5C=cv=`P;w#C?Q zwe)jU-|tl=PFYN+%ugOaDKo?QIc|>LL_PkD@86j>XE%&o_b_SN&y>VzDm^30KCp2$ zqEJXlxEf8}&Ra6(F=6aH>@v@yquD55UzxJOE)q=K^`89uFrn<37;4){A<`n^(GhiT zL9XLw4flnimm;xo5mz~OUgY>zJKESU_}Y)m-m8%X{%ry~{Y8xYQ#uThOS}WzK4Zsq zr0bl<^Q(LG7C>ASV1rKIC?wHl_dq@V{_5DVVG$Z~`K@NBJ7oVz^jQ>yUKqY@ond1& z<+phroH#Z6dzb_rz3g@#`q>u3{0L=#;zwJL`i-CNiUj%=13&3aHeOt-MQ9?`TqU%3 zZ?1iHeAtcYrjV|VqT7h-=&`je>i=M!Q8)1;^|?~dxO}hjG^M5qvvmmYoM2mo_)5I+ z94H@?xa@5SoR{padrnyZaG4tJxPCed)Mc9#3C$-g16Evo&g0K!5$tEWQV?|B9=CB0}#-^SY}*$D#SQo#_k@tdsWt zvyHEcux4o^(nug67az;72ag~BYn!<60ZKz;4>G4|WifQd77N@A4+-gtF(+lI6Ax=V z^!0hlx~z9>6OmT2<88~e^fO+*cdQSYJcx`iY2`o{NI5Pct4xUCNI6NX?P)jKOy*y+ zbU!$IdR=S-G0q5%5;UDSlx5qXg&VZ>Okyrs+=CS@g^P~WY)46(hla>rz!6ht8r94vi_RO+v}hnzmUY(~UI$BUvOZqmBz5HbF~_*n~S z68Up}W>Hta7e+=|DVyO=>AMw|ZvIe-5MN7rqsui>^+2XX%X}TE6^uXB)X1YpXjPku zsj2bINY{qTNC;pewdMH2O{wo{F=i0vS zk(DS+NOPO$^AruWjQa!Bh8(U~4Na4Vu+1mm@-A!c3la1lb9kx>l~HbL`to5NRgJ#} z7cX9XE|?&AW!wdyAy0x%#s-Q3LxgJvJ}HcZ5*g*_2}JYVb;?NNB{G11`fEZ+)`6D`7;ZIfPDe11ERRA@=L zi|;+P5@O1P>&|72<@a`77p#5AR8{rHBrI^cJgYj>xVZ|*M4nUir%!}ap-a%>@q_FV zqqb#2oFq$n(fBI_Fv9ZrMB@V5hV9j~Ond{Wh(XmvC38iLJ*kC8H#Mo0ZWIvP)^S7Z_bN5alC4yHx^LZB|S7;aa!R|$}TzwJ{wO_4s*xBR5 zncu~DJS%_-raR|?3cHFzxPl&1)1ur-9Qe4~eoQfEI%%@J_DKFg8#Jvmxfj|VF8iaM zi`~8Pl zU+_i$2MfN~Kh%XWX0XPAZ&FQpcb_P{wjjx;8=~QBA(L@i@n`!RsF(gqb+o<>YDu%c zVX(0?a{n!>VOY5cJ}!12>*)>x-42pQmQirXTuptrG=%?>77X&!#WJaX)N}gy|Iqc` z;auMD0`16BztG?-{aN& zy|3%O?%(hC$LXA_bDa}D@AvEVd_Lygy^(QQ*DN9TBf@P8g&uW2;4QX#U)PsGIU9Y) zXCUO7=;ry??C$azKdkqU;(vTz5IW*K-#G4bD@jn-Rvrq)abR{oJQIG3Abi>2!b9yL zmnNY?je)gz<&7RgK$5`$F&n;}ifx+F*F^dpe#Ledxtk$C7-;g4B`M2jS$PF{SOi0^ z#f1WIDoG;WF0P9{i6UAEJ$7u|pZyd068q8cRX>;soDK=ho3}h!0I;%Ox1E@&`+hxt z`czu)T-Bxl&bysc0vmLz{l}&SW~J=FcXpXCI*GtU9wvxwtN_5{<@26sxfmy6gJqF} z2S05H@y;s-sC*Nr{kxOjAZb>6+)HS$33NJa!9XbSq#aK)k2S-F*d;(bTh>MNGp_8d z+qt35KQ)C81v124D_UOu{5wfbJ52SR01sPA#NrHbiA()BWk`-f(V$wn1gTWCav|Ie)}V7|gbK^pJmWt5Npc z0Fhtid(t*epa+}u>})QQ{P?BgkkgwwdPmtdXp=+Ya@kwf-~x>?0kfW zXI1j~g14K!RIT{%)MO%*hRP*K4T>Ikk=I0SU#~6n&RSRKD-x#Z@o05UY&LP+fT)|Z zq2CZk*c#e^QA;p#yIt+6;x4;^5QLOF8=LRkk@@*O9`QiQFJAK4fJWSD7W2iDWSu@r zyo_tNzxGWcs?eSL&JnJiE>HS~%<30p*Zt9}S!+-C7FE=tvd^nIX6b${!e+e#%kaMF zFWSgALKa7nSuzQE67!6^b7(Y*nN*P{q=XqO?5KDn-M8xM zQiS|axoDmZ49L%Mm5o@iQsV*o_WH|h8r%7Jc5qv);W>!9r|J4EB&^iEs4drjyvVQG zd|E|?9$Jud#ynWMkHX%exInsh{&`RK;ROVG-E^dr^t0hSCY2!+Z}6P#&@>!myn)$0 zu^T@JXz5y}=hFjlafBVATIQ${sCgh|el9F#_FkHhdeX<`tzHJ3&X#gJ+)v-vh6$gv zwxIwLaaCB4n`-xT88RC6h2r$822K?|zX!X139^>%LnTb_t!ngEVH_as1SsoHWb3B5 zlN4o3)dw>)nT2-kZydckT_}e4q&!bqTZ;f9u#I3I?oTD!l&$^_aDsQISU1&roz-$Y zDQdSA8aG6pGS;XTt6%-3JD$@f(&tr~`pe%2WsmDmq+iMPE~mQ~e0nA3Py?H5ox1TR zhBBjb>9<~;2SP;j&8j_TM1wJK^lq_Yf8b>^EeOfp#}D|NNVYeR(wa4Eg5GRIS~r9p zYs+xS+pJb6e2xpY8IRQySjpM7ChbHha1&hyd}fz#yd$FwJEMuf(iL|8I;TSEDI3O` zhA+K0{&rw&@C9-u{rbYn*^}|ygYA#Y-whopP1gGj`VK$rEu}B}S!IV^PvLZjVCdo< zt{}ZlI^>3Y?`NZzkJ1YgRHwcMLY-|T5VKz?JmF?X;7Q;qwV`}TVpWTTq^#mMYu^90 z`zrVgKZZVI9_hq(cpcsIIHlt2_Lmm+sgAvK=Il%@OLsuSgt6W^XxK=AhQ&~2^{4s{ zEncBj{S6671SXC#{V!H^Z|~gst2uX!W}~`L|71mnUa7k8j%)Iq1thtxR~%9O2(DS!FRh9*#}~Nu#d2pB>$a5bn?XR zi+|@1=Fc+&ueMcd7t~95rt&sWUg}Raz|P*o5Lh8y{#!}8$HS-f$9CL=CF}HB91tvr zgzzXy+c>GCKAvP_rd%b`^_h9xQX8Q|;{m2kp#c5e>^Yl*{=jzRh+K&4MVKqC>5Yk_ z@f$a9-b^C5_WAv^dKY%-hqU)|Mi&6;R4#G7IM#z6gzd@_N{eld{H{AKko9cd$g6bo zLb8JI-NO+!Ob7n1n7VZNKkFv~d6GA5Gl&iwr@KmA4|EI^J@RnO=0Z_K@g{Xfu&Ko? zSD_c@^2iL92XN>?Ret$H#{m?jK4VK%W|3>&LRnh~(Dsb7LT^vcc9eNc(Fz^oZmGRU z#UKkg{@UID=PyCjYek?IIe)2zb$P-dkm|Wj($n4kEBo&y?{h5Zxh|}#)1mAcdWmT| zpZocxx_K_Wey1A=4OCa6eU>h*ZedHpoJGIWE=3&1je}!M+J1lHI-Q4GExjj2 zpJ+(?Anc@o2nf#qH4tNGA~Iv76`aT5LCGDA)K^Z6$jvz3HTKtd&1#){Mr-BO!B<=<4Um-t%tdZw88`{OhtK(zt2|R z+a?$Hzz|TwHQ23IE%c7YmoN=9+tB1n+J9=lww4mSl`BvskVXnD;0@UNO3IgzfR`{f z5Anlo7>n8t%_B7GvYhMZmHqZ%HjUwvapx!FjYV&?v+nF&u3<{6dLzO#x$J4UZ-ARP z>C0vS@+R1cdl_u6OnBSBCGBR^)5qAj&XKO7pM(-tn~$iL>L_QD@B7Lsp!-d3nvRXR z4n4=dte~3MVbcH5j5os`WuesGvIaiO*9F=kq^_9ZqQIDCqhEW*c%F_c?pcEKMwN0| zW%FSLnhTPopx*W91?1Me3)>#SI$DkMP{ZI3KvbQv8PQ5no_jT>au#kg6kcQHS~It2 zP0h^$s6PMvo7jedmNBaU!9zuPm8dV|K>G5F7E;1T-N^oGPCB88QT zLfB7I9ec$0@3#R9A^vY2dP-Ve#){4JeXdpcYr+f~A_~*l2Z|@P#?nnwlU!Hwl1!#u zr_{P7?1NmlrJqYmD+1!e2bs^#X-I7jHq_$Re-~Ds4dhNFQbg|yF|C?Vldj^55Q%c# zwmzhvBh+?lAW3g;LCkv?4FtBgocYt$s_jQc6<1X^xc=Z#-9UYpBJ%zyzQD1JA(6A^GSYBK7m2KZV3^~hbYb!s zJw$t(O)5UNiRG5k@ahlwqT1l@QfD1Uel$*PC!Uh-46-c1Q_~dg2ni75|Gpl#8_FDc z(iUfby{BgWsH^zd=}E(-v7UV4P+c1RRmC?CWSt5rQkpyb1sb}#0tmr&x+_+88`mD67`~l67oHUF>sdb@%twDGFMT)tNTv z-QKZ@{X~6DUJ}SklAuTGohiJ-kI!Tv6`dB{z@M5(EDb!XY3XOM?x4|*GM=`ERKtuI zk>phx%AAhF>DUI0%_PH z>n8rcY(FFZ{3q}c1#MwJ$_swBq%W8{!t(@8P0C&g3U%i&^E%$;Lo|Msi)}3LbrQ3w znN)j2bQ$9Z4Jgc^b}KQ~4=p3r-i0*B$ozp)PVoE5%%olKGg*W~j@71NzxfSiODQ%S z)&hqmv>EL={&s3Jz4F3gYs3YA!H=KHWqbn6K#laPsU@{z#|jW|m^ktSwDY;~ImDc@ zAr@KokB461W;jm5k*C~=mpR3+h{(n+mUA4Y0UC7qPC3AW+N{kYo!?e>?GR@i9{ANS ze=n0oT=?}6V7AH)!HOGh_zOph6GrC0z`cp|0FBvcFri5lR`hGv~(E?ED6D$iW) z<7HzBfc4O_oAhcv)S+OUUhx6%O8Hk|LL6}0&qDXQMeauCh#k-;$%RIpQ?mYO-Yb(D zAbwgPyd*f2VrsL$Cl3wqg2FLW(t(P?5uXLL1cWcgQztI$*4NXMroMK<=lHQ>_EkTC zhWRONC$3zIH-!16RX>1-bV7S}?Z!SY>OgnqYESkuy$(A}0;FF_;BRTFFbH5Q`zndu zA-|2ITT<$dOC#2jou!Y2&=3Le&NC3~jJKQ*ZZ(8a@ygiF=)FLB{;iFUyqP}ScRJJs zO=;LGlNQ5nNa)8cvpl(UxN%^@p~Fd__trbA9Qtt2hrB;C#cM2TqMy25aa$ScT1%QkT`tl zsXt0MD(G10J)hG@t+>Lav=du$;CcKY|BZ_-C&Mi8F}WpCu>!X(94%I zQFXim=C>vQ3n;1RSn0hn6gt`2?WWb>&)W-W`sbj5ni?O?B*|n!Hm25mvYJC&du#U`~eNU{FJi@ zU?`38(XNK?Q5&=JPZYUUAXp%4B0fay`*^dkYA={baXUBsrnbniMNf=6H(bj2@5>D8$6CEa}pLe5eqQBI6f<~bIDk*n0+Q;pK*b|$ELk8HHRwS>-6mW-&z3cgHWae zr>5!@)@0o(SrOTRv9oI0#=nmB^SP(5YGUwUw;%X~ohaz9jGkh;t;%i=F}Ye2z6$(@ zX8hw1W7$r-xI9_BPp%>vWk=U zkXqOwcA`f`*}NC3Dk-KtCnHYkXE-fCtbYN;|FCkx%8(nriPRG-5b;?(rTb|xXOy3$ zLQGthX-wgu1CSX<3VJ9q{oN>~@<$_1+pN`50VL(+>qzJMELX?ys35tYo6gBYQaAeL z(^P@-KyqmP24gDO{2aE1(l!rZ&D|0iEU@l z2arne4A4_fX)Qr##5Q^d#Jnlr!n*y6v_ z_q0Es7T%2CJ7i(h*k_7x5gy%Jb?dOc`C|@l3xD$yQ!ZjUXlC9g5(x*eg^ z99hz+v0&+*acb1lXU~J%otT_#9Hg!4cBqAjf6r_p%yjL4D8}YV+gNQm{giDv+QJTE zaYqWFDln#|EN#7#`K3l3X$Yk#sTf%xx#j=LU>&w^-%f>!OP z8O(VwS=I9fl?_DE6bIhkr{q-NRMfQkC?JvFW`&|RAHy`(z1SeEJz7t?VR?Rlbl(s0 zuY(ku>G%EhX^jlYtloDVp_wkHzCwR-!Gw4az5bHE?w>i$Nw1c_cK}N33!m%iJ`@0e zeFMiK4749`k#{o?79uNRMbdz&sQXOZK!@iS3Fbm+Ia~9RECE}E;=Z(zM~7sV{$o|y zCF01d_vE|Xp@WY7OCgM920ErMk{;vf`qS5UI-(xOG%Z4MF${@NSVj7;-JTQK=`EwU zT{cA$U+m)S*r%(uus4HBrKO-jnU>U==qqCc%Q(w5{zHJ7OZ=OQCu#_sy@a@9Z=OBT zOzE7W*H_#}ZxCWg%KBzM!TDe%`*of+*FqLdP2N2=I{NKM%Qy-%CWQjqG^wT?UJ2xq zU?PQlV>5!!(~?unf(24mFSnbyaJ=W^h!_Lu3n;}n=RJm9!W*v9^UoLU@vY7%yyV1M zhySlYkzc1&25KmF+HV?;0I>8eI3~YVctY=VqB)Dy7qwueERNHQ z-v<_(!tA(#Jxe!mU85V{r;y_vz^lrdR?+fo*Zn6nK3`#(St*QR-IlZVvly!nal7Ar zDWZNNq7tWPsAJu6N-o6?&t6m9ztROlEIg@@Uk!QEn_IhOOS~MzX#?$hO1{eM;yYz{ z#}`i6JTOMYK3>zqrLV-T2pY82faJ*WC82Vu_o3{V>lk}s_^VfKxA(?8uwKwLUf22S z47!0JoN9|e9Lr`>n}Xv~j*=YMM~a_v|KjfLcq9s&@e!n`jzoF48Juw)$09^7-jNDU za036z>=-bQmcZ<#rZ9DAHhR)jdV?WOxIJta8c2kyMiph_N)g=p}rlE{u zb0KxMO-&9E3REq>f-EC!^^AOb5k!jpX`M;5|F8aMEz5SWpcWpa`3S8`rM7AYvUf7jRz0;={;Y4Ul!*`@1JK@dpP1@4zfNf5)im(c7% zzP8!>c~(B26I)*PE+hqar7e^HBD5@8Uqo2{csG|;)G?3Bj+?dlzaVH8J~oC{O2vzs ze*zqa&EyfyanP|G^Gl#--Dmgfm)kNb{T48sMXQ{cT(~b(%pa@0G`0t?Z(Oz8t=QM@ z_?iel#Dtf;ci6x?{#epYlia@SPr`L?ppuCTVr#vS{=i)<|5f5{r{vC;iVydXJc+W{ zY}RM<{rmR}4OE-*_{glhGTk=Y0ZxZNNksKZaj9uW;?n7-f59mn0r#Bs`v)yom8$<3 z(5lmoOW;sT5EMXLpfl8DeHMJ*qzRs7oT&gP=qRkVVz}}s1xQvTP3_XUREg_s8gugU zc)?uOwg(7hytByFo@LvA%-B0FWAU)>xWf_3_^%Jl32_~ZR;;s6)pd0p|UVhV<9t;0!i~ucn)@P1H zL|Kz>O!#?{;Rk9+gdGH+c`cGZRNquM>`DU9)%mdmqp7t6@i zOJiyOfNk_0RZ_;LH;-LG_)$?%8F~+r+-9Fds~TlqLHX18#uc`*Z`82NqBmfwtuOF* zP@NQ{p5i5m$%I&ajivp+7a%kDI;s13=00WTusJJ|uuUvIuRA zmG4Py$G=+RIbss2SUfBwbjsAXW@>6mrEJLa=Pkt7y;6RJZBnt9sLW7puz30S@imVg zKMtepg73<04bp;|VR61xSxB&+^XB`M{2iSVvYMn;Ku*9AF4*;M)_C6e{rmSC*KVyQ zS(mTSK8&a;$VYqvsmKDv$nO2lyZXWw_5@DGhntQG4vkYNde>*= zp*Xi?$QgAtHOKyaAugzRpH^V|n0%aW7u(XfJB45t&?>VICEh@GhdE@3EVd__-;Xw~ zct6vn?3yHciui2!uPjVmE7$QNQ(6m&+KRt$>kY5sMH)@JfAVw471EVB$8iog z+a`aW;kuI9MZcEio!ZOaX&qC7S9JB{k@2J@4XYsW+ei(ZI0-UGgI^NAd%Vh$N~wAP z_HNK}k*MFRT5h1d=e(wdRtv;2LQ$q9rNG(mgHI$(y`l8A)!G7Fd;bzY`ciK|ZX5F> z56DM?S^lr4T403gV!j@O(>YL&RCv{-zFfl)%{L$|i?9*0I2;Nh9#55bgXmo#wQ+(E zH{2_(IG7FU_bN!D)-xtJNa?S7fh8rGx}29i0A`RZ<-`x*4c87MP~L=e2us^Jdcyds z6Z`j5tX{Z$WB2mVtyxtM%M2R5h>zH1puOHf2^Ov5$br-G{F@8wJdPIVT*5h?Kfe)T z)B}yn$f4SVH>H?Ni?e6(C{!6muLKtUMJz!7zgU2+2L)yZ8))vAFvUc$9?==yJnu88 z1{7P6Pg^IPorklBBYu;js;Td|09}ECvXjZd8$7;$a~ik5nJ@O8`|QiWT7r43VhtAn zzRKXB?-41TtBcY>m@{_$AS}D|ZA#BhF{y?L&=8w=7D03Fws8YsbPlkM#v|{5HJ$oT z9zA+Q@^`?X(|b3s#KUOSHDnd7H6O9=w)levrbAxOXOGc&FX`>@kXLV;=^rB>3J!1$ zJ!!~96(1ZrBC?}IBz_l@3n>pQauN(tJ}qsvQ_Xh~;OLvYykFct5Q`E{^ww>1>*~nX z#u?!QcYFKDF4l5QcRb`+`jlz+YUijdtXll;PC^Z zYb=7@vji9{6$Kk^G2P}fXZ2o^kfW+pbjZ+m8gtfvHFk4z(+r#Q+LvL}?bbvqFy^YR z&WsJs_SE^5cQ(eNN8lpjusm=~XAfgWGIb~I)&}v~g8NkR^6?hWlZ@z3m01N9p9_k8 zI_is@%YD3|dYPTlfv?#g5UqSiF5rHT}z4JH(yGY^WxR=I`X>o(+Z= zr_s`3aUE*cRQ=^U7u5Ia1gMx9Ts5gWT=U>y2$S0UI*J{FfX+%6*`UzVOJ14N4Q0&5DO>Z*gY@mud%HUOJ zx|Ro{`Lo!lTiy#acRTMRph14F;+nb>JW6=AvZGSSYd-X;YBHpHUFXh~Rx`Q7hqqSC zOy1w=^RHl8rV6dRS-cAsq4&U5wX6yX3LYM5qdt6S&p7x0MYK|{9TrN7you#kuS_r6 zj-tV?w0hDoO`lQRChnz@QgOP|ol8?oDHe&IbK86Ua&2OMnY}!h@hmQysY@q3QzUm& zb}XkurG~?0Z-!00XpNfi%A4=q8X!<%{q3P~^aJ_i#!Ac{#u?5C8qkQ=6b-Hzw$?kq z&GH7#>fWVK&z*hr-(_~!N6T zHP4x2jmp=!ms>6TuMA~t-ctF52%U(_`>Zl+!}G0!p{m>HA6 zfXR}G$_43&oot+zmY-w0d${rQ7l4{vgq4VCAW}uo(mIM|W8Bl9IV9|d#G<#H)zaEP za`=3I2Vuv>dHPX=FMpe*x14B$X+$zsJ5>P%^LnOymSHbRxp#ic*S5jMCKYCDH4)F(Q9!4=<=kIol1Gy!ebPG z2#+JPSx(Qh)Bh5!=&s z7Su}f3lBT8Q{=ywHV=}~snfQsJMsj{X%(T;o8*_qX(+68hDf7!ZzCpK@X4=OD#0iW zFOWCIakQP2=a6Gcmhj%al1wEyGDqZa;vjagVq)|34J(9!Pp$(ZV-6P?B{xpiYK;O- zX}Bjx_1Y@c+123Bv+EwmRb<~XC>>1F5Ld6#Qdqy=hg#&g!hY}H=iM}q6mu(Vuuyve zDpo*f@C7?N&rwHR1v)xiTCMf$JJ#G(=u4_H=?I=o`Z<)hweRKFw&vnium0C@8etB7 zpJ$D2Fc3AXqiKPtuvnx1xOq->wPkx+KI@Plqu9^vvDQkdCQaEpwzY-c<~-{7Haa>A zMsA8X;t<~nzC)j zIkQ2-o<+~&vZks>89Bwr59WCAG*rnvXxd=9oxmVd-Q0_DP_b)ZL#HcRt^n#BXg z=v`Ynf~YUBowaGpNSxSN0ml>H4Hh*myOr$LDOqfEDh!xg zc(Nsb#{;D&$Bk;_w1hr;c{i?T@0a8~>&l9enCuCGix~Y#FFg#jRO`71Vd7Xvae;Aq z9MLb`UMqcG!?QV%*Y)@lDdqzmz89-pEj7O4o!Xv^6FssEhq$7aug6g7<@-e?JvQDP zw)}R|+e^n?In1o*$+d_&&t9$~*Vhdj*+&{A80@##gNrgM9~d66iD-)c{Wz~X!QScoo|S7x8VNT^$RO9QTY|OArpr3C|P#MI8CG9)IWv;g|v$kr7aaLbplS!)Rk;}-Rpx(_me1v0)h(K0*@Q4N!;S6W5V$3JT{+A z`_|0HEY}yBuBnvtki8H~!_qJ*k9Td20c9^k*sBUNVa-LEOpiN`^IJIrt8xyl7n$mv zG}WUhi@8)h`pf&>r;+aGbxYw+sAPP~_vTbcMiD~)$Kc_siyM!3mo#KvJ&%ogn|_;) z|8>Ky`eFegJ@2|J`Unf6Mxsss^~66reT7PMEYjxd%jzFkrMb~bUsBma|3~!c5Pj*@ z$k5X(@hsaH6~xl=9C|EFEV=dwD*3*Q1^!4Ttil`F=0j37-mDQvS$}Z-;cGT!Em}OJ z*2Y0;pTO>!6E?nzTI+_K)h1EVyCeHD9YX zl82Vg%c< z@=kOAwqfkgX2`th$F&q~H4}1-mU8Pzy{kH%-3m*(5#@S**D^YYJt9LW5GX)Ik>U&t zsjxV$f72Qutan3pqODc>Cw;kK-iK)FfcvdI)oj63k7$vJbuDeaoFE)9uCiN+kc=yVp5X zo8KeT8&mSG(le>27CZuYY!3mcpxsJE=+4`Y#<`ZA`Q2ZJ7*wW^S30eS614SOTD>53r@>*8p}xOc>{e+jGNl|4q!>=aJ1?lh{f;xE2N}- z*#WkpoMPLaxdCtI$G*TL{Pgm}ZJ+)_>8bh41yGJ#NeB!Xrrh(Mo4S4f$N?b7iGLB7 zK$Xq5LkTr!j!IGNUU{`|_O`a0n31C6w$V zM&am8TenS)TR}5oiFlFkFOZ~4q5j&5Vtf~H6)jEPo;obXh%Wz7W7FG|*14m`HwT=#zT zlzs~)y=Mp;lj?nOo*ND~ zf*1D?;RESIcQnF|@BvL8^=u?vV%>>qu9+Kh{Ao9e-H*x+8Yey#YuUw}JmKOYeI$H) z`ZHH?uJped6<;TfPgsnL-szuzoIGzzmVmDtR1Kwn;VUHb^$P@{QAqt0`9hg{QqTk< z+U<%Vqx*p}%l>bRq+EjU1!d#-}SFpcitQH48^!gV@qx6|BIDim`lK(eB6Y8zfxQ7Mrdd-%XOPhWM5 z{q{J&l7T3VA(OwYb80x4c3C@M>FUDn@=E&LnYYUucMsmTJUk$_&GpYiVo#Q4cC!@t zq<@gZc2m=&-gf?H&huaa_5pbdb!sx2X~iMcmJ45rx@J;l<`xX#4wBn@zNZE@J~a1Q zpY)Dl9liWq9`D}9Oe+yK!-!J{k6%&9y#mM_rI(}j!cU)b-Z@f{)n{(9NW>`^P-b$= z9vbv>mp%@946oa!>p37I)&9hgCUazh*)I8&$J zgUSo6+oaYrKR(Dusd^3bg;zEMg3O)c2e-_bn=QK0{CK{=OS9udbtK~%ajv7-qkX33FjrIv9ghFDTDpTBcps@YpgGyvP|Jm zTdj(9FUT&BOO5=&eWLAbWCXV-NG9X-VA`Tn5)2ODNPrG?ve@|1lf#1T1Ny#=F4F(X=lM8chZB0WW+Fb&g>>_HqA z_c{uTN7X5QkcAd`!W}Jr-xsT%-7S1r_3pe{SOIp=t()O@QHA7K)(ol5Ix2O|^0ikL zvO^1V=VjLIh<7Mn>`m1}I@9@Or1=?Z{z2$XQLNUR`+qYq%94>P?G9Mcs#sd!bP|1@ zSh44zVjany#AafSH>cMTd9+;OD-cF~#Q?AV$8O?xF;VqZ#zW211)bAZ48asyH#xTL zq)ME$GGOl*D#vKJb+hMdRo^|K+p;_Qm%8&xh7PzCNjBvfWbaCjc6fL*eJP1P`0=Ah zYVuvsAr`}wy=Qz1|I|flAHLq%L`4A}vYVtIu1z3qsLsylvJ6h&u&A2VP0p~eqW|>N zHk`@4i+25Aw`-Jt#x}zjit^rpTE>?)-6%GQluPZm zxIe{}Hy)s*`(AA=?L(_eUh}Rht1o=?Zl|&42m>MP^b0wzwPAy2L~~tiY@yFwj;E_| z-|$!sr}*oN4uh*ZDi4TE-F;|WS!Ow7tmiPF5Mn#)Kd=-l z*=7ql7~EF}_eT)}!9Gb3eQoxot8X8=_^%PM^%h!Nxeh6)ouLj@R1^~BkB}-RN+(VT z735@uUfU&dMhB`)&}3bZ@YAoqps>P}u4!a+2U!M2^d@cju&}|VDrwjSzK!tgHqB5W z{>JAZaoZj9VZxCr2pPF2xT{#%E3~EpUY{`hrS_2Jgi+1aFGJQ%N=LirKHaUew>57S zXSdYdaU5qm?0xI_BG>FT$!5d&MxhWgf#`SEoDOpvd=IVAek4ax_`YqiPmJPEVNJEz zrs-j1-sl#*avhm9q0-6cKS9fB>W~yL>Q#%ERw z*D24g*LHVg?seboRWi6nF8f}$S=aXk&P(m{K%dyGL*ayjt+V{W)%Kwuv6K6_0!clL z!oGYGEW3&3bdGc4&#jdPiA@=`%h4Dmkk_;U(r^X}k!hv|TMZ}|UYK>dM7cR%m@o%h zMldol&%@tHp)S+d&5`PU1!+^2V?@$|z(|Q$yWJcPBC7Vu+U(Xzs=K=f3?6BIIU)Oe zu8)TOVDv&J|1g{I(I+(P852g3rFtSH9kKs}!|;uxQCOC$@i5kMyvxn+uRbP*xDuCe z!08l5zoS_PiJTE=My6sp@}M1YKeuMSN2L+E2kk)3@KVM}3_kp$^b}H)g-_D?fg6be z@oXVlsl2l@p^gQxI(B_5-z(;F1HcRnHFT=FL5=6JocO^Ia8QWqgdgpO4aOx8T4#{x zVv!3S%Dc;)^3{@h6Z+asj_S?V>>%@dc2)n$7IiHaFdetlxY4vnK-T!d1K2mo1nklu z6+ofooPxoU4)!rR3iq{d+a*;=c=0B8nzK7)kC&f3!4x-6#73iv%^cA}+AhK86R;IW zT}e5@vmPK&{67##reK^>btmcGvqB&51?_!h@;$x7%}4cH_EQcg492{zQWfX2)ihS) zk$DzRJ;+*?YqqDa*CE)+eCH`+)^=mFm$}v+SCrb3X{!%ps_3favbMeMNY7$+3lO`l zw3l%|LZRz;lfUfhl%BEP(`P;`zf|F*50YPTp%j3DBm9(f`U~vEd&a?yh?$O}b#9RR z2%-ZOvs_l0P8(!X^Ei6w_q?5SU8=LcEZB2>z`Shi^tCsxr-3$lg$42p(bIS>?la}d(T&x+y|a(2kE88osC@K} zSkIfD&oqshXZpXW3>&LLs06P3b6WceK% zx}hEL7XDa({xie7_rF_`@i&aOmMv|`>y-(U40{JGs3ct;Y)9m%LeT-|?2_?SM_Ys2 zIish~%t~jl8M9=1R4r6x3l0uJtxj}LRH}KUu8EUIPA8wNC|aifN>!?sHp^;iY-rdL zJPlz@DcGUJUa4}K!SG>*;D+tmv99P3LO`2sHPLaVj*1S45An9`#UCjWP4UtH3M8B* zlDGE;`Wk5%t7vdz_U>juWj(C4n<$;=jaO7sqQl0z79%w&* z?RejF{ekT~_q+&Y66&CL=|53XW$@7^<*XcwTzhbzsJI?RvWGq4@fph;N&IKvmtQLb}0BM&g-iDb6M}}tD~=ajEM@T( zj}v({g@|+ABdT9v&~F|^GtfjMkOswfVJ$2oFFqyLal4t_XtER^ys-BBWW8@7@7r|S z8TQVJgM3bNNTsV(G|RM)l5X}cKRG3qQt@LlUFEr}7ng1vssk7oNXawC;ltl>YF5Ah zavHf9TDH&3>s+;gx4!Bk)pd9q+Qe+u`xq%!n%bNuBCIQ!mu^C5yZ&BripQcerGVHJ zo&0d-x{zGXDw(E8bVVgD4_7;6Z1q*YKf2@eVXrRPD6r!T%Iz|wu7CRHhImbs=j zDPk#-Xg)*3XWt3_v5$5!Jz1L7Y3$;w`ly~CBhT%7jAMK@9AyCUts1G8es#*|rBPpa z3Su{~WVeee(xY4n8A6gmJq!)cJL-p!-ga7k{tE+hxb}|wO27fs|FN#N&F@Za8|p(* z&KqzHO&o9}A3-L-h>5~_!%k1qXYKG2Voy|-I2)P~hzPe`j1P6effS+zsViX3APz!k zvr`5(obi}$jM?_LMwzwi^}W3x&x*|QGV=)^&}zG-kviDh&xIJ|q1!5hoPHM4vTypc zw0X{l%d;P^jW_tup$vb9-GU&T%Uehcxx{aas?a-tSV_`5&3ZoVOBFB7Cv6Z;O-E2i&jL+Ek9;a@gQrtpjFiVa0 zR=L?{oTn?r>ln}LTE6+kzB&X)ZHR;HTQM@epMj;v#B-2Jan;x!Wnzvvcdd#?Y` zdfJP!V4Hj*_J&reKI%R?q1wg>7E07HRJ0;Fa35CPh>MH6c7XO!u(;0G+w$_|73;+^ zkl2!;MvBgYhzF-Cvu2nlRjq8YenqS2%r!xFtFAY@9e*w8@v%Ki9tuc4Tnh*e0*N1% zbkWh#l6D9?9lanA%fVIX+|B`UrQH1MgdOR6|GHtDD%Qp~no{R&ZTFysm)qszYZ-LT zC5%CF@_2irwDJx{F%!9BzO;PFOAj4yP?F^6x*D_fEiY0()B0_px9X>9TX}_~joIw< zLQq**d{b6Y^$M=LJ)0&|E{oU5~g7KL^m{fflB*T_T=G9Y%`m6=cZR1|`}w&O(0ShZj7 z3B6RZfw8l5EywPZ#p>_huR3netP=NmrC^yF=i zw>B~Snz#9NekjWDe#+pKaoNWrzhqCL>KTFn>%&H$yCRVT*>OAfJ#G5t1y+vd>)mi+ zVA;0nHOu!q8V99t7`;4*+kM6qc+oy|Zy->bNg*=y)L^Hcfr&qktuAEmBBvg@G+4L_ zVbGwWWtst9o{9Rd(2U=*j~q@-Ru|;G&gVb+l;s7s0GzVQu|jneMoT;H&HrA<{>W_w zF-u(jKj(LDW>YEucrg36$o-&z9g&`2>S>;>1pQs(N9&&E_-qRNj%!z39BBrS$r}KdS}*)YCaA@m}%d9y8HY)oy!w&LVrw-^fDc zKvt{SbSiPn*GlGY3e;yTQ_N;mB0ghRBgHH@;g~2yEjkW`^vf+TSVawl#7f^Z`3VjW zlZlQ5$Pj=Y+-loqv>*85R=Kw{5K~5pU+d1zZf_@kr*F7{29*y38-;0lTc^0nst(J%(JOc@MRiI znQ)bet(>8vUI?J)qlm9BtNU!|`Wxv@WRToPwk(KY0_bwYGwBL^DxW=ne)_@P2wTwd z0~zGx3UMQiFLfvXbK*|MlGH6zjdXHE+)}N7vZy_J*lF)n#p^{W>A|IhXZ}0V?>GN; zNZs~yV~N6taAhH0m3m6XXas(`ghRL*PfESIiQh0(+JQo=cr>D@;k&$!Z z7nuo(yQ=IJiIgOk^E>x>0AUhk#MR|e+(tL1i1ZbdL(_8-O9Z^ULNU0sn+(o$iem`Z zZ+mwOoetP9i&{%z^t>E;5FlOWnCF0E_|AUrkL}#WKjr2+X-LgMfcxkEgLpTrOU#%h?hg&v~)Rc*Dn-N|N zHgKK7K4L|m`ze38MPe9}Tcov9&Kx3YS0%Wo%%f=8jDxu?=-$HF`wAaDlIxm!(R_<|jdWc1eX5MDYg4UOH(9-IbvpW{rfZVMSG-5wK`nkEHF)&5XAy-xzx*tB z=@Ha~1kS?oD;3gi^RhK2^;?l6Z1_-i)BQ_tG|sqeRbA!UKXjw!VN?rSTQ-h81bhg2 zD+nd12J`kB4tZ89&_|q-xX{9ZtZ6|KycE$FGLOTs3uci|edVYkL98sogQzJ)LqMbt zeCxX!I$f--7>VGTQwcZ(>IJ$FvYw^{ZSS?Gq+6SKY}zyT6SZGU z2f6}46LO%)gwI>S?hhh^XesT^ZMNhzUEaU(4j;$8E?}|1gP!!j8g&tT<}DO>$x+tZ zx56x2Nl&$7bh}8+H$SGpzWwaG>-ie-LhtTgg~HSv;P;lPRa!Ztmq#}kFPJn6Z|6F? zc(U*HsKk+-vHaOg(|?Em%-;DWKh_OgNCh@O>7RJUze4TJz!y983pD*!9a3!wU*DzH z8SpdAXb?_wt*kh>e)zvU=Ue>CE-JsCA8-fjiVGGoI+C`7v_E0a59>UKz$uS|{}5K` z{{KIORXvrB$-&^FbES1X8+}^xszK5R6)n!5ri83*JM|dTmHxgk(v*60?E-sU)$sFc ziW29OIQOZXX1^34YT>U4RH|X{!(UhNoHr@gOi#a=+O0@aVEsMrka$~!gtuPU*4TjF zbn8Z@0PbtIkIG^ww|cor<&0jS43z!&IQCLH@!DQH5g}}>F!su}NB+6U>qn<5Bn?7* zUemNhxS5Qce#WXJm!{1nZsQDAs1t9DDsXNPZv~|F{GXD)0H3<$_;vYmBqot$&7NAK zFVT_BgHUbXwLiy{PZ9+b7WkNN?zk4D>@!_tuDnbJ^1+Q`dL{v!L@|mt_o38&D4ATA zY|n!ak4oieXlMk{yuUDWCThfUrZstfRN(krHb56tz>9kG`I0Wbe=esRXwT4iR#2U{ z7|U51E4XXdq1otG6}_mwGv`-<^+taDSSRcgdz;N<8<{oOMfD9YA*!J8{mECbVk7o~ zn(+Y}5+EKIg3K8P{c5U4_i^Z?ari}<7@74XYC zUzBDs_OzV?rj;RyL$K}a7bu1$W5w2A2*t2&w;*gh@EdoaP7xm+QR>*_mr3sEO%0o-5>*)I6?b=s0;L->Z`$Q4vhAbJhT-5($4%Z8 zs;?Uz@Zva>b)u{)3Q}^yb5PMP7Ot+0tx{9Sj0ziJ@ys}eTU2UvkxFMtxrwivMmU3{ zd$+6u(xmX<&KTBuq5-B9AW^~xk4ekNM$*xQcrkU8Wpps7uUi1_f*{h~CweyM_P$sH z#HT;)!(gjsk?;B2liFRqZ-YnLvo^t(6h2ZMEc5qv?WdOK#1d2@A{ahtHoLXs_9(C! z1>7Z{tE#K7SKY+FL{4}Nd!)FKQnBkQo_t2~>Wcf~NA}}a2&OCaFANQluyDi<-%43T zBt_RSfu^cj8eDnq6?41DSBvE)TZ&uT=mVc82_GxRg=;)QntLV@WnI4@nYLW5#IQ_} zyz*N{Q`Ax4)j0b_>?BL(&J+EHag*_yQz){I3=%qcc-d<;TS55)M^D#!xdfK1XQ{PC z?Ay~t7XCDEGqBKR-3BF+GE!)HHa;m@+rYq_*1mR+v~;V~sfFCZsc}u^W8q3djRRRL z3qy!&yH27y{uevIOf4dF26-!;0JAfcN$X^vRO1rMdTu$Sm4~|5E&Lk%lM<0GnPSa2 z9$91~?D*ItGQiM@QTXlGj#}j!Xp2bP=&MDXqW0KDxyI}uexLb;YEd3bR~g511$wkIeW0qTotKk zctgZN#zzsdaR98PqCH=>d9Ch4{z79{o~9E`&N}amc^vngmckyyePjHK3$dN;FV34i zxPoa>HB-gc(b4b!;p@HQxnAS{;g2mD4JtF$X&_W6dqioGtRh9j3Po0BlT=2jD6;n^ ztEkM-us4;FRYsJ(@8{L;{@#y!|9c+i9DF|S_jSEq&zYO?hAC^_C7IaYXqUD3`C8Rj zU}ufSfKGqD?&C*m{&|?tUYmkZWhZ@XRqG$Z0wUYm-K@AhTp}Oj_7SMKl>5%K$1)Yi zCnn0|GPytp%nqyK%1#Nat@&UO*D}S&#fh(r?(dc@p`PAnZ=bD`sAA6YjfR~My{fGx z^Nk}n)wU1mSG;h(q+ZKQ|3Ey6QqpUgn)5Ou;x}zO2pG$>{d+1T4r2b^qeV(#KL!pI znMeMQ7GTF8@VH+cJr*P)W>eb+P05CvZ*~6bf_2n50@LTt-W>TmEa+^hggNIRCB z^i(jwRq(hQ*JaE;Myd{4uQ_W-Jx2afO$GPGokLNgQy=9qdfFsWeQp_A$y_Gig{1Rv z|Kq1@%?$V3Yw-%SZel8@_uP;O1Ut9%3q0V2X}%I5MXyNtLn`Shw8s4;QFtiL8nE40 zK0-_&2AF|Dyx>R(T)TlxyWpVTwfK<|RB|M7tCE(C@SWuCCpH$(Mr4PBINA8GHn)W2 zh2uEb3+!A`vF$QHKYAL$!AYd(%JQk=R!sXoHGiC?-@=g1Bd_0{Pix=rgqPRLLM1G! zJzTJPozc+fk(^yXR{_)RkT?&j2#zVspUN#k+hdv!YtraE3#=UKbC#a#@ggp@wSuQ2 z<-advWM^-HfHnK39T_8uEQfm**OTpuQi19sTonMj0xo*)k~!BFK2!_UjcuWTwQA@G z%=`g}41L)Kv@G`(zRG~3{#+e;d;ZnvAzEB>+x70jk z6w6weV!yaRoihBTF10-N$~{JULuJLtc)))%cTj?mKhF!*+7_5ejJYA}A_`*)BWpTo z-WzZ$O!SZ580Bd?AxNhb_PEgp6nJ~p|Jg_biJLwpQIOp2#+q-blx zGF`K&;Pya9041h-NGHTzy=+`qzr}2xm9)JA2FN}>ieY77h9Bol&>8B<9-2uPjhI1%JyKJi)aESv6v*M+~GpahgU4^4uZiUfSd7%eEFzpedg=^ zu@B5e^jkY*mw%_j~&KGCmYfsWINW7?$E5?6QyJ85I87<4IZxyB91H%z~NK)64`Ihgr(pJ3U zO+`b$rO*QOX@Z#>X$C?!Tp4m(DYWnYk{*TE-yOK!`59HdzaRu6jsk~peBK65V|n-X zo;Y@F-z#}az@}>GC-=FnIFk)iF>97+>IuQTE{sHj3mb(2oLZV{QkP*d4Y%I}gpH10 zawBe)cKNfY;46*A;ktv%pNk0*qVzT#9cM`m`Z>hvdx=HOVPd&D{9)$Ni>^J=S zrnNtd&g1Zy_*4Ggd^Pv~LoCRN*ZO1Zm0jm@Y~;Tg+-_Tt^7h^MH?DhHAv5HL!U23A zBZ}=3v8AJ)CMx0G* zTe#u;w!VitfPSA#O*RHyDdQtBPx&=Xq4|mUu{ZtF;gb?mcMbu zsQQV>X`+A~982z-ki|lUA>PNfJ4w$BOB#hoz zal<0{bSG?J!TZ}^UGXtKL7w_|puI_Is<@6MpiYy33cQiM4`?ebv9j}w4?Z>CWgrrt2{MX z_rTA{Z38lMZFq+;#UADCowZ}p_V>r$z$qc@#L?-NkB24pm_VHV?B?zNu{V5JO%&LE zGLWzO^T@@xJ5-^|SS?@av{F1J5`oJ6vh}VM><|7aGEg|;8fW)kQS18?$>-DTVl4LR zd@Ej_IZu3F8p5bvvF&NUd;96>G^eAuvH;_%xx9mzd=3rQR(#iVSn`X5BT?M>YT6R5 zBnXPRS2GL9sV#(!GRhazum@L}iAy7Fb3$?)aK1`?vOjZ1x9CYxXu!q@t7q9!j3P02 z%!(G?2fW0ItEvNjmm{$A1& zLZPmC`cLe@UWQlkA(441KIS;PMZQ5{;T=zEbK#}8o7mY&^>r|ZVZqIv@Dm$1V{ASq zi=RR96x>Y)>6VcUWYVjL774{SYf^}c^HF4HB1I7uQ1pNh7SK@=^7?ndLV>*P-=g5L4hDykcb z`{SDIUG6uD5r037_OUm~QDG{ITbiU)9Bm_^B^O=ijfLn^m+tV_Z>^V8|FS|QlG2oU zwA5BiS8&sq z=HnrS^A|66MSXku*8{iYHP#AvVke&)x8ADLFWdz_{e*2e_97TB5}JOC^Rdpm!EJ_9 zH{RteFFjM*T-aW&+kfw@t3Rj8N{VRILP4iBWOaSbqmr>`_dhqVsr<0kp zADkNU2Nq9M@iRyE1=eLazr_>eRSIi+S&uWS$lt^km$-8t>cP(PSVG}N|MTZ4rnlm+ zt|}5jg)Ag?>9tv>$BZ8A=970q6B*9}P`WK-IKX1TKrusr9JX6Ox7VP#RFmLu`mX*q z*|xmf`0KofM7V;pt~V)ffs|+N=l%6NPAwg~R7Octd0Kmfi`Nt~CMrRuKfgR8tkipz zYSk#U(Jh+=G|#<~rixxR{eqeW2o3OZfN4)3(`L?vdsND918WL{)r`;Ir}LTghNuV+ zzo`m&d+QAd)zE8ullnN*+ubZjqU)I1e{G@W(v%n9S394SX@8-*rpCVKOGifsy^Z0k z%X9&fKNvT9U#(Z9$s2yNo|=u;!WJ*BaF!G%L?SAePkpwp_P9#~E(u$4kCH!9GwoNX zN_svlh2w*=-HkL5GM>CQZ%NTncmI#?(bv#l7$uzk{cXXrihez~n4DX9f-{EpdQY8LwQ zfMgG+ry?dbNoxMD^r5_FUI7>Bvr-2y-X7rihP7`F{V{7Oy7Ix1>1k;y;IPue;VC^u z1d$X^{*TarTyIWCf>$3Rls=&LfSTVr7zn04Hx0;Nmav-{!tWHyDq$!Xy`gC^H;Q_M zQn}f0SDeS8rCyK#X!+uxRp=QyVot+U-@f3pxUKgOUXq*CC7qSb*GKsDaL8MzK-a6h znhZ&S5wrO=dBd%Yt%u}9?>aTDm~Zt|@zia|lKzdT*2A19B(PIgE8bv1Op=*o*+C*Owiu|!1`w|5g*c+Rw?Ez*U30vc2a$dj+ zn@P=?w>RKZB5L`-+Z;{3rc<}Yn-q_2i!FG#%ZUD)n}fp1j4LNDJ$ZO*A}0WMP{RE? zjmLNQ0(8tzT6xGJq=6YJlf0;aRI6z1h;Yk`orJR70u{u(B2RQ)h@kxE;o~ol&ax|J zv#VaeDOsDXcxuz4FH?Tek9~_4aAfS-g21P{z|c3QUTJ+kc6|DLXpBtm3CeiLI?IxH zH5lzw+tYCm5W9p6GM5raNy^^&;g-|}oXHfA`X;Px!Y8R|>vc?KNRtohpT zl&me7G1K)L4|?`YvP=e=>j0w{84@e{zcO9GD(ydcPFuVGYDLiD68V{m6>+hY_+0jF z<*QA8nrNsquJKqnWv;3DM9lR?OY3xXV(6Tn%XjW)De)8LwH!x&{p_rLa@@HXd*RG? zK=ZxOvx_(EucbTU`g2lTqck%X}`&3ONxu2LUcD-1PHhBQyS$cuK%ZJUp0~cc#!%aR-8z`lC#yrj4 z8@IiK;LeCRTZ6}gj$&Y2BVpE*;6J7SYKECqKg!~Ka2Uf_MPbxn!ZnaH_5~SiRb(@P z6NExl=)OIcWTWNGVvX5`6{Gb(4w~t&o>z_uxSZIJ5Q=|Mw99B_%+7O0_B%3UUgXsw z90+Ut)$W$!`SVNP{rvpsor2sp8Fj;Qzze%Py$u=(N}!s%rnihpArfc(216MYt!X8` zZ7RlRpLe4#Dhm^!V;_7M66Y346Yd(Yn$kq$rWEz3nugXk2Sc()eM-Jil?$XHHqelA z8`~gmiIm4t(XxNQCDGn#2e$*Xv9GJ;QaS%PqV;SvO+!=D6Nll*;|v$)F<)`ptrlJH zq=?lkk?Zkx4jrQ>79N^Lhrh1w{df0PRw#R3x0ZK2w=Hc+#GT$J5y4#6iPMT7l>M%Y zGqDIm1bpq&aBuM1rJ`#uM_fi6U5p)Fb$k19UH9^3YtwBe(ykx)T>#wIzqai7Vd?Ps z^-jab0ViR~iMokJhTcLDzy`n3ojLx@kHw}U6ZnJ>?J+YCRyuK)Bha#z2&<+97Gg@i z6}FO~$$D!-vq}p>eWR|Yf0ta^vjVo+OJkDZt>qbi5E&_H6Jt|N^k@WUs?2jH?G|Ux zn(j2lDeZ|orzTcu+ret3f0<>F9v#JsJo+A)b#zdw#sxiV=$?{byY*4`chX9#v8H6J zk9w5(#Hp zcpB;i5G0-B#Pmk6u>*?Ox`O|Vz*s)|Y-7L21zvV8-fdQ@#Ru~;)&S6EJ)#m_zBf@> z{}@hJUPi=I-$&^2hczO}%DUjTWQ!G6o)v#y)GEq06jYZ=UJ#{uvB0d)p|p4)ceU%y z%p@h<$85l2xHzot4!_RsCeeXU(~sJ8`U4^~@%u7gA33d$?(DA@%v6B#3OCx|9(;WpK$*sB zc?Q~sm(s`I{^ficK|t4Z|0M#`e0P(Ecn?z+Y0IEcr}#;S%eToPK1J|%XB$b%XS-l; z@}_c+cij-xVy;B-DEs0Fxb#Y_CSx)Hi3_Bp$Yn~l>QrNS1oJ?_&^V<<*sGv@s7L(+ z9{z=G3q%*-e-7#X>U(h|0IGl3aXb!8zV6rr>Xb0s7Uq}T>uM%XLCQ-K@iS4loMl?a z#~n*(W&c7uBqR0^^|tpEs?Q|XJcozl>r3p%uN=oOjuaCtsy&kQWJxP#W!kKem^1v` zTnjk_P?4-wr7rT#66@d`*du^yCgX3%LC3TG=dxdNXrqkH z$fy;N1FU(eE^)dlx#95J{wF6PV7->I1V$h)^ap{+Bb@&p2gb&$=n`%_a~hbIe*}&A zUYmp!XBPTRxdy! zt++x?l4eke`OpXG`N2IK6ZO_yu$-QUTHOyYMX1*YqkAT|Zt=EO^yg^)^yl6Zep_TN zbiSABLEAOlt7sv z!=rzDDrp#xOw8#C_m$V2hM&If9i)Msb3oTr5ZUw3nf~^g-FtB7#QJMGFrCZhJmft- z`Iw%T!4LKenY+bE$0IG1!nUjMvHyVUwv@ED&@L$tG+fiYXB!j}hi6{uBa>^ySign( z9K*UUQ7;$EDjZ6qUGKkkT44#7gm0aS2*=nFbjH1u!PlrWrSAO}XTru8KSC2`r_LIi zI(rl#jERBs8Pe7y7ZjH}Hw0(TuM)jD>u#+xQq8Olw-cQ1OEZ2JO*A$&J#PpkV27w^ zoszK)v+byb-hb&ewRwkU*=wxlja%6t#2wqW60p4<>Mib{&J&%GU*}j35!DZV21(0N zlsoaMtyIH`rRKxXz<}O5(%eCGR+SQaJ{FV~us=}IFfg!mft2$x!fTWSh0i1y+~D7{ zzW{b@TT9~1+?|^Kk;ZUe-R5~rp?Pu|C!460IwU##;>tg)G>WawX?SQ&sQLhCrN?X# z-b6(qGI?B}?Oi!2!F-2aNr%%oUrRa88eDSz3BYHs!JGQutLRj&L=()|d(?e}-XDUS z-B{hu#AFx55`W&q0UfRx&#uvAA3Uag@#61Xnb}PNzR0n{J=J|7$HbqG{Wqcs@fTK7 zZ@}UiqviDu;bV7I$VLq7^X~Z{J4C6@ZT+Q}fkbkmtzFY1jBUWCp3sU2zo?u^AOYjG z@}zLfl{60WD=8h4FQ#vu(!+@zF5&T$<3cefZLdM}6$8NLKzBM^{@L>w`Fq|YfxGp? z^evIAFR==I=Zxj5KS7SYk6J#*hazL%+OcLmvgd95z(ynYE+c}ij6hQB+SdJ_t*if- zVE9-6K~i~F9Ztu7WYW>yBBSHS@w?A0IIs-M9fR)RRqk&1Tet8I;pVgMb;Jbldi$TRf;7iw?A8J9mp^$3RBIa=k<#3Rk_5ZT=O5E z`2!V$vQXCP_Q55!0o%m5IHG{3p)A3h`p-;HLEV{elfvDqR4!ZSX#czi25q#Ynjden z3BbdV3}U*@h%gzt&vWM4y|P^{*W69kLSGYRCXu85P8WB1kby;Kt^lIXdVlW3R{ixt zujS}Tg~Pv}uhX7V#N9ErJHr%GPDh8R z)?9pbqeJvW(;u;jPDgC3ea=^PsCYL@pIv%?EctXH-}-HpMN!w@22W3Yxs~!)!i_EI ztgP-s-<9jwC1NKs&=M%5uO^{n$Gm8LbNi!P>00Vi71?$eE-Oe^BF_}MK@k{hQH%qV zi_2r|!{X`V$4N>*%Y{h*YciY;M>d?H3+woX(Hy%DSFS7MBt(2hGC(LL(CrP6a)%a- zASDb+|AqovQ;PLmaa@q~qn1%AwKHow6~b&!EP_(9eXNCxrdGes!d66RT0{=zixBq1} zxBhH#t+FZcd7$nGr!%?wNSwmhrJ=2TbzG=W2$}h$?an=_T0c_W#Tvj;r+v@@mYJ)Z zR|CXa6#o2j!yMB(i2b2<-W>MjgRDfyMw<8RST>1X^uu)9At$1r%ZRxvf2hH<4$%UH zCnl*RiY3XW=V1!?D$C$GUunZk+jsiQ&V?bJ@2^QPGStpr3wGeo6o^fj^7~&EgD;)Z z_La4%jKA`q-g|1n^Khq*T(_#G23>?psqNa#ish#tWyZeL&nW!!qrSQS*FD|me0bKd z*-fSP=TTuI?27L-STc`%xe?a#7N4>7W|Eq*heI zy}1N4z_&NuglYQx`Bj>CYZe6Lw){GlzS!ePNGkmfbSYb9{aUE?ZncJv;ozST0_dQ# zqjJ58i>9mYr8+!{tkvgwHCK*~Pi8%R`jlnmOWnx5RG)PGIbMhUx^T#9?hav)_ddmj za9(_w!jv8m<`oFbxim{AlDcx`6a=jmXeR29)3v{L^}HT4eCm&$z3iSF>RubAgWV|) zBXFueqn+99rm)GEQ}sFwJ{ZN6XTgHh){z53hlj z&WlJzTH40HCxKV=pJv@ovP~|mN^j09ZoWMm9*2854ON*a%c5R4)fVp9wT54E&Jg({ zwUQbcJK44lGyb}yLgQl~WcU6|ZFB}(TE%a}vqS6g6Vs}t*u4+SuD(y!hz$Njn#fgB za8A%2_>`(^mY*pRfa~{ zLcSg-Jf*agbR<$U+HFTdoF6?{svpgt!F}3PCea_<^Y^3NIN(v+FaeHt|IwYI8G^QZ zFm7;g@G`L5qesk<^w#7W%7`RLQ1DcwZtudp%r{5zE#-9+`YF9-4vFU0_iEo?JHIFX zbYu{fy8R0xY-jBzqBNk^TNSh9{kJM~E%*#^8gBMFd>MGVxJM(>GR2SGH8ad%l^y@I zc@ru)vTiGeZs$GO!f+gw4kr%(-K*;J$e6hx5mw#~Ifx@dNUo;MXMsctqsot|QPcxi z_6Y--!fV57Oq&!bbNslH`HA>#X6~t=K~6+m#3%iRNCIG6p<<-oN+|j?<|z7$1vA;a zssTLzw2*t&0G3Ex6Zz!eF<$y%<)62ehGHYmym9+`+Ywo5VC28fE#mmxf30~BMT!45 zUQTrlU*n;-)AkBGJCTmrB8e3Bch3(I5ShqCoj)Fq$ZjVP(HCFNp=Nytk` z52yomM}!#%Yr`|BLVX18{P`a(Ku!IkMx3|8?9{~iM^(Z?ImqH7rK=i3q#qC%ITbCZ z9ZMOM3fx(T#}Gck zT$TJ+>^8rSY2d>pXG6M3*mKfuIIFEGNuM3dd|K#gqtH-(9aX$E3|o?hC?nFzR9g+_ zPSUg+c-f7C0)5kakDEf<7fkgUxs_MGy|HoVsjaS7K{!Ag#6JN$q9$eVJfdz#)n_%) zVHwFVl016Ld{xrC?*Oc#Ua=401@$`ZhV}y6#0!f=<$ikxWa|u&&kIWE9oo_MF-+xXaXDqi^+$a~Dg1AL% zb*?I_%;-J>k$Bz*Aexw5r2w*G3wX=q)J$Z;0&8v^gsWoL^-l1UroyuYJv_>Hx|%+3 z!L=3aQG}(83MGoM?C<7bH0P{J;U zTdut;pNw`HrfO`jdnKsO-MM0VDf90CCGZ4OW2dXpC3@(N2HvJ{6$Hy8Wv}-bm(}E@ zyGsi1iy~b#L_$&$7iH(56;1LKdUQ4?yjokR_~^K*SafxS08gOo)*^Wr6!u%T@1rQu z&VYkqpm;sAI9M|zAXN2LG?HjMd=13DW(?$-{hO2?xs*7pK=V%e1I}HjC%0bq2t0t+ z&*X4q_<}Q*3Nx%>8d+YbQB9nK<6HA(xB-h+7Ayz{O__kM zQ*yS)^g;5^y4mG!ouaIi)SL_K8-CmNbBvWX?xXnSBzA0g&!Dqr$SJhN)P$ZfySV>h z1c*)66WfG^)0K29eX`7t9u?TE5@&YLYqrL;aXwye_hHuMQ;{=c=!>VKjlW0Q*XyE| zG{zV%o|V}8Lhp>WmkA&89$3_}uC)k~?0YbNFW(mpr=(IS2+X~CVr5-Ql5yx9kMr2% z4xRXmZ4xH?+3#dpgy!!s7d{cI!EwE&eNUQv?y4nbEc(8d88E1<#ph?A10)|1qB!|1ajsBEA9e8Eb!cF*WE`34a!@?iSixzrF(nF>}x(nzd(C{UQ z&7h~uA!fkuS~jfinEcB1inSd*maZS+`x8OdY%s2R)4mW2N*n)YKepXlF_0dRJOnUo za1KvH+RBT2NWoq4Q&@2mJXoj!s_0yTP~h<8%iF;`Fz_o*{Va_BX5RX)O@9!-dw^hG zSt==wvOV^ncdedqY~r)$$TrHgXseYRLU&0i1~0SvLA1B^;a;VusE+ZH<03RARODgC zwwr;GI{h0LPfF|)U#zYc$r&6Kn#sFaEEQlMsdZnbyYJ0jaDPR>S<3L3_#p#{n(?z2 z8U`w&)si+ip;a*N_R*t9y+(7lGd-}T_LLKa(rwQr?TR5;5e%?1a^9GZ6_K479q{7aqFe@}d7$=H7d>61qdf5HvfkV&-0d-ZdZs0I$# z-D$2fTAhEmw6yn3=x8yfZ^*qy6qZG*Hb%w}#P0d$;1M&h=|hq9OW2>80KsA8uO& zZQM=xnbg2OSb$6kgWCf^gkGkijj>_gjzNr4Z z>E{c5m6`Qw10hJ!$FaDH;!d6dgleSGZNZZ2jo1RtQ<^lAg;G@04Eo;QW4BM5S& zIn#7opAe%~%?-^)%wF2ky30Kw`LVTm*y0iCnyT6b)%Mb4bG|J5%vMk~jvqq2P}I-}fI&S;Bq94P%$1lX%aM0Xc|+}n12iD#+2hAO&DU= zpxasXnbx>on`BhipT_CXk#Ulr1ZM7A>(FGa4BHOXfDcf0(DE}jYHi(#U)&?u_Vn4$ z-qJsr_Ul#!W!kf8@p96iU;1$WVUyqm<#0WELA%pBRQea6TM(hsgNBHkp9M%pPmL1L zC>c~Q|4RFA#}3~I@+-_sUtq5w@iA6uvzZ3t0Ir7iekf|PZ(@r`6;X#JCQWy7t>`;< z(yWIiY`;6@`fuMNbN7o9w{PV)y}#g7Iba*l) zPezv%y9_YGoSsAvO0!nDy)5>7|{R@t-0s-+D#xB9Nbu`&9aKA+8`{l+LR zHmtbrmG%)3!{Np6MJIE*v1!V~Ua%j!atf8oHN4XlqaPaYb|3z$<8nca&GIDw{kb9R z1D~GktR1(wt4HTAZlO9SzN1v`&Qu8rB}D*Ihkeon2~NF?w0s9P6bC?V{hHAVwV-Cn zf@#?u}_@9z>jEDRGf8y~lQ~TV#xrOL8=; z+> zNPEe7b!%l!ceJGc!%g^gz~phf1j9KE5>bfvMPb=Z()Wy4`Jnr#1?`23NNxLwgpgx~ ziZz=Huh8$nn?|@Sa4(D$&SEZ`)ik3yw9ajc8U#3Z=HXMunNhcFxyi#j_p~O zB!RY)h;AxIGy3W+8@4A|MRW43Y!#C|@tR+}>&BrOxr*=?YmkF_Lou(xukfJ^Dn7k@ zH%OIQ26de`TkY60Q)&5BlP)(m*yJ$o-#|nQuKN!9CkC`F#T~N8^=A!iymu@O?MQ~O zE`2L0^07>8+;YoMKHV#UQ!+(jaq^O(wy=V&x*(cj5K~)Dh_ClJ`PSmDqoa_z(-;7M z>+{jSSlc;tcA{s=3hWgI!!*ku5R&mM1eq8>X#3>J@Rz(ZHlfQ;U%vE(-mG(BrdDR0 z^bS&}-^^iNdxSDvdPT*S;l_Ah<9fZ&r&dwMZEqYb9QI*^{XKt=`+Am~)5pi#NNqs( zcS1OWAhT#gp&~?e9od6;N@tvjX+-VN_q*WfXej94c!2BUyj~_y4!!O_hK={%@Cmr6 zn;YqKmYr?K)SU#+`iw}%W?bpDFD~smw_cvTq0ceol1>WyVt9xFg&5hiOo$%5R)&Nj zf)`=gftV%w}duZiePv)06phi|T=@DdL${rHk63@xQle9~qJiK`_hr zNo@RZ6sSe1iOsTKYW6G07^}WEB6m|h4}Ke12Hwfl!8AJ*Hbg>}$)u`i&DvFHpDM?8 zM$GaxZeu5tl?*1LeAqJe4hyKj)ALX+rlsvwol|d9;1JG$Be@7@qgC-!xXbGM{^6bf zENQh;NQZY67*#KTgGpzL?5(W#3UJr=Sp=>f*ed^wCazxCepgaa`n8l{#q}pLc+BJ& z1)llTK9jzEhu6DyeVN)8CwxPHkh`(P?iWybhvt%Hi+R>azOGNvwC=!}NlL%^+l9PS zEmE3YLNuHVymsr{jjr$@YTnAI&A`^b)V(cD&kNvN48*n+YU6LjXPR-+$8$E3fpM6U z<&T0aFC2RHtapLgL|T0$?HDj*{&`G9?PTyzj=-)Qi=X45hyb&^J6Tw|d5M?3nelpU zN@I#uw2y82ms)v^F>3qzUtxjoc$;dT~$Hsn^k-o8nhy6g;nCX&P2&U z0IvI997Ob#>TsDhk1F$3Yo0}I_JOcjls6NrETsp7p@Z3a;&>G)n!8N#2o+`PQH+|& zRHBM^nUMI}E@~BP;m;;F&GF1-i{SjHrRxy#EvrN528&7g6>VW=-re%e2Vv*VnC}02 z?bBYlxncc4XcLfl!r?{FLcOlu=^iUD6SP%}&Nt%IC?Xsu6i)Ib9S*X$w27F%R;?(P zsMGtID@v0g+(N!Bj3i9O#hv3F20hP8bZ*0IOv7yMjje&Y%)a%y^uxj;0S;x36sS%f z05_wN(hD;nH3fShtSFXQdCn+MAQebs5v$OOkVvYYOiWsz zBfG$G5ufS%6&PIhJB*$?dDzUzN8|Qyo4et*!aZ9WjQ;x?IKtAVPT#{3Tz8P)^tK_> zl44IBj76h4Jc3@-cY=?dIFZdy&Kr`C5Z2MI09E-1#1x-ezl@kECFmc={y<&XNvbSK zG{mI4pg+yD5}g%ye2l<*53A}DN}aaz3apI8CaSpaH}v+qN4}tx;0MsP{ny_N51KvD z?@$rvsYd>N-OvFXc6BYf;M@0L5>kYZmE1Ik?+mj2 z@7B_qp=;wpUbR03yWtZoMcT(ba&#+Zoypers@vT9M1T@z@po0iBC0#lE8`uP^p%n# zi!Uj*ftY-(smxdGAqc+%$(g4yam`~gp1^ralO%eYrujz3@VSv_k28k$n>z9VtB~@A zjYh{nrW~LTl{(Y#3N#jg7q0GfXz0XDs!O_8W)4w2lGsk35jHI1A(5qLRC(zr`8KJx z34sm+O@Fi6F_KbBh+YyEPJP%E7}iZpo^zbV$AcvE>@|hrsA&Y-w#$?JqaVO6vY8XE zZ8VFbOR9TwZIi)CpQMT4m948YnelqD$Yk^R5(y0TOh4A7Mc$d9>x0tj7z}-&L+v{p zxU+vX`LQO}`5o7^&Yf%Le@jOz;X|SrKRw+|lcXR%@s^BCe_)OtvV2oyTdVA6QmNB_ z)a$sN;#7|1#v+BwAMTT^a=#}jorQ{~Ln#@OzOW;$}9B-Sh#Vs05lfot~$aCrKf*hG}6ohtV4evksfdFf)j;7@$sjNAnpGQBE_90@Q58}P7eX#i8K8B zjg}M+2tOE4%?Z@Sx7f7ToC@0bZp)4ORn=GhEIJ~ zb(KyeSz87bZpB$ zBX{FrQp1U?$G%LVoA<4ZdKegM_ocAKSO`Yy3_XsR#e}Q2(Fn;MVEbP=7T=yUfpMqi zw{ow1SCc|y^3yv2T7Q7H6I=FxE0_^9HXSAH!rM(+38zX?J_MOj22Nk6St7O|HY!T# zf(BywZHr-_A)SZ2&UFeHH%STjgd`tH&?q)V6e_TWI_E_(%Q<(dcqH$H277Sx}E z912^!n?I)a{}$h3MdDi=EcFwPwx~*kuAvQ-T#>sqVHBq+@Xsk6#(W0#TpJ~JhCSqD z^JL-}6!IRHP9r$j zDAcZe1xG#$(1!-aaCp>f>TWQ*r)8nXF=lIM+;w&ra-qcNM##SlT`m3O0?f+Rxb|rn z4?H8?hkM>chU-QsL|dmA$itTAYbgq53d3-Kz=xcv^@}kmia9ViYCGWnr(pVfJ!VWE z@w+pzCh~fNYAvc9Ati2$Odp-)TZD}&4FWg6V=XWkr(z#dW2*RB?L?ZA_ybsxO` z&Fo4JvQj=Vn^)4BC2*P@RLTinNK-kvXM?I#;9g-m+AF&b9cs%sDUuemA zi>3)vLKkAENQo`$>TM85=&I*2sf%FYk7{(DYEY$64?j6vPx45nZ2}lBo@|*5i9ahH z{OE+{L*lVY8e`S704Aag4>ES(;5>T(+B=ER32@0*!-(qkelN7Yz@8}uxB9C??;0P{g z1rGdo7<+TmJ*;{S4a4<<)bi7Nf$#j*Lfj(?R>bP3STBqc`H-dcaR27JmyYI z!o@Tc6kIbv7jq9xBn{(Zj)&Ft68Gy$98cfnpA{1hngL043B&Y^2$9|sLh#;;Z`sCc zN!}X&M_;T}Aa}VPUztTRlv&A$K#BP5Z4n#fdeQLcQV$DgFD6 z;f8U>0yVL(Uaco)R*Df03G(PqU?`?$oZ1M0bd8fEvE~JF$&J68%z~$<<4s+4wYan{ zf@@*DV7^y7eDIs{h6f*XTl|jgMgY2X${TTU@hIH(wJrT|LV}O=CV*|&@laa3zlmWJ z%h&fYL-dQ4{?DizRf9583$JhH>OJCMyd~nhS+o+G3TpoLXN(?yE3`gx4;?;P8^w2* zVSFCI}>?*FLxJE@6#J9g0P_E_=i%8V25^51XD83~mweA!&LlK7J-NTN`$4hIo>|T4)X#N8 z_RjJV0c+D+GIkdKR1xgf`toG1HlvP1`%EMxc`-;=tdRQge2mJIX3eF$$=Xa%Eqd<4 zVA6UI!H-w)7SLB9Eq)Neha}sz%6;D<7lu0%KRgV)$DBKTm^9~O&ftYPdIO^0BLB>{ zzYD+ge*1e-_`PT?gZFJmcua06M@CkoGaQ+xY;$Q#~O0+6XnZY@9H z_1_7ZdYTyNh0(P$w~dYCm0w>f9*(}y#zo0@B}Gd6)`+kDtqmh0Rdn&j6lN=nCW(_4 zk1gg8mk9G}YiW7m`t0*0Sz!g4h+H*-r)8$AIgk=s@MCys#HnfVu>vSMlhCFKyq+Rwhfag+QruWGmBMIHHN8!0o(m1aW?VSopdKF!Jq@L z4$Z`hHdc((OAYCt#X5*VjYxecp7wnhI1c{)4Ur8&+bK#DG8-PexOf79)T>M7^s16I zhF?GW;u~EgSfkMX*T61~fMw7p6GV@0`PL?*m&J>}WrldOw$8mv*;+Q6c-f<{)m-3= zwErEGh90^jjoR%2_<>)m@@UVa9&qwHCr@LX zCzIL1g9o{U0SeqEwa!E~Pf>bo552xaD=Ga*kDi{M#v;DYCI>nVAFfaDb*lm+n#7|@ zOxu?8@PNU&LF=x#dQW4Lcs91W&PBKIo9;{7skSa+S^U;FOq**9$zMYnop)N0))bR;wjZj-iYh@>xt zb>N2i=MFM@8FQU}nD$o!oA_2s_d&lm(pp6u6S-fA-i_(-)E3MOD$8X$V=l8xJ?y@K zR>HYBJFr;)y!jU#I_MPnMN|UwknMA0XLf?D$@T1q)ICJOY8BgSI{Yk$t^Ec_37SJgF6$ZjLn*X4Z3CP~=#MnM zXOG|5xmsiQF1h4M221}@TRGBWhLqPsw)#@nLC~CsW&2?}UUj@E4Bi!v)jizBsp^~8 z(atXQ{MWYo@8P_f&)#RJ*cuM-IO6IO0G4m8*GCMv1o?qdRe@3}EBnuCCEJgl6`A`K z!zOQo+bh8f+b}@Fh0Xfol)XH7-&4t9wNh=(02q*Ous8-c$z3m2X~utgcaqPz>wrGq zSD25eppB4m=g(S#yRUsRWy=Mkltg3q*9aHj*zMT!(jJzRQ9W5JG4!H0y&ZPVKty@c zYS`5!dZjEJdf5L3l2$wUbN(I)9VeMi{gqdd`s1QG0`Du0vg%l?T-iH)!d&9lJOk%Ew3IB(YH$PDPHdE0V|i`N4OsQuKx1FG&Tbf9 zf>SS}$+8NF8M#XLp3i5dSbq_I-GhkrgW=&|$U2jUW1M6IH?SAVAt_t!yvK3jfTsvQ zCRGDY_qJt-z@6whMky2mZdrY12pKlL-H(&_3?Nz47Hg zJ_vOHVjOViFB^WoQ>!+|#IXHQN^|l+=!@k^i@-^-;<)F*-P;^*0!5)vXRu2ZY3E-6 zjX^O2+i!O5S{W8U3jh6YIjm#w43k`VZZ{V&l5ddUvNz|WCjUcs4aS(8pZHc+%^#T9>3(NB;!%F^Eq# zE$LSYZDw`ph}Ur^wV8CPl8H!5?4 z%`7tYAZ;=C1C_m<@+hkAcxAfT{Rc_x3qAT$83U1xFGS>DT4H^e4mzX$G zepGi0IE&}HnLIT6^NT%{Qw`yu1yeZ2dJ)N2ishPxzh0d`Yymvy2Z6+}%WbFPHItH) zZ3OK;^!-Wy{NztWN42Ya%zrNlm zt{|aR1FWQ4YW6mY!TtquTq|jlLxu_KYH(dekp?eafQ!yT>w9S!)Eoh4lP7ZIdV5ys ziNs~dEP|(tMzgcsxEJ3PEhg%OxNVHw+&NlY-;yd==hV#)|R$0BvT+W$a)AtMrVmlWyh?uo94D+yo zJI%SsKiYnUResJW3|>r%=S?RX23GNOZ>XFYh`aL4mPoXdfCm0p>m+^jK4ZVVUYDO~ zH^jhc1_c`wOR7kJO(*-Ks@I`U_5YqqJ`}otXd%I@d|~0v%a;(j9CQFWi=w7W%j(U( zGg7M;@L=%w0?YoITd&j|p!OwAz))xYrmUicd2V&}pmZ&$7Mmz*{pke+%mCE2|6?;82c`MX53rqX-lE>)3|BG6qS8jUb`S~nco+>58`BDypnY=CD^x?h*+lVPM{=nHAZEFA#x{kn0t zhH9yykM_qAobE^PS)|uJ{S+sc`ge8iD<&S9xuhQUU!3pQq+FS7r@MJG>t;t~zTcVq z;bgLPF)v(sarHHx=<~k0Y*g(_JL{gh7~(R4dHS5*M<|3ZLuW+s^h$eZ@ORd=Ln)O0 zY(cAeaxRvDbjd{FmRo~&`kJ1yUrg5+#AvuSpAXGj?SP`y?q7q7QxXXp{*D)LmHLVl zGAb%ogu))Y=1%s#!n*KQoAf(ODPIon7yEq(-1gvA(xLJhU34h)t6ra92@Ek)1 zw(y+!_2CMy614$)f)Ef5GO7<~t z^e5}@tFPDJhLjkfBN7z9ngXenA-T3zVP+cP9@YU~7*>dUg=Y$YcgM9%*c|DBJ=NZM zd?G$ff3WiqWPr#!9#6AE~GxvW!0^1k{yJx~ro+o%zPm zUPZjzyN%JEDJhmB$x_mLBDuV*SVA);L?u*ug6mT&bJ0;hk3r=Z(&xE2by!cITyOIJ zQC$T&=e@q-O!mhDUBjc#SH#J(49bN7s8oz9%0y}MMN;&9eszK9ZGWzLU3s`y!ppy7 zCv=$WbX#2>>vmh7-V9B_RrP5IA0Fa%x{Nds+I1>}BxN8BCehYRG|ziqn8o{=&Em)O zo}FtLE~1tv18*CZ1;zSCg;*TsJT}>vJ#eTm>Y1HeX;vBr(l2F0!U2%a6t4Dg*$yUh zMAeF9FKZeY=okTYAFY+_$hl%!s7;*6} zFR;UOR1knls{U_QbX!cB^rAZNTLf^~YCsIt_wx+JkJXo6=;z)Prfd^^?OWg<7y&)k za&78V5Tlooa+BB-ztjUkTiO^43yZEjMz|I0!B@kF=R$Y6bE`DF_$@v&nX=>UZExD& z6KlLg*`Ap`!g$7#{g>a-*cUR6BLAfHR10yyaKiq=)A!+8oC1tGq{X+U8*vNVgqd;x z%^+l#Z%6-uX+)=0r83>NxRzW{V>DVND+-XSY z6*Z%0ciR0YC*pZro@3}{hg$Mb!&_Ldze>-6YwjVF1Gsb+{*U>h7Ydu#tZ1dNnQgk) z=cFB-g+q#gD*BkHt9ryu7Z*7Y45m)5%E~kddp;$6(L0a$U~!E#-ujCRb_>!Mbk5#} z%)J760ylx6Na4@zCu>Q;2Ji+kj{+>q4Gq!6$=~Wi-|xYH zOcO4650)`5!9v{{t{rGw(69lv{Ra*^!&##~qp@8bBX z$!>t&zZ?(X^@*D$I@i8~im(B;PR-b(g}|=Y)#Jo@MZ+drzdfE&qi1T!Ht(AUY?H=M-+ma%FO{ABu8#9$cr?Od=QF4&c{ zP`usP`9=8Q8Ah6`!IxoTCICy`*=T>~>qjPmTyba0FCYHWW$mI7M>ozU9&0$K2b}l7 zwEmOSdW}9${H`8qMdk%>6!5lu)u9#`J}Z zD`xv<(@mm+o|F{S7VAyw1s(;aSld~(x1V?kuwVaxQYnAN4HNviLOTI>#U^8DO<`t99rFuPQkYObM!PPoJn6s4Q@e4T2zMJUYbYG&3lY@B!2lv zcxR~xp;^ngCAaI{_Jcu(t41^z??(zrt4-heKL&7rU)Dnm1A{lk$X0~<1F@CE6>cNj zeAelB&zc|K$f0(|l-^9lO1a75uTzntUm0gmdAvr%)dM7=h_jeTcg__#7wia)q1J~k z?_Vf`d#oIY#8jbqj7gVfMmzF5(y=NaiYsaus^9~OPv8B8Igy%?RSt1HnSa*oRu40C zA&pNYcRH zMXYl-pll4HSk(_T!O*t^7u_5(#_0HaGUutpT%O~Fw=qJ2kIQTLfO;K;)Vu@Ki65R; zDGS5DBi-TK&2)L>+U zv5Q&|7sV!i8B{5R(WD31@^85jRs};ggq04R6^*lfl zcq9`ps`lQ07hE>43<8SbG6$=+lEzFr6OG^zRj(b+k%Hfn7GFH1rOpUGf+YBI?lzmU z)w3(Y^|GePsXfXe1sBl^qGye0qcs4;=tCkTEP~5zg>jd^T1%T(y2fWK!u3IhSYsll zrr=VRHE`%K2E|HoFX_Mrx~Vz*(2a;+N1?CTjDIEKf%W&BUxZ0OL}}q!EX}r;@Yh$O z^5_&}I`l-u?@faLsVLXq^wN(4>m=d}cSS9)+D625-NRGEhKk%|IZ?Vf_w+Ask8WRY zd-(X~z9CY1i+**h(`b3#*M;#u=M}87LrYQXY%+@9-N2Ev_pvLYfuaG6Y`UA7kx@ya zWT5(>qOeN#P`)}5^p-S;?sEh+cyzYl$3vkBR0MG!A zJJdO*B{g*_;m7rq_!y5PQ6WXjSB^``$-FXr^65VUb@=32A9WK&eG{BP>#yMRT6%Ip>aXe`DOc z|JY;ea4WFpeBbB!pii(Otx~A^EkTG=n!-2uU(bCd( zCfWBoV;Te!fTv5$Zdp8FDY3;oc<=T8FAAaLlLsG?ZG-{CPLiUHo|PJGyRk%v+@u!U)3h0c!NV-p};PQ!26$ zScf~}CuE)5Qj`M{L4zdXz)b0tG^P(6qCJ2t1m_+$6y63l7;!~D7ePK-X>#!wh0}m% zbuq8Nf8SAsA~G>h?W26!r_Ww~;svkzB~(|jx!RaxugaS|i1Vv2YKEzEp)WgpN4QO+ zo7#08j2QHwGGJZk&DLTA0JA+mGBng1VB3bG>%K(fWnni!s`&`^`i8?3s7il+a0A&vKr!@M%01du{W9)tY=@sr}8E3%T|0JCB1?@hLFMXKaKTNaA) z61<~u7cn?`n^lTBE)+(Jl$k|(nVBxZ_klDt>J#ruYKU_tr0T2I^2QMFXr#64nPg<2 zqaIY`ak>m!MT+K^Y|RM22Levy$rh1+)QD1}6L;l9A#wu+)^J23i+9F4%uEx|YosX-RS!$rUFfPz zRnG%p6Cs(WbEs5>@Zhr%$kZz^v`y~<8(|3IzP`5)V*qj$fmZZ9ssbe7c_BvdcOXH2 z19q@?(*n>(Y8v%0y$hVbZJm-3PD3~hwz&JBZ$0I{8V>=LaI1)#8VG-WGh)dW2V%IW zV|r+JM`(bf5*SgCw-AXwxq5**whbIz2!cY_jC_Tl!*rW~$pVpzjPOG@YTK_{kQsT}r zS}Iiph6)stzg#*@M!frK+y=Nnf?-$%?Kv;8=WqhNjF=p4)R4%p-SM|Z)qsJcVlJw| z+lEK+qK66gBUC+f#07y;vEPCTS;CRt<5Wz|M)OsHS|sKWX=~OWEsY_;)bn)X!KVd3 zTLy)?lpVMNE+66$q64CA)L+4G+mhyf{p#5Dw6c~T7;@MfC(sb>4%vyTCS#KO`8(s^ z5+fusbN;%jCEQeKA;D$X_V&b357Lahc?OsTWKr91wNAnP+Y?ft?3AnM_@jmcKqo&E2vYNN1`DWBa&oMW&+7=un#@0$Elgk_hP zDk;M*h*e($rv)R3m@T>vv|o&`{)8EMgg+y1JQy}Ao% z4}!Ktp*jk*=~vR~V7(v|M6h+Fgq3S&7m>rmH1`AUf4noQIFSEabROTTT6zBI2m+dV z=tY=^>LK+a^72w&Hr2meQTr9zA-ov!9%8Kk8J1uzdG_6l^7P)24MSV*31Xbi(yZD} zT>g&iVgv_+G=ZSVz|qnf?&TKW$1qVs(4?h1KZ6!@k06ECm2XywnY|L0?@J{Y!;pa- znhX@f5~TGDrA~}608BSuwJQm`2cf_}km%!n`Wbg^A&z8w;yerngZT1L_1O*{aJYz& zo%em&s;HMKGe}fRwb}xck=7q^Fo||F#6W0}R?MeQZzXOgcRlRafFjdN5DVTC^GPO{ zBdJnuL&l(K{X6U5uv344vy=)@Dgl894nb~IUCRCz*_HTR$_|ng%`$FG$EXh?3(%JA0RMc5dK9_d(&6L%9p z05s7(s?FSnTYm2@L#isA;Do3#U=|T}InbdNvYJ5)F!}`MMc%AUfcai6WoObwW&{7c z2M>o$&;;P?9c#e#GJ~ttCjoFg2tv?(vkF~NOo&_t5>_rq)i<*KOG}1Bs=2__QgHKw z=iW5Ys1cI!iR8<|Gyxf(S&({AGp*@&;H(10Jx|Uv57BG?>9~FQN7?#mWFyp2{s-A{kJ4~JY&rUnNwB$r zGlo?_+TS0u1xu1Xbp`TR0aK9I2O0};01<6sJAqC82+cY{fPE8IC!ygd9b%dRUSjt;UT#i~4Y@oTAh9(uN!6kZxN6Er*92d*4be}; zz67`KYkCz~AJ{^#+ELSMC0~ob4v)6#)2B2WcxCyAsvcL?(xWMZK85?|MWchFazn3n zd{qW)8bYqE8m^Q=2-|g-r0?B_$3*~kllV=MrLN^CJLE)1aSDDQ?u{+(WRAm(4@$i! z9tQGgcq{aBCM_u`353E$TQJEUyN9yZg*dNwyC|{6pc52sfG)f$vLcBo?wK1+Km5YF z)Dll>lHwPsFE z`~aC=e z1deY%#GEEI^@*I0@0ZVc5Cs)7zl4%I1Ww76d7P;l&wZx`X68rgQ*eJY!ewJ&8v~eN zOiavI6*zAYhc#mieADm00_;Ky19f%(JBVdtK_-(Y5N3diVW1J|gai9t&1WQy8iWZ| zXV<-@Rw9ERA#E&$KxZ|<1MzaU03@j(N2cF~lN6b#=Si@C{v>&VKI|6s*uBIzgL{n# zen!G(wNYx|vy|!A4)One;c44%e<+wta?) zrTPD>1&EreGPz1EexE224IabQ2%fnrZbQt7-;^H*gw!;gOZ ze-tBjJs2hAZbx)_Tn5&=%KI@n>zzepJduLQltpF_OKm8V^Q$w>vVT?SEQl!;;B~~^ z_d9EuL240h^b8GyK?>M&?=KP`b59WNL19Go!p7SN0?oFW(wF0OKy-+B zd6~xnBprC#lde?G*_g5Res~cWz3~rU%AuMD*=Hzu;d?|%VB!|?tS&AT^M=n!=j_}0 zB`*$jze+aoJ~c!}zabOye*v%GKsmwYldnVI{-x5aCOaW#o3zZ^T|3=mZ_Iv|tzebw z_3AyNNZ3DFmSG@&KRA9P11q^ygx;6s7&(O&??S0x~oFvCD?Yrh&6xBtFE zKw(rQGdAuQlNpA8Iomc1!m>!t6$d|gtnlGknG{H!>Y?sm+3~cc&9ada2a&c=7x)7-R3z3 z1@e&IxhjGLGH^x~g&Bdw=|0{jhz;_BfL&|^lsk~qzJq^o3cic- zQvkU57>;GfiO{JvZ0L$29C2zj_$b?^j%MU zRDAPlwK_NIUu)9)r}42z7>p?oD4&MR;X-c^Upi6HKz3H3Xo*bz*Dc3Me#S25-99 z-o@1QPh~$y@;1XhnBIc-e0nPPORm+OI|>S+ICifjLI)vPb_f!Q@+pled@}(ef##`MM1z15 zKU^tB0_%5(zW<0TMj_qZ7m%p;CR*t+|KX5Y<~%~PZ3g>O^=^aobySCSJxmf{LGed6 zeyRHVbvpx(UyUFI+Vm3XQQhCn>MXs!fx4x28mh-0eLduBx)b@fV-3%{QFR<&^WdBd z+gat7vx(-QAzK(NFIfDu0+St5unNbmAnIJRIrk-AjWO_6KJJ24xig3j=hf&W#GwNe zs6VRU&~03~o7qF_J;nXw8a?Soc=o1cc5& zUXf;S>e>G|&-P_~8QJ4t-h_Fc*%jt@C?}JDB>5lsSr8F?6qKS1r9S!MeoIJ@j*k2R zirzogUF%dzE%kaHk*D}Juv6H=m4Ipv$zPZvJbRW_fH6DgQ#&9u?`nc*YRV?J0wotNeKOt>$0g4N=A^vLyy5Wh0et7eomZeXi5Qlj@f9oNyZlw}Nl*3Vvb9tt4 z%syZVwSPzXopG@eRsZ`8eun~i()Z`SjGO#Qt@SyCrrMqlc&%HnVbQ;+2&b6q_5=$+ zMi}R$#V`&03mm&0&27M;TG7!Q<=wXU_3AgXmxx;B0PlLM#|sJqdoKFI+b~Zyn(9Pa z&T&)aWmpSh@T8`?c#VH;{YNb40V&Iu9D6xwa?aM{(vKG$_JIppEtgqeM?*)}MHqc5 z9sVZ0Ja;<(c2V8h$EzP7^|t-)m3(g|@m|Qb6E$gIvIAvqsQ?Jq&Vh3^w_n|;>P_C+ zegOaU5d=<`D9)AGm9c9hr$J^jjsLK7C+@h-fwUmqHc*CTcNEC2YoQ85hWy~8dIG2y z3jgj1tO3)oq7hLr?;v?)zdnL7rYT|qZwkh0-q2B4wCLz4P>5wj^!hr(-hy;3LCQlu zRPyrz*fQU!jhpPR)J}cl%vq*Q2e|SPq-}n<0`cl0FyaWP4t&)&3EqI!@dJ1Zz8F3L zQ3S(kAl&@&Jhfmk8c_;0lC{}4Z-*CKv=k$(rY5!_gJgkZyn(N75b-+~z2XJ36e$Km zt%I{0@vX7Sv`cANj7I(c47SZS@elCu%KzSe_AW+aus!Sb%(=v#9#s!QmFo*RDNl`z z)?QqrCs*O3iW2=m=kiJ4q0eQNfjF(xNeom)9+FF!)bJd~p7?*6@hx^T4x5;J+@3E>(941ZQGmmH0 zJdBBp=+FVptLx2ZW5zTBS5 z=ZC#lw=HH(Q@9Ca{mrllk}&_`+q0neOMOkhM|+Lgi_+Q%Nb+mYubGt^j873UzX*CH zf@fv|)|-ER4*@QTG&rK}kB=ikCEDTVFU-{eqE8ZhWkgcY3T=N#10n7*dfTK zSJrTcmt7v*Sf*V-1;pJ;H&F4i;L!=ttEAy#%fhc!BcDE{YWRuOskn>dkfqu?Od41p z;E%xRr6qKFju(GGb#Z@7*?L44aPGXUeBm0+YMRx4nz?0$KJIGX%*--<;R%01!664u z?5SYHM5<%pfBz9GCx{JOb$}YH3F$ojNBZ0EdO_uKGOQwd07l@QvEKxmEz?!i);4d) z#l*w`{W^d4oq|K>x}90C@GY2szp6o=3_|71?jtsQFuLcPK8DZ1_V7}1xpt=~$V1j) z(V=be06oN`q8A?`XRm@`A>IzTsF4P>ZAv6B7vxQWNTh-rtLzX2nc4U`_U^C%UbmnJ z$7h+^dey`GQ>BhZQ)tQ$@3aiU59vlrUi_xay|e}H!Dk@0+;xYC2T>qf#cqwAuW;%T z1br7kz*l*X06dE$U`|pAVh|m$cnQ&K1Npi6mBh%YgWX54EnqQ6TsHxEzB+CNc&!CM z?={O^|Ho|77plRgn_TcjOj}CPGgs_JqTSNx8#8Ntuja3LuNyf1jSOfbhhSnYIj#-3`0`4Q6uqRKmDTH{Z^Zn1L&*+?EVrL*(#4#vqCM3Ri^Ja(UwCfRqcKZUNWm;93!OMi+;rFhj|15rN&1m8-f zM>f~Tx(&OUf_yyc;y&r8;DB1~IzYmuK@IHSTng%5LKMQ;0NcYx-yn#-Kfw z1G-f~t7s&uXXG;R8Y{Ve{QY#3efuSNW;6Lxq6W4IwCU(~7e#?j52k9Vr@&rltHbMX zf5z04?`=L_zB}P&$Mw_&xXIaXEsVSd#LtQ<{p}^wQgdzlTyh);&f%N3V!;dRAXG;@ zx;yAX8uQI)UwG1w@Wj#c1EBK+S;3_u2V;^Et{HKzwYTfIb0HD=t z;M_t0XP@6h^!6x(X)Ig=9|$0PRHIzn+1CpB1oS?mVJ~L z(6`4)}HS9p4R56I6hMfBY57FqC^AC%0>UH>qkW@e@*NGC3|@BhN~ zb6GM7A4OLReb4(NqXQW89e=(#;{GbaKVtS&ru6o<%XjDbKYxTY+;@u^S+?tPrQ0TR zd7H3*+<>RbS8W9Dmy}t^9_e%Z#{itm zw=gB>$gmtB@;WbSX{%KR(j`?3s0aVB>;%;IX{@3!IEFxYhO&dOE=6=!HlpS`BByPcqK1o zpgJCoSyk%+l?~F!+tSv745F7n=uzs#3a;SufsnZtbA4txLS0DNw=(Ag=s;J`aas+8 zHkior?Ehky2v4aV3K1dFcJsY|pR<%#UFn#3_3B?w&&y+MxAim>`B+Z2>o`(AtmWKn zQ2XoOdVTN>dus~Ni}$7XV$MBDbh>RK`OOD3h`gvX(G%%PpA9|FR1}1~wVVd56+Z>6{LHQ7$0Yp_;6rSKmMxp*47}(I`Tvjdw92_fH+t|ne z09$O=KR9@`CkIjz76$UJdewi(2WGa@n2tO3%GCnQ2_b&xcc!lVf`am?VW0$U$!!Mn z8C-Xk=GqTIq2U(1S*S_3KcL z+gqaUoGtl54MR3W;X&s5|E2ISA&l&9jbb@&ueQ|f$B6BH()N4B@eYHz!-aWIOUlEB z$7i0IUG!DMzT-W|O0@dTpn6WG3ivdeBO!!3G+f0bcISjxAG_o7RL9e-ZUGIEvE9lZ z`T9CcoB5{$llO8lhgmT^*A{as+pzPc+L2F3rEkUj5Yx%l?mpB0rlR6z+2uL(_lf;kl{P&N zZX{g8itNxLyz6A*iz17ic1u9MZ_Irw#SaF9^r%idCsLMpdESPDTEOGVa%#S&U)0Ku zFzww#dt|tA;S9z@EP%bOTB{YkRkIB>Iwc5T_VyE(Ncj#fO?;zLCYR&&L+ixD$sOEP zre`ysC;F7jel&e}-Rtz9Lzvt3#ALwzVG>e(qnEA!P+G&5I*GQZ;Lfu;&i9)Ukwsd- z+PIq-FMp(evStypugV%e;Qxm*cQ;6L=15>aLJ*xuFKN?@RK7x_Bxo{WN@D?fXEz$; z+{v)xAYo`I#DpvaogTIYbV%k#4N_894cum@L58_NO8=fRXdRm%c#8xjwEVT$9#s8T z=HPFk0;clze0wwj3Xy|-4Kz0}pnC)4y4#?(g5F1b+})GBbBF^9%WWmU&Y(VStDt7l+7q2Dn=5UhP94!t)!H=cNsi0fIg1dWcw${^zXn z`a0nWXSsRv|HsoWhrJ1ML9v^OqFRm%aPd*DoE63a+ntFg6PQ@uxvT)knj85Fy1W82PMk}fWNOlZ# za^C8Nk7L18_D0(Qb``=+9VtWY3@z45WkcW)JxANSe zV3hGdoA0AHs^sCxZXajY+Wa(z(WP>I3ZgUI5) z|28GwjdAHT8tI|`mM}TalsbkiL%BMHBKjk#E>h}dt1!cSD^eVL`<*e%1o5v4ovmdh z=;AJEK3sZ>&Xm7)B*7Mc8f4qW&v7Z>8k7@4mshmH4MexLstC@Psn5Gt2RUJg9Jv#! z@FG{3lrPb`M1_=;RMplJ{kBq#O0@%PVw9yDym>;yiP?SC9(phBfSpreJ|Js}R?51g z4roFMSEc}zMhI08qh|n2U{{W<{`?9>x)X(M0StI2?1_|tz}y~E+$qvFQT1RfHU|Hi z=zs(?2vp`df$$ay!d`Vj`oHrvl@`AT=8sS7Y44|4OT^_HT`_8EuMSwQLs;7i? zg`jQhr3Yiu7kK{PwRW~w3-2JNqq7gbIPLHzNKs_(_CEuT*RbP}6>IE8k&m_(Wd!y# zhL3%`ik}|klhba=+VTbD*b8@*kV3rw78X_<_Y->jp;C=}9}`vwL?XjPN+E7YDPP`5mn z5srL#4faP3u7S5H=SXQ7Uc^#+q?>#kT$lBtZq6_K5%qJPnleBAGj$l|&D|7bk+YH@ zwyFmus*2xkmo$0luS39_z2WTmSFchkEG|BN&j@kpf(h2Pun`9MD+o94#jJz~qM?X| z5M>YfQNp+&xzvFP*#F_;Cvr*|X7u~9#Jj<}s`qi*G9wr8;v^@L|Mni!h=L4=@cbIU z9SvhH+eBw;0DK65d?)Yh+*p#+?N zzbM?`4uB{ZFUXjaKT@<5<~)*9sOpqVKUxs^lS=U5&`nFI#EcH&n{4BafAjm@%caQl z5QOg{zp0M@x||k!4NCy5?<s-mrn*Atpypj^o?h8^_{F~Ph6qfc@833?bGi+R!O@2+k*BPh z9|n8OyF8{nGJkP&R31<>L|MFuMFbOrh=Hw>k)F3Q|KOLvQxoBQXCv95MUU*|gj%<< z=sT7tkEb^e4EISY-$X`5(N$W*Vyb^_Z85wp-FQfKy0aExLB{3`@m za!~dlkeP+gPj<2vjdzBITj3jG&LJeFdvQ46g-3v>FZJIb1rM}DoO>0paI`y=@hSB2D2merAr2hTn11nNdo*mLepT;@HgX~VR;)9jir6qMo;;i?2Nu? zu&=XNFc_R22<$abD3Wo0L~aV6#(5Tv>(y$Ukq;ouC$K6-=SzcL@-(>Xt+gPH21qg6 z+gf~F7uj7eSy@;VzMau}L!H9(kJ%=Jd?@0xTi+{*k9EvH#eQ0I#_#A%`e8vWw=DX& zb#^vmVJndOxy+-Bbp;PMt0h!u?D{u^fEB*$viU-*;{?P+kaW3v3Y2}sb&vO7UU zRkczCRVaoVu>6}jm0;VWeY;cTO}diqgX?DspjE_zjfX<&MjQKJoeY3mem1G#Y1M$R zO!VUFkN>L$fH&EIBNnh}qLa@3bkD)TK~a-vbZcY7B!jc!ef0_9L~*(x7Pz@1-T`5a zhtsS91ugOA`(QMY3)X!FmX}xsp=>- zHZ61SAM|t zz+BO6^6wTBSs4A+%`u>i*CpnHH>i)bi&$1Xxzj}-*-H?f_-y_MVMV}&f<>c2tiuv( zx;I{;UK-IXNTjLM7ol2{Rr!k-TY@vbl!LBHu_ce`vtd@Jd35qMIgirnC}NFlsQ2pf z8^-SM+jbeB^~4A`q?$+l!0cKx*JB5__P_W|JN=fPXH6rcB;au!>Zu#{r@PUO)xB|M zGS|$eG)MwJ>D#w%0gbuUMN#}17k4`6&8GS78f(_Rw|qJ_euHL<;V^|IB_}tKjUe*? zs78HY;e|Y8u%f~<-N~|o{D{k}pqDqQ0rJe=&VLRuGk)xqcacj5#eUgvGTqGQio3dM zX0UvGEWBL7;Qx&e0^Qauh|11VyddLK%IFj)r_Z@Oo%)zMGI=eE$UJ@v#yyl52osYb ztmX|nWXOEaiIXQJ_nuPEj@DyrFR_Ulu+~)}7Ev@%dwfwuB$%TxOH~_Qhzh~GTPgu^ zsbncXFlTc2-7cTSIx{4>cyvei1SSsf3^0?7X8gL|F_Ycz(bo=G(fCUu3G(XHrqoHhH5ZqSW+-FYmTcHZ{k z^-L+Tgi65^vbVg_2Is9gNxCM-zo;ntn3N<74+=q8XNU zY;$wcrHX{h@bTIwnp1liLN~01gvGj#k9Dil+DK_`|9j|$zcSw$e}P%b^_%TczQ}>H z4|~BFd3BB%N+aRRl$cvKwyj zj@p-axW6OD%7Ah*wKwVTQn+rVnm=%~@2$`MkD-jG$TA{U zEUpOby4yQ1AIpE?3b27;Jb_~u^D_%=lW6BvhT`P-N+SZyGS4giLEpP~uMoavJk$i_ z@gu#l;O{G+B7V6bCK4B^_YqM^d&x%-`t<%HXuJQ{(aHr*~cFjmaFuHQWGQ9Cs<0) zx|f`hysj6T(WMfs5`^N4P(F;LH${7Ne6*Bn(z~d;7hiVaoX{K~q!ssvBo7v@r)3h& zX?P{w7=>(hZml-}`9C@z4*<&)Y}}3iw6?$Q6#t~AcyauN-%R&@F~tZL;il~(Z~v~H zvJ)nwPQhMh-bNRmdKr@rK^QcT<|$ajL}{61?eA<&<*sw#`>bMs$I0R{ApRiNssJ}T zz(Txwk-nYW;)w-d8XNhwqq0K0SIFLr-@fUZlMV zI5ERrw1u*k9 zc_5`JXak-xnFH7E~AR!qeGe?I?~XS~O^8@)LgDf#udzK(|HOg+bB*7E0+v-`q9$4Sx41aN9DX)*+qcaozUHFcpxz(W}-l zfg-Z~VhO5oN{A+>;5M3pSPmkJl^R%% z(zZm?*YWHZARlev0E9*#AV==g2~5O*iepJyx!*#1_BSXA-Uen$N=iqAfhb`-LNx#8 z5U3CCcZUDIfruOdVT4wOg`C+WefwaBlou7J)^8F9=RgqipIdL4<-a5aatyH@!4vjN z&pe5F`~CEVy^i88h)sVW9@OxNISF33zcnRx=I|!Ffq_Cr{W5-jfHO1)g*`${M~F!h zvPK8K3wp*XvzE_<9^jtE9;p<8mT8g8?`&`ArpxBi7#DRgrr}hNEQ;}`m+^G}t|lrF zosogVF+{3?^<)?n@2L zZ^?oJD!QDX?EKa9bQ=06oJc{x5ZlI}`D zSH0mxBgDq{sd0DHzOA&0oJ?0&H)fU>#$bf2IEceL`;jQ#u}4v8E<$S^5LeVWvINl6 z-}I^%)-WeQfn;>*{Vk5MMC%)eVuFHixnhDh>Go|vQP?>~^6cFy(5*5p)vtSmCET&< z1i>P;0ID%m1bqCcZe(QVFf@3f>l;WX;+RR50ysMEE8VkP*I16*sv=gg8?P*F2x$qV z^+g)C_pymY6cKGbrDJa`z;UlkALiAO!O1@BbD5cxsEd&^S5NgjLGM(8V!F&8j638I415NK zxCDWrE-TKm6aemI`7h_?xai9gArb|3LzWrkU$Rry=KmS&X^(Lgrgzlvqe z#=GRvvgT0Mf-$q2SBRIDyc(`>BQEHH%AptLXXD+1T-%xC56550E_R7AIxE0p6feeL z#~0MTJk-yO$ME{JaLt;QFHTOz1D?UE7>UtoE&wZzJn%Q% zTIHZaLmFWZ{$sxy{FDLP-nU`Ls7X^(zl%h`0Os2{odX{?l0NHI|9u!zlQuvJj*kL? zh1t?iK$OnFRcl+#;Lv{epY;(4cY|2I+2pMNB2$qY5CTGLsDBv%emK3%~Qr%J# zIdrxIKmG{S%6H>G^z+Hdk)2BPr>4yyo~Dl#x#dW%EpuIG;rP$HrK+q4)k^|46V?(_uLdlolF8d3bo zDpyPCOde~Fj0gm&C?tiJmC==cd~QI;klm!ENFt7BrD+*)i8Ecrn!>inXPWuVl`)|> z!e(+&+>Gmh^5k}7>|o^Q(>0~&ztJ@~hbNetfttTNM_Ws4YfQR>*UDNhoB>y^>!T>e z7jMzqsZ9Og-|vaT8RTUEqiGG62Fp4Sy=p_=pjr#F$eQHgFlOn3(cXg?j0eoUOSwkJ zIr()bu8Kj%r$Hk}NBgWI6VIe>o^{=<&CzL0sBi6>w`QPasICez|! zBleQp!IjgA^Z*@-%3=FhB;ZW1x)-Ybw{#dnj^O3vDr>rYWTk~<5PI7A1nVkpbExRps z@=L22H+>T(w>5{ADzTa_c};N#7OTrYv3m+Kf%QL^Jv^GiI1`$tun{35GOn?DtvgHY zcQ@x!H?GO0ZgG>U<>9s~-6PuOTH55XEL;r*zK@hdcekLHv?rz+oOQm?yB%9*4jAaj zHxvIfE1iL%%liw-xCHE$1A4pF?P%6*Zt#7kqN`n1NNrP(VuBS^atBAG3{FlaT8Y_= zmZ?ad?qj%GcA*i8y(FBgPkPxhZ!MEhCa> zMx~F}&3Yg{u7x2YBI;#7eLS%l^-O1}MtpOZcb*K(PvQPCcufyunA>kfcjZb}++{ItkfN0l-B zkx1)G7)guJcXMv>kJJmvvy4l2)`7in0aTO^Io1;o$FSSr6-zgu0x|7(Bo|1r2V~fs z(NRbtDM-mbM^%E2VA&C(4t0Bm&>|gRh+E@RlNbobnZ6cpbF{EvN6U|7+`E{R*evEJ zOnygQ+>Ao1Tk6fC%^81bY3aqd#ib?9JY6L` z*OlILOCE4Or5o3jm+Ju~p@QeOGAQ&P5jmr>!ywCE+u(SIwa6Yps$|K*np}%N<~9=@ zU5?{BXL%{d{H8LP?sSY!Hb37~M7Taq5$F)*D=ja#28B@=9eFs+xxGraYi=l30?+RR z!b5AQKnP2N$)@ODX(ML*OWW1M{>;xM<>eq&RYEw28;cO6-Vbuq&+|(8kIPjN-74re zm=#^;qs=uxy2;D?gIOvn-&f6J^Ix4n&sdu?D)8`8f-BuwU-!Bug4zIo<~8LXvAi$G zD*DblL&p_0y_*!HgTw66d%mHHDC<&#^erqnKscB`)3pfW2QIwZXQp*hrmLP9Eo(NZ4-b{J5&zD~@@Vst+a^A^Y%sC`jkNidr z@4%oi`K!DZ2miNx@>i*<(Hl5h_~0DZ<*Qa0CS2TRmF)Jz9!UgoE#>cp6$1G2u%$LH zFOM=pKDm1+|K#MPHR6sZ8N48gghWK8P~@N(RNCo@tC~~{gM~Y-wpIc?$7K}Sx1by& z>FGfn92*m(xltrED0XGraZllV$lBQ0nApm}`MX!bFE8(y`v~1mnf?74I|?pc#G9ko z1Ht0_@ZKr*z$bip+;ut675?&g4;%>8R5;dZYHAQh!eb-ANFfY3vV3G#MF&ex%B+4K z!cKTI$lfp+F|e~MFm&IL9fxTtEG_YP#eAgBvo61KiBmBs_Ozi^(88%Gg-*xhf+t$b=|c&(RSmX?-j+V2bA3OU;D7_Fh|x8rpVNAV$dM>k0PEZ$>;>>&I!>sU-*6ZdsLYtPNpnN#v=Y zp0~BNjV#%zX36nP^!E0CxDmh56m0m6Y5%u8i`>J{7hEo~U?_iO(*Eh6LPv=0hJ^h6 z?~3f!kCz@Rvy*r6XHqd5aU4eH>x<=lZbwp0UgJDy!PMHp86VrZy1Tpk@S|xQug71v zjlz=?52zJV(KI<|g4ZWP?l+rS0_?7DbmY`#Cih)JJ>Q;AX!6@i1{a4Exs?uu_L$c^ zKL908K150@SOu=ELgyZh@hB)*IEir(ucHGtBMXr-_*?qyJho!D4aLR9|GiKG4PbIk z0rZsxoj>X3{yTRF`^^@ZPfaDIfRo+wx^%QFB>bh#y*r}&Ot%;S%7Box=3ffnNIMAL zaeazLqa&#`nMgN7ldcGFm@H$z8})vBqWYwK|2@UTBTvsdFkWgG4E7HX>nm;rI~ZG7 zWN9Ad>gHNPN(DtI5r$Lw_fS764&j5=(07pQArgp0MP9U3F-R?@+mEql+QSLOM)#ox!MRzsg zGNDCOF}0`x&|@NjLi^_>5B%z*1M$kY9P zD&tyZuH-`KmB<$AL^-Mj-)~P(3TOpYG-o~N&pOo|j;~pD#tAPv*I>ohx>aB=aFHvw~GZs&l0X!PQE|L%q*O{oD-5Iu}(3jsz)DUjoMLs0}w zu#QK28zq5%L3EG-**5B7jUz@owpJ_5 z5g1XOB0Cn}Yx!Hh1|sNWXCOj1+o4lfUNg03xE5UnzvRfi>tqa}%p7etkepWu6#7j? zC|DSPla^|AxL7`HJ5%yl5gZfBZOwT`brN};*ZA{&9|a|+rd}U!Nz>9|nyp@6e66{o z|Dh~f`OLx<-Jr3id(?&>`g^m)-v<*4+}gFMp3HjI-fLl!V0W~V?eK~U$NYmy`>5e2 z{vyP+KMVt(b_yVAPkOS`^-eO^{x~FvlM20TJ*i@R(Tv+7;#YeXP`3fs=M-sC0LNLz zy+gNyIunmdBBv7u6VbHk`@;}&2*>jVu7N259zD*(AIrq=oV|8ech+JuAG%@{sxLWQ z+QM~|H(;~(u|K7?k5*cGaSmc7&L7J&Z_DMR>iyY?5LFWv17Cots-BqgfeSV10YJh| zP`JQHWDiQF7%+i(?Bp7yCte>~Sy}n|#OT}V2E%os5B{IwCw80XHWGIXw;5Ll+Y$We zQ$aJ3@t+6a?lHXPss>wcKvcKrZ4Z;AL9M&XL-Vm>^AI>Z6X*_WYip_5jb1g;EdBjE z0KUbW{E+`xY@R9XO+Y|U34GBubcJe#l|Wlb34I6HuDC{dOLL(8RSDBZ1drcq5WV0o(4Vr)WOf88=Un-Wb|2mhVR{ij4qTKkyHy<#bML zZs>G?OS6SKo}$5(JFg5-2Cr^dixfzPVfuIKh1b5eiAJN16#IkqPitKsGae3{)=6I7my*)13mL3=8m6tE zD0(u0QCX|_K(_CE4Dl6~`Hm!6GNX>5UCS*l*1BE2?sH=ipvytH(P3_ZUxGQsi1zD- z%jpL9<;6d6HdaD>`wd0Cr%sWb;1Y_UtOWxTEVSHb2iBZhL>*z0vx1bPFgnXg&@vw0 zIA_pQu2i(RtATz z>V{2W&wT<-!>rcN(p#SL1S{m{nU1E2_)VHg6oZJ46Hm4?!{~y%F{uIjZza|`nF^0Y zwo787_a`&xW8otF{mIR`@llni0 z{N&nVNxwY>MP43xJEwi$-?!eV9Ax?R`RB%Dhc)3IftpQu2&hcYh6fh2VC- zo@JVQ`SPXebuj-9)^U=noD_2M6JKm1K;ng+z`v1+LEM^e)+XXzArJ3(w$hl;ZjqJ8TEkqGAA#Ohi974b=yK5jG6&?=C4BSq5f*n%pxBrUpK%S5x(P<6utDcCZDT!=khMpX*E-aLoauaBZ>geXcby z0FKk(>pjo+q!!gVA+O z$3nNgXbw3+Jce6axUv4m&Dc0;b85|@3mt6p_;D;5lf)B!ld-jaWKgcWG2wk%=faSy zc*HpgSoY>JX5Ma@Bn(P_KvT9bPf8@>)(EIwnsr}L1x6fCuQ4Sqx3rh@id>yJbiFGj zdFvY!+NHRmq2XbNFYsN~aQkJO2t;8oz#t5(TvVEzKp&*I#Qd$3JlP1@0X`#he+lG3 zZ-~_)dMFtn=X8=_KwOqVZlcoEft0_gB!~$I?dBWS7$CuVX>x8A@N%Q~8kwT{&Q*fr zFnr_}LkEa z1s8!Uj&xk|n2A?0{DTA5Q)RFLcuzx@-2klN8fx2o2W*aMDdDf$r5zj`ME(NB+;~q> zgK<8I;NK|hm605}8MARZ0`aaOKu<@08cPcc3aJ+)IH$zFyTJ8#@i6O^c2Q?;)4{K@ zy0;P!SGK~Q%kWN!GOc2`R1e>q1@g(v<+Z2o9!J#a1r{D!l%3{dq603PsksE884pDb18^O=lfirk z3Aksw#j&hpoM)fR!0U+a)Gy)vk$A z-6$LFJ%dceu7z9aYjBW-&u^gNwe9^t#pXEfG?+eEGqMdApjOB!bW}&k{jb$Oj(Brx zt9Gc%``52mpRt^6QMhQC&rGG2hD;BXK|5YA0jI!L1NR4bW%YdH1Lnjj`+)c0F726h zqy6{y_tURz`j-dCgyDafR+bwI5sf*Kdhkf87*#^x4<%-wfXm)hlWgzLf#dnME za($*RmoETETFQaR_2>S-rVJ0ZYzD3vpK`2U>y{eBkM|35wYV97WxfEmCT{^R=LNPG z%yaIkF+8Zhf8oM}S6_Dl4+;)h+1wDEF`MDRf3e))nH;bo!&p^t?@6SAK^DYlU=o0) zAqJ)rund<&10RUtzzEmFXa$yGRd8SjF%|&Np#=+!8p1FdCZp*DI21vRywmWX;oYS< Unc@coKZA0Yr>mdKI;Vst0I0fPPXGV_ literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect1.png" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect1.png" new file mode 100644 index 0000000000000000000000000000000000000000..062115b09e8decc65d41779e0de46ee9b3895a45 GIT binary patch literal 70308 zcmeFZX;@R|x<5>}yQS7Dt*EF>bvp|f6(IrwRa&iB(25e~R7NEv5t#`ATU1m8Dhdb) zXb}*B#DFAWNT@OiVG;}h0zsJ}fgq4X5(DqOu*3eJeR{6*;r)2HF588MkhRwR-1l#K z*7cLNM^}Ef@iP?_m6gZ7`{tC2%Ca%!&!?Zj-`Hfo8iN1*GvM&C)1Sgu#HXIu;QQql zzHJwJajFZy{_3kQ4zIWQ>UGV9m)lpl zkiS9M-rsRx`m5@XPp>)F9#Gz~p?m=q5&YJS+&M+IRUbdh&O+}DK2ucUi^-VSEuC;R za!mF@&R0d{$Sd#78B0ehuRqd84lW)3yq+2Wusd;!Zxf>YqUJf+LJEcO&*B@3 zLEXi33_&<I%7WM4pI22jG~eA@ zT^Fy7L$T%(wS!*$U>q!RNZ)@xu{q1K-b?~5}rLj(%IJbBXWTi+mDjT3NSU zU`AQOi;O+$KF8tlrSMtPO#*TyQfYF{tExj-C}iz`roGrEu1e7-?m48Y?P+6U1NY&8*}%2UBDJV@(G^AE zPy&8@Ji~x=0By*3k2Pq#2=%;qGHPKo%8$yD7R%+~Bn7{pAnT8c>Sk+eYX^^{`t$_z z@jgL8L3GaUimsnOUeP$CyMFx>A*G^4+8c19fJ$W?yS=UZY>amDVwp4C?;^*Nx+y5j zN|cr5m4LaS+b4S7*6R`n>k}Bu)DE^a?NT@r?d6K*D(pirK71 zKgZreXA_q{b*Md>gLzsex$=?M9Rm5 zl@cC5O391r<_o08h9&R&ty%A9I7q$rTwSR-Ly{OUdfiv9T1;JzSCg{YXL&ndPpXEgPN^y7t{MI{}!X3af4J)%ZE>Qj#d^UmDw zQ{64)FB3$fl2$s>s@EAfdCrcuGEmUjtL0j+SE80p=h6bl+nbt-u?sUPVf?vqXxNB@PI_WRPtyvc2 zaO1FLeh!Y2&n>#PIetes+E1gm#`S#e+ZvoE_HyUwRW}!&d1D8KL!NQauG248+XWNNPmy2TdXeMc&A!n((d)!pIY|t8PGHh*X(kBhw zIyytgxP@)Soj>0I-^0`>fj5|%nkpV7NjXceJy!lg@RVND)P~n2dUs zmX>yBEzIS?FA~LAzDKS+OfNU7$ zi}KSHv0vAZim3{!QqHStVJHMLwomra1bTOg&nP|}Pfu-5 zM^m>X(CNks=u_wFbx4IslY4xoz>oX*3^Ejv=4?LOWGHPvj>69js$TNdCW&aBJf4r9 zec_X-DS|W2{oALNeNEO!lKFgo5j3k+repVuNja(Ad6vrYMDhu<$*1RB#G|bf+=8Nl zf`Z3``JEx`S#6T6q93oAWMBox=i9fKy}7JzO-DvB5gAjx{`JGMxiba!j>xIPaG1*a zCO@QdP+R(LFoA!4d*(TSf4^aTaK`r&AIvNOSP-&@xwl1}H8(@sWcH2>K449}|h zW};{~1q)paZbLnMuCFvLBO{L4ilY`4_`$k3Y1N{l3T82^2S*rmX3i1NoNLKO@3dCd z@2%JJFfcHfj}pUght~{T-=5qQ{bX@L!7mRIzH_{eHn8sfXejD-Ze4;dadL9fi$4XU z$qQdGNlzyaYWBa&8^&CRalsy{a&~emWU~d^Z0|XAvcn}4iFD|~)fBeemjuwnPbrs# zDPYLlMOD2Uh?OQYDr+}tY95#Nd8N2oFDSZwvP$(iqW80{jM-Mp{$@!M#%30~_(unD1AT zsK|4iXPsKfj!MwA6f`ZowfqRMQ-Nu&ZzX(yd8=Q;h0gs>GySaxXK$uDK0U}v)C%F_ z(a!9MGJrcTGb3h>!EL|d8aA9{?9-yf_pjHU1OOPtp4?p_IwhU1gnpC?O+1rSP(&~G z$qcKD1Qe326s>wb6ac;D9-bxXG(P+MvS+;2h6sz8YJ~~jo8A}9FPjvIzxplxBegM= zLOMP8c3nY!zW?G8o9!9=SCt;uv{Occd9ESd&^<(tvg3A&KAZ?1 z&gH4Ba@2fjI>G>Pow?+8-d6YBZ>k~yKa~|02iu6d1pUJpMTtdlCYCy@R{6v9rB(NL zo!xTCTshaVRek6t+yR4xsJFEm#}_KnX3C?INn#c+xaa)#3aGW?GaFo19SEE8B*FYG zWH1<>Ym`tA2HgZeTKf!3US=P~C|78xR0`rPBajjE zcdw(jQV+tMJ>L*~d;1AG;7X)(9^Xazq+btP@E|f0`4pz6rq(^3Mb16|k@_1iN+NTW zz+d#|#e2^7taJ9G9TN+YR+8%I==Nas@r1X~1C0$iQJqr&)qrE%Zt@w;2%!UxXoK;2 zRqI>bmexV*sLo}NRz*d_DXRf2Xz0IUE+5O5zSx{FRHBlu9Mt$3nqQ+m%sGbn+ZuIg zN7lmAE%u>p+V5ju33tBFA}K8;Fea}l-;Y|Nx(O4n^68OEd194@7C_e8SdA1vM(sN1 zE@*5P74swMoXGKgC5aXvUAl#^7w2Nn>j{I6geHO`xN_rKtl>3Z3M4}p*)4Q zTj5!eZ)XJF~eSsY|()f_dY|r+r zt5-i+A_3S%ISGuV=%_pU{z@+YBh-IqQcvL0Zz@NLZ|J)tf2 zPXe7QQx2n|XxlSG2ZGEjRK9!breV^B{1%=|K%91P*V$lbP<&ve?y(vuI$Y3Nq*sja zCret9t3qx9r03+!3J+RHE8X*t%KTk<2<%2yJOVj=eDb(lZugy?c7Cx2^d|_^%g@X7 z)m3!Win)4Lh|bsOe!ol9`z`{w3mx@W`cbYpxP zOXw;tJ`p){8<|N8s7BBJ1u0_^kSVqALiNg*E*d};0Lk1X&t>a2W4Fo?6b%c&Hhs_8 zpEJTnQn&KObUqpDd-wr`tjyx*fN{ zaNB%i=>xYC`o-bKM7&3R(2Gqmp5oAYvzbMm_3K~26e#wa8ESBJb#tJtOcG(rLY*5x3C0z0*a|Et-Im z3&!4l^qXb6Ea106miMHTPizGQ&jV>?H=iu)D}kP!tSo#{tnXanZDULnRQf%?yu7=M z=24OzZ@LSSUhbwkHWZ*dWa3{uLYp05_)Oz|Bfub9I$vKPrEXBBi-IMZEfMKjM#H>GD}DOZQzDUcBV7bo z*k%Qn8foN-1?*_q41!L|Brq_?3?8E31niXInG8WH&7*K~Jp_rqhi zi-8FpMAr2TJ`?Db2ui;NtEwU2865w!b!4YdtQM^Zm~NfZFL=N4C5N+_m_QrMJ|_HFOc9?)6c#97mh%nhrrs}d}po78qRA3971j3 z5Cp0nG5u`wFJG!u`cI?BR8BrX=okE~$XpzjAd$)VN?AV<2vRE$wcP>OL(5c%M*#ol zm`l4nmX^q)AF@TTb|_?klcgpBBX?M{8&yu;09o!)8?*({L;;nKU?GqW@F}jrv~OeA z4c`{2s5GrQ@LFXFZvx58m(0y|K}8WYTmx?bLk!!Tu~B7>4$Lh?>Hqp89Yg7Q4 zd^m`TUf8yO&z>KnVALWS5YR8f_HmWpsw(w4g%uTiI>>x{Kf{H7W=xii%CvmI$8PW2 zOAFiPcvTBYj;BBR@t^OZA<>bB{IFxkmPq4|etYrS$Bs4)uh|bW4+sk>w6e0W%OxLO ziXtNm3GmUd40}iOeaSd5Nwi@}e0+R_rYphMw=o4nb;EmmgQydh9{&k>XtQoZiWo#J zLqq4PNU{K#&M0AP?R1!YV=WBlh*X)e zp309nnxJFu=`zVb?J^mC+J#DNejnKukn3-?=-cn>g2GNN6FcoOOg9Umq^2UniQt0j z?~<7gX;uWI3B3;MFp95dxy()dw@+A@5Ea0$MI#l#lRcXTeJuel)-^Td+N38l`k+&I z&BHtPQYe&&Fi{uH+djO-V&QGVCqyk~&IpNyKZ>GLkwUGDQ>uMTWQ}MJOvoKv`dXc- zqj%HLzOi4$VyvVvO! zS5$3m6D87T&MfnWrh;-saY~;eyQC9aFdr@~%{pA6(NQ?Jph5XaNfCyx)p@UK`O9oc zzC-BOswyg1-U7GaGyMl=u!)kC&R50s+Q>I^bgq|jtLalAN+jT)FKGC|qgJQpsydn; zdBERe(e7ayQxKW{FTzBqM;2}8VD6042U|g7Yuff&%XbGYTx~4vVdh7$#6DYTr~Hjj z%A39|IWo(S?M!mxw@WQIvPkV0rmXUD8#YIGFD)pQ9V$maJpcM^U|?VuqBGsnRw`ae zrP2t;qrW^ird1u%)#@*N`u&;3Ph5{*t)@HuEKIstKhs{N{5;zw{9sx&F0O#@%XXWm zjeHR+#KT!(KV5x)Um>qV7Tkq*B*F#!9sh3=Qv<)jJ@`GNvE`GvFi(pk9;xXmuu{T}rTc^^f zGW1wDMbe|`V*Z6f=d35l=*YQ1ySViG!N~zQ_$)g+ySkH#%}NRNy?(B6$m}W3FP#XBWnDx`MmOZ+Hrel+Qij##UNbwuvmU6U>^0Do z4cO26tZ}=p$h|2X_O*91)g73~ntV7=x8PZ)n7whqcBemT8*=U8Yln)?9|u5g;co0V zG6FH=wxY%7L!Yr~IJdQg8KZRfs`03gZ%%>lt*opBBT2_eiW&JV2 zAH*6FYWwK-e}PdLCsQ>rve}t9?Gw8w6t&^X9@UK)PJVSH4Nev<(ufthlJc3f5F*Ln zD8=SWv|qMA%QE}X(8d+~P%C$ zC*2;85~gr89R3rI%IXSVvuyJpjrz}yy>&`O<^CRf=#(JehnYNTxm#$cA^iRYp!)rW zB`|X;4eSTlzT91gdIo)+j`5{_#!cU3?DAL3vJ8#N*ktllxc8h16%(m-QTraz$e$Hq zL`k70PuC5Edp++_6EyYpG9jnlh&J1J^yJg%Da7L{L`$ul^l7QV27h9AZULp=LbuU=9QZ^;d$9%ZZ58rZ4}-p zjVZWRMd5jvKe{sg{e2Q?%BVTV;`MtW5-FXa{NmtHm${Y)DDF6C?N)8 zbh)FTv_L4i=j$R4wHy7O!DX7di-zwuqJ!hEFlj>T6fDj~J3qcJt5Wi&VnlMtuPhOV z84ROUDxACe`5K!Oz!E^dKDz|-`@_NN5EYe^>k*{zQrMRCM-~78n0MF)YVwb`{6cz+ z7rtZP>t}C06!pm-ST&)v4)J#yv5PJ(`UBIhHj~-=HSS)kwhbdp=HHkpLYvvyJ!xBK0 zyRey-Q47EL*C*(TM>Hws=01R7^vaeRL7^O;{MOV2GVDFi-e0J7+GfFij%<*4!vw*Cu+cJq7Ot?Q#1Pww(;p%=Y)$!~ zjk60!4TcIvzaR8nyedSLvsOOBNs5`9Fop>IW%^rLp6g$zT9hr$3=64M7FeJy0Ej>= zufzlSnlBESyb?JvIazulVnF?>J8*F1Ze99)ZPm#oLVRC&(EPdE@ih~l-ip6*74I%K zw?FF~m&3vFC_!ZCC)YdQpM9rF10zjzk0{r_DXlsC(EBI8*peH;!4-PszhJ2T+B>W$!mLa5vp95s9HX4?sx7E9P{wtJ20 z-*rS__r4 zJ6 z*k099VG>iQY^{(!f4e7^wD(W2v=pJhXk07UQEFSlr~ZNFu&&0WPY?O8)g3GA}x z39qGUppA~*qwsF&5q~c2+8dhvi|L^|6drTaRjHk?`;)HG@Y@6D+4;Vg~=sj;e=EJizV>Oct%If9Q2qqbyjsU!&{z4v6Kb#wlxFcw)KsOMGT z7ALj-?xsBgl?v!q<=m;`nL&=6)78bvHFevrui*QZou4pt&k|0mb2jOd!qnp56!A`- zMja8YuZ(PyI4x}K@u=5Sd`{%QsguZgLe)thS6fNkjC@mYWMzcM)~N^PK`rhkxifLK z68Xb4c3dfGl$s{~MjvhlRd+62N-r8xBsF5L;925g$MacvZ1w!gQ}ybxU(UP~yG$59 zmpeq<)c+66cPZ`WxIz#Jicn!rPA%ACMZiBFb^!kj>ka8RtoxCQ8}_~5u62L@pf{3@ zyF!bYzlf~6{%?DsEU6vCG>`fb4uYym+Cx?ezp)*?7hubd7|%&ba~wB|A%URirCqC* zr9o1YgIN8op`jTlAhX8gMMfPIw1L^xE=83F1TVb3vlP9fy-aZHcE<8MJChkkMn=P& zv#THBs4Qcm+2BpX8L!D0Hk+Mz*J@aEV7JrL9Yk=OI<7Wh27?0wKi?1n^fHWJ88+KE z090ZGPm4hM{%*(OE!a%65CU6^!f*g?neihhwm{rrTN}s9!#zef|sVqmNc;qxP?Z23eb ze&PK*LVI8Egw7@Hij&t!^TR;3K_7Q>?435()MAvBl;~5~s!C)wrBImzHzG{FU&R2z zT8W1=%zUvR=K1KAD456ik*3st8$f?X4e!?=D6bB@D8E;aeh8a?oNO&DPWFzGc2(Rs z(`CGlr?}ZgO3-WOG!<4=Rr!%c8O`~Xm8~5Yv999gj|)x4A~)4fi(lg1w-L0-n!4~$0+wl>K_#ccs!oBeHc%Ea2B zs}MYHzzkj;K5dE7MAvj^c_{DP5V}_6i$lRq)5LgOUM;hpvWgCPG*n(K{9ACxVzIzF zcJqE*a$4wDv&%0#C;!9zxisN3cC-J1`JG?t27KLU-JMn&81(b!pI`l7WfudwSF!xcbD$4-1q2C8YPLZK6!s*|`|vrW!(@;eu8yyWr1rP2UG*V zt>lpcw|Ld#xa*3In~n9t3w8g&qO&F|19Io&h6f@>d)ozU%1p$z=Iwh z9&nyH`A+41U`3!}_O<=aI|S|R?%p}WkDTP1ox)L&9#?H(fTNA=+-GNyvywmd_S}ZY znBW5cQc-7Y!G0`A2ieJOSBo*O)OmY#%xuv%3g+shBsw`j7|uEZF)q#Kpy|j5x`BKc zif95;>TVbx!vs*q3^&gkAT;6*1uT!U_|e`eT)QPb)3BM5hI^34bhE5stHUr*^`^S5 zsBT6Bi(x==?L|a&S*Jyt#MFcIf~Pl|Q0rO|Ttqq;UirXWq#K)XtM<=ff}6DFM6q^DiXlTY`)D!uPt>Uof>mcRU6ASk36id#ibm0%%_8hKE_tKqgL5R;&$y!8-BtZ&X^eO8m)5=&B_t7q&6FXyVmp+lnG8L*DGuvwqPfExVUF# zy!=SY7DAVCu=o+&1`BGU$B918O8P71{Y2cRduW5^6MY?@EXMwYvj1#yj~bp@{FC?@ zsGps%K0r(C?Cm|cq_Q5IsyP23K}Cg%iZouk;!kLMyXGSROH8GdUqqwr&6<9MaHl-Z zl2(C1*wb6tee8v2AA51+I#ZdMnV>G+0^hT#aIUY>biVxz#uW$_GIeH*$tnIJAtCHu z@OoEw=r6=i08bD!HG#FYA3X)>$;JWS{!srEeaA#bbB0;S3+ASglTbrUupwvZcD5#4 zv7I5`%A`_zZCR%?v6=7Pn(3NMyyke!#oPx(UuX6~m}|T>nC)9;;hk~^>IAOhT5iK{ zS2fXdR4E>mzI)>pW zSQ4ZqN0%5FTT6a+vZpjGy>4=1qA{gfX(K+L;&SnNh1Ry~9i$!zF3x(fs;(?7Uj+Jw z1c^TXZz$>SB@a}z3mDJr#ghi=@dhy$eGPC4ELC&Af~{Q}k8}L1jcGDc-b}lL;O)6p z0!6%@iS&tJc=`k-aO1?=lnHUAs%C;-VZXIn^7pkJ_eP_6m2fFV4>f((M3^-P| zvcJ}s!my^Q-TeITnrcx5Q^P)7QExc(DapW}y`zzriG#q5$~58Zk#oY{W1Cg3IJTJ~?d} z>K~-rd`N7VBqd_8Siw3Dx`yj=hv#EzR@qVv0uPLSBaOdjY+#-hLV2T9xrvzHb-7~~ zK-3bfORr@CbZZ(ge1t}$Q^;;sR?!uB@Z-}^I2QvH5C0F478AJSry@tjO8&Y2b72LT zfy^7iVbcT9ULO!u>sJmxt&Rh&N%B)_R9ua9FN^7P@m?d(%%?nyrwTVoHJ|CUFLOdw znfZ{iH%{R+t4-*I+cG15Wn^#D>r3ea@Ry7SR4so%p|rSCWrbg$jhz?Xy&aq#p5A`I zX@JuIEo^^rChBTa>YiA+d&ML2H1yuv@o znfOh4QIP?*^X1`;7Y$}tU%iMBg|e1&0-a%!G0z^>*S@k!>1A(vcywu)uxZTd1{e;h zbrOLG)~5F~n|5moKG(5(8W_b_YthEzX`lIfh|_ho0v<6pVW~vD>jldDw-c{0q2;Wt z=W3l&?&$@&4}IN9Kju}b=8^YCm<-Pr#X`*yCj9Nsl(JCSgG3}3q2?DnLQzH zWmNb!{9bhfhKFnL-<9RyLS*sOpi%n{__Fhl4Lp~3DVkW!r0-X2&|el$bPZifsQDD+UjqFUE5L-NigbPjv`FlTZ!(s`I1M<3oBf-BKajA=tK4Tz?|scsqB4%eTO+N z`r&_KGX^_1^Y&p3HgSia#1S<0{M8ia=gX!>4e|yi!VOHM?zQpH^fGv$5KW#n3Hp4O z{o#zpy=&22HJUP}LyhJjC{@?M~57T>Y<+c7I#PA}a=c1*~8Q z{?S@mY{78U84px>jn$F$J#9Oxa*3y5nSSHSf8L%{(7nGt3(-h}t>Q!Cv9T9CNc#={ z+rao>s@aYNBjOSVf{B#WBsPbcm$x0TnL;WJHq;~MJ+YNTAf60a_m%^LH!E!hfo&w$ zuH4%^AdYaW45g)}!iYc4_=M1YOXf>nN$vR#ibb;B1Lr)<9H>;JcT8r-OpEDmr^fz|IvyU}~IA;@? zf2n4Iek4}yP0j3Jvn>?hdgFKe#TNey94^FG368{VOiD@h-B7R_w=?VfU&5vn3!?4LHaX{MC2Bn8`&uMnKs~=r5o$+YI>6$C3Y`fO-I6TEd zT9N?uc%BmG@6m5Wj=c;fL#->k)rG9n;YZBKv%KrX1Pw_8nr*s-oIHpziD1hw)lu98~O(^5CWu-k;+P;f(9@o?BVlg zy~wS^b5wxsd@xQnWlmAmy~ZzJ*hH~?IFz)!er9GHw|($s;%RE28zau<^AUW3KWnvP zGYoQ>MqEMth{OtEAzF=L=x;$^aaRXXyw19gh4)au6gFSim{3heSO~sU&E3B`EY`*% zrSTbvi)+)jwD9d`B@|ay9e}zdD*9#i0%Z-qGZs8+JAXUzH!?Yf(`ZES@$qqF(`1FX z-V3emiaVJAZq_sl&ue)%8N?2#|&Fr_ktxVE%cfMq7mhrj%m?M zf7z3se^5$sr+)4{=zE=_fjNH0&hTfP%Vhr-Xu5?Fzr1Dr)Ua7ElNR~uTqp}sZjZMH zXEiQKe|6Se7Bk2TNP3sM z3$~8YnEBmrf~qbE+)I8FmTLdbL88XZPw+^x*k3|K7T0gpIxe}4|K^rJ02&mey}qv= zC9cLAXvUSsco}%bCmF@yOAB2G!?PaX!H}X3KXjX-d3;xEGps4xPIWO&B5tKUzAn^O zTo}9>`CW!#2}ZHs-gl}Lb9*rNrl#3d;7(=j!d+bOAYw=@?vnxui0q(KR%}!VfXXZ{ zmuU{QutQfu)lAngrqJvD zdj|4nO&WrJd+E6YwABU@0%3kR#EpzWDBB>Ln;YUdc~?Q` z+Ay{%TMpuqp&_yx!GPHDrvx^l6J5s|&rJtE=Z>AN|A#rljhvZbP15-@$mB2q+JTCR zfP$ShZpQQn=nU*ea{cLhJ)j1M3P6h9SHl{NH=Ci4cYuHNK=-NIe!zaFXFDPHl8VX- zY(M5T51!`ygbCz*!`KJ$J^NwAWzpSM0PjF?(9%o-3Lp~bxwYI7-|@GZArE)h(M8M}VDv|l1je{deKaK`E+{y-{4b7l!kili9_SE&Pe?y}Q13v~ zYaEy`L3RW5G2c|=j`}_O`Q!N+h)|}WVy>(6kWC4&t1Mr%zRArz_#krm38hVu_q0`~w=Fc}CPiO|= zY;UN)T|EWQ9TI92^(hQNlNd|Y4P0~p!2G1%EV$1ycz00upeve&+oE4D2$>w#G;^b# zc-ow;5SCF!-mgp&zQ(hJ`DyH;k&T*DrLW-vxk(Hw8Iz$(%~+#*xF!)-{5@F63y1xe zqg5h2mESARA!8^`& z;*BVg6h@TaI@!r`XB%YREO+Mo6q8Oxn^h+JS9L~yI_iJH1uyH=1IeCj#$>gF8gkaI zjyuR5T+WeiU*?v|h-8NtoU_PHtGUm1a&7k?92SKhVQFdQXd*!Q;i!u11J9;n{1d9cm z$4pPD|UG#6I9AJi7%Kj zn`2>SSJobR$cg})X&3|*Bo{%VhVCLf)}8B-9Etbf9o~f%lZVqy2}V4^HRlE+<9qPK zroL`AmX~i$b(wT9-z==tJOpUT{4ft^c{P}tzOi}n!rL97RhF8GSnfp zL@*ldAyxmB+OL-HSSJbaK!kz-<5T_xCO-L*ZR`~#zoxUCR=YKn3X`&h@_*B=!VkV# z$dP>!C+v%PzCcrKIkL{@W5f%)^w;+4lH<=I7x3Y^0KY5Y5CpWgPAt_j>eNXNVkO^t z3!7A$!!0Bpptuco;WK)KxK&<9-J>FAT{I9_q{LqX1do|Py5uJc$DvTx1X zdv>Im!=H1l>+9Qs37pQ8Vi<8W|#EW!7tQb~@}$KdfFe*n+Y0?{zPUkjICrnz?vVoKXYfe9>7=S6#u% zgAQHx$DagQDi5Mm#VbE6k1{f;6Zqe|AvCD(%$u=6CI)--V4DSZ{R3B?5aN+JN=>lm z&83|yh*j2dmjGSR_BPHY8;Jpu+^Ze%<)7TET0hg0L_a@XdX&Kx|0D~e4ZeLC&q|yf zy-p^wEE2R&*qHtvaziwvb{MeSb$7wkIr}gr$#j3Ds1|~{16}F*gK6YE2@FZ-+FjgwT zM$I9wo7${YD#Oxk{qpCpwyq%GFS~!>KZ7+NpyErAV`p>%bJTBNk^K|;N8)4g+5{WQ z8i7w?&X|)mzrFpGG>j%oQj3RXM9`$a^q3YbYR77(yVx=|*t$%PJ}E+ykgo0Anw0=^ zd`$?Cqrek1p;362n$v=4i(Y0UNv-@d@!igVWSA=0vJ~+9tK$ISJe})_RX9V>R_M)checNAkDh{Y(J15Ou&`!h}RA?=I%5c`s9t8yqS$KU_2VMrS7 zB@#|*|G~h9yV^D*!&D!ML-rK$C*)nC={F@o$^KN4z0bwYh|)RJ8g63O>0TH;tFnr+ z($i{PXSorF*)+*Uo)CP@q>+iY6J_5wf2zZ&ccv=8ut3>w)8eDr2H%4AdaSFJV+0P? zwqzn4aht?>uZ$iFS?KNO2*5T=it6L*(;dx0fu0X1659X>Z8eG|73v=D?HbLcgn=BE zm7EHqX}6j(FN&@nt10aeKOD)CJ!sQeh*R$T;xD40@R-nl_DzrfSy?g=UJr{TKHw4Eq1U=acyd0M@^J}<8q@U< z=T;G4o@=o=s1o27j*%AOari>q% zlq}^?96-&2jr>C;D_}F)s4&p6e_16GnKVR9F@E4W8SKM5hy=daAd?+0uc$D?67cxu z6a+EpFD!_WM_V*CjkIK>GsX*FK&%?l-|InWsDiDV%}suww1lO%{DTdfxi<}liw|L8 z&zb<)Cxa%y?sC|_k+l&5!p!-rj`!iY04(y?7*FU|L*PDdgw!Bp!^X);Kggz zcvd2(F`-Z|7BVcUjIjCL&UAeuJjG$;m-%q=7aSSv@8KYB&SV|ZLBhq+SVTILrnW|G z1C>mV$IgJgK$;=2C&1g@v|{o3l@+tU4+zRoy6io!w0Cs*oO9l>UE;nf$>SWvU^j|h zf}j5sya(gevte_G9&wOp)^$ml)G=p1w*_l7Z=aaWz~2nX$h-JTVpXfP ztN+#8&|Tw4Ass>&@NtjF>$_z2x8=5{FCNqpTd4ExDKWxn(s2x$;8_^i$zw4EPW>BhZ62x6XkP)*uu66e6E;Fj`HU-nE7*07y#09%F8rpP z)yd2kUwG$|u>%!saG6Q_?9)Eu(+y)={7oNZ^G4rb`&9ppxBM5+_XB~cA5+9wOgH#L@5-CS!06&0)eobf3%-EmGq;KR0L}^%%3HTf;fa<_U&i20>0aM7gx*Ph|(JK zI~{}@;4T=f1Q_kUN`P`9a%}oTfiDCfZlb)69VY7*0o%G&?jCHD+{g^ z6!K_Vm8)goAP8gHu+flCj>4$(z&_7rYM>!{UK`iEgHpe40IrY~gz9~ftsK2wg<}Fb z_!wXv(E{i@2kRE@RP#GQtE`g21#qnp=#SDOr>&KcLP<&r2?|QW3v0EsK+NnTX3Nh(}jjJ64CF2)?;~AmROz#&Y2aBLz1n zO``*Vcz4erwL+Q7^Lj2=pfTMRYo*7F)F9Jq8(8&bDE)@8_GWF-(8i6nF05eI#qn3b z7-?ec#JyUAMsvMOcoH{o=zmO}0+{4&pHM{2)jfPH(r;a8oTfAuyh6nlW;n53 z5?=LbNp;LSn&rpO|7$|KZtP|D@gu(mt}#Fui>JQvzBP3253{d8*&eRlucuu!Gmsm9 zsP@Py)^chfzsu(#%nav-vh@=syBhot%-3yGT7%9_*lKch*dggEFZ0G)XOQo}D#=*i zVp6cB-j_lHeJg)9yZ`O!cxtKcu6aQ&s4dRTGQH#!dW;Z%K=$-+a$w)%Xw&}pnz z=VWhrzXMa8d&S}(DwXVa-KX{c6qWx>EPmeyqTXj7ki9}YuU6YWcoG5?2bNxn#JsA& zz6ka$BdHggMg1{&B+HAG(bg=YcaHcw@b_9$5aDVV9!LP1Q%rG7ctrI|G;osMG6;xe zoFTzZ$)$ne4;F^Kp<%iauJZ}P7yg(NH(|ez?zu%A`>gjEJip112%9>c*oERiSaUNh zc!V$IWs~zZ3RJhp;1_FW-Kr7M4OY|J3}1-y~K!ftei!p{%9J zjcggT72K6WGN3WJNLq@OXNK4-nTD`0DY{G1m;&2My}gm0i$FoZP(P!E9;)!`F0Mq< zTUH~8KtY1%^bG&u^%PvM@N7t|oHWdu|K1T6$x%nJCs8CFgT!smR4vyF)qmQuPQE#b=vQ?*zFhQH{vc5Z{FXa(_lD+?mV)a^H#YE% zxoY8kp{GXmrDfbX2p^+db!>Yb;<Shi6xB$+*MOLv!(^LuVjzhdIlKO;{l;MpYfRw>q*MT&%|W zAbwZPk7rl!#M`;$M?qNFt$FJ@2u5byY~*0JS3cebTQLxSns(6-qEXRxT360JTWpd zQcRvxPPi?nvw2P&kJ1QktdO->k;sJ97yV^-j}ZlN;|qiZ4VeD5ML7!(bgydiIIw+Y zEicw2wCV!uj5->2kVs=)VT)21sg}i*_k9PH8r#SB0e!4}>P=l7?9AjJJ>F}_b3n2| zZpYYl+*EEs0|X2d$Mgxzs&R8j*GI@CnYs1ZVOL}nA*t<$ga5gPe@`8YL{AZSPwOe- zw)0>eqj2*VNTiC?q~v&H4ALQ#7vwE@Qq*!yl%!ifGch%_8|cQw=Z$V*e)<%&u#^D) zNfMKI17wqH&54Un$c~mg7!Y+3r`u3l4H+j$D{Ue?CK^$shoGkH1BoBD0QPp7%v$%x zXriTgS^~O2e@f1xZlh#kL6x$(MMcL}OiWDd;&%%9&-_`Ay%Lgch4d3~^uW^Ym%pO= zM__wW1Khq-c^p#{yY;u)Uv47~ImkMGIFs?C4KgFX3`9|E@8)dwbpwa%)zG^zGK8a@w&bf<1A9uH=AKbGJeUH(#!&6lGF`N*RC8o)!K%&U^73X&g zMkkE(8%Dv!u8SitUj6^rd-r&#)Bb+R<`Y+67H7Hq!Nm-Wpl_%IYbR3CdAB; zgcyh2yQ0$&6_uRUsc{;o8NyHr8zH9|Gh@agIgBxdnJMG&eO;>EKKJ4Cd;I?T{`1{G z?ptGKyx-URx?acU>-qEp@L-rX3_Phc(@wp1mM<)G>7#c|0Z3wJpGv~%Bip}t8dhlD zS2;7uPZx;8mTyX4`WAP`je6gff{UQ&>ok#o`{`zc*- z?Sr_8`F6=w65)s4n$nJ44;?7Qy1VXp1CE$#+2~voc4zWYJO&`bQIrsyvl%-z|mc}!fpG)jcIb;(kkc9kj*9g_3xzd6(ic^?>H(@ zLTU`Q1~ga7j&2;>Jenmfv5iXAkPI&VPnWHIkEzpZnF^I+1X+RL187D}^^|O{+|x-d z^RF&E+he&jbkTwfp>JUp@!wPayS4KeS0EMxp1BRlPG$4hcK`xw>LMU;jy+YUc&>$? z7|A}?`CesikNM`|^RZ*jQ^solMTI=~%e6$fFUe#O?0c*m+Go@?03*3;j9&c{cTQntaJ=%_Ck2Zop(=6b+>Q{*4;dayIcUVd#L z&|F;XLnviTAdGUC`^u)KvR#*T@^>zs1d@@&JVf^uL7}XY|nIS>@7nR!0hsN zDrz88FNswco4n&X7~44@Tc{(hHGBw}qg ze7hvo@zY~pH2*_Jp-auzK#H+J(Z4v&C*XABj!5F@>HAp5xr#ipq6r;bn12mJn#F-_ zozz8F1$4=v#4p%YG|^W_*WmCwJZ`1~E840kMY=}YV1PMQW{8L3l8G0qZbTbWHh^N&KSw;~>Tt=_mni zgUqn~@#CN3;ygF!6x~u{pKmK5TP_#3SqkYomR(~tnRSt3mmfA!^mshHJ;Al$jbTNe zWxTYHBdzBsZmKL25F9l-d+1Lxt0Tk#ZBz%6P=_LkFfSavWFLTOye^=z@{2`Kzi2CD zlu2f8ZL(aq+gsfLVa(1GZTjn)cPX;M=>PDKf@$l1_szP+qhViG5WyjO7m8q{UjOay zkpIkNOzAMO6?uW(u7h`p)WGgaKg2QNjUja3f)hc~e{_zOd5UYxfh6L9sL+?Ol7MOKs-tl^>pFk4pX1_l zY!eOf?XJ4o`SbNQn`^8Qh`&ZsFxXK4Mv2c*q^(MqB$&v%U4~TxTtHgA`fAFl%5X1iVeF@Wso3%<%%^wpt#&u}SBKqOeQNw>LE4;3oa|4-##+ zkG33fecicAbN3VbuDYqS7g+z4?Wd{CY4PNe4k3x=MtkyCyfns=byhTwz5P0}-N;v~c z%~};b!OdR*>*P$KAKVk2!9PvX@%92tcmB-Sv%ZMgVzz$VOjLv@UZ~04AqrkTd&6n- zF8bbWsI{};_JWTT3%3_+MRSmQz*BT~cR$F8l} z3B0(Y=CszTvDz!OG(k;KX?}nTlsN{`P|Sw7aU~{~B|HZ`K_6FLm?}IJ zWp%0@&Q_rzoJl`@|6JGPCm4_Z;QEIAVwpIq!bw3kN)g4gu(EQZ&&UL`U7jCY0gxg3 znYq>PD^urO2lJg&thPQ~s;dlPsm{p^$`fudlPHopnz%idgDwO!4Q zb)fWwDex6F!~xTeqj{%xB20)ByXjwBDIwyQbD!TsELP@io>_@;dBwCFFz98lC4Xjr z;mI~=mBgtb^ZmRGa-7(7z{u%(-&OYlss?uX`-wr1#(>y|FXcD5$4C%f0HcctRMp?0cg0Q0pPrJ2N*$R71(Dlvn+TWx;&k8 zM{1#2nL8^*9AxHgz@~O9uXymTf^NWz(MxB0Lkb2DW;1m1XRwiBR}w1%tV_NRgj9*G zC%S7MWYi6GjqGyZ_xX;E<(5K`(6|n9DCVROfQ4F~ouB z1DA+I^$J3}JH)r^o*&}6_1Dpr)~s2x+uLj3YB(vug|1LgqpL(}&uq`(qriORpn z`;6+j_bB8m9jaPP8~n=uW#gx6$G=%D=#gaGZW|-;0@#_iBGwiWIUefTd!D^ICrcjNqXW3??Nes8w3$<~dM;Hu+eHw7ajg!g;FxCs^aO{0@Si-Yo2(J2DR90- z663rt*x|&y?_F4t0(p-#r^vgdLSds$< zfHXVR;-pE__uuiX5B|t9HvdQ8n)j~N{W@c2i4|C*ES8IygJ{0Kx5C~cl61Abb5(Qz zUu^D3;GXRUSRIyx1MC?QQa;PquZehB%Dn#>%-21)USGj_@NWEuV?NzGUDsd7v^;|K zI5yO^k7;JqRR+CYZxRgEnv@H7LAj84g`7eH(4Z^g4w@%y^WU~UpCK9?k((!bL z&LQ0rR#kOUnZ%zO=0~WOgktC5!N4-k)%=XXVj{hwOP#s$y`hD+lW)ouv{vhCv+wdY z9arSa_KVjS-`uA0enl#~<<|WdO;z3yr)C1A9Pysizc{k(1iHz(=2g4>o_Bo00?3pk zZLN%1vgK|MDQ0s0tv3Icj3sI{$#jh^@_#)h?~fc83#}2yh3791_7-mYsx$LKU0jVs z5?Z)2P}6SzDi%h8RBgFg?4C~F+6|S@2FkTF5|BM`p*`YJ&} zhxX$-InVJzaHFP`EaSO4_b_Q3j7Y|XM za!t|o@Y|^#;4{xr^8}O@TMyIzh)UJOK&wV&xA)z>Bl9_fQuy>+Z<2BM_r+%28!h5a z3xHrs1ZV41Kc|1B)(ILsbZ>rT5c}LvB$OHmfPmlY9T1xfJbU^WLr8SKrm;Sp-T9gF z502%cL7-5oI!P5`^j+?RQL zeCcCAU)>x>G`OJY4bwgJQE?4fmwz`;1|-qDw&WYQ&!2L9j&rEElRbV&!D-JHIPLJA zn=y12ViGoQi1q}pa>Qun{Aa04*+&|PMP>-kh;o>SQ6q|8A}h0mBX(hDUaf!qSdPna zC>$st<~9elO1a`fmW?lwlUG{pU=#%7QrGRQ9DN>{C1=5fZfP4VT-U~@i%WKO@`Iaq z`BYQNB#vfEIbA_EB~FDp95;+^Wl|acy?Z>ko{B(bcrB#*>G*NXdmJTz zdvvh@Gul|Z;(v1~P)$TGC6_brQp6iNZLVcSL=bVszjMz^3iz4HktgaHca3Z1hM570 zWPJMsLt5{?NZuF1Eoh=&lzJm9# ziLMnZQ{GHHNeZ;d{KdCajzx9&ww$NqD24uDf0cK9&EPjgn&?2#C-B^ovmcqdmfS>) zJBQO43?~5Dobbxh)hbe>(dq7u8#Z=krq)?^172mLT~2)z|SYPF#6xw}VlaAezOWcRKXsQSI&POMe{nHuEiIDJ!xB6K`g_ z(sq3obE4oA($bNUHb8k79|JzT{A|`2`oXI|`Wq?tdDE!b`7UPEFF~q9;BYWL2lrOw zB_3^7K%SGtilN zmh=4H(?v%QS$EgGve1dkBN6%|h~RQEHn|lOOSTqLr0lDC1f#A!A<*q<)0UOnd$7j> z_i_~5yl}oYE27xaAR!IB2+O$JVOy}l%qb%)uh_CT!MPDMh0;INd{ZF~wLBr2+OUdM zRj==^6A^0Q|6)`ier8E4ZN(jL*%zl5C=^i4-&H)IW?Fzj~; zo03K%J02ZP_Zit2#@FLw6XFc-4~}KhOaG8Qu2^<~r3P7Zk2Cnne78dUeck@BcUqD) zU)O!YIp6-trnY(gufC~SS*9?34+UH%;!7*vx1gZ5zdT{})He%umcJuzQ}}oHx#=oE zoHKl(u|dhm*qF1n8~Wu8_juw=FTlYpVu3h4Hm~^BGenZg9>1)B-9jl}23QLp2;)Y9 z+I%aeJhOmI0wO{4SQw&zK}gJtA@tKrOXa7&)5%W^8ABAhYQunYe$He+e^6NlDZ6*~ z&gUIa4+P7ecs`cP2N0KeC+e;Md*Nxpk-fc(bjJV>m&4J6P*C0?PW?F(Nd1j~TjhHo z#@1c0wbjUU^k+L=C8GUq$E2tGhkBS*2Ztww`X95q@6Cwa`?v7=QW4s@2!~}9Yv)rJm^y0xjt+l!( zQsC}vVJ7-BPq5G3ebyxT&A^?ma?la8c&1@H^+lW}5X2ww1ya3Rc>nh3tRbPj$fYOoKU4_0Z1DLe6cMicz9s&P8RuN2#R-*B`4i8SGkXc+uXr zK9j?72ncnE60-WmPBA)}ea!ag!v2xBK(w=+>2ZiF5EIq&^WufImP%R>!w~m)XSbl5 z6J_E@<{-BF$9g@n(`0&cVaG0f3FA;zKV{Z3)SfZW<^RH4(Yjml(Q)g=j0b;J@BYOF zwz61Rhco(`nLI$wYH)2Q3M|dUxBDom8zK^GdCdhxk90arPYD=CIyf;9SAHG(bz^gZ zkkOMs#pOue?o2Ydpwnwd4^;Rfg&}2Yz!Pb7|;y^U4o0j{lO4lJ@%e=EwsVA zGnD~#qThXm0Te%|pbdA6A1sA%dGMgBkSsocLNONj4Wa)gvC^`wW01G(ccH3o$hV9E zSL5zmIzx!Z%eG2p>bm|iNb~D|+XR{&h}rW&tP`8Ogt}M|9)5-YzTx6WZ1cY* zl@L9iEcJGZTLxvYJLvFo2o65&+BjM|AiFl&S^dD`auYPTS6?558;jpTENh;?{;aPWiixUutt}v@&IwZC^reh0GNzn>s%t@wvGY0nWWCT6*6h)bP#dT zju*If)^&`q0^*y#x+)v-dM!;kh#bTvtjw~=GP-<(mUj&Cx`e75ld1-+oZoSnnKc-w zrL)gnSXrN0#-(=&FkQ~?{@1Jp*uA|#AHaG)aa*1fy?A%zo{k@ZwXWn`!jzKr&lw{p z?$yj%Q-sPa!BGd5|GgpxP2h*nLR6=9 zWRmORj1Lz$DS#W{a!Ojd)cag870nid~iR}|tQy;xvXWj-Z z>4{JSL(Hg&8j+JT-$NC8t_tLmHkt$d*e`WNAD?(zo-WwSmhjmL=wTeuC&COr)W7(_ zf;@IPjMA{liX$DU8jF>C16<=Cf+Kpv>Ck0)!GT7~5-5~N5D9S{Xbn9h?E59oO%?zp zEN>EHY6R=3ztA3HTt}E$f+|@4JD_>pWPY;X)B$>P*k(ZBEJjHUr))lF$yEWgoA#D` zd;9$XV~`v}yH^T4+m7@x5WQGm1dgiBx&2AxH5o#fVFE$(2kLFjj||*(ysfOP=9ZAPWfDx8c)`<=le zZYyW$7U}>hrSZ^Iw_pX=M09uM3CRVhYwzlqO6^-6R2?`yauw6^9tQh4L;*x6kB+|T zwiMeK_%iwxxXcQj^ya1?L&2zXj=s9TI?jf*Ypa#7X4@c*)!Fg>x57`@=6}0b0DU~9 zP@X5|ad&VhSp|ma!OSY}%S7RgP6!)f^m3`T+n~7hu@a_OoT$VtDy|`kr0$0_e_(|r zfs=UAs*^9YkySlzeo3_PfIdZHB1d17;3!)EHtGaX5p12NVq?C%XFawDo^>&tb=%hf zWKti4Q(a}5xD-B}@chvn+JAg|BpqCCM{jBG_3W z?sYAV3pbMB@WLA(eNp=ES0T0Q=S#h1wNXB*g9l^Eu)%?&`n?%m^>oY7&6Q=6ZZdF1 z1{!ZGPrTcttVL3P8l(*0*vF~I6EKy2njy+CnfZ4g=3k3Rs3EgDFk#%wCRIpi*c_aa%}G;Mxz4X&52f_?^4nArY&P)WA#-AmC89TLYi*pVmhM`C1Lkh z888E}@GW^i{h4SC8+EbI*XOyV6gE>R(D8m(1x=CMN%7=GP!I?i6+k`C=mHcFF{E4u zxTA`mn|vC=$2)JwI)$7+lfv|c42(-Mv@Lg z!bqj=iU9SE?98!oBM1o;iNQIcJc|`k8BGy7oECxDFQ!cA&c5_T^-;-Az=kvP zJ*m1>p6YXbbi035E#!Ivy1Xm#yGBYCO+W+;;h@VtNl>Lff7f+dYmzGTa)^`7x-vFn zVh{8VH9K1lLBClE$U%~I>D5r}xjp$K@rKivqhZGT6y>LB{~g|)$?gh2 zSXcJ4y*&-kW}te52f9fdZ~cOzVXWj+5E3W8m!d3&=>#f-#OE3(AA0pkT zyj>D;hevei*O=`b5)Tt4+`dYePBic};M3{J!XlO~7K0<+P*S1F6_)XLnS&+ZOmyLW z01~&EtgPh|8YB8$8VqE^VFf*uU?|xFNk)X!DK1;qji<6AI;3jo%WP!dP;EZXbv_^! zT6=mlx2mw0%sE#OOmm(F>ldw3ybSS}`3r)he(xP8m98cAl|pM@p`L#1Ao(5lc{+@T zt62w(=LK`{rVDC<^>^9jG6J3!KBhm3dJ*I+1Zzc?<3n~jaCX@weE?57rYO}Y6iE|%(pzkHvA)vJOkp+Y4pHqNIpgG&a(NA9xe zUFW?LB1DNeiX^x`SH~N=*!DPo?w7K)(8Be5fz_-GD?pDgxt`8~Nkro-c$;QmW}D;8 zUZbJP3YF{kEazg>_+Ks7f2}iFSw*8JU2Q3&jIBy%o7kiwasYkZXoCL&5E-FHy0Q-AnX3YaoP+KpW~x(-+lV zo!Nwa4^*Kbnk`c&K)y{>eEMju1x(&BPSoLih3_Ar;JS_`S~`Q5F)f}*4DE@IqHY>D z*cS(3)c5)-3^sGVg{7p$^&n58FUB7SjIj@fln7y&bvF?+D%NQar(`1Z3#)W2Hm z1RYBhVzm82ET>q8(||HyE&F32fz$8bTYaU4soiI?z?aSMG?BU zpCi58vYLb-4Gf7t$x(4Qvg;R)4g#Ct3__d^WZ#%%DJd=X{FDX+mg`dk7hX?lvG*M+Ts1s$aM3e7NAmJ1Yp zY&DryaMcEdlFYu~WL5v^5l=vf(i&aD3zvIp<|`SHX}Y=5mOm)aX+T7bX91oDnpU<( zT@(BKqcS-7QgR}A8M$4ATw5auq3B^yk8Mlb#k@eed@CN}*4Y1({>&>zq9Lhq@X6;pGN#@(^XzY`+y#Sh&Z zlhgWw_aJ<8Un6|XFZq_OlYM1rsV;`@DFGVJ+qO;?oM!be)Cz|?9&tYm?Hrw8Ob4f zCIA%-8?W;fD__1bfQPy*-$S73R^hDaZBR&f`=E2s+w}q}cfn=;PY|`JHWT1~(He4^!;=qdsfjuc>1jrE*$auUL zX%M?D-|`8d&^lL@9yCfHxyD_CB?nRStf$v6g*xK#!^t?vWYzNX7Aik$E!)qa2#9zc+{an7A)m)=J}AtX0D`&mSXmO>;T{46 z3h*QRx?~74ye}zJ#X!7&8$mUk0ZKQ;^j5SGO8Pj4fb`hfMF~TIk5%B1L=#g1HhmG3 z!mdZBRI1cgvH-`w{o@iKvYIwZ(tHWb0~N!ZNS+UpzpzXvjdvsl(z>sn&jO2}GdH z%T&77=71yd$b+n4m>2N+3=kqFD-`SG$8TDXrz)_NS;3H4KUKynvXrIY>&C&@5iJxi zd;aYpR$cfub@?YOfWP508ifa~(~ziIIk>^& zwQ#!F1kfbXmjL?`Mw;|-vX>VgUPw97EtFLP)oXEcWd9G%cVJpZsfndHb7FD|oMmh4ycY4Q z>VdhPMy;QMK5i<542{7jlDtq}w#Om^Ab9{JmEtgTd&ntSQ73X4fR6BcB8cqxhDvNa zOD}CLBS)o>RC(eXDNqevKKT-$D~#45TZt**w4wGt$3o-hyQE`IkXFx@SB^o)%| zkJ;J+PMM3^i~M3$U+CxYbXM!$r~}OhAy9*>o|X9PZ}TvHSEmPUT}0-u-=_D#7v{qO zg^2prcTooz8Vy2>W`3wuHUq2jU0}BfSCPq8UsnOaweXu@2cK#L&J!)Aa$A5@TwkFQ zp9%xl-VuVM#2^!>5@t}IE?*l60^1vj)iV+t@4E$S0-qF#KP7M{} z{YzayxXv2XYCt{lJcwhkW@ek7gZOxMW@hFwt~2~%2V=6WyhjQU5cm3Y_*SIW99iwV zVCP}_tDf>V6-BPK*IcgeYeU`0TurcCI?Sepb+oHE-hu@+cmSy&!S(Z?a*+-A0-un6 zMKP$#IrPlUO8sFb3tnU#eE_obW~eubm6o%}XRkL7f<#*zs4b=<2{jz=_6q>TJk$*7 zT{2|13y9GL{p(bRetI4Pz)O3;oq)Vw zeR&VJr-zz6{c1CkHT8!(#e*s&((;}}mjfba_khCv+joKb$Xh4rx8Sva{$!o1z)sQkh!2=8C}3H zcXu9GvFVpHLky7Aj!%E8y7(sQM_gU;Xyq4=&-9fpTMz<3gpK_M@%9wk^R=t&&p?B; zy@O&SW|mgjRZn$Nv-yEQ0q?H594Z@8@Q6yF;yw(bB%L7bp=!>7dVjIUi);I(_O=(o zL~sr5Xwl;0Rx6!-ybz@9vee80!^(ua%mg{qO^=*qGb8Pc!<5+<7<-pflKxzHHVQ4vjW-L$uJM5fH z1Tw=+kipFYVHy*3AxWe$3K~FU@)i`ZxevkxaT=IR7Guo+{%*Hx5?-M5OnvxtWJM_G z#6*EGHm$T2BrPpLRve@*oI7=(bKQ#c*O5@}{ry2ejEIv*vlbU!L4?t$9rQC(VIcis zM#drdBgL?#%Cd>T7IhxP+wlMm?f~Vx5)hC+1F$S0xL!{;T(EO|jm{T3Um~?o^mv9q zRIww*RG9+v@(0mLLYjia3D~1nfDhIKnaatS=#Zb0HYl8fOz7bsvND<}sREkIVW>s5 zL*T4t4$Dx(sY4H;=Utudd01WZSYVk+M^b-!!0GQl(WEQru(7fO8Jv9R${>mCDG-{z z%aFnYwsCe&M&j;GNd_1ssfn=5a6m1};prC%L0i;k*jQ!iri4%O(KuOR20cKu-^C;L z)f{3w5)Ods+2N(D)sMo-M&Ax1ouYP74b1_{bE$#zyQd20VWxAa$tSEB8aHa@ZTS^r zCj-L)5w@fpkjs;;(P6fWl`o`(fdueKq9|lAMTmqNJ?CbmEwg7&2d2}MG! zmi@(JpQk&JBm%%6*m9s;M@ ziR-I1+FQ=N%0;^@b`+I$VSHAG)!bI5U>wv!{%xVts z&Vn~J^7g^Em4f5Z7~6ZgK3F=u zD=Eh;+Yt(VcDn$`&&j^K6)qZQ*S*sG4zxS$Yn=f?cgT9cjv8#2fr{?68m+5_q7c?!<<}x(z&U1JhvoP0cFvJMjE>VHBoZK#BPd!nF&kA*r=j zvx8gzjlkO&?`Tb7tOQtAd2%=yTRYj++6y40x;=IqQb_L%P?;Grg?|8zwK;tZbik?8 zO+C|=ZlF79$3+h@zl27U8%Y2u`iDPK&h>;!<-8UzAned#_2`-3nO83@SHNCK-3?Oq==kbH6!$)9-iZa zc&?f`lIqq*brV5ysDuqX4gedd1irsKs2!u7xb4ohu-S=Zi&$*Xz$#Euw9y0nWA@?4 z>p>%v1EQNeozNj;6=b!G=ZuC@E`BW}sfEZuSzArRv8=!=RJbe0cH2~gebw(Ed3qSa z9?)I=V^+WKifRXs*F-2^PQ1!m4#(1qwrJU?(Z9V2cv5yIkCo+4^2ams{ zhC;isVA(I-uu3E(G7nA6IAnW3PCyA3AoljmR~sj5U{C3s>EY{9EmNyarh+Qri5|cZ zX`Lw@?>M^+!8yT1Z#;Sv&|2Bf=!VaRn1@>u7yYWidp(Y^=Ng&^3-Qi5pcz6KFHiZy z=Ve;7VYacnfi1!e`1qjXwxjINFU;SIdY&v+$(2^m&6I=m=pLAgV+Wy zdNbIAG*}g6pf845L6!f##zGy{{TG*=a(L$6PtJoTWNbPt=}1A%vi62M+r`hV!mN>a zG-zmvL55t=g^TWd{P=M}>DJK-EN#V;uV`tT4qa~10e{?-pxeTG4e>#!apA%Rxb0%a zNsu@_f+T2ZAiOLnPM*o71Fs?wA(|t7Vt>F;xm7PmP7Q=FbCbc01%q1UBv?c%*x(Ol z2b^aQA*EeyAajHo#u-6gDYM9}u{(5`wD{culV!T#;V-kjl0m>Ydnz3kQFH$f>njS? zFT%k)jO>2g4ySBf)V@uaxr-{(DP9#X<*Q+1FRp#VBwlj}Z+ft=J&&qr6829x;m4pn zGQ|^x*=u{vhR;dE?YNiM@o8A&S z=4U6sN3$<2Sur^)t#)>P-(Wv!G&f;%QDUF4W61(cHoD8rM(@o#yZ@nC_3n36z(W?D zT9IENm9codJU~O+5?O5azAY1YFbFZ}>P<6fd39j1P(|xy7*wW@HuQuqFf@7jTP$G2 zULsV(9SwajMPfk5If4}rEZV($tINTJrJ9vTRc7Br!q8Oiyx?);3kMFUozj5xU<5cu znedIdptwA=-TD1Beb;lHcJ{+aV;8O88IY@iFrfpv5Ll6%k<3sVA?(%QH%}Ief&KY7 zgh_cIx8?!Es$o-aB8_s9M7AC%xzo<}9)#A@Fmh&iXBj8Ex&R4xPyJzP_2T68D2uUauV`@5#(Y4pqUi(dM%9BhL-n6C{F$+ zA9Ct~-onRM`o31``p(g}b`=WRkW}=j`eGJ`XvPhiOr5@l}%>oq~rm^nl1{@;KgN9E2~y8;O$y`XEXEO(GWo+54WliUgB5Q> zL(Ll3r?o(o{fm@OqM|^G0s*a0*hB)2z}_tcvLzh&VUk-$aoxtmMP4Rsy{8dBvYilOEr{Ye7 z zMr&w+Bf45BAx?sMK9`#id!jmwfleK5tT$4H2?B^qmCpUK0oVTU*f<2Tl(aNsh%!m_ z6TY}Xf-&JWr`bhrBO+1!p0cR-4#A-P4mbi z04`CS&@*jVG*o+`e%}+_)E5yHMO0n+|AT z5AGxaX{9c@ss=4Ylh_h(UOC`>Ef`@lQwIQ-1`Xf!=l0QwSMa8Gqtg*^Wf$XYs9XSg zV!wkyOdMpBh$Q9Amcq~=ZWl`!#t;{Vl?ZAIr@;(9$eW+~+waz}(!6uv_-J)L$IYi? zyEr&z`EIJ!=-}HaB&gU@II&S2>>!ys9(x={Ud%ZF^D6dFh05=&Tyi~Tvt2m7fS-ST zMiAJwNlfjh`y?bJL=tc@ej9S5iNNh>7+oq)`h@^+ExoC#iXY$9};?i4c2Sk^d%w05dgK*5aTy5Hxr+y38Q&PT=W)w)l`1 zdu9wQGP@kT#vX?e%_NPvDOPoyn!(&OVA>!n-hi0}4f;D8;ge}9cWh_4xVco-QE8;n zvX;C%i}b zl-jUn_yjFQA7hV0tf@ws5?M>8PH`2RPml~bQuY9=NG&Z}11>R8u1F?>1&aKfWRDjty z&{GQtVNW1Hf-~_QoQb1lcU$i6GKOnA3I|rrQ#{hi)+tnc{CL)ljXg6u^+v&27T?>^ zR32I#%AgBr!gUnI$qqWdDJ9gv&SFo%MeleZcgp3JWv|`Th8Fu1_YZ|UmK_^JUSpB zKKw0<#i8R0I6aw=*jZegyBN1B>Z$=olA1^n5| z-QEC2rq7S-1tc1Xpctb6kPOX$0`g3rWU3iZO3|E_6;n^V6TB{x2SNv3L;GhW_03YPykY zPqeKfD4Xmm91J>RHL4QNn8~aP4+t-usGG&vpUL7em6P98b@?~wx8OoOdKCI77&7b*0^jFb4xI|o9i_1Qp!-VkM z{d_sk(XS1(t?zSmv}1|fky`4Y)=E#D*Gj_x8>O(6(Qkg0rX`oZRsTIH{Hz(H2csg3 zOfc!sO!t0FG>VuNn_R#Zx(+&I&)Mh>Z7mEBDH=R)Ft_vO$Yvj|LxoN9lZv&{*#l_- z`$l!e<+oLgMVdEb=i;-BKzBYi+f=->B*P*;8)hhE!om}V0h8>gO_!JFRLd%=8=0t# z@JAdm;OLsd@7Qv&dq6abz@A+laV!@VJpt!9B6+sL$}C%XrldzfUr%ZFca_~=riVN` znXT+(2Wey)E$wAOHW(|W{_v@g!NDeg42Cfsr5US z@aBPy12Y@#JkD1_Y8YdiSnI;2of~K%yoX@-jvDerV*-~F7Jy{VbN$rS>rHB|u{)rpuBciKKLj^2Kfio$;>%~%TgcS0p*zxa{f^k|7 zWi~(h`rW%LtGB1^zbiNIPJjL3)S}S!z>@z6M8y+l8Z&0>&*^j8hx`Uy_Uwq;AtHZK zPnVNjC*NjHOc#`{2Q~%r>1E>JZC@EmW;@Q1(&if00$@n>qQrSbuvWuok5Yr8_y`fx z5z(cvNT_lIY< zr0O2rGLUwOvi#sym5q}o-)s!Oy;B=rQVr*SlREPqdfh0}M)i`l;b$LspLPj;dpr7v zOp|YfI`AY-0o1I(82wID%Xqw4tMbKnTaEH5@V_n1Z+2^`8R^$FzPLF(|LcZgE$e%0 zt;3dGxVJVoIkDHx-7G91BL1EWD{Hq_`t*Y%Zth(z!=GN=@SeRE>mG62!y_I2w(Ro{ znUwA567Bg9IE!CbN_}%nOM!sV`^Bb9#Q+<#Z7O(hf3(Tl=5)k)&CdOFc`F00d#qbu zB*RhXhHgtN|3mE@#ay&*plLMm@IK|{6NaW7x!Ql7W0bBnH!eshqZ(i+Xt$XvENc(WxWmFS{a*MC&+knIB1>Ew#)Vn?H6pe zR$7O7lm7Yz*|H$+aPOV*J^Y=I?%z&2)kHdf(2MX-T1VOn>m+NDf!;AL|INEg(xFQo zU5oG()6Ju^m8P4$O63MA@Y*XQ!(Icu4Fl0u>B@;W$Lr;+qmOjyT!=nmHru~~pSB`) z?%mH`u8r_zl8L`xZF{)_v)kNp-(Qga&t@zQqac{hv8J5fx(~aJBrLM$?vES0cmEzE zX7-lU<#KLunwTvNpP0Y2VDZ(MXz3n!jM&S(hUylDVG5f2e-Rq0nNTQr9>z{Jv z_%B8&_g*RTn1`GC#tNx`>J9A3Jd~Mj*hR!0RICx-f#pQaKTuLv$d6GU@T{ykp?YGQ z;g?fqnPzdYD7NI&u)<1L*FCom{(91u7!3ci?97b6*79r8hh;;rg@^oT2W(D;Uj~n~ zF8TPc2AP^&0zY4+i8E)wVHu&U`FUo>j5 zp$!WMmk{&v_WtwSpFaG5jjp$j^*h|98Km>rD|c$<@$#)Qeuv5MhSE|)NwDg0|Dm>L zk$xsp%g&)2q6AJv%wZR+roC95nq68|9yUfK_0Ij;S|kI{adJuOA8##*&=c(!wp46d zWgaijT^Y1;^TRB1@6_RSF20a-l%g@ajJKKA7c%zGZ?EY+Sk24!UIbW(Z>hIG-(F$s zsPVAxLSZY>h!z=dIK;}8*yrsj@XdoWC#ACv4 z8&^qw^Y=@zNN7jBkG6vS(#3+sS|a+ertp6FWlZq-gALW9!g`O&`pvKt)#hL|2d<*+ zI;(`fdV2ol^wjNEZ{FGMyEEt0G{1y5zPynVjT12Q+K*$1cV@1a&e%V8kQ;xanM^t6 zSK-+5O|U^4>v;CpL1}41@r`UCJZoK2WsqIbY+9_!LS zz6M4tD8OX?eO*}}UQ=v_U6zy>lWnOvz+RhnA_3bF?K}S|5A>;R`wQK+X&HMFTpMBM zdA@lTCz-l7{-4GGL)UW)TrM)H&dC{5&%6>yjTB%fa8wKJPFS=<$MaTJ>(vJ)K)fRo zJIG0I$K0<}@9MbETcdtlN>c04TI-xPm?jM#_3OMfHpZZ~`n?1Y3Yo0VDN(Um|?S z$OFD^&jr`Uoi2MCE?1L3sH6Yguum_8jl-g{(8kHQP}jy`l!$-aI>x^tii_WdJ&mza zEw1E>RqrfwPM166>|?8bnKAT&-?azGn(AHBr;O|@Q(EiQO|}sY>=rYUPVj5|)pTT) zrSgDL=)wC`Tl2A^`&U!#wym<;cIT;fEF3mnJJl1&R>ME~v{K|f=8ceLrx`ujPYo7o zcbU|INM zn&=HJ?eHP<%iBL#ae*zY2hkWUO4<0LXy-ehbUr>y^1%#sMaU`cad~5@tiAV=#YxXLl2V+ z+wUBK0l6kM=hFcfqFIBKd%Wue?jK=78IYy->#qS~KO2+_x6S)4el_-6AK^JO+4=pmglUcTO2wxGqZ^DS`qo~Ji`v?z7RqDT#X(2m7BOE)=KfR#Yb z9i0>iYUZOTbf@F>o6*Abt;o%o4`=58^}#cLSV_2Lm1HyYPEp?(Cj4K|ujrOP`2UYq zrE37aWK;($)Ar|8jeCzv{^KaKN<9?g@6K#ql|#htS;gCt)y5H?OY?tjs+t#SVR?+) z>8sp)uT{~~P1&<1=ocKN88f1~h;mid!$dvw+Fof!ZmpY$2aq24+;gB1LZQ7 z1*9T5X=x*SzszY7+BkouP1wR=i?g+GO%^}uo4-QU?k8J1#=6Qlh?tSluRIR z5jHZN+5Br#@cE}H~b;kN&a$v0UA~S6UbCo zz2TgH3nF85H80=JV37z#ls$!JGkxrgpLHC~<=G(=}2*01dJLk)wWD z2L-{Nm4BUmYn)aJScCr&#*DXLV0?J+pNGfr(uc6+FZ;62=kAQ-;g zng1EM1egZ}SA*RMMPbhEWZZ`k51E$=XRyFvI6CO1XFe_1-xlUr1(7(gq{8a<;*Z)& zw?PA9-qe+Wax?z)cQ&LBWjp=<;uXB%Lu4!9W9;?&v|Dm{Oi%qhwp06v630P*Y zZXf!iTsmuF(k`IfQchU(GGBhzrX$mW7WI2OYN363rs4KhA)qsRgCucqtn?DcpXaBE5^1;~}Z8j}Nk;{Ax@*!kNf4Tyb z2`SgS{os%3f)QD_zc52c`s{{3qwDK;5UTkW-aeNwHfWh0m+i+tb5fR>c`3@IX|i>X zwQOT;=xMU;T*QP+Nwl+pjF?UjN=wd4S~47=#WM()S?UYnMi_G4&`O&(3!egd@TGC{ zVZ!I`*7SD>MUqZw%)87B^DYw%!Th#C*ZJ|}!^h%K3@THH@SYBD=6h>FR4)vJ4-iT7 zH*E9+7*?NBWKnD(teB5YKV<;OV`TB)k(kF%KIv#N z78?Au7{V%D8azxCs9zR*3SlzRm@*yJm6k>d^hp=F4{zvwM?)_h^gtHVG z+$VQ7KfH)N@)y}53e}MqD(4AJpzFUU&<3|Es9N`F={|0op?m}@PUpFEOiMAP=W6e0 z@sBLajzPfeT!xkpNQ9zL8g1qeJKHwopENLhA8g_KExl$PW^rxsP*DIhA6BC`%oi`_ zBkmwNih9s%won|1jsQpYf7<)!cH|Yn`F~V)=pZhA7+SfZ zJO7!@p=VWw@7=ivGQy_ViE$RRYZIc*ZFZ4Xm#=FhFn|6V zx)xyW@MU1={UM^NT8O?c#32y8p>r{Iz#JAc3B8jaU=r}Ss6w>Q_TFSGZ<|*t*Cn4a zme>kc$8~mJK6JC_R&%T!tz15B)Xwkxe8*MyvTk{p?nnz*=6oZjhHv`_R@}b3@1G~A z^E1A-Ftf`Mro|p2bYrs?#V*0j)5I?Z7d7Xyy649tA^OVD)lFg#r4pq86*|UPbg8oz z5BJ00MlQVL@S~{%PH2CAP9FgmYA`8*f+?rE>=OWC@Ojlbc@b53I8QX|(y(27qPuPw zH(fRGT~<_TeD9RBd|KmXuhA~HMHNmg3m>;>6`?YHvS{_hC&C%8G8innG8vqL*KRd@ zfNodhg1$o#@c)a-^?h1q1EvJ;gzbGC89`{I-f*+~0IQll_qv_LX=@FFv}y5L1pK|+ z_w3flHR!ish!K(QHK=$gMthYV0U7jM-%&sU<`+=jxX zdRK>7wm2_q+7v?*9tqB!6mi8ReLbV(tHVq9i>FVhzEu!!O_e!{Wpk{j#r8C>oTIbe zZd!Wh67v~$O@Xmt4Z+v>;(c`aRc*Xy-x?h6UnAvF@0ph`pj|$LbeJHKh<;;scv)}8 z?`_{dLD5UTYNP%cKwg)Dl}yFXxZimGYinNHmMuSA{ehabI*)Y7cl)s~ry2cqGC%0` zU8kYUV;PTnM=3wO@AUVDPYO&?^CQlew?A&* zo%ehGv32`qn^HHM&q`^GA|IM3&zf{}9RBCL{@W4SKkm*}oc-ZcHg)>m_SWX}OE!wG zB=^1(^NzT(dOZFfdcf#}l|>D2rD?fDx5Pf^L6yjDU%IH`KWViq92i`FJw^4F+&f$( z7RTs6+h|-_yXMqc#+=oQ@^!YCy*xj9lE6A-&!4(tv+2)%8*#_LRMDlVjSlJ_>OAks z7x0>^-=94z+>5e$k3HNRaV*XTecFouq%{7>cwBlJUX)W(8oAOpx5F6}R&Df%=n*@k zn?5OaHh}99g~DINJz>aE_Bb=3jW|PI)2*zVI}`uY_H*m-)YJH%r(?gVVdPNSug5%V z;ktv3;mZEr9Se;O1I58^6~gH;l@s`0);y{msm=d!)a&kdf&_)aQVdVAb>NXz60(Q4 zw`t27(xbI-84a%Pv$J|XX*bkfsU$M%{Ak}{e(pt{+*U!BkGt#KIc_DnjrU}oi zb)*vtZ9Rw0zsD|b-`H^mUHYhoovDpcaYNw6E$rl*;iRUAzo}mw5&e8ZtohK6s^8#^ z_{*RywF&5I9r}iBq%vBqzIFSKkqcL@!2ft18z);(?_pcMdQ)+4VY|%9u`x9Gc%+^) zGcGyfsqvw43&agGtGVxFySSeF$@k@9S9Lj*+$fi{GolS{^hj_fguwraoPteP`b0UV z+nt=!qkDKt^)H_AsZUOspIZh$W=Xup**7?$7w6}O&rFAVJhA$hvwc4;v0iR7&K~aZ zc9S&|3e%cCxf2dqbiziS+qQ^fnY0&M=I*Zeq#bTA{H^e6d&ijsxW-hgSEJzG$889f zx5Q1*Vf`3ubM)1yhUN*+DCgmq(VHZ#0hfDLz+b`(pPMVweWR0b{a50iz8kWwF!738 zM?kk~%EFX`%GPERmS=uuN$>Q6`@`zvQg;1p8(6EqctODd&d!8rZ>~o}hiB74KjA8R zyTYyTQoe+#x}2uETIW*o z8rL4Tvf5~}EF*m~SEfJUn&^F(H%qiFKWm2DtOL8tBb{2f*||J@WBSBuyZcp!ArCE1 zd5megK>s5xbLTFp39st1OkkY9;thYam&FRxr=sz0G7&|8yf=m3^|wxPT3Wc*Y|9+8 zi?gP}?VJAW8~Sb+tJRA(Z(eG40dBeQ$ZSi}Uzg!iLg+@5IW+i`gX2xJ6jY=-dVO4qyk`A}ZBDde(VDSX-I1FJvR#^a#S41kBB z1%UO+IH@w{<-VFQyPNGB^V^HNgei_Kq1R>`fvq;3yl+mbx7=FNW*d`EHcvPz3hKNx zy|rS6Or+RCdCQ(Bu)PBcv@U5ID za$0eq_R5(S;n|05|EBK9xnHvv=ry-@=D8;{2Ob<9;GD{T8MUU%_+lA9_hp)(sYY}_ zPoD2|4px%K=)|O0?LAYu@V$XoDS4eb(x~0Ia^aIN0)rp@+8R<8JyM)pHL+Ts1^@LO zSjeb&ygzgz6RMAx@8 z)pdm*xN)Wa9GQQ4WhLCysI8;m_(J5FUBEGhqZ10v4g*Titf_6s9zrle(7O6vA^&xG z>qzwWaMHmX8m=Qd*6m$xFbhs8u8a8iLtuJbgOku0Y?a#hV+HzsTw3;ghD#+^F~^ij zAsy-lGse%%PM&h=J)>RUP9f6oo1u*5+CQ!;PrQPBap0D2a!NlCJhotTaC4@2!ZLZ7 zPlLg!MYo#oF4a3UeQU{)rVQ@}8E=xec-h|Tt2(EH14?CM3eL!F^=;1ESui}NuA?xVHD z)uX)Y4Atn>T)1MK&!U4;N5G%sXgDEJBjBw2!u93rB(2987~V`Zg1?eAVrSF0RdYXl zh{rQ`INCW)-<$XB-Olw+RTnLNe(mbMJg$>!H|YxL5CbZT<`AW+&k$muqMQ0jJKWT> z67)%Ji28CE60 z^-h6tx$7yzgqC}b#tEO%w(XNu>Lv=@10N+PId3bnD5*@-QB>}{-)ZjB&A5N-cA(k9 zpzP<;DXJQWT6KLn7>er4Nq^7Ttg_jw7ZuO1)_@^u@%$f&r262yk+_Z&$9WPz7=Yc> zg~g2n*LtY{VFrAb;rwb2Zm!R0{2HVvNV_jpOIs#v>jMdc@B;X_%gf6Hf_Ibj{w!X( zdfzFd_^BZeep=r#er%g7IrMZ1Yh1Zu;+xBv&Cd0^WRGL-b~?ST>1H(*16Z=|1Z3T zJ~>LE-(i#&M+1cY4IKmylo{dE;MxEQG!wvhTq*(QAhmE4;gXunHn{rjF2$%O@dX^} zqWlR0|LAukK5&D)&8|)y&U7`FJmkvD3v6pW?nE@G%GVFclaS0ygkChGZV*Y&Uwu^*)5cD$PKjr*oBdM0OCBTCTe6HM4n2A! z(I9>UJKQOOpTEx6obAfYj1Ccsl@*-4DGmX~aO*Tk4Qc^U3Y0?Bm7-O1>PQDo0Ixv+ z?btEnB(4#E9$hss#EK94Ymp5OsUSZ?dnovq3J_Rh!tGrTx$@a){EL!3`uauqj zWe7|eC%na#)=q}c$^ep7q|eggD_`W<)9C&VqEx_+-i zNcF}C?c*t)D>`=ZyJMpdI(#8a&CF92TF;=*o!1r^!lggpcvuJ7OsHa+<<{MeOl^~1 zOU}rsgXcs#Jy`s|5vk5Fh&?0X}kdM3uUrkLqA3(@b$tB$>sQAfKd02Dnar{qnPIo${q zo;@daaqrPv_`{^v<73vdL#cLEP9knh*jQ$Q>}N|}R;=8jJy|EQ!^6ku^%trRj&d7J zFD^y}&#N!B4C}cJ*9t*5o5ejcc6D2p!fQeyRIT}2;o6Qwj%q-c<%AMpxGfMr2E3%H zet}kq1R@Y7Iu6B-NIv)~)zwZsOZ}Zds>w6UWU3;OZF6{7fz+|MFXxJWS_U?zwW&2z5DfUG2asfn2Frnt7x9|xh<(^uhg zrE4L^_;wIu8KyT8Zh>MLEd0hbJRk9+K05VDKqbXE)8-$>Ae0H?xTXnBr=KqLX`C18 zX0ndPOH`C5N<b9;91AtTzA|Ow!^Fg=8qv119&-w$#Wh6^DL^u%O zfZp1Z>qCRDTQOe}JG>+b(6I2ThT%lLoC>d?a^|<&@2{VL4`p!R@4{TCTCzJ&Vlr<) zc^;??c7str2WF6DZp(@$XVz2A*gu81E#q6UrqVyn>*y$@*=&d(YRC~w={$DtGj?kS z-@#^Jswe-IbPH1b27vnl8I-S66jZ!WF#vrGiWL@?;KO>JfFOW6PGAd!<@>3B=!DrX zfZxE$VE`2L1J;gE?+iD2c0ZIc=rZcV5BtC_S?*W7$&z+kyIlX%PsP=`3%}jw@{K5S zjKFhOg4o2qEGB8ip}Q(u_JUa=uGCajUsda0C9ixhTbcRbzp)j9gn=XoM`be|E*YzS zAPE9nvCNp<$I6(Ynz$nPN-iqwO*G&$K8GTGR7&cAJUxhj>X2!zJsr)g^Wh6G`=7S_ zzH{Qdj6DGXF6GKXvwV^~a#mY~$yAm%#XE*Y=0?d~QZg$Z{-Ut2a;mA`x$2EkAob;4 zZ#vs7H|RxY294)myPDoJ?r>G6LRVmoWIdz@{tsbxa_RdjUy~W>kER2|{6NG*nj$V- zfDYBT@)al#gdQjXq>AG#&IaPDxWigq+lu`eyL)}& zEJQ}|1>C4trvbpMu0WlzxJcAYj1Mfy0@@?EVx*E|pz9~k zN_Czka(?4p+HD|cCtH$>@NLBQDE@8EW=`z`GnAG8<`E|MgCjQ zCg)98$(gFd0PY5{T$|-pgZdkoEEb!rg_|gk0rrPvBwQd7cZ8aO)4_YHrR9tc&}dSOq8KrKwHy8;P$DI_sCeo03G z03bc)_Q^g;7-2M&pnja5u|%7en*$^ zi$0Oed~FwFpg^(r%p>n{LPNpLRnOTvxknyV|K@MM$fko~ zoV$%r%F?|bsC%)>{b_}j5N3yKVb~o+e07@%U<_&{4eZZQB4@QD0fN*Yuhpb!P7hMi z;wA|A@ZTNNf$gi|TJvXjP~4#dHh?KL11{1srH+Vp$|?t8cQaFwC?zUC79Wl;HD^LL#GkzabC#i`Z*2YBchr1<^M8)87bJ1uV6L4lQu| zHs@&CNHL){W9?GXZH*{Sc9tL_qQ3wQ$cj?#<)scBO`Rav%knL=2!@N)PESpjNuxjX zs}V!lrZ&Oy-xY8Bnhyjb^U6X|&4Q;cA>eh;4&X1+=J35omZ5~^ z)}Kdn;rk~#C8+;;yz%eLecd9bbK#5t+MfPW`5=&==)kG(Xcj2Xt%|vSdX2H1WWMTB zoiw1kF0{O&Vi1=mY7Hd`iN$6bMV!pSs@3BiYSoHSzLXF`24x~>2WfEpOnE58F-}uW zCeSKDO=L}57Kb#7U07bAc$P6w{`iAX>jz2$cZCt_TsCv8plxQ`#6#V*Ib~8+jI+K2<0Sc?stz9V!3)Q%!z*8#${Ll3ZE7sv-e@^BM;-nFe* zy2w9eTRRHabws?58zkk|ITbg(v^~W-b5$>B%6Z;h{_CDLrTMBIb<$o~?o)$S+;DXd zqi<6yu%*3Y{s>1Df5RHljp)LFQ1~dgHAMIV&Ohp)R!W5G@D+vr)YF~i)X+4ckH0HcPYB#A z5=NtLX^>@2F4MOx{Jv>?NXnCiZTH?Zf8IS~m$3uvb_&daXS*V5_#r{f1O&C(AbHDR z5;77(1@htT7NQIw5JN&LyhdX~Jv5|JlV#pe76>E4OOUGRq^wx=+bpSY`{TV9O9U$<9WWf(c0}_LQZ9Iq6iEDPhU;zCOt`~q81Na& zUHPlSY@GI1*N_Bv9qJixh0Q`!jetjn%@o%+=Of;JTMuB>jo+A0KEl+iTv8^fsB%N2CH@Y5f>@K(pJ~w9~t&!p=V}asngK@)va% zSAEh4tG{DS!8nsvU-mFiUh5GmAv7X&QLp}?n0Fz~y9z8@^3HdIn@A`hH(?jd` z9Yc4Tth&^)YXN2Fo%Jc78_i@3$``zK{-M%=`)YJIMb$`G%vB3zAIgT8`n4C39Yoz; zP}4QkA2K6ZQP z@1#b0>O8n0YoJO7+uj%0XZUp(uUed&)48;;;YwGWo6q^szwMox7waBy;2l!X=?2t9mbo&hL1(d4Q#@8th4v$!lgtKsU0DFHGx6LWb?`yP^NM73mt5r z>#|eojHBr4*c5SOO*zdslc^wr@O1ir2EZGz@jP|y;;OpH{Sk0f4JaxUhU&tgPx*dC z;MM_mn}^aWN<2K26ut`#h$KP=#=9Z z%U0Tx1kF8WHb+ART_@;W!+`_RR(T9GMj@qefbgNu96JeFE;KeN+@h0#o8njGn9{yo zV1}D+8X>>Gjl$ytpx5sH<_KMu;g-3%E*dy2Ia2lmZn<&GAU`rIJYS9KO80#-PbAOg z_^7MD*Rcf++wzfs_l)yI{5+6W^Lffs&x@7AJCmMdrn8$Y!3PGrV?b90*)Fu|_&Y!W zX6rNx2I0COBn(a4iSSn-8aU~@?YI$z0sv%11d18uxPb#CtnZkkmXjJ3L+@>P(FsG_ zMZ<{}Hr9xdgdzL$T~MH6^RQQHJ*5deO709Tbz&`0(gqdDrw51<1=Xb(*afEL=b-)i)Q zI>8J!gLcDeD*BlW2vWEF+`9M?&!uRc4Ui?)do4))MM5?2BXF{h?Md%)EhW^-^dY>p zVaF;pwm@AL6njdqANK#Lg?G_$%aY>>$T~=Lu>R!lN7tac$$%h z-)i$rHDXjBbkh7$ph#)_L~6kUDLI4H_FyhFS3zgNh>>LR^^S!nKG*<}7M>72UN-K$ z%MX=K=f)LC%Q%X%bbr}@lQ>5E;{F<8L4~*kh7CRvODdPh-2j{B?*#|ykw|Egt2;Gx zksP(xv~q^pTdM(;#HVF+yxPW2H+@R$*T)zTm>kE+M&f2Zvs1i8cs6ZXM3rftR3|lz z_qRJNjFInc9@&${U0g%sG3AF2EVWxzjN;H-T`%XRAr2zWcJ~U|MKpFX$??+#xr+0<#&P!qBpMAW#?}h4 z&#sMbZx%kWP*R{a+(^^BC}7}5l&o4(utDu3@uGd|qP3txNNuA5E`F$~{WHD2j32USpe6 z!Ac<)CGA{Mz;0sf@ON$WIhTAm>#(x^a9cl#4nuB=tH)X>HB}(Cu(Z8kt++xQaBY(m z2Eqndgpg9BesSaX3T@ZalWt(@^{V%c#tSgO>ZB<(?g8|R{gtc%M3a&8wd65c+#}u zRy*lWh_aW0fFO?{<>fEkS!rpP-dm_lbJ@qJq>6mTR`9JhZ?b$sQFT@+cUEQwS^)Q^ z%Q+8k(!rDg^t2#oo%3yFzNq-L{a|LEMEbLkt8;ELap6Ek}b z=8Ur^!wN-k&aHosBehmSK{aL4AZEaI0Ul5I9*U)5+B4r*B5=rrGt@~9op~Gz7#yi* zIQ-b_;NoTX&~=|M!_RTVZpxc z-!~g9d(dKUR_}Raf0@Y(neli2^M&pY6Q_RCJv~yI(TXg$0b>6u)XG`82~)~`tsLy6 z9&8yOAgU1bj35sM$T^233a&yUq=PT>?>5fyAS)Pu-TS`1{S{kn7KdP^zhP%D_`?2 zItSZ3j87Cx>x)mz+vKY%gx$z5k7&{V47RqTvbqw8iFbLD#tW#!+ousGLmP#^&Asjo z%Hi}}m!kI;nKN$TV1ppMeON^V6S1boH2K1O1|h>}UEh6{2oggT|9tBDDv$ z^{Sf26SduU=pbYU5Od@&8)G^YMFXR71BpE@@2zEZRv}00)Gt7n|}6D zq~0^yoDF^lXCHMr+EJ_^O&AmT#!JW8$Cq?X&#KD2M7jB{(n=&NG}#&QV>f42xv(2n zR{|B2_Zc1RNFG0)>Er2$v1mXZ2>O`$!vvIo0WGX7r|o!PO4Iog>prsS=hcIprimyD zh4*IAxP^KM3M#wCvzIZspT&0O)wu(~9gzBe=@qEfeGQtviZd(z4%_*cN76)xC(mde z`U}^Z25i{#qfSU$jNUu1#-TxtJ9z2rb@kp%nRholp&IqE)z%_tb72Crx(#F=wbw{J zVSt2h1|i+iC^3?Fx0j0#9(1E8Dh@Jrgc$PUJu^nj#YhGP4naC{{NCrp>@=skRwV$W6A< z?;D-qE!K7davC%~95t`g$Xr-jGS!xXMD#smOxC;^Yj$6M#DZ}x=wi8!%l+SKQf*8m z8BTMQskfXfM&3@}oD_UP9KY)B(gMaip3JM#zn%rp4FS6X8_jGHn(iQ?6y=yWaFj`{ zfdzYG4Gj4Xka=jn2-E{Ls3JI>eIiEGEF5)%i`E=aLm14IP+CCOV@}UD)#q=fe7Gq* z{;)%h&tlsrp)NW(DYmup@!*7=5y3irt$!J-h)F>`{HrTHhJoaeU(O>4qNApInw%F^L>T%cMf^g|O z>$yFdW_)V2Q$j;aNpJuOIH=Ya zaH9u0H~QDQ4a)Qt#Ki;)>(HcSPfoPa+(GUyP!J5-ZS!UIZ>;R;PK z5{jBSP`*IYAa+kA{*B9!#xn2sXBc#yhZohK0c7ZBi2RuTV8YA-lX;i^$fqz; zt?W1?*-vge;Jx6V#kccq>XtYpXRqtBjZb1Xm!{$|hhP|U5PIDpn~R)8=S_r}b=2fe zPxpq>=vSSt%seM*hrHUOhOXqQp2E{_pnHflD`Lh$o!ew;&yM@-7(%u}{aD1T-6**+NQKB8YIdprg$*K|>4Eag(34_G9vX)% z&1IxsXM$(*?$5BtKHB(C5s4QHx*8Rh68nG6Fjy>d~$A09aKHU0%&d z1WxrCu6_18BKXBJuCBt2@WNuRa&MZpq%U+V|5@fQpDt6mkEnAN*II-Qos_+gqe zecR2R^6y(bBE*v){wy*cOBH49Pa7xmRaREX)j~Yc-NTWm9+ha~{0)ctW7Bb2zSzgS z`6vuN;?~SSjZdpKU_n9c(Z-xb1GKuTq648@`rb}9BPSi&dji$lqBUjf%QOD?HKrgt z!6xFXij`0H-MAOC>PcF%e6m}Vp<}yy;59$7eviU%){)TD?-I=_o#xypI|ft*EX%3j zODHM@N97F<7pLbEfJ!@KuI`Q0#J{JJjCKXQ$Be4ap|5%ZlqSL4SmaINEm3O<8iRz| za~kJbs1}mX1abe`JQE58L^}LPB!#lTi_r+mKyo(3gP&U?JD-sVTVGQo)mcHSxpQq; z{nW!r?F+!1!%t@@%*WE!Sw1bf6G!&DW628N%6|EIfO(SPsoRF!*7B|YT#!}{o#`<> zHH6o~*zOazMfy-qedUz7l=_XuiUR%%3VOE3F<*7#ndm`($b%$MqCAD0F2p?gy2Z0m zHbb38tS5BrLfMU`)exE&C}NYzSi>uf=GD+blswB64njwK>O4N;RM++g*j_X#NYTGH`MD-upwL~p^$vj(;peflw% zc#v(mjcznWF6_BJW?ymc6@oi%}| z-MfqGpj7?h){!b#MoqeDyRC@t_j1C44&S|=J;mR8Ifq#7`J%x1`k}omm$k^u;={;( z!IovIj+v3zLk$h!uK`S8827<>!+wTsAE zIG#}r+E&l$uAioC)lq%-i-h6o>;D!z*=U_Bl3?sHtkVQT@)89#)RIXVm-ax0=E1L4w9* zAO9&Ms1pVDf)@K}qv(5Qw#62-*REBSFXcr@O3yj4?k+P6vE;YkazC?O9{ZxFJ$YwW z16{bDv*Lm3R_ip5WEz2Rqbd`TMU2>n(bs~4k~@%D4OkL^0*@uf>oH={oFbZ-?9Z>b zPFTr6lfiiEa`--Dw9Tei?tmOEU%xsN+ViNzgZn|#B(#dD)(c4-=2uCTsH95Sa>djQ z5qFfEZoK2JF9=n%ZJNVi>owc^>%U|aP3+tgy++Iu@X;CKdEsm;bJ#%5g|lO3>X~mjs3~&(l{g)r%hbztz62@892nPtHBh-39$;Z{7cV~GCD948ZW?_@R~hR57EpgsgHqXbMO|JAt} zT^ux0KQv)=UJjp@n6T_Uia@iQXPl`ag>c8glqm=&8a>9jH zvvSD~#WXIih|HBg?XLt3N<)w{O-!D5UHHD;kimRYe``gELuvQZr1S?PJMz1)^@Js_ zII6rwH43eT$JxvPc0d+HLIW6FlHUFNKbjgD2&3eE!bek&W}=@)VH*sXZPc13b$I_a z2oVE}{anC=UQeLhxGyjg(yV&v+ub5e?iZIQnL9=OcSiJ?hOX)NYI9dIJ4$tEgTvcl>*6dYMZ$d(b zJz}fU%i+LM)iD={5-GOHp-QV!wIXoi1*;)w3_`uNg@Azg&A3Ar^|20FOW7k3Xxu%d z`yTymERh)BFkMxd8s#Ankn|Sv%}(rNh&@=I4FTq|wtRs%E3IxwhEr#nL1;(~NkC_3 zL_3o_fXKZq=gY=N_SjYkgCf};X0KRozZr2%7k}e%CWut(70g;FzRgYN{KIzh_s=7~ zj`^MFG-Fp10UfwfSSi-vRKC-U*fK@zjY0q)Ccy*VJI!wb12rgok$hgGz(O9eKn%GZ ziTQW5AT2wY1Mf?<0TBrye3nh;M+_&RnzB?UY@C(^&5U&#eIVePNNBfyNzSj2f}2Ta z^SrC*b2@uBUku|tbok?uux=M$7CR@2t>;1yH4T_^qf&p{*({d$a7B`Um&UbYFwU6g zSXIL}47vc?t)*6u`t=O@Y+2AVfG#gwHFi29>{AZT{|}8y6)T8q)RI_FYtW~Am9fN5 z0JR?rh9yUJhK8OVMAho+Pk~6WdI7byky9Ju5EErraj++f8DX*4ggR!Z#okwGe^_v@ za;@ddI+7nn?|kn0sUrQRGvgUEgI3Qdcig@c@-j^n6?n7ChFcUL#&Q6%Cz0!n_$OtZ zF^0qH=`cc7Uvx>MsuO-2$DxFLwX5)&xM!>cyk`&FT# z0u7J*FJ>Mo?ECvF>5cU@+Qj2x$7{m2o9sLnntT2ya^XKwXB2t9A-t*`8_sQK|7tk@ zvkEaeHQMOR)vtO|A{v7dTH3ZR=C&Ov?a4~KxL8q`w5pshg~yr(6N5tinJX3-F?02$ z@cInQLRd|R&al=8G9KAhl8`Jfg%wQ=e@J6CV6)y9LJrN=^uuxIL@mnnZE!-3Wck+4 zESl=zWTMTNvS<+K2g7xBeSP$~6Qr|B(qcoZ^}5PMqR7|gqRdlET;SQe3Dkr-nf<{} z{EZIzMm)N5s*+lAS=L$V^p0UfEiwMS{k*HA|5<>xYc7^?#onA*Y#|Z9olYkQF0%;4 z7Nvo6U&NrjUmz?!gf9n!M@?nZE)h&yPY>CiQU$+5uwk-84e_sIRI1L}@J2l@z1N=h6WxXf7cwj*g< z#Fh*89wq6l{>Jt}Fom?Ld>^G@UTN7%LD4tKS6ys> z_l;jnO?l2A{nC7nS73wWQM|v&}|1>SH|F)zy`jTV7qXrXcFH zTyN}3e_KlL#`3_+9yukfGo{gIUM=P?wGN|Sx++{9;*`g?af~;)4swW--L&uNCS#cm zy5_0#-pwmv?C0FS_-0?GeWgSEh5Y;3=R>ipcO_jr>4qnN`fs2j7E2MCAYm;m`l=GE zw@txDLJ0+wTAV(#SXQ$KU`!up)ZI&%n**WCr(IuzDdyduK=xs2y6W0o;K|X7K(xb= zN6yM=5~Cyi?T%pC%!Bp`mw7iWCARFx-fgd4eaekDR9P-qT_MwnAAi{FlLY@@OAa&t z%jBQRoVNb6cUOyWhwsh;?xhUryk-Wz=-W{9MVncJ+c$Kck?B3chFY zAF;Iy5j%=V{=v;UbhPUP$x2$MKBDBv&J0)E5eYy+uv7~ius`M-df%=%yjc(SIht+# zY7d=~$hoebJGcL;&wwx#84zGg6>1~0UfeJa`Mu^!kYn7u%PV*}@VUgQ^S&F7a|;y|BJ2FjCcV^3@DsFD!-XxAIZ5AF&Ty^xKW) zXAkIJeWh9_C4qu9d>2bmgf8AsD#iu@q>@91WpUFs&&}lyn2#RR;0u6F8bWB{zpY5~ zeq4RUYRVXW!LgtlQC7RWU=z|=rB|7kTe;=;?IJfFm$E?B#s`mny-z;-%Ijha>&$fW z1n(-x8Xs>_g-Jw8i1U?hg~`RlB7v*1b((+hQM&8hDyNy%72^1F1uU7bOt(?E*tVSC zTj^sR8rvw~?VEEy#wG2vJULO)Y#i;&Wa_j^6dfr)jETGu9zG2vIi=~m_d$*(WrJqN z9kCacXdeAZxX=HUy}SdIxP_!y0~A=Q$vng~LatMP>J0g(V^>U5whs*;%7c!pc%Lf3 zmNoB@x?uBI7qTJFls_3Hc#es{K@AQ+4%SgAE<$yr=Ilvv1ZFiy|Jh7%zA?OW?oS zJ2fi>jQ$WgA6$bR9Kt{=v*)>rpzaoqi(-tx4>?#Cf7#@i^Etw5Yi0hmUOd z;)6wjD<(E7pgBpk)=hM=(3VHF;$4mA5QtSj2qAB)=e59K7mUoK_OtQ183P6m!M+aO zT@`u)_Vuk^xy%<9?Hz4Kzj5zeC-3;--PS^#_zf)8rYqa;|4h4(L_HduC)4p1?PJMb zJG~2$Z9EtyH-G-=nlnEKIe3?a+-XdR5xTpOm4(J${N6%ok!N?B6Qx~xl(N`kN~$>i zhi}SUK?{5qAZ@vm=h-P;u|6ITuI zsETwma9zJHcmZ8U<-b&^%;IiW`n&HhlbAV>yvzAr{uNAmsDKIoTYg%?VG9HcIi|{h zfIAX~zN~^;;!Y7bo#QN4$~@n!mQ^V%xkvVY>YC!Uao%Z3X|P&Q$P z9Dhcxprybg-AiB`EX!%rt?*b%6ZvEcJWA~DOZ29vnug9^?j52-{-ccVGrGc)a+GaU zMdt16_4THQi0=m__{w^Z^6HYSEM@g=3W;7Y$JAB+YMTSKl3V>3iOMHjLu&EWx%i*t-VueLq>f2`^-%baz>vi zN^R}vo?tpeymxPeM@ePbYT1aSQEwfRV%J`WC#x+#W;+TV0rbhpqww#MU!tdnfBB!n zq2QWdPQ1K)#E>D!h8!OYFAN=~5C0zai8=iH|Np}O|Caur9E~HxSDY`{JKic=4^G*T OwJSHQNce8|&;JKt*cDCy literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect2.png" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect2.png" new file mode 100644 index 0000000000000000000000000000000000000000..7b3e281d6d9764f78809cc2a6bc9607160f37184 GIT binary patch literal 100972 zcmeFZXIPWz);5geI6BtBh6*GzV#5N%Ae|)R2t;fMf=Hhs3eu&Qki;@7(r!h>3Q>x5 zBE2TD08vpQy#xpnAwU8l5E9bAEADsiXMgXrpI`6ahvV?@CWIvSb**cybDih8xP9g{ zdfnId-1shsYw;J@#~|FCsj1-{}}T}S}m|9JVBOSqca zhQCz*mKC7#{lJ5!5!UA;&W89zME@0bQ7!1Nh|7T?5rKZ*KSx~*3-=2NHqf6tl(qcyL|t>*{bY!+sA)i zb@kbmXE$H$p7@1-EOsRL1Sx2CP+D=g@!+Y2beBhky8f$!IoZn}r)6jFTb1z6{>*E` z{VVMsypkyWKsW^gk0t9Ac|W<%IO3Aw6EBE1d3IRB^EFd+_Pi)M^T~Ya*9_ zyHqm)O8~yozx(IKzaIJD3$QHzy9ocg2>&=B|1XiSuNom5I?JL#%>BejMo?{GQPJ_X z2m0W7IRYX{at#GC;?_2&W^Q8@UxpWp!hTLBp1|L(i69bRf8ys20_&?*T=y zdpMejYfwlyPE`CS)Jk3hM{k{$=^0+rLc4LGhsQ-JYArG;pse18(_7lb9jNRnJexm6 zABp3X26Yz^hE~GkpS?KsB$(r)rmT5*WMxe_SmwUd7Bji`4>6y?q{F>Erw)gGJ@)B|iGD48`3B1~szv$YJ5$fj z@*@NdR^8p@5jT~m8y5S1_k;KsLa(s*yHzidZJiX+X}h=3I%%ta*NYTA%98g*mlw`n zI43kLHutefNSSK!!1s}}qT=G>MKTX(w|wP#$E2-?x~u%ou7e}p&*q;lU2rKrSK9f0 zFR^6e(~|&pW^`|1epZoL_(TDSliJ}Zw_wJKHCrbiVp8qF6T87ptlM$;7-8ux9NAUA z>=ml5E|gW}-?JYf_R~$aVIk^o89jf^JPT}4B>j}@nL&fs`lbrxZ=zcTcvw8VB$z6dnfG*Z;0* z65RdJ0CV`lyPrHFdz>U3FJ)0NiQ?+f7}aCOetM4~tfk6Jd&@kERc8Q>6WmpOO4SVVo7;s6ND;fStN=Ip285#9FasbVjA(XK)-Dqi{7AbuvmtIHERlLuEW(IhOm zvH-4_yXD_gbRREn^YRx=b~KD79yt8ho9|VzEqS9sd8V#ob1bd5#3jc|Dd__z{%N}@ zYi+4V)|5!0)2rsfG{A(L0&*Y%=7G=en(y9IXG7OfrGjfzNCn~NO)H)j+vd1e?V8aC&? ze7j37{~-drnz>?$6)9ir>l0^k${J65i9a|;jNRT_)X?B68VZL}5yJDQvMgP0!SOMJ z!LlX3>eVCX-|r2e%7tAZ**uoU27%ppY92jX0+&ys9~%W^;N={z;vh!N78X;L#Z0K; zQyv8QqJ*dv!)L1dJk!z@(I?3KR?Qto{^`bn{dXwEgvDa7LfdEpr20yy1;_Y{&o>hxVStYfkx$AnSVlBB^2@RB}mM@j=T z%;Qe%{d2W%4x7Ta3hPuJiIfBs%R)9 z3kR#HU8gNhfusCny#@EzOuS@j@a13ELEd_ipA~of`VZ?mwWEigciEvluCLtC`^Yy- z*B0dLfU;9G7`CJ#npf7QPjz?62(lIR8i4(Fa(3o`{MZHJvG@R~$w9SHHV~{-RWc+z zr6S~zONEum(B=+9C%{78LatnT@L*Yg@DNCxk8-)-Eo?cb5sTd?9fa56vY%^b zt}I(#0kXpjrDsi`%O2f;&Rp9X@0P?W#Z*4Aeb+?|_sd6IO~SsuQ{8JMQrUgh?vr`s zbh<)1Q_BQDXDSt<+5$O9XPZ=x$)wLuJuw~t z*_GH!5H&Kvwn@2_c0y2wau->xDQ!E910PB?R|nc9)GfcWD-$Ois8_;39tY?k&zzB> zZE+4{2R8}t_4Snj@9z8}=^`owb|I{MGr6FvD~uesc=*!ipFueUk$sr01} zh4vIX+kuLdE)coQgUau$X&m_Z*^%pq1A0rUhP@Q?8b+5s|L*%V)W)^;?Rtwza56tt zvj9fu(SaL$p+RWW?;Quq4#a1>r`sU+26))cq&<uk;9RFegK2`_mz2+&3R0IetuNXucs+CJTvTrZrp4=ThOW* zax4uXIHU>-9CEAqSUoj*eM4_+isoWJ2C_{9l_wXJu{ZvFaB|#+oU7>_s4?&pClETF zgbmw&vr1DTkn!oC*MjSwo*r3gb5v4-#TCKH#{mNsd7yxj%^6X=5psJ23=ua8zL)1$ zhnxTz3|6lU?#RBO!iBx1ZhbCPP+5i=7$H;-09dNG9z6fd4k_MM${VT=cg2gxAM{Xg z*qJ(3YCUrXJb(5ZOgPvU!Ee#vj3w#o1#;X%$oHivGqpe6E&(vK9=Y@-DxPc{ zJXC;5HG458ytmh`cLQPR=%*}%8=N2ub>*4F=~HDDQT>128WQC@iW)n66pCQ*$#bbn zq8b2X%9AvKOOtHAZE8=)B6q-0#7xrA&&W;W3l8~VAQ&P7B)>~wGajP|ls$G?C8~Vr zn-%*)RXDD1K$-?IO5JMBP3VX?!5x8!T^~Ih>3qnu>3lf5y>y}0!iry?cj7_O(Oc`m z?f3XFmi+vQbb?g})6aGgl(aQ{8gk9=`(c+s!3QTy>Mn8y8?lu9SR+%~v_<=99&5g4 z7{vAt6uzl7Rl7KZAZ7S7YD!fxp(jt>X>jDaYeT$LI0)QM4}j_b8~i=APLfeGb?4~%4r>h$ z$EAh3=gOwdhzCj+Wv{b2-Hjt#uG|lx|JpV6hP$i1tOf|d z-keiXDFBsXDw-A0G2_&T>*&vxFP((Nj9wiI|I5(l z<7ZHIGmF$t5R@xlUt6(80MKH7Wcb9#4shP@GMtAddlk33dp9fTWF>0R4kfR8VMokj z1Opf$`FhVTjJ_s#u*%kCBnA`QSQEsUezkll5n7qChB}1#y(w7lNF7wY+|2rVC7*VV z^ogPh^7N-bW6+tr-LSKvmWg-UwCCizAQPXD+l)d++#h)1V`mNaDKqU1LBsNfnKXE) zT4#|&7Cu%0M4&Gh0R$yrFcs(IQjwkEk_s|_8z}?5TK51CQ~?r5%E!`Dk&;UwXP?j~ zSG7keqDcVv5yc_=3`Ob6xq)A=uOBs>0>FE{>8M&}c)OWoi3LRha$UxkaegKJySj#J>8LW%eC4+4^-X)J0j9;CMMN% zY}GJ9DL0=k$cX^y8>Fv`k`{gZbAS}wK=XIvTg_47BZ9n-;P*alH#lSu03t@^MpsL? z-!T00^`^h$q{5!ZMG^TrKc)2WMx1g>3HaBFONL zw_tGvr%k_nPX@4}0o3H4Qa6)NnHDBNCYMKl*TxAt8ia!Z)gU(r9#=nHrf5n#3)asW z9JPp+EzXy~KKgeT+*M&O)!u{3-#6e(ECu-0^_Esx!o^fyK1!Ad}BAqQ}K`2kKfgK=^Wup{)Xb>#PVkJ>t;_XJN z8(aP9so7aoKy(#b`F?tw2iSpHRY&j*u?Rtq`wZIM7a;%H0JzZ=f?v=iizlAcSG@tf zNRa%?2Eu1`wAOP~cQ^X#ssxl8foy>u0FJjSCB9zO4T9F{+ecaK^CGFR@8_c%dH{kr z48TUp4x{5rF;S^I#beI^TA>&ZYNRT2PJhKrcWdfdHnrfnZDs1k17o+MuQwNK~xftQ+@f@KEhg8o-!{fbIhO-ePAGx7RHM z6p@_(a)DCwt{t#EB~HcX1_1q#4giv|TixZq_UMYH+m17t9j!5+T&nVg0k487;)#+I z5A<9>6orx88K9pRGL>?s3kdQ`&<7Z38v2~9x$r*i4eld|L`~Igf)4-N=h6cRl$+re zT(}^15sIisFs;e&U8^qLH3}Xw<$#XC7%yAmf)JER;EhDc6^QDFbC0mBWEppAOTEh;Yi z01|Om6aZ`Q@bmYG7r;mVpxUi!zpgzNpzW&wr|U%!01bCiwi)asuh)|lcABkro39;F z%Fi^S0+grd6^GzCuc*aE2Xnq#e&v%Jh&NS*`9_XM41^iraDr zDM?uqyk0H66OcYef4)*vmDxy7%*`Fx+4_8mU@8aTx)9C_wSJqJAGFnKK7&^Bp_B&z z?lefEXTY(l=24`!BUf-=KOV14;k$sO=$nc@Y!~#6>nr=>pD~55SJg)#gD3 zy>jSqoZylw#8to(DVfxX0(k5hL*}`*q+y{ zdWtc4ik|8zpf2p0;%Q*Oiq(b&fR|)~N2&nLlF#$%c9Mp4Ra|V_Weck5DMrcp@|}n1 zkBtr-5>0iXJDI*;l4?9aW8#{s-1O($o9q0BL707OHoC&VncQUySPy$w5$Lq?$3dIG z9`tM^gQdOT3Nw!=*i{H}jo&cnVV`7Z=zBiRkS=oe+SYF`1;pKpaR{X_J;VbjH7yrx z>5E9fnZ&yWGb!XtC)SfTeQBHz1dO zJ`_Fq`AAyW`vgF0>K>9(t)m7mYS@Yw7C>K-H||M}vrUT{3Z=ba@r|1eu$s7oF+^+4 zrj(E-zK!b$h>Wf<04+H(Mf2@VUGoMsEgjn;XOgEY8#4*$5xX{!Gm3Mm^b0qQ0(x^V zGSL8)@3I9b+|Q$ff82-_2=I~LFaQ;u^Ps)nWxL4f8(LlBT3z&V9Y{NcT{iGF_)k{g z{YVsvziVpw&pqxYs!GS%s^|VNLj=z8Q28-23kP_Vt}rGAw|iX5x$o?0+eU$gqZp?g z6jJZmp?bf1tZ3G+9weT$c}yTU8Gf-~5h7D*q(^}6$sGs1@-c@`OLucsiSv-us4EA( z0W1Maz72E4p`l#JONw2X$QuVZskXglM6^=tvKryZ@tc~0nKLrJ-$;`gkcM7vQ6DZV z5i(yat;}I=UOM$idEKH{imH>tU*p5Ob8R=-iZy?yUR{t&kk^#g&1x2BWUUv%84~u^ zAdYf07<729LRNB++^0jdh4_Uwj%%n7lDFnwazp(PlA}*EMk;V#)(lCx^ptZThscrJ zS22$V3Fu4c!AZ=!mKufhi3JLOT2?9RPpTPq*F=G`U^ncX$5b8+Iw)~>uF@~`dFs^Q zli13dD0`G$?tnF`DLou4GprF&ysGKHTUl3A&|X&OnV&m36>VzdH9yG&^ApSM@5N9vhudo?mqFA*wGBtZ0%YbZI&@ zcAk(x<7O~pB*}bL_Q}XucOv7UEk_7IPL)>B0zsx~IQ{AYj`K6yxXeIjuag1=_jU_$ z&f8x0a8dB+OM9k8{&=0soXc@u*F)|5{*u;^U!T%<(n2LQBR13{2|?r#S?CW`vxG+s z(51YHRAINaP(9quK6;)g_9=>|iE_ptY7Jc~iQTm?cTBlyA`3ff%Si=viaGJi%>1Ly zheo0xTyGDp47%FiD;ft6aqH|C|F)_P9`3AwYDX)_K-XE)^#k>)fYThZ7L&Xr<`bn4 zp&+0gWc31|UDM7JN6s*pS+i*&pK&&WD3jDrbV!*c;(@o)wFMx)w$t2he-nTie)XXK zrp@bKIhJ?KNQr(9S=gB_)u&=e0)o~OznLfIFKt_(Cm8&Mdl@`z4!W8I>Z#pFjZ_&< zP3`!V1PhS+QmO;`_P13WKFT(9=5q_uiH8y&xe>|+e9J67wJQHc7PBkwAnzGRPCMEf z(d+s~jD4QUw)+wP61jYRxLv@$!g2}MMOA7Vdf)e%i5DL9k;b=n`3`%#5%!>bB>o|8 zhmUd$Lq|+r`#K$Hp<#Yt_^!fvWnE6Fx`tZGHRSSRkq0IVzh@fP@N1SnhT5Yfl>IFb zW}@j*uY1uW520@ZjvJ?`<1`wS%$^G%1b6>3S3XK(RSw$c`~_m%FO&YNl`SzG9%1Wc zEee^U+-vkD8aQf;|2M6n`u5G!u3tyHq@i+XssBo`33?xh2 z&SfjL?(~XJR(hdSeC%#pG#nT4g>S{M)ZR!R=o@j~WhOJ{S0zCYOtZ>78ec>zk{j|j zHf7vMx7+^A5(prwwfwijv2SCocJ_I7wLp(}Ua+U2128G2DvZ$*iP+C6KMid_rw`9W7n2GMF$vSS=Rai}t4J|3U_ z`x7(|@xv^mm9@&VsU4m&ckQzJ@-j!cQq>~5!T@ERJ9fU&F`PSM1Lp zjr$;D62tH!X^^Jo&o`hsIyJMQaZD0aKDiP_fGrn2j1b%=Zi3vfcWYx-JR@#W;z72N z?2o&C)v-%?e}AoXQJo_<_)-7LB*w7y2KudBU7djNwj3FQ?!_21PojAn;A>#Fpztr4 z*K{J02p-U+-jw%yKUu=m)eh@6`c40y2LF}#}X1HgSKJ+0@X(Q+*%y#_g#gnd4=!HN%AbFyWkM`tWN5>=$ zPRg1z$R>ldM06|S2QBtz+6~$u*&7>gV_)J?bo+vaQw8bJ;2FV-WxDVq(D`~a50ubH z8RR#y%~W|fF1bZ#12RL_u?poJYB^#mT!4@ z7%!a}45ewG?YTgD<+1r}By64VdCtES`B;-XTP9m^vvo5~~apIiBDvHh_bW1>? z>6=;UJZ6sRR&l{LGbm?&Z8{W)=Jx1(p#*6)ic-*j>CEf*Sal<8+&7nJ_>a}Wp3HXU zop9AFvYLfft_4b@b@plsT0%~Y*2<@qBg(+ZrOj@sL*TjcpV;IA*T!@dO8tZ|p}N)} zdj=*Jg->A2cMeeWdA=k^7^591ils^Ws?wq2m2ft`3~X?zG;*xZ&b}v{X91R4uPH4S z=w)3MUI#+UWU{3i`!7QWhNP_ZiXX0CYDAqIrH$5FgmOdW$BpiP&WrzwY`=k)$fa-k z&@EBC4TNr1kFysL5Jw=27KTPIgbb*ZFZ@uD8t}NO6V{Uce zz;C4s+e?=a#3|u`JMq(j$K?n2SMBNf7$!dGvfChhvNA-^C-}fjoA2OQIL@b&FkAVm z!vqxqd>M}MuwG;=5idMye`uy0?4xA##uCM5 zsr++?1N3?XDexTV7cY3_6J*ykZI&nnh|RVr*10Ji9)iTc4g0fR>^p9>lw3vkY&0d{ zaj}|Yc+NWpQp&y8D_&cN1qXJQEx`1rIEg+j`{pKh~N5at2tB)g0Y?;JYiONxb1tNyr9nMH^6SS)0EI&gW}n&6{4@9K5iq z44od1a$Z2h%QXWsL&u6M4YUZIE4mu*?m&@}l#BY45v(|)Nfr*Msi z-pMx4j|X;AVVgvv26V(A(`g1;853{1x*(P)m8Pp|bw&! zK<$+i85GiF0Gtl9$U+hDu0m0`2LDYgz#d-K&=$wIr>{xSWH|Lj6ER*3{roV`m!S2U ze$nb9>n=zyw;*GQxjuKI&q3>!m!Tr&ibj6Y0-Mz;HtHaGp=`zFGyE{#y@Z$OyJ%hI zHY-^cjV^yYZ=l_G6`oVuCvtPV^jX?XA}zrZSmMbXNRY{kzxD6o`)b`k<9JK7Dvm)b z-V5qvx_9~gqAcv+hGQ(4tuP_7*)ea-f7+S{W%y_3z%B|eg>;;~M)87#HckBm8m2=* z;b#Zo1~BhI;rdaOII5ns808eFfwlUJyuVgdN%P0J@iaj;(XtRaPsLceYBJ)Q2X)%* z>lv6v{%nM;iq>~ctr6G20#y1HCy>(-2%XiiP9*y`!0jyOM>&@GS;)$2Xn@sbU+VF} zIS}H1#cksCX3RUy>-@$m_eIrYKct}k7{eTtFlqgu(d`POXCXLRkXNyxZ~MtMpbQo* zY{%mhM@(k>o-UMcXZ1rgc=TK-Og`x}3J7#Qh}-5Nx{Ko<59Xc5F>de!uxMroRUSsv zA7{-fOl<{zdC{+=m=)?Nq6HRor&*NaxG?M>7`{3GC+M2N*OiT<|GapXD2+<+f1~y? zl22}o?LhZ=L}#i@11hD(0cqpzsP0l!*uV`P0lg3EkVcCYqMfs)UA~Zgt%im{AYpeM zNfK_5;Nj)wmk1aGFf&zLgOP*@8+i9fuX1XuJsujhSrJk=5rdeA->(S(OSJP$VOSkH zT*s4Z&;IM}&Af|*z@PUsvCVN1LU^pr(<6TT!~IBPG3faG%*H+gkO4yYvej$Q*w0q! z6;+=Qf0zp8jd+J zf=L+WRGLJ@BW958ya^+41?~%L_s#`;nLK6Hnym-#q$`oPj|&|XvS!ge^dyL9ynv>4 zjj(bl=Wyt-O{0ns@QCNP$b$B7_xWd|pV+)i?Mf%;)~>7MY9BoAhYnv_e6OC*AmB#t z_s0Hmi0G2MU7uJVrl5S?XF5?ijeM&SG`I7l=ezg5moQOGR9{m0mg?6c4vkZJ)j*R? z<498$kpZ(U0@bVdu(a9LB2Hz3K3R~K8?SDtk7TnCEn%~8ZoJBe0}BIxFpb;2Hk%cH zs!e&c5Xx%Z63n4(HB3Wl@@!Y|D$l6$@JE<5`9cS`dKVy2(GHY5&+}Kt8=LqXZ;Y5q z>lJTXaOt^3fJby`_&Ui86^w_gNKY{Eg|gIE^#a2 zc27?zOOEq0pq=qZ3lBfWQXnx!G!{1E8=4}G%)v{&;h86Ue$ssnOX8 zF0wVgKFZ!!x&6cS{|*)Y(K`SiP9X@R!i0xtKg4uH41cUjtL44r=^f?ny6_u|lR5BbMH`}o{TRPkAcd#R<7U}3Oq1uXgE3e2nCRx~@|dQVo|~-~OkdJo zsa#A|S}VR-Qn>8FHIG_!)JAIYYhl>b0t)Fs9KBvtiOL4#mwxW}WVq5jBuC_D#Xw|m z4R9fLn}1xO@Pk;PKfxhTDg$jg%$ZbCipFtG)61jzuj%ej(Eymy>sFoK?i>Nk_G57jP~pNUXd_EPGz@4`xn1{fPxAv$Ob`+-g(5SMaL z271|-pAyghr)sADEw=)3#tBfW4u|`4sIZX5#Mbgs=K#{K?4tYLGq4pT5B~Y0+vUfN zoSq>5nBkv;N^E4^#pkgRBL~81HJ;iGWY4b20TlL zS)HEc2qDO|q<$E?U-}kqF$tUiuBjgwp12X6ZqG&3*d)FzX~cY#(Yo#ayqwGW^`Lv* zuZOTqD^1*xxCVfc6K(3B^y1L5GjbbEoEvq|n5!TPTOH5yf~HpzLK+Fd@%c;9Nwi_P zI<##$Xmwuq(JE^DsG8mbBx}M~A0XKKnZbzs@EP_;5)||OE7~2xO-LDYcvow^^L2DA z5K2_3_4glvZ1|6hpF%-nme&F7J}Rcx$s-Hc1|$P>U*2|ApwT)TwaNnuU(5rPxSvi$ zc{w20YdPJ?iw`s&9Oe5!oi>0W0xgcQjS(Br*m;qlDjaWvC3%#4-^h(`>d9#zX)WmG z-hWJ z>M^8U+IJ;Dy?7A`GfO=-3+x*@T)HHhb()nIpGW?;Ih zlvstB-PM4XOSa&Hn<4UGtut9f;V(tc;ROldRt)d}L`#rhnOxxBh!lPU*m^w7J4|Oe z3c_Q*AAx=u9PN96^4`d5h1#U8QiIkMRQ9hI-rcF<_MLP*En3jHJ3mO&U@>SpC{Gr2 zvKcQopQ9&WL}1-^t$)B3+kd|c8pS6C>qJ}e)Y+C}K)49^*T+qRD zG4}Sxx+n|nHqXr;Ae!&NoTdB9rb+E?K#GRAvUz_CDYv6(@QBZ#;n&EScNv?!b-ePn zo`mk}L?$b+cYWp_VNlM%+)%q~D*fzfTTXmbcWsLN-0@>eu@HVPe1Z}8yz=3F;R0(# zxA(*lt&GUbzIOS(k5C>nCK~hLj|A%yMsi5F-XY%;tZ>|mo}_AVIqhUkZHH()D53in z{ckDV!#x107tfgNI*y9Io5dekIzHBz<6v(K$KD;8i?j|(_fH)l%rei;Flrw-b`dEA zUFGmb=EW@6xeLh}_#~bhljK#5$60G;Q}=CjKK&8cJ;B$R?*Q-hj|;1zWop0sS%T)b z2-wjQU9eW&Yy7N_>?wSq_5l4CIpz^MT4$EqQt3m_%FK`>*y={Y$tj>4re|Omr=9ZU zo~u+&s1t2~PW(O7IPPR5DCK@;iT+}WECx}dbvF=lLoIwX^s7fhu(bJ>(WZ5Pul>^% zm=q#1aZcvKeQ(&If%={76|+09xdHT*p+%e_Vu4chVtn5!_T5mZO=HX7fLQ^M_FfJg zk;N^K;!zVeNLfF5{-ie&KFeySS5t2x6SHEO01)({|2hio#(qDzCaoosRUS>C#wD+T zilmF|0IC1X+@VN@J z@tanMD|8B5g79vJ`7JV0Kt=8fYfeJ!_t;DZK&NFSm{c>gp-*9OQ9>!|kDu5y7R6J2=)eUumIo}aRkOg$2>Jz#=tDQmO;j{r zUJ59M&Y4iowhbc?%vy*(VWB#7bqJf)L7+2!vQ+TYBQ>ORp8nd8*wrMtm=K2({|IovM}+~JJ#F4F3wd3mvJA4EKjvxzgoY}v;adaF zOpw4OocKKDkr%OgVIV-Ga#-O)(MM&eoHS_>GCnOoQq}hpXHvG?>!Q|dH~eJXGMXEI zwdA3h=!& z<0>8+-Z+v~N0DvASxO>n;u{Y=SHZV2NtN^<4Mi2SMw8!;xrMdGabx?l_H?|mM)B)g3Y9ec3= zAKE$TSF6VTHq9X?sK=fxi!28Xu-O_28^_G`{8o^Pbm2c>;Fldq*RK)9c3%O~O+ za^tHQwJ9tCdYi1WXCTqOgS zE`)v|s>|8Z`5>HGJCS;J7{eK1mzScDqkJ6nlE!P%Sym10ZOtME=toV;H$y98Ld`?2 zqxSyv{RDB^NKORHS11#hEg!uu+rPnaLHIo! zI&iM!SR3rUeq6xYrr3qf3g-w&7}qTW_L>u}ip~d=^b8h%mGU4DO|bg8Yz1K`cM|(S zG|oH=+BVS*RL!Q4z&rCiZzvS_6WCS3K+gAz1#Ub)GoePGy3_0X5-X*6gpC%5EU6aNqu;O|k}DeF zB2K>5^|v3F<(tnhcP2~OQff_8MC1Lfs5ba1KI=AUf3*6(o) zeBeJ)@DtMy=%SB*{p&lGw)n4w-x;a`X~3_oGKS?pI!(Bx>g}Io;DB^F0$mJ~2MKEB85>5u_2aeB#8HD3M8qjhh6fc1^>s;=#!XuPF3 zHp%5IDl6OZy66GD6|0HaI?{D8%WBRT3F=SUd|xsgsx?@xmkL8P%*A9A5z9J&)%XqT zwy`8;Q7DN?+?lArjrIMl905+H-T$C0<1HmIkIlkg1~KVjizrGX zPj{CeaL}dsCr;andF2m{9^|V05)P++yRtKMy!oFL?|*S*ck5<;YDrZ4lQV{mUdV>p zEf+qz2X?Q~i7nUR(a!57-fN{CwR#QWS>1zR7X^gDUE^zht4~P7#t$&>ayv$1nAPa( z2gn=DYWPcqRMJq=8SC`^BwMlcgU)JBkU+6-zwtP3me(p|CYe^zro6B-)m2>HUq~?1 zuxlLZ6LHwtz<7{0Pn)%t>};R4_W>teqzQO>>A5(RNF|JqV`fJ3L4FoJ#qujmVIeuR zZ1R~uwe0dh8gD`~mlnTB7Y#PEX*w)xXyX#a@(&NkgB|pH8mtA%?a&D-4>PjnjkP0F z^esQn%v1Q|+t{AF+TlTS!sfcUfZ-(6)-6$h>~?i!ujrS#x3a~HY}zIklQA<>R91Gn zjh{Kuchw7}fJtI%n+R-i%seakT9RkNyh6%jhn#)?-}|{UEr2{rZyjq%0%J?^&1S+? zksa8&y!d#F%`L$JJpGuw7}8Ccy(9D^$-Vx!L)aA)qa6;QU%?1XYm`F=4xu%3cGRUq zq^(YW=@-46o#7L)2OA1jH-uG7bwcN+~&<{D1VL?Ev`5VC$$thz12 zBzuIg0LQonNVqikmKfl%>zW7CE-h7BeU-Fk#0w^%?98R}qEu;JLhWx${fnkJ%d(w4 zPvN9q1+c*6UZift5IoJ4b_B^;S0orV>I$psVsLQN9ZRFky^6XcPXnO0=pJn0#ND-p z6VmrO(|Ei@IOE1P9J6Sq>;5O+=Kqp-fH98g0N92qpf}ixk59rH7q|H}dm}y!fwr}Z z8#I>mnx_$QBXfK|kD%WVu`N2QC49j75O7MoL4gU)1B z2Rz7-i^lrN@RjSe9%dkRYCHAII}#F3oe&pBk;mGgN=LwyiwtzF#V%ymihVEoJKZaovC_o*k(qg@5MvVpuOqOkS5{Zy0<>SzkB}x+=!ikawjHFSCp}$)vkg?HxSh;Zf=qTz})=>GJ=h_NdHHDxdXXDYvD%ss9Pxp{1mV zUL>XCdd+=4<1*@n>{}0CFAUf*o$Zep5=%*rn-48<^E4ER12lzPs!`KMAQikj-*K{t zzBR6~i5%L5)Z{WGVIQ9ofu9a&-+ycHx4SbRfUe8upnO|A)nGZtc7^`h3HeX*N$ZNI zAakzK&zg`P0@~4IqX+(JtME<8N*)bXS?$^Uk>+N9#FThfxcwrr9AYlyUW421+5!w8 zl6m9SgXQ@SmfB-|!(awrr>*(dJ4ZVkj?-XlUnWj;iW6UB>C=nr9cGMTfN5@bS}qv6 zGb&wZK9z^xZ~lXl3~-^V17+V6dLs7PUn(H4vh|Z*ri5~V-iduDooLbUN>8^F#b}a= z8G0W3b-hMAeW!3*HuJfF_xmFj411|s;(udv&g=@duqxlF@>z`xH%;cK#u8K`d7*Al zm`$?N2XrgB-*qnA&HBcGN;NN_SHtP6cU~yl{NsAqxEJMBv8Y(AkjT4)xvua*0y-8&RLv#zC?73Hb^&RC7T0Tr!UsgY;cw~+TjIh z&|(*2Vw(r^9ld%&~MKjuCzp9*qs ziV1Zc0VYGxV%LyEEPLGrrz0S~v_A161)hVh#Ce4VBK3gUnvt==bo2^%Q^KM1FTcs; zfF5nfe(XLz_D?SWH=c+S-c5(A{HvlTQ14nx z-?k5_-y}o3r`T;)%AMK$axJzrLHPaG=oS9S$Zr_TC8TGN={gOZayKZrvEa%K9|KKFVgbbssvvk=3VhZ1kwMX2vPBvvT3T4~ zHJ^Rw;>{8fu?D{q4+$O>#)On>Jel84c^J9)2(82^gA=!R#xSF1jF(QjecUHGSx9dH z)Sv;j!w8kNlnkg0m)!EAqomTz98;IP z@Qp1^@x4QIlF0Al!8jsTQ`VntC->-~l+yobU0VdLU>a}y2`EW8HVwuQg29{81;^{P z27^EGY97p2@<&?F=&tz5b_H=}k;Uhm;v^BaM!fqZoaKnppl!CLYd;yXOxx>e+Ah+h zve``E+c-1H;k5k@NRQFJles9%mMjhPBCaZ% z3V!v;8+(jD)KcEEIMw$b!Nbl_#xIFmo_|Erqr>JGZ&r+c!?$3#s|<|%<^SOWGr@u3 zgw6`;^}(d96$D-tjjB^LT}}rh>-iU0qBK&vU_$bqUr%3hJ-@3)RvWX}gZUY$wXC3IA28O-Is$^iIn{-+e@f-KX$EIzb zF@P`Rl-%=QO^Mw+$h4TbLEib@O!)+W=az>y09MZdIl?u#Oh89Ax5{JUhn9R}G_S!M ziL8AifPo`wc0?)N3GS}}8SZA^Ps43;vK3(JI7i>q7sOF7@cxJmw$oaRfDd~SzSjH;0B7nqA!flsgOEUM|&tJ=af%oi|$J?n-%@X z;>#cp2$C*tG6)JuBEoqK{iNEq+LYsS_uJp9TIn!%IMNf>w8d#iL|I@iES7WSj#JF7 z>QWJAZdJT&h(Mz*cMs&u%p(^A_6$xy7E9HWjMi8v)LuZr24erk`htu8JqqwATAoNP zeLAm(0k?g%YH&I6H}T0#`Z4Lppv_hDJ5K<|1Lu?_*?-uj9mce-wH1TLirLH^0IW}D zuY62{daMoUw#_gUs3x`?@E04-)G*&KP z$YkUKtYr>3{h#)V`K<>@8YKYQ(MxP9-q5Lgx5&Z)b^m&dOg9aA^C*S4TUTm@rQP3fc|+vK$Zhn0QyM08x%_O1;k}JyZ+pRld%E(%BXGcJyK=}!YpnY6x&8rg8_e)Id>|ehgYy+E?tOy5)@7Uj@g-~wa zWy^D$q`SvF|Fc3Q>k8tW$L?+(zB&&EKvGpK<$e>aqYz9F*|ovUQnodD(G;l{pb217 zIdJ`(-ZOBZ1s%_z9sqyl;dPZI7+$j#zbiu9AR54!7q-tVOXdE*s7y}y8@p?OX|Jua zZM=rv)dONVuMP7`eftCdB0uaFQDcp9sIRmi~3C4}8hH68YRa!Wg zseYYoj=Cq1KdcGlLm-2rH0lCKPv?^&Mh*Sjl+YYv3*5bY@VsXMWc1ZHge2)}n`!}K9jN({#C3Tdh6we!o z_Hx?bH#ZJF1?}9FqA>oB^k$^Y!@^b^zHtjHPgbAG`!OU38vZ7EPa0Co$nflWGQmz9 z{WnT)BkK*-4*cbh2N`5@f@6*-ln=OiV1>D`TVeu3^B1819KfT*?2>Zf@r1_Z@a6GJ^nKygH1ePx}w)x7)sTi>#J%xq( zDQ5-S$_buYjp(nyXsN8?(zerB9e+ZvX!XMLjkV7K-vbOgV%Wvh=?Mo)T#TR)xFe?> z@Z6wa#w0o<2aa)^5`n_>JwUd`KR|A^U_V}_ye_6=51J$Ulq2Gb+UJ)5gW1^M3x;uD z2Gkk|zpw*a61Vu7wckf=94(I`SnBj*QRsA!4_&g{sHL00VgSILe&d?IsrOn%(G0!wHxHnZ9hpe15JPR7b`{_i!AX;B~QuuZQ>Y%Op z~gaH${V1&JQLz68Oc{H^g+715cFA|MU9H;TMlO%n08Exc~wz*(g`)7a-1T&9K~ z+~B4xoD9dUZK-*J1wImIMomyxh3Hgr2>d$GcwLtEeVi~X1UB9cxXnJAmN73At$s07 z;+P@!X$ryP|NyHDTv92Yg0*v`D*YEnwDV*Z5i8tZu&X zNg~oCO>jd${+H4P{#Rwj?dT7*x>3aBf1)UxwO=V~2@ft|gEprwuc3e$fUT7OkFWO* zNP2Jk$Iq$L&N^k~$n>O{nW-Tz9N1FR9HpX}tHhC-6LXK&sZ51~VlKoo#|cNNIdC?` zflzwfI1&XnDheWw-T@MauQysmJAfi+ z?XOp#8hk&u$qOa&B^-hDuEd=jKSoI#t2p`rTr7rN@QL&HVQ!PZP6y? z6pYP{I<7wKf2n-OUU5LJ7nJA+Nr6?rPr!S@v%ZAaU!C37QYQlBOUXD)lz>+M)%H*h zAVP`<0xnP1uyi>u=2-(*`>o8I#x`B)@Oko%XQSf{#pKlJ0c~oIYe2=oufCkpQAY1@ zoHT>Z8wbS9qjE89Fcgvl9p$tEZ$UhJx+jm_Lvu;kvq>_bj$Gqt+Zq1eotv?-9DR7X z+y>RYQZe-{TvbP$?aI45wEqXdeqbAKVS+p|4c>~?6F#H2QrY0Rwut$oG z<6es-XJCH<_(c##@A~RLOy=hI^FtFW>@Luc_38-KU_iiT@a3w0gk(xV-kOHQHCN08 zHQT^IIWlkT=|KVeZ(|?YT9`MHGMu0g6O`0e4ujpI^ zI$P{>08k150|h?^5RCrS+B!Msxo@{X>8EFa?vo=+tMbyKq%hes1|e_g$H$><4(4sh&(8PZmCH!p_@B~qu}XURE;glu0y-_u&K3jbp+*B| zfXMrJbDBR?b*&HZFjD})1X%*BDBnHS=c1z>I42q%08*I=KFbgb9`edE+VPBi z*$HKV!_l0?jlo4>1M1F30a{WHAbh_WlL6?lZ=|4#-njc;Tt2`5pVW7sm{7zF0peT@ zZ(y%r`^Gsde#g(57Y`9t8ZMN|{|y)=Tf*&u-uf?~w4A!bfcRmijT}3Xod?=d79pKa z?IVl2k;Def)1p;&q*P=V5kZ>uuKJb=$m7#SXR0c1e|oe_w4(+bBLF^-(s>@;C_RY@ zE8V0c-%C3H9Mn!9L!?(!CB{Sni;0dJf_`Ma`x`jeADazJJ1erMpFW7pyR#`I2S|Yd z92`^q&Q9y^HXU-Pt6G1H3`YJkzcDrtz>1fO2CIr~+FIN;R6fQD5f&Ok*GJ&?n9zm1OxFf8KYo2Ktulx}4Z=a=SNr?^pH6 zC_Uwd;fKf%s`RN{LRNY=zj~hA#JhV2f~^XX^KwD5EnT2GP&UIw~PPOy33CGW(A-m;qo#cj|!V zcD;#G*Qh%5g<M)!B4hwnkzle{T-2k#-&QfALA)LxqmDPGEHL(I5WGG5F2~id}vi zL({W8Jt_e$Cv5q1Y2U)-{VRg5dMCopr=|8k7?pF8_f@mAmf(pep2Yg)@$Qf*d?0N| z1?+c$SujOM5O(IY1=A!sWVZisC8Q)>$hIx!AbBwfnkx-acmc&fcY74Ke*hFQ03_#( zFzBLNg_cseYiG(aC>hKB`b&SD@B%1~R~C;%>!k^Kn;U|Uq9w@MgFHJ#T_V__VZGG&$|E1!1ahV?S)YY)};H81hz^LLJ&4y4d z)m5P_mJMK7SVeU)N~P<$6aICyeq^>Z8NIX4Mzn?7TOj7U_`vP}WBH@CbZnw##9!UR z+vWs`r%K6p*9Ut=6)eo!g!U_0w8fm;D!V`O7sBxR&pi2zpBq#$6GjHB3tfZ=Z5^tI8E z^@(dEVdUD_9zhI}5)suGe!QkP6+9t1=jJody2FDT{tU~q5e)=9EQaFB-O+_W_NKV* z59ue1{hiDG9JUyGKT>^w998t@P&t~rdUrOOfz;xTgI;}_p-ipFhO!l8Sl60M|NNSP z+iZ9mGw<^aISr8pojq(}Bhgqo%7rT9`Sfyq)i*)QfOu@|sO~J-`QL!K&->y0q+9>R zyZ$!vOj@lThVskMSFvT-_ND>`T&=E zwrCY7?}L#Mn{7ix@S>!i$Y2^@0cBr5lWgrZFoJd6+>80X1M@y|21a}W-W7So*-I~H zbw~>oCZ=tGeF^_0=tH(|SS*SAUxPWe+9hF(<<{2p0d3YRT-Y$eT!$7Ktu~xyfF|cP zhXgPA!4d-k-_+-f)p;=OxKP(NASms4b>P4?9$6yaA}izhlYPfBp37~p5ks$b*WqHJ z=RbGrTd=P!0m@G9xOT`UVR0G`?-4`+lMVpfA@M$7%rY_T1;7x4lixAoE4tra#kw{& z#QtwY3Ix6CqK)Wdx$cI$^N8AL#t)##0yci=N7ekK{y_xL;3xr=IEJ%N{46+C<=ux} z<|j&w?R$zH6ck;)_oc@7i~kVdsONM6uO`!J;F^X9vf-V+^FX*YA@^Vo8;jX!f`r)s zXfUNoE@L|7>k}a`q2F{2>x)r~{HsstHfVep4Cmhm1^z$yM1d~;$j>j843p{;uR-XH zae$$iw{7rL(Sxs+;VRE^)|~6`WwP-Hp^o*}tBF8rZpK6%d0yWX?6?h1!!jc=aE{T$8}B(kUpl=$ z5q$*W?|-Gv{d}uI&94Ki!vQk2&M<@39$K7?i&Z$L@`N^nvEEMyUGM zxW6uO!AZQw2Dl8))$^P;G*kBeO9x{(dT;g+l;t4yJL8@*@Hfc=Ds^@18(xpUzIg@Y ztENfdx_drg`K=NXKhEA33XebBXg3M!V5MgUT}h+D91d9MA&<=<8SW7B!n6A(;yJ{LQ~$?*(SdG@T{g#YLw{$e(Qw~;7esG5XO zPd?p68C|WOr!8uJF6C2R$@qWMZHo37u1e$;lJAt^3{r;*09uScF}cTO>6bOIdR9l(8!QY&-CAdDa zF>_GfkXFMmFlsZig2NcQb{O;o&Md%#6|=qPePlgq^}l@w<)2m5>u)*DUT#v4KkY@q??3n@fg6B4Dslm$kuT{Zs=D;C zE#sX@XPWwuhHu?j^0d#$U@b&cdjjuZXJM?I?McE90~(5G%gE2v7ozeVMqT#QiJzYc81UTtcc z?^T+R{re^Q-r%d@r5~@8_f+O&{ey57mPZE%4Ikt0cH36~;X|H;kH}v%ZgOApCv_c>Twmrz*T6^YSY41XEWn@`W2EN8}Exwj!uY5XXKvH zE8!tNp+3mTNGBia9M?T~qbZ*ChQm8mAQJqI)f==w?}J{vzS>RGctsKPUnFnl2^zf2 z+$41LGB2FEOMWh0#!vSJG}P@gOR&W77M@%o($`hTXGL(L?bt!#Mup~{JGiyG`;X>vSR+6TZ~J&Eo$tD%3<<&2vdr#sOIa(lhQI`%=eh2~!E=V{*PPF|G(>#} zYpSGujW&p$AywFkG#;B2fMW1#NnoMz5PA8FRL+%{p#k0dIo{PrwhDR&OwW~lc{Hq& zzR&i*pIYpT_@_T!WJ_pTAgB3+iVt=uYhyeaO z6`FZnVAne$M6&V}FXqv?fPRla0BK`ch%&H*O%E1S4*2=>z&Yu(cbf9=u=_hxGLzg& z1WQ=8TU@MF_YwrXh`7iP&7BZh|J8;7cQf#o16V#BINr_P0JIW}LUeA_^FZ0aXBg=6 z+Aw47bGl%$O_dE-3XA}jq`-yuO+(E9`SJ(gfGAVtgFC=;wP?{|TviOo?ZjPxqSLd% z6;R=WBxUlyhivC!`APJ#=ZO%{wWZXgppB~YmGHCKiu{5louoT81plErQl$Ur`{G}e z>`LzV>M%U()vEf)K>t@td|Yr4d@T15;^C@;ZHo6b{OTA#7s@$cNYqS=Jh;e-G2yblLswGP-)hox>yDHNy) zOc5S6-YQx+x&|xsd_7+p(bRgl@DFwjueQ+p_SENRokAB}1VZQ+ z%(I`lc=~zKoo|1Zc#4y!jxUD_UQxSWShR%TSib&JBs`U9x2qSJ>aQ{ z38_)t=z}jkTWvLLNGCj`w)TYm1w}I4=R9WAKO$u!J$&?ERS+BcB(n`~_ln7%qunm^ zeqLbX{0E^$zHk)zI554cRJ+{OGUbt(*#ExS4~AlsrQB!z{cyEyubYHsPk^2f0ev|t zIb#0>Cu984%wB;e%Y+SJ!>lyhQ6Ci9Xvd6>SwJSPuP|7jw6yEGx{m_4f=jiik}vkD zXCLs=mwyAXER-~LlXK4>TLu<7w`cLkEhNB-@cPA^=VO#H$&v>31THQSUz;D@K z>TWq-N@|b99MkRYhjcUa7Mz>Z9d3z}OP5pF+sKz+=@NJZ{CLLqdiC#ZVd6(kM}WoE zGa`_9u#(|wRprqyysPgXAMntYmedcbJwGs&uCcHqY={ilulDu17-YCq$u zVq#Nbeq(ZT+l3icDSVvs`mVlF-%p^Olt0(&^s1)x63T&%A}^C8W2dk(Vx>9FXQ1Y zoE2x&hx~POvv4XO%qJamQ7utYnQaLLtZrwG;6XGF&u@weR5GqqKl_2Y!~DOv05TGr zm+9$O=tq)#k30$-v)xCHT>Vscb8eab6;%?|MOnz^1=UfU2-(tUp=edzjoR?54yoYII^c*o9Z;71B9&w-ang-_9urpxh%xrHS)#0szZ zl+tq377oe&ynf7D7(%2lLOloR?gwgdghtULPQA@VJ-{+UXy~!>?$i{Ow2N-Qf*C<9FEB zz_>$>sCKu#2n3*j{Ygy!Ft8RHP^<@Rs1aRS3Pl;O_km}=JwPwq*6g>!$5Z>!EI@BZ zXxKyrl!!N`NeFE$i5rpiC$j&KHESl7H@jH)Roi0d&f1KpK_Rd}O*OZoK;sn2d7q(+ zH%+}1P4a)q3Yr)yp9Aij-RR>|@~`zGVI9|6$NA1&t>{-vICfr`ye`}ti9p+uu z;&sy-a*FwvQq^KAIdDm{QWq)9u@5tH;|;i_Hx5-L#K)yC|4N?)mr=wAHizfBlN>%~ zyQN7n+HK#rorydnopzFkl$=~iM$5xp^1L{S@izTkQC)Y2P6c{Ob7~1I9B04in||X` zGmu?(SH;V#iQmD$e#vtlYAfx0`zDm#%cs}#@-es^s5F>u^)A#qR>j%VZWnu>RDF|S z!jClaL0sfi$E!B1*l{82-|@@LN4%xIDy+uT0fh**`y8N8R}wt}yHEIK{Nq+P`_+AR zG4?#EwoYQ@8zx3*sqS57&eU+H;bM$Fnvp#4Uke|r4OeSeKaT{K6;)gX1Aa?4+AYnB zMX=TsTp)$eiqn0dbG-=GOdod)Z?tB;+4jIVv( z)9#`nrU@X9oei?4N%1oqG5^5o5J zPJfr6Zd+D%)cjpcHUDyTR7LFouA=RY==fYvSr9-Fy8+8Jb1A9Aw>4Ffm3SsSXof>G zXsjSfFV+Dc-f}0QBeO#-tJfmj2cJ>sv3Rxhv*K0*VEuVRSIwvO(eQFfXoco@O}O-6 zzn1?Hy&q@63deOBbyMML=tqIiEm*Q(TD3>I_48L}o^}aVFlj zFI+lkrl{`JpeRGD!hkz0eervBt@V*(#(ZMK6}X_?_fYy3Q*`=63WI*-H6)fAlG{fg zod@2Oq2wcqo4q^(#>?Dn*yXa5X{_K_mhK{bNHd<;V6E39)^jO_>br}w$M0HmB&nf# zVz3uF`cT5U%zEdD@BjJ-nz#9W9)bp{H(D!ho0GR zIxzd>zm=uJGU?Ys=3>MjdgVzf3R_Vql!lg85Al0Zl(JLJy9)cm&%CVnRuLa}Y1r;U zQNyX)G~J7DGHQiYXd?|y%RLo@lv~=i-`TDNMi(|*uFe}z}WVX^)|O{Z$p`y zh1u%MqhVHkuXexioVV48htX~_*@1cxncAg}D+3x-rGeLFoIBx38z;Gli(AjyZi=Gd z@uj!StX{j_#qomBqSjOm@*fS55Q@2NH`1e-k3?UJzN%4}-#L##pd_>M*mxPHc>?eH z_q^TrO5

    T}LQR)GvVRSLprc%1!61hFDFqg*Nwn8p;BAK#_=&r{NL1pA*vqhk!42 zcgeNa?|1XSkP#Gr+sPW7bKU)0WkU)zwhhst;32wb;boIa;s{Sm|d+kG`etG8Xz^=pWWExkvoc(t`vt|fmhrHl*s zd!!0ENWn~U&54;BE+3^va`WEY2-qt!|j6 zGo-2TMQ77O#d?uIP&n1RG>)Mx%sWnAQ6c44aQs^DM@AQAv}-Zw0;2_c9LH+ku{h}X zBCdi{G?DOxop(lm(ao79E>3PP<`-%A*@-x{q11jBG_!*A0?!uY;$a`_o(5-dj9a2z zsi9wB;MkLwZ6w3tK%QD`&YLe%ElI~axo8X|UDIgW<5&J-Y;}+w6N(UFWlZ1OH{oU7 z-5@+qoq@*7MgaUr;^{-@tLuA+4P>%2S z8fN9MaIGZ1_C(~qRrS(;daittoFXTS?MVfugYLV99lUVMpQypb8!g_@2=+mn@j%#y zN3Bs+NKCw(Po7(fMIY{t@yXWVf_HGx0Vmjr$TP4+tB`@@>vrwAMBIkek-=%X9#!`$ z>{}}jDf_!!S1)A2I~FoJZt9qVZ$Zjh(hL-HnPm<-_GCpuv>S!vY+9w5nZGr9wF%x- zT<)2c%SwVJEd(iZEB|_n+00~btBUx1JT^uK)qieiyiw5kdH28W7qB=NeVT|Q zd=Y3Qtw)so!>`njEBkvP@(B`-U2mr{TKNj_g}9JnyIXHE5U9u|6U0TvpEiWvCqty+ zBG)pEaCd(wb!}Q0O9-&-xej?{f{4LHpLji5=2E0rhIx&*zV^QN?ZH&jP^xnF{=!$2 zax^wm>oLP*c*WUP73p{QVFU4HoH!$G_av}ruVs&-I$dmQ`iyNqA-RUX|H6?i{l0e1 z{sTNer=|65;erkH#IQIDoy7`OsJcEoo3)3U&8c^U3IPoS0>l!OIx%`*t2Fn%Ub#6} zRz}c%8$?TE9euxSh}3Rc0M4}tjgtJ4FtilR3h{{uYZTM#gb!~ehHCfNkj5kuEq|hmTswXC@&9o$#+aY-P1O=@9UM;_-C?kD zUirqmKUdaI2E`Z0LRtd_!+wE77AY$n<46@TiY;&}F(&jG5a61o|=E0s?Y6ON=IEN<|l| zf(COV0u+v)inrh@cTnXSe`rHO6(u}}U7N`Zmlj<#1l+S?DFtJN@u?*( zd?RaFQ~v3!rmgO?!B`6!PzO0gB8E$|4mz2Bi$u@jwnO&?|FR#qokzWuk6Q+j8Gf@r zPCmI%W^LGzb{0w0xb^AePIEi{=hV4g-1hRU7^^MAnFdV@pkpX*Ph;6j(Rw9DvD(%R z^yCT-NoxPGcXFMq+EZH#e8L^4(~(lTECm{ars$Jj9^GW-8HzrRWM%Y$`oM=r|3vaQ zH8?l^E2mTeJP=a-LkLDHlOzBZnIXfOQlqt_puxmd6kr@(aMe7i0lxlAH#`i-|8Dy$ z({Qz!KF)ImX3=|wJ{12#G3P>t_cY-HBCog-q^w5a=Kpvl$FyJd%cnb8*arKhYCVHe zwO=ur%nZj>KpFKmh!;K`ku2&LG3T&bJ{$+L^xH4o@IlMXNpkij888jI_7j7+*Cn8^ zshB(`qp0mZ3q0q2e05@sbBOcQ3*X8cms1g_w3ls_=vB(B+X`Ul(+vbBnST z-4BMJ&6^P_BYjZ#K&EgKJD|}@=rnGM0`F67{$!^4xuPf&CBdn#MeVt02|j=3MN>Av z=v|-x1z03A>7WlhHEA~CA&C|mpt88nPva?`Ky#Nub-Z6&7^}zSSUX!Ji8&fmoSZj- zZ#CGtba%9y9*zhN1z$GOZ&u1Oca}~#-x5X>$(nvN9UqRJ{RQ9XOqu+{;0R|48y*O} zV-c7o>~wNC1#Z+&sA!#)`HxKuTJFa4Mfq(lj}WNzEkJ{%It2`c!wc~|$_S_p-`L!a z^l(LdI&A~MGIW`2`;-TTjV6bD<=bS?EqS7hw-#!x82^7U=0y!;>QZq^h6(8 zO}sVN^eY%KN0ROmce283VS|~*!0zBkw!gXUd}LBtzD56HHKfmXtPKIZsLjP5 zoDv15^$S)9rNG}6t9LyEqN$Lh)|JHcrNDTdDA|;r3(WhYYw>);e zwh>r!x~RxI(d#ZKt&R}1=b6yX{GxRLITzPQ@fV3YrA76`DNxHgfpVj$-usmAe!Awz zw51?kZz;04o!&0VsN*$=MZpDM=n3zl&AO@6e2-EL#mVntdbSwh;s3EShklY>x0+J% zKh)aqPK*Lbv(U(0iyufUt|!KBUrsB^Vx_Tk+-KKdgJ0#g9@Ay%bqs)t2eFCg2>%#f z&S1>8^cQ*rdA9cdsPz2ExgYdcdJs<;n5L;ahb@-(0r#!*nohJHKy7N9P*ahf;ZP>< z2ERSq{1E&sC0^UjTFs*8j_Jowz<|7`AEyd6R!aqSVMa@TpK%i!;w}Sq-l$V>DarVP zO$y}3Tg{Jk1LpF82GfrjaVB)Km#~pAu2SW8$)g>v<`P*Tl8}WWXrssd*zbOB?dQ)< zWN8NEC`-)9p{f*o-b}IPz+~};E{RMZx4ln53a-y_r3T1uv-Qg<)@pA54kCa~ZRngj zI=M%*kQt;FusT`L12~a4x)Km$gll$PMY|1?bDg{Dh*M7t)@~t0l#(G9L`lhjyn`WK z2)hcOdgy2S@Hzl8)kK@QV^WN510q>?-rfo(Z{ZRPU*H;&(~9gWDkBr8dc(URMXuXQ z?fO6!lV=Z`TQ2nup~93f_3$z)N#Rb|HzsXsEMZJFY=UaWM){pi0w@>q^4j&6<7@Nv zuOrl$dR6tIgypo12ed&F$`hbtObW*))&8z~7k+!hwNr1C+UtI6acb~66KV~MWk(JV zlt8i<;Y<~!nHeNAg0i>7)1w#quy86qT`xX6xyhaiO&vaDIxy}w3X&eY zgkE^X`)@ku`XdLn%7R5J@p0kk``MkqV8M45Sa^dX2T9nlQlas`KYu!Qg?6XZyISKo zQaTy(LK?o;s<1Wi@l8X)HL2=DNZIpwUD0{ zt{%k0tt8igBg4B8+5vnty)ib{$GF>~iCT^p)f;Z}-GTd7fI-r7Fa)S^vmM2)!+1rZw&%WV_Us?{m?hT|^}Na(iC zle%(vs3t9+#LeeW)#}0De$4+6(W9c-w`ci2*haa|5C6FIMlTKJ{^exxs4OHLI#1x$ z6@Y@HUkjraaKXeUcO(!2y3RoBjAM$BtWSWo(bEqgH`9lc5ywoF0PvY$)seAm5~r6{ zs~AXwPIOz?>*n=f`O>hUT&Gum!4{FN(TeW*uYz4I$U&{CedOkciuDDI7+UH20$Ikt zM^gw~fzR0748Sygy37WC5!s~20R~32cRrN(q3#_`US>^MrPdv^Qsa}fx?6e?D*V8L zHr)*g!A z;dlPUA${2U;_Z$KGyaQMzgfHi^={Lr;$P@V=j|iLrjXN0jF;2;dAz>u)*6{tOxfvL z_5SZc$Xk1evfnHRK!hpqfZ67MhXFtphd%-OE&Za5w#>vH=g49}1+B21oJ@B^h=}}U zrGeb`3?U~O@MT2N6K^L%Q_lZjefqh&9wXz5jF+YmDSy3XksiRsrhKru>^0w{y|nkc zFdxBB#<~l+_qw}Bmqtn>L4v-K@X@BRh?m zTL$zswziKn?Il}b$JVZzynhzGdK8?8G0ty?>v#Kg6`gLcyG5~2Yklm-z2@>j|2Piy+C4 zD1@JSmx7X`XNnCQd}cDAFMp|Mzt%3|GR6jO(U#XQ+2 z9#ZfN!D_KN3nopqjKOrcv}V8vbr^<3yw~b`{`X$5bCwbk$uD9dF6XKb?->* zKGnhuD^o_!9DNV>h(AZezxBSovkD~sxWJ@0oV&%z7dvY{;}3?RpLm30hpo5J_~S5E z6-Ztk;Uhlz%BknI^{)Gqy^#L|BL^kDqObpT-o6&U8xIl>?}E!#3#Kgp0_SbDD($5p zU;KALQ0QURMW`crPnXB3koAcc4?txWHz#BBb)Sc@o~PDQ-Y~%jVJJ z{AM4@9#H7I;={M=Av0K|7`pUZfYokW&neWeioPU-_$_9TL8*Ac$dE$OP4-la2gu^HtjVT86xRYXe(;R>d4))_XM)`fhNo9?OanlvDn`x1- z8$~xck-WLZd5==TZjn>Y!)dB4aAH;2ks$xFzXD`Q)>R094AbeZchF%2{}%K8N6}gQ zOO(G`O>kYldKz^~LbvMF=lZCPdhC#u5gm1hRfSb^LMtprH$CTAq%J2sQ5n_es|lK{ zx20v0sC6T?6BGdH2@Y3riMQY$_yjp5Rrx^6F~3{jFymQu7<7}z_T};Z#`mKmL8m=X zQC&e(aQNjEKVM3>N5UBW#Nl$82LMVsN|q~iZQ3i30^% z_#?S4<5HAuD}eo!ix8Z-rJ;#9Y1?l9;ng+Uo9@`hwy!UgnKn&H3}^0ERQjIlxs!Za zUeN3vR>INq;X-(2WqKhSsDz#fj{pP$IILRv2(LuqV~>CtIdi&I@!xQ~q}Ml!(sm!b zjO}*Lz6^fpV)JSL#rr9-)Wfu7OBuc+b4y`$%O~f%xOMkNabsI$QDfUGzO(I|^|~AQ z+a~pBq{<&fGK7})(9$gaE$4Zbekas6fc)3K`<|&#bc?xdJE>u%h_at?`F7>=@PeOZ z$e&geV%GMRVjLOvcKUfHU1v0Hb_Hzol5ME|pgIxdLJkMVY!yEW*V-N)M>@RZ<@!$> zc=4n$Nzn4JBLutoe1|FkmQ2nnism~m35GXgeBJ<8zz_BM;o)6oI)l)Yg_5n0f3B|oKt^MIfnzUPJdb*k`ngwWN z#aZROd}q^GFXCajqM<%ISgS}T%7poV@$#39vhlR-9k~e(a=p|eKXHFIVDVrqe~xqO z64JTJcN^|R=XG$m`XV&&K9X`lL&%-vD7U$ey=Q`g{jT(aI6NN(K-&Oc5ODW(oOkA8 z!#RM=zu5{8iu|c3fYKe7$P7xi%UBMyNE6ykT|X=BH{9D09qHpQ{eaR-Fz^1ElfobY2MGt=#{v4NT7Go%^BvsZjULQcQg2wo1;fYw9qs(j>Ur$Y z1(IOts`E*;G2muiENsh2C-Xi8PcTVe?2U2vte#o$=BtnJ+(rO%D^AWJZ$@7w!b$b~ ze{lgA2q;M>?;TD7pg4+_1xq{-%yi?cajQ+Au@1s`l(O*kux`?DY46tqdJtA*xCd&i z7Xj@%lUE54x*$i5%um&wb|QnHCih9dhRFXFWjppEO4a>6PT9EM!UQ%h0E8|_Yu9$x zqTWg~6(+4yQ?Ng{IR*?Vk1WOW5@5mBC$sgCa5d%(l8yJ#VHmGcmBkVi1-+;Tp6GyR zdCj$|#DT+Q`uBK2dY~i{kUY}FBvj=Ybk{OqE!=G}r=}UTqw(iSx$G+v`W!`GKy+5@ z2ERCqG#Umd5kKa$RPL^!Hvb(cEyhTF0<3XIOEINUW$yoapz@2*yty|9t^I~g_Q2u4 zy}ezdWeOzC_R|1#re39B>D&+%?qkiBic@f(47~70()6MnB2QP%opxVkAuyk?Ids`1 z8${Il$?%7oef!AOs&*po?ZNzg3FZw8xD(d-!zfz=kn7}QZA9U1*SW+Y)oGzjU}uNh zA~||stQJ?7Ru-n?Zq3Z98;RumOCwW@w?v7>Vys4FK>Qxkl!52VAwY3i_rcC30 z+3nUgi306)YYUq8)sxu(%}hYunfwGO;a^L>3`WJsK!=2MJ0Dy^IYt$v_aa2xS3%v! z5)^H#$w1u!th{S4@PtOOa31hN=_m=jvYn*>p;Z$fJLsojaO*ot425NBNoalH0J&&d zcdq)Clkj}Jx{+N$^F4(3iE#*0bzfP_$Nu1nc})LVl|Pk?*-hyn%Hx3CJ7rO`!`-3QFW&8C4kVdfw@~1&$@DKKC9GB zzkM`@ip2+@!OW7otK4jlKoRMP1 zJ)VM+d=zMY!Xio)bw6e1)amOmX6^O!v{i9y<+uC!`sgQ(sdXbdBWn;0>ZDGhM4vJD zxKEv3vCPqIZacvrM)qrd&91Q?PGX9Dt^+uUO09}rKyH+74q z!r;@50d>g#j-FQL9SxafCIztd~(jPa-C4Av^jG)z4hU#Y` zPn4}SB}z0wPToK0NI0Ao15VE%ZZiQ^5aq8bEnJ*QBWu#ETV{)3&EmhF^952Rga(et zt26?)uHMxP?Zv;~wK9r-7m^V*X)sH4fS!71?P9Bvm|i?P0@w*V2r_(1UK4NKA#H?t zM6bTDdjXN{K?Nmqs(@;{8?7jr*j;VgM;5XjiH}Jii+@W9Uv0j7NkV&^(Rbnf!q-dK zaBL`t2mDmI-3{Z<9f;m(15$z?P!Xi~=z#O5kkw{T>hc@L+?rmQ(A5KN|0XJ2I(hHk zDs(5h<@sRI=bIv?gPXh+`xO4lpgtt-o6N>{nSIpr1-Rrg^VVoN#k{S$;{@DGBiR9z zOUcv~H$_ZhTUeKwbStz;F&=b$xka$-h!~mhkf0NNQAYs30~(r3!gX?H7B9PnGf`BZ z5=rn7+4|rVbq0J*68m>C=iYw(9T5$wp8cphIJYMRQ9f4AxL>0+IovkbmCCaM)CMLA zheTOSBxUno7G)KPobbTuSXWxGmdAdr`uQrCvSLgyYXR6^#X+9G&X_d_y0;Y)R*;s% zd=mfzCYDZ-cZ-^g|B+HmXgO+L zc00@{NVW0s%+?m|>+Leo@c4uG4qI;MbzRT+us{zP%4{gLB5RkQ&ftF!^BVcW%Ku1) zj@UbSNU3?8mJ$b@NF!~xu2RyZoYKPt1Er#C=iln|x0MppvGxE3Uq#rRR&Aob#N<3c zAWk39X1|`Z4m@Z0Q~P^ib}KPMqOKj#ZC!5yd0cTjiCX`4B#V|@JEiUdUDMFS&}-~z5P zel3E(fQfYkVa0wPMo4h%Uy@_xI^u$+0o|ywLJo|Ho-br+ntpldt7l!sdXdi-T_dU7VofoeHl3L_)cIO(nkEs~cVLO)_r^h-SQJ zFF!yXiRuc7{{Sc&4!UJ-=qDXF{gBOTSB)qn^jMf#KCQd~YQe=q3c?*-sn9A#)gQek zsNfoitIXSoa_C1dB6%T5Wve-51qIiNp79O*Q<6FGTp8@l+v?4+RmpM`Cnk)g*5mbv zTz5-KjXWclc))8yOw_O;p3$=A2xO?Ul8Ike=z0%__rT+))wYKxz}W6OZGecfLm*VJO6_3r5URpo6LOSKXcz@BY)j=+HmXvQNk~cOf z#|8~{Rl5K%Fx?|rSdJ5}ja3)e>c@9%&0y>`?^7aw;u-n1v8*1{g7&u?jnD8~!HXu% z?$kH-fj=BLs4ZRN`{Yh)+GeEQ;oq)WNvAFli9edfF=|~Z>#KrAaDV>0%ntt2{Y|tL zoT}~aupM<<$hL|GA+hg5DtDfJBeXl{ZUB3f=q;{m+TKUL({|H2;MmoStl}L}bEQb~ zjZE~a#L;mE-Sk%z{VLrA-#y^~({(_6^8dN#7y041U9nUtL0w-(^gAp~ofQkfX?TJfe4-#(WBgs9n5T@agu)uky&QMd*vfQL zaq!FV0y^|vNG>~)J2MH${^vG9U~Gkt%-YBf2i+j2))mDRp~%FCb=0EAQ|FCwhYR8^ zP)?l*V{7y{cJ>3#8|-AQJ4KeCSBFRLCu3y%eN7*xi^cJQPLA|q81YHlsAFBo%kvSA z7krt9%qLb$K#)@rGJ4rw*PWK&#eAR^#k^pd-%fHY_b~Ud&LPmpyLVD83Fj4c^9B=; ztM8R10&#C7o76cM6eBDo(_-~I!l>@KJWv_Kj`jM7j!llWd=pGbN zaxJ@|)=5BT!Fy&cU0Z#RJG#W(b=)X?>>xhTO9-6*WowLT8m8~UM?KaN(TytmCPEa_ zfb(==iDs4$_j@EJNCUK*5Q+6zLaY7IP7PIVQQmN!Itig!vS z9)2Gs2T&|Poh&-TajI{XrCssp%4A zmK^3!%mB!S7EjF?>{RpdRM=CI!Nl(bs?ho6Z=P=q1?tDagHmo8mc0!9=3v?$4V z80yr5`^*XuC4(<9oePfF9ZJ+9*-j~CTAu8^;jL(WB!kUM=q7rka4^v`$U(@wZgME6 zKH=irctt6XX|MmVjd;sh50pEA;oA+RnK0E(i^M#1`)5vfv;U)H`?->LPGgA-FqHc- z%i0CzceuTs0cQ>}@y8rB^m@`f4UHE8VSyG551YT~!da=vDa`Ul^=Kf$oOIcOk^%;KW>+G%{mB&tKtH(Vj> zCzuIxw0oF4X{h$ueL!V{qVQjtWp95JCr7@*k9D=yNS;FNr3_v!glW-p-lvg&MVEzK z=&Zqiq0*T;H)Fv<>E9OZCX=*PJso)`%%7RD7+bP+=qOYZ^bVeqAEQD3>>K{Py`xOH zmOH~fc1EtsabEX}|BcG@v!O5rJ=GW>djQ%d>uqTWX(q_of65HddGTf;ic&QCoa^u!(^96-rHat+AMWg8I(hsS+5jl$6*uU zs_eYIDXcWINjn;*g(#^xOOWs|Fh?r0WAYqH1BGFw?P#TeN@(DsBdPGQ z#X`#tssLBl* zF%j7}O4ys`=ch`FoZKStfc+?>dgZ3!Laa@NpjK6gTMh^#0Rw|Oy#nX) z+(g%_(bA(TWVEEL!0pC@0pmeuv`2bXXSZ8BAPiX43QoXMs_NP*>;(A zoAm^nRsk||n<6%UOdIyO?!^)zX^sLJZX@MC(qS`0wl|$z$a|NGUc>3L>V;=-&%_OC ztP)2LJo6r$5;+B58a^x-s=B#1c)MqV8gud}=cL~(Vm*zc{%HD5V?zZgT6RE090LRa z??T)b9bFQjEQQjS@dmL}67>cktLyexs78I?BjmT>wr=pYWDgAZz4sTbavanB;(O!= zqS8e-|1uean! zJcq{f%ZV=`*NGQkRiLq4_R!F3x>J-}MkIUt5%Y`AEDqVwZeQ2(@D{U%as!KY<9BNo zGKG!6|CUF?~Lz~=>A7N5y*m?N6{zv(gDRmcPM-S(195G zx%ynsN^&IsSgRy*Cd_~D&hULYvDt`?F%^+R5*)%esX{{?nasj}r{Tex0?04KJ@THeT$<}LpI>-=s7qWLwTiSFQ zD&5+x*po+c1hmIb91@lY=(K$L!E@zr@X=Io1K^aQ`9P=U7@#Wu6+3OU&ukZaLs;1w z*(X5r8r7-s64LVB334b^&R}wdT{|<;BSvZ~QrcP_NLFNe$)B<8aT-4XkD{U~NyWb* zU%Vp>Y;{H3YCwx$nQguMM_nDgF<2Yw?^EEfe%eh2)?@E7BgY!^ zaS`0`iCu_yDI(rNLw3M=!c}@?%!jIf|7}2jMPRA7@cDt~x64VWXyC3=!3A8p4@Ail z1N0LV>2IFL8n}J@eVJEWGZw=BFES|BWMLXttK=gF<5!P7*dwf}S}slgYTkD;i=>DZ zf$fOcs1Ic1OJ!cQxWbw{2a9}RmeMFX>D5I+Wbzghc$la$x#DBw&{l6C%*<`{X3n%1 z|AhNSp2f3Ltoz$IC8(?pzb3EAj=bVyUf?v06q2{*0Bq22d*@S^3ut2*uQuU>i!Q&!F-Btl1pnT-#jlff^bD5kd}p~J^kF^tsT-Jm*nhsZNzpq!Iv7u6NcRZ90yLyrq;CKv|3JSZ6nn?|B-Qs&0y1|Nj7rF;NfqfA`;5*Tui&N6He%8*HpHt%GbaIQ2K2cYDa%UnD8az6Ur&(h#jdl= zzGol&_cX>*UM47%EdzPZ&u9fy^XzFg{r?7}?p4)!kS1}A@l=-*AkOaw(LL%bvD$_f z+atPy4UlSg*X9UmpC`H<{Dl)Ik42;8GZ`kOQ_puFEHo<0C|k^&I;k{Yl)Fq__E=8L zzxz67@r;)(#NO%3@0p0R89}9snedLZg#1t`OE$$<(lXE6^rCWxP3it3?;TB8Bb3BZ z!R3ba}xcRsVeaBHiw0N;-#JDjyml z)OmHKu-z$<%)q)-lKI05%3;L*1jQcX4JS7^hnEv2uFD~G(E)U&JR#SJtcTM1Y&8_d z4L`G$A8Kzb7bL27_VZ+C4ybI;8D=A7UC1MWP}_-r8AJNe4`R(aod;a_2+7AzCS z;`741p`s#pN|tvVc>TqFVQD~vwcBbrhj-mo-Uj2^ON)gR+1kJPp%NIDLx?vhK` z?w{i3oekf+SB22?XwXZ_jKG&ep<;~BJ8v;FwA`XRG-j9DQ^`%SWEWOnlcLtES9(Hr zDANzVo_>dmpKH0S$Mnq^&;bR~n0;Viz_e!59I!E4wN54~xMBO(e%&RP#n({0Jx$um zD5zS9$Ud_oO|nb7VNL*c4>4+2Pvu7iT=efJA4yT68G`0_r&~{aLt-9Wq8YmxXzrp5 z%QddiVd`y6^jxrCT6bc3*{OlZ|3FUqRJ(cPqU4Q3pH}MP14R~cBgavmdl1J zuFJ8@(gHVw!z0MAuu_Z^DOUqMM<7@CJ;IVfYiN3F1G@Ox&qxJgG`fYCBwPC2zfg?Y z;)>(|Npy72(xU|{nO54t$wBF_>?9BcY3~hPTgY(5J(}s41za_ux*RfqBAcUZDFpXD zX|&svD8*Av15L66+kAH!`e)BgNRS*u9O7sfiC$)!am8DwwbIH0Mu*)`rCG}Pp&hnH zHMf!rwnv1wUKiwLNfUCy*cTjGFizv8jkmIl71BMgeE?O#-h#S`bZhBG>Y?>I=W`1# zYV;&Q!HV`@q9ive9Of^ZoB1tvtSuE)%~Yu$uKqW|23e#RFP=nnP4{$MXFZj}+L7Md z%KU`s{a_Cz<2}Oefq2p$Z=I39i2o+t2~MVY8jt$gVZqT{e7YtvD^K+wA-l; zdU@Rrz4>oVlRlWKQSbl>iSf@_BTS*9Ey@iaj#fP3UKjUpraasKB%y>|pctL%k#T#^ z(kq)g#L3g?;T4z`h*QmYa2YY8!ctvt{d2RM4&dbVEcEr>{`7LE8MX((n|K6Q9qah; zJ)>s|Ij78K@u-5|(IN?B&5NO^ynCu0`_`YyVegJ?*rd3%;8%yXW`+tqge7g8E|2X7 zn9HK|STv@-{P8MR`XD=7*9gc30euy5Jj;|0S2i)xt-P_m;dGJ<$E7%!m3gfIU%-;4 zI~<*)Tjr9PQkp3Js^P+aN=}6p>-^drbn&8@`47998ta4yyXkRMEM+oWe7U7H_56|M z#>;Rv3G1+uw$B9hu#V-(-Iud=3UAXEQIYoHsSX!zpMI)j1E+z0qm5PzC!bVG&onx@ zEPQ04fM@bs0g4_#JS-ckV)g@G4&;s!ksbC##rK13#AjV(Qb|e;Kyw0 ziOW8!uq#eI?W)NYg*G|N18D0Qs+Gc}h34{CVzT3Lz!veJH$qh>CC5$OX`IBb zj^p8yssa;yk>_iF%t!Y6ML@U&oUa;RmiHrz1AU*zQ#!#nma=?m>Ll71v72l|D}n!2 z4&%fL5f(ELX1a*i4w6L5Vt8Hq2L;#HJaKLgD%ZJ%Q-6*GYEzjM`J(OF zfz`u`D#?6`8bi!B4I!(zVhf#IwG!d6>Ch9jrWt6{QYc|sDHtaBIx5lMtv6B(d@wkMp># z;qkzDEiMR?ZQ87Z$p97?hgPQsi|1ft`Im0#gi$sO7G*?bP^k z=Dz3WuXuI-T%lDs%(G;@iD0Edip;U~|9valE79$oB za8W0K>B;|WM1l1&xMd)o5fvO?-7l&GYd>~;kw1)fg%=x<&Y5vEFX+;O85ryrvWXw| z%LcUAIjL3NbgNald9e289wl0aSwtkzFCU?{v?SjBM6x>};ETc|5VJA@xLPlsNRxv2 zXXSMMzyiz;H1416_8!$bc9yasKgh=Pb8x5Bo~7eVs-T=w7B_#LDXM1r8TvYJgtQy@ ztoTW`%w{SXipJV{dVrU(?~2-0e?UL*t?u;zkaS2)_2%)j?1)=!SP586H_yc5h5hHB z0&jd(8C@F*cAbNl&`T6KDr zXntV1k4px+Vg&;<@(Q9(Y0-ju@578&l#}XDJ^n|vJ0~aElsV|RPWXg44rj5!0h?2qo8ZWM zf5=-I{1%UC_WE{kd!^!w*QyW8-t}71I@_yJn3m`RaslzH?jTpA*WuzXUDzbP-rP?y z8I%10e2Hnzh0r-OicZr>Q5z)Wnxt;cQa6fhE&iD%@9qj5+R*xU&=utxbf*ZL)&8g| zX(1D|JPR0-+=V)MzV&2FagmNy>Va^Uajd1nQ=rE-kZ)^TLGjMY6-kj^9!#X~ch?VL zk3`!9EcnR9M*EFQH&1X6)nZb(?QOVDU!g?z0W*MVE$3Y+Ptw#>gG!09%^=_WSodiG zYP>S%-X;7i`_(72DOjmx5u#LN&xvzS#CbR6ZJb+JNCs);vLaq84c>)NuVy>eugbCv z7IUcAmDdJ`qQosr6=Jeh&rnnol#jV4V77Wl{)GjQoLVo5_|5*F>*$kxaf#ygow^-Y z-CEd_GUTY_I9K&nL3#4<#?_B4Grs|qI}*dh;_tkEPA`B>mHPAkrKih`S>l!G>6-n~ zNB?694>^5=%I7{_13XEXPg``%0;ZvpTRNrswn+vYM7_n*NVoTy-n|NQcRN!z#N3Rx z6s)E~fiW;wJ##I&Q161YZ*mp@lXw%_rY{@uRg9B-5b1!ig-}XcPka^E_!1ruE&KBD zflf2NM@eHUNFsnQdix+nR{qLUU~i+^&#$%|*Hycm${YG*{tjG;V_EPZaRo#a)cgwZ+Nz z{dBs^nu~8ANn(FPf%GXJZ!aQ;XTCi`1U8N()m4Ot5Ni|t$ofCE2vgM+uC5^>8?)UD z3JJN-!uCKJe;N2>Ld@Kl$nWUAbi3lslWCHGTfW=oTiT*?Em81i_waEqA7fRiZ3KMb z*f5ZHbiu6U(O;-{-(63&Kt$wXvC(`hSMR9NuvP7IJ-qG#qk&YTBXsFM80Gp&hL*d^X(S|qfq>)(U)PU;k z@whw&9@iiFJ;K{k5t7l2Rpq7QxzI?#BbW(2xSqtd`34_|Kb&>{+g-8+&P9U}v!&3- z6G1a}t|(YPQ+W6kzq(XpN#v>;(_V5EIAv$(&X-^blIZLV3s9m%|1OXn3Bp> zq38X{`v#&8S$=){Gd^%A+xOIhF9rLTLHY~h36MF_2SJ}G3!0mYM~m|&z{09?*j!uG z6BiqL{h=2@4)r4Swsbql+55)1d9upwW>viu)0l_1r7Q_=F9>IDmQ}5n!Rzn6wv1?7 zi89vmP>tp%v4ya3CSE#>zih~NEA^Y_P^DicN3?iw@#*o+u7J(1&dRN|DY$9Z7{<0c za`i?NUna%GHTL(%2g~tx&}RvvRxAzLaseqK>b2IIYI}ZK!fkv3pl#2@yV(|UA5Qg+ zM%PKPD62e)c19VKO+-ny&x=7h77TD?N#-5nV~onI39iQHA=l^J-|~L-iho{+SV0-k zx{TKmT|QuM^YrZn{_0%Z1T*WRm}J+9@yM!U7o>oEUtNL) z7Q7`mv2e`ktkp}kTMFe+B!4qLgvW!BYQdL^CS}4rQE|)FzWrAr7gLOY(%LgBJ4We0 zIrLA;KoLVN5aj4P`}<8~w;`x*knwKu2DJgS4u!+kr9*8&HF_?p&tvpcc_ia>-5sJy zYXEiGKf8cCm|y3~$V(ikZWDokt|B~Bz%+=7)#5Fb~I*Vh!OHB1D@&hsn%%PfYsGBD#ww)LC3xu%IMTtGq<&))FH596qHG>E_j9OpU|f z1lyK?t<~`oAxVBe_u*nZ# zxlOwdD-)g=i??ygUb?&4APbE%1@z%|I+gy^%PfSb12;#t|A zwYlWH?O{(`EwekhFKt5{H?Mc$i4%JYA4}SiO%AFTs9wzUm*kly@kHnLUe@LU^)wHt zYd8)pQ~Z$jyJbq?g1_U=OJx(|oeQmKql=pzajy)qL|PU(b`?4e^!A2Rl<;cf6F^oh zlt6RZN|+Y)DC16%ugk3rVjnrJ^?RI4Te%z*!YSXIg@g(R*7U@~_;qrdR?z{BG=!0N zGA}hFes%<_p4`q(0%ohq9r)#NMMg&`n&6l75x3NlV=JEebIb z4NoMh1M@uuA$(t8k?Jx??m@iiF3`P*G$P3j3c3YUzss;zQ_l%R&}VwvH5CUqH1SRu{wF>3^6Z2J!ZO~sbqkIwjc2R?=8L&q z7t=$`!Q}4}2q_0v0W&!V-@-q};6HaCKN$-$6_ONJfLL3VDOIDEj#G@p?vu+!H7fGD zy`5Xqt!rbLCK8TA{Ld~+*C}!=Ln`m52A^H&xmcZqTGU)cx#W++@qq;M4oUARmSQjh zG97+qXa^BaiYz8lZ@wn3<2GwqPRQLHa_Ja#jFXj#acMcXQ79ei%B{bM==rzYqMGXE z$ytjh&>BmEVjj9uDjaMEM%h|Llfoo9{}V0iyO{;$v0qor8vII3kLS)OG!^3mTI8(SeVx}XV`M8MU9ne~hZ-^IJ;b;#r*|8t?os2N`l3dpJDQTdvrmQgWf7>>g>e zt-VL>7&UG}jfLL|A0DnWqLxP1OV@|78!Hcg&~7A8KN0StleI2eMU%~pr-geH#L4Gu zeftLhzw?+|F?Cc9*QIyCU{y**$3yj)XQ}2X33q!g*>#y&YB=;1{hDr#dgU%V+xbJ?46sU)ZFb(CL$Y4K*yOPsjQ47e2+&2-bk%mn2;z! zm5Y4tw-vW}B>@vm^EtojN2p$`K742-ZVN16o++9WenvIMA+M7hQQ|R;7B;`9kmRCDRb6^IsjiR_bnB0s2YzX;zmmj!NGDo-py7P&LQ%s=mmdywySh50q$F zp$5)s*{MX|+yYy<2>$l&RZ_#CzW~6^CxFq&dXK3b?)=746ocE&7{mII}|0OT-5q4qZ{W+ zzb?i|eKeq9VX)~y`V+Br3odO_T-z%<=2HZ*)z9fM2F?8AV)v7ta0#@cjwj7CQ?1x_ z)NQO1J4{%oYRj>tk&2-ef+Ud7pbG{ZKA?apU86E>7{|CbYad09^g`3Wy#~AIku*@^ zn}dWg!jnG{LeXEk!*-c;v9l4w3`LhgCgQjWzD<|kB?}`V&9uA84|fVRyn)h~_8}1b z5Bm=-%$`2&zm%8QF|^See-w}uiapOZp z_$%$%1WXm5cZyoX^R~+heBx=NvW&KX;JK^lF>Pp1%y^n>++c^G^gD4+*r+MKiR1n{ zj2r3xkox0Pz2Y^xP#$@e&}_I@#eq0@j2XlFacub}PH947TpXab3YJ@^?^5f(0e48v zcIi9F7)U}xQ;-qGcV-$J0GI6m+IaVZ$x>(^eN2fT(I4Qv`~95*J_!_ghS^!;b zKsv(9mBS_LHhEa`p79ArW!!w((591pJ6430lo3C42gyG{aMkS30Zm#8^5pONjduIk zB#3(2p7kDncua^{69|kE|F7kc27^j%n>bgcun?V-YWq$NH2Ofz_-LbtWpa6>%_W@$ zHY1Ug>J3tAT|Saay)fYs_ikcZ!&Z2mndkxxIOB$|qMRzv0f*V$>%E8f+bFcRqu#63 z(biy5;K(Ka)SQDU??3wMFR6KsUWhl9-{1m#H2DU-pm}9^ zU!qhpM*Sj)F02>2^zG~t92f2rIGs6O^tQwV3y3`# z_-~l$%PVo;y?sQ+qy<`n>Nj7xRc`0SDIyT_)a%7aW2SzK<$s)4)n<`v}^Okbm#Qtg(GpdnZ9zQqned4m( z;G2tINIe>7Z1;4Dc8qu#iqGgBl{VAYHo6h~ig`%28l|tNBTdz7PPc~2N3&5Yk9^86 z5&Ngn2Z<|ZaPg~d5VGs)b)+dQzV!%3YmU9>@X8X_?>x-L`XczP3P8lmzl?*1%P^b~ z9(sBMUgY3``Oy-w2iSV@hRvnSbF@-agwki8rUBF<@w=XzRF|)CgcO2@gO>v!ZiW>j z8G)fN()D}Ems}7(F#ZUoX?C_{1BWVVBO=^)ueASk!k=_a`z?m)$>Z|MA2|HT%5N!m zAB2zl>fluOIa%g}6P4_Y#l`Lf@v)9&f_}tjzUOAF(ppMf7WAO-nI1*Zo?u&NBp2gf zjVdr&$Nb(p8Z0sh+RvPNLMLP+!)s>RP7NPV%rA@FsXTyiH>vw5XIfo z0=YPBz?X7mk)|k}JsMYBEHp%=5@egB2)}08>@ffhQMrZd4XL*NM{VVszeHt=T~)J3G0M&Kq;S-_SlZK# z#OMALb!XXqUE)Q|XP4WQaiPX1Z*IK6^x3WurQT5y$_#j|SbIJ{h^c7AOp&{OmX*0x zJug1=TUR9j<*C!G$jMZ%d2|U8^qoQ?^v(Y*hc{=wy=cA&`DY0u0q{n5>IAa#A&2>_ zwnu`^ReG+sjvaFjQvk$*Y?w^L(vy})sk+i#eB6}0?&W@{CQ;Puov9`A$2?T5_*~OA zWV;~Js)Q1 z#kM)L2=Mj{L!ZLT=JLxM(IiAb+rIswJjlgNBbGAH?|$wr|6RocJT#Co5YecY=jihR zpdZECq!B^J+1r#|=s5G}bo;nRSOP`{^O&s0zDh&oKD^`Ry%ai2Wzih0b*=w19z^DT zt*hcINU;}PXYO*4c|ip6xv%aVZ&v`m^c`$hAi>)f%8HqG4TB6^8Uwr3rx|YE&!O#Y zw(BELg=cZ6+H9TnJ-*=Hadjka<`;LYIlz=X<)T#^iurO{yiV(ld+erMPWS*6iPL;7 z2zzND%dz|2tAY~8-)Msf7Rs`ELz-CU+Y}IpIZ%xhnEjlSzkqtZP1(^RkFB^*Mu}Mq zp_?I&^+4=vL<2{k3syx+9t&rZ>g`(yk@O-`MR8ycLT1Cr`@LK*MeG@A4wVd!WU zOT&6zRG|?K7Qwu6-2b2v{@-82jha z2WY7DZi8--qkbyL4P_+c{8XpX2hH+~^r|Pt1bW1*RDg4@li`&TR2#%hdSD&k77q&F z9}I^9UH~#`rXD?3Gpqs)Kpa!8e7c;r?~NnFMf>KLGz@lZHU>>e83rMDyF^m5A&Bg%BGsJQawK46o-h&W3vMa>~a zU?9>N$55;`_37w_7ko_8(X_*&a)db234mbY@zHP1I?g`2ZGSKIk*~AG(TK|(SDQZ; zyojRp)p+KtaitJIR=O(-g%i8dRN9nxMElPU=%2d)FnWFuK>>!D4IZbMH@{V@SlH`% zgM$gDxhW;>;quD8R}PmbbNS^L@C^iu#b|dk6Cq@Lp?zDWI_I(_pLr_#GJw9#?0@J| zhC9&?+HVcQ;c2FK;q4^A>3e#;xkwsm`;Mv)G9Hex*OJ(T-aYvqRIDAr7b&Q4l!y{9 zL<^@>gnnDH{Nl@1v>!35He21wDy6_{Iyg+QtP$JS=&MuNl&Jhuvv2ajIJ9O0@I}4) zp^TEraY4$7>*W;|^$C&4rp<)&Y~wK`3$EMbF%z2FH^A*JFEsfq9k+?h8}fBtc*y7# zv&${(IYWQL2j`!y21DybiKmmsJpxCCXo0JBf_qRQWX~Y)Q0g!0l$$>(i&*1Ar7v<5 z5zr}!7e5uNvWt4uBN5h5i3$G?uF=)5-w?0!2EgQQ5m;=*R`~{!`E*h;gOpK;1Py?=kOsZ=oU8lG$9V#%r?L1~TV#?lp=Qaqmhkk6`Q@>=_G(W+5Z1 z>)}q&NTF+Dhx&wYd`Y`ALxon$S4mUt*i0!tAo>7T?ASc80$3!~lQ2U}xFu^xxR4 zJg2)`d)@U(G%sPR{{Cx>(egtbv5hD|_11Gcj#pq04~6Ll zK0)H)XrcH|(jKMHiM6pJBMC=9CJUqvIKHLWNd>Sl(C*QyXZA#szvn*h%C0K)h{aR+ zcDG3UVun^wl2I>_7^sylta}G)D9(lUuJ(zVYnO{|5klQ26I3OM?Z%=_j$4D8{tIDv z{B01V=qmul#X@Kd5pAC(?Bw}nvF6eIm!r?k2H%DBdpxVu^7ls=JQCylLpyQ-oIUF` za+S22qLw({_=1TLJ@f)ghKlllf(TdMQi&A;j=sPbz%w15OGGe~|eTHKy)x8YD6auyxFO~T~_0r?u(m`*!`kmhJbMh2Kq zn~lS4F&|LoMiUxi8;28DE|w9xQCwj|x|gxFd{sn#QEGGdsu1hjP|%t#sIx(7Opv42 z?v%v#C-**7WH6~hr&`y)%0YcgAW9#PYfRY52AJ?BnpbdDD&+N=bq|PTBu#K6 z#@ZlR?}7Qb^%u@6l*Cou|0Vwc)V}W-B$;N>citY-q?ax7;SGfTw{~8Y7@maiW~Ke6 z;^FU#d9!G6hVi?d}^r|$syZ2a)*bog6nz?4^jZX(!dS!?oPlBdF1Xyv2d zg7+X~4@6Y90*VG8?iNZKfOM?AvV=zT*|FSd=h3h13}c(&;y~HRc_nR>-0mw(yXUG# zuSIC?&S5~cuuXA4$*%xSvKW@=oRDx4$nh9&arxMAS}7Z)4X1^<%N!R_y?{NlZ(r{2 zCxIM?4*k+_Vh_vD!HoCrojBprKZZTa?`pZnB4?$K7eoCH@$S#X z|GaOXD&unv5?4a@r00hRl4t+G0yqygn67zv-dgi(8NT|~xG!@JKdHYxc)JpfGqSHh z-R3e!UAD+(ex;#x^V9Fe%+9!RwO&H8vjtW$L2-f!x8fI8_bY!=SD#av;8kz6k;*6Q zJOu+Q97*5K)|R20WD^?aBVO>le!BkKCEBZ8dEVnD8wytv_FP*y+UHSjcKUJL6yuk@ z?#Ko5Q|ixBBTmRK+vW(8m7#PJ-M>A(u5{oQ_K~u7Ktt;sJ9ljk!(@Ixv+K~!q~P3P z=2f-Sd1gA?<~_yL(Xew?84?6@oeYkJuTEkv#LKSJ<8sjrXfbgmQ?mc%#>YA1H!&Jo zWahHqQ1VSF&Gs5YA1t0&ncc4Nq;-gy8`nCN-@oE5W<=bK92sc+$Q3+e+h=i5PAMSi zuEM1#vS$cUayIQV=SU8&kIDSd<#vL93wpbMVx6 z7oMyPph>&&+f$#HC3I&oR{9~1lK#sVu_45z_IW6JyfkSB5-9th0VIN$}^93nxp5t;Qfy>aLx61C^h z7bRnY(VrI~GqanYoQYCmPig|soa-4|=g@!r5&LsGVw7!ADX9Ns z_7wXq4@k1%4lP+;_XkCp(d8$hWXnEWP7m`3^~T^mOUx<3uB%7*aP6}Ioa}KCb2$YH zE($uSYW0ESrDQ`lY&*;>4@)1}^D$9IkPOJFCIaue@8WHpQAxsUat_XQirHSHrtnvE zFJCWbQguUjmcvX(-y5@}Zi}aaxx?KWI^X1-Jbicb^nMPrs<_G4F7Q+93YYoN@WU$IQL#Ipim~qtCI(R6?k*`KGGoxca30%eD1n@-d%#-9T0!UB2RY#;j~aA;j@F zmajStOdWm9k)dWBSF&H$%t(%XVEWT?{xK<0ZD*mwH37@Q{m>=HoNO4cp;w~Y8mQ8$ zpOKKV-z#IScG4Dq^LMjDxJ2SG5)JNP_g@+Ak?IH$9gRpg6c5?-Q4Tf5<1T3#Onb`s zC+hCTMs%JwRP7)c?hA?NEKY2bd3{hwKQZaFfZiB%(c@1G)+^Ih=TP+bl@QX$qB}$v zgE^iwsyqJH@MXl)pwC#BBY2%puK=C6^V1-GYeGKZM+%(lC0)O@}mS2ez#v+s zt@4WAYuzhPsh0_p#yr6xdKHE#*oZ=1^`MASDf7t}2N(GD*pEd<;JxQ*hMC%Aao?3 zGNtJa$!7^@#1>}Wye4km&oz%J*myl}TX{QGz1prUotQ4vW6>c#llNMJIWoO4=Z*YZ zKb_A?N9=lEFDiY`pEuE&Ivt}QZ@;J(OCZ{kZ(TMOw&)99Kcjv)j)@Z`B?- z*H3VlSC62%S1eY%HOarV{`jB_(s^wE&L?0KD~ADwoblK`hJ7d&Ol1JbLz=AY^!qi} zx|ub>o5BL?OJ}}I2KIWz1yAV8S-Elo8f#{}@s0ZN2Iu*Tw{a^w2fmvK_=j)jmJZza z$B#NcZQS`!_JiT;zg~n^+c&qX^#8x*yJ`LZBX-A0t={n6^_`B6jvK)L$&{W+z7iHC zYNJtG3>khDhN1>|E@fk5HpIHJ4F+BbJK5UVsRX}(%86Swje!R`ZK?J4_O3bj!9C(2 zvrk9zgd&eMF*!3c7|Dq*ve{r!el=^p*{aJC;|V@lR*ln+8uE*u9n7(u@}*Uh#HjPl z@3sbapw;Z9m%7p3(>cVIw#dqwm3cA%^-Nd52dM@O;{kY&E+K@=yvq&fnOquD!#@`e zc=VYKjx4ysFY>DCW#^E3T$Lm*Iz6*+1S-ko;YVDQ={(XbwHY>_f9-N<=R)OjMF?am z9zGQ+eT;C!zdt`%;42{2fgw4%Rv+!IeJZpQbL-BB6Q-XXf zzZ)2&q#w=i~Bfrfo8iXOJjXEFl{UZPYK*as)r&Nk*xfkl(K*X+HP{>Dj zpEchfj7k-ruV`ULi-ASe5&7)nJuoof3nVy8TC=3heL9Kjpus{$Qe9D0(6VgeKa{vq zbLytOJ-RLV3NkOgAkDes`od^^kF51#`LcoV#Aby+cKLG-kM6r5dXfuMvj9-a9f;2t z_EslN#G>Yx!G#w^83nVTKK~E_wxJsZi;|O)?h6)n-)q;9bGA*MW0b`MVk9dBc3m}681U_@5WkJ)r#HeFx4k@1nxn&L688MtRg&BE)QV3@=@=j;1R>_pRGEzojXTL2nI7*_r&qAWMLT zZ^0h0F<-t^kR8$Y4gL}dG_KIYnsT9qg%SY5&US%MJ_jB~+u)$kc*%5b&ZomV$OY<^dsko|R{IRnIG1|ug`J9r_s~m^J}XFF2hi3BPM_(}&AF-R z>Cs;M>@jHVV*~PRad1M?W%n_o_ng=@Y~~3onzl&|NYv4gMpg|p%O&*UX0S4=Q|8+Z20OK0i=6`C;YbziH|;c-<7%93-r>-J|M)@~5}sM#t4y?+OtUalvHY2c$~ zksf%GpEg%X0SauM@F34Big@;fKeUeGPn8Gdgfe0Vcw}7sgH#drFa3Zb9=%U&^tS6FB*$?pduQr4I2-RH~;-%|O(1%;sVj z4GJg=&@C+ggpz4t!{ipUK|HiQ2+_<=udcGe+9(vdlXV-Gsx=ula5NpABciCN5*^fUU6zi>besBF4faR&<2}yZEBVdDUR=S zY2ZuK#bzs5gW)v+78bil_YJri4TK`BwmIBCoj+q*`dI>ce!RT?vVUp`l4sUUQK6eY zU^GWTTVfnkos!bi(=B=#{Jvgb+8zX;{R*@SsE1T#uIv0(k^6);KxU$WYVS5|^BbUyKPL$Q8o>1I zZ~()^M3$A672s4@kA+Nm?#K|C5WMU9Q^CS#WU{O)aHN06P?~Ne1xr|b``YU6;gL(N zT>r^Z@oJlYl+3NkYg78<&k`w{9eq@?Jlydhi-=|BP2XFR3ZQ~Sfu!FT$S%Il%gY<7 zf}KLYVvyg;jPCT@(@>Fhdwqx5a%tB*NHJJ0lwOoyehK>~co)6Y9hgNF*ga(9L3_Ld zqsvvukb724nIesL9CGVVA|aEBTRm@vNr{MY3zQ-ZOWpYZsxxtIISh(%^~;RtJ-_e2(!B_#|Hk&_vQ61cAsLxf7_RFpbHhkC zKQaWsjkAZ~$TGRrp|=4LNUPzG4^2D=ZZ*ZrMj{rUQdG4m2r~j!M%)4RqX}S9+~PC+ zj}0-m`+(0L^*qd>03^ckUlZMd8F9oSl%h}xj5l!eif|83q4g%_zj-IUOR!#45MlUc^!mbP2BpH7BumExFS9MDU9;V z-Nq0D>IAL2x$T9m@o%nskpDf4V*bHAoQdX9#&!9^j+VAvtzO9h<<9Fby#sKp5Q zl4YRZDbW9$rot+ZBA}$w zmQdx~L*a~q1gJPiE^IktTOr6-tDk^FiOjX_)#=!SWHJBvCH{_sMWIfIpnEMrEM8)|n^&qQx8mue3XTR$i zqud9`IRc_odUE;8;Vh!oE?~wmU|B_6M=qY!OlX3d z@26l(1#vmFr)iEyp>a31Hdc{g196$B=9`lSxoz99a--Rt%R>h|hvJcwZ2Da_J<{E> zAGR2x>4jViuA32DTVOJvw1~N>lVfE`>O7qOr({54-INqIrTW z1;Z-O6_@?M+@6l?hMn&b4@n8j`~l2KlzbD?f8g1sodDt@BD3QH zn3)CG0dYVKnS;YBVq|9p+z>g-!498w9+X4g3)@=?(uO@yniEKshi|Hm zodjnT;_N_#hVof*SOWa?3*P{=8nwN1AVaX8Id4z|(tyaRgzVh2z$DX_tIK{0+X5a| z(&;lbb=cI@6j`T;z4ol6#hZYeoD~R(8it$S%(^-|3Cao!hg!g|Q0Vn=YQ}YZKrcgd$`}hR>UIarw?-5)uDjAglQGkKhO_PgFrMoZ?0>Y41D~ zDVy~GZf@SWm;F7&WzkZuCy++mCE)8vpS|%D*V?B?Yd*Bw5fH}c^ZeYu8G|Bx!{!c7 zt=$jPka+@2;mDmE)f-;d)dEHymqGOK4$fXApoIM_0cxmCZ?uxS1GYC;7b2^gzzo8@ zAp4p@%pgG?R?Yjb2^9MOlua@IZO?HeVu00nqbUjn;n3nTg&Ey+Tl3$k9m~33w;fG} zeZt@a3RX%+99DeE@H=FJqR%@Eh!di=zCh%O1b9?x^J(5nNfxx)_7@+WlDS=LzgfaP z-&bi6Kyl6uYI8Q*8pxjPnL}18T@}b1zOPzK(x~x&OLj3ZI5wA!zkGr|jWlc?@WHq% ze}eW^44bHI=gshxk}h7wYHn{7>|mf-RHhX(f1&Ur-&=lkj%s8v?z56zrlb(&>!(+4 zzPGj~7S9xM#=QMjkSk+IGB3B!%nqH=ni7bq>U6Vr^l9C&tz7YD*GMFe9V<8_?zkaW z*eupRIG7O3rr_%(A!qmbjf0e_P5Z9#ASQv8CL4vYU`cx&KW7^h;%^j}V7!&T9gE`;nH5#g%>#CEc(Hz(=KaW^W zLhYCW^l|8!dwhs{M>jyG@>~)3T%x>DsLZk&b{+E$*x$D$X2BXcN*`iB1)CQ3mkW}= z_iN7g_kcUOvlx^Wa$RMo-(8R>ht2Ka1@7&hmq|(7Y(*dTuvu156gz=~(^Kr#gzRsd zYB&uUcOZvnuB&Thx0!yH$-ei{v_3W%(Vog1{(d5AIEt7VSae0&_IiaFmAb!;^MDPs z`-^74nvR5!|FRb~0FI9x$U@C?1;?W$P#9!t9HbTO*qgAbTH?2c_;4s7sB^m=MZ%HI?gr-5zCG!NoO;OSv1$W zp#(cAQLN?MC--mv@D23YGRLZ)HP3AO|& zR||=_ti5mPE{rXHAq8wfc+P!?>N_sm<1!sgp>y`SP%H8(SFj(NcK5i*WX;oBT3Q^u zfZf{TQd*U=RBYG5?+=z`bx>DinTvQ#cTd*@OFr=WfMXy}-c9Wc8C|*0 zZzeaAU$J?Ilh|?l=FQQa6`^}$6BJ$+$t)P9?)|oMPIqdhj7ywn&(OBp)iS{8)UW5tbQ`nM-&ULj9t#4$YNnknrfBNF&W z*~R78)=@&{1?f_Wtb1=OzQJVOX2Dm~4(D@O^a;xN+xlIaQE5K5{rDx$ygyJXz=1Yz zm_p`=WKuL(*DBa7#uhu1sb;q_$T??9B~w;MmfxC%Oa#C%J}v%4y zCs#q*(W)B7652Zt2UB?P)2@84cs2N-Rj(Fyx$E7!Io;}2I3!KuQzkn)dGeI5# z*Pc0OeGoy1#QEo}EPm5}h#phmr5mYSM=~dLM86kV962+@k9rv|(l(EchfKtW%RGM4 z;nf^8gAyb|7RydTXf_t2Hz76z4tS@5=ZczbKlu9gg4_koD_5Nx?mO=IchSIQx8JWc z;Eh72EOfKO|Ko#wJvJcw))Wr=`~fewW*BsXPHUS4c?h`Uh-3T zJ*E)yl&P|_9@+Q`cec?%>op5SapM%t>YUe1kI)gP^#ZlWgeoO0JDVY+!vZITzW62( zRbu^?pfk`ZHk{u45xroh#+6xXUr8CgPSDSI?_eT_n>TIOT{Q(+2?-%R)bW60a-2hk zhg7L?zni^M)$@Fl@e|QANoPz!|08;A8Il_ff$ctpId1Gl$1{azV<7=?nynJLf8`EC z9+U14rbYS`Or}SUUFmQj+fNbe()#r6Wo6F{oX`&>^?9hEfaf5^(P&tYVKsfUq7+#oM*;=#)LsY=mAMy7d=#jh$W5Mk2kp4|0%{0n3( zS_0e4-p@zXs(9VrX8RIZwZVI}$4sYylRv~B&zWYqN8Urm8%w#!Vb#RIJo&j!^)_;B1fsS|7p)N)$x zaK2+sP~3C+tKM{%yT(>xMYGTlIrO;TbBUj?_!`Iw8F-%@an*$Nmi?GUTnfh-`+6$e z=C}CBQ}D>ku>?jU_r@Y?HF%PA8&h&Y_4{?`P0maHu_jdRaQztp@Ob?<3Z!E*9*@-; z`h>r#d2GOlJ`$b8hC(T8XNU_KundulU)!ovTOH)edv5wxtgK`!e#TVXOo^!M6i+*$GRIxg`pIQQ$$A=a+KP8{$gQ5po(&$mbf#;ZpSZ$mMS#G6JsbH@)K+ ziFaQR`Tliz9y+;?NPSw6;>GO}{M%(+QiTfp-fNs`HLfqmT>rRy_ODxJy^x}J`}f^O z=L7!f79`hy`0e+{J#D=Dw+9#V;GTYz)#3c_)V$Hl z>m0jA<9k}R?lkSg`UGDj(m8nfLB-d_0|j)M-Gr^#8!x{WgxpmT5S+b4lgu@-atPUE zA^K;av7(oH+viQPHN9>PWFcE!>Yq%d}mCblKUI!XDq?aYwQv}VEWv0)+x$~9#CYj`*nAN3<+ z_3Yo@@K0a%cvaDV{p)ETjZ}OoO+H*D#k8w8E9lfT_xDleUBS6 zdA)U*7CxMQGDUSi=lso>-fz3YE;BpgUO}#2-MX=*0fYQNp%N}}a=iS_Jx&3mx4$1v zkJP%%)Mt2$zmDnKTFELU*4EjXlr=x^#q3z%mFQ(y#l~^F-|FY5l^;)*SZ___aMRBpo-}koa_}cYVLHSQEyZ=;ehZO4{OHt(x z_w4;BNFX)7u@OgcMx2;Nb#m68MvWv`h+dkyI%S`1KDqV0rohd#f{DwyR%R`ix-j@U z^i4_cpnlDueQjgo(MQ>rdNr+PF%_K!y!2^QErCvY@8q*e;pF+Jj;Dh?Ybsm;2%@5_ ztbA0_50YVtelPyvOO^c1HvVe>Hk1G1wcqXEv&5=D?BAb8^O^E&#J{X7+`$fPY$Re> zNB=epKMZT#zfI_$Zt<@{UN`ukw$K=q!yl@V!tXC^eH8g)1os^JAHzBM*e6+^T}-Kc z#07ga<*^S|sMc05khzOta{lmaF(+iNcA>>>v8TS$evNn``5d?wa@efzh=#b*Ofia!~`|2D>dw^o0#iI4hI z{}|;Thtdz5_>4>OALgZwD)@)#{2yo6s)Ej6&MdHomL9?vLS(HS|9n25GxiLK=vS-C zap)02>MYRfpmTqZQZlD^9P6>j$Uw5WAX+gjMP{+ ze4zx%g)YLiG=tW0`OT-++-bMW4OSaJhj<9^x&${3sqHS-`n;6yR&i;l=<-=3dluW? z*WS9j%ir)Pym*zReAVdIm52<(W`XBMsl%`BRg-UTOm?IrJ{VF1K@)Kiw1XaP6%)|% zDh;vbxPI%9a%ejofS(c6MeccHjAEBu$c4epwygzm^g*OGK}6a}-6bJf67) z3Dq7KK$=haGpgTvL9C^nN_DWfeX^h@>c|tl8J>`*OiM!$SM<2Z1!&hQnE8y9j`*w1 z7(OAt)Mhjg+%T@VEiO6el|)tNP1+7P{(qsDgg+stSl;nC{R;W-m{fGbrOgBBH*aDK zh;Q_#FX+@Ju=NyLkEdU9uGpy^D5*L*5UBsl)QAhq>zOC73u9kDuIRA2E%^4D^OdeW zZsya?HPncM^lu)|r%dT&8p@8YXAc_su-p6}(!M*c$+LUEb-uNV)jE(_#f_sVOAx4~ zfD0)iLsqI0nPCV4ObBSHqR3DY2UA5^0U|;MBcX~Al_DY|kU%1!GD1K`2qXr6cL;$j z-?!h-?~kSk;R(6(oa_CKUb>K>e5F zps^;jurAV)fcl*Sk=M_>ZU+s}C4j;XwquX0kjPpN1T&uADiU(d0#QDr|RqQpUMlPds@InLp8}^T?xQXgr&FG{&Hs zX&JLBlX*1X0m*)n6zr1cN=yO0pI%g0L>yKJ1mE|wFv3F_5%jCb%unSs=*~* zfz!u++$DJP6|WzB^)=-D>{UPMbk&kK#ylTJ^5M(CKs2-5QXW5_0QDE3QSCl;!0l-6 z%Q0uoH#t6iW|!HD>kkiQD^?t@e+O7`k7qsSB%^200qH#O%QdV;r*0<9uZm;ceV}OR zneUMsaD@ab?*|VD`|ci4^NzLa2V*1LD*M>?9^x3$_Ksetv)fz2J_rxM`F2zeCH{3r zPI;k`FIq4$h`w%-C1>qKNdqhK$=LfLr<2Wx`e1n}K)PlT=2DCIU%meD$YJSJW%G}5 znuU}T+y3ohttS3`vezkVEXMA!!7h#1-F@l?aaM^V55ar)wQhQH&-f-9|G;(>t5~px z=%N$Ve7MQ0oUcZ0}B9?D89TDLuL-oLrm;5gdL z)hG{#P8(t$rLFDea-V}uHh?^LgUvDvw`*QI)g_7 zm-nU$mGL29$W=wNEcrUsFej_3;C*y1;25DL`%T$jt2peCh5q;wx;i zN?YmyP}*)Iz;`u)400MXBZIu$1)jz=ysI!ND{2z6Bra`AGzqK@b%XV~UDVD1g zccZaoi(8WJVXaQx?9g!MN$%ztG+VWABChr~-)nJ@=q@%q&qbAKcf4^+NX!xRpsTk@ zV{{bdN0Y&P{V)yMTN|a`EiUYd)w{l%)Vz|oqmPr5-kYkkHxU!(f>E`#G?I@QR& zR=VB0qrYK2oDiA=)WcAu z$DPA&J?=yghRDXz>E4sykn8Pe~XAr1b$ z1hPZ9tcU(+?tRM5lAIy-35rq#a?h*m zGHohPtbyT_{zb|CW9q3S5XW%6f4C!pzJA9u$UWc~>PUU#(mV zGv)4&yY}uHn5XMrxvjva(LJQz|KY*Swweze(S9G#Y81qdwzmCK8cp(}#uvsGAK%dI zR5<2XY~YpG*|>dx-cLeXJaxF{*pLg?eoE|f-kG%f82WM-p=Ip!er+=_aw`vBpu>wk zxU&yt1-j&)K$GAM*y;(a!e-FUKHKo3$Me=tn+`e0Oqvg! zxJBM{(SCoh)@Cp^vv+m6A}bE2Wrm0583=f-+MS0_hOiGp2cxw*irZQiPk;~c&NA}I zMplBT8n|u|o1wqUyf&kF*CG7r@_puAiTihv)SiGYhHGI_?r!!rrA@4xnl$}Tje3OwLGu* z<7JI!yTW5`mI!=)(<~jj2HFN#eJ96Se=WqwMo4)JwWB} zzrOqNX$Qyv74@f+Az<*SZi?%QtMvn0p+~y?Q??Xnl0MxkSPK$hqVrYtuFG$FtenQP1CvTiKVa}L#*`o*uV8o^&pXHbJq3CVHJ7_45;m}VsYM93 zmoJfR_dn>A-pz+CnM#akBI2#S`mg=MQeHKPm0a5qX<7ml6v+)Q~pfZdqHGV;5K1eL=HXke6bDvUF=bfsK&Bk~CmG)0o=FVtN7V72> z!td)2o#^&hx1m2k8MHma^Z#DMp*})30Gr4!NF;L1(KIHId=M=qSQvg7@|~fRoW75l zgR^T^BBfu8b9=eDz*Mb70`xh36UUL(XE_98d305vw!0cZ9Mk1}s+9R|!SS?(6BA-N zA?-~%aF-+NX+n**Ia@1?d=!$)Hw6omYjH;Z@2pBcSS!>`?s0ZsyoBagVWg_6dhdmYz`<*LZQj;R(#;mR^5AuQ zmZ*OtMpcAg%4ZuNcnAJc?kv$jI_q>28s8sqZ-b#IZ%qyFG*jYK+!d zqbhN}A}IA&ZVJec(Z(k^7E&G4$%AV&4r)!_+Q2X?g2UU9_lY#KyH zF#3RICoqrf=sdZ&mtG9aS6Uz}j-FlxO&x-7l3Ch}JbB`6dZ3)|3KMk6m09UPj3!Pm zcopl<@>&41VgwI_g5)wzH_`yf|N8Z1_2=UY!ofiJf6`4DoXneApCb;;swvEGrFDS2 zz}|POVEO0<4eZ0)ZU)tlPUjg_U3pOQpTM1wftpYjdk4l2(!c%JWk!P*PK5viH1dBg zqeTBjhTe>`&F?x<+(w8sGFpSZy_%w)Ws5nwie0CoQFaya<|^VU~&>_7j?G zrJwBDSb0TQL5S~CXHAS(6$`9|`#twQ~9^Js}*Xr=ODSb*Pc$AC8d zlst6(QDtbMS#XG%#)=SZo@4d+L(ir#_6ur5xJQ+@0@JCOxW*_}lVT8FXjGl;Ttwbo zYp)I4YtGTPx>0510s&T+fYP%FbH(f+I6MGY8C!0ZDF87N?BH-~%(gzcY9yqB=b~$= z9i%BugXyj!`aF%EL_|sNbOX}*oSd~2# zj`mjsJz;alev4QeM})V+UXECp3(0c$4$%|D0Qo1YOIsx%LG0H)aQvmw;YcR|4EVI& z2tB&*^KKszm}V}JXieOHvFz8ISKPUyz0AiO3)Xp=MRxfcSqGZsPrml9wcnU$^J^jE zbY1OlZK~doWVWv}$lc3~+3n=??|c>>3qH`oa!90agU8Za{{2kRdz25xt1w5>|G zcKUgKu@%M9F5iO~ZD7i}GW<&{b}jaSBO_}!)ro3Si(AfakEXipZ{BuJk*xDH78~cS z!P7m4=I-0gHFooGicTBz{{vACOvx`7Df?VMc^R5#BpXgfyG&)jsXB}T)MO~|Wfw*i zO=89Lb*F5JxEFc>)>kOVK~p*1YN0#93gdHO+O8l5xHUomab${#_$d9>Wabju3m14~4zwo!Kh_{D`Y8qimyP~n^ zgW+F{YpdZr&G0s-p-xhHBlcatE868ns7o0`OignA7P5RVlq<5G%UXJ{#mdU^UFvbhnREbRyXC^?7Chl-1uhn zEWyw_Zc<|t1 z_?sVr?Mw4a;mwtrd#^ftcZj)lB_XHF#>&t>k$2Efonr?{etqHwB)w#6k~eJz`*`^H z&p-Vr-k7l6t?}v+h@j!uXZCMwI16L?UEjV4MB_5LjT^7Cg^*Btt0Nh#eH(4p?O|L(2+Tm%%$8poevO9 zPCp2|L;0&HOdpf&av=0421No6*W$k))DFVie>M$@1gtS4D8vSzME=Oi^RzEOE~WeR{xrDZ0OM?<8+;l6=bfg|-s4A-IjFrAhg>@i0GI zj=VU51wxe=^_l*%06{7?2BLeps!Y+il1v2KDg^ubK>5A&s{)6^N_Rx8U3FygiZble z-F<{*Snh#%no@?P*5SlXnAWiqjTUgfdgb~t_WEYEZD1L}CJNLY@h1)<1s?33f(o5fK8%M~O>aj^oJ6gjz zaB$DZW8j?aU!2;UR8L{B%eHqG>|kjnt;sOeI(_G%MWIRE!z;+>KiJr9Wh)jrZ6q8G z<`8ybV+IOg)ef%FEmb71jEnnl$&JTsmGjjw9%fECc-1>OF2Qj45ICcnD$LbjAKOw@ z1MQ-v>0s+}tF~5OUcaR6QH_!%5w5~qdj7;oIeci^?YN$?MIIZ%E6Y|p90E+HV$ElRA`bTT}lq+>gZYkm2o z7wPC3;std&FvB=M8dEjFxCLR{BXVFnxW;TH26LaTam8yg*?3G# zu(R4M8l1H7w!df<`k85zLhVh}!lb*>p#ebde3h##Dk%&%UX!3G zw!8Igb4B(i|PA z0rXy#F8xiLV$#9{v3>4+p$)q~~$So2@5HRS~{tUh+!L)JFxuGMV67tQpTR-#&xQ3OIm$wU!UF8V^3 zkyeuG&d%3TUEk|Y_vFExT-HafTOYCdmwWB0`5NEXb*CH%Mf`rzlzl8;h4;VK@+q(bR(hU99=EZx7?@i>?%w2MG&zfJqYa}jcZ>Oc z`EQPe>QI``;~##8IccmcIT(XQ2WIv-+wvau=q$y?JVa4n7H5VDrho`4m6aKaBgdrd z*aEoUPnFwlpOx~#hQmWLr12}bI1w%nW2deBGHWP@RSK-;5inDc;ap5@^Z;F8t7_f< z*1H!-I=AXOUH$gvxtE&9usjJb52|J)ZtHAX%fF||MaR2YL{cpCbz7Zyn0xdkjwJ;f zYLF`FKCjG-)L)<)^4f{?32^(_wsg8C2l*L%xNa&iusys3`suZAWun$1T3*!ZnSOnr zhZHptLe@+9#0U?SgP$**QYU^LJ{V8>#evbjNqzsqTdx z33ay9AX}W|BE6A7{t6&&Yjy|<*LnB-uQ%F>h_YsE%-KvGRNe5$nv+;B+u)Rkp8MpX zQXpPL%)}JE56#|k-uN_?i%*xcw5DpWMDJNV1(dTSIHCymOx0lWo=pwr2>b?QndSj# zvCz{k&r9t35XjdEo0XK;pBiblfiE@Juvn~C!oz4d*E?X{c3&*6@Fe$0sO}FQk9H55 z|2{$qRMRkSOjy~ zeNs!v;oN2?YtF;qglaNQ8Oo|nyLQ$5MSvL%-IGsQcNCQe?&*Sp0?--d1;mlfWmar! zsk{}_LW2GZ{qn}y7By(Ltn?8jfT}^6;uPeNuh@?4wd6^D5wKt6(8A*V1?%Prpz!7T z4BKxl{;FyqutoG%+j%a4EJWtlgtjln$-%q`RO4d`hwrY$X`IW_jWk#^h#-FbT zJi55%^3!;|mBct;BhlbFS~^)NTaVg}5}UnO1`vByV!PeTjdh-?D^Cn~mei6+)`P`3 z0tMWAt~@Gb&zYCkcN|yYZMBjRu!QB){!fy-E@o=peu$ii7S_B|l0~y{v&MCcE_rAv zm*bd*D~JN!zU~h7+MY6d%x>abAw0pH?buEKHLgTbp9fE{KjPzUC&BGERY&JvdL2w> zt4DPA6BBH{KLlO%>&C-Bw=94*wf`&0G1oN^b;Db178@F@ahh%+}LV3JRmIW zA28Er#g*>u*t$&cFsM!Z)w|F7kzIcei5~8E1uP<8lzcb<(b}u8pb{_p z!0mhbEZ}`;iul7@&PnkLPG9=|e3L~9e^W)NWv_-CZ3qwMOY-qO}=C(cbI(?|(Do^<^V+ zIzUT7MDm8&II=`wj#>vMv_FG~fCsfaz}t9v(0UHkU<8KA5VPh`$DE;v6hO zs}(gdMm?pxxy)3ZA^uZ<4t^L0*s(JL#uON(TkiEXp7J^-y3@u8KLvPZ9D_Es`C=y1 zhhTYWmO4?149m^)s?*YKKNCJO+JioZFI{I?3-jX9JPVR4&teh_Yf@H?(wYu)3w|jn zB-31ZZ2tX%==`EoD&J_E3VwilWi8i)!Lj&oOksya03Lu?Ys=g>1|T+^ ziTi_&zMlQuMR!1tW~A&bB`?V0$l#`wdO#T}%6xD7DSRWci%#3M{vLOoZR&RRIJe#dcG1R;v3_3^s1AEsF>9f>hMh6khw= zK4)&t>y-!%Q}tS1nOw;Aej}d5J~BN@%U%t<7d)^HWRsyxE(4*B`al=EgfK1kbbXYG z%IP3AmCUXnP11PgaY1SBCl6SOZlANiPyQnIk5wEapQ8bc;N%#bJ$zWZ+Qurd7^R?r z8#{-oYiJx(L@s50LGW$-cG6V5)p^YqEz=pnsx+1P>V{#^2Gga%^_~$b{f_4u)x1|Y zy2e9-6~#E2G+wp)9GC-gs+<=OCf7wVat;%uS8MsqY7yzXd!Y20w_~dArX#b6)9}R& z#m_8U4TYvATEw@rKqbVmS;(T${*+ow{`EB?q?`SvJG&`kYZKa;tb39i1kb4hzJ$+U zF5jmj&$Ch_;+-9B)nA5|jh+m<+N@j})Jq5D^B9q=iGkt+umG@8v{>;BcYJy6p~UrGm}gQZ}MyaRncy z$}0I;JZJ>iC6V00KSyoDWCPIZk}Mdh%Hbf>yhP@zl+6aaT+3fx+fB;8bHv?#5_?0G z4yDLx3?b`PX0@x8L9@c7QTG-WV$yfSG8wT8_94{AuwcZ6&Wt%isloDo4t6OEkJDR+ zhYUPo=tbD2HW7Hc%-c_ewGlf_J=IbTL*fFv-z>{WWg8YSJ@D(#z#G4SS$FG>*@x!F zo>Er9e<@oQkM?&~g@=PtZ5OKe*>N=#9{q`7WY;%pU^Zq)kbp8oRu#5fE^aEMmB!;~ zVBOY`6O`xKb>NpA1;gE8mA#kko2dyP~-0FDmjF)VqqPPfrKpR() zIcWD6hB&}P`S`2s=nP;Hvo>6SKO$`KWfeA|I>1D9?pr>X4;gZKq|XD_5wJQA9p%-i z#lzJ#50+zS)t?Clj*yD(^4PQX`U7BUtlBaARr&2v2q!4RlyM!mglq)HT2^{ic7crn z%^l^XskHSgz}Q!czpG)&!ZnZ(m^0~l0J|hF;}gs1e%w_GEkEiv?F9vDX+vPt_OdKy zFhVe;4NMR+1b=|LmEms>;^>r0RVs6Vff@(cQkQo(4e_JNMqrN9h01^@p?80;>~an5 zSop_KK-R>q)uSRB6 znxHdUGo|VXM_KF?5EiLAf;SA;7L)}&LKNk-=;LN#MHc!jgQH0CcO@em04l$TAp@w> z#YRt5sMNFdT8Wdq?X_9nQ;;|je7=KA;OESs3l4I;pK1O!ki62Jt(HRgA{lH*2> z@x$!9Nb9^I`3a;pavC#-6>+?m5p>?cYKp-&e)=XnJfEe9E#kgx$s90-^KI%FEp?c& zay51J5ioH1GnmzS4oqeA3*Lemp}IG?oA6Eo$XH}l?$r)Xml^Y?25~k&Hoen+XLkrV zcX<9aC=0%T9>g*50_mcs6sh`SKJ>Zm4d1S3;7H7Ie>#{QZkVFmPK^Fw8I0k4&&>`| zCHrbLe%aD8&~#WgCo%F1z{# z{O?^5GQU}?!$8TIb4Qo%0w3WHrWrW42XVHSQHBN)L{=eQtK%aRmhnL_Nz30A#mJ62 zP!0yQU#J;)l3j+k9w{~In{>2Dp?Q?Q8hFb|{(kMQrQJ>S{kdff5Ji7?o>GLH6hOxX z0}P)K7}~9>Yv3Gv*YgYgTUFl+&L83$FBQyd1$VwTLG|77<&kB;$`#dM8{((IVTU*I zvA*OnrEsu%Q6r$byjJIA7?v6Lw>`1K1*Bt##&#O3$y8VHlXN{;SjFoBb`e<3G2_tQ zcbmXDw7Wmbg8t170XF$hlbQ+!5l%W4w~JPjV0l;4g5V8ywa@HdGJD6$&=(?^fBa$7 z+C@yWuk}tYhxlmFNHk|#48>&8*@A|Xuq@_-%Qvx2uefcEiDt8v%yg|5NutWZ@7{Uh z_YkR&gUz=9jwv%EAP83a*#SMd=Hh(zN(&OXfC%{fAWnn=Lb{3DcfdQNIPmiik4XIZS z$})Ctc8Pe6JY6!sB^QckPA3u(CnBejvk6p;qVqp56<=jxVQmsD`#nE*@$dEV|0LBZ z&2U-N0=mq?*%vr>fXU8+(%OJm5iH7+k8g=p*85gfq2hVKE3&3q53iM3iq@jX;L?w= zwS1qNaLtXreIK@ z;5hL`ZUnoE5NZ%8+-PuI(pC|Gj%uBd;Xd*zyJ-$dVg4*b!MHdr0EH^t2j7VEPE&O! zsZtO8Qx0qkQ9MXO&;dl27~5|VknTQl$4w+PXh#k&M$G-=Az|>89i(QDh?UPl=|8PU`#Nyg4p|*z2U}9Ef18~#>F(JZd|qbm|m+o=hW0irc+RQ z?!a1BkkKPBQ-*%!7BW!)rN$%c6qYYv>_&+D&K}k-SgmRH2-u)+9RSbcxEZE7&C`Ws zog~E&MKw2Slp>u>I0)kAvfU6rn9+kpg1%VrKIDa9<0;Z=<8LbiT=cE&@IFuT;Nq635or1U+?}=15FOAaF8|WP1F>ExSU;R#qwkJ$G7?&+k&jn zXIXR5thHn^IcyNg`2Izp;CAVXH+f^J*RK7OIef}X202ITy_1#eA8YN{NMw6}|#c9x9bz8m$j8>YD@=WGVW!72-SS53&CK*#*Jv|=3Uq+|7 zSXg8Qak#{WIUa{np-D$VNRLL9I9|-@NOH;AY{BLlp}_zBo0+J55T7Utw%I2r@ZsLl z-0*84^J;)MHkj!BxM=G8ts*V>Fpz=^g;H>a<{kD_%bQa=eR*~boQ|m-zT7pxbFNvE z^vdbJE|!=&co_+{fBAvVV2X;d`I|nJR}<>v`1oB4B*N&2I$N)F%k+tV+y$DZt0DYS zuH=URBwY46*%*>@4+v?1LVz6k$Z1A0!G{rHkEt_>MkXc%FEu8kan7&{Q3ezV!_?ni zf+-cDEG%WXRlDfxJ%*nGkZsSNeY<$^;_n%kJQhL@=NEQIBxnsF?v=*3{)d~HF~SZi z8-zx|6@zfSp0(l|@< zyDVSyKm!=Dr|A-&_>fQsJ%hB5YRel*)5Y!H0T}@I5@@h!93Pmzm%#Zsf|GBehC09$ zA-D0=QWs09?h2@iki?xm87%S0GPN(1-yXr>-DLu0)Y?s!4QO_VUr#6|nXIam328y4 z1e!5Yxrx@pe|+SQ;7GMwb(!}Pzgkh61u(h_oJ*d*+v?6w)!k!DCLOIHQQ0o&)DvSQ zx}*C)wD1rg^`{M+%P8qIhyA04V;`ADo^0JrTqPUFaK?ZlRM8txg}dyooAMk5$5{mg z1!>2unEK>5`RQ}f%sZ$o9-x~?O{a8u=~W)~O!t#yTS)+TBs*Lp4kYgyQIqHWWY&7R z4>nqP?r1QCG${U2`bGJ~pdxJyXcEx}0?r{a6cqDmmzrJ{`m8aMmg}I&#TljC~yAjCf!Q|$4XHJSX57*@t)v?&-07Y(-$mWx>64`5V(TD z(BK@iC$AfyY;^cS2Lgk5#6^-;%I346P+9p~n1waRWRZ*k5x<}liHFTy5c)ZFoq6>9=5|rVxtWnD}S!YhY&v6Dia{qo_$v#MB)@_bzN490@>< zN^jCSJ+oFK$okibd%DO_{k%t-B`I)wZ~&u+-*PNxpr{XeLHJ}j`WrV`_5#zI9Jl+T zWR7vB)$InFoWO(luKe{9G5RcrOY_IcCZwC4#!Uv$Z1@fj8yK?bm{V{?v-wHdkqECm zRB9m`7DKRNSp~m*VW3e1HDQbbDF2PeZF91#BTz@|sE(Bh&&)E1AlEHI*)P`;} zPB!M0)CnSSzZLuhF785-q;fOLe=UR&4#MfJ1#JeXq9#lJZ4RGPd_=nMG%5e8_&dil z=DspDQ32duys1o#rGrTlm%ssZTL1Mn18sw2SOYINjVzi9-zeuG$(Qmc)!D+pQk^kM z8sutO#XgCTU55#*Xf8>K-9zE&Ujq^-6N7rB}YD!M!W$g78P=TG5`GHJ{1+bbs+u)m(y&uGXkfl`9S+ap}onYicJLn8cU9B}gC3qS6S#M`Rpo1#bHn4|wN|{st1ZT-` z>_fFxop`>zE~1QR`^^*E`Z8Pp(xN_XlSRQqFNG};CaqP-->wsS0mBxY((@+W#3XNz z?Rd6v)@8{inpEi^UzrxkC-PvX=l3MZkP&btgt$r|q)GDRf$8t@b%5+E(K^oz6zJe< z!68Cny0v+E;*DT2j=gv5L1(HL^!MAg7RP8{tKu^4av$Zczq78AQ&%t2)qNUv{0HCu zJ;6w37-qbM^z<4G_h!Uo>`VSgIgX|eD}Gz5Mxv>3yA(mE<;S4mytIVTj>+NOQ#S!p zeXErV&0F2KN33S@{ZR&TMUjPbg(I zguSjfI9sBv6IVdi0R?0^?Qy3o@UCD7;@~@8n%iOAKBDY7sJ@To0JP!0lyK7P$->(1 z=e~Y@T>QlT{9!w!9j~f@`pKgVW%_puCk0c)NC{F;HW+OYFB&4R|Y2LP707SZxKRFv^?Sx3ugcdaCx2tX=c+_Ey$s>>LX zAy&e_f)OwEax`8ym;f~ajucJ%pCn2!qc1;Zcf1>dy`7U|YmrZNXl^+;scR8wWlHm< z1u1iohku7;!FS=&g}pAYz%qJHH)3@@>in(qdA28b2?J{b^-p^Ox5E3u#aTH|tXSU% z(>=qlRbuG^q4`a8CI6zx3jhK$1~*j&`BEidSa^oFj-^DUHzUSNLbHX3)SDBb{;m*N z=s`sQiFXbRh5fz<3uM;Kl;QrBtC9xA^%+taBYA+XpzM(`>gg&$ap$W2tYqrc0m2#lFMJknoClK4C0#QKR!Xi>sdK$J%U6sW~P7J#VP9zfO-nC4~ zOOdYhF739MTDfl3x}Wl!4w1c>ij9p9d9ex2Lum%V_83a_7+!147#?9|@DxSOc%60C zj-R7^%j#;GuN zU^=hubCE%_ucX@Z_Tny?%I&`T8d+Ieh-=nCvd@lh1&zWmbtO@cv~3t(jA{V&W8GDm zyzq8;h(u#`8rFow>w%*5!i5WtWP1;eAyksO&1CAugslV3y1@@@$!E!=wI#XfuvNIY zpHeRm(+yy$VX5SzS5QV!KLUg!6Zb0IU`(-jMY~?f?m(myoekW4^<#y8UfF}T=z;mn z{*bb8$dze-5CU-DeL#4))sdTT|9D!*6g0FqCA8o1!^AEJafXU%lm;md0x1($GpINs zj*7x~kbBQ6f9mvK8R0}4QxqHAqsIn9uue!0^_O7gk&9j3rUo zBy4TsFtz2w5uy>Y-S?@N!ieKO#)4uRC%kh@_@BV67w}8W zAw(&;a&6FW!Za7j*~_xMqtiJ*<-Ld4>*j7h*O4{=fB>j zU3isNsq`WcbRY|2U%>W^mG^S?WA^$wVM3N9Cn;Ico3{2DK=C#i|CIgNacs%+{P{Vl zw&<92zhNAqH}L5;Mf|G}Y&_O`fv*?vk9r5$Ls?~1b$cScs|)H1&UFN)pd6GgztM`n zs{2i<@(&pXn_XAzkt!wUR$=Zd)%E|DCI|qF@sG|SloA18+_9)donVYga`^6eZWY) z*@M4fblLXSXG_PA;eLCnje^(F;sRlbJi;r^T|ho!_ye1te`)cCrc&w-DVH%adGW+G zG=~oUSSGF zO&H9UUr3^Yc!+*g5fR3J#MB+^rRypibSyCzN$}(v`Zg%zU7S z-D)=XA&(BZ#FpW4`63dPq0(B>5croh$jTbVT2_KLQp^0!c*q7$bnJTG^}n8k9t% z^)$h8dit1G1K$WvbM`Oo9k30K0K4Dp;2bd;Xm!(>50qg26|STKEB1Ns zPGn*`bNx?jVqt7V1vnW^9TCG0L0^Yh;DME-RPaOhqx|4Yx_clNSxhK8V^FZwIck6- zn4Ov8o6)+ttnwjXGELc0OB(rDwbHk^SOHOGK8Qzyn?o?nXL%M4d6>8yzO(|C%;f@} zx}$GEaP;!~r-py$$(X7fPG&#Nod!-kt?B6KxS-fHxEh0|{EM27`x+wymBN;;{VLgFZIV9i z)|Bd5%ag_5X07`Qh?A83Br%7Q`D35kyRfgTD8A!=*n6Ewf&r{m!?&IL9vnkEIPX8_ zsQE=qOa4Hk$DpEz06Ql7vQtqN+?H`>LG@`^afm%0`(6K?NlXz!(+Ctbh{+ZQUmNpw3^b>C3u_O;CMaI+UwZy@Vk z0QJeXtI!1woRU#n*aH3cx?IYH-*W+6??7AN*Zaw-rFU!5^aWdD=o!+7SRx zne42(re3;D(^F zxMql;I|;(ccnlG0UPkAt3LPY+s6~yeAutMVS(ec5rGrVggr(;Xu7zvUKNCtB&IJEc z`moTp)DZf<6#L~>9=rfzJ~&|5Q{Scj+1R9A2Laee+yu|ah&MJ!vFCJwQ+a*#+g&SJ z{W#ssAEQma;lbi?R@+#?W$ZCnp6Zt;*AwEfm~*PF?iiN90}uMy)iunKlaJjRB=mf2YE(CKw8<`(Gs4x-VQ9%k*otB;DwdF@mzm?MQMOB*dIVmZdeui`8&aP#H z*#FiUSr=ec^wRt|>_xCo5O&g6d4-blw>V~YchimM2E!-n@IEOa$r(LRjdv3pE}z$i zzpQRKr5HTfa+h<6OwBqoX6su+nz-Lrult7dnpE)$zxvEAK9I1(Ke-dYP~gk;eU+7y zR*43HTj2u-zglN{dl2j#W=7F`0As;p~m0rnnq7^KL&!C z-%&0|2;g*IkUvNeH%@nYM7TZ-_@r#XJN+A+a{SE>Qgxp`v3CG^I8;?9;?q6%3sr?< zrL~qJmLB3O~o#&oT`26lt{J5oOcQa}Z?7%}M8T|`hzf5qT?i~y%UwKZWFSOju-}rK zz=s(MP7J?T#YsS@_XXDDEZggtdiE#U`Cu5^H_pL}31DkrR0GTj&ne-aOp{9Vt4EE% z;gW%x7mt>4(>~_tf}X?RF+o3*J+*)`0qeT`8$2B^{1vW4SU8idQ@ z&=;JOtiFmA0!QG0@2n$m92@~UaY-u~hQC%n4iBc}3W zd!DLyDkD{i=;E$DnMb~YNj%0~Q(8-TWkA8caX_jbfn~7RpW{k*Te9q-Ch5;!ncI9FCMIz3jbq89GbDtIp8tK z&dLs9Qw9D_$$q4LDIiZ=%IZ@LQND=D;H*yByT1VVM`^iTl>luq7Y#^|6(AD8QoaW% zWAT~}0^-VHR6Z~v(gbeOD}_4y3tTekYjzm>!IlEX?x3RM2QJvvr|7HvV?o_X)n(yd zhR9J3b;i6M?}2~zq5CpH$74-JOgz~SGQ8(63^-*}2E5(e;J z@MmS8Z{IAFJld;2XsUz&3$Ft3=_$fY3h?ZAM|LmE(lltHGq$U6z|qW0LGnS4)>~Yh zLPEHaCgYL+UHgqj0$LU0grOdC<9y_~aZdGxQ?#-SqMNEys;g0;DLfh0V7mP1O_OCq zMlia;L!N=9i#>>#1}OA0e1CE5YDf)~bzb$KGH_t(baN_iLK8CadbZ6u&Dw?3T~7HR zu>SY_EO#3o>yLlcI6$b%2nD7LT|sBo)MVA)(z-%O5ATYkRDh)E?t6DW?IUE{tezyj zAA=O7vyDUI4bVbwA?(X)Gc`!b^z`5oTVFi?7{7gc4K9GV9T6A%Ene#%LNx>fbKD%?wib;0(k>=0yTkPhI?V_#|)ZXFMR-FQb!Oi-LBPw72;h+$K#A%hu`EO zL7;&7vF!&-1hs5CSW$sI{MtY9scenqa#>afk<6aF;g8hWEd#SHlWBQFu$v(R>}HTB zRYrxsyd=3qKr>|{EJ16Su6tPI)tmdsM)}pkRTlKkgv}ey_MQkHjqwJ!3MEMDpD!NA zQiC>mdVLJ=sq*$ptp9~z`%B4~A-b)==o2@>k2ZBR0&rH~4xf63!PgI1@l8sh2UCpF z;0D`P+T+`eF`0F+7LNp;jr})$AC;?5ObwoQxtfPX{o&OV)*lj#T@EXCB-Qaooq6pH zzRR?&Lld^TE*G8oZha^*?zUCT?JfYv_&iN=;l^c{7`DW4c@)S&It2-E2|qGLx`);30utFYOAV| zHt!=&E4s{5+nZq?*}X&~?wLO>y?N-J!D))Qv1YIhr7`2H-E_QAFw zR#C5e#F+a&0sAvza!$!u=@bk;{a!B+T=825J$Ib$$4 zTt*X2CK7}@uv8ZpWIUO3pUGDmMRwGq%mFqsOWb}TkWAcjWTNrya=#fJMI=^=E-@FD z(_hVYT0wucCu*L=z5za4DwY9xR_WbeC&%d#-mx~k#{EAli zrOf9#FrE98>g;Vl``Z6t|DLcMe+^#+%eZXA%V7Ck9%*b~PwZ7x;7&e`=5!dILm>qRiA=`f*w(9cT>#s`>vc-#iH>v->yep zB1JmK0x6jhhWQ_{m{j&6G z8e=oBxrP6fpA$FKTv)PsN93TX4U}nP0&$;GL{4TYT)oQ-FFIu1mgNoHkle;BL3Mkp zV|zA;9m%d9k*+6Ouf_{H71Qx6^}s*>uVN7i4iB2y!aV12m~wh4!%&2qd}uEue?V++ z<_%WVHCUOXN_Qh9ZZm;(?M$@ni$+Wo4vpvr+gfa60;0ap zyY=qyIoOT>-;8IvpdZE=(vJVs`pNrr|Ib&0OXF6=#bSXhP*b5dHi971TGD9yV>-1B zUh!+|&!9sI$^7CA~78&M0Fw!47Ve-|QArVLOI=MO3wW0!zJu7%iL%lhao{ zSSX8nv+FjkDhQVav8QV!CoC4V5^X;RFAQ+!H>#{99_PubQGT5H* zq5nEzUtV?mdOw)X-*#He!MOZTD(q-}+^z4Tr6j~U6K;k{=a-3*O0R|^ zyNTLgjzwaoBW&(L{uG9G*(5CB4+XBGj_F(%Rj#^d-!BWGqzdsK#eX;MIwud03yeV# zd`iT01C|HTo+Zp_l8uUuzVT(?xYpn$aM&K#FePX%{Af#cGnq71(y<-dRl^Lk4=RhZ z#YHGD%j-G|Z);bpYvemV4kl&|rR43M2dglQ(tsZWG}(&w;K%nrwY9Wx8%K0O^UT)5 zmN|}z{Se3)J>MqFs5V|*tirX*sBkY8xvAW0#|YF)0MUAQ7hoP zA*AvPy^qgpV@WWz_-$78LdV7t#bfoXMt{kF2W$k|?v(7rxeX(*$}MNO)mnzsCQRmv7*g31qr zUnm8YTw_^l-7I4fGr{#lxl1sYIRFNhC$Z=Ue;nX2GyOmT0sP`^DDu)L9gyyJ6I?V0 zD8Ir~Jbm(ragJD+ZA6Gyd5JFrUL6K|M2Lelfht(3`HlLIIab?kt*#F7-erO@!|4gZ zMBAqPI(B3Bgahkbavau52L~zEswkv18e<0svCPpx6HZ`TP=jUVK~Gg)9`wc6=--@q zO<>ANlGx7kbAB1V{**8kNCN3xb9)X2XH?d`vI$0VB*rx8iV9;HLkyC3j6JfiHjB?+ z8$7$aDdW!gX_(V#~0h_LiD7PdS<3EFCEgUOa%0 zjEOfP^M;%S_g$=CSfs#wIRA8zK;@{gsW?KF8oz@1TaG;i&XB3vX8gbpWMeggF4Jm} z@5g6o%lz_Ah@Vpqs9m_aQoCHd{o4c?I(@P?U9j_sMX7i)JDld8q(f!nuGEv4^I=dQ z#FDyPsJ*VSE$!2l{8KO}V-pN-I2P&?mfN`t+V#$cV%TJSA%GS-NeeX6X;$EuP^%rv zu$I|AK8$=U`6T%l%?-BdWvag(w^*#VPl<_8y1~c_2hH2`$jHc3{}jh5P*BgMR!`2R zA6X>&Kii2>JlPEqvyMnXZA|hMilok{rEdk)a}EbZ+Ztdh6~`mjINBO}2$vd0^TbdK6k)Kga8!XskXSk`9qFdjdWuASiXs|l1 z53vr;$ZVnKZU|7lk>5F@TY(8n=!PeD*M+-#Z3H{59aKX6qLLd~+RcsRW)+j>IIznT zoWH8NjUyPF1mR&JU7tVu|9+X7eO5Ngj(`s69H9}4UFu!BVA#w#7TZ$}Dw;;b&v8#&V-tvfX(@9Wc?FR;8CU=|?B#Q2! zSjh=WRj4|rkVmbfL%`yBWwg-&raG9@g(&kq42|l&F^LE-Bjqra%9g|P+Rf4S>u$JW zQP|=>-VLQlW!1}*U&Q@%LUzs<$x-471fwbY zp$*2%d}uTHDD`r9PBLQauSr%s_U<0h24l}mft_Xh%8<}dLbKOEfn85t&g$odgQtA& z9u-u^@C;+M`8m2~op!{3T0T}kWwbAdb0Rs@Hbc=Rw6FrqrKVBXbN@xpVNgR%kr&DG zv)_`GWNy1{0UDMbtbGopUu`E-0?*%?89_RoFa`MC!dY>pM zQzbXjf>aZr*XCb&n2{Kk^i!Jw=Rw?}W^26eIep#9(%KAzYK4U!u@?h^9iBD96oFTc z7+jH=)&2bZL9$nhmCh3dxCDJO}pCV&I62a_?N=CGRlo- zxVkvvBo&r7%uVXj|3cz`fzJV82#mn0oDJ7*l!D9n1u}*2VpNdqdid}6{qbKJn@9gI z-akPKBXGe~mN`!s_=-AdFy{An5Kh7~Dot;|=C8@um@luN-yPqcdZNqK{jc_Fn5Fk( z^@x0^DLTa$#%-_JlK9wadl}}OapvJHtYTb^vwxZKD57d;({n!up@5r*w@!nSr>smd_5gwlv?Zt)AsN znwh4uwhpVNw3S++&?2K!#6zHhKu@b|Wzx3H=5d`gB_K0JL9oq|(v(UQ6@iFM<(Mgu z-U9Chc$VJx{qOzf@ek#5d*2uL{k!h#d;PB8@B8~+<3rcu=b;#o6hv%2iDU&86a<;VGmo)j08C_41Pu0r9Y|15ootXKYek zb!$2t?&;aeKV4)feo*~br6^#jd^U=ETYa{K3f|UaI_KqBf{@g_DpB_x`sP=0%~H`8 znZ<1M_VM{7r^lxh85B1mFF$U{Yc0dmIR-k1)nWc`jZ3M6_8j`;!ih-&`wZl~Xk2*+ zE_rPz=kPBqik^NYF^#%nxX{<*U+$fxP-uQ{80#4G<`&%9;ILmK-`+A6Umbj>zQ!-K zgIgEEV-~5zy^shbqMAynVSl(lvs3|wi$xINP*!6pf)Yl?&s5X^Go(($I}_kTf9DrY zwu*dB7>*zp(x>7#6f(A@hNbiNEA?{|hcVCy?mF9>zv5{|o+?%Gxt&QsYo=^pn28ySqFnT+@3YiaLtfRlbXQxqEPgj%+=E=SQ@MWKT>vRNa*L6iY9N*~e_`Xo>U^?+@}oKAn>HJiE$T>bAxF_7Z0?EP+N z`8rO=Yh#*rd=%1h#n$CTH$nWBWNqcC&ZUUd~8%Ko7@U^%-;P^OA8_GhJ> z;o{<4TD6KsV<0Z>9B=iBlqUlI{mxf;Xw4#Q=D(gFOo@d5l?42eYKh9c8!pDCV1Et} z$XTB2V*<(T?kG8+22^!w*nB-hj=@KcUrEqzIao??@{4pR4yi0&g3=g6mF z{}_m3+0x3^;LVU<+}m+y>{o(BhMv5rF8_@cJDn$-Uo4-O6<7k8T{KXFdmLraqhvMl zp)8MQyEfG-y9hAl+~CwJ$({_0t#6$J+~QDLB75yE)*AO1sab(YqUWzUcXwkeaLx~rMId)l=8`X z*);u)41;+)jH_%;Y>?2^NSU(|NMa(Lh1Wy~XL%yv3moYd-m#GdtmLqlsetv=olJe5 zJb@erYT&H=7{sn82!1_18$*BbFr;2pSk5@hD!%DyaJ`4#*|=lT)cZ5z#7_>r{Lm!? zN6HqL1byErJ{%Gqs#*1Q8P-3i_$_&!wrU~$h4|jt%A!2JASv?FWk!~0b{VGzL+_%0 z-_mUOm_#lyvcEjGlw1a64r9T#x4@%vZ^8!&$9Yta7ND|OZ*WmJHH`CpfQ#xcpIBDW zOMXMz15~o+j!D|s^BM4cqeGuSXs3i4&ra)K#Tt|S8lz-vFM9Sjb-`($#h?Su)HF3{ z;`6Ip#pI(Ku2fZT6YN=2^+#}DPA~p!89i*j`ju*+e%H|$F~>Q-+pkL;8PX|PEofyI zX$UKx@xOfEi{Pq>*ZA#I69s%4pC@dL5&FdLbiR5}=YfuH+YEYKlcYk(rrXs$;Fex^ z9O%fO8ei*0C^t%eYK9HsM{-k#Pu7kF5i1Mbn)uOE)@@=>v?8VR)aa~_Jt^cU*y*Uh zsHVB#O_|Ye&Dq-0*dxFC{l~LapO#rw3gfB9_z7?4__(I_>C+=1bg?-+;n0VK$j?i% znU@K8Ad2<6`9jy?1xf~fV{!b|BkbV!8W{l_j0HeO$DGyce?iSpGG~D)z_8|w&Kp${ zcBTY{3vlK9xCy4(3EAnzms#{AIFZXEA|<%h<*cqMQ@w6rR);XxZPw5I9aM9Nd}7g7 z@&tY%aE5xjV<)(n_V}BFSlhQB-5u?^+UxiE0TYjzk)uPQLjrPk6@&2v7Y#35WLX%P zi8onhEFD@(ai)zC5v@51GTh*ON&9^NT2L|V+JAuLI-?N1ZS{|w`fGJ1zAIZxWuD(3cs%e0RFMkGu6P!J}q1R zxO#-?_ecwvesd}FA{Yx8LEBgy2sl`i%D`#K2Umr2Qro3g9(dR4-C?rdRrjKwNHT{R z_XIBfEB0cI-t4Py+GS z?pwpsP6pbBQr+T5nOd{ezv}R8u?vD~woTdjVWU_+>!I^;x~>x3fd5-4=NSdElx_bz z+n&dhqLRArI{Q`kbA#@&aE;PXRj^Jsj%5Wt$9UhW$#pI^3@h)+*PZor`@9o<61j|t_Hs?jRHR7`jr!Fxl z%!a&l@jiS@PQG{iCq_{eI&x(d#lJK|8XTo8+!|I_RPcL&ajCoRC%VxZkOe>T#Me9- z!~yFm3f9#Q_X+kVa2Jt%(P*ivO+awP zc+TMkVy2R?9KXQ0nbgd56x&c?wivYgy_ko>syazmnkQX+xc==gMQ^Pt3yeCo@cnoH z`AuuUC#ydDLz02?D3NWr`->vUh4v^`-M@>uIK3yao~Tq4#b^lZ!$Gcn*+MYRe(Fu? zt26i2qHo+aNyrwHF|qGeyGfBq{iBiZ$-S%Cy=G}wciEiOtwdkuam8=w2*M1(7k=|a zf5&X52}+_vA1h*(xTL!v;t*p`oKK68mt7H9ulFu}UOIGQZ$jwM_4kXe@(KOP8Vja)uA3jx;@dYpS9fZZqO`uKS0R(~(ItJDr@I zmLFN76*gP7kpUH-6V|IH*NZxgOR)578J}AKvq1|(A`aD;o=4JeSu$lE1G1+pWT#Ev z$~;VHY&=!Tc;-grSx)SQb~qPQu$O2dk&%&!BI}=+1oSNxxQ~)V>6!$8Qat!6=W@BM znU>cInh_<|Wd_IN!i`r%5=4t%7v305fTvE!yK@)uw9*>wW)_!ylk`}nWvFvpXx-qS zLO-N-u{r_R83b=a(FwF23mS@c8R(-%LsTm~Oq9`=Iv6cBew*v<9X1 zmU=l*>ofCs$s8^KRW_j zkSMC@Zt2MDd-!+%c5ZN#<>5_8OLo`6%S#}1TFW9_1oeUH^^S1JwBoQq`@vRHOMOcm z9LQ$lev+}#6q8=GITiaydOlVx!NyR_a;au-FE1}6V!4%F4zV<}%83SL=!cA>6Q#L` zcaNC*4G-))_JN1bw_E@6_^z?rebczEA;Xx@o0Sq^xbE2Gp{`rDEdI$zSKHfDbc4N( z(q$b!Sa&XJzM^oj&sCUMYW^252JO0Y9kn_tDk?TEF3zGW4(c(no<_9qp*_C^J}M&U zFEIXx@%^$Jd-WISBAOiSDl7>SN=}Bf} z>2$)jzb`=TiL{nv2+);#S}?g1mRe)1o9<{Vj~{k}qc|#a7zIYg>>xO#LYKx2<)On4 zhNKlOxL*yATA~eBYz;nd4tqETR^k&sR`CxE!-#_$Xu=jJ_g+vW4hXF;){Cu#A6dn}y zlob*2qGC%J+@)4*KuF$9#rrLB3z)C!Tev9P0cT0-g6-i%^K6|H=#X$5;f z{NdsTc-IQ#;+JO`_qa6PlGo%)c7)8C#tLc^&Ue%BlkS-gYr@w;=Wmk{5PwNR5KnZ_ z$hbIA3`!SQg6=c2jeLB9j36Gwpkd6rUxalGjt)opAX<(ewSyo`l#m@Fq#$N2!VY4y z4Y(a+vuxSrQ7zfAldjfgZ`^-#3}TYBIYl8XWIK?xC@(MH{K^o_;%zC%b%ctK8W_i+ z)z?Z(ddcirl|qplk(}hCyV;(Q{esLkqPVF>^7ZB>bMO2gV^I0zbZonGV*Mc@73xv& zvlFpDAQ4ZEjQoLOFzvKSB$AL5MrTl9CjKckKFld{EObNS( z=B-hW{~UO&L-W?CN$Gy~ny9gJo$VSUI%3Sx%8EANybm4n*0P7evr>p}4JK6j0_Pr- zC3)eb75bI$49z@NghWsnaJYhW_(#00pXEAQI`TT5y$`8>M z`q!n1-CwJJgebWy-^V;Lb%&n5!w|wYK4AHYH0~dPa6&|T5+g%HKQ~Z}qV#T3~diwL#RSb0We^9&W+_$O}Cf08l zcev^TlSdYRE0t+t@*+M5tp@6hmz4SVbv|V2d0%x zeTD`tce$a5A;wU@(spnw!j{ogtV(l#E*iEL%XMwlq*a)D*r_ovO^e05>6Es`;?bnn z!f+7yg;pg&#DYAkUb@gLl7c*Q-qaIz18;h9h2UyK6f z<@U*M+F@{P?(HL^y)^sjd%hm+2HTPRKNlVOk0AyZM*mNSuuPpKskoZ5f{+JZT&K+& M0@pLY*>m*20cmUkKmY&$ literal 0 HcmV?d00001 From b806ed10b07f13dabbff81a72f1c4fdc63a6ee8b Mon Sep 17 00:00:00 2001 From: mbinary Date: Fri, 7 Jun 2019 16:59:25 +0800 Subject: [PATCH 23/55] Update readme: demonstrate --- .../labs/README.md" | 30 +++++++++++++++++++ .../labs/lab2.py" | 9 +++--- 2 files changed, 34 insertions(+), 5 deletions(-) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" index d762cf6..6156796 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" @@ -91,3 +91,33 @@ 结果如下 ![](result/lab4-rect1.png) ![](result/lab4-rect2.png) + + +## 实验总结 +- 使用 python 的话,img 像素值类型为 `uint8`, 直接相加减可能造成 溢出, 结果在 mod 256 的域中, 所以在可能出现溢出的情况下,我在前面用 `0+` 后面的结果,这样可以将类型提升为 int 的运算而不会出现溢出。 +- 在实现滤波器的,更新窗口的时候,我只更新变换的列, 只需要 O(w) 的复杂度,如果更新整个窗口,需要 O(w^2) 的复杂度。 +- 对于 c++ 实现的 快速傅里叶变换,接口定义如下 +```c++ +typedef complex comp ; + +class dft +{ +public: + dft(); + ~dft(); + bool dft1d(vector&, vector const &); + bool dft2d(vector&, vector const &); + bool idft1d(vector&, vector const &); + bool dft::_dft2d(vector>& dst, vector> const &src,bool isInvert=false) + bool dft::dft2d(vector>& dst, vector> const &src) + bool dft::idft2d(vector>& dst, vector> const &src) +}; +``` +实现的思路是: +首先实现 一维的 dft, idft。 使用 快速傅里叶算法 fft, +对每一层, 计算倒序数,进行计算,一个 log(n) 层,每一层计算 n次, 则一维 fft时间复杂度为 `O(nlog(n))` +然后利用傅里叶变换的可分离性,计算二维 傅里叶变换2d dft +可以先对每行进行 1d dft, 然后对每列进行 1d dft +逆变换同理。 + + diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" index 8d11440..d64c362 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" @@ -1,5 +1,5 @@ import sys -from random import randint +from random import random from collections import Iterable import cv2 @@ -8,11 +8,10 @@ from matplotlib import pyplot as plt -def noise(img): +def noise(img, rate=0.03): '''3% 的椒盐噪音''' img2 = np.zeros(img.shape, img.dtype) n, m = img.shape - rate = 3 mn = 255 mx = 0 for i in range(n): @@ -23,8 +22,8 @@ def noise(img): mx = img[i][j] for i in range(n): for j in range(m): - if randint(1, 100) <= rate: - if randint(0, 1) == 0: + if random() <= rate: + if random() <= 0.5: img2[i][j] = mn else: img2[i][j] = mx From 452e69c2c18c72a9f4a56fe91d28bf1864df6e0e Mon Sep 17 00:00:00 2001 From: mbinary Date: Fri, 7 Jun 2019 17:12:57 +0800 Subject: [PATCH 24/55] Update REAdme: adjust layout --- .../labs/README.md" | 239 +++++++++--------- 1 file changed, 116 insertions(+), 123 deletions(-) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" index 6156796..9511225 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" @@ -1,123 +1,116 @@ - -#

    -[![License](https://img.shields.io/badge/LICENSE-MIT-blue.svg)](LICENSE) -[![Language](https://img.shields.io/badge/language-python3.6-orange.svg)](.) - ->说明. 最开始我用的 cpp 实现了实验内容(代码在 `cpp`目录下),以及自己实现了 fft, 1d,2d。但是配置 windows上的 opencv 环境失败,代码只经过了静态语法检测,可能还有些地方有 bug。 后来我用的 python 重新实现了除 FFT 的所有算法,并将结果记录如下 - -## 使用 -### 环境 -- python3.6+ -- matplotlib -- numpy -- cv2 - -### 运行 -当前目录下的所有 python 代码按如下格式执行 -`python3 lab*.py ` -如 -`python3 lab1.py images/lena.bmp` - - -# 实验内容 -## 图像的点处理 -### 灰度的线性变换 -输入斜率,截距, 进行一维线性变换 - -### 灰度拉伸 -输入两个转折点(x1,y1),(x2,y2), 进行分段的线性变换 -``` -当 xx2, f(x) = (255-y2)*(x-x2)/(255-x2)+y2 -``` - -### 灰度直方图 -输入图像,显示它的灰度直方图, 还可以输入恢复的上限,下限, 显示这个范围内的灰度直方图 - -### 直方图均衡 -扩大灰度范围,减少灰度之间的数量差值 - - -结果如下 -![](result/lab1-lena.png) - -![](result/lab1-pout.png) - -## 数字图像的平滑 -滤波,去除图像的噪声,均值滤波,中值滤波去除加性噪声;同态滤波去除乘性噪声 - -先给图像加上 3% 的椒盐噪声,然后分别使用 窗口大小为 3 的均值滤波器和中值滤波器进行滤波 - - -记图像大小 nxm, 窗口大小为 wxw -我在实现滤波器时,移动窗口,每次只会更新移进的值,和移出的值。 -即 窗口先又移动,每移动一列,就将这列的数据考虑进来,而将移出的那一列剔除。这样在更新窗口的值时只需 O(w) - -对于均值滤波,时间复杂 O(nmw) -对于中值滤波,需要求出中值,则这需要 O(w^2) 的时间才能完成。可以利用 快速选择的算法,在O(lengthOfArray) 时间里找出排任意名次的数,这里找出中值, w\*w/2 -总时间复杂度 O(nmw^2) - -结果如下 -![](result/lab2-lena.png) - - -## 图像的边缘检测 -实验原理: - -在灰度图像的情况下,所谓的边缘检测可以看成是基于图像像素灰度值在空间的不连续性对图像做出的一种分割。边缘可以用方向和幅度两个特性来描述。一般而言,沿边缘走向方向其幅度值变化较平缓,而沿垂直于边缘走向其幅度值变化较剧烈。 - -经典的边缘提取方法是考察图像的每个像素在某个邻域内灰度的变化,利用边缘邻近一阶或二阶方向导数变化规律,用简单的方法检测边缘。这种方法称为边缘检测局部算子 -法。 - -边缘检测算子一般有 -- Roberts 交叉算子 -- Sobel 模板卷积 -- Prewitt 同上 -- Laplace - -我实现的时 Roberts, Prewitt, 结果如下 -![](result/lab3-lena.png) -![](result/lab3-map.png) - -## 傅里叶变换 -有时间可以专门写一篇介绍 Fourier 变换 - -实验要求 -- 对 `images/rect*` 图像作二维Fourier , 显示频谱,然后作幅度变换,将低频移到中心点 -- Fourier 反变换 幅度,并显示 -- Fourier 反变换 相位,并显示 - -结果如下 -![](result/lab4-rect1.png) -![](result/lab4-rect2.png) - - -## 实验总结 -- 使用 python 的话,img 像素值类型为 `uint8`, 直接相加减可能造成 溢出, 结果在 mod 256 的域中, 所以在可能出现溢出的情况下,我在前面用 `0+` 后面的结果,这样可以将类型提升为 int 的运算而不会出现溢出。 -- 在实现滤波器的,更新窗口的时候,我只更新变换的列, 只需要 O(w) 的复杂度,如果更新整个窗口,需要 O(w^2) 的复杂度。 -- 对于 c++ 实现的 快速傅里叶变换,接口定义如下 -```c++ -typedef complex comp ; - -class dft -{ -public: - dft(); - ~dft(); - bool dft1d(vector&, vector const &); - bool dft2d(vector&, vector const &); - bool idft1d(vector&, vector const &); - bool dft::_dft2d(vector>& dst, vector> const &src,bool isInvert=false) - bool dft::dft2d(vector>& dst, vector> const &src) - bool dft::idft2d(vector>& dst, vector> const &src) -}; -``` -实现的思路是: -首先实现 一维的 dft, idft。 使用 快速傅里叶算法 fft, -对每一层, 计算倒序数,进行计算,一个 log(n) 层,每一层计算 n次, 则一维 fft时间复杂度为 `O(nlog(n))` -然后利用傅里叶变换的可分离性,计算二维 傅里叶变换2d dft -可以先对每行进行 1d dft, 然后对每列进行 1d dft -逆变换同理。 - - +#
    2019 计算机图像学实验
    +>说明. 最开始我用的 cpp 实现了实验内容(代码在 `cpp`目录下),以及自己实现了 fft, 1d,2d。但是配置 windows上的 opencv 环境失败,代码只经过了静态语法检测,可能还有些地方有 bug。 后来我用的 python 重新实现了除 FFT 的所有算法,并将结果记录如下 + +## 1.1. 使用 +### 1.1.1. 环境 +- python3.6+ +- matplotlib +- numpy +- cv2 + +### 1.1.2. 运行 +当前目录下的所有 python 代码按如下格式执行 +`python3 lab*.py ` +如 +`python3 lab1.py images/lena.bmp` + + +

    实验内容

    +## 2.1. 图像的点处理 +### 2.1.1. 灰度的线性变换 +输入斜率,截距, 进行一维线性变换 + +### 2.1.2. 灰度拉伸 +输入两个转折点(x1,y1),(x2,y2), 进行分段的线性变换 +``` +当 xx2, f(x) = (255-y2)*(x-x2)/(255-x2)+y2 +``` + +### 2.1.3. 灰度直方图 +输入图像,显示它的灰度直方图, 还可以输入恢复的上限,下限, 显示这个范围内的灰度直方图 + +### 2.1.4. 直方图均衡 +扩大灰度范围,减少灰度之间的数量差值 + + +结果如下 +![](result/lab1-lena.png) + +![](result/lab1-pout.png) + +## 2.2. 数字图像的平滑 +滤波,去除图像的噪声,均值滤波,中值滤波去除加性噪声;同态滤波去除乘性噪声 + +先给图像加上 3% 的椒盐噪声,然后分别使用 窗口大小为 3 的均值滤波器和中值滤波器进行滤波 + + +记图像大小 nxm, 窗口大小为 wxw +我在实现滤波器时,移动窗口,每次只会更新移进的值,和移出的值。 +即 窗口先又移动,每移动一列,就将这列的数据考虑进来,而将移出的那一列剔除。**这样在更新窗口的值时只需 O(w)**。 而如果直接更新整个窗口,需要 O(w\*w) + +对于均值滤波,时间复杂 O(nmw) +对于中值滤波,需要求出中值,则这需要 O(w^2) 的时间才能完成。可以利用 快速选择的算法,在O(lengthOfArray) 时间里找出排任意名次的数,这里找出中值, w\*w/2 +总时间复杂度 O(nmw^2) + +结果如下 +![](result/lab2-lena.png) + + +## 2.3. 图像的边缘检测 +实验原理: + +在灰度图像的情况下,所谓的边缘检测可以看成是基于图像像素灰度值在空间的不连续性对图像做出的一种分割。边缘可以用方向和幅度两个特性来描述。一般而言,沿边缘走向方向其幅度值变化较平缓,而沿垂直于边缘走向其幅度值变化较剧烈。 + +经典的边缘提取方法是考察图像的每个像素在某个邻域内灰度的变化,利用边缘邻近一阶或二阶方向导数变化规律,用简单的方法检测边缘。这种方法称为边缘检测局部算子 +法。 + +边缘检测算子一般有 +- Roberts 交叉算子 +- Sobel 模板卷积 +- Prewitt 同上 +- Laplace + +对于具体实现,需要注意的是 像素值的类型,以及参与的运算。例如 python 中,像素值类型为 `uint8`, 直接相加减可能造成 溢出, 结果在 mod 256 的域中, 所以在可能出现溢出的情况下,我在前面用 `0+` 后面的结果,这样可以将类型提升为 int 的运算而不会出现溢出。 + + +我实现了 Roberts, Prewitt, 结果如下 +![](result/lab3-lena.png) +![](result/lab3-map.png) + +## 2.4. 傅里叶变换 + +实验要求 +- 对 `images/rect*` 图像作二维Fourier , 显示频谱,然后作幅度变换,将低频移到中心点 +- Fourier 反变换 幅度,并显示 +- Fourier 反变换 相位,并显示 + +- 对于 c++ 实现的 快速傅里叶变换,接口定义如下 +```c++ +typedef complex comp ; + +class dft +{ +public: + dft(); + ~dft(); + bool dft1d(vector&, vector const &); + bool dft2d(vector&, vector const &); + bool idft1d(vector&, vector const &); + bool dft::_dft2d(vector>& dst, vector> const &src,bool isInvert=false) + bool dft::dft2d(vector>& dst, vector> const &src) + bool dft::idft2d(vector>& dst, vector> const &src) +}; +``` +实现的思路是: +- 首先实现 一维的变换 dft1d, idft1d。 + +- 使用 快速傅里叶算法 fft,对每一层, 计算倒序数,进行计算,一个 log(n) 层,每一层计算 n次, 则一维 fft时间复杂度为 `O(nlog(n))` + +- 然后利用傅里叶变换的可分离性,计算二维 傅里叶变换dft2d, idft2d: 先对每行进行一维变换, 然后对每列进行一维变换。 + +结果如下 +![](result/lab4-rect1.png) +![](result/lab4-rect2.png) + From 00630c2f9ad8294592c0da9f1920b8c2e5371fb1 Mon Sep 17 00:00:00 2001 From: mbinary Date: Fri, 7 Jun 2019 17:21:46 +0800 Subject: [PATCH 25/55] Update README --- .../labs/README.md" | 4 ++++ 1 file changed, 4 insertions(+) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" index 9511225..004eaee 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" @@ -10,12 +10,16 @@ ### 1.1.2. 运行 当前目录下的所有 python 代码按如下格式执行 + `python3 lab*.py ` + 如 + `python3 lab1.py images/lena.bmp`

    实验内容

    + ## 2.1. 图像的点处理 ### 2.1.1. 灰度的线性变换 输入斜率,截距, 进行一维线性变换 From 7fcbeb592b05e245f7bca95ed85a39f135d0a44c Mon Sep 17 00:00:00 2001 From: mbinary Date: Sat, 8 Jun 2019 16:45:08 +0800 Subject: [PATCH 26/55] Correct image index --- README.md | 1 + utils/genIndex.py | 13 ++++++++++++- 2 files changed, 13 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 7c55c08..d16d903 100644 --- a/README.md +++ b/README.md @@ -93,6 +93,7 @@ course * [代数结构](./代数结构) * [光学与原子物理](./光学与原子物理) * [images](./images) + * [计算机图像学](./计算机图像学) * [计算机网络](./计算机网络) * [计算机系统详解](./计算机系统详解) * [计算机与信息类](./计算机与信息类) diff --git a/utils/genIndex.py b/utils/genIndex.py index 6ed576b..1c30d2c 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -5,6 +5,16 @@ import shutil from getSize import getSize from config import PATH, HTML, WALKDIR, TARDIR, IGNORE, NAME, DOWNLOAD + +URL = 'https://github.com/USTC-Resource/USTC-Course/tree/master/' +ImagePre = '' +ImagePT = re.compile(r'\!\[(.*?)\]\(([a-zA-Z\d\.].*?)\)') + + +def subFunc(match): + name, suf = match.groups() + return f'![{name}]({ImagePre+"/"+suf})' + hasPinyin = False try: from pypinyin import pinyin @@ -120,7 +130,8 @@ def genIndex(path, dirs, files, htmlTemp=HTML): readme=md2html(md)) filename = os.path.join(tar, NAME) with open(filename, 'w') as f: - f.write(cont) + ImagePre = URL + path + f.write(re.sub(ImagePT,subFunc,cont)) def getPath(path): From fd46d6dc99906f0fac6a3660b81ea6b430850c23 Mon Sep 17 00:00:00 2001 From: mbinary Date: Sat, 8 Jun 2019 16:54:10 +0800 Subject: [PATCH 27/55] Update genindex.py --- utils/genIndex.py | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/utils/genIndex.py b/utils/genIndex.py index 1c30d2c..544aade 100644 --- a/utils/genIndex.py +++ b/utils/genIndex.py @@ -1,19 +1,19 @@ #coding: utf-8 import os import re +from functools import partial import markdown import shutil from getSize import getSize from config import PATH, HTML, WALKDIR, TARDIR, IGNORE, NAME, DOWNLOAD URL = 'https://github.com/USTC-Resource/USTC-Course/tree/master/' -ImagePre = '' ImagePT = re.compile(r'\!\[(.*?)\]\(([a-zA-Z\d\.].*?)\)') -def subFunc(match): +def subFunc(match,pre): name, suf = match.groups() - return f'![{name}]({ImagePre+"/"+suf})' + return f'![{name}]({pre+"/"+suf})' hasPinyin = False try: @@ -130,8 +130,7 @@ def genIndex(path, dirs, files, htmlTemp=HTML): readme=md2html(md)) filename = os.path.join(tar, NAME) with open(filename, 'w') as f: - ImagePre = URL + path - f.write(re.sub(ImagePT,subFunc,cont)) + f.write(re.sub(ImagePT,partial(subFunc,pre = URL+path),cont)) def getPath(path): From b9278e438d118372312d4ede61aece6e500d7a60 Mon Sep 17 00:00:00 2001 From: mbinary Date: Tue, 11 Jun 2019 21:25:38 +0800 Subject: [PATCH 28/55] Update image-processing lab: implementation of fft --- .../labs/dft.py" | 119 ++++++++++++++++++ .../labs/lab1.py" | 2 +- .../labs/lab2.py" | 2 +- .../labs/lab3.py" | 2 +- .../labs/lab4.py" | 23 ++-- .../labs/result/lab4-rect1.png" | Bin 70308 -> 51979 bytes .../labs/result/lab4-rect2.png" | Bin 100972 -> 75387 bytes 7 files changed, 132 insertions(+), 16 deletions(-) create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/dft.py" diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/dft.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/dft.py" new file mode 100644 index 0000000..83be839 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/dft.py" @@ -0,0 +1,119 @@ +''' mbinary +######################################################################### +# File : fft.py +# Author: mbinary +# Mail: zhuheqin1@gmail.com +# Blog: https://mbinary.xyz +# Github: https://github.com/mbinary +# Created Time: 2019-06-11 12:48 +# Description: +######################################################################### +''' +import numpy as np + + +def _fft_n2(a, invert): + '''O(n^2)''' + N = len(a) + w = np.arange(N) + i = 2j if invert else -2j + m = w.reshape((N, 1)) * w + W = np.exp(m * i * np.pi / N) + return np.concatenate(np.dot(W, a.reshape((N, + 1)))) # important, cannot use * + + +def _fft(a, invert=False): + '''recursion version''' + N = len(a) + if N == 1: + return [a[0]] + elif N & (N - 1) == 0: # O(nlogn), 2^k + even = _fft(a[::2], invert) + odd = _fft(a[1::2], invert) + i = 2j if invert else -2j + factor = np.exp(i * np.pi * np.arange(N // 2) / N) + prod = factor * odd + return np.concatenate([even + prod, even - prod]) + else: + return _fft_n2(a, invert) + + +def _fft2(a, invert=False): + ''' iteration version''' + + def rev(x): + ret = 0 + for i in range(r): + ret <<= 1 + if x & 1: + ret += 1 + x >>= 1 + return ret + + N = len(a) + if N & (N - 1) == 0: # O(nlogn), 2^k + r = int(np.log(N)) + c = np.array(a,dtype='complex') + i = 2j if invert else -2j + w = np.exp(i * np.pi / N) + for h in range(r - 1, -1, -1): + p = 2**h + z = w**(N / p / 2) + for k in range(N): + if k % p == k % (2 * p): + c[k], c[k + p] = c[k] + c[k + p], c[k] * z**(k % p) + + return np.asarray([c[rev(i)] for i in range(N)]) + else: # O(n^2) + return _fft_n2(a, invert) + + +def fft(a): + '''fourier[a]''' + n = len(a) + if n == 0: + raise Exception("[Error]: Invalid length: 0") + return _fft(a) + + +def ifft(a): + '''invert fourier[a]''' + n = len(a) + if n == 0: + raise Exception("[Error]: Invalid length: 0") + return _fft(a, True) / n + + +def fft2(arr): + return np.apply_along_axis(fft, 0, + np.apply_along_axis(fft, 1, np.asarray(arr))) + + +def ifft2(arr): + return np.apply_along_axis(ifft, 0, + np.apply_along_axis(ifft, 1, np.asarray(arr))) + + +def test(n=128): + print('\nsequence length:', n) + print('fft') + li = np.random.random(n) + print(np.allclose(fft(li), np.fft.fft(li))) + + print('ifft') + li = np.random.random(n) + print(np.allclose(ifft(li), np.fft.ifft(li))) + + print('fft2') + li = np.random.random(n * n).reshape((n, n)) + print(np.allclose(fft2(li), np.fft.fft2(li))) + + print('ifft2') + li = np.random.random(n * n).reshape((n, n)) + print(np.allclose(ifft2(li), np.fft.ifft2(li))) + + +if __name__ == '__main__': + for i in range(1, 4): + test(i * 16) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" index edf0d7a..75fce4a 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab1.py" @@ -103,7 +103,7 @@ def show(img, s='opencv'): cmap = mpl.cm.gray # mpl.cm.gray_r 'gray' - plt.figure(figsize=(10, 10)) + plt.figure(figsize=(8, 8)) plt.subplot(321), plt.imshow(img,cmap=cmap), plt.title('origin'),plt.xticks([]), plt.yticks([]) plt.subplot(322), plt.imshow(img2,cmap=cmap), plt.title(f'tran k={k},b={b}'),plt.xticks([]), plt.yticks([]) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" index d64c362..d2e9bcf 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab2.py" @@ -142,7 +142,7 @@ def find_median(arr): img3 = median_filter(noised_img) cmap = mpl.cm.gray - plt.figure(figsize=(10, 10)) + plt.figure(figsize=(8, 8)) plt.subplot(221), plt.xticks([]), plt.yticks([]) plt.imshow(img, cmap=cmap) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" index 8c9e3c1..d37cec5 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab3.py" @@ -46,7 +46,7 @@ def prewitt(img): img2 = roberts(img) img3 = prewitt(img) cmap = mpl.cm.gray - plt.figure(figsize=(10, 10)) + plt.figure(figsize=(8, 8)) plt.subplot(221),plt.xticks([]), plt.yticks([]) plt.imshow(img, cmap=cmap) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" index 92d64bf..c1a3ab4 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/lab4.py" @@ -4,36 +4,33 @@ import numpy as np import matplotlib as mpl from matplotlib import pyplot as plt +import dft -def fft(img): - f = np.fft.fft2(img) - # fshift = np.fft.fftshift(f) - # magnitude_spectrum - mag = np.abs(f) - imag = np.fft.ifft2(mag) - phase = np.angle(f) - iphase = np.fft.ifft2(phase) - return mag, phase, imag, iphase if __name__ == '__main__': path = sys.argv[1] img = cv2.imread(path, cv2.IMREAD_GRAYSCALE) - mag, phase, imag, iphase = fft(img) + f = dft.fft2(img) + # magnitude_spectrum + mag = np.abs(f) + invert_magnitude = dft.ifft2(mag) mag = np.log(mag + 1) - imag = np.real(imag) + phase = np.angle(f) + iphase = dft.ifft2(phase) + invert_magnitude = np.real(invert_magnitude) iphase = np.real(iphase) cmap = mpl.cm.gray - plt.figure(figsize=(10, 10)) + plt.figure(figsize=(6,6)) plt.subplot(221), plt.imshow(img, cmap=cmap) plt.title('Input Image'), plt.xticks([]), plt.yticks([]) plt.subplot(222), plt.imshow(mag, cmap=cmap) plt.title('Magnitude Spectrum'), plt.xticks([]), plt.yticks([]) - plt.subplot(223), plt.imshow(imag, cmap=cmap) + plt.subplot(223), plt.imshow(invert_magnitude, cmap=cmap) plt.title('idft [ magnitude] '), plt.xticks([]), plt.yticks([]) plt.subplot(224), plt.imshow(iphase, cmap=cmap) plt.title('idft [ phase ]'), plt.xticks([]), plt.yticks([]) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect1.png" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect1.png" index 062115b09e8decc65d41779e0de46ee9b3895a45..51767ec04ecc89dc5828386e8201ec627c1b30e7 100644 GIT binary patch literal 51979 zcmeFZcRbbq|37@BP?4yVLlmVY%HA46Sw&^4gB$>F?k8!AGDL#bVQ-(4UxYT@1@f$;fEqlcQl;T zY|Wfp4INBTSVJc}Yg;F4OQSO`rVfslwl@4c*LkjSpRsUqvJ>Ow{XdWM*gBZ=7S6sn zi9(%0-Icld@JZ}UrCSv1qsragxhd1PbBe2qj5nC-c`iS>S@QQ6j#78ZzYbl#C@=r| z+|fFBI=m;twHq{scWKW09o=`ZBv)rC;IKM-XCTYPLp%~+94JoQ$y4k&*DcxI@@+n3 z-Rnq#nDfVv7o2FvD)c+T%*7rpXXQWaS&HruU3R-5(Xn^~d7oP`vMohfDCDaz)o4}& zhFkDc0p=q)e;%Zz)__N7&MNs+awCtt=BlS)LY^!i_8k?7Jd)gG=X(cva+irn4F~dM zOTPdAZT`QxHUXvtUDwY`Q{B6lOotphB<0UBKADJ6-?=owkS92b3S4`wZ(Y5!oL%hv z2!%>fJ*ckhe8PKcj9u7iE5v8Z_#ERwiM7#Z+QmkG)@OeQoo76_wUj1JH?t~1Up|v} zm@!~~u=rdF_I`cClNF-5ea|CxT+Gd{SF$Vq>Q<3H?J<)}-QVAzQ-4H!^d)C-O@z8$ zX=XYVs${N=yzqrH#F}jNnyZe2$xU1Ba)jM-kGil#4Tnj=*WOYWprnVAEBKmNWorQ%cf>co}S-2|@{O&zc0o+KmRc-pS71RKhlY5~7(W?(N^M8ZEar%CUsV64UcK>% z6@H{q?Y%9Oq5Hacz}NQNphL^mz6v+~c<&8M#TbF6;(46#aGz{pzr)7nW@wvPv9q8& zZID5zMyg6eunx})f}RImBfF1;Ln$kla_q}eM^d6*HhxUcZQ=x6#=$3JxRQyt+Se?* z&J`3FkHP7GV&7Sy9ubw^`c6Mq&%TqBpP}nI)Dvs_<5K|2H$A(mUol{72(sy2;^}|wdp%U}+kBQIZP}-DDaV1o#ei&`h z-{CtVv2bI2(aj`Zlhu3e`;(d6#(k#Pz8ng*H03+y<`)h<-sz; zGT%pv_c)TU-fI4JXb4wSB*g0U_2$}2akXS(QD|pN(}jc}Iu@Z(YU#D7#K#{VE{r~- z{}HaHY;R>#@k^G~{pY(=(%usN0|WMOfyL75TPFD0#pfjyqpnemHQ?$mNc}okvC@xu zN|KAmoz(Ya=uT6Sdw2haR6^raT0*euhjH;Vy=TrHlB=Vij;ZWNu{wWyFi8xTckFYV z)@odCl-^+oyPzWL;UVra>}Iax*d}-ijdm#7^%&V?J0iVh2j>~YB7CHg&4YVqtx;Mm zq^Dx3OQFw=6i4~&3aZbhe0HC+#9YbPg7og9bf3@eb|i!XTY%wKYk{K2q|2L0)WL}KVP{TQx)$0 z2D3Di-+^3?o9gN(kBHA)L*cgB4s2E9BYAXR4Y`h-hCgc^yIU$-6TCi8Np4ZXwrt3W zAPXWaVe6cb&ETnl>~m<@9QoVd$j*w zgsRybUah)nRc|i6sadf(G~cjH&<~mSB#DneWA;XF0h$ueOL-C%!@6J^3?x6gA0uTgg zgi;KEk2Z!JcX*Kd^tR<=Q-z?P@h>mjQ+~4S8*y~?bC&Y z^$s7lhJ?v!!N1j7}V|yzjvNnyNLG1X_r&pn*$>oRL6zFxRmPX zeb#Po{gjig8*d6TCNI|=<{iyX*ye5*BXh%bp-X?9Sr>32{^h*S?&cai?U2Ef^}0|w zwg3cFpgOsR*V|oxkfe7-dCF(_wzlVe8WZmXwyw8}jL{APtcy%W8DBGQp#5egD6z9P zwg$^9;Mox|8cc6SZ4fiR-9jz3ac_nu$`@8oy?WX)6$u89*}{`q<#S?B;tBGrR3*DR zn-4xbw3{m>89||zeD`Ou>$-cv5LUw4uMnRg1)kFVyj5!)Z@D%90%ZF!S3WsCmH92%-?SUx40sH6q*dv+E26HS6eUK-I}z-s-J9>Kcr#?dr}3p*m(( zMdFtqbxrv~-Ql((&Jc+%@!wxKDJN9$LTz{f%Qx%0WzJ*?)G)LQ;{9!QkD2T6mNk_0 zQCM|7w-o9cyddT+@o{=jMV#v}QQ;ipFf3u)qSd9PC$qD&!v&Vzo{dM29NB1|nwt6r zmEbXdSbyy=;>y?C^lqq%JAq8m*k$)2yo4JZl6Ik01aXIb_ZR!H_vS2#j+QnGd;9k7 z;dd|Cre_NYdUS;mLCQ<~E(6$NH@GZ|_fp=F+~Qqfjk(L6b`FQHg#pj!`;0@DEhj|Y zseX8}Iai)7?DpFvfA&LK{BP8glR9=!=liC*)2QRmy9q6M&A`j+4qkXN))=yiMb37< z6zN59y2c@rOcus{{FsEXzYg;(pq?OCiMZ0hV*6y>bC`0pa_e_XJjrbs0K4MWyV15- z(Qel&g1*mC3u*D`tdP%IJ$uEa5Lt3}Yf)-DB~owteAwOj4xgQTIIq-o@h!S+12Wge()j07WiJ1Ce`$_G7ZJ-1pj3o2|ET@aE2H zb%>J~E}Gvw27C4D)hK@R;A}P)VVh4O-bF^i>eC$0nOP57VlBVV)5mwSoTU%b}Fbg$aG$``~uObx>C>8&B z!m_n3v{NrHFHWlt`Sm&1>OgwWQA!aYO>IR;pIA)Tt(Y%i-x{U9$P_5DJ|5P#nCHFi zeh$vh;mg_fDfc$$U3wDROWh*N-_(9JU&%IrP9p+EoV&d;u*o!c7zkDp@2f!~F`!#n zoUVF%*7ny1Ihzv2_GFX-Y3e_xr+@cMak8(|OfQS=AXgW)0BikhsJzI?W5sifbgL0w zxFSEK=3yOe?ZUODK*hRGf2eZyMY_x7h(kW~m3Kt$$fIxd(pi+1m3{5*J|^OlUAc0| zdcb48@)ulQ$Ml|T1_XpQb`m;BNY^}gjB9@poO^BY?6AlDXQVYD%%R7s$9e=?Jk&~3 z*Y`Rx|D;j;YiH;8Zn#+SRlC(|KLX*Fs_WPv$SV19$Xs{i$r#e3={aEV z2W#_!nx#{wV~m?B{paBHOgJC+LU~! zYK;**Ts~XGBK12oB+3{#P)E(EIdpWYYZdX9fa5iXc`JNJw&z@*js*V29q%)h5}7j= zES^|iE?yM;ppW3FV7Gl_zpt(f@pI|W@@AaC5o(R(vu~PA)H)*N&&85ymJ-*^#;k5@ zlsgRpY)UC6NS#J_B2U>*4y5PEbA?4o`$*}zPo*#rZZp-iL&sH1RkUY2wrncDQz$YK zu6E6FQn6xZgN(rZ{fozA8zm8t|8zm>DbkgTN4&*{e+9dqiBmQPEN*wA=X@7R`kUE$ zYVm3ZoE-)s;QLp|KNVP;Oc*z3i`iEOY^6OHp0R&=Ab0xt=6v;taE(=gGW+&VIs0Fq zPl~U$_-1I9^rqmJRxSFRcg%HnbE)8*roQOoV*po%i)jke(_2I<*QV36H+H1o9Np;s zQA^GK3C=F~1-o~jI=lF|G~l8H^x%sT_o^=T2J%+@6t`I&a-~KkcTX|ws$ST2kF~2i zD6-hf{|k63sutBA)HLr7?)Cv-@A8bD**kfEb_eAs~#oB zw`FDV91pxRQgkonuj<|H`KM)N-uj3*_`R*qOCwQ&)lT+E;Q-;6lpcG%7jP-WF}D3PG^{%RYNhH8BRP~VDI zty$oWLsmDrHXZU-|54ZMce``6(Sd$@f!3$>Or zr+}T-b)_hHH9|$WtO11!0(wR5olCi}d~ls`A+p7gm}got{V{z3nykc9380${2ZKD$ z=t5ff`=7JLBXa{)CQWa+deL0;VPxVU^oUmUx$nSFXk{Ktt>lJ$kF4H0k5I3C>`<9A z(#5?Rm4G(J#l;~i%TsMh>)7Y95b3#ZO=b5z?)|p)*<{(-tlk~is?N@)Pgl=w*x8)7 z<*>LA=fvp!D^P#5Q;wZ3wpfEZ@o_o@N@{ybrG8NY5n4~jmgd8)g~Wf1IR3d%z)`!2 zKt1gH3||s07vkVOl^|_9C<<3`a?sWG%!c)J0f5F~x@ItIF(iee1@BwW<0xy}b zoovIm*&`KnL~6~z6At>Ji@6t7-ndJ7Gsa zYNIpcN3?l-E%E^x^G~44jN4)aMK*pW+3&2A@B(x|5`Pzd014Of_G2DuOmV32-A)FOdPs7)*N7;O~>=9`uLW*@n(drk2kbP0IK8eo- zTr`4}?wF<0EwJGcZp~TLIS@FGy{8f5Z!N?k@>q|ZY5dXX^TQ|Ig@>HNid%TfpMbO< zhFd)+2~a?>?X@~YnyuQL)8h*JwKC%4Gmost3O!&=tI$aY#TRNQD;2qp;q%U3R;mY@ z=-KFBNCuj-Gz_{XW*3B-8yFsO2rJ7jEbI{<^`)#R#1O>DWi~@%^PtRrHQ-oC6N_%P z8%tBe9o{Saz%7@veTH0T6nHDgL6leygK!&7>9({@i*xx6_J+tjU>hx#oq4+?{`$!* z0lF*dSxZ0}c##hn04`ARDE;w)_}d+e6+1iLw(YT^daka;ku4JyPhXN0uU5g-5bO9= zKC<18kmE`a;(NyfYoC;2SOU7>#_)wsn37 z_jvFSI2U>;s@EHPtORYp*F7JW+MF3fo&Y|@@byO4F-agUlTiSV?czUPaw>`)oJz~V zoD=x%@o?9sXtR6AYsw8bl5{S{k7R#>#qvmY#qUEvsD-(8N{%{`+J}03+y)RgXcWd%85N~i)~bE)z~ zdhKQt$QpSj2gSt2k<#&D>3J0-IJ0>a#dKB6@9kTrvHLe@h-G$kOlOtGp~c@R)oB0^ z<0kEqBR>$J0oLoN<2vNrPRKY&L=W24(>W2Ydtt+_D#Kc;T_QdCv=3 zWz&~+yw@iN%B=i?nBn06@kimX{+DA)*Gq#m4?r|wa5UzyhTm^}vG}wofhc}pgq&Jh z!^1vfzoIXUXY$9yubb6T^wO*ijO;WB+tFAu`mDF;=Pb61+mfwh>dfO`PhLm=^PE${ zHmtti@yYr5jw}TK*PVCu_1WRBpInrvjO*;!@~2}7QjgtgHOueIF{DKO4NH8>d8bLm zo3SS6LCKTRfJ{|$^R!Nd>0lE+%QrrV_^rtib@=db9|~-yBufm25|yla$@BYReH1D~ zr<4(OKfUMc$cU|gs`{@bMW8x@7$#ps+Z(9&kJDw2|Da zvE0T@0^KPgur+4#DJrHGHPU-xCw^ApB|U^tqfo`y(7M4(&z^{03u7E6uk%*1_m{0p=GeLQ8fU-xYeX5ciy&`CNZ?c>F}6u%<(6z)1pSTM>d#1 z4xv!bo;^dXF4Wpw+O2oK$PEUX#d4y65BPfWdHlldFhG6s_fJiq8FP?_$E&gdAY{jwK+nsk;1W4PW_s} z*4+uq_V(yaUY{vo**#WLIM3eIXdXH5X34A&0-DGbKqJw?j}{G);QzhbxzH+n z3~}|(`GXGzfOD+p=hPI%wXO3!15W!D$m54KI5a>U%kqne(9}q4bdlc5`;y#bIY}59 z&dp?2NmS^fB`$qLaVKJ2B!I0+e;MSy z1r-$)%16=1P$hqnBaJ;7rU%w9ye*fuCrIToloyjeZQ=g6O0|mOc#Phy^0AkiW z6zGn7m~TJUaKowXgsIbVTrl_4MdKv2u5_gAYTPU@^U3Sq7&1bwoHX;YGE_fAUAmEZ zObLK;H945gJ-(4=EEON>K0pO~?Z87ZW?f^VbZ;40N=mtmMG zo->hNm=t3Z4cQv;E?2>P?D?p<%3&MzDE*OIa8@8sWADSuCq@Pw%h<}c17zydixfBq z&UL*LWEAbPWth%e`eC6PJQLC_FJ3IeIgs2YX7qE~Hq(Cj_Ml+i$XvdlYL$gmVa$Bn zZSACi@vf3)1|DuncjRtD2@qVbPdOszgsB$sb#e|ot^2LWs+J#c{MUS z^@ml3<9csM*$$R;u0Q9sGpb z#~D3VsWyDR!Q^uHN;dh~qp6_|$x1FUR5w?PVO^Nu+16glsxUN@zy|DQ(+&Qn)UL}D z*;aEmm4=#%Y9D0=D0}>v2^zhyT8X*mlu>i();}XD%RS_z@9$uycw0d9~r1I-d0e6 z@rvL2zBf1J_U=_62-e$-!B9VNJ>TyiU@6%bnX7H$iq9 z*!6dH8MVjBpKHz4GG2A%2wkwW#&S;hEgNg#>o#ufe%t=(rf1?K?2dDi_P5k&ykl86 zhw;GAy(rmq&p3aw$L`hZKW*up#9hx@MpI-mzqeXJ^I4OYQ%W77-y)9w?q#L}oHN}2 zKik(QWE7BCSSa{9^(?+EMt#tkEdx3bJ<4veO_;h%0NR6jj6bi}lmg1vZE=!fAr18f zj4J`U4-XH4Vi!d1%`1Gr3()<(S0OX}>T@D5eJ@yWhfyUnc`YbfUjMgcCk`D*%Iy}= z@!4K38GoaoC|a{X-b%DjaoO`LJMWx~cJTeKzUD02#np+>3)D5&Ih};m^zL&4sdnwm^c05rv6OsBh0&nzg3P6{7I;g3?-mGp1GqsJ4@`snBtH`snbji<3 ze!RM3H{?uM7npEh25}=xxwdGqP4L-1i5l(Iwq2g6jk#uc>+ku)&-dL+VU*e=b{J*+ zsk}2yXH5;>VU(@0ZfTS=@M`gXY@LyyU7fR$cW< zuZ-INE~p&0MKcpVX8wrOHfv{p7xBLe;m-OJ9r>b{n5A2U26XV^C(XbtA$ljbg#mZ^Qh$MEn5~uKGzYq4 zk)y@l(#fyECahi6CX#Y3NeX$Z3b%aTgtrQugh!a<*qpMvcpbF*PysQ&m&SpvP+5iH zUe(o3IIv{Z555nUHJ&p3JDL6i17M{gU6@cNRMVrGK1=ghDnS^ddCuWY2dT}?g*tu;Xmvrd) zUzaXKD{k~tmf#8sW!u4wVonb&o7#CRACk_V%mY0rRt2L|^;g%VR_0`PpV!H>&h5WX zHk40uk-8^dHarRrP9#p<%_C+6+_#JiHVBX%c?Pt-l;u;0z`t1lZwzu7+$g^`7=t(;igN{ZI&Sus**rEghfrR3{ZH!(VDetXC(eD!_H z{z3m{F}kO$!XhF+V<)>vxq<+g$~fz=mm$D6y1`G3h7t6fFp&)#rVzJq|^DEwY{i%!k3iLop2#y z%zMl^wB)u1a3zzLQb;fOf z5LcMit>LVoRMJbc4nKjAJ#weaz_@q#(YegUoPMtva=;9QDp?dO-)fz3&?vS!?fdTDGHHBK-{I2{_uE`#v98N)0VdsD6@|E zSq19vd@tWR)CqK@is0H$(XZj~&fXv4CHs6W!;}+7`CclgbtB3S6;;)ZzHZp-KM2?y zc#@Y2g%};OoX*FNO&aB%`cmxNtt4zXH&}|=vP3(Hy0B%ybdN>NGOkINMTXKlpM=cI zYjP+`6vvLx(i$LB_TdUbIoRDjJ;x{f)tCP-Q$$cWZs=S#3_9x;???K zR~MtkxL)RC${4FctM&_xWc5W|5*sV40NNwB*vlr9+CPb1Ec36SRIN<2uESqc;?}xT zI=A1o}?tAl$^RW%rlZY zx7i*kXFd+JR*#Ymj&yMHs_*AS6TI;9=RC{qr5JH&xaj}HX1NC+K(aKiPr*dWc0-9} zw7Eztlj)Sf^6Dy{_cV6U+FY4Xc5Tc|{B}8;0k*%O>Dx{Gy_R(yu2w#!M;{)xnn&-O zo}YJ(*{5)N$thumzFU3>=vl8kenz+r3{q>VgLq+Eml*ni81OU_Wc$xKzq}%czectm z_ub7DAEGatpTQ(kGZ~_FvxZX#4Dk0T>$j)NPA+XGqQXz_5#vD}r5*j-n34w!!${YtCG_+}52rQ+h zrdBdZynk_jo)7u`bA-UEaDHMImW!q@7vLG2yq0gSE8O`-XzGPXKI!4*WtHn5HU;wq z@A8D2i`wGrH`;{=D-s=+M>98-1NAJxhw$zhO{wC-!UMhUmzI`9+TyWelOiyAUqthH^mf16@O;44 zT4b0T+hM9<&2o@zS$ho!9Mw9XWK}3;bj*xUmU*?Ogf*d~=IL3)=Lmsk&?37kEHb4V~ViKr^>};&@6Z)^M_(50^4>FR0tX z*hD)C=cn7ymdK1o^Pz|6?a`t<%1OA;36T$YOurgluUr7Gd6DeKO;W9c<^HJ-0Ms6lbF^Cnt9%PyF#7up=?AYkxt^>-x)e zZ1|--p{gD+@jHLDl&H(e>Bx5R80$yYW=kovT(%9k=@gq9DmLx@)3I-&l=kVYqXp-r ztYBW|1Er{&1w%(pM>ts~W`&a6+A)?a1_S8wZF_=z0_R8F74!A#^x&+juubi114F#V z0Ezv||0C8hBcLZw3!WXVpod;@@;;1@Z<}%JJydAArZ0AR-k3dImPb-~D#oHm&wv3{lm+@sP*!DFG zl{}^SRlg&$qY}izHo>Sx?Qe^RsEt`$%=26-1i7HZl>8Ce6Y%bF`g%oQT@Zfu&K!@i zF?NN;JeZr|09M`_gTLtUtg^5A4HIuZNjHCS$x(ahCk6GqL zkK;ddDSnc*X6K2A6L_}O%Y)l7;zL6@u59{u4( zFTA#8SJ(fu;cVzwvW+3S^Lg|=1?iI37qgdcc@5PGtQ>#WQbII!&rI9oiw??`%u{;p zrIkdNp*!(qnV{y!GiIhfv~>I(kK9~m&I;eYW+dd$o|wTdX}-D-YdK+oN=TEC}l@6B@U{Vg(1r z<6WX9U7elp#7LezbO6LhLhh2rU;oWc|KWC)Xs`g*XI>ec2)vU|rJ%|~OGn3yo9PZs zfmN~Z6o^(dt8VI*=@hbY_UY-Vsp}JdmL^^y7NDDc&3AV)+`WCKlcDmlLa|^5Yfbgs zy>@k=I1CxU1QTQbo1R&X~vt!qNY67e0v&S9r#XPvFaJc($X4-hJ&)#NWb$bg}s31^)KJv(4HyK%vYo? zrP2V(WVUeJOp11mTBHBI=)EyM4;SWx^hb_}3G&=FA_|F!SWGiKK5|sRCYWEesMxaG z+QYX8sNFY3-v(tNjdRr94$_lzOY`%?>RPY#= z5b)sov)G^SE%uwVj@Wu#?5!C-4`2;I#CX&UG7s|`_L|;{bJ~^}<4bmd?$H`p-#_sY zPad>)&KJxQ1B=Q%;JwRpP|2}G$A<~eqd67)F0{`OdscalSrMG=dpO%?G}DYd7%+fgRp{8mh(#gj?=!GE#4wJ&IZ;no+L z641iH+1pqi{Z7@7BIZYZ*8|(t?0bHNW<&>x(sn;r*j*%Xi1JXYFiqtE#I-xARy(CXJ z+OZd-L!ls@Xv1VxF5q5U)+-Y&DXbc-!0A+-#}Y_idkybxl7WZCrzdFhv_5F0U9a;v6kmt{79eo++bNM;oDwCNi15pZSaRBr%^nxU!xoz=M4#`oQ^H$+YR}9Svze-K3nAFQsx; z02(f{e2LKObyu|uwde*%#3JOwJB8ZfL2!uVw#A#ilEX_9tO{#Renm!xk%5Z8EI19< z9lC6vHra!H`_BKf@4)@i$LR-JvnMYH3$)gsStLbt#8%wlK+hZY9lER?NYPTRa8;BX}JY_T%h zibW=}#WY`kuF-^VN}#z{&5!TI)ro?lB91s^Jgbc{-M@UC`41I>P){JY1*ZY_HAXI{ zU_D2M8D=}{>H5451hjz&$C=OJ^zzOtFU&%n8)WM$EkacCJ~t8*EGS!I@iOampN zh@q$es?oe|rVFSCbbNaNCM@O?t7wK2r=UmH<8(R}VT|K!&I)*0+ka<20Grvln}Hj9 z`RZnY>_)9=cqX{5oz4+==8PHs2NgC6Je5AIYI|aG`E(o%-ofEtL{}v%zJGW**Q^8l zIqV8IMop)o92iJ(svLCBo%fMK<2__IlLU)80Pe!uWy57QZ{lxpoGv2fD~$2IbeYZP zRQPOkz4uIi@O$e3S-j+n9y*IwL}v67zL*}(bbesgA*S?9$k3&ytx6VIZlMlKMy^9_ z+MGb|$Cbz+_Y2fllSppHE_6(Lp4qc;oj1G}u&S)4D;Y{bEP_DO6o48vhfh_>w#9=( z$brLy9daW{>1m;CY76w?v7q+{K7=m8BKu=?`E_7rzE3{YeL#eh8lJYVU+>o>7c-1aUR?Yh8YL-b=_Fm! zZzLCQ-90AT`a)N>$S;Z!}a>bFfCPPmuCLFQ8Pbl(u1)1%>k z`8ENXcz}Cp{}~c?7+brkNEn8ZvHXfz-Nm!>tGSGMvZn@7C!l9gsOoo z&*7R~CPT1IY+p*(`8x#A*rRm&m7?Ym3bep1JV4b6`77FSA1%No1c(G` z7Vz%82kU4MZS<4ysh9G8HV-|<q+E!YBri$=5!&MT{s3TL4k7531Bi9KrccMI<-WS{JRe7Z5Bz{Xvg-N+craSmxI zmVAGFdmc)|^$$u97vyF4WUkhkz8*GR z;q^-ix}woenlP7{&9jf@z+j`M3Uw1eJ&0!MWxrT?p3X`%*Kd&x%F~^F#b@(Ytj{^T zjBLtQCTTeFe7RVMeDU^luGLEGpAmw5*q`MDQ5yLaRkPDU8Q1TgV>Hvwe0(_B@?vBQ z*Uk49OK#`HlARrN3bh0qVJLQ^$a#CZoHdPLaM$U!xi$eUnxHpwEG=}*>9I?|q0g4* z?!n9yC+qQz*qH(XZ>0a?2YmZqY-yoF;AL+tfr#7*rNIR=O<|zvXXPdCS(`6alL+#~ zmojXA`>2bGHFKfkwsk8GEmvl3A2v;}SgO1b1FjnQ31$u#{Eq(MO6b_E;+{|tj%-<1 zbe^Sn{O!-l(=1oci3z|P*R1DM!@n5)+#j-=yfx*OUaI0r&aQENQERV!S>h!bK>Bl08S zoyKyn`N-3PK?~W8NkLC+-Ib|hI(mMRl9J#!$CH?GSAHbXJxc=M7kD6BXUNrxi;=|C z8=bKTW_=VK&r#3;-c#T4vB}JLp*u(T{$Oi-^(NXHgAh;AQ#P} zJq^rXG_ydt+G`S_M&bju8AM>3xb0qj50CO}8<*{3f1+bJR|dO|b6Ax#voP5~C$$Su zyxlB+vI@Ky0gs|n_{=^2Lwxl|dkGjJpSq7p6%YYy&1%}4t`r5Fx8{t9;xW@MGT+Oh zke*pO@pjX}89w_WAb!y;I-(ig54d{mu~rX{nO4n+@npn?o|0)%AvpICTH;-3%Vil& zyGpaiC2&#qTI^j|bj&(nx*?NhvBK*2FLS+}Phz+hqi9tuB;Ya3w^oA7`X9Da*gtNQ z9VE{?lOw92n-9nVAuA*L;m=F_R`nR86cX#7k6W zk(syeh-XP=CSA?KQVV2K!3+|0OzrGzXoEXi^?3>M)B6@u*K-t%!Ro;Q@IdOV*8q|$ zsjS*#d^S}Of%>Pj`0fbezNQ8MaPIV`cHQ{uSNhg^@=W1fhUh2}Kdo_rS$xJq4PyHbH@#v0Q|U9%kvu<5T+b(Ur6 z>gqyOip*CmUh5q_es<6O{HKKNqFE_#a_Vk6J4LSNwa5e4AevUOm}ziJ*VDSzH{18f zA@*!xXkPpE6TNJkgJlvP8-qfhU7}ByZ=7wO5d&`WaT}_jZ2Q4vPyc;lR!9{Ux>EWh zyRLJ$e^>unDFZGmWX_hboe6fuG0X?yJu(D)vDE&!uSfIEHItI~A7O6mZBB$BmG6GO z#`X}m&oA=(a3Q(3V`xE-BD%z7DeWZkRdT&ab^F)3%W~O03_ggNG;l@>PyZrBGw~MFcNZ=x({TwsxC&z|v2lrYi8c%d=|m}_Kh|%RPjV7#Q=Eem9z1^Nxdup6u2t}5azdI& zi?Z1#GcYhKbhvW-P?h4sh9^kAL>58AEEsD$>YZ)()R>;-lXWR7We z?CB}IW7bh7$)ofTuS3;o??t){p}RX)$&z_%673)&S2DY6PE+7(P6_Y_Ot}-qT9z?d`2O{!WMxVfi;QsVp7kd)Pa541xjsQkwv% z`b#uDmz~V)hNvX(m=Xp|rC7}dxhE`eEoZa{O6}%yZ{6M9_jP*}H3YkZ*Us?F;>_Sh zk7TOOBH5L@mCm9@hxD=uln?$M9v=3`;h$GkNs(F@R|LD%+}~XOWV(X*t|Q0aebb%0 z5b!g&=hChJ!PJn^p`08w*jE9koSw0Y}Ci zM31@IS?ZAemfUnOIVRQ0p??=ojVHT^ioH3z%*@K_lqK0ka_UiPH420jDa{#Qs{FKh_hyu(Y;MO5G859x*8_IZrro}4B15(a5Kn8m#QZ3 zYFN{Lrcw-GSv&@RntU@zXcEV_ayo$M7~5c4l|gK5mvcL_g_d6&800WJ!8ObMldk%<4`F+n4<=l%y?@o39?u*&x2d}tZ=oYv z)v)hBH(bDjjUuTj*#*P@_;!`;2`QT-vS=domNsLAGyMnl>IIQWZ9QZS5oJf7`OS}S zGuqx*Mr{Sil0X-sqQqUZG;dtZE(f*0xKXJm?+qIX_H1vungpqL_b*2AEpx1%xbhf< zg48=jO+x?8H&QF0teHx)wF(cRu6b=ZC55W5pVxx13+3J0>JadQ5O|w~@AvS-s>-<< zwA`uHfNge=RoW0pQEgHeo|5Yv^x54;`9h*;Q5lzf_Uql-^#WaB`H6>lsH;b+aBka; zc&$=^x!#_W)zTT*0dGo&s;UYLKiriHTuEOPBGB}xQOH}}Zkb6^dLDT;o+6+jRX+$=gE7R|JMlUC-T*0> zpWUVK&T5=OS_gJjQ$usbJMuxEEQ^p_PiL=8p>!6zj#uKyMqt;^ge>nszN}u7eNUSy zPu9Hl=J{Z&LbT;krM<2UTTdUJszvPb<_SKqIHL2yrqI|GoooVUTl@s%YNlGg9`OTZ zbj*y%6LW3RF!EB)-<;N})RLK}%#UW`-cRgiIn?zZOUX@Q?Vl;Ft{$;GI?HF%i=KLM zQHc}ER++_A&Qiy}8EomCjVTWWfqp;Dv`0CD{p$Cdi4|^+x2ZZO97OLIL%8?ldt|nu3UmbI4{`d0NnIqNZx{@W}5nbiH9oxO88g3or> z68112%gW5WdbAddrh`$Ekg^mVp*ddAl+*jgCECV5`Wksks#0Zol+Po$+mHDH%dnOa(tEgZ7T$M$kIoo&M0LQXD zR2Jkl7KE=4N_F1e@6rDyrBIM3OfS+fR}yEAmu!sSTy~7+kOM9<@kPbsM*8mjNA)D2 z2its44w7HwI3#Y=I$uqqO*|Ihm%j~06#0bN(ae@muPn?s-}d2MFDdIGji+jU ze;h7V0_96#k6uf(b-wvPi5n(Havo}P*FhAw(wp~@+$8u?~)z83#^H>ju#L0puiK13#} z1n7W*-UZj`z)6FDk_}&J^UWi3v$tsZ4TodQIo^-QIdgN5%&a5 zRxqbY<_zEY9Z3r$y{AWaJwC#BZ?0Au3C}@Z<)Wsyn=d~?L zBy}=mTvXB!&C}4@B!Ywid;RB(NjRt!8AWif1up@CahMUBYTYn|P|h8E|NTut?o2;9|{j zDW<&*_eOn8X2?NRxUIQd_b6%$vRq-sf?4*y;%ZxbQu8~aqa$p|`k$=S0=9^5rHs5M zIu>d=?uAMN!f#_hAAG;$Le~;C_Ib^oN?njJ>=gy ztC_vPKhwG`CjrrsK}Xxu|Cg1qm$x<2D08~hHC5mir`sh6`-QDx`&Nw|8@FaX-(I@s z&PiR9-v)5V;!3yqqG_YRK@<$@H^kr(eb`yj8eeF5J;xN#7RG6vT&)1QiI$&0JQxOF zzR-F`Yr` zvpWhK0)+{O7f7{YupRGuFYL{Sw1i!BU$YAFEzD4D8LX0YBSW*c{ohHo3q3DV^3+|1 z2$)$B+3J~1!nb;xkRf(07WH6V_5qsyUdv||kpa2s-VbD(?I&cI4alYphF<28Scu40 zRq=GslmPr(R~+k_-V{_!A}7tu{ppwP)zeb;y6L&7f8FapQ*b1I%gobqTprxQ0nmRb zs+V3bOTZK!&<{*ps!qn)L!hP*)+-=Zmr56i49tFz`MBQ-MYNbEtmlmKarbt6fx8?T zniF4!`F2LEy+pM6p%!Mwdfbqzx8ILgI<@6u32Toz>cTi@BB!Fe4_NR0GZnjZPAJiv2x}Xga^Ev zXxK-33MV7!SgLboz#BA$8xu0qC2n{FgdjZ9@2K#enrN9#9Ja!MB0EQ`w>S(iVf-KYhVg(I1+u&Fu+<;;an5zv%?| z+6;RzQu5p4n! zAkm?J#e^vJzhRw!k+|J3@FmiIb4~C0Zc? zg4daQc+GfQEKXJ|PN?(1I$0X>S4Bij4kCW?emdVz%=MXeY*{z0=INGdU)J0$C@gBo zdeQr{jL47#p@Slb1Ee+REsl#7&kf`V5ofz5N(C5~b6XrOXO17t`mN)I9M5cIhXdP? z{%>SX(4Rejkl+mFTPQ(rM(_1*iyP!Etv%|d!DHD+0Dmsp;t`BE2k~7aS)bC4DF6z6 zJ55x}3*QkJ|FK^GPs9FC%yRDwqY~Ke8E4i}s&j-)$fh1u={{rD0ly(3S96U!JImF@ zg{n&cY@;lx2}oi4*Jj)|L_~1lVBEcVD!5Mw3?qavykf5A@+|2=e=ml!)GgGqD3}7MTcv z-0x3bG$0b*Lq{@MsWAF{C>C~2rLvY+Z*FYtL(GsLu$5xj(n&cTBq~BG$A6T;&T$j~ z99e+w7?&byVX`u-ExrTx(+3G64E%o>t1z;GB(sd+IPW$DYKqQ>HPw)RLWQwjktQ#f z@!w45X_0cR)LX?;4=Zl|EeK8jKBR9Bugw!DN(8c=HTHiqzmUxijlD33o+`WR^nh?PL9Q9J;V>-Kul^91DXE4JDy7%; z;#y`)4;&QT3)D1EkWAG#WF*YDh5RhN;)6Pw~_nMK+kq zoHnmxeJuR<^=B5uw6qht6Q3-Tl{kAKqk_3@3R88+sNiOf;aYUGF*;r(zKFLN6Ufjd zQA_f`?5?WUtb^ya4K{*PEvYQ)UlddNgJQrvHh-VLd;C&lOZ10uMXs?H{sXkU@^A0V zK`AbQxdB7&%m6-QR!zZa*s*BT7SAI1vPkJ^_moq8Y8PXz6&6c(N*KysTqz1hW!4xS z?5nPlAp8V+>f!^Pk`fT4mAZ1#rIN?^%D+8Au70qD#jwJ+t^hn`oNz z5cYL|SuI&}nJKV}f~hTj`#)v!$KjNZ=L$Gwp{5_53VTfsb354D+6w#hU?>Lxl%ngP zD=b+SG+iGRI?x+Riw#C5L3D{@0=O7@n9rryKpwA3XkUG|N)erc5FRJ+61>!>7U7u; zy0Hy<6`38!dg!6?$ha3Y2o9yS72X+}w$-hMSX!JZL8;pCeRk-ev>18Xj`uT*c-?fuTQ~#%3!eA;!?lIV6rIog3jQLuY0nArHa}L96ZUZM~iBBqMl~? z6l6LVoUk2P!#=tk9ie3Txvo}=7-P4(GN_zgJMYYjsI4Q7Qp}Yy3l4_mUYkOA&)9Xv)oG4zSPH4Q_#>*AY`3PlzkCO!CU)ER#4|03?a2gHt0qg`s|$I@^Z1PkO&5w446*)b1A=|F zg6)eOhmy@(e83HQ^3Q#01V&RnZRPd6j%W9>e^Ql6e|gUhW+5iAb>1~Z#177ZB=p4K ziLi$(0RF#S9=NWOs+81|n@VpGp8m=r!-j^t%W{zhdVR)&lBPo*zfVXwr<(z6yYDB1 zp5N6Ng_IY%hkDF;<}_bYe!8EEBUI;~-mOpSsbeqqcl1qHwCA13Xs}idXC(uBTr9;` zkP*GbSFc%oL|T(C=TiOj)w^+d_68CaibGSmS3M^<&WvJ!_^pXC&&}2JQWJyvPaTb89S?0>0rGjPzeK^@7$tGzf8-Yb=G!6iI6%(g)O-_- zL^Pf01RN39#1mCB%GaUL3mp_cxgHk15DEJ%Tq|_4eJ$7-ozI4-i(vZ%}eIu zF!!TXJ~Y%ENtyV{!R4(*r>Zfftt9#(KelnkWEk-KuIGsV5CdAF~Qc zRAnPRp0>w)K?iPk61T*@a#4M6e1yGpP87w_<_s9?NE@WNIv}5eas#2W){r`9pc0q6 zOixXTg6b254@I|YkM0%Wcc~r3oO1SW7scLw=P?xmbN7_a=;USWXm0PKicray;F;o{ z4Xv5hk9oHiY5D@LHi@f+B>3CrH8iEo@)vaSq(H^-(3M-3?bDAWzvMx|nDs)6U&b=P zpH0(zDO&pISJ!qsh9FCO%k^UEHmp0>WA>1S`#!RXX^W2t1uI_Dp zpD()e^LsgfEs;8|<2%ZPS2nn$&gA3$X5fG_-i?#?bN?Q3f0?`YXzqAcdE4mCygDVn zhC)6mC|REWV&h-@lU^{L35a#q^WVP@-BM3V+Lxf!8(N%~7p%;;Yn1r@7$uzn1`(q} z2(`BxA8h7>@Zu6GQLMS%74c9_5usqrb(^ z-i!mvjuG_wG*DF?^=-d>yr@C&TIat|RiVES3I=9*VQc-JoyS#$zxDN<6mR3;;-cVq zd*tv%nYQSYYcf!dVghC!b-THkyV}0SHvow*SK*~Eb zS&U~ne4oxYrvYzME|vpuPNK?R3KK}%4 z^ibRSvMBMh0pe#rP1CULq9=9HXLTZwl0w)ejxGwQ!8|?D{+UV+jL3-z{B3uC*bgvD zmlV3*$3m}40a#%(-v*~{CElJyl0&@|-G-{PMu#ufLeOVeVS#`+GHN}*w@P|``I%*V zWieESf@Ur&zFBC;E(yB=$YI3SOL489fj`9(48g-FCSRV%$9;L23rJLNaW%sn& zN#2s5aX_@PAWh$BD&K~5P?fIM^c34(-Ak&vZPHEREU556OPPMtk%)l%m&2mYB(pL? zcQmf^8R=Vk{vGLj2obXa4Aow!Ahbh_2NzmPLacMHOzN;RWMLoUdkijtCQ z*(yVlvBcDUM*XX2&D^pg!fI8p>Qx_`ntS}Z1@9Lr8Rq^7&qhyOvlE6 z*FO+|otm;SMMN&3?4y#}A@%L-xLUUA;zgl?%vUZ8vXBy!by}4zDeE84*bss5!cJP1 z9)S#z6SWimH1<`&KJ+Oz&MQF4(N62J1x>voO`er1RgXXL@h(9U3Tugl>$wdFx_BD% z8lPHJA9#4O!}yHeh5%3{Lm1I4Y*f9xqh`Z`Cu#riUYXvzLS(YrMlz&@O?x^~JIYXWey zK94|9hp*NQO*w09v(%FmL^+v2GH-q>bw1#rMv`X0FcfHH2r84>GRJC7;xF<)N=~C| zHADa4f}%+|bYpI`+-;Ku_R4)I%hR%so0*vGkJrrNmKTE%-ZK~y@waVSxCPwF&(A03 zvYCnF%8}vXuTRW;W&E-0l9awq90aJTEofVl_}S@wx?na9Z3?3OfxI$ac1?K~c!NUu zV^nbC{YI*{tVrEVrE;1tx;gyPIkf}n=$vPdK#9ntf4Y8zeFN=x4ynTIw~YhHd`>O= z3{WlSlCZ?9zFyv%>{KSpX$?otmbe6c`uUW@x9RPa2b{U?TJ%-W$m15n!XMB22?-M2r1ttNKNaJm26w;4EiG!|h1z*A-s)3E+aR`jeV zml|betJ%ZD!EyMR?$Yuyzy%IbR2`(_lb8KVxVp<#pkGlBogA?ex)Y9h(Xs6 zC&%)boVf?F+s%7Ok11lDBG=g;Z!xgcZh;|K`%ugq7Vx8?>SKtqywzAg% z%un757$O#}qunk1T7EaJB*lZ%$!V%FoJ;MR1zu)XPzO*fP|*I9SCuKbpbRyL^Iv)u)eCNSH46Fj zDCQ^qd|$N$wH&CqK*g6^ZtQcuT)xHU^bO^3ju+6JW>zetb3;&xhw@BFR#f9tjIZMo0?0cEE$>6ekMLW_crdb4eXv~Aq z{kb>IMl9HvFWk{T$!z912>gfSr%=1FJnrjqtkIAdNiF@`)W4nT;-ley<_D}GZlDLu zEytz$-5dK1Sm$KzRiK8>Lav{lz2Xn3Tvx4Sh~zOyc%aQ+2&iBMQ7rJXdzt?q6#MAB zy&;$2?SBD^^bl>IS9Jz2O|p3Q`WPN^HkUyf#sU5KLyf$tz!q%*Tv?ID8|kk_u~%%` zpgy!Ih4Wb85Ao1LECaGHuUdcu4B~3LRAW%K;!sq8(lXgFWP2|W<}u2tP9cs9R4l51 zK+IDTRLOc*qV^2B375A~YQii<||8o-nENo;5G1ke&vW zyR1N`DHns{BV+D3;N1Ms)5VwbPKyiV4AVI;gt&a=OCL%92(k)^G!=^pm+(-C5Z9oF z7XldpH}8#rDk7<<>iMt6%N$aVUV$!c%uH*c)PtEEx9`vVwS{SoUYbWkCW(c*@rKru zkAO;qD4SJJouA2R3_(xfYgI6LPC(%I)$0VaneWzVkJM&6y5aSzj%M~YEC!pISM1U& z-qVqR!KV1Pt-QKhGi2)TY^hR~*M#;pKS)({42L%+R_>Wt3{}&(Z7j>mZ%+X; zOG~U8iXh)skRyWkq^rop_pSp=Z4X2_eyz>LY!!kQu^x5(D&Xw zC%q+^ZsaNu>H6M_#i|zzLMzr|K>*`V2LVa8fViRaeO_J+=ypW7{;s=$ViP|Ru-KDfeJRE|nynET{N8k!U zeIF9YeC}dtXmwa-3j@Mx14A9sxY5r>V}Y5j_sB%{xYFA7HpC&vY_$B0HPLOJm;0uH zlpMxCk+X=BtWWG&;P?YV>}D^B%Qm?DX;oQU3j|!oa#=k(a531srOVEkGeW%-s;$I@ zFTK$1qT%E(#1P|Jd}z7-4FnD*20E^;u4!Uj2j^tY-J$V;{dEv|2bE)JxdK8hHLux+ z9~hgPfl}wsz&oRZ&j68QnM{}Ta$VWGq>cBV$}`}_69?|010e-p+&ZwDbaY9mC?t=) zewq(}LS+vi8ITmWeXT|atoNQXo*wL=daLl>TeYkoli$+}5@;e!|;*xxi6Q6I4> zDu{=w-xK7J=-_67LXqvM zvg;t=5cMJ(f0zFp+6fhE$8Z3OTz}PtH~<%`vXJkKEI@M8t>VszoPcOM8hv83o@eWR zB=pcEw2T(7pH8FIT_x+YlmzjNHgFVhLZQPZJ-ctVb;3RS=e3pz^9^+f{71Xb!xGNy z_?KPr&xtKwSWV(JCYlj5=9u=tFumlb?&4&pR>c2#?3`Yup+W5P2C4poEbKSWak5vP z({F$9jQ&|p#uW}qKzPiK;b>tc;$$b$MecM@w zr4kM}Q6~AXs-uJ=hvC1YB4L8H4ARo3L@_0=6S3l9f@GpL@!!K_j>={IeukJ0^3?$W z5aI&yq^F3|MV9@HdZpxj?J`I1q0uP};Q}y;$=o1Et&#buoPb56(P;pdcSi_Ap{NmQ zhro#zRZ5boB&;nhEp78Vo?er2nLmaCS{sSOBW=^`^-MOPV(5-W)PIoh5}O$}OCo^v zu&!R`?$Z1K>_KAlrj8zHdaq~#DWR>8#=%_Ip%}?tH1fKVUs_taoH2p_{5O={_U0N& z)28km-nD(s=B9MqJm@A+E%;H{-Of3Q4P7RPa!;fQw;W5(B+$-yt!`B5hUdP8L1&aL ztK+=EjsR0Q@mA>Ki0#rN?FTiKkFXQbX5CzN&@+pZcw6|^-#;B~<$Rq*XA1PE#-5zC z+?UqY+WI3i&YVUuhNKc9{8UzZlgS0@zLk0+;z>-@H0*{)fXU1>0(*@J`YrODt%TL* z_}bGW!5%`!HK>${^|kvT@$>u2hfGSdxs%!|-#%COkyRYei!h-J=MZJwQ>1%P(wFA$jj*xmV?DJqa`;ti&z90sn9r!CQb;V)JW&nzF0p z!5`QnhL_udHZ}UG!KsMJJ5eq_ z7sdM4i@tU#%|7jFX)aOEmpwLyX;zAy#h}|AmS*q9Y+)WuM9ZySlT%PQwsszS5()!tYxq-idf_hWpM_rlh8}(O*j3;{q&%wAp4+96Wf? z?O~w&Y*$Ce+Q!Sv3yMXTibXIfE@>GV%LQ>HLZ)=Eu@^8YDJ-q4^1jkmkM-4Wb3aq& z-AvE#t=G9t_W^V%BFAO8jlaHg>q)8?Y;7(xp_`j`^GUyAJycn`%#R#yoA0HUxB4f? zA0uaLcz-mBbELJ965NS+6O2o_QppMQPg7IV)6zFO_}4j;wZ|1YI&HHS zmll=zOs}mMsqm?m9eI120(S|;sd2aQ0I+v&QrhO9 zn$*#extOFvsH~#0fyJ1FiDEIML1C@!?G!yjDmu5BS*Er?KVog8g_3?twPPoBg)BWT zpDxdj28?xs!W$4bqmZp%%uYsE|D64fZs&a4xnJcJ{4tVG$`k#Q9nRd`+}T}nJ^?O2 zgMK7u#<8k8-Wb)}XgR5xx-~J_huN9{>O|-Mf_mH~^~>-|LBq$yAFn82Bz=RNwtb@2 z&pgiU^U!?tdHAI1G0(i6N}%w%Ph>EPfiSAJ)q=0q9+&C|0La)f>ss#X5bP{>tGK0T_!Z-yrF#WD`0C zH^Y-b$re+MF>#$Oo>3SERdRqMDqAZ;klfHMbD)ci*zsi90)|dbCmuxTgC3pr2+(d{ z3M3{F<^GhIc%Gl1e^c|QVA4%yvhVY*OHrg*i?lRLRtin8)iY$Gg|;h{4kwYQP6;~G zp>J54nEdK$S&7SxtDopF^G>6KwX;dci1U*uh?K>`@fa+GUoXwemE`a#R*WxI*5r4b1~pJ*<4$nu*Mj|JYuXTy0pFRw|#n0VYF ztUfOK=ZCLQl86r^WW0ylQutb&djB7{_y6W;X%se4Tb7rTs{;8>b4~ljiIy)>g4gDH zLHZ3*V+K2-sHix%F}NeNOfBYrO8V9?LIjlx|%@3Q6I7ob)6f_K0n zuKxpcpi{s{uV+sB+S*!;k&DyI?1L{FE>(PisRvDnHarO1)y;kX@Cd|(5jj}U;)qA!KLm~Z}MYgs6l z$(Voi zVA0t53jmCCY1$tA@RZyLhGUF@RP=XHXC+H}i0B*1q=A?@9xV0CQ-WateZNL#CAiVq zcULtNWz{uj-5OOB*qr+?4GU$S*P%QOy2Q}Peht+RYdQ_ZM!+^~KP;rqg^u;{q8ti_s++Iyr+I`JX+?)4T3)N z+=HOmPJv7g8Cf$kHy?T5c31y%0OR6JU&uH6K3^RW&h)-pz(8@Xv4Zi%@r!!nkq;B$ z%}4~E{{=HhxNX)TI^=}61lBM)HFdaMK_;Q7h%f64$Uc4m!T9>2D$mKj0;tdyv%*Jz zJdh9PiW`|qL41(rqq2rY4G4?kdfZeKb8`cAHh)k}=l5B{s$4esd>8=24-oquyG9~f z98f!ds=B|(wg_~uL;2AxFsx$~W{>FB2Qfo#x7I)l4R`HbUACYcdj&-Q6Yoae+1cJY zapnF2dY4s3a)CJ%sH-0HBtD4$4wREyILkq3AJJlt0F7J}^xH(6v?V_H?oE{g%`3LuMZp5?Wx!!NI_M(?cABTAdufOaeZx#Sn3nrT%8qX#@d8n&yV3WSa3 zfRJjlKADsY8g-zAT-v>H+>66x^&n_oZdbzyiH{Jb-WV)*Rx7k-M5Z}NhOirgn5nxV z2+YssfEG3)Y23kc#KVpUEXg1<8ig2E&4WVY4E zrx$f?M5hWt630f^vc`w9NRvHe18%de^ZH_)^Z2#FIwa_A&;sHt9|JEGxpwlvJdL>Z z!>&_zkKz7Jd@dI!MwD-c|9NSqk2h-zKKK=q5oFRwKZtg2c3pyGp){L|mv`L47V?B5 z2q60FgITw_U{p-#Ng*c(OAvh8HiNE!Xj@^+c8uJ%*_6Nm+N&G|&}_N01Y)pUBqPuz z`J=)f!10mlkUKC8=d`~oB6+$+(fbJuZ7_k2Q6ySfw`kUR_AwvBLwMe5W~9sdWRaEA z@X4Z%R27mpf|K!qHekmejgP^_YsM=ALGY_qv>pKs2`jvWpTRJiZJU7_$CnO3I{gHf zRd=}2>4@yE`;tFsa`#ud6A)zH+R8wb?Ta||KdtWUfF*#XfPpyb*5;R>4tMDDMfLYg zbL*hzT>H6;j1z`jXu^9> zz4JVz2X($z`ux8>9yqE7Sdvf}_z>7I zs#@llw=)R(vQ40Pm@p;I^!EMc6T~he^MrPGUY)sZ18-shED6jLB4|K_xgQVhzy%gA z-(EKZNyMRw#GQ;Zor)+}TidT9B)>ooIUB|Y=-OuVfV%MA$B!S66rOTfy$8fXWJnKP zq1XlKu$y2F9)WR?yk^Z9Ebi7ppb9bpDA<0o(g9F!-gm0L@$;)Q1Uotq|5iRba^|#7 zmo1gh+Q>1`V`>Cx-rMl|CdS4?@R{-FT=#W6>IUWRg=M-W_4d&AwW+Dn=b#xNucr2v zH;LgOS646>Cj=Zgz<>Dk z8?_c0i}DAcQc2#WIKm{J<8yLruvlrqp%*6=PlZ5@{3-eM%>YoJ^+yK3Y-3$PU>v_8 zd0`G2;$wAEE;Cp(W&tt?H*tD~gu_e_av(#S8bU6SW0YNCx(qy*^aFhCdr#@!RDLF6 zjE3U{o!o4*dC1>|89Ds+hY>plN$DeG^pmMd%w#Fp(GcW+v&^zLgPUW#5l-`U$dE6@ zB!eY>1LJ0%5FEP7z%j$LXldY+MPdyK?~tA1X70#pBMR!OR&hENE~soN`~r$83B~LA zn(Vb;?PygkC%(qOtS5J5Vo+joa-HiINbS6^B6&ptZBZ`-RP<~84B@NI!JVz>onFuk zYl87FM^%5q6(<~eqhYzf^wjnjh*XgI7iy&roY323Ij~*bcG_b|RNduI&mlkbu+>gyc(qeL5)s0_uQFozEUV>UhCq?ciIEd-z~{ z9V!#?hEh^9e9F=h2bLs54~_Vs#%615YWf4FZBTlWkdFcKzY+o>+Z(FjGm+_8$VP#| z*ql^z}O}G$QyJYzm(%Vi6z(*~X#gzHvelu|Piyde_yrSUtAH=bnK zP09mwS3B#na_u;~=4bFoJs`P{A0^}=OA28I-^4plWD*z>r>{aN(hbpg5xkYi`NMFd zjgYBNHfy6H3-IwmL3ck2nf(G*j!^0*IT*M%>{|7nf| z9C4DMV(YH&$Cn5>2qA0nu$4F;qSD4YlmV$8wR0CcCb|02^eG0w>ItLYc4)dIm6y z#@t4n0g|3+JN>#AoLsftr<^2ff)<=b<2GP3SLz0*xE+ zQ!24HIsod~(RjoKN*gjm3U>bC{C$8Gp3N=nvGE~$gO`h%jmZRn;wv*9p_rEiqK&CVwH5RMg=AR6pi50!v_+3}&9Sw87t&+s$ zIQpmXo>b^`AcoU5M}h16)%@Jltq)uqvcw>3wuw!?7Uz%5ATk1tcoZ^K$E~Z_5N7rf z4na(Ee{QJCjVcrm38h{7g2C7wpHqL2ne{cziKsKcNv5w1x>i)o#@u*{kE98M+?z1K ziv*GXAA)e1a0s$$k|D^l?*UdoJOpzM2uf$MU>(UTAw^TEaE&s(ybXEt`i^qNet1<{ z5<0uXZi7A&JL&Qet8J}wIxZTckgU7b0;MK=K;Y!gw>u(B?w(IHa|xxr#2tQNZxbGeg{-;Kuy%ny)Heq$;jr1nQpG&+NVAtgRLTXMB|HluecZnXk(aj+6O{xDAkZ|GqSHNPEZr$h)A zV!&xZ8dYI6HQkeIVs3smu+AEy_V^!A14%ypvI;T>45YFUX&J7~kAfRLRk>ngVqvjF zz`As!9S-VX=n_2!)3qpk&iqYsMdr>y5&=iI!<4$CHbtddAgM|fY!SDdG<$|+qSap} z@>s{UEWkgl^@(Rm6u%9{0g7l6CPuHXXstZG+WyOHkStn5P*z!5ljH!kFo2n;*{6y# z*H}VZ{h0+miRvqDDDy@@-tyT3>#oo)6uV57CnLylU{;2FdaezcDyWz40EAm zzBW!?dR!jj4wO{H)gyEGeUNFAR?Z$NyuGMbtdx)_4PgB1BEmPV@VRh(xAVZjfs$e$`?MP#TvZ z>VR^NKDc)GQDnwWh4Y(rZK1`lH{55_*QeW8&IHzt2+D$sH7d7BEq@4c5;D`mtxI`- z>EoyTLRyinv#xo0d60|RemY@1tsM0mYVJ zUU>$~O(MA(4|eAhc^1xU&YCq8QFF^s?}-_#{J^WN#r<48i5hrlcYImUbk0)WM;Cu# zYYj+WS8t?aFrTj#tlQgF5558eS80?&B5KOVEtY4g$U(_%2{x_#>H78}!$p>Ec~TKH zI&a5{(f}GGHbg|is0AIl0P9N1$T(EFqNo8pPZ&r-RC+RtRB`cSmV9x?>_-UTHcw_1 z|MI23K2U*|8omVw1fN$It>0?(#x(p+2hElHFI@>Njg3*duwL5^NIZfR{wT`vJgJ0} z;jf&5O2Ou=H|Y<+?Pp1Nb)1(dL0AoMjsOGmr#{2qJPF%8`;&xk3NIlhrfP5y!ducx z1lPQTObR5#SDWMK!l0C#kzZAU;O*z^NdayNqPtKTDVI;o-vV#Gj@KQ)cw=*hNlB7O z51_H7lCv02cJ0zaT?R63`Wu1)L^9tL+^2zHvuSHiY7oMvhVS5>#(x~`ocwMB*tqv0 zL#n(_goRM+AYl$7d@i7LV4gtD4f6Gd>#i(WfR`=SzAM*|5nKwQe})DL&Yrj=!vbOUinyxHaKe@D+nNQ}02t zRAafmLzzaoGooHe>9IjUS1Hxmb9)oMc-{8po(h%nN@9=e#7r$FKUy?~5uNzAibMNSj_|;zU(+bA#*5N>$4VOz9gxOKI}~XIYj>$fL*;5^!&9F) zYs}Up=~MN)Dpwh5nu+p0LrPRweKV^xh@KE7|PiWd-EWdB<`PO+@h>tE> z%!D!)t-+#m^D(5%-a|?)$QnN1f~RN;Dbgm$`iiK)s-66>RstP?*+HUiFj0S<}{ z_NfhVeK!cn5PcuG*Pms?dA_+-NrDPu8#hO&mJM&nIt(w&Ych7o@Yo426*dwo5p-}QD7h&SP>>S=%8K_ zK0eK=*kRP!C+_cr3tK$`j^3=Yc?XINLrMu3mc-N;x>-~cnXjGH|1xL4={}@jp zI)9v@tRqnbb|~oDW5sf%Zt?fBZV7`NA2KK1!~7a4q+*;>F)MNTT5RM=UwJGf^aqp} zU+<$KQ##P=bUbl0T{PGyUm-)za`ii!Y%S?ljO(&V#A#X~r>mgAo0<(I!E5`bS+G*4 zG<8+f#(z{1*$1N&PjUoRz$2byyN_fQIR16O{sg|6NjYgM*X>V32sbO41&*22s#S!Le3=bDDR&Lic zJyzYyS!(mSW}zxo*Q#@AF_P5kLi*Gw%gSj|$;%8Z<*5!@SM$Gtlv`K#|XgVDdg znIH0K3`Ps<7H24uvuCBsax;4eIC-b6{!T>pJ!c?&Ljav3kCw@%tT>aFnY>d1QVg+@ zl-mW8gTmjMG2M;{j&E-+O-Tl(P;&p2uhsc-C#KuxUMxixCb6sLP1|!DCvw-@Gzq?- zAj5!?xGAD&cPRskJ*hMC9pvK>O~21!xBhhq{~GKi301cmRiT5mdnB^SZu1&@-_&`M z#fF}>4KvB;K)q=1?I;(gnkJogn?#eox$GyN%v*l@G zXSdShj`1=JNy)dFe0z1ZR#}RhW$dTrji4VCdH#B)QQKOq_g7@a>wM%T(2`H*^4o4g zK%bsQhHPh3aV4^yokYkE8o{B5?fmo2{E&R#749zkUqkrU$PNd}@2k^r+q2yaXFZry z4`DW0`%ZZzdfE38qWj_(m_}2sVTk445a-(&%2XGQC+6f_&OGsuuO&EHlsJAp=WwK= zj#L?2yaDBv)4uDL*sdF4t56mI?3F{Esxb752W(Z{2*otKH>tZ-@ZRFBh1b&ezA>;# zY06G6%70PE+^yyo8YC({fFEB}^mgDGq3R(o0=BL5@@x|@5!7_ zF85>)HK(s$Q}vGMe_^3S4>G2J5$_EFct1OcsB)5ol&D92 z4Y9G>6I&eY`{&r9rDY@k+;dc_>`{ko{Re8bZ{+>zcz$Um1+bPhw(A7dzA;IW)8S?L zB{=0=mTfDuKc&{6z8Okj25D)waP3R#`H^d{)L8`AUdu3Yk~qyTGvY7vgkJ)kP>A7* zF(ox%Tq9MY{Ibkr;3NB+Ie_e3z~cfTBK?wsZS!&s!KpgBMJwOhn39T*0hB%{5wi8M zNUZo6zJRJDhETdohHq zN5MuydM++RFY~ZbkqryVM3KIjm7Pyj;^#>ZQicB6c$4X3=^MSl&A|QOxc`M3oYf1y zGrOydT#g#tP1$?J5yeQWK-~4=(V#-PJ7MId?{+vy?QMWu*A1&1;II@gKfe0a=gwJP zBCP~s!~CB=Yx3m|-PzCf+BjU{j>qGN68(M}bkxDqvp+bj`oz`gL$(V_A}X$KE?nQa z679NO^q<+XsocxE2eO1zNr53XKhVHCWsj(~@44(NEczNWmZVK|&NwapT zQ1Y8)_O>Tf80WW`yjiAHRPY$`tFNhGDSp^g>#`JK`0@}{0b=N>@? z7~eacEa=BF;2gGqQKCe=Z!j~OZbE8ufQD;dx49OFVLgRTkz6g8&4~EUAX2r5+0;Zs z063GBVq+<=_}oPKP{(D(B4xB{71F1N=twJ}wmF=tr{na?I}=wibW7ramuV7Q(L`p- zR+?+QQ;ddmTc;7xl^r^_4B7^i-4S*b04YNFj87PDmTGnnmb3gvd{6<%6kLWXxo$4e zXMn0gjPPA=)^^knS+udjgcbO!8`xIkLA=DUQRW$s4bw$Jp zkw{0uz2zyJVBC-6DdzxOwK>|a-!0F-9=_WLdvQpXF2aZpyJww(RGGF zw|Oc5)^H&4g36v1LEcoDS&4&nvOc#GCJg*exo95F@8B4hI9wItntS0-to0r33$)TZ+1hpl0N7 zVk?s@-@W6F;8t5d$9|2ufXm zVv`tT;5!D1tm-u{#n9$--(IAj92X4qNzAgSKY9C{LT2g$T-D$%!mH8~DRC}RHAe?t z@Fh{5p2}r<@0a>Eb!N@KKKruoEg8#>7x(~+0}n%wMKiL4d&iT0(~W>!fTOwi9boxj zkx}=(hXHOwm3kR`Go%$59YSEPeOG;1^CVQRB3BoM++DcmP-0mnt^N4?7y zYT^U~c}XQN3E-|?YA)6VIq7owQ0M?-AJ(!)5l&EhS|Wia2gIBww_kGa}QF@%5-|&ukS?QDRc9s zb_FhIr#sB;bQ{5X>R<5Vlarsr0N5l1OWmKP_s+%qf=m?czN~f~1<}WhPnR z&Qn7D7fX=Fq^Uj>+KJwuQ;of4abu-Q-ZeEP<@2ab>0+y7@@lqH7XZlDn~^G1Y%rP! z63WDd#giNkysBHo2eca^MByhMF>?-g1%7?peFC=&@`zrA3xRSi#W?W+%V6|(;p3z# zos;ft4i{~I5avu>`AVS1q0;FMS*QBg1pHXR1QOG#v0fhd`gf9A0V!zLXSZMuhKr*HTWg$0OB1c2F-TnL22#C^L*`=}U28Z{=V=f)vEujOU?>r*N~k45_| z9p{o}JpRFu|0`ODryt_;ved_*}eK54Tp~yjf@@7#5wp*E_msrD*)6JzUo(O%6 z2Qfns$HB^wv*-CGGiMrGLOm;gR-a!(_cxm;;n$x*f6UzS8c}xQ#mW*t&@4pY6i@qA(>*^OuyFCI`rC zK(i0Pp~o+7Q z*_`L?*e0uY11Dv}bD!!(zMimZv3oRD{j;VK0-0RIs=)ra2mC=eJYcEe*szaPQ6@2G zO%fmY32zV*l>rKBrqG9pBsr$n*cbX&M%n?mrzjbV%a5!@ zzSkGa3l>%?JhvlfpF8?-7-ID#rpZ&95S;A(TLYd*hOY&l6Q04^;Yn6HdF7iYnSeKo zA0#|N-#jIKD*#`}%lKJE6s7M<3x6d@4S535-IXEy=Ip^wo~(g&FbcC@QFB4!^k0N^ zN&+e(N!P(e^`fJFx2k+(9x7!OPnGsA%D;c$DwFEL4Y3>2JhF=ltt3_gM2IXZ;DAjD z%vloOWykAk5J(7Fz5n5AcG!^6J8?iC;twdtOVw~kpM5FFuwT4$XJmrxcG z$z(RW6OxMaj=i4aOm~IW3{va)kxVZ&?FwXi5DNX1Y-Yd7{ga5E(mZUQk$z!0WU4CR zlW~eHH&G5CET&f6G90U`?dmwIJWmK$h6;hqSx9Fu=aX=W6eK)whJ%o3K$S>h21HO$lp+I!pU;;{{whOnF$1VWQyg*wYD}5| zocY8sfcypWnEe3ke;<-zE&R1u_#ut|Fa(J*Dx`QqARhD@xn@Il35Vy8Z9w=o#B2?& zWUkjNGkCg+NITmTfd27r`rFKeRw-(5s>7GTM9n3ZSqKz{g@^O4jRYz@fC~R#QLgfV z_O|I$kEii&vZ_dosGVDSWmj`sqyE=(k6;;<(YSMHKgn-*S671qANNwEL09erAYxGE zv1dVrLK4+Uc?ghkq)y?45k(3RflzwrDXtU)+?`PifOcc8cjGsZYKG)=7w)-1X!j^` zB^)fSqe9xDqs8o{t5@MGzwbU4Uywc}Kpr#D1@AaW81VB;^ej+!(3YvzJI>&U!0o$; zykc!USmja5=GoE@}bv2H(rNjK^RY;>iOFaQX}4*SJ&E#)Vvta^Ra?1 z{K$uwh}hOpD;bWhI~#cHP69P<<&Zu1v>P~sJsk2#Yy0xZRqm=|g4#C>02;Ud# zS$MMy`_7*&0h>Fn6aSbb*@9`b>Ju2xD6e7?DhI*+l1oGQm$G$MhCGlpgdag=0{%^T z2}d0KIr8Cw)$!<)a5I={osFD2$D>oHG)?=Fy3o-LG&wtm zh8xAg&{VpRYV04n$q3woQT>SQCjsF5asmM~5K{o)BV2YsA}{lKBarQ%$m1VWqY5xvcq)LAFk8q5K(fC=(puk> zG{QYPZoN@*ZEtM3Kko5z9fE)x#18Cze@@9_0LT`2Z z(ek|x3!}kS*73}qn-oqfA+(=bT~cN$VZ@R{aH6!~HU`2YL*29PrB2d~H#JqDY`@$PYy?%03+x7Oi#a zxWu;Nz$tx6K7VHBoxBX=Ns8?qj58?pLu(TdS*37x(Fmx4KzB4rOS=LgvbI_hRO__W zpz=^zmeYhF#H?6wLWPhC>_y0?0_>D22=IujiHv@FZ3N2MY0tM_cW=fgdsjv#jbNGHdJM$6_^neI*pkeJGpoWFy zb^)C3PemfRxzYgl;O~Vs+RN{y&VPWO2%H558kX$!d}wfp1xnTBP}1CUiKHJkn8ji^ zZ#o}*9rcM#`9YVKCu=0ewx3eLt48RByvO_arfib~H6Fs;w+c-=QWQ74Q_Qvz$|W!| zz;yk)P{XoPb{if+DmS}9$nLg78D}@h0BZ%52He5>$6D>)fnyCi*QU zEz~aT{m(T3==(S9kMMdRpiD$^(SLfIce6C03U0_e=ReP#l0@^oH#RytDpr@d)m}iO z_Ze42+%j-~5`LH{Yb}6xMVPES|6%1J@Lwwr2?^ei!d|ER-N$B~N_#6p_=1Iq-0Q`U z`Io^2{5ZTaekP;=sO}0~EaM>+o9F&>0QGMp#CBFErnj^66QE_$NUHaDX5-`T81@a= z>d7f7Qyr#3427*NEmea%+bfC!jj-WH*Eddp%pm9g0y6EDfp!C(KP54^*AYO`!R5jf zd(C%8h;Y{$NJZOiK@9tTeZHTIP_S`_-WAI14giuOhaRFkoE0ZRHWq@pe>Qgao3|0w zho*2CuhtnC%IZwrDTYQc1KJ5jLlRJuW4s9$rnaY2R*+4eMsj3HddyI1Px{ z-Zyp3=K1U>{59Exu!xk3^Lynv43_D4V zYYc$h|0C0N>wl{^OKx%hu7*M74Joc*!tm7q0ae;VN4x;|!ySpu)nD!xHZP({Z*?3k z_Q+67t%l}C&}URg(v5^>Kw6v6jAC#W{)ciFuC|4Mzq>DmyY1ZDXDH)5oCJk zmJlE;DeiOegrFjb7zRi;GbMpsmRHmhCe<3b zu1z0GR)pH*3$-J#-YRNFi0Aub9Kk~UA1o!ax9KKSZtvjw*^zEks0RADcXRD4Bg!_J zlkM6B4mLP8uK(ylCVVpejlS+xaXhF#dtTsQ$n=SzA7DzMv|Kh#H9VTrFnmuw9o%#WtOzrjC03o*7*v?JuZMW4wc$V8~4@> z)Q1qY2b>kdPooy6jQOe&z#>Xs<}(MG+LeD_d$1#T@^16fJgoPiRl0OXtpfTlw{2Jo(q#22dyX8(QfceyCCt_>#OP;hD2U!|S8KcyW2nNLCA9_~f(ln=b-pgDNPJt%R#aZb;Rqy}V8v?E5PuBF0H%vZl_bznef=|%bw&x3UgRMduTDJ@h z6O;@i)#y%=6%1)(-RqoL!;D zcy&D({meL~=#qzwitG6)xH>(jkN_*jA)U zn4aznU6TyRE&f-7iZs8-D=D38^YR#i#E=9U;szCVgO06fBcwayKeMatM!GmBL3v7s zaW4u$n8Z0LDYbI0iv!0Q@1X0`Lc+P?7?< z)Z_V9jnrL`bt-~>ufH;(xZD1R2vtb~$7NcplzKh5w>IMi8TPw&np}&Dzx23md2ykw zr>72De1mY1jZ8R?FSLN42*(e%tb`hiYQ-K_1Mm&}NVb%jLzW|3ha3ls19K5-E>ITw zG~D_-$+ZA>B2-|=lrSKJh6uy`E`I&Ps!=;0^d4QkLg_9EjgcIyGSoRr9&O8 zPEj^Rno|_f9N#8G@058BXUy6}n9_sH1*pG37i!?{V#mH+q za`FJ{{AhLCJL6lC!x%`^RDGO}%gy#^S4%|dw^7F~A5+c(WsYE6%?cWoW}w;b)BoSl z)FYDUnFO=f$VFlB5h|JwZvBJ29WOnfF!faUn*l2;>lx1s4C>3GdjHV*|F69(kB53~ z`;(58N+ohC(k2=qb?jS_%D#+!OO_VE4 z-=NcZp65L0{pW*6-I}fX zKIt=yIYLQB7w?bf83pI|362VUUmWY@oYx@;o5d^*KxGWBH|rCQoX7-*0soW;ZIBEi zI41~;TY)wWpaMc#q|POMgRV~>xGP*a;$re&yBZm7J@gnK8MZf0rb8vS{jy6^HEK9U zc^QlK3NFPJ-;Nnv<4MQ|`uMxWf^S5 zKpg&$wJ(S`R52LijPJouybNgjYJPtyqJ8M3w39>Imh{V;6OzAnqI=+v{VB#M@8C+DCdULiUJ%JIW}L4=o?i zHNXM&i9fd)Ddp(~l=I*ED`rI}In@$>X0sp>rDMMw19$QZJ=VJ^*Txf>WrO8%E)#^| zJ3&z9i?|Zv9rFC96bCK#Tk`4RK#tZ}^tF%xRUo|1DX~##xk@f-sBzu3x~%$LlgX^6yC=pdZkQJgEF2 zF8GXZ+R%QD%d#t>PgXj?}ZcFe3Tf@1WpH~7+CZr5ClC$mMR)e9d&4d15>)XG~v=o4f@&gYF@`lEi&kM zC=Pzzx=f{TBbR%}mSaGWJO6orb%t;vk)bK2<&jD{t%!LaLV*Difg4-Kn~t$$-YmK6jP9J!yH>i+Giw zkP;VneD=PsSvKR%UHnxT)%F0%#ozb;f>pn87*}ca;`49Yx?!6&0#yDkP+0D2Mk0?s zceWxh&^I8h*dz~lRYe?Vx31iqp8ld}i;t!@@(d(8)|IHIrxg6KAhXE123ZM{L#idH z`6gDic2eh}U>dBC22tCaMkxSYU0BCaRK-GbK>mUS|)tK`G*Q~s(C;tb?;n%cgy zH3XyTIi+io$QC#>Us*JNa6>F)cM4q;d6Ds^yvXbOJrfX1D22TPgpU3R7Ev7)3j&%4 z2vYSH1FAegj)BdUY}T!XRHO;d0B{6~H%+i)URgF4aWpal1`8V*gh3!Lg512{sWb@m zLf*-oz9^U?S`J^5D5QbMZf%KEs|N-Dh_)BPlLEO60yseyf7@Dj=iY^)P68pQk*Mm@ z#D4crfRcYVuQFRNV08iz4qV8)RAkk@%^wiy(HhybATcRo4N^}EMNv_Y(dN1CBmVIF$CJzIjW{Z+4K6Ss7JkVRL-|JEydux5t z3i2EN>?)t6;oOF19lo|oyf!vAHWTx@1H*EOJ+Bunn$%TY_{l-~QR`)D-beK&nAm0v zYyg2O*%pEI2?k-!SAxCO8-yGV24+ZVT0Df8;FL(P^2$>iF$Ovh=p8=+wefFws&EG) zP3et(I1T<#;GcvENLbei69+>bCJ6B;_pqtQLbh0 z9Q`dT-mUs6h%o9X4Sg}O&7gg_Uk^wBp(cz>NZ#@)#XJG}RBKM*%I#PnkSwXOIAgrv z5m->`oUG1dQl*?x7d)0x7*TNVrrB@npAUuGAtrSzly&?^^fH_BUQr$wo(s=-%Xp;H z!7ZIOe!I+6u~4!jugI}bQk}0$qY7&+wb|mPznrw)_*v3UI-!xhU{o*{Hw)n+o=M}I zL!GT>f&Qs!!u7~3FaJQi&Xp)04`HrH7+=>p)kGsO+!RsRS1Y!f5S*sUJQ%N*2Cj*w zuZ)3Ft*OeZ|15J6G8Ue*3Ya$tD!d)Z!66g9`BQJ`FL{z7NGp~EAZ!Bn<}MUg(0%}E z1)$6yL0Tb$^dkSY`U4}Vq_Q%xtc$6c7Oz!uEg0E#{U4m=mLYQ+pMJ@@;HoC+tfZ0+ zIDsGfGJn~33yvDv>Ke1y?tDu^+RSMBEE7^mt=FfJOW%a>4ZO3Th&$_OJ+OGzS&J8{ zUf)3&g8;uku@7+yg5hR6c9X!!ZDI#h{{}GY2}c&?$@;!6M3)4sL!{bxnqO727-jVW zb@Jcc=@7Q5s40E#G6(y}Ch+`r=9@wg9RyU5XDH`)M zK+&dybcSh=?jTTNpvGSpB|fc=&BrK0LjXvG6zB;dTt;}Fucj3KAGkKSSH~5n*SlrRdN-c@ze}e5zKkfvHAl6(f+vF z&+XxS=D!Ns7+x6kGL+0s6FTur#?E;PMQ0=e+BE0JV0SlDdwwc;?@R7?bFo7}NrL^G z_QCrAD?3_5+4>Z77ML1%*AWqJz-JM=lC~Z zH-z1vb&IrtSFFP@2-CtGNOeF`oMlV}xd^lCSnR*loJreRl$AcI@b6A~Z4>ca*h7e= zR~#AuZ@9(<=d!Eii(x7ow*R~{l0ha5BzW=(x=1+90!MH zCC*|@QS_C>isTB7F^`op)yN+IDIT+x1iS3G{47>EXvuwS`ka>h?l<%yFci?FBea`? z6@}j^mk9@HI*s5wpWR7E{-~L)m*?MA+>j=2o#+ZCWcJVB)B#!rjQUz|1ei_Vd4Jcz zAx`G~?25ZaB=2@TRc`U6%3{CXT}&}xz|n}YnvJ(5qQK?hM9@y^ixX63?6K45=nTYz zGi}C-l=rF$&PU&K((OW zj|(RW_OX?Xx7+n=t1!iCnQcQg{_wn+D15{vU8aYJ1p)vjU~p=yej@q>D0Bw(rWac02DFb?2qbq>|J#Q(9Mr_UJ_U zVQIFYy1vV?3$J=lGuT9U*QC65#+(f~07@cjb)XXhbWB0qfLQUP=)@m#5~9FyKXsvm zFBtfyZXj|LxIulvrmq+Le|}bgHpNCa;}|TZzKxd!p^b(=SF*? zR9{QLVQHq?!LNsVrH+5OBI!+?eL+&&cE{vCd?lBSx<#S))!$k0mHcN;?8`CU|L(1G zK(PgMcsX z;$ALrv*O66C#m0S&A3?WeR)sMq);(BhuKfW*Lxx;w(5v(#@ikC8Bx_=mBJ+^L-e_B z1vdTiNO2|LOg&IRlR&vp$T@MY=c1~GseV)yPG5VpY>V6?4%>e?+X3Q&!7)yvW~a+R zJyi>P%dDWhF1&Uui7{7ojWIR-_%A5b1ty1V`daZvtnVNB9dUXaTd}s3JzV1O)~cCs zZ+WajMk#Fqi)JAdr8f>*(ni+PJu!-)azj21^HF{qo}rhad%~u>sE(gHu9q8!VPOUzpHMs}7T4K2;>SKqARXtbU7c-Ys9#$pk&(6|h^4`7q`!?-<13&pmUlFhR@VB65M!OwKHHKA`{6)JBGuGFwlK_8m1yRwl7-vC9OGHoH6~F zMYFl?oUz-!d~u>uQwF+c!P)*{Ek9kfYk5&Ef8=V`t+H|{5jBj2zmUvSt4+%-)HtCu zy~AwbBiSw>M69zn>x+jg(!EFvriu((M+) z{d8@-yBV~0@L$r}v*IG%5c|sa@sxp1S~cl7w{U5fNc%)&Q++@~WENkn*!g>cSa%1> zlBngOp`nW^`GgF+^6#^99>BMpZYV|uMpt_jem=|+G8Xx{&2C#m0xDoqt4zDbU?O4A zx<9?Aye8SfX_C0gsdn(k+DZ3OzoUvLpV-@=G->?GmuO3LsV!E(Cf~Aw;q}v)D07$7lz0B19{I)W z@e8Gju>=XK1cUEb?vSq%EGSgvAMrjo!@e{P!%>3h^Ue=Aq5T88rB}D@pL!{e<;~66 zlT4Tzw`?}|Z~h}SFvi~MvyhJ4*8FCo!mWZ{9hU4|UG;%{Lg@V|$HRWyZ|A$Ebyg*+JsPO~&lu-;&RDAP1~sPy0GkZpZolHL3NWe!`g zjDxeQ$y-qtd2HdN<899&x0Co(+=w`TsbGii!3mB_>>XEduWm0C z;j7IaCURhlPj!;UFp7u${N9&=3s$o>7#3$Mqe zlrnp&XQ*C7AX_n$<*L1N*<4fNHQ<(8>FrlZ;<=JblyB{`*N zQQP>P=LR3b9aXuLGHqe&iw!H7Fnon+V_uo;&}EOfgI)@-lHMUNZyCbUCVH|{jWDYi zvZZ&KTk=N?vg&FZx{#_<`6e~!*c88L9B%GW78b5w2@=hi&Exr^kpJ80P4U{p;aqD5 z5-nQ$1aNRONs!%D-6WUQ&~9m!XeRIAYnHP!PfDG?NP^E*$=?RkDfc=(Z9MEIpPv@w zHX}Ptg!xTmX(FK%x0I#aH|6NMH2=K0DR+PfkyP>Bthj8nS7T#inbHvXHa1VEXa^C? z9{1g?hcR4)SrGf7dt~0u?v9-Kan~f{_V`}=RJy4KX}jkQy>-#X=mK>3yf`+pAj{BP zFq^U6aOb5E#B8(6s=ywG4)$9ZI^-gL1kjD4qeR%dcvzZmkg+P>0SLim{ z^m|tVkBraG&Q|Tc{+xM;b0B?^tYK?hhqKRa$im)LJ%Gu;-GMM-KdR<37~eW)dY^HC zsBCltXEOgS$2%G8`NX|Pv4kvcKIab|tBOdG@y7gh4 zV5jDsD5b5ii7Oa zz;)rR*1o@J-pz6b zh4N}1Gh{0TCvsQ7x_oSjx%*A#=o*w3A@oXpO_}u4a*B(NF&%w5tlO6=yrZnyI5*3* zD^)RoCy-0p=*aQ85YhzqH97PCz+`Nsz#8XVXwI;Xg#VkOq)(S{bP!IExQj>BF%0`6oE$3sTI`I=Um$t2fj(%ry;a!%-+#nE5eHt@6di6w*dD@KMBYLBTu*|^Xm)#Yp_cKmzbKO=2ud-uT=qDXZ z%BUKwtot-FWh2qsbp}I-hwpHr^4#anXo|hJ#azT=YI?P7kc$TTGv56585HS=M zJMM(l(x%%@V+(=qYo_m{BwnK`TC!P4~v zJ)W$_j-}{JM=<5lOXbDc3kL1o*aG^g33KL>P7Tdm_sL__8ggm6I%{vaxw*-3R-x&n zS)S{RM`2;1mRfFw{;*X+JHS9QB?gWuQwo`-1LZu((ZtgQb=_;MuDhCI&uR2rCB41ZlR%|R>GRUK|?vA<>qqC%rG@2HD zR`a?Z<5K&A%;eIFJ1fMNw&{|hqJz;lOZw>Q-miew-K!O{SUK{|0;Y96Ut5mA4)b8b z6}&}wA$w-F#Y-S0>H8pV5%sx z$o2GF6b)TL0_D9BRfR=jROulhWQnbWP%-w9Y9|DY^R$#T$B~V^A^!hg_&*ww7i(J` Xub*I2)}rHsfk(+mD_+W!H1z%-QadbQ literal 70308 zcmeFZX;@R|x<5>}yQS7Dt*EF>bvp|f6(IrwRa&iB(25e~R7NEv5t#`ATU1m8Dhdb) zXb}*B#DFAWNT@OiVG;}h0zsJ}fgq4X5(DqOu*3eJeR{6*;r)2HF588MkhRwR-1l#K z*7cLNM^}Ef@iP?_m6gZ7`{tC2%Ca%!&!?Zj-`Hfo8iN1*GvM&C)1Sgu#HXIu;QQql zzHJwJajFZy{_3kQ4zIWQ>UGV9m)lpl zkiS9M-rsRx`m5@XPp>)F9#Gz~p?m=q5&YJS+&M+IRUbdh&O+}DK2ucUi^-VSEuC;R za!mF@&R0d{$Sd#78B0ehuRqd84lW)3yq+2Wusd;!Zxf>YqUJf+LJEcO&*B@3 zLEXi33_&<I%7WM4pI22jG~eA@ zT^Fy7L$T%(wS!*$U>q!RNZ)@xu{q1K-b?~5}rLj(%IJbBXWTi+mDjT3NSU zU`AQOi;O+$KF8tlrSMtPO#*TyQfYF{tExj-C}iz`roGrEu1e7-?m48Y?P+6U1NY&8*}%2UBDJV@(G^AE zPy&8@Ji~x=0By*3k2Pq#2=%;qGHPKo%8$yD7R%+~Bn7{pAnT8c>Sk+eYX^^{`t$_z z@jgL8L3GaUimsnOUeP$CyMFx>A*G^4+8c19fJ$W?yS=UZY>amDVwp4C?;^*Nx+y5j zN|cr5m4LaS+b4S7*6R`n>k}Bu)DE^a?NT@r?d6K*D(pirK71 zKgZreXA_q{b*Md>gLzsex$=?M9Rm5 zl@cC5O391r<_o08h9&R&ty%A9I7q$rTwSR-Ly{OUdfiv9T1;JzSCg{YXL&ndPpXEgPN^y7t{MI{}!X3af4J)%ZE>Qj#d^UmDw zQ{64)FB3$fl2$s>s@EAfdCrcuGEmUjtL0j+SE80p=h6bl+nbt-u?sUPVf?vqXxNB@PI_WRPtyvc2 zaO1FLeh!Y2&n>#PIetes+E1gm#`S#e+ZvoE_HyUwRW}!&d1D8KL!NQauG248+XWNNPmy2TdXeMc&A!n((d)!pIY|t8PGHh*X(kBhw zIyytgxP@)Soj>0I-^0`>fj5|%nkpV7NjXceJy!lg@RVND)P~n2dUs zmX>yBEzIS?FA~LAzDKS+OfNU7$ zi}KSHv0vAZim3{!QqHStVJHMLwomra1bTOg&nP|}Pfu-5 zM^m>X(CNks=u_wFbx4IslY4xoz>oX*3^Ejv=4?LOWGHPvj>69js$TNdCW&aBJf4r9 zec_X-DS|W2{oALNeNEO!lKFgo5j3k+repVuNja(Ad6vrYMDhu<$*1RB#G|bf+=8Nl zf`Z3``JEx`S#6T6q93oAWMBox=i9fKy}7JzO-DvB5gAjx{`JGMxiba!j>xIPaG1*a zCO@QdP+R(LFoA!4d*(TSf4^aTaK`r&AIvNOSP-&@xwl1}H8(@sWcH2>K449}|h zW};{~1q)paZbLnMuCFvLBO{L4ilY`4_`$k3Y1N{l3T82^2S*rmX3i1NoNLKO@3dCd z@2%JJFfcHfj}pUght~{T-=5qQ{bX@L!7mRIzH_{eHn8sfXejD-Ze4;dadL9fi$4XU z$qQdGNlzyaYWBa&8^&CRalsy{a&~emWU~d^Z0|XAvcn}4iFD|~)fBeemjuwnPbrs# zDPYLlMOD2Uh?OQYDr+}tY95#Nd8N2oFDSZwvP$(iqW80{jM-Mp{$@!M#%30~_(unD1AT zsK|4iXPsKfj!MwA6f`ZowfqRMQ-Nu&ZzX(yd8=Q;h0gs>GySaxXK$uDK0U}v)C%F_ z(a!9MGJrcTGb3h>!EL|d8aA9{?9-yf_pjHU1OOPtp4?p_IwhU1gnpC?O+1rSP(&~G z$qcKD1Qe326s>wb6ac;D9-bxXG(P+MvS+;2h6sz8YJ~~jo8A}9FPjvIzxplxBegM= zLOMP8c3nY!zW?G8o9!9=SCt;uv{Occd9ESd&^<(tvg3A&KAZ?1 z&gH4Ba@2fjI>G>Pow?+8-d6YBZ>k~yKa~|02iu6d1pUJpMTtdlCYCy@R{6v9rB(NL zo!xTCTshaVRek6t+yR4xsJFEm#}_KnX3C?INn#c+xaa)#3aGW?GaFo19SEE8B*FYG zWH1<>Ym`tA2HgZeTKf!3US=P~C|78xR0`rPBajjE zcdw(jQV+tMJ>L*~d;1AG;7X)(9^Xazq+btP@E|f0`4pz6rq(^3Mb16|k@_1iN+NTW zz+d#|#e2^7taJ9G9TN+YR+8%I==Nas@r1X~1C0$iQJqr&)qrE%Zt@w;2%!UxXoK;2 zRqI>bmexV*sLo}NRz*d_DXRf2Xz0IUE+5O5zSx{FRHBlu9Mt$3nqQ+m%sGbn+ZuIg zN7lmAE%u>p+V5ju33tBFA}K8;Fea}l-;Y|Nx(O4n^68OEd194@7C_e8SdA1vM(sN1 zE@*5P74swMoXGKgC5aXvUAl#^7w2Nn>j{I6geHO`xN_rKtl>3Z3M4}p*)4Q zTj5!eZ)XJF~eSsY|()f_dY|r+r zt5-i+A_3S%ISGuV=%_pU{z@+YBh-IqQcvL0Zz@NLZ|J)tf2 zPXe7QQx2n|XxlSG2ZGEjRK9!breV^B{1%=|K%91P*V$lbP<&ve?y(vuI$Y3Nq*sja zCret9t3qx9r03+!3J+RHE8X*t%KTk<2<%2yJOVj=eDb(lZugy?c7Cx2^d|_^%g@X7 z)m3!Win)4Lh|bsOe!ol9`z`{w3mx@W`cbYpxP zOXw;tJ`p){8<|N8s7BBJ1u0_^kSVqALiNg*E*d};0Lk1X&t>a2W4Fo?6b%c&Hhs_8 zpEJTnQn&KObUqpDd-wr`tjyx*fN{ zaNB%i=>xYC`o-bKM7&3R(2Gqmp5oAYvzbMm_3K~26e#wa8ESBJb#tJtOcG(rLY*5x3C0z0*a|Et-Im z3&!4l^qXb6Ea106miMHTPizGQ&jV>?H=iu)D}kP!tSo#{tnXanZDULnRQf%?yu7=M z=24OzZ@LSSUhbwkHWZ*dWa3{uLYp05_)Oz|Bfub9I$vKPrEXBBi-IMZEfMKjM#H>GD}DOZQzDUcBV7bo z*k%Qn8foN-1?*_q41!L|Brq_?3?8E31niXInG8WH&7*K~Jp_rqhi zi-8FpMAr2TJ`?Db2ui;NtEwU2865w!b!4YdtQM^Zm~NfZFL=N4C5N+_m_QrMJ|_HFOc9?)6c#97mh%nhrrs}d}po78qRA3971j3 z5Cp0nG5u`wFJG!u`cI?BR8BrX=okE~$XpzjAd$)VN?AV<2vRE$wcP>OL(5c%M*#ol zm`l4nmX^q)AF@TTb|_?klcgpBBX?M{8&yu;09o!)8?*({L;;nKU?GqW@F}jrv~OeA z4c`{2s5GrQ@LFXFZvx58m(0y|K}8WYTmx?bLk!!Tu~B7>4$Lh?>Hqp89Yg7Q4 zd^m`TUf8yO&z>KnVALWS5YR8f_HmWpsw(w4g%uTiI>>x{Kf{H7W=xii%CvmI$8PW2 zOAFiPcvTBYj;BBR@t^OZA<>bB{IFxkmPq4|etYrS$Bs4)uh|bW4+sk>w6e0W%OxLO ziXtNm3GmUd40}iOeaSd5Nwi@}e0+R_rYphMw=o4nb;EmmgQydh9{&k>XtQoZiWo#J zLqq4PNU{K#&M0AP?R1!YV=WBlh*X)e zp309nnxJFu=`zVb?J^mC+J#DNejnKukn3-?=-cn>g2GNN6FcoOOg9Umq^2UniQt0j z?~<7gX;uWI3B3;MFp95dxy()dw@+A@5Ea0$MI#l#lRcXTeJuel)-^Td+N38l`k+&I z&BHtPQYe&&Fi{uH+djO-V&QGVCqyk~&IpNyKZ>GLkwUGDQ>uMTWQ}MJOvoKv`dXc- zqj%HLzOi4$VyvVvO! zS5$3m6D87T&MfnWrh;-saY~;eyQC9aFdr@~%{pA6(NQ?Jph5XaNfCyx)p@UK`O9oc zzC-BOswyg1-U7GaGyMl=u!)kC&R50s+Q>I^bgq|jtLalAN+jT)FKGC|qgJQpsydn; zdBERe(e7ayQxKW{FTzBqM;2}8VD6042U|g7Yuff&%XbGYTx~4vVdh7$#6DYTr~Hjj z%A39|IWo(S?M!mxw@WQIvPkV0rmXUD8#YIGFD)pQ9V$maJpcM^U|?VuqBGsnRw`ae zrP2t;qrW^ird1u%)#@*N`u&;3Ph5{*t)@HuEKIstKhs{N{5;zw{9sx&F0O#@%XXWm zjeHR+#KT!(KV5x)Um>qV7Tkq*B*F#!9sh3=Qv<)jJ@`GNvE`GvFi(pk9;xXmuu{T}rTc^^f zGW1wDMbe|`V*Z6f=d35l=*YQ1ySViG!N~zQ_$)g+ySkH#%}NRNy?(B6$m}W3FP#XBWnDx`MmOZ+Hrel+Qij##UNbwuvmU6U>^0Do z4cO26tZ}=p$h|2X_O*91)g73~ntV7=x8PZ)n7whqcBemT8*=U8Yln)?9|u5g;co0V zG6FH=wxY%7L!Yr~IJdQg8KZRfs`03gZ%%>lt*opBBT2_eiW&JV2 zAH*6FYWwK-e}PdLCsQ>rve}t9?Gw8w6t&^X9@UK)PJVSH4Nev<(ufthlJc3f5F*Ln zD8=SWv|qMA%QE}X(8d+~P%C$ zC*2;85~gr89R3rI%IXSVvuyJpjrz}yy>&`O<^CRf=#(JehnYNTxm#$cA^iRYp!)rW zB`|X;4eSTlzT91gdIo)+j`5{_#!cU3?DAL3vJ8#N*ktllxc8h16%(m-QTraz$e$Hq zL`k70PuC5Edp++_6EyYpG9jnlh&J1J^yJg%Da7L{L`$ul^l7QV27h9AZULp=LbuU=9QZ^;d$9%ZZ58rZ4}-p zjVZWRMd5jvKe{sg{e2Q?%BVTV;`MtW5-FXa{NmtHm${Y)DDF6C?N)8 zbh)FTv_L4i=j$R4wHy7O!DX7di-zwuqJ!hEFlj>T6fDj~J3qcJt5Wi&VnlMtuPhOV z84ROUDxACe`5K!Oz!E^dKDz|-`@_NN5EYe^>k*{zQrMRCM-~78n0MF)YVwb`{6cz+ z7rtZP>t}C06!pm-ST&)v4)J#yv5PJ(`UBIhHj~-=HSS)kwhbdp=HHkpLYvvyJ!xBK0 zyRey-Q47EL*C*(TM>Hws=01R7^vaeRL7^O;{MOV2GVDFi-e0J7+GfFij%<*4!vw*Cu+cJq7Ot?Q#1Pww(;p%=Y)$!~ zjk60!4TcIvzaR8nyedSLvsOOBNs5`9Fop>IW%^rLp6g$zT9hr$3=64M7FeJy0Ej>= zufzlSnlBESyb?JvIazulVnF?>J8*F1Ze99)ZPm#oLVRC&(EPdE@ih~l-ip6*74I%K zw?FF~m&3vFC_!ZCC)YdQpM9rF10zjzk0{r_DXlsC(EBI8*peH;!4-PszhJ2T+B>W$!mLa5vp95s9HX4?sx7E9P{wtJ20 z-*rS__r4 zJ6 z*k099VG>iQY^{(!f4e7^wD(W2v=pJhXk07UQEFSlr~ZNFu&&0WPY?O8)g3GA}x z39qGUppA~*qwsF&5q~c2+8dhvi|L^|6drTaRjHk?`;)HG@Y@6D+4;Vg~=sj;e=EJizV>Oct%If9Q2qqbyjsU!&{z4v6Kb#wlxFcw)KsOMGT z7ALj-?xsBgl?v!q<=m;`nL&=6)78bvHFevrui*QZou4pt&k|0mb2jOd!qnp56!A`- zMja8YuZ(PyI4x}K@u=5Sd`{%QsguZgLe)thS6fNkjC@mYWMzcM)~N^PK`rhkxifLK z68Xb4c3dfGl$s{~MjvhlRd+62N-r8xBsF5L;925g$MacvZ1w!gQ}ybxU(UP~yG$59 zmpeq<)c+66cPZ`WxIz#Jicn!rPA%ACMZiBFb^!kj>ka8RtoxCQ8}_~5u62L@pf{3@ zyF!bYzlf~6{%?DsEU6vCG>`fb4uYym+Cx?ezp)*?7hubd7|%&ba~wB|A%URirCqC* zr9o1YgIN8op`jTlAhX8gMMfPIw1L^xE=83F1TVb3vlP9fy-aZHcE<8MJChkkMn=P& zv#THBs4Qcm+2BpX8L!D0Hk+Mz*J@aEV7JrL9Yk=OI<7Wh27?0wKi?1n^fHWJ88+KE z090ZGPm4hM{%*(OE!a%65CU6^!f*g?neihhwm{rrTN}s9!#zef|sVqmNc;qxP?Z23eb ze&PK*LVI8Egw7@Hij&t!^TR;3K_7Q>?435()MAvBl;~5~s!C)wrBImzHzG{FU&R2z zT8W1=%zUvR=K1KAD456ik*3st8$f?X4e!?=D6bB@D8E;aeh8a?oNO&DPWFzGc2(Rs z(`CGlr?}ZgO3-WOG!<4=Rr!%c8O`~Xm8~5Yv999gj|)x4A~)4fi(lg1w-L0-n!4~$0+wl>K_#ccs!oBeHc%Ea2B zs}MYHzzkj;K5dE7MAvj^c_{DP5V}_6i$lRq)5LgOUM;hpvWgCPG*n(K{9ACxVzIzF zcJqE*a$4wDv&%0#C;!9zxisN3cC-J1`JG?t27KLU-JMn&81(b!pI`l7WfudwSF!xcbD$4-1q2C8YPLZK6!s*|`|vrW!(@;eu8yyWr1rP2UG*V zt>lpcw|Ld#xa*3In~n9t3w8g&qO&F|19Io&h6f@>d)ozU%1p$z=Iwh z9&nyH`A+41U`3!}_O<=aI|S|R?%p}WkDTP1ox)L&9#?H(fTNA=+-GNyvywmd_S}ZY znBW5cQc-7Y!G0`A2ieJOSBo*O)OmY#%xuv%3g+shBsw`j7|uEZF)q#Kpy|j5x`BKc zif95;>TVbx!vs*q3^&gkAT;6*1uT!U_|e`eT)QPb)3BM5hI^34bhE5stHUr*^`^S5 zsBT6Bi(x==?L|a&S*Jyt#MFcIf~Pl|Q0rO|Ttqq;UirXWq#K)XtM<=ff}6DFM6q^DiXlTY`)D!uPt>Uof>mcRU6ASk36id#ibm0%%_8hKE_tKqgL5R;&$y!8-BtZ&X^eO8m)5=&B_t7q&6FXyVmp+lnG8L*DGuvwqPfExVUF# zy!=SY7DAVCu=o+&1`BGU$B918O8P71{Y2cRduW5^6MY?@EXMwYvj1#yj~bp@{FC?@ zsGps%K0r(C?Cm|cq_Q5IsyP23K}Cg%iZouk;!kLMyXGSROH8GdUqqwr&6<9MaHl-Z zl2(C1*wb6tee8v2AA51+I#ZdMnV>G+0^hT#aIUY>biVxz#uW$_GIeH*$tnIJAtCHu z@OoEw=r6=i08bD!HG#FYA3X)>$;JWS{!srEeaA#bbB0;S3+ASglTbrUupwvZcD5#4 zv7I5`%A`_zZCR%?v6=7Pn(3NMyyke!#oPx(UuX6~m}|T>nC)9;;hk~^>IAOhT5iK{ zS2fXdR4E>mzI)>pW zSQ4ZqN0%5FTT6a+vZpjGy>4=1qA{gfX(K+L;&SnNh1Ry~9i$!zF3x(fs;(?7Uj+Jw z1c^TXZz$>SB@a}z3mDJr#ghi=@dhy$eGPC4ELC&Af~{Q}k8}L1jcGDc-b}lL;O)6p z0!6%@iS&tJc=`k-aO1?=lnHUAs%C;-VZXIn^7pkJ_eP_6m2fFV4>f((M3^-P| zvcJ}s!my^Q-TeITnrcx5Q^P)7QExc(DapW}y`zzriG#q5$~58Zk#oY{W1Cg3IJTJ~?d} z>K~-rd`N7VBqd_8Siw3Dx`yj=hv#EzR@qVv0uPLSBaOdjY+#-hLV2T9xrvzHb-7~~ zK-3bfORr@CbZZ(ge1t}$Q^;;sR?!uB@Z-}^I2QvH5C0F478AJSry@tjO8&Y2b72LT zfy^7iVbcT9ULO!u>sJmxt&Rh&N%B)_R9ua9FN^7P@m?d(%%?nyrwTVoHJ|CUFLOdw znfZ{iH%{R+t4-*I+cG15Wn^#D>r3ea@Ry7SR4so%p|rSCWrbg$jhz?Xy&aq#p5A`I zX@JuIEo^^rChBTa>YiA+d&ML2H1yuv@o znfOh4QIP?*^X1`;7Y$}tU%iMBg|e1&0-a%!G0z^>*S@k!>1A(vcywu)uxZTd1{e;h zbrOLG)~5F~n|5moKG(5(8W_b_YthEzX`lIfh|_ho0v<6pVW~vD>jldDw-c{0q2;Wt z=W3l&?&$@&4}IN9Kju}b=8^YCm<-Pr#X`*yCj9Nsl(JCSgG3}3q2?DnLQzH zWmNb!{9bhfhKFnL-<9RyLS*sOpi%n{__Fhl4Lp~3DVkW!r0-X2&|el$bPZifsQDD+UjqFUE5L-NigbPjv`FlTZ!(s`I1M<3oBf-BKajA=tK4Tz?|scsqB4%eTO+N z`r&_KGX^_1^Y&p3HgSia#1S<0{M8ia=gX!>4e|yi!VOHM?zQpH^fGv$5KW#n3Hp4O z{o#zpy=&22HJUP}LyhJjC{@?M~57T>Y<+c7I#PA}a=c1*~8Q z{?S@mY{78U84px>jn$F$J#9Oxa*3y5nSSHSf8L%{(7nGt3(-h}t>Q!Cv9T9CNc#={ z+rao>s@aYNBjOSVf{B#WBsPbcm$x0TnL;WJHq;~MJ+YNTAf60a_m%^LH!E!hfo&w$ zuH4%^AdYaW45g)}!iYc4_=M1YOXf>nN$vR#ibb;B1Lr)<9H>;JcT8r-OpEDmr^fz|IvyU}~IA;@? zf2n4Iek4}yP0j3Jvn>?hdgFKe#TNey94^FG368{VOiD@h-B7R_w=?VfU&5vn3!?4LHaX{MC2Bn8`&uMnKs~=r5o$+YI>6$C3Y`fO-I6TEd zT9N?uc%BmG@6m5Wj=c;fL#->k)rG9n;YZBKv%KrX1Pw_8nr*s-oIHpziD1hw)lu98~O(^5CWu-k;+P;f(9@o?BVlg zy~wS^b5wxsd@xQnWlmAmy~ZzJ*hH~?IFz)!er9GHw|($s;%RE28zau<^AUW3KWnvP zGYoQ>MqEMth{OtEAzF=L=x;$^aaRXXyw19gh4)au6gFSim{3heSO~sU&E3B`EY`*% zrSTbvi)+)jwD9d`B@|ay9e}zdD*9#i0%Z-qGZs8+JAXUzH!?Yf(`ZES@$qqF(`1FX z-V3emiaVJAZq_sl&ue)%8N?2#|&Fr_ktxVE%cfMq7mhrj%m?M zf7z3se^5$sr+)4{=zE=_fjNH0&hTfP%Vhr-Xu5?Fzr1Dr)Ua7ElNR~uTqp}sZjZMH zXEiQKe|6Se7Bk2TNP3sM z3$~8YnEBmrf~qbE+)I8FmTLdbL88XZPw+^x*k3|K7T0gpIxe}4|K^rJ02&mey}qv= zC9cLAXvUSsco}%bCmF@yOAB2G!?PaX!H}X3KXjX-d3;xEGps4xPIWO&B5tKUzAn^O zTo}9>`CW!#2}ZHs-gl}Lb9*rNrl#3d;7(=j!d+bOAYw=@?vnxui0q(KR%}!VfXXZ{ zmuU{QutQfu)lAngrqJvD zdj|4nO&WrJd+E6YwABU@0%3kR#EpzWDBB>Ln;YUdc~?Q` z+Ay{%TMpuqp&_yx!GPHDrvx^l6J5s|&rJtE=Z>AN|A#rljhvZbP15-@$mB2q+JTCR zfP$ShZpQQn=nU*ea{cLhJ)j1M3P6h9SHl{NH=Ci4cYuHNK=-NIe!zaFXFDPHl8VX- zY(M5T51!`ygbCz*!`KJ$J^NwAWzpSM0PjF?(9%o-3Lp~bxwYI7-|@GZArE)h(M8M}VDv|l1je{deKaK`E+{y-{4b7l!kili9_SE&Pe?y}Q13v~ zYaEy`L3RW5G2c|=j`}_O`Q!N+h)|}WVy>(6kWC4&t1Mr%zRArz_#krm38hVu_q0`~w=Fc}CPiO|= zY;UN)T|EWQ9TI92^(hQNlNd|Y4P0~p!2G1%EV$1ycz00upeve&+oE4D2$>w#G;^b# zc-ow;5SCF!-mgp&zQ(hJ`DyH;k&T*DrLW-vxk(Hw8Iz$(%~+#*xF!)-{5@F63y1xe zqg5h2mESARA!8^`& z;*BVg6h@TaI@!r`XB%YREO+Mo6q8Oxn^h+JS9L~yI_iJH1uyH=1IeCj#$>gF8gkaI zjyuR5T+WeiU*?v|h-8NtoU_PHtGUm1a&7k?92SKhVQFdQXd*!Q;i!u11J9;n{1d9cm z$4pPD|UG#6I9AJi7%Kj zn`2>SSJobR$cg})X&3|*Bo{%VhVCLf)}8B-9Etbf9o~f%lZVqy2}V4^HRlE+<9qPK zroL`AmX~i$b(wT9-z==tJOpUT{4ft^c{P}tzOi}n!rL97RhF8GSnfp zL@*ldAyxmB+OL-HSSJbaK!kz-<5T_xCO-L*ZR`~#zoxUCR=YKn3X`&h@_*B=!VkV# z$dP>!C+v%PzCcrKIkL{@W5f%)^w;+4lH<=I7x3Y^0KY5Y5CpWgPAt_j>eNXNVkO^t z3!7A$!!0Bpptuco;WK)KxK&<9-J>FAT{I9_q{LqX1do|Py5uJc$DvTx1X zdv>Im!=H1l>+9Qs37pQ8Vi<8W|#EW!7tQb~@}$KdfFe*n+Y0?{zPUkjICrnz?vVoKXYfe9>7=S6#u% zgAQHx$DagQDi5Mm#VbE6k1{f;6Zqe|AvCD(%$u=6CI)--V4DSZ{R3B?5aN+JN=>lm z&83|yh*j2dmjGSR_BPHY8;Jpu+^Ze%<)7TET0hg0L_a@XdX&Kx|0D~e4ZeLC&q|yf zy-p^wEE2R&*qHtvaziwvb{MeSb$7wkIr}gr$#j3Ds1|~{16}F*gK6YE2@FZ-+FjgwT zM$I9wo7${YD#Oxk{qpCpwyq%GFS~!>KZ7+NpyErAV`p>%bJTBNk^K|;N8)4g+5{WQ z8i7w?&X|)mzrFpGG>j%oQj3RXM9`$a^q3YbYR77(yVx=|*t$%PJ}E+ykgo0Anw0=^ zd`$?Cqrek1p;362n$v=4i(Y0UNv-@d@!igVWSA=0vJ~+9tK$ISJe})_RX9V>R_M)checNAkDh{Y(J15Ou&`!h}RA?=I%5c`s9t8yqS$KU_2VMrS7 zB@#|*|G~h9yV^D*!&D!ML-rK$C*)nC={F@o$^KN4z0bwYh|)RJ8g63O>0TH;tFnr+ z($i{PXSorF*)+*Uo)CP@q>+iY6J_5wf2zZ&ccv=8ut3>w)8eDr2H%4AdaSFJV+0P? zwqzn4aht?>uZ$iFS?KNO2*5T=it6L*(;dx0fu0X1659X>Z8eG|73v=D?HbLcgn=BE zm7EHqX}6j(FN&@nt10aeKOD)CJ!sQeh*R$T;xD40@R-nl_DzrfSy?g=UJr{TKHw4Eq1U=acyd0M@^J}<8q@U< z=T;G4o@=o=s1o27j*%AOari>q% zlq}^?96-&2jr>C;D_}F)s4&p6e_16GnKVR9F@E4W8SKM5hy=daAd?+0uc$D?67cxu z6a+EpFD!_WM_V*CjkIK>GsX*FK&%?l-|InWsDiDV%}suww1lO%{DTdfxi<}liw|L8 z&zb<)Cxa%y?sC|_k+l&5!p!-rj`!iY04(y?7*FU|L*PDdgw!Bp!^X);Kggz zcvd2(F`-Z|7BVcUjIjCL&UAeuJjG$;m-%q=7aSSv@8KYB&SV|ZLBhq+SVTILrnW|G z1C>mV$IgJgK$;=2C&1g@v|{o3l@+tU4+zRoy6io!w0Cs*oO9l>UE;nf$>SWvU^j|h zf}j5sya(gevte_G9&wOp)^$ml)G=p1w*_l7Z=aaWz~2nX$h-JTVpXfP ztN+#8&|Tw4Ass>&@NtjF>$_z2x8=5{FCNqpTd4ExDKWxn(s2x$;8_^i$zw4EPW>BhZ62x6XkP)*uu66e6E;Fj`HU-nE7*07y#09%F8rpP z)yd2kUwG$|u>%!saG6Q_?9)Eu(+y)={7oNZ^G4rb`&9ppxBM5+_XB~cA5+9wOgH#L@5-CS!06&0)eobf3%-EmGq;KR0L}^%%3HTf;fa<_U&i20>0aM7gx*Ph|(JK zI~{}@;4T=f1Q_kUN`P`9a%}oTfiDCfZlb)69VY7*0o%G&?jCHD+{g^ z6!K_Vm8)goAP8gHu+flCj>4$(z&_7rYM>!{UK`iEgHpe40IrY~gz9~ftsK2wg<}Fb z_!wXv(E{i@2kRE@RP#GQtE`g21#qnp=#SDOr>&KcLP<&r2?|QW3v0EsK+NnTX3Nh(}jjJ64CF2)?;~AmROz#&Y2aBLz1n zO``*Vcz4erwL+Q7^Lj2=pfTMRYo*7F)F9Jq8(8&bDE)@8_GWF-(8i6nF05eI#qn3b z7-?ec#JyUAMsvMOcoH{o=zmO}0+{4&pHM{2)jfPH(r;a8oTfAuyh6nlW;n53 z5?=LbNp;LSn&rpO|7$|KZtP|D@gu(mt}#Fui>JQvzBP3253{d8*&eRlucuu!Gmsm9 zsP@Py)^chfzsu(#%nav-vh@=syBhot%-3yGT7%9_*lKch*dggEFZ0G)XOQo}D#=*i zVp6cB-j_lHeJg)9yZ`O!cxtKcu6aQ&s4dRTGQH#!dW;Z%K=$-+a$w)%Xw&}pnz z=VWhrzXMa8d&S}(DwXVa-KX{c6qWx>EPmeyqTXj7ki9}YuU6YWcoG5?2bNxn#JsA& zz6ka$BdHggMg1{&B+HAG(bg=YcaHcw@b_9$5aDVV9!LP1Q%rG7ctrI|G;osMG6;xe zoFTzZ$)$ne4;F^Kp<%iauJZ}P7yg(NH(|ez?zu%A`>gjEJip112%9>c*oERiSaUNh zc!V$IWs~zZ3RJhp;1_FW-Kr7M4OY|J3}1-y~K!ftei!p{%9J zjcggT72K6WGN3WJNLq@OXNK4-nTD`0DY{G1m;&2My}gm0i$FoZP(P!E9;)!`F0Mq< zTUH~8KtY1%^bG&u^%PvM@N7t|oHWdu|K1T6$x%nJCs8CFgT!smR4vyF)qmQuPQE#b=vQ?*zFhQH{vc5Z{FXa(_lD+?mV)a^H#YE% zxoY8kp{GXmrDfbX2p^+db!>Yb;<Shi6xB$+*MOLv!(^LuVjzhdIlKO;{l;MpYfRw>q*MT&%|W zAbwZPk7rl!#M`;$M?qNFt$FJ@2u5byY~*0JS3cebTQLxSns(6-qEXRxT360JTWpd zQcRvxPPi?nvw2P&kJ1QktdO->k;sJ97yV^-j}ZlN;|qiZ4VeD5ML7!(bgydiIIw+Y zEicw2wCV!uj5->2kVs=)VT)21sg}i*_k9PH8r#SB0e!4}>P=l7?9AjJJ>F}_b3n2| zZpYYl+*EEs0|X2d$Mgxzs&R8j*GI@CnYs1ZVOL}nA*t<$ga5gPe@`8YL{AZSPwOe- zw)0>eqj2*VNTiC?q~v&H4ALQ#7vwE@Qq*!yl%!ifGch%_8|cQw=Z$V*e)<%&u#^D) zNfMKI17wqH&54Un$c~mg7!Y+3r`u3l4H+j$D{Ue?CK^$shoGkH1BoBD0QPp7%v$%x zXriTgS^~O2e@f1xZlh#kL6x$(MMcL}OiWDd;&%%9&-_`Ay%Lgch4d3~^uW^Ym%pO= zM__wW1Khq-c^p#{yY;u)Uv47~ImkMGIFs?C4KgFX3`9|E@8)dwbpwa%)zG^zGK8a@w&bf<1A9uH=AKbGJeUH(#!&6lGF`N*RC8o)!K%&U^73X&g zMkkE(8%Dv!u8SitUj6^rd-r&#)Bb+R<`Y+67H7Hq!Nm-Wpl_%IYbR3CdAB; zgcyh2yQ0$&6_uRUsc{;o8NyHr8zH9|Gh@agIgBxdnJMG&eO;>EKKJ4Cd;I?T{`1{G z?ptGKyx-URx?acU>-qEp@L-rX3_Phc(@wp1mM<)G>7#c|0Z3wJpGv~%Bip}t8dhlD zS2;7uPZx;8mTyX4`WAP`je6gff{UQ&>ok#o`{`zc*- z?Sr_8`F6=w65)s4n$nJ44;?7Qy1VXp1CE$#+2~voc4zWYJO&`bQIrsyvl%-z|mc}!fpG)jcIb;(kkc9kj*9g_3xzd6(ic^?>H(@ zLTU`Q1~ga7j&2;>Jenmfv5iXAkPI&VPnWHIkEzpZnF^I+1X+RL187D}^^|O{+|x-d z^RF&E+he&jbkTwfp>JUp@!wPayS4KeS0EMxp1BRlPG$4hcK`xw>LMU;jy+YUc&>$? z7|A}?`CesikNM`|^RZ*jQ^solMTI=~%e6$fFUe#O?0c*m+Go@?03*3;j9&c{cTQntaJ=%_Ck2Zop(=6b+>Q{*4;dayIcUVd#L z&|F;XLnviTAdGUC`^u)KvR#*T@^>zs1d@@&JVf^uL7}XY|nIS>@7nR!0hsN zDrz88FNswco4n&X7~44@Tc{(hHGBw}qg ze7hvo@zY~pH2*_Jp-auzK#H+J(Z4v&C*XABj!5F@>HAp5xr#ipq6r;bn12mJn#F-_ zozz8F1$4=v#4p%YG|^W_*WmCwJZ`1~E840kMY=}YV1PMQW{8L3l8G0qZbTbWHh^N&KSw;~>Tt=_mni zgUqn~@#CN3;ygF!6x~u{pKmK5TP_#3SqkYomR(~tnRSt3mmfA!^mshHJ;Al$jbTNe zWxTYHBdzBsZmKL25F9l-d+1Lxt0Tk#ZBz%6P=_LkFfSavWFLTOye^=z@{2`Kzi2CD zlu2f8ZL(aq+gsfLVa(1GZTjn)cPX;M=>PDKf@$l1_szP+qhViG5WyjO7m8q{UjOay zkpIkNOzAMO6?uW(u7h`p)WGgaKg2QNjUja3f)hc~e{_zOd5UYxfh6L9sL+?Ol7MOKs-tl^>pFk4pX1_l zY!eOf?XJ4o`SbNQn`^8Qh`&ZsFxXK4Mv2c*q^(MqB$&v%U4~TxTtHgA`fAFl%5X1iVeF@Wso3%<%%^wpt#&u}SBKqOeQNw>LE4;3oa|4-##+ zkG33fecicAbN3VbuDYqS7g+z4?Wd{CY4PNe4k3x=MtkyCyfns=byhTwz5P0}-N;v~c z%~};b!OdR*>*P$KAKVk2!9PvX@%92tcmB-Sv%ZMgVzz$VOjLv@UZ~04AqrkTd&6n- zF8bbWsI{};_JWTT3%3_+MRSmQz*BT~cR$F8l} z3B0(Y=CszTvDz!OG(k;KX?}nTlsN{`P|Sw7aU~{~B|HZ`K_6FLm?}IJ zWp%0@&Q_rzoJl`@|6JGPCm4_Z;QEIAVwpIq!bw3kN)g4gu(EQZ&&UL`U7jCY0gxg3 znYq>PD^urO2lJg&thPQ~s;dlPsm{p^$`fudlPHopnz%idgDwO!4Q zb)fWwDex6F!~xTeqj{%xB20)ByXjwBDIwyQbD!TsELP@io>_@;dBwCFFz98lC4Xjr z;mI~=mBgtb^ZmRGa-7(7z{u%(-&OYlss?uX`-wr1#(>y|FXcD5$4C%f0HcctRMp?0cg0Q0pPrJ2N*$R71(Dlvn+TWx;&k8 zM{1#2nL8^*9AxHgz@~O9uXymTf^NWz(MxB0Lkb2DW;1m1XRwiBR}w1%tV_NRgj9*G zC%S7MWYi6GjqGyZ_xX;E<(5K`(6|n9DCVROfQ4F~ouB z1DA+I^$J3}JH)r^o*&}6_1Dpr)~s2x+uLj3YB(vug|1LgqpL(}&uq`(qriORpn z`;6+j_bB8m9jaPP8~n=uW#gx6$G=%D=#gaGZW|-;0@#_iBGwiWIUefTd!D^ICrcjNqXW3??Nes8w3$<~dM;Hu+eHw7ajg!g;FxCs^aO{0@Si-Yo2(J2DR90- z663rt*x|&y?_F4t0(p-#r^vgdLSds$< zfHXVR;-pE__uuiX5B|t9HvdQ8n)j~N{W@c2i4|C*ES8IygJ{0Kx5C~cl61Abb5(Qz zUu^D3;GXRUSRIyx1MC?QQa;PquZehB%Dn#>%-21)USGj_@NWEuV?NzGUDsd7v^;|K zI5yO^k7;JqRR+CYZxRgEnv@H7LAj84g`7eH(4Z^g4w@%y^WU~UpCK9?k((!bL z&LQ0rR#kOUnZ%zO=0~WOgktC5!N4-k)%=XXVj{hwOP#s$y`hD+lW)ouv{vhCv+wdY z9arSa_KVjS-`uA0enl#~<<|WdO;z3yr)C1A9Pysizc{k(1iHz(=2g4>o_Bo00?3pk zZLN%1vgK|MDQ0s0tv3Icj3sI{$#jh^@_#)h?~fc83#}2yh3791_7-mYsx$LKU0jVs z5?Z)2P}6SzDi%h8RBgFg?4C~F+6|S@2FkTF5|BM`p*`YJ&} zhxX$-InVJzaHFP`EaSO4_b_Q3j7Y|XM za!t|o@Y|^#;4{xr^8}O@TMyIzh)UJOK&wV&xA)z>Bl9_fQuy>+Z<2BM_r+%28!h5a z3xHrs1ZV41Kc|1B)(ILsbZ>rT5c}LvB$OHmfPmlY9T1xfJbU^WLr8SKrm;Sp-T9gF z502%cL7-5oI!P5`^j+?RQL zeCcCAU)>x>G`OJY4bwgJQE?4fmwz`;1|-qDw&WYQ&!2L9j&rEElRbV&!D-JHIPLJA zn=y12ViGoQi1q}pa>Qun{Aa04*+&|PMP>-kh;o>SQ6q|8A}h0mBX(hDUaf!qSdPna zC>$st<~9elO1a`fmW?lwlUG{pU=#%7QrGRQ9DN>{C1=5fZfP4VT-U~@i%WKO@`Iaq z`BYQNB#vfEIbA_EB~FDp95;+^Wl|acy?Z>ko{B(bcrB#*>G*NXdmJTz zdvvh@Gul|Z;(v1~P)$TGC6_brQp6iNZLVcSL=bVszjMz^3iz4HktgaHca3Z1hM570 zWPJMsLt5{?NZuF1Eoh=&lzJm9# ziLMnZQ{GHHNeZ;d{KdCajzx9&ww$NqD24uDf0cK9&EPjgn&?2#C-B^ovmcqdmfS>) zJBQO43?~5Dobbxh)hbe>(dq7u8#Z=krq)?^172mLT~2)z|SYPF#6xw}VlaAezOWcRKXsQSI&POMe{nHuEiIDJ!xB6K`g_ z(sq3obE4oA($bNUHb8k79|JzT{A|`2`oXI|`Wq?tdDE!b`7UPEFF~q9;BYWL2lrOw zB_3^7K%SGtilN zmh=4H(?v%QS$EgGve1dkBN6%|h~RQEHn|lOOSTqLr0lDC1f#A!A<*q<)0UOnd$7j> z_i_~5yl}oYE27xaAR!IB2+O$JVOy}l%qb%)uh_CT!MPDMh0;INd{ZF~wLBr2+OUdM zRj==^6A^0Q|6)`ier8E4ZN(jL*%zl5C=^i4-&H)IW?Fzj~; zo03K%J02ZP_Zit2#@FLw6XFc-4~}KhOaG8Qu2^<~r3P7Zk2Cnne78dUeck@BcUqD) zU)O!YIp6-trnY(gufC~SS*9?34+UH%;!7*vx1gZ5zdT{})He%umcJuzQ}}oHx#=oE zoHKl(u|dhm*qF1n8~Wu8_juw=FTlYpVu3h4Hm~^BGenZg9>1)B-9jl}23QLp2;)Y9 z+I%aeJhOmI0wO{4SQw&zK}gJtA@tKrOXa7&)5%W^8ABAhYQunYe$He+e^6NlDZ6*~ z&gUIa4+P7ecs`cP2N0KeC+e;Md*Nxpk-fc(bjJV>m&4J6P*C0?PW?F(Nd1j~TjhHo z#@1c0wbjUU^k+L=C8GUq$E2tGhkBS*2Ztww`X95q@6Cwa`?v7=QW4s@2!~}9Yv)rJm^y0xjt+l!( zQsC}vVJ7-BPq5G3ebyxT&A^?ma?la8c&1@H^+lW}5X2ww1ya3Rc>nh3tRbPj$fYOoKU4_0Z1DLe6cMicz9s&P8RuN2#R-*B`4i8SGkXc+uXr zK9j?72ncnE60-WmPBA)}ea!ag!v2xBK(w=+>2ZiF5EIq&^WufImP%R>!w~m)XSbl5 z6J_E@<{-BF$9g@n(`0&cVaG0f3FA;zKV{Z3)SfZW<^RH4(Yjml(Q)g=j0b;J@BYOF zwz61Rhco(`nLI$wYH)2Q3M|dUxBDom8zK^GdCdhxk90arPYD=CIyf;9SAHG(bz^gZ zkkOMs#pOue?o2Ydpwnwd4^;Rfg&}2Yz!Pb7|;y^U4o0j{lO4lJ@%e=EwsVA zGnD~#qThXm0Te%|pbdA6A1sA%dGMgBkSsocLNONj4Wa)gvC^`wW01G(ccH3o$hV9E zSL5zmIzx!Z%eG2p>bm|iNb~D|+XR{&h}rW&tP`8Ogt}M|9)5-YzTx6WZ1cY* zl@L9iEcJGZTLxvYJLvFo2o65&+BjM|AiFl&S^dD`auYPTS6?558;jpTENh;?{;aPWiixUutt}v@&IwZC^reh0GNzn>s%t@wvGY0nWWCT6*6h)bP#dT zju*If)^&`q0^*y#x+)v-dM!;kh#bTvtjw~=GP-<(mUj&Cx`e75ld1-+oZoSnnKc-w zrL)gnSXrN0#-(=&FkQ~?{@1Jp*uA|#AHaG)aa*1fy?A%zo{k@ZwXWn`!jzKr&lw{p z?$yj%Q-sPa!BGd5|GgpxP2h*nLR6=9 zWRmORj1Lz$DS#W{a!Ojd)cag870nid~iR}|tQy;xvXWj-Z z>4{JSL(Hg&8j+JT-$NC8t_tLmHkt$d*e`WNAD?(zo-WwSmhjmL=wTeuC&COr)W7(_ zf;@IPjMA{liX$DU8jF>C16<=Cf+Kpv>Ck0)!GT7~5-5~N5D9S{Xbn9h?E59oO%?zp zEN>EHY6R=3ztA3HTt}E$f+|@4JD_>pWPY;X)B$>P*k(ZBEJjHUr))lF$yEWgoA#D` zd;9$XV~`v}yH^T4+m7@x5WQGm1dgiBx&2AxH5o#fVFE$(2kLFjj||*(ysfOP=9ZAPWfDx8c)`<=le zZYyW$7U}>hrSZ^Iw_pX=M09uM3CRVhYwzlqO6^-6R2?`yauw6^9tQh4L;*x6kB+|T zwiMeK_%iwxxXcQj^ya1?L&2zXj=s9TI?jf*Ypa#7X4@c*)!Fg>x57`@=6}0b0DU~9 zP@X5|ad&VhSp|ma!OSY}%S7RgP6!)f^m3`T+n~7hu@a_OoT$VtDy|`kr0$0_e_(|r zfs=UAs*^9YkySlzeo3_PfIdZHB1d17;3!)EHtGaX5p12NVq?C%XFawDo^>&tb=%hf zWKti4Q(a}5xD-B}@chvn+JAg|BpqCCM{jBG_3W z?sYAV3pbMB@WLA(eNp=ES0T0Q=S#h1wNXB*g9l^Eu)%?&`n?%m^>oY7&6Q=6ZZdF1 z1{!ZGPrTcttVL3P8l(*0*vF~I6EKy2njy+CnfZ4g=3k3Rs3EgDFk#%wCRIpi*c_aa%}G;Mxz4X&52f_?^4nArY&P)WA#-AmC89TLYi*pVmhM`C1Lkh z888E}@GW^i{h4SC8+EbI*XOyV6gE>R(D8m(1x=CMN%7=GP!I?i6+k`C=mHcFF{E4u zxTA`mn|vC=$2)JwI)$7+lfv|c42(-Mv@Lg z!bqj=iU9SE?98!oBM1o;iNQIcJc|`k8BGy7oECxDFQ!cA&c5_T^-;-Az=kvP zJ*m1>p6YXbbi035E#!Ivy1Xm#yGBYCO+W+;;h@VtNl>Lff7f+dYmzGTa)^`7x-vFn zVh{8VH9K1lLBClE$U%~I>D5r}xjp$K@rKivqhZGT6y>LB{~g|)$?gh2 zSXcJ4y*&-kW}te52f9fdZ~cOzVXWj+5E3W8m!d3&=>#f-#OE3(AA0pkT zyj>D;hevei*O=`b5)Tt4+`dYePBic};M3{J!XlO~7K0<+P*S1F6_)XLnS&+ZOmyLW z01~&EtgPh|8YB8$8VqE^VFf*uU?|xFNk)X!DK1;qji<6AI;3jo%WP!dP;EZXbv_^! zT6=mlx2mw0%sE#OOmm(F>ldw3ybSS}`3r)he(xP8m98cAl|pM@p`L#1Ao(5lc{+@T zt62w(=LK`{rVDC<^>^9jG6J3!KBhm3dJ*I+1Zzc?<3n~jaCX@weE?57rYO}Y6iE|%(pzkHvA)vJOkp+Y4pHqNIpgG&a(NA9xe zUFW?LB1DNeiX^x`SH~N=*!DPo?w7K)(8Be5fz_-GD?pDgxt`8~Nkro-c$;QmW}D;8 zUZbJP3YF{kEazg>_+Ks7f2}iFSw*8JU2Q3&jIBy%o7kiwasYkZXoCL&5E-FHy0Q-AnX3YaoP+KpW~x(-+lV zo!Nwa4^*Kbnk`c&K)y{>eEMju1x(&BPSoLih3_Ar;JS_`S~`Q5F)f}*4DE@IqHY>D z*cS(3)c5)-3^sGVg{7p$^&n58FUB7SjIj@fln7y&bvF?+D%NQar(`1Z3#)W2Hm z1RYBhVzm82ET>q8(||HyE&F32fz$8bTYaU4soiI?z?aSMG?BU zpCi58vYLb-4Gf7t$x(4Qvg;R)4g#Ct3__d^WZ#%%DJd=X{FDX+mg`dk7hX?lvG*M+Ts1s$aM3e7NAmJ1Yp zY&DryaMcEdlFYu~WL5v^5l=vf(i&aD3zvIp<|`SHX}Y=5mOm)aX+T7bX91oDnpU<( zT@(BKqcS-7QgR}A8M$4ATw5auq3B^yk8Mlb#k@eed@CN}*4Y1({>&>zq9Lhq@X6;pGN#@(^XzY`+y#Sh&Z zlhgWw_aJ<8Un6|XFZq_OlYM1rsV;`@DFGVJ+qO;?oM!be)Cz|?9&tYm?Hrw8Ob4f zCIA%-8?W;fD__1bfQPy*-$S73R^hDaZBR&f`=E2s+w}q}cfn=;PY|`JHWT1~(He4^!;=qdsfjuc>1jrE*$auUL zX%M?D-|`8d&^lL@9yCfHxyD_CB?nRStf$v6g*xK#!^t?vWYzNX7Aik$E!)qa2#9zc+{an7A)m)=J}AtX0D`&mSXmO>;T{46 z3h*QRx?~74ye}zJ#X!7&8$mUk0ZKQ;^j5SGO8Pj4fb`hfMF~TIk5%B1L=#g1HhmG3 z!mdZBRI1cgvH-`w{o@iKvYIwZ(tHWb0~N!ZNS+UpzpzXvjdvsl(z>sn&jO2}GdH z%T&77=71yd$b+n4m>2N+3=kqFD-`SG$8TDXrz)_NS;3H4KUKynvXrIY>&C&@5iJxi zd;aYpR$cfub@?YOfWP508ifa~(~ziIIk>^& zwQ#!F1kfbXmjL?`Mw;|-vX>VgUPw97EtFLP)oXEcWd9G%cVJpZsfndHb7FD|oMmh4ycY4Q z>VdhPMy;QMK5i<542{7jlDtq}w#Om^Ab9{JmEtgTd&ntSQ73X4fR6BcB8cqxhDvNa zOD}CLBS)o>RC(eXDNqevKKT-$D~#45TZt**w4wGt$3o-hyQE`IkXFx@SB^o)%| zkJ;J+PMM3^i~M3$U+CxYbXM!$r~}OhAy9*>o|X9PZ}TvHSEmPUT}0-u-=_D#7v{qO zg^2prcTooz8Vy2>W`3wuHUq2jU0}BfSCPq8UsnOaweXu@2cK#L&J!)Aa$A5@TwkFQ zp9%xl-VuVM#2^!>5@t}IE?*l60^1vj)iV+t@4E$S0-qF#KP7M{} z{YzayxXv2XYCt{lJcwhkW@ek7gZOxMW@hFwt~2~%2V=6WyhjQU5cm3Y_*SIW99iwV zVCP}_tDf>V6-BPK*IcgeYeU`0TurcCI?Sepb+oHE-hu@+cmSy&!S(Z?a*+-A0-un6 zMKP$#IrPlUO8sFb3tnU#eE_obW~eubm6o%}XRkL7f<#*zs4b=<2{jz=_6q>TJk$*7 zT{2|13y9GL{p(bRetI4Pz)O3;oq)Vw zeR&VJr-zz6{c1CkHT8!(#e*s&((;}}mjfba_khCv+joKb$Xh4rx8Sva{$!o1z)sQkh!2=8C}3H zcXu9GvFVpHLky7Aj!%E8y7(sQM_gU;Xyq4=&-9fpTMz<3gpK_M@%9wk^R=t&&p?B; zy@O&SW|mgjRZn$Nv-yEQ0q?H594Z@8@Q6yF;yw(bB%L7bp=!>7dVjIUi);I(_O=(o zL~sr5Xwl;0Rx6!-ybz@9vee80!^(ua%mg{qO^=*qGb8Pc!<5+<7<-pflKxzHHVQ4vjW-L$uJM5fH z1Tw=+kipFYVHy*3AxWe$3K~FU@)i`ZxevkxaT=IR7Guo+{%*Hx5?-M5OnvxtWJM_G z#6*EGHm$T2BrPpLRve@*oI7=(bKQ#c*O5@}{ry2ejEIv*vlbU!L4?t$9rQC(VIcis zM#drdBgL?#%Cd>T7IhxP+wlMm?f~Vx5)hC+1F$S0xL!{;T(EO|jm{T3Um~?o^mv9q zRIww*RG9+v@(0mLLYjia3D~1nfDhIKnaatS=#Zb0HYl8fOz7bsvND<}sREkIVW>s5 zL*T4t4$Dx(sY4H;=Utudd01WZSYVk+M^b-!!0GQl(WEQru(7fO8Jv9R${>mCDG-{z z%aFnYwsCe&M&j;GNd_1ssfn=5a6m1};prC%L0i;k*jQ!iri4%O(KuOR20cKu-^C;L z)f{3w5)Ods+2N(D)sMo-M&Ax1ouYP74b1_{bE$#zyQd20VWxAa$tSEB8aHa@ZTS^r zCj-L)5w@fpkjs;;(P6fWl`o`(fdueKq9|lAMTmqNJ?CbmEwg7&2d2}MG! zmi@(JpQk&JBm%%6*m9s;M@ ziR-I1+FQ=N%0;^@b`+I$VSHAG)!bI5U>wv!{%xVts z&Vn~J^7g^Em4f5Z7~6ZgK3F=u zD=Eh;+Yt(VcDn$`&&j^K6)qZQ*S*sG4zxS$Yn=f?cgT9cjv8#2fr{?68m+5_q7c?!<<}x(z&U1JhvoP0cFvJMjE>VHBoZK#BPd!nF&kA*r=j zvx8gzjlkO&?`Tb7tOQtAd2%=yTRYj++6y40x;=IqQb_L%P?;Grg?|8zwK;tZbik?8 zO+C|=ZlF79$3+h@zl27U8%Y2u`iDPK&h>;!<-8UzAned#_2`-3nO83@SHNCK-3?Oq==kbH6!$)9-iZa zc&?f`lIqq*brV5ysDuqX4gedd1irsKs2!u7xb4ohu-S=Zi&$*Xz$#Euw9y0nWA@?4 z>p>%v1EQNeozNj;6=b!G=ZuC@E`BW}sfEZuSzArRv8=!=RJbe0cH2~gebw(Ed3qSa z9?)I=V^+WKifRXs*F-2^PQ1!m4#(1qwrJU?(Z9V2cv5yIkCo+4^2ams{ zhC;isVA(I-uu3E(G7nA6IAnW3PCyA3AoljmR~sj5U{C3s>EY{9EmNyarh+Qri5|cZ zX`Lw@?>M^+!8yT1Z#;Sv&|2Bf=!VaRn1@>u7yYWidp(Y^=Ng&^3-Qi5pcz6KFHiZy z=Ve;7VYacnfi1!e`1qjXwxjINFU;SIdY&v+$(2^m&6I=m=pLAgV+Wy zdNbIAG*}g6pf845L6!f##zGy{{TG*=a(L$6PtJoTWNbPt=}1A%vi62M+r`hV!mN>a zG-zmvL55t=g^TWd{P=M}>DJK-EN#V;uV`tT4qa~10e{?-pxeTG4e>#!apA%Rxb0%a zNsu@_f+T2ZAiOLnPM*o71Fs?wA(|t7Vt>F;xm7PmP7Q=FbCbc01%q1UBv?c%*x(Ol z2b^aQA*EeyAajHo#u-6gDYM9}u{(5`wD{culV!T#;V-kjl0m>Ydnz3kQFH$f>njS? zFT%k)jO>2g4ySBf)V@uaxr-{(DP9#X<*Q+1FRp#VBwlj}Z+ft=J&&qr6829x;m4pn zGQ|^x*=u{vhR;dE?YNiM@o8A&S z=4U6sN3$<2Sur^)t#)>P-(Wv!G&f;%QDUF4W61(cHoD8rM(@o#yZ@nC_3n36z(W?D zT9IENm9codJU~O+5?O5azAY1YFbFZ}>P<6fd39j1P(|xy7*wW@HuQuqFf@7jTP$G2 zULsV(9SwajMPfk5If4}rEZV($tINTJrJ9vTRc7Br!q8Oiyx?);3kMFUozj5xU<5cu znedIdptwA=-TD1Beb;lHcJ{+aV;8O88IY@iFrfpv5Ll6%k<3sVA?(%QH%}Ief&KY7 zgh_cIx8?!Es$o-aB8_s9M7AC%xzo<}9)#A@Fmh&iXBj8Ex&R4xPyJzP_2T68D2uUauV`@5#(Y4pqUi(dM%9BhL-n6C{F$+ zA9Ct~-onRM`o31``p(g}b`=WRkW}=j`eGJ`XvPhiOr5@l}%>oq~rm^nl1{@;KgN9E2~y8;O$y`XEXEO(GWo+54WliUgB5Q> zL(Ll3r?o(o{fm@OqM|^G0s*a0*hB)2z}_tcvLzh&VUk-$aoxtmMP4Rsy{8dBvYilOEr{Ye7 z zMr&w+Bf45BAx?sMK9`#id!jmwfleK5tT$4H2?B^qmCpUK0oVTU*f<2Tl(aNsh%!m_ z6TY}Xf-&JWr`bhrBO+1!p0cR-4#A-P4mbi z04`CS&@*jVG*o+`e%}+_)E5yHMO0n+|AT z5AGxaX{9c@ss=4Ylh_h(UOC`>Ef`@lQwIQ-1`Xf!=l0QwSMa8Gqtg*^Wf$XYs9XSg zV!wkyOdMpBh$Q9Amcq~=ZWl`!#t;{Vl?ZAIr@;(9$eW+~+waz}(!6uv_-J)L$IYi? zyEr&z`EIJ!=-}HaB&gU@II&S2>>!ys9(x={Ud%ZF^D6dFh05=&Tyi~Tvt2m7fS-ST zMiAJwNlfjh`y?bJL=tc@ej9S5iNNh>7+oq)`h@^+ExoC#iXY$9};?i4c2Sk^d%w05dgK*5aTy5Hxr+y38Q&PT=W)w)l`1 zdu9wQGP@kT#vX?e%_NPvDOPoyn!(&OVA>!n-hi0}4f;D8;ge}9cWh_4xVco-QE8;n zvX;C%i}b zl-jUn_yjFQA7hV0tf@ws5?M>8PH`2RPml~bQuY9=NG&Z}11>R8u1F?>1&aKfWRDjty z&{GQtVNW1Hf-~_QoQb1lcU$i6GKOnA3I|rrQ#{hi)+tnc{CL)ljXg6u^+v&27T?>^ zR32I#%AgBr!gUnI$qqWdDJ9gv&SFo%MeleZcgp3JWv|`Th8Fu1_YZ|UmK_^JUSpB zKKw0<#i8R0I6aw=*jZegyBN1B>Z$=olA1^n5| z-QEC2rq7S-1tc1Xpctb6kPOX$0`g3rWU3iZO3|E_6;n^V6TB{x2SNv3L;GhW_03
    YPykY zPqeKfD4Xmm91J>RHL4QNn8~aP4+t-usGG&vpUL7em6P98b@?~wx8OoOdKCI77&7b*0^jFb4xI|o9i_1Qp!-VkM z{d_sk(XS1(t?zSmv}1|fky`4Y)=E#D*Gj_x8>O(6(Qkg0rX`oZRsTIH{Hz(H2csg3 zOfc!sO!t0FG>VuNn_R#Zx(+&I&)Mh>Z7mEBDH=R)Ft_vO$Yvj|LxoN9lZv&{*#l_- z`$l!e<+oLgMVdEb=i;-BKzBYi+f=->B*P*;8)hhE!om}V0h8>gO_!JFRLd%=8=0t# z@JAdm;OLsd@7Qv&dq6abz@A+laV!@VJpt!9B6+sL$}C%XrldzfUr%ZFca_~=riVN` znXT+(2Wey)E$wAOHW(|W{_v@g!NDeg42Cfsr5US z@aBPy12Y@#JkD1_Y8YdiSnI;2of~K%yoX@-jvDerV*-~F7Jy{VbN$rS>rHB|u{)rpuBciKKLj^2Kfio$;>%~%TgcS0p*zxa{f^k|7 zWi~(h`rW%LtGB1^zbiNIPJjL3)S}S!z>@z6M8y+l8Z&0>&*^j8hx`Uy_Uwq;AtHZK zPnVNjC*NjHOc#`{2Q~%r>1E>JZC@EmW;@Q1(&if00$@n>qQrSbuvWuok5Yr8_y`fx z5z(cvNT_lIY< zr0O2rGLUwOvi#sym5q}o-)s!Oy;B=rQVr*SlREPqdfh0}M)i`l;b$LspLPj;dpr7v zOp|YfI`AY-0o1I(82wID%Xqw4tMbKnTaEH5@V_n1Z+2^`8R^$FzPLF(|LcZgE$e%0 zt;3dGxVJVoIkDHx-7G91BL1EWD{Hq_`t*Y%Zth(z!=GN=@SeRE>mG62!y_I2w(Ro{ znUwA567Bg9IE!CbN_}%nOM!sV`^Bb9#Q+<#Z7O(hf3(Tl=5)k)&CdOFc`F00d#qbu zB*RhXhHgtN|3mE@#ay&*plLMm@IK|{6NaW7x!Ql7W0bBnH!eshqZ(i+Xt$XvENc(WxWmFS{a*MC&+knIB1>Ew#)Vn?H6pe zR$7O7lm7Yz*|H$+aPOV*J^Y=I?%z&2)kHdf(2MX-T1VOn>m+NDf!;AL|INEg(xFQo zU5oG()6Ju^m8P4$O63MA@Y*XQ!(Icu4Fl0u>B@;W$Lr;+qmOjyT!=nmHru~~pSB`) z?%mH`u8r_zl8L`xZF{)_v)kNp-(Qga&t@zQqac{hv8J5fx(~aJBrLM$?vES0cmEzE zX7-lU<#KLunwTvNpP0Y2VDZ(MXz3n!jM&S(hUylDVG5f2e-Rq0nNTQr9>z{Jv z_%B8&_g*RTn1`GC#tNx`>J9A3Jd~Mj*hR!0RICx-f#pQaKTuLv$d6GU@T{ykp?YGQ z;g?fqnPzdYD7NI&u)<1L*FCom{(91u7!3ci?97b6*79r8hh;;rg@^oT2W(D;Uj~n~ zF8TPc2AP^&0zY4+i8E)wVHu&U`FUo>j5 zp$!WMmk{&v_WtwSpFaG5jjp$j^*h|98Km>rD|c$<@$#)Qeuv5MhSE|)NwDg0|Dm>L zk$xsp%g&)2q6AJv%wZR+roC95nq68|9yUfK_0Ij;S|kI{adJuOA8##*&=c(!wp46d zWgaijT^Y1;^TRB1@6_RSF20a-l%g@ajJKKA7c%zGZ?EY+Sk24!UIbW(Z>hIG-(F$s zsPVAxLSZY>h!z=dIK;}8*yrsj@XdoWC#ACv4 z8&^qw^Y=@zNN7jBkG6vS(#3+sS|a+ertp6FWlZq-gALW9!g`O&`pvKt)#hL|2d<*+ zI;(`fdV2ol^wjNEZ{FGMyEEt0G{1y5zPynVjT12Q+K*$1cV@1a&e%V8kQ;xanM^t6 zSK-+5O|U^4>v;CpL1}41@r`UCJZoK2WsqIbY+9_!LS zz6M4tD8OX?eO*}}UQ=v_U6zy>lWnOvz+RhnA_3bF?K}S|5A>;R`wQK+X&HMFTpMBM zdA@lTCz-l7{-4GGL)UW)TrM)H&dC{5&%6>yjTB%fa8wKJPFS=<$MaTJ>(vJ)K)fRo zJIG0I$K0<}@9MbETcdtlN>c04TI-xPm?jM#_3OMfHpZZ~`n?1Y3Yo0VDN(Um|?S z$OFD^&jr`Uoi2MCE?1L3sH6Yguum_8jl-g{(8kHQP}jy`l!$-aI>x^tii_WdJ&mza zEw1E>RqrfwPM166>|?8bnKAT&-?azGn(AHBr;O|@Q(EiQO|}sY>=rYUPVj5|)pTT) zrSgDL=)wC`Tl2A^`&U!#wym<;cIT;fEF3mnJJl1&R>ME~v{K|f=8ceLrx`ujPYo7o zcbU|INM zn&=HJ?eHP<%iBL#ae*zY2hkWUO4<0LXy-ehbUr>y^1%#sMaU`cad~5@tiAV=#YxXLl2V+ z+wUBK0l6kM=hFcfqFIBKd%Wue?jK=78IYy->#qS~KO2+_x6S)4el_-6AK^JO+4=pmglUcTO2wxGqZ^DS`qo~Ji`v?z7RqDT#X(2m7BOE)=KfR#Yb z9i0>iYUZOTbf@F>o6*Abt;o%o4`=58^}#cLSV_2Lm1HyYPEp?(Cj4K|ujrOP`2UYq zrE37aWK;($)Ar|8jeCzv{^KaKN<9?g@6K#ql|#htS;gCt)y5H?OY?tjs+t#SVR?+) z>8sp)uT{~~P1&<1=ocKN88f1~h;mid!$dvw+Fof!ZmpY$2aq24+;gB1LZQ7 z1*9T5X=x*SzszY7+BkouP1wR=i?g+GO%^}uo4-QU?k8J1#=6Qlh?tSluRIR z5jHZN+5Br#@cE}H~b;kN&a$v0UA~S6UbCo zz2TgH3nF85H80=JV37z#ls$!JGkxrgpLHC~<=G(=}2*01dJLk)wWD z2L-{Nm4BUmYn)aJScCr&#*DXLV0?J+pNGfr(uc6+FZ;62=kAQ-;g zng1EM1egZ}SA*RMMPbhEWZZ`k51E$=XRyFvI6CO1XFe_1-xlUr1(7(gq{8a<;*Z)& zw?PA9-qe+Wax?z)cQ&LBWjp=<;uXB%Lu4!9W9;?&v|Dm{Oi%qhwp06v630P*Y zZXf!iTsmuF(k`IfQchU(GGBhzrX$mW7WI2OYN363rs4KhA)qsRgCucqtn?DcpXaBE5^1;~}Z8j}Nk;{Ax@*!kNf4Tyb z2`SgS{os%3f)QD_zc52c`s{{3qwDK;5UTkW-aeNwHfWh0m+i+tb5fR>c`3@IX|i>X zwQOT;=xMU;T*QP+Nwl+pjF?UjN=wd4S~47=#WM()S?UYnMi_G4&`O&(3!egd@TGC{ zVZ!I`*7SD>MUqZw%)87B^DYw%!Th#C*ZJ|}!^h%K3@THH@SYBD=6h>FR4)vJ4-iT7 zH*E9+7*?NBWKnD(teB5YKV<;OV`TB)k(kF%KIv#N z78?Au7{V%D8azxCs9zR*3SlzRm@*yJm6k>d^hp=F4{zvwM?)_h^gtHVG z+$VQ7KfH)N@)y}53e}MqD(4AJpzFUU&<3|Es9N`F={|0op?m}@PUpFEOiMAP=W6e0 z@sBLajzPfeT!xkpNQ9zL8g1qeJKHwopENLhA8g_KExl$PW^rxsP*DIhA6BC`%oi`_ zBkmwNih9s%won|1jsQpYf7<)!cH|Yn`F~V)=pZhA7+SfZ zJO7!@p=VWw@7=ivGQy_ViE$RRYZIc*ZFZ4Xm#=FhFn|6V zx)xyW@MU1={UM^NT8O?c#32y8p>r{Iz#JAc3B8jaU=r}Ss6w>Q_TFSGZ<|*t*Cn4a zme>kc$8~mJK6JC_R&%T!tz15B)Xwkxe8*MyvTk{p?nnz*=6oZjhHv`_R@}b3@1G~A z^E1A-Ftf`Mro|p2bYrs?#V*0j)5I?Z7d7Xyy649tA^OVD)lFg#r4pq86*|UPbg8oz z5BJ00MlQVL@S~{%PH2CAP9FgmYA`8*f+?rE>=OWC@Ojlbc@b53I8QX|(y(27qPuPw zH(fRGT~<_TeD9RBd|KmXuhA~HMHNmg3m>;>6`?YHvS{_hC&C%8G8innG8vqL*KRd@ zfNodhg1$o#@c)a-^?h1q1EvJ;gzbGC89`{I-f*+~0IQll_qv_LX=@FFv}y5L1pK|+ z_w3flHR!ish!K(QHK=$gMthYV0U7jM-%&sU<`+=jxX zdRK>7wm2_q+7v?*9tqB!6mi8ReLbV(tHVq9i>FVhzEu!!O_e!{Wpk{j#r8C>oTIbe zZd!Wh67v~$O@Xmt4Z+v>;(c`aRc*Xy-x?h6UnAvF@0ph`pj|$LbeJHKh<;;scv)}8 z?`_{dLD5UTYNP%cKwg)Dl}yFXxZimGYinNHmMuSA{ehabI*)Y7cl)s~ry2cqGC%0` zU8kYUV;PTnM=3wO@AUVDPYO&?^CQlew?A&* zo%ehGv32`qn^HHM&q`^GA|IM3&zf{}9RBCL{@W4SKkm*}oc-ZcHg)>m_SWX}OE!wG zB=^1(^NzT(dOZFfdcf#}l|>D2rD?fDx5Pf^L6yjDU%IH`KWViq92i`FJw^4F+&f$( z7RTs6+h|-_yXMqc#+=oQ@^!YCy*xj9lE6A-&!4(tv+2)%8*#_LRMDlVjSlJ_>OAks z7x0>^-=94z+>5e$k3HNRaV*XTecFouq%{7>cwBlJUX)W(8oAOpx5F6}R&Df%=n*@k zn?5OaHh}99g~DINJz>aE_Bb=3jW|PI)2*zVI}`uY_H*m-)YJH%r(?gVVdPNSug5%V z;ktv3;mZEr9Se;O1I58^6~gH;l@s`0);y{msm=d!)a&kdf&_)aQVdVAb>NXz60(Q4 zw`t27(xbI-84a%Pv$J|XX*bkfsU$M%{Ak}{e(pt{+*U!BkGt#KIc_DnjrU}oi zb)*vtZ9Rw0zsD|b-`H^mUHYhoovDpcaYNw6E$rl*;iRUAzo}mw5&e8ZtohK6s^8#^ z_{*RywF&5I9r}iBq%vBqzIFSKkqcL@!2ft18z);(?_pcMdQ)+4VY|%9u`x9Gc%+^) zGcGyfsqvw43&agGtGVxFySSeF$@k@9S9Lj*+$fi{GolS{^hj_fguwraoPteP`b0UV z+nt=!qkDKt^)H_AsZUOspIZh$W=Xup**7?$7w6}O&rFAVJhA$hvwc4;v0iR7&K~aZ zc9S&|3e%cCxf2dqbiziS+qQ^fnY0&M=I*Zeq#bTA{H^e6d&ijsxW-hgSEJzG$889f zx5Q1*Vf`3ubM)1yhUN*+DCgmq(VHZ#0hfDLz+b`(pPMVweWR0b{a50iz8kWwF!738 zM?kk~%EFX`%GPERmS=uuN$>Q6`@`zvQg;1p8(6EqctODd&d!8rZ>~o}hiB74KjA8R zyTYyTQoe+#x}2uETIW*o z8rL4Tvf5~}EF*m~SEfJUn&^F(H%qiFKWm2DtOL8tBb{2f*||J@WBSBuyZcp!ArCE1 zd5megK>s5xbLTFp39st1OkkY9;thYam&FRxr=sz0G7&|8yf=m3^|wxPT3Wc*Y|9+8 zi?gP}?VJAW8~Sb+tJRA(Z(eG40dBeQ$ZSi}Uzg!iLg+@5IW+i`gX2xJ6jY=-dVO4qyk`A}ZBDde(VDSX-I1FJvR#^a#S41kBB z1%UO+IH@w{<-VFQyPNGB^V^HNgei_Kq1R>`fvq;3yl+mbx7=FNW*d`EHcvPz3hKNx zy|rS6Or+RCdCQ(Bu)PBcv@U5ID za$0eq_R5(S;n|05|EBK9xnHvv=ry-@=D8;{2Ob<9;GD{T8MUU%_+lA9_hp)(sYY}_ zPoD2|4px%K=)|O0?LAYu@V$XoDS4eb(x~0Ia^aIN0)rp@+8R<8JyM)pHL+Ts1^@LO zSjeb&ygzgz6RMAx@8 z)pdm*xN)Wa9GQQ4WhLCysI8;m_(J5FUBEGhqZ10v4g*Titf_6s9zrle(7O6vA^&xG z>qzwWaMHmX8m=Qd*6m$xFbhs8u8a8iLtuJbgOku0Y?a#hV+HzsTw3;ghD#+^F~^ij zAsy-lGse%%PM&h=J)>RUP9f6oo1u*5+CQ!;PrQPBap0D2a!NlCJhotTaC4@2!ZLZ7 zPlLg!MYo#oF4a3UeQU{)rVQ@}8E=xec-h|Tt2(EH14?CM3eL!F^=;1ESui}NuA?xVHD z)uX)Y4Atn>T)1MK&!U4;N5G%sXgDEJBjBw2!u93rB(2987~V`Zg1?eAVrSF0RdYXl zh{rQ`INCW)-<$XB-Olw+RTnLNe(mbMJg$>!H|YxL5CbZT<`AW+&k$muqMQ0jJKWT> z67)%Ji28CE60 z^-h6tx$7yzgqC}b#tEO%w(XNu>Lv=@10N+PId3bnD5*@-QB>}{-)ZjB&A5N-cA(k9 zpzP<;DXJQWT6KLn7>er4Nq^7Ttg_jw7ZuO1)_@^u@%$f&r262yk+_Z&$9WPz7=Yc> zg~g2n*LtY{VFrAb;rwb2Zm!R0{2HVvNV_jpOIs#v>jMdc@B;X_%gf6Hf_Ibj{w!X( zdfzFd_^BZeep=r#er%g7IrMZ1Yh1Zu;+xBv&Cd0^WRGL-b~?ST>1H(*16Z=|1Z3T zJ~>LE-(i#&M+1cY4IKmylo{dE;MxEQG!wvhTq*(QAhmE4;gXunHn{rjF2$%O@dX^} zqWlR0|LAukK5&D)&8|)y&U7`FJmkvD3v6pW?nE@G%GVFclaS0ygkChGZV*Y&Uwu^*)5cD$PKjr*oBdM0OCBTCTe6HM4n2A! z(I9>UJKQOOpTEx6obAfYj1Ccsl@*-4DGmX~aO*Tk4Qc^U3Y0?Bm7-O1>PQDo0Ixv+ z?btEnB(4#E9$hss#EK94Ymp5OsUSZ?dnovq3J_Rh!tGrTx$@a){EL!3`uauqj zWe7|eC%na#)=q}c$^ep7q|eggD_`W<)9C&VqEx_+-i zNcF}C?c*t)D>`=ZyJMpdI(#8a&CF92TF;=*o!1r^!lggpcvuJ7OsHa+<<{MeOl^~1 zOU}rsgXcs#Jy`s|5vk5Fh&?0X}kdM3uUrkLqA3(@b$tB$>sQAfKd02Dnar{qnPIo${q zo;@daaqrPv_`{^v<73vdL#cLEP9knh*jQ$Q>}N|}R;=8jJy|EQ!^6ku^%trRj&d7J zFD^y}&#N!B4C}cJ*9t*5o5ejcc6D2p!fQeyRIT}2;o6Qwj%q-c<%AMpxGfMr2E3%H zet}kq1R@Y7Iu6B-NIv)~)zwZsOZ}Zds>w6UWU3;OZF6{7fz+|MFXxJWS_U?zwW&2z5DfUG2asfn2Frnt7x9|xh<(^uhg zrE4L^_;wIu8KyT8Zh>MLEd0hbJRk9+K05VDKqbXE)8-$>Ae0H?xTXnBr=KqLX`C18 zX0ndPOH`C5N<b9;91AtTzA|Ow!^Fg=8qv119&-w$#Wh6^DL^u%O zfZp1Z>qCRDTQOe}JG>+b(6I2ThT%lLoC>d?a^|<&@2{VL4`p!R@4{TCTCzJ&Vlr<) zc^;??c7str2WF6DZp(@$XVz2A*gu81E#q6UrqVyn>*y$@*=&d(YRC~w={$DtGj?kS z-@#^Jswe-IbPH1b27vnl8I-S66jZ!WF#vrGiWL@?;KO>JfFOW6PGAd!<@>3B=!DrX zfZxE$VE`2L1J;gE?+iD2c0ZIc=rZcV5BtC_S?*W7$&z+kyIlX%PsP=`3%}jw@{K5S zjKFhOg4o2qEGB8ip}Q(u_JUa=uGCajUsda0C9ixhTbcRbzp)j9gn=XoM`be|E*YzS zAPE9nvCNp<$I6(Ynz$nPN-iqwO*G&$K8GTGR7&cAJUxhj>X2!zJsr)g^Wh6G`=7S_ zzH{Qdj6DGXF6GKXvwV^~a#mY~$yAm%#XE*Y=0?d~QZg$Z{-Ut2a;mA`x$2EkAob;4 zZ#vs7H|RxY294)myPDoJ?r>G6LRVmoWIdz@{tsbxa_RdjUy~W>kER2|{6NG*nj$V- zfDYBT@)al#gdQjXq>AG#&IaPDxWigq+lu`eyL)}& zEJQ}|1>C4trvbpMu0WlzxJcAYj1Mfy0@@?EVx*E|pz9~k zN_Czka(?4p+HD|cCtH$>@NLBQDE@8EW=`z`GnAG8<`E|MgCjQ zCg)98$(gFd0PY5{T$|-pgZdkoEEb!rg_|gk0rrPvBwQd7cZ8aO)4_YHrR9tc&}dSOq8KrKwHy8;P$DI_sCeo03G z03bc)_Q^g;7-2M&pnja5u|%7en*$^ zi$0Oed~FwFpg^(r%p>n{LPNpLRnOTvxknyV|K@MM$fko~ zoV$%r%F?|bsC%)>{b_}j5N3yKVb~o+e07@%U<_&{4eZZQB4@QD0fN*Yuhpb!P7hMi z;wA|A@ZTNNf$gi|TJvXjP~4#dHh?KL11{1srH+Vp$|?t8cQaFwC?zUC79Wl;HD^LL#GkzabC#i`Z*2YBchr1<^M8)87bJ1uV6L4lQu| zHs@&CNHL){W9?GXZH*{Sc9tL_qQ3wQ$cj?#<)scBO`Rav%knL=2!@N)PESpjNuxjX zs}V!lrZ&Oy-xY8Bnhyjb^U6X|&4Q;cA>eh;4&X1+=J35omZ5~^ z)}Kdn;rk~#C8+;;yz%eLecd9bbK#5t+MfPW`5=&==)kG(Xcj2Xt%|vSdX2H1WWMTB zoiw1kF0{O&Vi1=mY7Hd`iN$6bMV!pSs@3BiYSoHSzLXF`24x~>2WfEpOnE58F-}uW zCeSKDO=L}57Kb#7U07bAc$P6w{`iAX>jz2$cZCt_TsCv8plxQ`#6#V*Ib~8+jI+K2<0Sc?stz9V!3)Q%!z*8#${Ll3ZE7sv-e@^BM;-nFe* zy2w9eTRRHabws?58zkk|ITbg(v^~W-b5$>B%6Z;h{_CDLrTMBIb<$o~?o)$S+;DXd zqi<6yu%*3Y{s>1Df5RHljp)LFQ1~dgHAMIV&Ohp)R!W5G@D+vr)YF~i)X+4ckH0HcPYB#A z5=NtLX^>@2F4MOx{Jv>?NXnCiZTH?Zf8IS~m$3uvb_&daXS*V5_#r{f1O&C(AbHDR z5;77(1@htT7NQIw5JN&LyhdX~Jv5|JlV#pe76>E4OOUGRq^wx=+bpSY`{TV9O9U$<9WWf(c0}_LQZ9Iq6iEDPhU;zCOt`~q81Na& zUHPlSY@GI1*N_Bv9qJixh0Q`!jetjn%@o%+=Of;JTMuB>jo+A0KEl+iTv8^fsB%N2CH@Y5f>@K(pJ~w9~t&!p=V}asngK@)va% zSAEh4tG{DS!8nsvU-mFiUh5GmAv7X&QLp}?n0Fz~y9z8@^3HdIn@A`hH(?jd` z9Yc4Tth&^)YXN2Fo%Jc78_i@3$``zK{-M%=`)YJIMb$`G%vB3zAIgT8`n4C39Yoz; zP}4QkA2K6ZQP z@1#b0>O8n0YoJO7+uj%0XZUp(uUed&)48;;;YwGWo6q^szwMox7waBy;2l!X=?2t9mbo&hL1(d4Q#@8th4v$!lgtKsU0DFHGx6LWb?`yP^NM73mt5r z>#|eojHBr4*c5SOO*zdslc^wr@O1ir2EZGz@jP|y;;OpH{Sk0f4JaxUhU&tgPx*dC z;MM_mn}^aWN<2K26ut`#h$KP=#=9Z z%U0Tx1kF8WHb+ART_@;W!+`_RR(T9GMj@qefbgNu96JeFE;KeN+@h0#o8njGn9{yo zV1}D+8X>>Gjl$ytpx5sH<_KMu;g-3%E*dy2Ia2lmZn<&GAU`rIJYS9KO80#-PbAOg z_^7MD*Rcf++wzfs_l)yI{5+6W^Lffs&x@7AJCmMdrn8$Y!3PGrV?b90*)Fu|_&Y!W zX6rNx2I0COBn(a4iSSn-8aU~@?YI$z0sv%11d18uxPb#CtnZkkmXjJ3L+@>P(FsG_ zMZ<{}Hr9xdgdzL$T~MH6^RQQHJ*5deO709Tbz&`0(gqdDrw51<1=Xb(*afEL=b-)i)Q zI>8J!gLcDeD*BlW2vWEF+`9M?&!uRc4Ui?)do4))MM5?2BXF{h?Md%)EhW^-^dY>p zVaF;pwm@AL6njdqANK#Lg?G_$%aY>>$T~=Lu>R!lN7tac$$%h z-)i$rHDXjBbkh7$ph#)_L~6kUDLI4H_FyhFS3zgNh>>LR^^S!nKG*<}7M>72UN-K$ z%MX=K=f)LC%Q%X%bbr}@lQ>5E;{F<8L4~*kh7CRvODdPh-2j{B?*#|ykw|Egt2;Gx zksP(xv~q^pTdM(;#HVF+yxPW2H+@R$*T)zTm>kE+M&f2Zvs1i8cs6ZXM3rftR3|lz z_qRJNjFInc9@&${U0g%sG3AF2EVWxzjN;H-T`%XRAr2zWcJ~U|MKpFX$??+#xr+0<#&P!qBpMAW#?}h4 z&#sMbZx%kWP*R{a+(^^BC}7}5l&o4(utDu3@uGd|qP3txNNuA5E`F$~{WHD2j32USpe6 z!Ac<)CGA{Mz;0sf@ON$WIhTAm>#(x^a9cl#4nuB=tH)X>HB}(Cu(Z8kt++xQaBY(m z2Eqndgpg9BesSaX3T@ZalWt(@^{V%c#tSgO>ZB<(?g8|R{gtc%M3a&8wd65c+#}u zRy*lWh_aW0fFO?{<>fEkS!rpP-dm_lbJ@qJq>6mTR`9JhZ?b$sQFT@+cUEQwS^)Q^ z%Q+8k(!rDg^t2#oo%3yFzNq-L{a|LEMEbLkt8;ELap6Ek}b z=8Ur^!wN-k&aHosBehmSK{aL4AZEaI0Ul5I9*U)5+B4r*B5=rrGt@~9op~Gz7#yi* zIQ-b_;NoTX&~=|M!_RTVZpxc z-!~g9d(dKUR_}Raf0@Y(neli2^M&pY6Q_RCJv~yI(TXg$0b>6u)XG`82~)~`tsLy6 z9&8yOAgU1bj35sM$T^233a&yUq=PT>?>5fyAS)Pu-TS`1{S{kn7KdP^zhP%D_`?2 zItSZ3j87Cx>x)mz+vKY%gx$z5k7&{V47RqTvbqw8iFbLD#tW#!+ousGLmP#^&Asjo z%Hi}}m!kI;nKN$TV1ppMeON^V6S1boH2K1O1|h>}UEh6{2oggT|9tBDDv$ z^{Sf26SduU=pbYU5Od@&8)G^YMFXR71BpE@@2zEZRv}00)Gt7n|}6D zq~0^yoDF^lXCHMr+EJ_^O&AmT#!JW8$Cq?X&#KD2M7jB{(n=&NG}#&QV>f42xv(2n zR{|B2_Zc1RNFG0)>Er2$v1mXZ2>O`$!vvIo0WGX7r|o!PO4Iog>prsS=hcIprimyD zh4*IAxP^KM3M#wCvzIZspT&0O)wu(~9gzBe=@qEfeGQtviZd(z4%_*cN76)xC(mde z`U}^Z25i{#qfSU$jNUu1#-TxtJ9z2rb@kp%nRholp&IqE)z%_tb72Crx(#F=wbw{J zVSt2h1|i+iC^3?Fx0j0#9(1E8Dh@Jrgc$PUJu^nj#YhGP4naC{{NCrp>@=skRwV$W6A< z?;D-qE!K7davC%~95t`g$Xr-jGS!xXMD#smOxC;^Yj$6M#DZ}x=wi8!%l+SKQf*8m z8BTMQskfXfM&3@}oD_UP9KY)B(gMaip3JM#zn%rp4FS6X8_jGHn(iQ?6y=yWaFj`{ zfdzYG4Gj4Xka=jn2-E{Ls3JI>eIiEGEF5)%i`E=aLm14IP+CCOV@}UD)#q=fe7Gq* z{;)%h&tlsrp)NW(DYmup@!*7=5y3irt$!J-h)F>`{HrTHhJoaeU(O>4qNApInw%F^L>T%cMf^g|O z>$yFdW_)V2Q$j;aNpJuOIH=Ya zaH9u0H~QDQ4a)Qt#Ki;)>(HcSPfoPa+(GUyP!J5-ZS!UIZ>;R;PK z5{jBSP`*IYAa+kA{*B9!#xn2sXBc#yhZohK0c7ZBi2RuTV8YA-lX;i^$fqz; zt?W1?*-vge;Jx6V#kccq>XtYpXRqtBjZb1Xm!{$|hhP|U5PIDpn~R)8=S_r}b=2fe zPxpq>=vSSt%seM*hrHUOhOXqQp2E{_pnHflD`Lh$o!ew;&yM@-7(%u}{aD1T-6**+NQKB8YIdprg$*K|>4Eag(34_G9vX)% z&1IxsXM$(*?$5BtKHB(C5s4QHx*8Rh68nG6Fjy>d~$A09aKHU0%&d z1WxrCu6_18BKXBJuCBt2@WNuRa&MZpq%U+V|5@fQpDt6mkEnAN*II-Qos_+gqe zecR2R^6y(bBE*v){wy*cOBH49Pa7xmRaREX)j~Yc-NTWm9+ha~{0)ctW7Bb2zSzgS z`6vuN;?~SSjZdpKU_n9c(Z-xb1GKuTq648@`rb}9BPSi&dji$lqBUjf%QOD?HKrgt z!6xFXij`0H-MAOC>PcF%e6m}Vp<}yy;59$7eviU%){)TD?-I=_o#xypI|ft*EX%3j zODHM@N97F<7pLbEfJ!@KuI`Q0#J{JJjCKXQ$Be4ap|5%ZlqSL4SmaINEm3O<8iRz| za~kJbs1}mX1abe`JQE58L^}LPB!#lTi_r+mKyo(3gP&U?JD-sVTVGQo)mcHSxpQq; z{nW!r?F+!1!%t@@%*WE!Sw1bf6G!&DW628N%6|EIfO(SPsoRF!*7B|YT#!}{o#`<> zHH6o~*zOazMfy-qedUz7l=_XuiUR%%3VOE3F<*7#ndm`($b%$MqCAD0F2p?gy2Z0m zHbb38tS5BrLfMU`)exE&C}NYzSi>uf=GD+blswB64njwK>O4N;RM++g*j_X#NYTGH`MD-upwL~p^$vj(;peflw% zc#v(mjcznWF6_BJW?ymc6@oi%}| z-MfqGpj7?h){!b#MoqeDyRC@t_j1C44&S|=J;mR8Ifq#7`J%x1`k}omm$k^u;={;( z!IovIj+v3zLk$h!uK`S8827<>!+wTsAE zIG#}r+E&l$uAioC)lq%-i-h6o>;D!z*=U_Bl3?sHtkVQT@)89#)RIXVm-ax0=E1L4w9* zAO9&Ms1pVDf)@K}qv(5Qw#62-*REBSFXcr@O3yj4?k+P6vE;YkazC?O9{ZxFJ$YwW z16{bDv*Lm3R_ip5WEz2Rqbd`TMU2>n(bs~4k~@%D4OkL^0*@uf>oH={oFbZ-?9Z>b zPFTr6lfiiEa`--Dw9Tei?tmOEU%xsN+ViNzgZn|#B(#dD)(c4-=2uCTsH95Sa>djQ z5qFfEZoK2JF9=n%ZJNVi>owc^>%U|aP3+tgy++Iu@X;CKdEsm;bJ#%5g|lO3>X~mjs3~&(l{g)r%hbztz62@892nPtHBh-39$;Z{7cV~GCD948ZW?_@R~hR57EpgsgHqXbMO|JAt} zT^ux0KQv)=UJjp@n6T_Uia@iQXPl`ag>c8glqm=&8a>9jH zvvSD~#WXIih|HBg?XLt3N<)w{O-!D5UHHD;kimRYe``gELuvQZr1S?PJMz1)^@Js_ zII6rwH43eT$JxvPc0d+HLIW6FlHUFNKbjgD2&3eE!bek&W}=@)VH*sXZPc13b$I_a z2oVE}{anC=UQeLhxGyjg(yV&v+ub5e?iZIQnL9=OcSiJ?hOX)NYI9dIJ4$tEgTvcl>*6dYMZ$d(b zJz}fU%i+LM)iD={5-GOHp-QV!wIXoi1*;)w3_`uNg@Azg&A3Ar^|20FOW7k3Xxu%d z`yTymERh)BFkMxd8s#Ankn|Sv%}(rNh&@=I4FTq|wtRs%E3IxwhEr#nL1;(~NkC_3 zL_3o_fXKZq=gY=N_SjYkgCf};X0KRozZr2%7k}e%CWut(70g;FzRgYN{KIzh_s=7~ zj`^MFG-Fp10UfwfSSi-vRKC-U*fK@zjY0q)Ccy*VJI!wb12rgok$hgGz(O9eKn%GZ ziTQW5AT2wY1Mf?<0TBrye3nh;M+_&RnzB?UY@C(^&5U&#eIVePNNBfyNzSj2f}2Ta z^SrC*b2@uBUku|tbok?uux=M$7CR@2t>;1yH4T_^qf&p{*({d$a7B`Um&UbYFwU6g zSXIL}47vc?t)*6u`t=O@Y+2AVfG#gwHFi29>{AZT{|}8y6)T8q)RI_FYtW~Am9fN5 z0JR?rh9yUJhK8OVMAho+Pk~6WdI7byky9Ju5EErraj++f8DX*4ggR!Z#okwGe^_v@ za;@ddI+7nn?|kn0sUrQRGvgUEgI3Qdcig@c@-j^n6?n7ChFcUL#&Q6%Cz0!n_$OtZ zF^0qH=`cc7Uvx>MsuO-2$DxFLwX5)&xM!>cyk`&FT# z0u7J*FJ>Mo?ECvF>5cU@+Qj2x$7{m2o9sLnntT2ya^XKwXB2t9A-t*`8_sQK|7tk@ zvkEaeHQMOR)vtO|A{v7dTH3ZR=C&Ov?a4~KxL8q`w5pshg~yr(6N5tinJX3-F?02$ z@cInQLRd|R&al=8G9KAhl8`Jfg%wQ=e@J6CV6)y9LJrN=^uuxIL@mnnZE!-3Wck+4 zESl=zWTMTNvS<+K2g7xBeSP$~6Qr|B(qcoZ^}5PMqR7|gqRdlET;SQe3Dkr-nf<{} z{EZIzMm)N5s*+lAS=L$V^p0UfEiwMS{k*HA|5<>xYc7^?#onA*Y#|Z9olYkQF0%;4 z7Nvo6U&NrjUmz?!gf9n!M@?nZE)h&yPY>CiQU$+5uwk-84e_sIRI1L}@J2l@z1N=h6WxXf7cwj*g< z#Fh*89wq6l{>Jt}Fom?Ld>^G@UTN7%LD4tKS6ys> z_l;jnO?l2A{nC7nS73wWQM|v&}|1>SH|F)zy`jTV7qXrXcFH zTyN}3e_KlL#`3_+9yukfGo{gIUM=P?wGN|Sx++{9;*`g?af~;)4swW--L&uNCS#cm zy5_0#-pwmv?C0FS_-0?GeWgSEh5Y;3=R>ipcO_jr>4qnN`fs2j7E2MCAYm;m`l=GE zw@txDLJ0+wTAV(#SXQ$KU`!up)ZI&%n**WCr(IuzDdyduK=xs2y6W0o;K|X7K(xb= zN6yM=5~Cyi?T%pC%!Bp`mw7iWCARFx-fgd4eaekDR9P-qT_MwnAAi{FlLY@@OAa&t z%jBQRoVNb6cUOyWhwsh;?xhUryk-Wz=-W{9MVncJ+c$Kck?B3chFY zAF;Iy5j%=V{=v;UbhPUP$x2$MKBDBv&J0)E5eYy+uv7~ius`M-df%=%yjc(SIht+# zY7d=~$hoebJGcL;&wwx#84zGg6>1~0UfeJa`Mu^!kYn7u%PV*}@VUgQ^S&F7a|;y|BJ2FjCcV^3@DsFD!-XxAIZ5AF&Ty^xKW) zXAkIJeWh9_C4qu9d>2bmgf8AsD#iu@q>@91WpUFs&&}lyn2#RR;0u6F8bWB{zpY5~ zeq4RUYRVXW!LgtlQC7RWU=z|=rB|7kTe;=;?IJfFm$E?B#s`mny-z;-%Ijha>&$fW z1n(-x8Xs>_g-Jw8i1U?hg~`RlB7v*1b((+hQM&8hDyNy%72^1F1uU7bOt(?E*tVSC zTj^sR8rvw~?VEEy#wG2vJULO)Y#i;&Wa_j^6dfr)jETGu9zG2vIi=~m_d$*(WrJqN z9kCacXdeAZxX=HUy}SdIxP_!y0~A=Q$vng~LatMP>J0g(V^>U5whs*;%7c!pc%Lf3 zmNoB@x?uBI7qTJFls_3Hc#es{K@AQ+4%SgAE<$yr=Ilvv1ZFiy|Jh7%zA?OW?oS zJ2fi>jQ$WgA6$bR9Kt{=v*)>rpzaoqi(-tx4>?#Cf7#@i^Etw5Yi0hmUOd z;)6wjD<(E7pgBpk)=hM=(3VHF;$4mA5QtSj2qAB)=e59K7mUoK_OtQ183P6m!M+aO zT@`u)_Vuk^xy%<9?Hz4Kzj5zeC-3;--PS^#_zf)8rYqa;|4h4(L_HduC)4p1?PJMb zJG~2$Z9EtyH-G-=nlnEKIe3?a+-XdR5xTpOm4(J${N6%ok!N?B6Qx~xl(N`kN~$>i zhi}SUK?{5qAZ@vm=h-P;u|6ITuI zsETwma9zJHcmZ8U<-b&^%;IiW`n&HhlbAV>yvzAr{uNAmsDKIoTYg%?VG9HcIi|{h zfIAX~zN~^;;!Y7bo#QN4$~@n!mQ^V%xkvVY>YC!Uao%Z3X|P&Q$P z9Dhcxprybg-AiB`EX!%rt?*b%6ZvEcJWA~DOZ29vnug9^?j52-{-ccVGrGc)a+GaU zMdt16_4THQi0=m__{w^Z^6HYSEM@g=3W;7Y$JAB+YMTSKl3V>3iOMHjLu&EWx%i*t-VueLq>f2`^-%baz>vi zN^R}vo?tpeymxPeM@ePbYT1aSQEwfRV%J`WC#x+#W;+TV0rbhpqww#MU!tdnfBB!n zq2QWdPQ1K)#E>D!h8!OYFAN=~5C0zai8=iH|Np}O|Caur9E~HxSDY`{JKic=4^G*T OwJSHQNce8|&;JKt*cDCy diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect2.png" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab4-rect2.png" index 7b3e281d6d9764f78809cc2a6bc9607160f37184..5c2d21fb9e9556a2e232e88edaca4400c3a75695 100644 GIT binary patch literal 75387 zcmeFZ^LEV@4eP~*PZ}{=TC0nP~adCh#NA}5=saJrUv@ItJv_F zI^+0p_~Vl8V;PmJ@XPJ$D}VU=H7jXNTLc1MAN?Oj_QNbw_@scHq=uccrLmo(zKs#$ zt-hU=xuu=CsR6Bnk&UgXr3DWMl7owl_O+dzl@KTA|9+jr(#C|dblr~>fuKdmNIX(; zN?NORj#gPHJ-_Jh*B0q{#$(Sv(S?iop7ttT_M?mdvKW8*fStXM@%AAy8;;Hy$PS4N z>p)}x(v0ZJrTjKJEQOo!i@xF>~qUg(dQ+tqK}FCdasgx^ZR6dM1&%*-NngYDm^ zqKPuFWB)x)Hc$~u4*e)1#+K}NpZ`5iq(Og!(!YNzgEZ4)`LCxY`~RQr|66Mp-K3wd>b(_^m+m)kxuwb*UpBju6=r2)q-CSN?My0BybmnPS{~b0AYug+vw?y4` z97i(aej6Qq@idX|#+$)!jeVcxwi2gKvH2&R=mP@-tqvv}X;xP-6tGrv{dY9f#T1Da zoaR?`FNAd5wj_mje~DWOozw@WxbJH|O%c5fzfc7ZZEhpDbs00~C!2mfOHE&%B`PQ? z>I)7H4mt#z?Tsw2u8OOv4HoA4+K{OUBOc-*;G7@TO~a zy2ULlINICWt)|=$G(~Cf{I(um>^zJYbr;&+-VTq6@p0Z+oC)EdlxEE>E+&lA^$@hN zwT(zg3A>kHLy*XC>Dy)86eTZeTIsOV{i)*bkL!vW8duB5LdbcHr}I6}RuxO1k=L+k zR}p>s^vP*&Kz%_&fU31U=ALyMV;t2s&%vZC=VE7qcX~P<`lTK3F8kT}UBSd~+jN~0 zx3)&w*x3B-vh*Mf2?>$e#GeX*&0>35hI@2$1TUt^v2N$~;h9H=NEcE=Ui658qv{VG zqT&7J>+=(teWx;;%W1O}WpBrPeXf(z(bHqmO9eOdc%Iuf&uxj9UC>+{URmr)^!xUW zZGL`!I?1-X5gv=@{5_$nN+_5NN!M0^>DAZQmnoF&x>4!05TnjzzoG(1>uEd}250TA zQlE*di;LAx7r!B#V`+K$C(oYU*r+?%$UH{PSqmRdd&dhqbB|l_%gV}H4QiUmFvD7j z>*~@ZyR5J*%k2}QUZ3o*SIkGsDJUp-RoX6L3KVTBHXKi!{-h5}&B?(@NJuyw9jfz% zjcVY+9d};(M20lD#LdlZHR-&RmYcg;aF*jHl%vP;M1qZ^DP1y%>&+nBxa(%^;ZXg# z&&ftL{=mRM#f&c{+tB$@kEid@Y71EYJl0;gv{J^bYmo-OppkH@Fy2lc2Q zKG&_GP7IH>7b+HFUt0CaFwOKU%U?$9?(ffrd7je8a%cy>$*aWH$tMkacrHM~{^G;l z>X6|||5pToZu-<=D}RB&M*I^lT7kt2n+$ z^lk$B)lQu*@>?%|j-#W(n>$)cK}nKoe;CMBpMzbGSdC?W=}UZ1ZF;+d6V=9)63jp4 z_S?pTRxXyUFD-a8Vmnzz_O{&&8xyemj-%ewA}Xw z{G~E9svX#&rHFLKBeCQkp7G|@9h-F~2@($JxUwUlls_i&&xrr+@ z(=|$?&Q0*g43|aK@?AT7`xz*S#z;oF0;`$FrzcYvtzjO!^!~1xkU4P+oZJ14aWB1pfSRjJl2~g3DvSI7Kt>6W`m9NcUsAquRQU2OAX?=;kAKtWTu@rp=p(q$ z{aA1x#zQ|sPs??^)P{{BgaXQ)%bYK9t3&r(Db&%?k&>L8ycPZZEBT0UG~1UqHu!vT z$qn2Ns;6QMbJf0cBgpWD|9VjcwHUO*sfOwfG>X-Ns0v$L}d>dN*OiU$4Ku@UH^YdxsHaG!(W$#;BwjHmeofi9-`c+?!y7w@(i zOu}cO6VPg;)YM`NVQzFRd7jSEP~!PD4cB|t>y!Cz9k1q7B7!&FH(h0nTIQ^e1n=GJ z=;QxjSYo%_mudUtSfqV(F*1@MBP;7k=*2wKLnMMs`O8xzU4%cCZk65Fh}c-;BM+re zYWrKPPdfL8bS;nECu^LCs~s)-?9sLTccy9KAc4okVDOvfA)KxA%Au{_6~`;N3nqR| z?_jP#Gt{ylP_+?$_>jgB6+;)4P zyf!t(K-3)02F3GRQsdy@u-OaE1@qhtYWX|((h>uq_Wi}>#4d-Q1A%;r>;5NZ(`4=> z=pi}3u+X+yY}|#3K;wc~fdZlU+((j0at5fM>pb@hYg(cX+FOt&d{ zjB(YzX>3&vY)Uh8a+aSa$HiTNIX^o)n>h!wJ=RcOWRGa0dQ)_5ypk|NS|T)z;=ZiM zWVs~`oU6dj&ZiwFZ_Lct>H!J;fNAD1_O@cZYz$9xsH}`HC?d!0J_`UJa+9QYCMoVD z9`!VZ8n~o7PBTn8^)7p6r?8JGSeBbOKJyjM>F8%&bEsYzxfGcq&Vw}-^8P(0;u<{% zKmS4Ys2%UxSh@UNXCCy~IIT13p++1^985;^TSLQhI$qAY71UDTe&X;=rXC|VHlLePb*H`S zVuDG5`3N@}>ODN2!B>3o0te2t?&&OPc5d#26&EhVe(Xz&MwsPz&Rtp0eB0H72~^Cnsd7rVCX((=gu_g>>G2g&vvLN!S{;7dqIn2O|cTJ9&DVzn4$C z{M_OFsnn7-+ZVd;x*OGc6hv_`2MjMdx~ZP8Yi+W2jNroy1qCbVgV{Rw6SjJqkPqk1 zg*FSaDyph8pW}3j`b|>jbzzzTh{p1$pGr3v7#w_&mz$G=iKx)Z%Q#n3uX90TjEaq_ z)xR)@W_(C>4x3leM-|S~{WpUeN_T~~nh9f9Sy@?chM^R7buAU6u88_wsEe*ourV`> z$;*eKVWgC;t*w$a{+@f`n<0*lP258ccJ^n>4|oh)aS_rvq^icYl#ZK|HxSz*YTDX2 zQhFSRk5$yw4ZA+x|Fg1ktU|n6>^5|J`5@cI{1+J;<3i2pb_dDS+40`$7J&B-Sr*!@ z&&9S&&r8b7I|{oJ`R6`4P8N;_`L;K3u5uEb+nb!f*?=~TsBuPrlH=4rFxK5Nz)0ZhLLr-K`m6qJd+U^|#T;M|4ZbuL&cuD>jeY3W<_HZZVBKWIvR^a=x zS8W8}UY6ql?~$ppl$Xa(?`1|zzrW7mrB7Bd7y2MFkH<6Xm66fyy@T-xk1$pgwkn(f zWo2b=fU2{;lon#lL_e_bg}nf}2SuMxYiUz3 zO)mW(mk!-TD=oHWTjB+6@1C#LpI)*^|`46p;Jq3-nDc5!ln#pNz0sG}a7Y zO7;)ptRrJ&WQ5`R@EpM2OtkXzMoW*KMEP&402D()DEVh!P94W)Iv@RQ!rubqNABa} zQ*pGI$UYPXT}oZso>=s78Vi@4`w{@6lwYHxW{TI*z;hPZ*FE&oqWRMAEcqwEJjyI4 z0%Pu}r^8@rX=y>@RF3*n8#Iv}E;hmM7FuO%p0oZ2y#?JEJkOUrF{OjYU{^U$VYypj z_;8u^XN$LHB?$IyDlU*&2diMDt{JOfUv)>o<}`m}=RP`&;*#v0fpIsKDR-oD?z zLx4e&!#+GeJ_XQf2yKGg)YP;U))Zar4D6hIxJ^#5v>~wgl<*b5XCr@2O({ml#@L5E zl&aAH5Dt-|M;K}jjYo}CR8+`-&$pJC{tkwjOjDG%zP{cHyJ-M44h?x)fl~)NPCBCy zdcts|V&6d94!)OH@dMf*j}}K9((TY{Zrrx#K3bLtSXah==;wmMYf0F90$>f@zB z6FrJ|C~DWv?3^5KDm*_%BXKqo9*^A&8gf`|DP!X==;FvIE87o07%nmjLVBLNHLW{P zHu>T6Z`ABOp97pG&pO3Luz3IjAh@PR82we?aQ|M=4N5*YGu^tK?gy>F;$A=f$lC@K z82x$_b#yFXlRMEPqF1oxO{hgM$y~wjr7& zN(oTKt^!Zl%GEt07^MC9^Iw49lnRO3h2xJ?Wb2 z!aA{?KKQIpb;JYoldtI)Gan-e6})==*@}k)`5rzmEh-l@)R*L%IymdmfR@Ix{*3Rr z;6k*a_U#1$qu*bi#q+(TNOoRK$mG2$`!FUZCZ5-n08p0ux7>7G8(wTVMI~w4n+2!T z0At|P)ARF_q6Zr?C&s1*`B(6g*A;3fghREq1G56r!j7b20TH9mxZUq(S1)14i@5SV zPP?h&vdoC)LgE9-TpE=CBmuwe18`0S9!DbDiXbS$q!a@ZoEL#_# zb3GVTRgRv+4r%<4oJryRe@dJb-u6ULVZ8V6-(g}}k}LEHO2?JLZSn$U4#lNc?pb-! z`rA=Q@q82ai=${v_B(qbc=Bcz2H zU;v;h_yV~FmeQeZyh0hotfOf%+Ehhv53N%_| zxkB}sni>g+6bMA4-c7{c(Kq?}a7JReYjrp$-4C=84QnICM&2eCwIzV1M)rMj#0(AT zVUZE3H8oUXbfjY-BVZuJ{qSPe&73AcQZeGJTU#?{ss8#^W)y*dlK%7O4*~%tgn{tA zL3y`*aWUUpdj>7T&@NQ?4TI5Ml{Bq zUs}RKj9Zkiy{!POfoOn9!tCl-WC;??s|&Fvu2iaU{Hz3$RA~0gr#7!3&_EOp z8x}&~Q^42a;$r0ubyZbH*Xu=_MMXuK^_s09fuZ3X;>>V;=jfI9DvR5*^pwzfQX z<&(|79-N<@EL6=j`C&;5dygCVQ@WFqkjQ%G!_b6-P#C?w=VHY96R5rRaqJ1D%{Npq zIsLk*)wI{{?HhN2Y=GK9hG1Blf?W%bio#0i@q@{U7YMudhxJEBYI!*+G^@W(!XSLN zo4n$xee!J;Anvq7bxXwvj03L^y^Se6+}zFafv7nIK@=$yKLzJIF)=>syzk#HBS3|E zw6Shei9k$DOptNEx{5&0O&AV{WB#k%ot+Zy?zIb(i`Tw^Y$x_ZkNmzFkykTA&l!)V zj;d-5Stu{2mxuV5>gt!>Tjxo`)z#Gtr*LRmhSPf$)z$p~BD^@xEH76-{;(b-L1&;* zcn0T7DJ3?R1SvaVQQp4clR7`-*x4a&im8wu@UMtSl@MRfRh4 z?(Q};gLjB<+MLV278MZy&qWaU8oqgR50RRlj)^D$yoW3>jb2<_4AgJ)C>mQg(Ca1R z!xiuLdwd7^>SzRt7T8O}(vE&>FuC{aCwWFt$pX`{mTrvloYX4^od<}rBWv>NbN%oOwG-SVgiiN zO6cK?AHoZ0FG8A(xCTFlF-Jn|u~GWWqb4Lph^%gbD6as*--Z`JFAHqq%V42cI201Z z8Sezl`kBe!e(O53AYYzj5`aml0Ntmr12ZY8kMW2#YG{5DP zX`#Xh0;t68^!Lh|nk*^nYimStjD|6|O$8NX?>-1g?fEZ3lVE;lw*~r#Kfx>%ll$I} z>zoJ#$dG1=c^aHmU$bifRR+BbM~(ObEmb5Hw0K!=(E_j(v0L2)_Qc1;M2uZjeC32i zr6XuQb*V1>LqkmVuM&5*x10C)?fxj3@=W=hog8%Vh)HsZh-i=gJgL;;P?UAi@WDt^ZD~FaE<*1-`%7dWzq(jW1zhC_c#UggNbRBfgMYRHT%bVWXt3 z&XjweE%nOSIIs`k0LKaju@{tTKzZ6WL4bsWN_*?1Ii7Pe>+@&H{)hW-U%pH%@flpiivt~Uwv|Gl5F^0L;dwA`4#-5W21u|*vEF$-O-i!!!>0)a zIR%Njf@_vLnAdhNuYc(WQTXr48NesBf{)$uAVs!cGW$OU zE&3xHve_*Gbm0*jguBq*yy>V1x??su?#&G-zyqR-zOWX9bPg`hh^CqF9hD4j9v;c> zRsvM%ALF$hRD80T6VhfMU4Fk#=JZ{k%!X!Bmm9Y!vK&X6nMk;`3f&d%-@k8TIqA1# zYhyESQe4&KF0m(lkIW9(l|wa!_~MJnZl*YtpZ z&hY3+B@xt5=I1IGNzb#G-Be;bHQNr>$CxY>Vs8aVH1DBGuBc=oK!FxTgiAAY)Zv4k z2_h0g4|IDaK4MV=wcvQ^vh?)FqYWNz>!m|zVH**!_4(e%Jt1%&7kE^j9BfS73$X0k zkkvu$8$qE_4E6TjS)oN`z!;bbuQN@Rl<;b5#>d4mVPhG- zkP4$__r1Jx8Ka=W+v|gzPZS~3GN_W)?_!{?<$^fs1_#xwO|2h~mA;81Q%g$9=*@2S zTv%G_Xcw-U+DE@G!LpvJLgTyt$pXx6{S1_DJ+IK>uqNFYF2q8hy&&uQ(y}ty5p!KA z>ljCKT^L$q%!>ndyj&XjODUezaXKzvqu=tqc=zF@y`F(`6W4sgZ2us1?saaI%iF2C z+hU&IA`^fkFB9rm^3itrGNbtK-MeS1n&O zHs8D(Q_1)jYs^1Ljb39)qMeIPNMNzgM5?}gdC!;iMm97Ja!-mJ^f5LGUx?>9a&&OG z`<)D&6G?S-()W$D9stbo(KsnPNZ~($0rW=;Tu#E&00fyTm#eC)jjofX^f0yK6@O3E zc3o^RJs;?~(B>e;79un#jITJD-Kt2+dZDbM!mxtVL+*P=_DV;HR0csa6 zIp4L{Cbz*Foi|xLZ|~?J++!ock@@0Qb-C-_BH6sQvNC-hlGXT~SVQD(YH8+#wM{_6 ziWPisM=v4B9ypjsj+sOEDhm9yM#6g~T^WNOT2s0};TlYnm)q8bVN)I_ZxLsj)4zZJ zmL&e3n@eaEW!4Ye;8kHWI8$r~hP9R4R%^qBGK~j|-`@j!GkSywcd~fPm)L*gBu@N4 zxdEiclSaM3+ltYg_q>-y476$7{yqW#9$;V)s;U1?&cuWX2pJKg;Pi8k6ML(ubFEIm zMH(i!2b{7PoBRNZ6$OBRGv3C;TGQr;Yvw{8y395Qq)#h6>*(l+a!LpIgd(SmhR1I` zTdZKnp$pC(DKRAk-hh>=8-m6V!|C8hDfW|v1KMMB`h=qjcyyOWl>n@-rDRt;1j7c5 z$!9AAP(;?+D&oS_VbM=~x@$b|qdQ5>#~F-JKPI=PH@``SMzZyu)xHnh|NPjNmJctJL66 zSk}ZH@yu9h+|{PA1PyeyC_~?962}J{8*B8;qyA~TmZ0FQ%Vf2K-8wFO^nvjC&87(K zpTJ-k>Pb(k!E0$xL;kT6$h=X*!Na3`>>V9DFmcWcxzG@{d!$=| z`PEm_sp~steNgN5G?xFj+I$a8*M`htb20>gx8mJwE+C9g#*G0lD|V$C&Lz1H=cx0FdFgm@dXk*mSiUg@P8AvQI0ONFwhjp5!cFT zV@UUv0fJ<|#q$$y=Uu9t?OF74C<_Xl21_~99X`3yQwBOzzz>5-%zzD!!GX;Kx#v8g zKB&2ft#~f|_x*nM>wo@);~rpjTt4POIojFz2MO@=tB>c{ktUvuQq6boUblOe$TMrp z)*5>Ip6pjaW_KvcKF7s6y;{75$VHw~u(X#gMLRs|b+v-mvWkkh(el2SX9IAfSQq69h@C|7 zfllyqNi%p%yhqzuM7K(?|FeGcxd z-zd;9bW7_4o;18TKP!4)3M`;~-UJOQ&VIT8 zZy~3gw4A82pVgTsgKe`WRZ~@!5&Sj>(u|_E_FGLNYhi1*vKRkG>w>KWdTK;B-NeOK zgaK_qc5B@DmwAT0zWItQ!Y&ZjG< z&Yp6cMC4)#9KbwN+TDeE2i+v@eoKbNW)gdAN6c> z9XvM&_k}yT^UnrQo%rMfK@hI8pQyYCJN(tm2WJ`yQF+i^isWfWs_b){gA<~Daqows zeDP^G+zY`KBbN_VrM($mrC8eD(-SA=Ui@C>bC|Uo0Qo*Nt45m$2noinwzfx>mYdeq zq-p<>p?+v(5!|;(Jv|BvkWabwf!ZXb3OKt8QzxX7v5f=xBxJr3Y(3Y?Xha6ssTnLZ zY+7XH?*cAL%cOBl9aGb<6<&Y7fCXPxL8|G9{fzTPiL@F~-><9K-UJQLGMZ+r{IB~< z8A3;V+6@9HkvdU_2SkOoK5e>PL9p$m-)ae-hI4To(Hi7TxB|@(d9~9yuhW+}+-j-t z2Cq$LYhuq*lmsO-A2Vy^N8cuX-_ARUNgkkk0^1uCp{8`Aa3&+r;O}1yw zZZ0+^hVi=+;8!5e4yV!#2AVV17_?k@3jiQ4h<0gc0{?r^nhK{u7}6ud)Q?&>LF3YaLSceaFIM&?db;tTeeP zzk+notlEt3G03Yj%hX;T3xRp*XvJ!D`rw4|=0wu%vqVVjYw-wL zmKn8n^WxfiS67!3KDNgd??+%1ApYP7bF#7$5Jx1wNhIz>Q=OiV{6k-6fBM`aCMH(e z2Xo>IZN$g-ffNHEi1Nws9YNTP{7Q~4#QwJ3;`uqUX4}svff{9JKNX1ta$&Is-cg5b zv$b}q8FBZ6P2n3h>i<&3ib~%9HE0`G_kIB9_2ME9Mr%H=(F|2_R`;yQ_eNCxq%$b0 z&x$g6usgVL6`yGMb}U2^-GqgW7qVydIzJ=B9=_BXel|Qj?62SCi}6Q320|UL zg0l(8ef4EZ#Y)2tuK7GBC`YXV+1VB8xa!xmJ@VztU70`aE{V#nR^OmMBxOaGdtP`b zB1Y=K9F+^!gxbkg6`mR&XEQ3^+}YWY+?y-b0)m8mQKM^VnV(&GasqQ&Ib=(9zUQCGaM^>i_mMc zZ8=X%EUT%}!o62D18YH+4!TzGi>hMK_?xX#gX{p8aZ9ajLZ3%^$h>)zTT=S%+tXm? zszV69zG|UON%;Py2HsQ^AP!-z?R%&7nM zw$;7B+)#TqHZ~&l+r;tcgWo{LOO4|r!ICBmX+2-nTr~_tUiC&*CSpZ_Ihx3Beai{7 z)j*=Fmoo8G_Bu7U%Pl5YIh2aGe^eo_*T61(_Fn*0BIgcLGdqViEz$yHF2u3615C5@ zaga&nrnY(3swXFPu|iX5zdhmfJd3Ao00>KS z2nu%_G{N+EWZ1yz(awSgKxP%BXfEKVK92ozv>YCBF}CiYc%1FwB1~=Cv@uaFiD6i9 z3OTXfk&yr^f#8LXxc`+47PtV4W)u`C7|6v-_kuRBPXvWyGk2*9rk3^GFRq_}YC{qe zQrgk{j1>Vjo<@<(y%<^}<$2=)dvlv_KN2yFkQlT^2l?8J>pX2eriyB0X_p^ik zGLt>cyCHd0#2r6LKez{0pd=vF3aM<1`Nc(9O2}L>BUG57kx3|~82}uiPblb0WKuRt z-|mC1VkQRyb2i;+yT$9*;f5+#(K4$KJux9zF6}{p$4-hvjrsA%hTt_UE%Vt{YDEqt zHFolkTY2|qm6i@fh6U}VQ%2{gdU(`Tu#j8nC@Imc_z9A^jh2`q2v{j6luv^NQzvR_ z@rbEeO|-SOmC7_{a&vQ|s+0z1OeiQQGA99TC8qYjk) zC_g(%lJ3^>3A)GnYp7RGSZE3$7{-HYm{fB?{%zAWayYTRo(R|@AUDBqa&R!V1nb?% z?VrS6V0~>TZB^B}9>_L$I69W^@@O~1M3n^ZiZ0?Rn9ZO6--UY-*Zt|tsOJPRZB&To zK0t%jojPksZ_kyZS1=(}sBd_f8OhlULRyUqh;!MZ!hDcTsC>9X0POpvL9W*avlanX zm_D*s2PkaKiNho_6cy?H^~ut;kQ+FE!g{%Lxl{z8)%W)%y=kXfZ4pvR(6`#pl!?mJ zfzz!bV4HleY%J+=veC{lBvcjOfRrDMHJ1nu#JJxwaVJuDaa@-z5uKMCg%CQe8NMeFy3YHR2u4nLVp#C3)n_wmTENp$xA|oT``0!(^ zu7)54N|8eYSHBL=!1vI=ku2>j6?D>sLj=)|A`Vg$d$ za_WXAT2!_5Jw%6%g`(r)I(pdXA;e1Xx2uPXiR1=1$ck^L%Q%3&YI(w3H_(gU(dsQQ z_Md5`r-%L*6Dh)j)F4Z_EfM88s^qUcc-8gvlR)9M9>C^CDXW;@co z@!?H~ko5b3Gws$V|5SPmNRJ^rVN15*Lr{4kG5VoNADvhEXK$|1sr>gXs(&8|;yP5{ zhPx!Q4(SGZDE<&TUon`^hS>kwoou#BqY%3nx8YEnc6?9zIo<%579W7G+~dOiIf1 z6 z1$}aCYo34-XS8F=8m~eP+isrc4q+Bi}MFRk`E=P_*vgg`T6tE=06II z%iz-(s>A~jlx!r2=G{zyq^+#3rbOQdK&(c(<c8&0h$j|O>65_x%1(VMB zi0HKvAhtz`F#Sy91UG(uBPyc7Hhwt;r?1&kkP1(*`&sclR@Qk@VNzV|&+NjWPDb?! z4zD`|=z>fi7jF6cPAe>tcAO9>EQkG)cHD^Z1v;XHrvh!Y;F4Az5V?cd`wpedh}ktq=fqb z$#6C1U+;s|u&&_Y6Gb9y1_!uskz8P2u^q*FeYhS>NWXdrm$haf)rWxyx*q+D z?5fY>Sa#E4j(u^UcVuec_3457 zN~_D}c%`xfrd}=PJFg0wEKt_4NkY(ur!&cGGLU;T$r=rzLmbEE3Bu@}Q&m?t8A+m& zW{V|@pP>1p+xU8t8U&qM$TqO&Djq#e;HOxspF@YM|ND}o{VnRt-fgAU!Zz9Uk-J;x z+sJ<-_e-M1V|Deo>?gQ^e3nGe0$OfM5PvQ!Q&Yib#Q@?FTg{WNWMPq4q3vV=-c|ag zlCrYE+_xL!l?Z}B9IW7FH7XwCV55g3KMcWIfS9O=*SW|(S~<8@b#Z=zfq;Z>ZZ=Yd zAI@+~j5JJ52@$xoLc9>j+KcuXg1=hSz~kcYzaarm`581dEeEzHfKiVL@%-42S`n*v zTR=?RS2i;GJnpwL4GOfx2$GYP%j6!7Q?Nbn(h9c;D?g{$w8uN#VSY>Ot{xI%;sBvn zlVf3q6EN=9XLcZvpGj5xxNRw74}GQ{_m+}|NJ-x6fRpcdXCNDVPlzpepfMrG$BD2t z9@ccQQ__nHMZQ4CMn#22^gZkn*IJz;;jtHhudS7YW8s>`HQX#5R7ECaKHg0Q-}rd{ zP3$iI7&`Z`K6eIQWXWg1prOjQA@WNy;I{!^54(wBHH?_NnQ4q2?YN6nM_v_==IYU%BCI^tZIYry~aa!KZ?z@!4{ zj3QUO7A5NX6H4K)VJC$q|AI%re9*Vs5=ttTt>O4pjCy&HURO6t(3T#b2DBpE{rfFw z=X@hc2~y$!faY00KJ=`sa3;gO4Jzv=DaW4B+Yg%E#SbFELAX`{uRL!dr)W;`&O?sTiFnDJsPGu+jUHO)KU3XDBSXkmn)qSNL+*21-CdZP)Tlj)?_Cb|Ko|f`Mdm+W;5tQc#n7QS zh)6*>3wth-)~RysH8RMUU>F=sEM#ckKmslRDwU%b5%IS;<*ako0h3?_PnBk{qh>q> zA}oqC5RhlnTzn@X`(Ds?5%EX?Wk5GS#XK)B$hP_HFs;kFRw(J0dPh#c(Zej zdK+NTSO=>0b@|6Z_ZBSZSTH~Zg8NA%VX zf=TE6BfE^4h)6mmn3A94>v!ONv#qaEz*A(blm?t&#DqBSIsRCGkzHgDc1ezr+T>wU z1UkWI{1STo_=B5QHToP8*BoDgq-1obVe122+fALj_^2#7R}`J`xuSv$a#MGoQ;34L zpd|r27z}PcT+@wnVyIFAxdxr+MufXb!;vs3v3?T+cRJfIje#*@L1mFLi;i2R=9)sX z#6ZKkbQ;3NEww~AK2~s>4h!J{H@q+ko;QqJIRlm0CNHZ5zYLe$Hjm|b4*Kc5Y9LRI zAfV*Oe|lO8Oi50dAe;-+G`jb1;fQ&kG2m~hE2~wGn>s=IE&GM?72mJ_vb>3on(=j2 zRt=l1S>_Mj%ahF$Dj6|1xYX+|WYSHAq=pds=bqOBF10$QSRtEeQt_yR*a=*oJ|<8u zip`z6MA42Z)S0QIq|Yw7Vbk``4!f^#I2k>K2_(XmXgrLeER-xMz8N3zpx#0jcXs2S zo)3zK_(~Jfz|#`c6u4RyQ71atPNJIdpDcg^Y1)4-++UU>=gkzNEU3C3Ll5R8TpI0& zytpDQEUqHbiCV^^1YJEF*Z6)Q4w))}U(=mHOl>n`BSz}5AQv%vxOv=WCc!ET+oI)Q zr(IfJ9#p*qHBGn>Xr`>Io083iYhz(%R`g8|ivWjsRZcR!re-|-5^~rLqB=H~Rb=Ez zBBp%|)>n%1#OhfeA4CqV65F$Z=Nqb=2K+}B=e6bQ*9G&vnBOcqXJ-wTuZI%rb=hBL zh-R#kb53y*=D?8$8$_$84*wEny3#iKToBPtqQJUz-YMf>TY^ZO9>{kHTIT^6(Iqi& z!NTXZ_*Oq+gc9xU?vAaqg#b!j?`5h0iO9N_kXalbtGR#cBp3yxfcZTD-eeXIOmYzy zUijDlL_#QMSu+J#G9ot}S4~slSQ`fO$r^IFCPhH}zN1$>Ej;@L2>NP{tmLpi1m3%i zS7;E@&6Y^xz@90%o%kLc0gONDaQml#`7z<$r{P5jUqGU7ld4{<-Es=HmVs^%>*b&$ z$CwG334?@e52zj)b8`U|wDh+s2)sKMVFO70s`A}0o^Pi93L&r_tAgd2rnhnpx3 z`eG^KO!hSxYE@NLY)3_*Oz;*Db^UINi63&(KEtC>p*};aF){&BmXINOIu8u*uFZ1^ z*pIt!t1gRBhY4GD-Yi-&*3Va( zffq#|Xps!6bkKtkqhBr3agku|T>EqYk>i`nVxL<{tF%e zt5XVQ)o7249hf(AK|MJYj(X ze+nBR05`uDidERN%{~EyPmc%e9?052YXN%wyDc_;*!BJhfbUnt3{EH~ncxM0TOUFQ zP%MEK&Ud=8g5WCTFMUD-oBe1!nB5~_faKBUh=Qq7a>)_v+I`=HnM$xG9ww6BD6o7I zO2zV4Z)#(#d@~$l{TsjS1JEkS{{;jQU+vbX>UD|8ZTXz5ZYR8>{^QuQT?JdFcn@x7 zFnCvLCd@hY4Sa+!$qK=0IOzGTeE=sUw9{V}z`b-zev3;8YYb9S(jd%zxYda%&HUZY zU1`Dvdb>5;f5ZIKBX@Z>>lNC#uTbq`c`{5Ax(AU0$wppIQN8wvsOLg5$<`iz7SJtu zOuGAN9sft~djHvNSwL-NpkZ6JP3dRD+LX!_kdT@2ef1eWGoYU@n@G4E%=9GdR`S?Y zbX{|fpX8U8s`e*=ybutepX$s@L43a{Ddq$~Ddf{#R=+DbdfbHw16s2>j_W&s%uP;{ z%1zj)6}kKH1%K|Aldc3FRM9o$oGV#9dh{dH+Eo-4@0d|xje;o8Zc6XRR%2B$&Uyy;q>)!0dB>+Fo4w!`i^*xi+$sHoiUOyF5K>H;}5{}s>kom>_BseB?1Czo4tQdo6^qpF6e4+_)wjP)P zALKHEe1j@2A-l1+=i-dc4zT8Mjz zX}??r-z@zYUisa1Ta)f7i3PYdpx%$AqYkdkSIo|K!VXX*h*Z60u{SRx&=O7f)z>EO zopKvrv%yoZ#E%IF?Oo9{+dl-NP(*C3Dm3o95%V(Xx!J%dCvVsey5u|o>E4DWM*{TJ zbh|=#;3TuhbJXwA4v!|h>&7g{{DCBxLnRR`xM;2B(V~|2>CtqfcRF+LGn4W$#(ctw z_bMcs{IqFBg_Db0_bnZs9o#0)0pur3K2QaM_%c%&gkcBL7kk%#+MIgSk4K&Taj5<* zT;>TlsL#`l53a z==+z-`p>{-kuofZHf+~Z$VY^rgeGO=U` zqz}dq+{j^5dD?kR3WX2@hAIX)n(57Sqrj(pc3mLkC3r1wK*`O< z&R)QK-fSnNiTkx@${mcM-`3^#Gyb-vcjq#MfACB2vAqpczzqwq{`uzQ_&Dtxo=>ti+JZRb{UW;>$5-E1?Db{D8MhnVj@Z zPF8kaWZnd_9!88*;qmk2(VHM5&{Tfzgxh*8%siAIc}d8c-*!uNTXTT&wNDCn*FS&0 zEJk-oDSheJ@6@!y_=pI7>$Hz!%{H$ait^AmOxQcRq4lM@?JEPJ?T?#NfAPZ1dZbsf zlMifaWO}Fbw_%+!Nbx^THUbNW75gKgmgAyeC~`=lf}VxGFZ_RsuXPI8)i#p6b0=Gp zaN!btvxU>n_WUj@C)kqXrhp0&diIHmMl2xry5Ixyyo4kyei?p%AZRB>XgSUJ$m|LC zyJz3UJ^hpu0I;F-{Y5zlJS*aHTEHU9Ge*8kNPf=Dl*nTQeIK()(*lIp)Hjo;Bzd!G zK`6n$J1T8n9;zKR^7Q#UCQ(`3OOOUw6`XhvEwqn=RRnGm%8Ycu#c4_6%pWECP1xu+ zE)sjpGK+Z$yGtJK6wW@r>;;~CTe3UV6oey|tARe7ViD(n1F!hyu%>pam9og1XP^x1 z1Zzf{O}XO0!M;8^Q;yCaZcjeTzAmC$R99?e@nVs1c~{XMvZL8{xDJj&p8(FH){T4q zH%SxHD1?Kpy!JCLB0tdO{!MqE(9p(R>cTvAE$hpt9-c}LwmMi0(RkQ|iBYlyIh3$t zMNd<5Bpe*dvXQ5qVE#$oM&B`pH?KhaUu3;^Ak}RjKW-l47zfG9IJTr?lRc7TMR!rk zF(YI(h|GlS?Lyo*^0M6yIS-b=&@j3=Jg88fL0Eo5YZ1g{+D}vMM71M&7f&gEkB6pa(B5}Na zBs5VBl6@_=m=}aVPCf{+gShu)Mg2QaoAgIR`4w|l_s*SyHdHx}O?j$-*y1)k$&KiH zpk*?>d-1s?a2}Gu;(>?t@~05SC@~_0t^t>gZj*7;1Y|t_zJ0YDI*b;ii<`W&HGq&& zwe#jO&GL^VIxn{KU&HqyNV?~nK~$^M0B2`he{BoIy@}j+qSB{#Dybcd5&4E5HiuE6 z+q)Gbu+v{p)Cy>4-Pq1tD`xw{gPqFWsN4KYwm7T=bNJ1sa$KCWE&V=St2%^w0@@x5 zl4pjf^J2~2sRe|@Gvud36KcFGZ45V{@q{UbSB;aXj^@ycvqp5=IzQZ9dk65?op0#E zvPWGTUB@L^Hl*IT_&|Ef^LrX;efI98LuCsiXJID%*3#|$;pqw1U{YN+oasl=qdXg}u7`rkqUaPXQ%(NFT+KeGPN*}sHq0X-gftyHy5hsY} zT31yZ6V&g+;5x6089Ti^?cfWZtqt!@#1~&xe35ov(O-QXBC%c_fYf6qS9Sg$@^#&A zrl`c?G|iKj^aFpwA`n&124($Nvml~#g*CEs-XncL1BRShA1?{;TTU39G6D;Mh~QNg z7;eh`7(AeP=T7%8I)OxPF`=tSdTwQrdmLhy2}ip?&b0l|ro01Y*7&AyJK{1nv85j? z@Oe(UMl^e-LYg6B&ibJ_vCKjJ_wjvrvF3i+@NNSt5gdH+gBHeK9Wbu{G)|5Kw_gvJ zsYYtjsmga+qxt(sxz-RRNU3532B+JsavFw;g^E`_*FYG1Z4cbjjx2&qf$*4!hw#B`)UAi$4Q_7>XU^kR*E}KnJ|VDe^E@U?SzdlLelBR+Y8<}XA)UeP zQ!$+v-Yl4X{`4tqqiZSoNwbY||B@0v|9q|CaF>qUWraObN+@Q+|JezbpiXuI?hp zAFBU;N+BSm{LWG#9D=U6M1^$_FX|YCbt{3-|MC3fnKGyyQ0&+wq+cNHvGJmeq}`IT zV3=v;g&|f$dz1j}6lc#+2DY^sl1C-v19ml&xINI#th*5Ss=UPpOaV~8LmzM)4%LW8 ze)%84?9|>o_nSCbzp-AX&kZ~or6YJncs?4!Dhtw(I!BPCgC%i;9VnU(ihphqk>scH zRk1T?^0)~LukPEIT0@4g}k zxg26PKj1jY_kJD;(QisgLM+3%aD_PGrX+iRXDvz1Id1}5`CZChHlk57ggAyn)Q{wd zeFA8Yzqu{C<$;J1O|w&YX;^q}9JVEjFr}A`2QDu>urIBKM-(mKP$EWnX)P^ktgtVp!|BjMic30sK!McFbw2H{^s z1!S11*V6WQl32I#7xD-yCen@jk4&P7sm|4Ym}=d>X51gTG-NNqguO}J|03wT`e)sA z5B8Lal;NZTeCkvP-dq;Sm2z*95e14jJ(6HTOgg`z2v}n1mkqa!K(e zk;{ejB}sGpDNFIuO}}ho-A|;iMevdoTSffY#>>BLR(q}I&ks~i1=`NgiV3g5#K~|@G&I3ns)|iFz`P9j?9;n>1SxT|NFDy-y0%824 zMdPePM|SH?d5#i*61h3#uk?uiWG6{jC|Oe+m+`mSDnMz#{| zGP#AfsPhQHb+*hXM7KI&tK`4;1imR3kHAx~g_oC0N(sj4K1EWe7U>FT`m?dU2L17Q zWW=~XTXoCeSdGC*Plb3i=gPp^8s+uYif?Ks!{2uA*kpI2ZJCanbI}D(FPMr)@xjOf*K-r!EFF`kp&? zu6JrGJ*;*}=-AS#Cy4r|B%!_ig6bJB#OA)Tpc4L;0MqOZpqSF)g(q%XTHYB9dG#@R zzRU&d<`(yYQAz$(WN2%=VFA1DPno~9|27r@=32A7-57Csol^@}WQ34y zbhXqsG<-g??$I#E8|B|{M8(%RlzC`87!FuY{cnxl!`{>54TY_h-33)-;M*zXnnY$_p>8TaG zUqXFP(u621)jmSU@eWh%yLfx!kgOe#c7NWg2qPQ@&dSjG*c@?nuIoNTmss(Ho;vhX zI|!ByN*5kVJ-A!lBdh686GGPcT#l=g?|b+i#?gq{_*nvaBuOviw_Goy)lXi|v?sUB z&1W|QkFScItb=rgX-4@=GP^S3qslfGsbTBEiu&=3_-v-37@mCY|&FDk1pM zCE2I-smJ~{Db((u*sOxb*>R$f_ii=ElS|N$WoyuU{8G*TORI&|y_PAQsC092yR~Hh z$;dHyFS*Z_1=}B~Rt8A>-nZ|&P&|2fs9f541ll$3U5hP#kY|eFGl}-pcBchjoJ;kM zZLd{r3ptvV7m7w^PE|gOJtrR*L2z!A?E#~~Z_d=WH{NtCA(5Xyd?0)B1j`=Lj{bh? z=R|dH!r)(R+Z`&umUB!BuP%Vwu3|WgGj%^KTG4yDDYJsAo&Iz zCZ|x3f@OL$u$32#?d(yZZ3*4BV{$<{EcL986uzPKr{_{l3t7mi7V2)?zD+oYrKCb2 z*c8xg5CmSBn#)pG&AbzErRcK)!g;w1B{+ofOY^iw+_JyoyzuVBqk$Ti6271r*!Eg$ z*d2Hs0ocBV^gG4T}_ z@!WnN$T9tv%A_zcGAhcu!X=`%7@3*^8(BAf@kM2B;rAP`eT9d0LHEsPA<_24+-9a+ z6Vc<1)gM0=J;}PkUL57Y$QA-^ZUG+56Y$d$UqOmo%ZI{q}%eSmTo&4L0R$I7R$*cpSC`Iyd$83J-%7(Srg}(Lfez?sCS%+N+wpauQ29j2&q^<(E@0TBI0;)**6nknt ztnx-RRn`6n7k^RoRwz?(Yfmka3~;j*!*`i@5-X;a&1i?Ot5bwN3V+i{>=~;u`3NT) zUpSMUIZx6N*TTf&=AUY!3%M;42r^QG;jDjcU)f{lMS}Bc&m}he`bYD~j6oFub6bsz z(X)rBl+z?WiF$cnNN%SaA}p!TXW;~9L8W{RdW67#fFsL)alS#Fcjgi+%|nWdJ7;_6 z?##YF|8Rwkoy*tn!Gk0ndrzdgzrPwgdzmi$B3qai-^Qw{g-fhrbC3I)Sx&3^rtTs( z=XMXq<=Eo3w;xn!Vb#8EKQ^uC^{CTV8-a!OR~6Glax+nifO7N^#07S z_?sX*Rl$HA!msAxK6CvbCA@p_mCG%v8*P2ueAUI-+3l87HOHh}DLVSj?FD=2>}be)cl zZvBb#4w!hY^F5lTSL0r4*B6{cMdl7W>fNMXPEJnVGvAU;>2=qdu6DX^YM!o{JtMjs z}f-sJlFdcN}tU=1nmp!ZOERi;u|Cb?ipApq`-^Z@_|(#;(ldBcv1PkvQ} z$ss&oTAI3v^CZRaKiLFT+)dlzG{%moidP zn)Wo}Jqrs0;|v%f$mMQ8yKQ(_@3mQuE~ETnnvS*#@el7 zego1^w}kaslR_4Aa4~TAi<-TM+J(ojn(yCg^fljE8J}mrERm99g!Gfj)njzW335@B zfRSzN;_xF`X=#3Rlx-aVxlQuv&tUmd&Nx?=RNGM@Zp0Dx5j{i!MujB0B==b}yjmyYaQQOi+u{;_xhx?E@K7b2n+d)EmJrOblt%!K{t`=a$d^B`$)KR%9p_tv&|Et7h4jd_z5A=^ zuV~Vin}t`cu}I=NLdeHj^aWEBII^F{%quukjUwqJL)L=+;{~9TO#QHjxsEQW54(dH zdSfm~5(vQ|A7!b(!_GX?9BNO6vz$B~zTg2-pu`ENBT4Bhey_8v5luVn_@zzmZqv4; zd*p%5(MSHNdQbm_wODQ3<&aWvGPdg@1#Wz=>WKVw%<@(W~ z%sJVvJO-PCwK(O7ISuHK@cnT^e~r6qZ$(!Iub>8aa0D^Z{>Pc$jlV`HN5KT52B3W8m>gl{Z3Z?Rj1F@6_rgi2PoK8nD&38ee=>_ev zQfIl|{b1BTtGfw(ANJsNRBR-Ick28B%UjM%Sd4qU8Z&b%zZ z#3e5<1K*#jFt#65#!mfRds&h)7vLv-JIZy?64Gx?hh-cBs)MszKJNq}Ze(%;cm^Nc zDF1kPZ3XX!1tl5jW4E%eNIE+A27U8E@lyUdgf;b5eAJJ*_6Ruq4ae3Dj82h}D|3Fm z|3ZUZ`BwBih7mp&W*m3o6m*+g+LBf7rmi78zj@6jD8L%aU}sbR2~c87o-g3JPEKMH zqYTk6=FDl5J-u2WZdN*~5}zFQ{p|;*wYKfuT~oQ%BWQb+KTJwGSwb~ZmXniHsBa{| zB>;bgI_3}77$f)s4SDC zuKzN0E6a~|Ac&l5hNp;xmJd*j*fhlB>6U# z;?#1jiIgoPGgmWQCKEdX?w>ap5oQYaswj)76(;L5kwTZC{=vTyn3EDpv%A>oE^+}Z z|Iwr_;t~>%37Tzob|tqP#L!V6`aa)Ha!GsUStbdHbBjKRy-G53v6gc ztWQY9MW5@O3C{b^Nyj7-Tn)vr#yz$wd&aAu3qnChg5}^{qCb7o?=!sG(vMggjq=A& z;Z5FL<9|<93g-{M5(NQ!_wMtIQtR@ut4)LOVz-cL4Fa9qHY31vqJp)?McRmo?X{c2 zq0_KTR+L`e+@;IB#69*g?0gkGx`G&G<(0ylq3gs60X?*K2^W%PRkeF?l%Z@ayT`xRxIk#@=Si)DB_-v{HVw9})Vm*? z$yZWwmuVZ%^j5t1c1l7*LJu?=LW{|YvqRSQj3#-J5l{6E1H%mEZi-g~M-5Zfsr0sC zcP<2CY@u&R#(vgbx{PW4-ZE@U8O>U4Y17m#Hyds*<3C$32kMZCx_uRp)O1K_Imi_8kSz2iv$I|NpZiwazXbTpQojcw*xw3EcGcMFnm zepB3CJ~g7zcI##_iYzlEgt7!hC^sqWBDT?>zsPFNRF;*^D29YLYEE3px>h>6k57H( zS^N?DJZp8ReSrrNyj2`$XKs+^2t3z561@giSZ;GhCkogRBFI~hKYe293|P05Vvku7 zL1&OH1MJHnXIM5;;QCU2@ce(aVixYd*N<9)Dy}minr|PyQ@);WdxyJKJ_?U6NwnvV zJLN7YoNyB5Zcd9i$Is7iOVhkC)jOC00Ngd9I$%LYPEMzey0{&WM-I!R7Vu<2rhpH5Zg*iD;d4|a8<;92AF}dSV zW!!73pYO^F37qEQ+DdJcRQ>cuIwAFisu*h2h{FEF7ar-C?a&d|RgdL{!#e@Xt>6~m zf<4BtR_a&-iu7u(|8!UOSGn7&u}GV@>Z6}rqtu)C&$*@pGTiFGeNjTCn9DRgGUI2P z2yVd+a~SCBPYn+?*46I(`t>gKmNutZ&hhcF5MmPsc755vx^pZvyZXCj-ckC~#0mev zMwLo|t%5oGU-QI`OnRBx$7=zaP1LsfxE`6Rw7{e=pLhn&I@}UX;K>bjT$&j2L)xZ= z)v(;rEZ8$$SskL0^Q0n@$p{a)mM=xa%y2;F2QqM&FAx}EWSHgL+r0Z z55@N3hh%D}VY&-0@nN61z!Cq_5kABc^%jXD;~5^jmw`U4efE&zTK;_}LY*d0-;AGU zQh>fttM`X`SGlSPnGK6yr2AKn6AKAx7G}+W`82rCWVK;QE1cS z`^eb}RqR;+zSHuHybB+t;7R#n%@9;nij5w1co~GqV{jDyG|xmua)J*rNRK(IcOV&k?m>xw*X;Py@b8o8C2a-PPBM zyf-YYqIi!WWE6J=J}B^(jHyJ{4;Z+)&yx_Lw{Sw>Wcp8->gDaSfU>B3h^9ZC`js0a zZ13(;*_b6t7g4Lv{VUkQ9X;25D)hl*IB za@@P3uS0sf*iAuSj=V9~2bTvzl8U_}Dn*jibZ}%u$B2$C#OL!laD)q)qbliA(_8N1 zY(xNDAhS#NeKU$vzZPlek!{H`QoA8j&RGh1QZ8(IOG&TY9j`GcWk}7_6_}HBji^25 z$6o+2?amQ-Nh}F{(}w$evlD?M5O*e}SHGQP=L~DaI!BpOLPA1@_%HMq?N_Ojz&Wf# z6_lLhU6^Q(I)3%x@oQ^jW2Yx;RN%x2rGMW3s&iCu?pLmx3gM$W*NDc`fj(cvxm)kF zjo2f<0ey=#xqR&6&rbKQ7Pcj^a7K@T-?;j=P~4TRW+;}7A_1# z^CgDO){XrB{ri|&a|;XG+hP>L`OfyNlsW;Vm0NIEQ0=UhC(JRPddLcXfdT-U_bg&5 zg@uG_v-vT#?(@%xoNUM!>uxrSac?Hz!SOm`{Tp}N3cBC>oS+L7EZDe_}A;u zKjrhP=6UA*0uLbmbuLu0~SpX}SEm*vv7yY$c{GE_R* z@bZe1@8(@jad40qWXD{HP5-ui-kb-cWB+VDDvB=ON1YRp3qAt3$Qggqw&lse&bqgB z-w-y&c~U6Bx+f^#S9I>h>iSD6a(NY%z7KuT^RXvessWQ8%1?x~Tc@ifypc9#3aeeA z8momgXFvM}5tm3dT1a&6=s%>w$K$g9v5hIC7gBomfbymdL4&*!vdx6JD0h_!%qS_| zgqLyV-CV=Rk5^Q0wp+*9V5GNg?)Ay76$f;Kzj5&}Q1%@#Gj_0)N2|C&V9Zz|egOe@dY zWe<{q;}T7|3vl!B z=S)?ec`Z9>;=t1Up%orHQi+s(SqtF9L8CXIUs1{}h~k8M>vflSSV z#I4-RyB20@swo)>plI*Rx7C5vGkcs3>&I%6R#5anIcV{y+2%zDBT zH*n5{QGboZoDmRm$+-+^>4;;(a2U)N-$}z|7^!6z|1d5EbeKojnY-050J5AugA)4> z)c+&%l-n84A2a}b*|{i%OH}7oUqwcjq~R)IRKHB1Y0L$Zg(1Rm4)LAbKKt$4lqds^Qt+nCogWEYm{64FJO=|n#}q3HelG#3~vBad1RTOv+Iw0Cvj z2L^f}UfKPC(cPRUl61az(Ief|Yx-GeXg5pH1u#4deNQkf=#I|bgF1wnhB))njc4MX zSpi}6hpTWAPPkMe`|VV8RFr#v^9U#)w_!shuv@~?ILLRU^JGFIR5pd@RH?dhLe^oP z@`jtXw6&Mt1KCjjNGxfCMfj9E?slj9zbI4d%h?`Q z_PGpM23Ww20vPfy2Xk$T<%T7g$JK43?GK0_u%~<_8@; z{l)?ijra6;U-T|Ic*xmlq_4GJYA1^EqNft(6_i_22bLUrI>S4GUo~Rno72l3t3t&> zBhgdR(;falCS(z&uvewaQ}6_;XNh8T^GxdHk9lk-J-I@6&2QY8JDim@y{olmg-BJ0t)nxxcahBuSFnw_r~5aW?h-Q0IhJdd}cI?P>x^oIpvO{~()e=e<$=9m0Ao_Xpe6(PggXNndG`^?e}g6?U{W8wdQFDI&Ij8c-z`u|8te(Lq`d6(F>s@V!F~hi zf#V_=?^EY)3((s21QD;>S`Bs&J^`0&Bnwj|h4nVi?g!dle{)TgPl)M6Pd3};)|Sj= z-s~S162b*WnXD))i3&j1_ZiGQhaH-L!QCb+`s>X#;1nyc=s4^o7)Rs7YAa^!FL^jR zhOcDWnI~#VHp1*(IQm^_=XWTmhyPL%oh3o?IqWZEJ~C6!|9sy< zV!2u53i&T6R?@phzKcssHD*ppV_$cpqk@+J=ze4yM6*YPyySpzn5)|l18TGHjfN>t{3;LqPISVCK zRYN)2KR<=gw{_Qw8#rWxeB{6-UPkO)s``|HB^C2Y_jASDZEX|>!HRW{x5YrtiE^GK zRAX~MXeteFx6Y_Sqknn^+-G3(SIsQQK7!i;UWe6+qX{Pl=DNDVgef}+PpjLlugJ;C zJuIfWOfKHO%B3VYI5hKZ_Y@dK0M{Tki6nAqng)sC9xmh|3WJM39mGi?w=4EW3WI4r z7^~?^aI$Cha?W>vOQJ&41ms+|Oxup=tv5qa|0M2V5t*r}Gfx6wfjX^a%qvWlfduq@ zZ0+XFn{{6`Cpb7ca|A-Lg;&L77gJwf6+<$C%e`~$>|9UHG6MnhLA{5>D?vJ=c^`pT zM2hNovPm#NIOJbCt$`-XgiYSt7kX;6+mZ-)^oYnxR5NHmgf>mAyt1;sBeT;BU4gMs zfMHnXz{l|7xNSn9B|PkAD#v`s9)51ZjJB%){d3cbb(Phf;fAvW#}QoQv6;&oq_JsC zNq~1~ef&(4o^MAWSO(x>8Vn2>w)AsV|7%ulUuA53cf4{u1}a3nzK}}D^^=*%rWo;L zzYtaSn&DmQCr86-N2cIQw3@XyEg|*6$jE3TNQ#r;jAU!UE_f)~J5Xr>{Z8&QySXp2 z^rpCYaFG5X^=h~bvNzUN`>6|fuJq1B_S@hABXoCni>U03*`ViChq0>7TR=F*os{-8 zXo-a$DD#_)+qDRDrQC-cVxd%4LlY~ znB5x$Z@3EOV7ZRhmx+l90q`K^nZ&{D_u!`Q(p#qgTCAUf^Y+x*R}*+Hs4-QiY}8`S0E?NX{0Gheg<3qrlqBo5ezne{rT?JDm)KwU!!GmGuGj^ zPrn<8aS?=M-`p_EqM-x!jD7wxF;g4c7u5#>u$B)ui&zU{*?vY=R_jLEh$WZQcs@*Cqpx7V2-&5^=|*tCe#$N{hV&fY=QnB znj^Rp>uygAh~0ax6gl?pj)w+$CdjML+tH)s>=QhXm$UN|q5<&_unTBz4hDMPV3cx! zg5lrOA$4#O;`K$Sa{|aH%&augs+_1N7|ppG-8p|~A(xhS|M`qeN^dt@Q<-z|5f_pz6y zdQbKcF2qgfQ)hMSasg=|@D9VSRvMbFu5)Q~T@~B^?)`g}>h)Lznf>FU@~ zUk3-G=CghP)Hl*xCRaFr0k~4+uCkhxGe29#L!6`#s@KI?y9uBY$JMp^W~%vTm=77$ zAd0gb2~-Ey3$J#4u!^$3bEmsLPzCaH`t5G;mi5a|=sXSqW1|}ofAJPgelJVO9VUzE z!kuEHvzwYST_EkQ_~2V&m<;`CpmR!E8(vccpg;jKn1>X#1g;t!Vm1C737OzBf+85@ z_V*VoM(9K7F>m1)o$n;2N%%~M2tE{d13)z1;PVztXeaIqYq0-&hJ1eZnUvXcqtlZu zdnNeK{T<)Fy-w`4W4OsbcRbWtx|5yFC#C%NnbFUmFW8ec7J*giMV|W)SQR+#GM+S3 z!K{0yQ<1|d6a?|if!;q@FHVdPwyhKIeD^DS3DTLfDi*G8JxQLEYH zWs+DkZ3|bf<4NVPGTipf8#k<TrJwS1Q%FtuAjffr~)G}C#i%Kx~0xZeXx ztAP}T=z!`$ahQyWdvR%Lc&Qi(xzj0^L*zyrx{VZ z<{fO3op4jYY-Qw<6dWMt7$=nV8*pAsHUh;ECc{+n;*#qlCYfdvWsH|G`B}OW7GMk# z@;E$<8gB3EJ{(K@DLBq&7PJM{9I}zoQPC4GJh+3vhS4_qQ3pP_v~wC<=0cwD0P|Gg zH_q1zu^~+w+jPu`>(4pA#$|K5E55O&MhC}i0}h?k7HnC!ctd%4OAX(xaGA&nYVE## z3D$_jVPoEj)m>>_%{4gyw&lEGlG2d}zN!edWmj?5`6)E*A)pqc4=uXKaEEg*bbtQ9 z5uZwyEfyQFc_*1Bh$X@aZtM^VeZ>IERp2}%@zZF02KxJ@w3IUB!QU{L6|>v({v>_q z{_nC;OaEJqhl}ST!gi~~eg^Z7CKj*PgEH@h+*ytgeUigOvS1FiQq?zHI*-+^3Tpm) zUH*B^sOTA!CQG5q!cBRv9|8ZDtkNuY_m0tc#agevmKvJ*^1>CG~hFtq5z4n*^)^$*~_(qIt16}8;4 za!TjVJLpkyRznQ^m~(^Z4;wEB#%xsb889kwb|3aYK@+^?+h{D}_7A4TBM#!5 z7P}|2s7Y7Ml6D2O!-54olknKpgl+-(bIu|ls&HE-gpF>Jv6lc{{F13kJYpA+kAa;T zKuGy(dmgX@A3SSb8Y{P1u~Fd4$CJAnmz&v6QnP~Wp`sQ`nd!T62_cg&PW|;8P!-5% zMvBOon93M?D<_J;J;?Yt#p`<3aUI!SjNx_>qphs3$M3fih3bQ-_5CA1gfJX8f#e;& z;CeG!w{F(I{rp&KNE_p|Hlu;K3=Y3Q`3~416}h+6n8M4Nx{j)0$s1X@3W&)j49szC z3h)pAJx`CnGA$7*TUu`@C zxky%2innZ>)xdwe0OYix&YN(zDOa##Tc>j1(d5|J%prgTqh~&mR-W_~)zz{Y0b1{g zTK)sfPmr{tOMw-@pDe4Y5&)hSJ!JNlI2FDmnvGooPH!C?DU&{Lln@ukJYpNGfw|j> zcOJy;XxfSyxRTOkXX&=E4wyi)DXKnBPY>K-*I#aKCK;u+Q3cPW=L7TP3nx&Kvv37Z zyL8p_Vhr3MLvNSXOC3dpnDmD%(#|`Aj z2dZ$ClXxUU0%-rU-?1mS{r0S+PZ>y)39!BC#ZY+mH~+zOk`Lc zgAyoHt5x82ewzv~t+TWsgC8tN7VAx z*J0(a24U=llLx9oXaC;thbMJavl4qF)@q*k_s5rP4 zeKWBT-^XZT4ccBHN7_$dzxQ5#2=9cWSeCUbFkr˯zCrlkkyk%n?{sG~(_n@2eIf^=yePkQ$HgT| zgNaaRQfPcv%d)exlYLMF4K0TYdxWJW#e!H$usQ|51rUHjdBcsT>|N+o_^*|_Q+gNo zkXQ@iyMD?R?hUwtC^Z{~TVl)`H(#!LbwEFN=kI~2=x9001n>nS@2`tnzJC}cEWIx7 z>g?P#>DqJ_9krnWu4gqauoC&3k-T-C-eH;f;`qOurS+s$(SoL3DGSf3B)xW15w`e? zz!!2oTpr|;62y8Xr=)aurLxzwd`K0%*DT(wdmGNtzHi^eqSmoj;2IzH0^-9&&s9*z z-gj$)LA?SA@0EE|3m#l|19vWPIErd>jCT-Ej64@@i)-Z09V;v1(bHL+1;g~R}3h|Ywea{o{8*}ho(o@S_xW-#GW)t{DDH5>7mclJ8f-QF_GL6KN z?rsS74GbiRVj_h3wGQ_76jUx?&l2wh?_N^fRYW;rC_&vXv8Y3%=?1PVa0xLd>*aNs z;7(j>v7d2O;IbF;!q|fW);Za{l3^DjJys(g0;<*G5zcgGbd@D=g8OrM=@h-Zg;2G_Y`}C- zHM8hzLx(XEI(P(FSjq!4k)kJ92Yx-ZGjmc-sReMkys5S}@umXR-qh9car69QZ~!VV z1nzTjaV6e(lP~lr#9C=C0da%FLe!5LiA0703wh#M)B>ER$k*B&bxFLB@`k_WGv5F< zH?fYyc?umBAoSAEa}!h^-_tq==X_^r@zk!YJUY!(Un>C2w3x%#)Ax-!63^^OZ4H-( z2qauWIZ~Vk=)TIM>@~QV5ylzNq8>f!RQ*CY=Uv!)MXkHqjLQo2ok4ZD)YPo|;B@U+ zmFO7+8T)o{mKaBrhXmt7WMrfl4JUsLtF1=dGvDwX`~feU6uDM?O1JG#(M`V8pCGQJ z^L1g2Lap_|3@hX(?RqNc{p_rjkvBIgj^}9k;zpmV->@fmyT+(0Exnow3OYUYPX6F4 zlafAe4PZE-kU3D<#5O!O##RajIOOq~@<|#>QPzmN9-xZ+v7-I)XCL04@#}bGSHjXn zyd${{Yzd}{Z0XwQmZhI?-A^pk_*!VUB_wgh)8#^4h59~S*YLouVyTPG9wp27NEq&K7nBTgU=h|t@y+-SI|9+x4ax4>w0W~^gZ@xJfQd=U0 zzt=iHh-4BYFMn}_PaMGt zAV6{<%_{?(H;b;v1Xt}`D+iz+xLeNqI=D9GYZZxsMIHszfZ9a z1a*F)GDnnDswQTAP>bQpLyhKG!ve>{jwdju*Ey!?Sf!6B-Ct%TM^KQ0S^JeD`>J5V zr5Ckv>ncp)Zsf8?s5w=T1p|Y**JF4~`lWPek&r z6<#aKsQJi4fdD2xO^K`vWQ%^vUtYjGMURWCMiGPfV?3K!k`eMJ=JC3H)7(6p z>$x95^8UBp@eDQ%L{qXc-Uaffa8<6BHV5Sgu+*1c6bu4WRN{PVI?;q@*rs`{odfJ> zMgeb!vp`GzqZYQaY6*TY3iu)5BErHT_Ae?lqG^w|@blfnzZk}ye0`@(ud-D{;^vwU zWzT^}GOaR=0nF`RurW3p@NVeS9|0Vao=v<`GGbt$A`mne;?^ zC38%t%0@}pO@AgN+t6j$rc0>AXj7d6e4zY0CNV;>{Qwd=U~PsZ#r{_#|958_H*cOu zq6P|VP8BNPO-e^aQ?Oc6@?iQiALy1vUGM*pA2RF!Cm<(xF0Zsgu%!cNiuK?k%O5}} zCIVampU)i-59&LneEEt5nNDPgv|XwW<$fGi!IfL#lN18j(!e`QFOO-}B{@pNOVKG&SB zJ0x18WQf5FL;S)k>OCN1_I$I{;m)E?c75x^JN@ZaB+=VI&?=)MhNF`0BpHjY6(M`p z(=8ev{{;>T8HVKl_{5yD{!N3jJf}MOhXD24@3l;ZT;wwvrdY?7d#%O0d?XvLU7nzxvZDX)2JAl{U1^+OEr?dGHM#W@0 zDZ<`fEtt*LIexcLg9h4v39R81Cq{ru?>})2{>R5s9TBx2Yd!Sw04#brhlvA&P>5-Fn&!jc^@j{g!VtK?t0#mj3G?ngvDAkA*<2P z&(;clviu!rSx9i^pbxFnf%jve3>U>yKL`O8Fvokf~-okEjlPXX_Mhbq4?8Gj%D_1tgu_wL`CiqpKmCJ@OIiS zjeWxX2dKliOO;sJA(=4halM(1#a?^}rH%+2vS+vH_Y;&m-4C-z_#@(QmQe;&N{!@d z^t{aH7ZAKk?*y2Zu>Yx9St#MsW7@b0elB|Hkf+^VE`G(kyma7Jna=$fGx_iAyJ-IR)J{(hVO8T zro44TaVGHud z5EKe0nFrd0D;TGIQs>8fXN>$yv;}O%<6Ib8ZGPhZpD0;go;EZB3Td!*BwU~-RrbM$ zNV)MJ*Hl-#Ck)tE8fXL!EZJo0C&&c}Qrv<&9q#zM#Q-euhB4kd$Py*rHSW9s*RL!z zNhb3guZ7}0ll1bGTmBB?%$?1kRtN7!XUNobFh?AHya$7(;t}+65jMlhL820R+a8F7 zZI*QXNdyR`X+_hUnWYx3(`wSrRc(n}Ty-eV% z(`k{BPTSx*rn7I6(MU5nVJnRx6~ZeUsYCqH_Ne1%jlJxKYGzC^wQX@;o?wL{5Sb4! zroi{F=CBOHSKmoJau3onU>kY~L8ZzqaDAYig4V(Rp75r{hsbiKXLQ>tsA6P+w0F)B zJ(~5izkwXCZLG71(al+aPGU5_O}@{V_&Cv&u-dSzt*uO1&nFm!nt%XpXFQ}D<)xie zJRZ!dYeLSK?Mm(b`{^TzPPj?UFnJwfv^JLIx7Ce|7?uX`O_bdn^Gd*bME!t z%|I)C({zX9xMd}^dVR)~EN5tX+9-xz6$phM$$+Ff-+ig0oA6hObFyWFTr?zXTj9PI zO$wnPNNPg#8|X`rAZn;K%yNfytUatb>hBaN2pYVki#t+-&V&16d5s$mtA}j7rW& z2h)sPNT~G$#4^CHKz?RwphBFZF@{G?0$suqRt}dY4^qUaj(p$tu}{9p!-&KY?x*JO zu8g;0Vei^_;Jgg0ax-cNECwR&n*EU0&hWhw+riidq@3Ox%nA<_Jc@cAf}EHqhktAS z^s%#Zqz02AN+;)vAM3_Aga4k^K0&^z8!Us23O~Ozq}gDKDD({s!gcvcpljJdjPbSz z%L~J&Fa9Ev!XbklwuIS`9*5L(_$jP?xRB|ujs}D9sl>nwwih#bS+4wl#;sm(j>4fi zMHL(z42WX!+vg%M@LmVBESd)Pos0@5wh&lxiatAFl~&$gNC2{f9D8Q14k#J!B>&xi z9$nD3g&e}hUx1t5lX;itd#*{GnAFZ} z^@*N0z9tJf{Q;oaL?we!M85@Fi}9^Gj|&-oza0A;bon&HDg@E8oCYKrBE2y3;bqIh9WQmp%<<5)qc?2aq=e!-7n3uwr=U zyzO~na5k}d+5_Ol@kD3oo{wN~l}lQNi0woMxI>g+Ycb1V{IR{YH7HF92JC`vCCD9W z#U#xu7}#&X!r6tAyiU31wE%M>ZQSM7TvQ4AP3bj|&Sm!|A@H$~0BLIH*Hj8>YJhGU zUjiA#T<@4GNB09;5&&I0LIWxD3bsy!QDQVMpRbY*80pjiMXjVb^fGKx3-L1%ZqOJ5haCGb$eFtqsfH+m_&pT&V>1^ZnPk z7k?D?E@)d;Y$O_w(-y55r)DT_D0448G3I*g>zf|PK-LO;w^SLdOQiVPTbL~ZNhjz+ zG`Yy-w!!aXTmih1g;G~TXY^?sY(M}Q%RG^>6R^_AZ%mzgX(&DRZFP0&Jn#2oxP56+ zX?j2UP9xkU#J(_-XbZ6!id20e+(p_Wq804j>^?F1I2|g^205X7_-tqkYmFd& zmut=F&d!`G8BkALo%(_6FVfSua!+9djV#C!op|kNC#a+lzgc}l(CJwf6}&IvCkar7 zm~uqP54Jf$CCr_DUx;upDtK??!UpsEaMPfW2Cq4h|H(f80F!4k#aSA70)|h%qVQv- z=+-AKoBX#pald$LJ0*#QKY+jgLHQYA|cWs z2!cu@-Jzs3h%_j%=@O;mUpMHC^FH%_-*bG&KgY~Im>u`N_jRpn#ktP47C_F>QdG3| znv>VhjsAAmi*Cz!ZnX4-Rh{761{Z$3xwyJHU4)s(b^UsyXwo|o9)$7%3^;`mNE4ng zN;X>FbS|g1Sp|yYZ8XmOeW1Q0y(N^`?85;m=#PX!Qd9I}U#h*pcW#ETSQJ~Zp8V*M zC?f3xxMuHM9(Pi-B*xywyo+?RMD!`%Gm)QwZ;8(?48<5a;M?hDeU*c>rS4+{9tEP4 zUzGUUZe6pG4~a6Vk5$FBI(vKXL=aM^@9nv^iNUv9{ai42i(PL})(HZny=j_O?GB({ zyV77ajn1=61KqX#gp3iQ#p8tR-$6hKZ3Z5}p|5JFuWw`>$zt}t7cPP$SsvHp5ANV7R=8a{SMe#L+eSLNElsNSNQ%aWXm71 zX2_=xHJ*^o$jZvCZQ^X_|5&Nbe3TZcFLsOdc|u1A@eD3e2s2VM>lY^ta4r@3h>D`( zSEIY)_aXP5D~tGF$2s(_Q>}?7&}VH@a)c`h)`#JoI3jG>qMsMmNOJV6fED#UicTOxp?Qgk&qoSRS^Y9Z$@^i4*@kN!uTiHxxd+#v1&(?3yEs0Zr*{@wV|*Lkd}OfztXlF4L}CXQ z$j~+fxBH8~&^7o{>E&Dq-Ofkw=I`1dASugI{W45L)E^6v-yEZ3IYma^==O#>re`6YzKhVD_juvTPgWT7b6Vg@66udY%9R%#Pa=9b>& z(klxwT{HuARqywpuKW^v>kI!7Gh%A~X{40@)03mRwEbJVdv8=xP?$O|0#to3p8Sg3 z+z(#~Wh{Ypu&cPN0=+A6BhbpPpgZ^Gc}$nqsny)l(zLe-40NE{RIm@yGh>1fIzNX- z>ieMC>FDV-cE-rXYIkvn*N`NkF4pp0nyRm2ly8r=YPUDq4}bacrS<__nnT}TRM_=r zx(2wBB2LiKKrX*5A)-_YL0k87v+nWF4<*>zB}-EA*)bF_EF)g0EZm;F`8Y-ryh8}_h2boi}G$(ero{QJ&_>oyCoz1zde?py|N7EaG{jNFE zLSkE!36yFDpQa`&_#(X5@aaC9Lo6NDd|V1xP>j*!>_|;U260q@E_6C4+=~aQL6A9w zHZ7!Qh@fT1iq1Cy(;M~((!Gn(jU-}zU_u?mZk-}7E-rsdxSz`T6C+oDl*ux7-uVLf zc<)u*28+fZEkO|1X}J$mNW1Zk1|i7wox*-4De{{OaOu9EUvpe^Nmcbw)K8L5o(z*a zcks5oY~bMjXhJ&2tm2`e&r}x;9$UgFpaWM8^v$?hfL1ZC3_WL-1(#=`y?2(7)GRat zQN7D<0<;S&%;0bU6=+&y3GBZ>dXwQrlX!`0gxl6zw*j)z&CEmp#DWGHFY|rKs@>xJ zZCXoo3&q8~XLZ^H86<}1gk0m!l5iISbLk%L*$k!26t{fzl=#o_K<++}>g+V6N%aKF zp*PrVY+i2D6D#Gu?exWW!Pe9-$NO3O$Wqv+kF@RX?h=HO_(7}T zyWf9iyS;>NKQ&i8YeAj0;dy?AN~yxmdMI})HVYyA_${X#I--JK>BHviVHI?99-8fj zf?faIy#z6M5Y1*P=+6X!BN?z~?VllN$N$u)-^RvoaNS|{I?+<#*f-$6$HytstLr#r z%DYMA zD0ytferAF{aTFcre(vLrf3Xx@*QuLC;3Jo#5#L)(bi#*R{i})QG$1PD?^d<(IlHJaC;5d+jp>v=3QM~S_@>ZW-NS|3f>f_GGI0BsWeisz1_KZQxx zw0w((Hr+(g?9Od1W(n<6O<$soE}IRPGC*Z0_}d4B&BHkM;8cqU9C{}ZStHP7x~6cD zD1E%{t9QqaM$}359ldyoEWjbxBEbHIqGt_<#_IO2E_*dSkneP23NSI*uV2)80KRP2 zD`K<>M7pH_pFjg->NmjHDR0Tgi=D$kPQ|tEc|x-KA8Lt0`h>Ua8{mLW3vitBkAYKa zQdwVI^Tv-W>J_9Q^@-x&L5A6tZ1>aD>USWJ2lzE2?B_N745BmHFf=fv6_E4fJ59P5 zpOax{!=bl)CbT;vEmnK4L9^}HalY4k$x1ntd#o?69$}&gydA4p-~CqFDju?u6g{Bq z079{97ihTVIhvu$%F~n4qRSEQb$ps>M+Iv2bmx|-Uh>&U643~jLk#v#bmLgg%Va{I zv0HVvTh!5Sj{^H5aJ)|wTq>S=$fCBM=4gesf!OqU-hoE!=$5t= zEtvvb`YEZ8MSf+S+St%B@42t4p;E;BK*3#6O59cGs{1hQ0~%&3$L1zO482BvdlpGA z)ZAkXAG@X>P2EDuZNVvWgL@vn>ygvZTF8hAteZlU7+L_?7Jde;hd15J-@ND&9&?*@y~JKulIXyfAYQs#BEIe`fZ zchEi_LbEAq6f{)Sq_{N+tOKt+CVoa7K-3~*cor>g}w=si?d zbEs-Ev8onV>-^L-VGNgi;ZX_790jQ@UG~TKE}u5$kb_2oFLv4I#-h7u6pyki!nxYv ziAsU6^!-->=vUZJr(zEzrd?pI;GZ?GOz`WCBcveCfNq>WbREep^ltqqQ)SIN!|~mI zb9e8eNLjS+hn5?-zFG3=onge>9Ap6&_ca`67{FDVRj8WPdMc{=g6B)CRE5WTVVP4# zx)SE*=1^b2Q3+FU!uC3R$FC#h`Vb=WkJ<1W@R*NG6n7RzR}tjC@>>=>pukQ|!!Wg$ z$H67{F22yczM@{DiXKX01K%+_+WoYV5*faTh%f=n;|8c^hTrz&0SJQlCVkrP@a9fw z-f+0{R}N-xTxjn#wDgN{uknM5yskT7G@B^h3yN*#$lO|EQ?U*MD|-hxO@^{hXwqSg zSAh~eW6t3sp&16QBr`pIu+ZLh3aXsT0OY#H!q%A%FAu}Sf{AAkH2{W zKp`$lY1#rh?1k0+d-vX>S^yrT%fr5n0$ARZ4C&usKTG?xxaHWE4Y)kiwCsn6H{k=-2mI}YvMNHhKfa~*k>nqWRV_^-Cj=$ zaLL%gbkD_?Zyr(1)a95-<$;pd&+orzegQ@#MGu^K_DrlSLQqUvD@f)# zg!H2mJ>e3mIuuJ&^}_v9u(yan4Hrz?fc)Ry-qOD7K_SF1(IYu}q}C$t4OXLmWilYi ztz>5>0MMe?p=C$vels*_7Z(@N5=>_z@O`j&x`h*~O_q59p+BX184ZnuppUW+4+HyP zVTlF3{Z;Hcrr(h&Sr1(vaGnBBVKzeGn-?0{tXFtU;vMv{+x0n70qy+H@A?dcP zOAwL)Q;QvmBIR$Pq92>-1W!lx#?@e8u_=8mD=oeLHtL0fx`UXy{WqKwEBap=iY+cf zuisAVAcr$RU~+=B3F}@i0-F5+L5pyc1v-+((>ftN6mFT&KY#VC#BJa8ga!6hPN#Ce zv$AH~^HA4w)esvRxkwsy=VrXIfsRa*E*(n{3gCXVzYqMZO@eW1@U1aXNbv7>6R33U z_I8wiwe|Zu_=1{10%GD9nOD1+ZiWJnDDgdIixlKWO*i(omje8nPr7G%eEtpt#g_%e zyP-f&aS)}g?b(8V=9$Lc_sGLWU_67|BX{(dq4qleIKYq>?Zw4`1FT6yhk~{_2Wf-k z=ZipAw{+G|JCa`k^oBxgsV7a;9_NhA%`Z85yAl!;ue#)jAQf}Wz{qXNy|p+CwPk66 z1_0JDHQIyot6SP4wUk}C1%+6-v}br54Ip~A@+<&)KBT*(K|8KCe^ag*aZGLaUwF&gXft3 zG7KFFIfj|{fHA!Bi~9)+s)q4V;XzGr7!6S8_ECfrP|S9cfyY!&&^GMM;4bf;G&(cY zdHcQMMDbNSkXC);WE=Ku54IU#SeIV}+EDNr8njsQw;C8go;ZrP3W#Wv4WtuVdZ2dU zx_%33Jr9J6CDj`czQ4$d$v7uy;20R<@3OzQyTd#Z`|{<_m0_T z;w>DUQ0-1BeH;r!Y9Y#@oJ5ND=Iq?uYDR%ynVJ14|HDm~$La5P*1Gyk@YGibt#^2~ zAc1`4M6A{ErYrzR*KgAzG1toZ;BfKtqm)?5(a{ub?R|ZU-9|mYvk;6mw1eg@DKBZS zj6j1VU)x4cs9d!y`Idu&UWD#f(6l@0cRJ8V+a%|(;AZ0p5aa+xw;ww9W*RzwZZ_#s zw-BH;pHwSD*-p%4>(FiXwvV!c!2djrsgSK6{x-o?8GMgfNS|`B6>D64LFa|T4Zo4& zILHb!p*mDB>&77v!w8=HXWNO!6NPxV>FU`pp!cbzE@#LnbWi5ED9+%1)gSyds3{9M z$BBP)d>4K|Wsn00WQ~J?9Q`RwQymaR=n;2MRJ8JYcy`W`e%3{HXZ;n^a$<=tP)sW# zNvA9bxApmsJi{S~XsXr04+hLEZ^S3K7=j7r=XSQUpcZXx-16_iRA)d zVoby@e+M$X)vAbRyCO6CN;edl&3?WT9TRi%xvxTVE;H`|sJhdXu;YKJDAcbJ8SMme zGie|;t;LjR$9YCq2o{m@dbXK(*U z$YPr)R`MxvcW>_|ZwV}pCN^4LsB?=XdbNlYy00CF1PQ*#7i&e^x+SPzJpmeyoxcYoj zv^JK%iQzN(DI4p5(-ho*nF9CcN-W7G^iPxw+Ya`NJvkx){jEqB z7Pud%FobiX+zAt!$`~wPW0bj4+gJ zFwLJL$IQeekCgdTXD$pxY@f*o0aS8(p8BACZ)=XqZph*$SB}|$;u|q!`euJ&Z0Z@P zO6+&x?46sQ&RK{BhTy=bz|^hziuI8-j&5Hk$ZOBPD(r6{Jj>np)IZe|$O!$-sv>2n zCs|YqTMCa1e@RGnkBZQR{#(O%j~0-L^#ZoYiVfO|yl#EZ(-Q@CJcGtzyei8u={z?) z61fm#_+Tz)du)kNwKi@6MdiwZU zss0TW)Av3VEvWTq$(XZSDCK1RDmVxz9Fx!Q9D?fpgqE;i--Mk0$? z$u{6>plm+0sA1YJ8pDq%l7FMGY@N-1E?$;>DDS+SGcoHRySZu@O(=z%#2u=Yf&|a0RpB) z!?tUJCWLC5SDq&6vO8}qCvoNvO;fCD@xt7fZOqB1Y^_)=%w%6Olyt}$(YerSV~&Fl z_%0srSPaQ5VI)Q#K2x4ObcQX!$L@Z!1(bvZm)JUF^07dfMN=rlJS<~1P}hL7v!MMU zA4efebq43gQqD&r+Y`F1XZft(3FtiTNt0XqIBKIEJs71^2!(fjb%C41FkZIYrzTgg zc^=CYM)R#%_FCzYnVYF}92FhT!x=8rxp#Ww9xT){Qh(_2=2rX8*Y zNLe{UGN^oc63}L+`PO5E;9IWQJ^8Cy=VOm*G#?uch5*@q6IH`+X@byT38hE3SffUHAaHgJZ3|N z>D1^Jj@~yYRugk`>79Pn?23xvJQN}^+qP3yEqLm8?%a8PrfWX3|IWIj{tf-A!NI`= zm$Ks+c0rdb&)K=PR+es~T&ETz`vLQLGF<3HH9Q*YVqI`XKX!4ytjJ#@8yeMeM%!6i z_f0jTm#72^bvJ#@a*!_llK!JX#Sk-d3Nqb z;iy5_%xHO%?EP!ItyBK2IWQ~{{x5*Ku3jgxLteb=Pg~fH^ zL>xRN**1;!Ym~HswFhVocs7)?v|8rif=v_$QV!2fJp2Fsa%mw-dHN3(6dE88^ul5I z*r|)`R_KH9dVit8DR;+f*24%}rP0t8UqMBUxKfu>!4t!WjnF!L08s zN4u~%!}+;$4;&M^@81Xnx)2o7I6r|Vxw+5-fAvSV^lIbz;K$#5$T=)08ec6?S$Euu zgZanv<*RK1`a&w+ZcUN@rDW%~p<_)5CbZM7L=P zIaTD6R;#T%s~_;$e*9d#%$rD>!8ifEl=XJEemaTYsC#kOpCd28c@L|g>8a(Z+Pnv|TQscup*bAO)|ZhNrT}EX z;54s~#6soSc49MA(@x_1+OwZiktZPlSo@iEnKuc(JvlMa!`BzL6BWs zu27!+DJ@2EecH({=?A}ZO`32h`V!10P*AfW9W>1&6!9ha79v9Q4U98W=`g8+s#eu| z*98*|WLIGK9@mj7EEi*0Has7Q24r}BpOvT91*};+L+Faxh4o~tUeeuR069Q&1-cL z28reLIzaU)2*fy)p3nhbk@LmHdr9y)*r6v?y9?$c7FGs1Fn1jKlCyxmjunvCzs=w( zWHgA;S8Q>fT+R9fz<~rbf^kqH(9Nt`%)YY0qMYx?*>>FvCRlY04Yk13JIKtrKDR9< zO}WssL(So|W@S7{cUKDDUcb2(0OCA*ehXY;u2WA(KjjbE~(i?Psq>d&yLMGdLa_d+Sy06b5lM341>-ISsu7!m!ag+3UdZx9F0%^d4L=3m9;T42o*wUzLLTjL2f?s=c4t zN)?XR;Fl4>sde7p9-Z<&b^j~U=Aq-&E&rkt@OF9UGV(V18U*Y64H5{elOBc> zyIUKOus286D-E)(J`(bPVcqxPEqN%#?;NcO=!a*SFMIlmL-d&bM`BBh*1Q|eYKABfBs ztOrp-ZZMAL@@c`H<&VOUANls~br2)S#^9Wq>*gfRtH%RIZ~L3k?Fkwf$Ava(h@Td2 zdeQ8>GK^J1^oU`Z5{3`(#gZ0At-J5xyvpg3S0RNiBJ-1xYPQiu$S}y&LI>EE({MJ9 zFI8@5RUqyRlWATnw@**H!eH|rQWWX_0Z8Txdg$w>C6f_8&~#w184B9=upZcd^}w6g z+ut4CSM%FXB{;{&wX%|6J76TX{-Q7ts7z$;A7D{btSgYrJkG1-QF!YbxWoK;IWQQN z9Kr`>>tSf9Rl=@advvJ}>F$T~iXCQ#n+u&zdGKyzo#fT>&T9K$^9XpM!hrsK+ZYcn zij1x*fRp)pdJPJioVA)+ikM58XIv)z&Ierv@$TH)2ajF_{0*Ey;h7U}7 zAy?ajjk%;AUvKpGrTiH_Yr_tk@V(%|qj(s>f?Jp2)Wo^%ZHl4Z?aB9F|Ir~5Fkk?k z*V!;YR_FmAT4!|wxmwTzB0`~1wpv*PB)%-GG4Wt`kj=wXEVsXkXfq_Y9B|(zo*P98 z+Dm0j@Fh%oLKN}ZJ~7`k?NX(a=jiUD9R?zL;6)_l0SXDxW$V=jDiJW~GhHcbRftNE zOP8Nfx+7U9+w9Z`7+gEdLR;83hwY(qnt#9Zjo1z5^Q2FgA{p(6Klbs?S(AV-`8qSR zRUKWKouORt6z-1$HNZ9Ex{Z?0RK_ulx#qN7gEuohEk2YD=N4IWBB=RIs_KMTkHfT& z9p=2Oe4;Lv37^I?!B}1_Tf)j254u67290Nw^X;cikT@!7p9Tyu2K~-aUmF^xe zG#?-yC;_A$4l2vm5|}o;Br@M5S>b~6C$;Ounh;uO50~25Zj6w8FWLq3Xwj$jT27rBx1G%9mU!wKCq=z~9nGTilKx>{kx$QB7OvJaxgVH*Mw`t#a%p}m!0v0l!GZ%8O!6qwx1 zNVi~*0;%(-rhP=m4%#3du0H}K=A^Wqv*zUwtp%)nwIKTmyQE0ulyzCK=Q}lr`3V0~ zE^=X*+8tKm=K$v$F_4G}4MoS*Vw=U<#q|t$Y6BGAYC#E zKakn@24g`Wan#4xBvlJ>#5Q% z$KCfg6ZWr^^6$l6#|FDo^4PszACNY{nW5k^Bx#_sdgjtSf7iBO zkNo1jm|B?i(vl<=&g34th97*EMv})shVv<$j3$}s-u7*oUSqs2CGS?6hh>aEl z!58hf9;D%_X%doTmCiDPw^a-zgM}vmtMZ3V%Smb{xU78}9NpuFGQ0D{LObjLf3zeB zRcSEiAQwZ-GGb(}^{R>>ods#!_`y-6h9$n!X%ozgKC@UnPLx$VcAT~1hm7rz#T~>l zgR_a2IK}i0S!II+m%_kK-i7?`J_tPeEt^>ow{sJ!vZO1)c~K#5hx;wh+l4cz(E(Ke zaCl3=j&9Um60CvtTN8x4;JW)FUIo&91gIVYs&2bK5j!ds&5_bL^ZIi51HvV`?l<>z z_IKB(V0PKW=>8rLvJU_lB=Oe1v>S;oTPzJQcU_HDbzZ46E$ESVhU4)DkT`LAKG5$F zWb-ZprYE@Z75nzHGc^r@YghH#<68mJG0(Px+7b?%Sy{8vnTxDdJC@KgE}C5n3nmkO zg;Uxxxx&;Vrgn?3HT^Re_|clkJ-|WLTmD+Jt4$~WRA7DR1whB| zuEVOq9tF9Y$ZxSsRz86NgDc_L&ORU^S&?fw>xh>PbtY3_x~Dwws2d9gvVNT7^Gfk6 zflvnV%0O0U=G5Lpw_&6@&$=I|pa$xYmL-DUP}!fVJ{GQ^o(RVZtWOq%Ee}1XgO})B zYIrJF2q9g7TxHu)A&jb%ERkLtW}a~4v5$1k-bFOF){}Gf&nP$n^_LmbzV+uAf5*FS1mO2NTy7+PE2dJ;rV-S@Br`_c}eD$>s)pj@zzfXv9WOVo~M$ z_H~KTiO|C5g=*_-D{~iyFV5|?EX~c%&QGFqx3(N1=!yW|{MtGob!(gK`$UvnesA7f z)O>V*80O$1+Y1&j&rN!lA}?54aPtCm^(enb#Qu;mfQ&_ZWvuRISdHC>W0x=?$JB>>@Bb7&&mjuper^cM!T-^AU7L;rf2In0}0HVw3V|3pS$rz-z(_MRZj?hF_t0l!F=3E_e9ZZ z8`?_{Rf9IMfRG4Vv%(U*&37)@ec(g5i$@p-EkLRcW!eKh4KRVF_}(F?{-@2bO)nBM zVLk@u9OE%WR0HeDur9&S)<*M1rFLhM*jk?}6e!|-g;2jBffIzBl*H##@L3)rchELO z?toyZSj^-bbNW#zHU}wum)DRS#4q`~3+JH3TjEiD}P)3VzHS+_A9_HK8 zA3v#;*OKe9Lf708jV?Un0j%`T!nD>N3`UV*%8j}#mP6Z|LS;o)X-Fg*QUO1-YEC{E z+u?F~%FgIV9agidx-ll&jK|1hk~OVGyLq?YxtbV63xH~j?L@%M*tZD_DV5FiX^_f$ z*3xNuvyw?N&2DX@8c!Tahfz$N>W)$dG%%u<*bOhF8j*+^YbH2#X{3)wd?nzIeZ{QN~nH=;|e@iQ2)3| zeQ+Dr`k2O9>%ne22n>PIiC4)>hF)?-%sW^kjJ3Xb_^AL*>-3vf?Pg?b002ZtQW1|N zKi7MmpR+eu#i9zeKK#;N zpm+JJ7Mqqa{L3Ye)3}An*g+lL!p}*bE5UEgM>Q-gDy=JkP$j0tr%S497!~=mjSL-+ z+@u|b1MUFb3!5w3EA@NT>?Z5anoj^Ag~`2}iA>ime3}n11Xy$&Q)PEV7Pzskwtmdq zlqKYBsOBdIE>l4OYaWI@;Ay4>T1ax`Ba*VfPC8x-_`b)xu_K*MFO)Q+7?l92&D=v8 zYC||d+$Wp40bEFwPr{q;%RrECVkMBmnL~d3w!Yv5Tgk*pr&zH7vVLNfQ?-KnEoW35 zwjrBvL^PY&O5jl--^N$31wq7JIP&#PtXb~Z5a_(Vxh&;^<9=h=0C&pX27m~0GBEp0 zvMVqU?{MD2)Xc1qH1fpx+ZgN?W1@>y`>q&gS!|#q&}vV%D{0>k^*vCLeY>^^t7^9W zIPL&M4(gbY>A{$~{DuZoC=KUbJ4oFe`T8hf>ofv#Uds+9SGV_oI1wwhvKon@ zq++=VtL3L{2T?p_A<#}yki_N@1Qu=>%h0rKKu2q>0OCtl*;ZS?H_d4xm7HNZ^7x)vLEX~^H`)<49YE4<}%j%27;#2lpG!Z*<+ zS|4!-Pf44Mh8a(mun1cT6uBt24LvG2F+d+C`x4skyf``VlmHd*rWI8Z4oS@GJ!f>C zKp}F#LZ~EizrPDU=Uwfx4%s3oJ~A;#lj<>mvoE$F>=zVlL>M!UxYm~n@kb#FrZpap zwoObm1_v=gV|P~J=4V##cXd`ELfCZ+!rkn*s*Ckcr?yAC~|0LIQV4>a@0pfdqf^BaBM#YPi)}$^jpE7S7>8)ITk*sn3dEO z;3}9o>`c?AiO%T0kWc(Fb7>ND&DCbeW?4~VK_$eD{`1s zzHt&za3sUC%ix&zO??G$s<7dUtPnJx)FqE1uV2T!33qeDZc zPXb!`#epC#=Ndcgw!hnN(Qs~ozcr9&4wz!UlEKsronUi8M_@d z{!_f%@l{2PcEAxk`N>3AMHk_bG$!AH*-zhM$bs1%8d#9G>fDkIe?0Y`HirCeY=ftv z`@l)+y99dCm-Hd#!)$5;eK_8C5n;B@evN#9K2yS_rxXX8%( zi2fzGyp`!Nd^XO715i0&a15pAx@cz_{^AqI00>01(zov71S++56ad`1_lP5c+xu}L#SN_ zmuUs30CsDwFxv{5BDN{}+Uz-2(@&@yZwT`hKKdV9v9;crlBvyxz5|i=5s60lL6E(4 zeHX>k2PC&N=e&j4*|Aoh(TSiNix}K51xJB%Gr)QT&}!p{WhC^3UW2UO(<1DGmdgN2 zyeY(|+Y+9FM`QdFI6KOsM(`*&G;B*JxS@-oe| zSs6)Eud#Aj2fr)4vvWJEOx)ejBjgKQ-&6|uEOhrHhfh&r*bVxSyyT6BR}60F*fwe~*>tRIWMsRsOAJcTqSL+HT)iy|)bUK3i$L(WR)t3k7>a#&T{Z zC(vqQbLojclTl&aS#znza?*3u!bNJcip6}mMV@-ftmw0AfH4?|g=Dq2?gYx7GB01j z)v15>?Uff17Pp)sf?yAT{!sn~zeDCavL2_24pu@~8P?-V5G=3wx332;Cd2Pa3qsZv zc}oYsJS@ud4|JJohGye`eOg%y`84+<`1Ds$4LZms`5>G0&L!pxD_27&idmm880K_yn>BI0xW4a!hCw>WM%3lP6Nb0u zU>y!bOZaolT@!NQ3m0tQn|57b-|~o&>#0j4*ISYimRTqGm=CwtUH~7|rb~75)#3&9 zNyQJ@964UQXuJV>*)O^ z=Fbcuq{7CZw6nP9v z;@J}Nql{m`O|Xxb!Q(qDkhEK7&d3>(Ll4;|@)Dgqc%gA)5!Aj;6Gvqk{Ej$z_}oN2 zq{|Jd)BpOgVaEtub^OBBgZJF`1>vCOIr#h85&|6~*bLO=5*7H$|IQr)_XZ2f@nx9K zT97{g6|-9}XgMKXmMh)GcA-^MPMe*_dx(32FOf7wa>oCYTwHRXzE;!=f(Zw$v}-_8DAap?4I@Fm?&+v}SLqz;ymRWd#h~XKOTB@! zF!q|w5!G<&*wEDQZV9D|H)84~9$ni25qh?y1h=N|90@mWjy#G)t*9Y4UT+6C?ywV% z`}>*7zn|ywrWozUN@~5DcI|*}bU++H6!CG9@e5bTLvaUMd5QtpXlYX~=49SAiocYv zEq}jBu=VsI{QnIQTZcN9RY8;-n#jx8JK3!_1faY>sbqs7* zW01=Vjh`}(t5GgAr7-Eux_3>^ODGuUAr3tgE#-%2OQG(D%Yn$_QO?S{SV?p(8?49t za7U3x?uM()fB&B^9IFclA2Gu0EMTPU!HK}&e0P>+)|UJctC_(vV08xy6XR9jql=! z_R{}T1%BbS1VKGD{ywFum>iM5?P0-1Tlk z5m9<?32Cg06 zHT}4g{O_CndiL*I{v{%R+-$x>#@~XXRllC^Zn#>S`cL5?;cyz0Ck*|*6zc2MI_uUL zLbtY#J}V+q$81EDQ6y11x}led)wz)olJ7{GR&m+qMx<`#Zpn6dzPZGzqC@K0@5QLl z@ZsJ5ol4-sxi<4;OYl6W1+R0fTtNqC4tgG9blp49GO`7rS#E;zL_EK(;#EZuZ{%;# znX8J3CWL1w5akha_CSS9WP{HJTY{*QXG@Fx5xha5Y(e)tKhPu~I>_G_Yr&h`rUj+? z`{S$5;>g>{M8m7A03YU_O#IS;6XCwmFOx#{z#>$cYvqd~k|RE^k*6P6glf`G8;XjR zR0&iX^r!hKi90afkpFo{!tJIDj!TDbfCP>zwn-#00@TbH{(rUThB%1{W z5LIw##&NN5)r=gS;wWxR9%EYvbFC}POO!s&Bxa`=pR-Kh&^yisICNSn<&G^K$?0E8 zg=+&*E&n(Ju?w=4|9CauK}zZOyAVawME5_8)tJa)R)v6>Wv~LfWb07zAm?bY6hk_I z6zckE_=`8~)I}C2+l(cqvRZW5!(ZjstXy!U56(HgvJ2e8A8SH0jwGz~_tKu+(3uVAU74kQSScAHN0l%)3GV)#oW9osVwzl>iJZJ97EODy4~% z;-}_Jb7w9T^tAL}4Gauqc=AJJS6=BkU--$Q!lL~AJ|3af`uRnju6>1ZtW=o@7L|Gc z?G?LZ&;7Ds|BE5`$DaKuU7!jCSZD{F9n!D;!zB;7b0bTZls1*&Gtl~~enx%IOFdd= zes%zT*BIMph;`5E%W_`7bW!G)$nbPY3mBqMwDm|sBVl7ha)w-Wtlj0^TH@!udN*!V z4RA#rP-y;iISIxW#uEU(u^1i5|HDb9e>DQFI;|XtF@Oxh|1b&vFaonRGN0(%iJ#_k zcBl@CMLN8;8N4BJvhhJKncn253&V5PHZ~5cl17Oijkdq2cr)LBVTQe@DxWGIlhL0h zga6i2|NYpiJc5e1j-WW7Fy}L5r*qkd?5H8G-m?mn_z@(rqZAwC^SwYi<7b0eT3#{V6Xp@8}Jxi;(*O*d!{c_mqCv4 z1@35G+vTvX&!1}nH+P>{vrO$fZ&&pxkrEadsp;K;{)&=dX2Cl(JR|t8EBp^L8_e*( znb|jE{{u&;E-0RoD3AFT%Jq4ur$>)T0ex1i(cQ_J^&%G=`$DON$SV45^Q&84l5OY1 zli2VE8N!*ZVsCljk_x!4+pP?SsYFYbR>qQil|R;MBZX6A(|JLlDCO}v^Kha{h1cjr zm?D#$O8EhesQ!QTX8vzNEO6{yLBW`@ zebBaui}k! zT%J@WE%d8$*YI44+;dA$hweo-qgMi{%H0X)A3GlB39T16RWI`O19f>03hEt4vlie9j8okZ5e!+cDwSv*^tH6x6H>8oAggs5hcm`R2ZsZAnP|GXt z54yqDIXa!aE%y|taLXWxd~lC@{AB+p+i~c%#=5i|ocdOa3@+M%dAo>T&gy|D!rx0- zT0JY))$+w*j1_B$c*$~5)Chmj)~NM27a-R@;}Zn=3ui^T=xI$7!`DABww~8}H&Gm! z*TJzqK2lG0X*`KzpQb8U#(+#8M>Lj4u*fIsi3n4`-t4vJfCg%(XTpgghlcr~6YKx= z*!)sO&cD6VLl^cfxO=lo-n&JnuMYNECIx8yHyKzybPP)1y%;w3?Y|BF#O{}fwR`kU z0bvtG9D~+_YUsUB6sGNYF(<3CW!7Kb!MU1DAEoQaQ)I!UbkUl3rck0se4WqCrz<~0 zmEpEC4HJcJyJO`i-uRwA`f_M)nnV}mUx%J1l4#fH^2ZIQ%C=N**xmHTxdOS1 z_TP67fhCvDM4OJs&|!3lxPQL_ORgJ#KMylL{&EWsT){&d++tz*&!^GX^$&ePMqhC5 z8UqhpJj6gZ&wCxlpSsR49pxo3mJ)li*W=oF)C1g)uZ zTnP(Hkw8zZ0Rh@!Xnb(iA4efIBDpMz13weV7ZKlHr7CW?Zk;$zRPtnABVKnw=bB@Q zRQmbWgOK6BcfSASTykGp`%HX+B=uPvQG{g12^0HNT$)Qh!ey6eqAd5oqg&wFNY;7z#_aNBlVm9>^^NaP<0t;PBrH&H(=&^MS1YDe)B26I93k|C1xAKvgUUm0z$ zcMv!J>3{u?m>tyJbb(3l04Ki5u|H2D$f$J5XKseKg>PHCYSW>Yxmuy6UgbG+AfEb% zr(3>M2%VL4OY{9v`_*Znx@^#W7wmg?{vdyWJ;cDwEtAC!yCw(_Ma7V%l7k@&lScUXX1YrDVA(&<8d zuen;5@(Iy7yPM9O?zHX4+4bjJ3{c3ChP0;18cotGoX{U?g?x6Aq$ z)L}&hrw0CSRgsbK7pQZQ$Znc&z2m ziWtfz4SicPPP5e|tSlSwwmgzU(rOl!@WMO!4P_1}bEndRSfi*539V2+sW^DI)ga}$ z?XxtCMi-#qF#HO$JsN+b8kBz?>q9K&PZRPBk=cHs_X}Wxkf9U^(-)Z(5xe}I@&}XN z!2MEUXdw%Rp?&)Cd8IL$tnk`_;_ZhswrT#!4OFEamMVE@1G|S*=Ieyi{C%a(p+Ob{ z!s(y28M&x0&Acc0F|`S~kIRR#!G9YY|ED|}at=H&A(*!lJFJxvyvd{}AUg6kMIVRX zpa7?J395=RHLlcJk?xnA4xji=ctldp0^)ZOMhS_Y%S9~3kl@^oD;*3pzi}miePOTJ zMLjou)j0d{IoFME&T}fGzg+8gyP^L);`t6Oe+9h%BY^*xn|y?mc`a>QP5MAAQ)rS% znK6M2S0V3^nhdQX#ahenLyf4yJ@K=iS-KFs>oe?D#xN;#(V_fjDn4^dk)~HxR!(pF zOqWQfJ*c0m?MjnNTvbdg4lbcg@j@6e2vZeN?O<7D10k>W8%)vs8%#+Hg1`R-OhNlY z!VE+JK}lY+kNstpFW}owy>IymvI_uO@}d|7k0=#&B& zMFz$g7t05VEne?pTfJ5!2o(#bGpQax1u}@emt7TZW|*+3eW{QLsfmob;pbbBF8B?c}$Y=)Fc6m!nS)}Vi$j9@iD>A8}T+mi?&iJFpi|+opE&G%VaV$ z^8&(jf5-_O<^Ya({@X1LP`&+6d-~ zpjDt3yPDV*PNYoFyNm_7*EMH%cC(U=N$(^TvJcuYNvwNb7Z#-U!`fsSBpYE@}|G?~KhwbWiP*6}P&AV{d%f8+iZ=dJ) zO)t@W38h<-`S!_ZVv@v)Wf^UwIpT~*NGbdM2;Ph^)51EoKTBa>5(I+)JBcp5%J_eF zYt=F}vY5pFH2c(V;=-K_Cn2bjkb5dQ=;50@D=9yjCTqfcg;`0PcuC^IXfb2ITan|1 z716rjqCsvhxlkl8Y1L&?I~)m8x#1GNx@~L#wVtMh{rZP`A3gft-s0*86QLjXcE}%! zbby~6IWU&Dzt^ZPe)vS{A0v~wU8B^b#un~S7Y%&ym4a#M=9j}^ym3Yo)w5=!ZXogpM!vrCY0Rip*#ew=~ zlEYBomlYLj!huwpUqXpO6L+Y1x?=75$4Dk}Ooq0C{<&C5R$9hoD!YWcFL`I=RV4|P z){mqxK1oqFOktHCG^3|3n+dqhS$AvIL$1 zoKKT%hd03Bnhu_b(h2s&w@Zv zbO9qTKs)Hs|J2q0X+{p6puZhDBoUQn1PKF-Q@L@cr9&Iok-BFWPFtbrEn_XY#ohW~ z5kt+#J9|T;5@U|47eu}-Ru+fly<;qRnXx^e=_4;Q*ipWhOZIb;sItK=i|lvc*s=3} zNA3Pa$l!(neo)vZXTI`h!b$F?jHU${oun@mlw^x_lT-RiR@#Jd2{UM6$u_-`Raa25HR~ZB>&9q=wFu;Usb41U+21Da;k6HtnLY&eJB>ELQ|Wi}KY;Mx z@%`<}8~_Qw0EYhsRG@Wyd6+H$HwazwC&1ta?OU~=^mmOnjltTZ2jBblSMRuz@Y`;x zigj1?45j&uXu~=J;g zhgjGj**ZiU!f^$j?M)Vr<&k5D4LG*WWNJ=|%P?K~|JwWVcqsSif8~~Yleh{|Xfb84 zY$c(zB4po_?8^{B*`@_8l9EBj5~1udj4iZsvy&z3TnR;^gqbYE?>sZMy6*D*{$9WT zet+~bFFp7?&vQQKyw5rB^Zq1?31*m#Uo$7)L05z*N5#wQwB0$+iG^!^k}hRE?+2uL?A*J~2k6YzQEvH>z(q*QIu+Gf?c&8V+KdREWOwJ^d-MnG2QN zm-gnXXq_;|ITmJ)#gI*t8$S{9uztUcisFLZK-#{_+hFI9s)d@_l-fWedDm_*u zY*~*l3Q9>2FhcDVSSyftcfTPFyXg0E-2;j`B7dTDcN>sMwg>{Xg4Wgg8nD>gqIb1q zLQ)zp*}56h_%7(%=%o4n2Grf8pbVf_x2-9wf3dFS=Wi_i2mU6;kwEWE*)qE;#I7}?~&r-!k5uDaDQp;rS^9`s~+1;PQMbx?5_ z!0C&-@*g${Tm;5&KEs{|h9{n_RVywZJdiTJyGQG4|1kIE{=-lrFa1o2_fEJnbxf<4 zR8?3OoBYVg9~(NhKeN1*41D2JjkJ1kf}ZC8O&b^5-DdkD4&y-grFdnxdz ztS}bJjI`7ZFgYyeUzq)#Oa(0PMMn9em*LcdFb(N-Pl4Z`4SGyT0BrxcjSM!p0MF33 z^$}a3KQ1IfKtxJw@Qq+HdOj~e0(pYqBd@Kx0v}|*b`zyo#FaV95-Xz5%j*~3v9jGu zd|JIX1^R{g@?Jn<-jS5{$8Xi}QHjK}BBhXo4fac)&bc5S2Yao3fm*-k1 z5i{!x148o+t{~Bc1{XeNA#;)G09GQj+-qDOOZ~{s8a2SvN|tntiKC`TgRMU}B`Dx` z!ZKiEu?@E#J4K7+FD|88qlGOBHauClvcZ*0Pr0nhXal+5?SAXFr0CbW(t8@cd)p?HP?6Sp^07^TWR5A4aMl4r<>B-1@=YNX0^v zwE5zPyK^{5iYaVaBu?LkKiJn_@uCBy#mwn^JH!-reoEi_MoENqtDQ!);m2c*{^IFt zos5OX823B4Xb<y1pdtJ1hmY$^{tn{jE#Sy;dKlpP9HN7j4VsO{vh z5~`gVrYhn$bqes~R>HRfOvEYIJ6+QbP9k>9>y99zEqowHqeEv!rtbcSc$zCq05684 z&YOGcg1OTToHb1IvTI7P=W%)s`ScMH11bY}lmx?T-&4M_W3MIxMGqySR%&!pMOZ@| zyYZXp`w+z=jyz?vD%S0jR}V^!y$W)*%x=CDV0aS(Es{k6>+!!9wQ&_DNM=rh-0)rz zNL}Yfn?Z0Yc%s^J%>o)c#il3td^|DyDk$_zSI*x?D#T26l)1RDuSf^Kxu_Vig&CcH z&1)3Te6w_&qem5G*g-s`#jG(qXx2n5QtvpD{Qe7}Yv2JyeL5tpv0Cu?z$!&LRKQv> zt}_;k7gL&7q*|kQ+w%Ui_%T>nOyU7~3Z-ug?=x}TfH%dwksh7zBPjky<&q6+nLkEvRYdgRQYrB?^avsN^CrBmxKzr8E6?Rs>;c;v!Iw$^QPJ_*9RDhLDGDp_|I5fCPawFTUw?PvP_v>RzT(4y+^r%tyMreHJ#3L2uszXv!Y_l-}dmFm+3bCS}p6X6t zD9YkeE`RW$@Nv1lE<0GpG70KZLx|#CEFO5(EJ;vz!e{GQh^t6VeN+!=swfDQQwok^ zKuh50eDeAO=T*LS9;R>}!SDm2{0xXmHf<| z{tO#6SsQi~TLm-TwFNG{h?(azZUoqMqg`SzJ!LVX2QS%%`exAU$iE zoi0lUgd74mgTOsnhOhu-SY%P#GFlcl5d^~!L0ytEPpCSNk4CB`$S1cMtQ-f$h5BH6}b%Gt?@Z1frnHBpT<8?S@fU^qzSAQkI>2KGPV z&^DkH8FeGzkL^t>p3IYwu@iK6OXSe`!-LX%e=sX(>mU0GT`UkO6g>y1S(vV035 zu)JMOtjS+B8k|yC*mjHqQMh$Q^PvyhojNbAudMX&oC!>ZTxbgRHiVoR%}fBkZ?9ox z)3Aa@iDsdSx2cZB>rp5jBAF2o$nU1eEx`Dn9?rrP#oum9oNwk2RrSid=_=~79GSo% zpD<0iDC&u+zI9u~N0OKxDlw9iYAc>3Y~Hv=ACtIKXKj*SoL=D-+sxVnkDQL?byn)0 z)=j(oZS$iMkWIK70*9d@5oI9z(ob}>8b5lqc$pybSFEa*2UWyXdb_sSi3CpOsI2<6 z82X$YN5kz_6!6z4t-Ow3&4CYm>JX6oWLQhUlz1Q?NIa!maRW{;mOyC6wd9CP*Pnj# zB9$}lt+u*s(p)dG^zr}R+J4A1P+LeFE=1IOXnnMsju$IV9K7Mp4{TH5{WDL@@(3ZjU~~>ZJXv-!<{Md>S0g!HT?H1H;MHj?DdS>qH;fW8D>-zeZO~ zfVRPWF<_1U|EL&{E33Y=+zy-I8OX9IXT&c>Kdf6*&ROZ=-;Ww7>t+-c?aykj@9Hwm zRkT)r<+B};XC``qf-=s^)``pL;+mM}gI}=ev8UEpqTjCdj~dEAG@Z9}+Mf+gkxxlQ z$r845q|Ho5kN<*5*{RTbVjrCs5et6|(Jy8zD;+A7cvOvZj)B4s;R?28WwrOE zl1>uVjXLvnI=l+RbdJGr_CJNj` zNT|U*5Te3Kc`c>cVNs9P*paY9uLi3E!q4}s3|_p!d<1D9#xaiu4iOmf{TV8E$BLBg zckR$jbG{P@5_Vu~F~VBEAdc&f6ymu4rr=1Y(aTK5EooXV4C6uKXgm5ET*Cs^M8c$U znmsCJPvrR$trahoDR(Um_Y%K%R)PAnqr?mq3X z`%S$9NXKBf6hWJ1u z$RC%~M^A*m6ii9w7_3)GGZ2`_J=s@TBQDj9O|;js?R!|pQmU_2xQ5qJ17S{nOk62Q zHhJB=)-EE6w~8&`nqJzA3lOQ0JPA6`A2<2@tCK*JrT>oK-~S~ETx|HI+;d$pT=PL< z=h9&pu!M)0FiH_?3BOGhdb ze|=)~178z}8>xp-&6$aW1UbPtoo3f-k8Apd+454P3Yt0IHoEdhSpHeUE}RlJt~W05 z=AzZ@?37<1fC>aU7T2jxOC0v~^=%lnXlGKMs@K+(&3k2N6Z0C>yD0R60j&c5kMoF2 zw|DzyJiQ1_=k0+qXD(R|sGnUSFEM4+kSA&kohwcy`%3pjq_fwEho+E*UP|h< zG`8KuNKjir4^{c31>-&*zO5_kl-`}pj=E~M0^vaIWK7h}%JDO^=dbyKi;B7#J3Dg( zL+ucH4azL#AYwdM()*WGX33P~+=H!%UP9I}l4hZAWfgI7JU5lKP>7Jo&CrP*n_74K z_j847$wfM=0~XQCtfqp-{&-PQ{G(qpW?nIM)Z}Y!*I1izGJtOv#Y?#j3sgCBY1iA9 zUXIEcy{^G5MDh&zM+Z+oBz`nHyITp^9E8D!>X7Avp+p7Du1O$6v$P58W{}O8XZ`(B z1Wjxgs8T}3d(>x<_NQyJqy)|cOd)QES&TO&XVdZVJeTiko$6ZzZibyWlCw{6edLFg z1uKtXTo|h(-E4OEvftUbTj|Q4n+GrLJ0ZKzqsT&z_#k)CIMYWekHYAY1}J=Ts*4143t{nZUxq9gKew;0_-mD=zeQMG#S zoqQ;FgQ`!R)b;SnSCo?rSHET_KH@pjG0>BFag=Duz`)?lZT*D3<Y-Flg&j_y2Rs(Y9g~&PGp04E%8gpGdTJ;$k!cflhYDY_$?pLy?r+N;i{p$ z>a?EHub-y#&|?8)!mXiu!~yklU#qG!94&UefRhbzI%3$WfKVltgd_vn%5-+nmYKbD zWk1}9@Oq*oO9EQ4iJktnd2ZRYndYmR85kbyv3?SoY|SH{I@@7zcdQ1tcdGjmE;8yq zkLr=EW{WmzThtUB?)$sXeh=Iped(g-b0@W-Y@Il)Lo)Qa;tnouyM=qAA{S6JDb5w1X>gqy19x z5h{paH1+hD^S{hi-FODoym|{R(rAB9mDo}0$B&O^+3rt;Mzb68@SMgJSn7!Qn2B;y zb*A4jB=$>0Ft@gO`kg_!*VW|j;E~MN@w!`;Opc0)jU_XROzk!kkD$77dn;D6B;pAp z)s4=j6UX3KJ>1FE@X9`=6gkz*mgTMHEZwZ`(`*c^23|*!#8MlBmzT1-p}1XmU{H+} z49QUz3@Ld{=w4IKXk3GVDCdB6X5y$M%aADXR*lYDo5X3y=1%mZaIOmSU5(L<8nQ{U z+BWX#^v+JV8>O{*9mh8vR2}d>ye{sl^iZk$kRIl|T~-K&bZzIETV1o_-$6_il#$HT zcIc}1xyCo4N?XCs=?0W?60vgv`Z3-3>EHBL$H`nLkW!b~5O8bSED4@@Tw58_%Uf!4 zcxD_ad#WFJL6&?|a@DS)9oA02W$Q)sW!YdlO9M2>)k+veT;>d2D=Ngyc0+iuGXm>CLm&W3DGIC}Ja{rW`k)tDp;?mA~yE1!dX_*ut7H-qmA9wk9xc9$)V z`vkq-Che9t9O^ps!mljXF2vW>wXx2`&?Q&#;)^nJ!;T(5LzjYA1#nUY7H`1B#N_q; zYmcQ?9&PRH8pzt0cO-u?3A)=Mbr{XNpE!FqwRN1Z_NX(fMaj@vzaMF6*p#tA2k6C=2bWD&-Aq&pGogo)7rYeQHrzl-nzX^%C+yqITpRG^KED@Gy@y)Fc=`BL z_Rm&y6+P}Entk~EEPF`JR8PcbFF9I6L*uOt=Q`#;jW_5`S-msAI}^qkjH?(P*cQQF z5q>b__U&3RuJ$iJ-n_*m6Shy_@b5{Yv#x-U9jILSMXl8eL-wWdwp8is;b$)=BCehL zL=^b>*DIBg2W~*K6`j?H;dIPoE;XQ%)pVorR)?>!H^o+F=QogfRaP=En7CA*L8WVd zP>}Yv$}fZI2~3kMesx`}{3bEmy&2)mt)|=3yFBc7I5=@u&B}?U*H(LcC-28qn@m_e zcefEuy6|$i>Vr+R&#@MRp5hvlHqmF`tXzy04@(pL_l1|a>vr%rAAl1BWKxWTBbZSE z|KWk76~U?h#e`t<7J^r?ol^J&W|O%Od`h6C!4B8T(zwFVq9`UsHL!^cYxjf|33*f3TP!9zzJpg)@RkY-UJ)s58}~MK*#7g35l4C5_@2$Ui{GZ6 z+pqZ2UDCmC-k~`Ss*xY72Te>{&SrlM>CS%xhgCiXqK2mg+{93}z<;?35|M7}KL<30 z<}K~kuRK{8)BOwafArU~k1P>U z>859cYP(pY%&)p)?(=V9iEseTyKc;hDaufjYdrU+^XOjocvQCritYk^_q6i{CzUh6YLTzS+H3F7HjX zE}Bz#|EP}01qwyq7*GiH>2RoN;I7Kr4Y_rO`S(Y4cgrxk(|k+Y-7j$N?ePfaif!V_ z!x-bvGK?83oM$l?byR(_5bItNVbBz9x{q1AM{f7^U`vQOgIrMO+wMkN2(PIh`gF#Fi z0D$;N*J5mUH(!kHPK#I|ZDIr>yaMe?)Az+$6`^ojrF>Q74EXpB`KUT*Jq}m^JPd*w zcELw9W(RV8+fWbu5g*zQ6}_S$YPGY)@1(&21u~}hdRQIriSvqU%Bnq(3+{e>D?_3teL+WpUnkhvnRv5Mymp}@}Hv3PHMy+5IQ}O zO(B?;qCu)tjtY8$^GLvW9nIq`c_;Iae;LR|kGS)1P;|sM^^lbEFl<=cE8OY+FiG+T z^`d8O3xdn6p2Ml)S%S7%NjdojJhwzCN42^fUVpL(;WD)!lRWAc(i0J5RNZofV6$F~ zZ|AoI#?^Ofj_y0r=S_yUV8&hmXN=B{+UE^A%Zd6BTiV*-3~+m`@pFZz2E#q<7hLtv z`)?5#dno47Q05#+m`b4mf(}0b3t&cs3ZiuNnH3OR%OSX)&EDs!Qnt%BKaONO)Lr6D z70L&c7`bYrTmrHD?Yn{Wj?r^n2G`xKosDWtnuiBce+ja#X||f+7A^h^BA3+kz-Gqa z5+c>t`5vpncbYRC%Kj_?P_b`4(HBBd(j^4+(UGJRp>olX##I-s2e)SotVeW-_0UYF zikv@hNUDRU9Tt9R$?C-)^{!!Ew{G$_TXEnDXpcIqkpxMx62Vhd=FOItWJPW5IFP%EP^=Wn|>Jm%Sqey$5y; zDT0}L&|y==uW<^#sk=(QpGCane{}VK?}MTQJm-u-rFO94Ax3tC>?V2*h9uVwUr)Vs_H?42<<@Bxe8ojoL ziRaIs7xuXnlVrqyZX~bLNfSWdB#2M;FVWA>z{GlfnBbovR;BgYC{- z!k+6bXX$!+5kGr2CuNF>m2Zq+#ll7_*thTq({C2iqW3RXTH)Hu-I<&%TFnNkUvhTv zbd{qeH=msNEYVA>nDMeu#gMya;h3GtD3&ySqYOR$8F@KtIObXAgeE-AB=I}B2p(S= z)#qU0P%AzDO8{`JJfE1#z_1FfeB_YMmUc3l4km>emjDDBfCLO6^R7!;zn6`Nf#FZF z7hvL`A@~SU!UK%u52qoP#fsQcX4j#$I{h?NJ%4ZbF#hhEWEJ0@|r^*$WR9j`0nW`*AcLm2~DLmtx#5V?N<0>+MXYe*W#$1k5x5C+Va0g+2{ zKIA@Vj^yZqBa!nQ{zxWuQ16EFYO3{_9JNVHP#*3uc-h$a?qucehjuX?$FzrudT!DN zWI2)3KPTm{`NYH#h zLlRCT2$^KvjtOissm12M+44zE{CDfz?$gEmvq{eUIu-!&%G6#am8pgQN(?;o?j3u) znZhj@0s#XMG3W)QY-Un5giqeSxF_OIFo+gd?#`TWC{(j(ypZ;KmgJa8?K}z1xZBysroRcgqMR-q(>4>MZZRCL_avjT)gpPWzOC0hR zE`y~5gAeT`-7U)a%Wr>=gA-?DjM8+2wq$p_ix_e({9N`Yp@-o)VdVRFgQ~uq*$*{pb*EZHGpS>z7DyZ(Hi)B6&ImB5H%QB;k$v8Bpd7%lJ;tR_A!>%cEkDtU* zsqdZ#Qis2O{o473q(G&%4cWGO!$2=+0DmEQLiL|IKpYuy!E|L;kjwB@j>c5K9N$rp ztDo_Lzgm{rRrl$WU3M^Xp%wZ5IUk=+Mg7@*ew(Iy-%;DFX2$a>My8%)l36Kr4Go&J zcow#hkdUd4cfBPSMiTfRPf)I49KC1!FjJH(rggDt=%JpTp2CWeF9AIY*)TxhG#g8( znjUh9nD|oGGu7w_GSaH8r@ypIc0U94_wJDD6^{M+M8Bbs?^(h#{g*umJ{-YNE|406xJh9KS3`(c* WYd&2%s}E0}LHVfqkxZpCSN;#$WW?|Q literal 100972 zcmeFZXIPWz);5geI6BtBh6*GzV#5N%Ae|)R2t;fMf=Hhs3eu&Qki;@7(r!h>3Q>x5 zBE2TD08vpQy#xpnAwU8l5E9bAEADsiXMgXrpI`6ahvV?@CWIvSb**cybDih8xP9g{ zdfnId-1shsYw;J@#~|FCsj1-{}}T}S}m|9JVBOSqca zhQCz*mKC7#{lJ5!5!UA;&W89zME@0bQ7!1Nh|7T?5rKZ*KSx~*3-=2NHqf6tl(qcyL|t>*{bY!+sA)i zb@kbmXE$H$p7@1-EOsRL1Sx2CP+D=g@!+Y2beBhky8f$!IoZn}r)6jFTb1z6{>*E` z{VVMsypkyWKsW^gk0t9Ac|W<%IO3Aw6EBE1d3IRB^EFd+_Pi)M^T~Ya*9_ zyHqm)O8~yozx(IKzaIJD3$QHzy9ocg2>&=B|1XiSuNom5I?JL#%>BejMo?{GQPJ_X z2m0W7IRYX{at#GC;?_2&W^Q8@UxpWp!hTLBp1|L(i69bRf8ys20_&?*T=y zdpMejYfwlyPE`CS)Jk3hM{k{$=^0+rLc4LGhsQ-JYArG;pse18(_7lb9jNRnJexm6 zABp3X26Yz^hE~GkpS?KsB$(r)rmT5*WMxe_SmwUd7Bji`4>6y?q{F>Erw)gGJ@)B|iGD48`3B1~szv$YJ5$fj z@*@NdR^8p@5jT~m8y5S1_k;KsLa(s*yHzidZJiX+X}h=3I%%ta*NYTA%98g*mlw`n zI43kLHutefNSSK!!1s}}qT=G>MKTX(w|wP#$E2-?x~u%ou7e}p&*q;lU2rKrSK9f0 zFR^6e(~|&pW^`|1epZoL_(TDSliJ}Zw_wJKHCrbiVp8qF6T87ptlM$;7-8ux9NAUA z>=ml5E|gW}-?JYf_R~$aVIk^o89jf^JPT}4B>j}@nL&fs`lbrxZ=zcTcvw8VB$z6dnfG*Z;0* z65RdJ0CV`lyPrHFdz>U3FJ)0NiQ?+f7}aCOetM4~tfk6Jd&@kERc8Q>6WmpOO4SVVo7;s6ND;fStN=Ip285#9FasbVjA(XK)-Dqi{7AbuvmtIHERlLuEW(IhOm zvH-4_yXD_gbRREn^YRx=b~KD79yt8ho9|VzEqS9sd8V#ob1bd5#3jc|Dd__z{%N}@ zYi+4V)|5!0)2rsfG{A(L0&*Y%=7G=en(y9IXG7OfrGjfzNCn~NO)H)j+vd1e?V8aC&? ze7j37{~-drnz>?$6)9ir>l0^k${J65i9a|;jNRT_)X?B68VZL}5yJDQvMgP0!SOMJ z!LlX3>eVCX-|r2e%7tAZ**uoU27%ppY92jX0+&ys9~%W^;N={z;vh!N78X;L#Z0K; zQyv8QqJ*dv!)L1dJk!z@(I?3KR?Qto{^`bn{dXwEgvDa7LfdEpr20yy1;_Y{&o>hxVStYfkx$AnSVlBB^2@RB}mM@j=T z%;Qe%{d2W%4x7Ta3hPuJiIfBs%R)9 z3kR#HU8gNhfusCny#@EzOuS@j@a13ELEd_ipA~of`VZ?mwWEigciEvluCLtC`^Yy- z*B0dLfU;9G7`CJ#npf7QPjz?62(lIR8i4(Fa(3o`{MZHJvG@R~$w9SHHV~{-RWc+z zr6S~zONEum(B=+9C%{78LatnT@L*Yg@DNCxk8-)-Eo?cb5sTd?9fa56vY%^b zt}I(#0kXpjrDsi`%O2f;&Rp9X@0P?W#Z*4Aeb+?|_sd6IO~SsuQ{8JMQrUgh?vr`s zbh<)1Q_BQDXDSt<+5$O9XPZ=x$)wLuJuw~t z*_GH!5H&Kvwn@2_c0y2wau->xDQ!E910PB?R|nc9)GfcWD-$Ois8_;39tY?k&zzB> zZE+4{2R8}t_4Snj@9z8}=^`owb|I{MGr6FvD~uesc=*!ipFueUk$sr01} zh4vIX+kuLdE)coQgUau$X&m_Z*^%pq1A0rUhP@Q?8b+5s|L*%V)W)^;?Rtwza56tt zvj9fu(SaL$p+RWW?;Quq4#a1>r`sU+26))cq&<uk;9RFegK2`_mz2+&3R0IetuNXucs+CJTvTrZrp4=ThOW* zax4uXIHU>-9CEAqSUoj*eM4_+isoWJ2C_{9l_wXJu{ZvFaB|#+oU7>_s4?&pClETF zgbmw&vr1DTkn!oC*MjSwo*r3gb5v4-#TCKH#{mNsd7yxj%^6X=5psJ23=ua8zL)1$ zhnxTz3|6lU?#RBO!iBx1ZhbCPP+5i=7$H;-09dNG9z6fd4k_MM${VT=cg2gxAM{Xg z*qJ(3YCUrXJb(5ZOgPvU!Ee#vj3w#o1#;X%$oHivGqpe6E&(vK9=Y@-DxPc{ zJXC;5HG458ytmh`cLQPR=%*}%8=N2ub>*4F=~HDDQT>128WQC@iW)n66pCQ*$#bbn zq8b2X%9AvKOOtHAZE8=)B6q-0#7xrA&&W;W3l8~VAQ&P7B)>~wGajP|ls$G?C8~Vr zn-%*)RXDD1K$-?IO5JMBP3VX?!5x8!T^~Ih>3qnu>3lf5y>y}0!iry?cj7_O(Oc`m z?f3XFmi+vQbb?g})6aGgl(aQ{8gk9=`(c+s!3QTy>Mn8y8?lu9SR+%~v_<=99&5g4 z7{vAt6uzl7Rl7KZAZ7S7YD!fxp(jt>X>jDaYeT$LI0)QM4}j_b8~i=APLfeGb?4~%4r>h$ z$EAh3=gOwdhzCj+Wv{b2-Hjt#uG|lx|JpV6hP$i1tOf|d z-keiXDFBsXDw-A0G2_&T>*&vxFP((Nj9wiI|I5(l z<7ZHIGmF$t5R@xlUt6(80MKH7Wcb9#4shP@GMtAddlk33dp9fTWF>0R4kfR8VMokj z1Opf$`FhVTjJ_s#u*%kCBnA`QSQEsUezkll5n7qChB}1#y(w7lNF7wY+|2rVC7*VV z^ogPh^7N-bW6+tr-LSKvmWg-UwCCizAQPXD+l)d++#h)1V`mNaDKqU1LBsNfnKXE) zT4#|&7Cu%0M4&Gh0R$yrFcs(IQjwkEk_s|_8z}?5TK51CQ~?r5%E!`Dk&;UwXP?j~ zSG7keqDcVv5yc_=3`Ob6xq)A=uOBs>0>FE{>8M&}c)OWoi3LRha$UxkaegKJySj#J>8LW%eC4+4^-X)J0j9;CMMN% zY}GJ9DL0=k$cX^y8>Fv`k`{gZbAS}wK=XIvTg_47BZ9n-;P*alH#lSu03t@^MpsL? z-!T00^`^h$q{5!ZMG^TrKc)2WMx1g>3HaBFONL zw_tGvr%k_nPX@4}0o3H4Qa6)NnHDBNCYMKl*TxAt8ia!Z)gU(r9#=nHrf5n#3)asW z9JPp+EzXy~KKgeT+*M&O)!u{3-#6e(ECu-0^_Esx!o^fyK1!Ad}BAqQ}K`2kKfgK=^Wup{)Xb>#PVkJ>t;_XJN z8(aP9so7aoKy(#b`F?tw2iSpHRY&j*u?Rtq`wZIM7a;%H0JzZ=f?v=iizlAcSG@tf zNRa%?2Eu1`wAOP~cQ^X#ssxl8foy>u0FJjSCB9zO4T9F{+ecaK^CGFR@8_c%dH{kr z48TUp4x{5rF;S^I#beI^TA>&ZYNRT2PJhKrcWdfdHnrfnZDs1k17o+MuQwNK~xftQ+@f@KEhg8o-!{fbIhO-ePAGx7RHM z6p@_(a)DCwt{t#EB~HcX1_1q#4giv|TixZq_UMYH+m17t9j!5+T&nVg0k487;)#+I z5A<9>6orx88K9pRGL>?s3kdQ`&<7Z38v2~9x$r*i4eld|L`~Igf)4-N=h6cRl$+re zT(}^15sIisFs;e&U8^qLH3}Xw<$#XC7%yAmf)JER;EhDc6^QDFbC0mBWEppAOTEh;Yi z01|Om6aZ`Q@bmYG7r;mVpxUi!zpgzNpzW&wr|U%!01bCiwi)asuh)|lcABkro39;F z%Fi^S0+grd6^GzCuc*aE2Xnq#e&v%Jh&NS*`9_XM41^iraDr zDM?uqyk0H66OcYef4)*vmDxy7%*`Fx+4_8mU@8aTx)9C_wSJqJAGFnKK7&^Bp_B&z z?lefEXTY(l=24`!BUf-=KOV14;k$sO=$nc@Y!~#6>nr=>pD~55SJg)#gD3 zy>jSqoZylw#8to(DVfxX0(k5hL*}`*q+y{ zdWtc4ik|8zpf2p0;%Q*Oiq(b&fR|)~N2&nLlF#$%c9Mp4Ra|V_Weck5DMrcp@|}n1 zkBtr-5>0iXJDI*;l4?9aW8#{s-1O($o9q0BL707OHoC&VncQUySPy$w5$Lq?$3dIG z9`tM^gQdOT3Nw!=*i{H}jo&cnVV`7Z=zBiRkS=oe+SYF`1;pKpaR{X_J;VbjH7yrx z>5E9fnZ&yWGb!XtC)SfTeQBHz1dO zJ`_Fq`AAyW`vgF0>K>9(t)m7mYS@Yw7C>K-H||M}vrUT{3Z=ba@r|1eu$s7oF+^+4 zrj(E-zK!b$h>Wf<04+H(Mf2@VUGoMsEgjn;XOgEY8#4*$5xX{!Gm3Mm^b0qQ0(x^V zGSL8)@3I9b+|Q$ff82-_2=I~LFaQ;u^Ps)nWxL4f8(LlBT3z&V9Y{NcT{iGF_)k{g z{YVsvziVpw&pqxYs!GS%s^|VNLj=z8Q28-23kP_Vt}rGAw|iX5x$o?0+eU$gqZp?g z6jJZmp?bf1tZ3G+9weT$c}yTU8Gf-~5h7D*q(^}6$sGs1@-c@`OLucsiSv-us4EA( z0W1Maz72E4p`l#JONw2X$QuVZskXglM6^=tvKryZ@tc~0nKLrJ-$;`gkcM7vQ6DZV z5i(yat;}I=UOM$idEKH{imH>tU*p5Ob8R=-iZy?yUR{t&kk^#g&1x2BWUUv%84~u^ zAdYf07<729LRNB++^0jdh4_Uwj%%n7lDFnwazp(PlA}*EMk;V#)(lCx^ptZThscrJ zS22$V3Fu4c!AZ=!mKufhi3JLOT2?9RPpTPq*F=G`U^ncX$5b8+Iw)~>uF@~`dFs^Q zli13dD0`G$?tnF`DLou4GprF&ysGKHTUl3A&|X&OnV&m36>VzdH9yG&^ApSM@5N9vhudo?mqFA*wGBtZ0%YbZI&@ zcAk(x<7O~pB*}bL_Q}XucOv7UEk_7IPL)>B0zsx~IQ{AYj`K6yxXeIjuag1=_jU_$ z&f8x0a8dB+OM9k8{&=0soXc@u*F)|5{*u;^U!T%<(n2LQBR13{2|?r#S?CW`vxG+s z(51YHRAINaP(9quK6;)g_9=>|iE_ptY7Jc~iQTm?cTBlyA`3ff%Si=viaGJi%>1Ly zheo0xTyGDp47%FiD;ft6aqH|C|F)_P9`3AwYDX)_K-XE)^#k>)fYThZ7L&Xr<`bn4 zp&+0gWc31|UDM7JN6s*pS+i*&pK&&WD3jDrbV!*c;(@o)wFMx)w$t2he-nTie)XXK zrp@bKIhJ?KNQr(9S=gB_)u&=e0)o~OznLfIFKt_(Cm8&Mdl@`z4!W8I>Z#pFjZ_&< zP3`!V1PhS+QmO;`_P13WKFT(9=5q_uiH8y&xe>|+e9J67wJQHc7PBkwAnzGRPCMEf z(d+s~jD4QUw)+wP61jYRxLv@$!g2}MMOA7Vdf)e%i5DL9k;b=n`3`%#5%!>bB>o|8 zhmUd$Lq|+r`#K$Hp<#Yt_^!fvWnE6Fx`tZGHRSSRkq0IVzh@fP@N1SnhT5Yfl>IFb zW}@j*uY1uW520@ZjvJ?`<1`wS%$^G%1b6>3S3XK(RSw$c`~_m%FO&YNl`SzG9%1Wc zEee^U+-vkD8aQf;|2M6n`u5G!u3tyHq@i+XssBo`33?xh2 z&SfjL?(~XJR(hdSeC%#pG#nT4g>S{M)ZR!R=o@j~WhOJ{S0zCYOtZ>78ec>zk{j|j zHf7vMx7+^A5(prwwfwijv2SCocJ_I7wLp(}Ua+U2128G2DvZ$*iP+C6KMid_rw`9W7n2GMF$vSS=Rai}t4J|3U_ z`x7(|@xv^mm9@&VsU4m&ckQzJ@-j!cQq>~5!T@ERJ9fU&F`PSM1Lp zjr$;D62tH!X^^Jo&o`hsIyJMQaZD0aKDiP_fGrn2j1b%=Zi3vfcWYx-JR@#W;z72N z?2o&C)v-%?e}AoXQJo_<_)-7LB*w7y2KudBU7djNwj3FQ?!_21PojAn;A>#Fpztr4 z*K{J02p-U+-jw%yKUu=m)eh@6`c40y2LF}#}X1HgSKJ+0@X(Q+*%y#_g#gnd4=!HN%AbFyWkM`tWN5>=$ zPRg1z$R>ldM06|S2QBtz+6~$u*&7>gV_)J?bo+vaQw8bJ;2FV-WxDVq(D`~a50ubH z8RR#y%~W|fF1bZ#12RL_u?poJYB^#mT!4@ z7%!a}45ewG?YTgD<+1r}By64VdCtES`B;-XTP9m^vvo5~~apIiBDvHh_bW1>? z>6=;UJZ6sRR&l{LGbm?&Z8{W)=Jx1(p#*6)ic-*j>CEf*Sal<8+&7nJ_>a}Wp3HXU zop9AFvYLfft_4b@b@plsT0%~Y*2<@qBg(+ZrOj@sL*TjcpV;IA*T!@dO8tZ|p}N)} zdj=*Jg->A2cMeeWdA=k^7^591ils^Ws?wq2m2ft`3~X?zG;*xZ&b}v{X91R4uPH4S z=w)3MUI#+UWU{3i`!7QWhNP_ZiXX0CYDAqIrH$5FgmOdW$BpiP&WrzwY`=k)$fa-k z&@EBC4TNr1kFysL5Jw=27KTPIgbb*ZFZ@uD8t}NO6V{Uce zz;C4s+e?=a#3|u`JMq(j$K?n2SMBNf7$!dGvfChhvNA-^C-}fjoA2OQIL@b&FkAVm z!vqxqd>M}MuwG;=5idMye`uy0?4xA##uCM5 zsr++?1N3?XDexTV7cY3_6J*ykZI&nnh|RVr*10Ji9)iTc4g0fR>^p9>lw3vkY&0d{ zaj}|Yc+NWpQp&y8D_&cN1qXJQEx`1rIEg+j`{pKh~N5at2tB)g0Y?;JYiONxb1tNyr9nMH^6SS)0EI&gW}n&6{4@9K5iq z44od1a$Z2h%QXWsL&u6M4YUZIE4mu*?m&@}l#BY45v(|)Nfr*Msi z-pMx4j|X;AVVgvv26V(A(`g1;853{1x*(P)m8Pp|bw&! zK<$+i85GiF0Gtl9$U+hDu0m0`2LDYgz#d-K&=$wIr>{xSWH|Lj6ER*3{roV`m!S2U ze$nb9>n=zyw;*GQxjuKI&q3>!m!Tr&ibj6Y0-Mz;HtHaGp=`zFGyE{#y@Z$OyJ%hI zHY-^cjV^yYZ=l_G6`oVuCvtPV^jX?XA}zrZSmMbXNRY{kzxD6o`)b`k<9JK7Dvm)b z-V5qvx_9~gqAcv+hGQ(4tuP_7*)ea-f7+S{W%y_3z%B|eg>;;~M)87#HckBm8m2=* z;b#Zo1~BhI;rdaOII5ns808eFfwlUJyuVgdN%P0J@iaj;(XtRaPsLceYBJ)Q2X)%* z>lv6v{%nM;iq>~ctr6G20#y1HCy>(-2%XiiP9*y`!0jyOM>&@GS;)$2Xn@sbU+VF} zIS}H1#cksCX3RUy>-@$m_eIrYKct}k7{eTtFlqgu(d`POXCXLRkXNyxZ~MtMpbQo* zY{%mhM@(k>o-UMcXZ1rgc=TK-Og`x}3J7#Qh}-5Nx{Ko<59Xc5F>de!uxMroRUSsv zA7{-fOl<{zdC{+=m=)?Nq6HRor&*NaxG?M>7`{3GC+M2N*OiT<|GapXD2+<+f1~y? zl22}o?LhZ=L}#i@11hD(0cqpzsP0l!*uV`P0lg3EkVcCYqMfs)UA~Zgt%im{AYpeM zNfK_5;Nj)wmk1aGFf&zLgOP*@8+i9fuX1XuJsujhSrJk=5rdeA->(S(OSJP$VOSkH zT*s4Z&;IM}&Af|*z@PUsvCVN1LU^pr(<6TT!~IBPG3faG%*H+gkO4yYvej$Q*w0q! z6;+=Qf0zp8jd+J zf=L+WRGLJ@BW958ya^+41?~%L_s#`;nLK6Hnym-#q$`oPj|&|XvS!ge^dyL9ynv>4 zjj(bl=Wyt-O{0ns@QCNP$b$B7_xWd|pV+)i?Mf%;)~>7MY9BoAhYnv_e6OC*AmB#t z_s0Hmi0G2MU7uJVrl5S?XF5?ijeM&SG`I7l=ezg5moQOGR9{m0mg?6c4vkZJ)j*R? z<498$kpZ(U0@bVdu(a9LB2Hz3K3R~K8?SDtk7TnCEn%~8ZoJBe0}BIxFpb;2Hk%cH zs!e&c5Xx%Z63n4(HB3Wl@@!Y|D$l6$@JE<5`9cS`dKVy2(GHY5&+}Kt8=LqXZ;Y5q z>lJTXaOt^3fJby`_&Ui86^w_gNKY{Eg|gIE^#a2 zc27?zOOEq0pq=qZ3lBfWQXnx!G!{1E8=4}G%)v{&;h86Ue$ssnOX8 zF0wVgKFZ!!x&6cS{|*)Y(K`SiP9X@R!i0xtKg4uH41cUjtL44r=^f?ny6_u|lR5BbMH`}o{TRPkAcd#R<7U}3Oq1uXgE3e2nCRx~@|dQVo|~-~OkdJo zsa#A|S}VR-Qn>8FHIG_!)JAIYYhl>b0t)Fs9KBvtiOL4#mwxW}WVq5jBuC_D#Xw|m z4R9fLn}1xO@Pk;PKfxhTDg$jg%$ZbCipFtG)61jzuj%ej(Eymy>sFoK?i>Nk_G57jP~pNUXd_EPGz@4`xn1{fPxAv$Ob`+-g(5SMaL z271|-pAyghr)sADEw=)3#tBfW4u|`4sIZX5#Mbgs=K#{K?4tYLGq4pT5B~Y0+vUfN zoSq>5nBkv;N^E4^#pkgRBL~81HJ;iGWY4b20TlL zS)HEc2qDO|q<$E?U-}kqF$tUiuBjgwp12X6ZqG&3*d)FzX~cY#(Yo#ayqwGW^`Lv* zuZOTqD^1*xxCVfc6K(3B^y1L5GjbbEoEvq|n5!TPTOH5yf~HpzLK+Fd@%c;9Nwi_P zI<##$Xmwuq(JE^DsG8mbBx}M~A0XKKnZbzs@EP_;5)||OE7~2xO-LDYcvow^^L2DA z5K2_3_4glvZ1|6hpF%-nme&F7J}Rcx$s-Hc1|$P>U*2|ApwT)TwaNnuU(5rPxSvi$ zc{w20YdPJ?iw`s&9Oe5!oi>0W0xgcQjS(Br*m;qlDjaWvC3%#4-^h(`>d9#zX)WmG z-hWJ z>M^8U+IJ;Dy?7A`GfO=-3+x*@T)HHhb()nIpGW?;Ih zlvstB-PM4XOSa&Hn<4UGtut9f;V(tc;ROldRt)d}L`#rhnOxxBh!lPU*m^w7J4|Oe z3c_Q*AAx=u9PN96^4`d5h1#U8QiIkMRQ9hI-rcF<_MLP*En3jHJ3mO&U@>SpC{Gr2 zvKcQopQ9&WL}1-^t$)B3+kd|c8pS6C>qJ}e)Y+C}K)49^*T+qRD zG4}Sxx+n|nHqXr;Ae!&NoTdB9rb+E?K#GRAvUz_CDYv6(@QBZ#;n&EScNv?!b-ePn zo`mk}L?$b+cYWp_VNlM%+)%q~D*fzfTTXmbcWsLN-0@>eu@HVPe1Z}8yz=3F;R0(# zxA(*lt&GUbzIOS(k5C>nCK~hLj|A%yMsi5F-XY%;tZ>|mo}_AVIqhUkZHH()D53in z{ckDV!#x107tfgNI*y9Io5dekIzHBz<6v(K$KD;8i?j|(_fH)l%rei;Flrw-b`dEA zUFGmb=EW@6xeLh}_#~bhljK#5$60G;Q}=CjKK&8cJ;B$R?*Q-hj|;1zWop0sS%T)b z2-wjQU9eW&Yy7N_>?wSq_5l4CIpz^MT4$EqQt3m_%FK`>*y={Y$tj>4re|Omr=9ZU zo~u+&s1t2~PW(O7IPPR5DCK@;iT+}WECx}dbvF=lLoIwX^s7fhu(bJ>(WZ5Pul>^% zm=q#1aZcvKeQ(&If%={76|+09xdHT*p+%e_Vu4chVtn5!_T5mZO=HX7fLQ^M_FfJg zk;N^K;!zVeNLfF5{-ie&KFeySS5t2x6SHEO01)({|2hio#(qDzCaoosRUS>C#wD+T zilmF|0IC1X+@VN@J z@tanMD|8B5g79vJ`7JV0Kt=8fYfeJ!_t;DZK&NFSm{c>gp-*9OQ9>!|kDu5y7R6J2=)eUumIo}aRkOg$2>Jz#=tDQmO;j{r zUJ59M&Y4iowhbc?%vy*(VWB#7bqJf)L7+2!vQ+TYBQ>ORp8nd8*wrMtm=K2({|IovM}+~JJ#F4F3wd3mvJA4EKjvxzgoY}v;adaF zOpw4OocKKDkr%OgVIV-Ga#-O)(MM&eoHS_>GCnOoQq}hpXHvG?>!Q|dH~eJXGMXEI zwdA3h=!& z<0>8+-Z+v~N0DvASxO>n;u{Y=SHZV2NtN^<4Mi2SMw8!;xrMdGabx?l_H?|mM)B)g3Y9ec3= zAKE$TSF6VTHq9X?sK=fxi!28Xu-O_28^_G`{8o^Pbm2c>;Fldq*RK)9c3%O~O+ za^tHQwJ9tCdYi1WXCTqOgS zE`)v|s>|8Z`5>HGJCS;J7{eK1mzScDqkJ6nlE!P%Sym10ZOtME=toV;H$y98Ld`?2 zqxSyv{RDB^NKORHS11#hEg!uu+rPnaLHIo! zI&iM!SR3rUeq6xYrr3qf3g-w&7}qTW_L>u}ip~d=^b8h%mGU4DO|bg8Yz1K`cM|(S zG|oH=+BVS*RL!Q4z&rCiZzvS_6WCS3K+gAz1#Ub)GoePGy3_0X5-X*6gpC%5EU6aNqu;O|k}DeF zB2K>5^|v3F<(tnhcP2~OQff_8MC1Lfs5ba1KI=AUf3*6(o) zeBeJ)@DtMy=%SB*{p&lGw)n4w-x;a`X~3_oGKS?pI!(Bx>g}Io;DB^F0$mJ~2MKEB85>5u_2aeB#8HD3M8qjhh6fc1^>s;=#!XuPF3 zHp%5IDl6OZy66GD6|0HaI?{D8%WBRT3F=SUd|xsgsx?@xmkL8P%*A9A5z9J&)%XqT zwy`8;Q7DN?+?lArjrIMl905+H-T$C0<1HmIkIlkg1~KVjizrGX zPj{CeaL}dsCr;andF2m{9^|V05)P++yRtKMy!oFL?|*S*ck5<;YDrZ4lQV{mUdV>p zEf+qz2X?Q~i7nUR(a!57-fN{CwR#QWS>1zR7X^gDUE^zht4~P7#t$&>ayv$1nAPa( z2gn=DYWPcqRMJq=8SC`^BwMlcgU)JBkU+6-zwtP3me(p|CYe^zro6B-)m2>HUq~?1 zuxlLZ6LHwtz<7{0Pn)%t>};R4_W>teqzQO>>A5(RNF|JqV`fJ3L4FoJ#qujmVIeuR zZ1R~uwe0dh8gD`~mlnTB7Y#PEX*w)xXyX#a@(&NkgB|pH8mtA%?a&D-4>PjnjkP0F z^esQn%v1Q|+t{AF+TlTS!sfcUfZ-(6)-6$h>~?i!ujrS#x3a~HY}zIklQA<>R91Gn zjh{Kuchw7}fJtI%n+R-i%seakT9RkNyh6%jhn#)?-}|{UEr2{rZyjq%0%J?^&1S+? zksa8&y!d#F%`L$JJpGuw7}8Ccy(9D^$-Vx!L)aA)qa6;QU%?1XYm`F=4xu%3cGRUq zq^(YW=@-46o#7L)2OA1jH-uG7bwcN+~&<{D1VL?Ev`5VC$$thz12 zBzuIg0LQonNVqikmKfl%>zW7CE-h7BeU-Fk#0w^%?98R}qEu;JLhWx${fnkJ%d(w4 zPvN9q1+c*6UZift5IoJ4b_B^;S0orV>I$psVsLQN9ZRFky^6XcPXnO0=pJn0#ND-p z6VmrO(|Ei@IOE1P9J6Sq>;5O+=Kqp-fH98g0N92qpf}ixk59rH7q|H}dm}y!fwr}Z z8#I>mnx_$QBXfK|kD%WVu`N2QC49j75O7MoL4gU)1B z2Rz7-i^lrN@RjSe9%dkRYCHAII}#F3oe&pBk;mGgN=LwyiwtzF#V%ymihVEoJKZaovC_o*k(qg@5MvVpuOqOkS5{Zy0<>SzkB}x+=!ikawjHFSCp}$)vkg?HxSh;Zf=qTz})=>GJ=h_NdHHDxdXXDYvD%ss9Pxp{1mV zUL>XCdd+=4<1*@n>{}0CFAUf*o$Zep5=%*rn-48<^E4ER12lzPs!`KMAQikj-*K{t zzBR6~i5%L5)Z{WGVIQ9ofu9a&-+ycHx4SbRfUe8upnO|A)nGZtc7^`h3HeX*N$ZNI zAakzK&zg`P0@~4IqX+(JtME<8N*)bXS?$^Uk>+N9#FThfxcwrr9AYlyUW421+5!w8 zl6m9SgXQ@SmfB-|!(awrr>*(dJ4ZVkj?-XlUnWj;iW6UB>C=nr9cGMTfN5@bS}qv6 zGb&wZK9z^xZ~lXl3~-^V17+V6dLs7PUn(H4vh|Z*ri5~V-iduDooLbUN>8^F#b}a= z8G0W3b-hMAeW!3*HuJfF_xmFj411|s;(udv&g=@duqxlF@>z`xH%;cK#u8K`d7*Al zm`$?N2XrgB-*qnA&HBcGN;NN_SHtP6cU~yl{NsAqxEJMBv8Y(AkjT4)xvua*0y-8&RLv#zC?73Hb^&RC7T0Tr!UsgY;cw~+TjIh z&|(*2Vw(r^9ld%&~MKjuCzp9*qs ziV1Zc0VYGxV%LyEEPLGrrz0S~v_A161)hVh#Ce4VBK3gUnvt==bo2^%Q^KM1FTcs; zfF5nfe(XLz_D?SWH=c+S-c5(A{HvlTQ14nx z-?k5_-y}o3r`T;)%AMK$axJzrLHPaG=oS9S$Zr_TC8TGN={gOZayKZrvEa%K9|KKFVgbbssvvk=3VhZ1kwMX2vPBvvT3T4~ zHJ^Rw;>{8fu?D{q4+$O>#)On>Jel84c^J9)2(82^gA=!R#xSF1jF(QjecUHGSx9dH z)Sv;j!w8kNlnkg0m)!EAqomTz98;IP z@Qp1^@x4QIlF0Al!8jsTQ`VntC->-~l+yobU0VdLU>a}y2`EW8HVwuQg29{81;^{P z27^EGY97p2@<&?F=&tz5b_H=}k;Uhm;v^BaM!fqZoaKnppl!CLYd;yXOxx>e+Ah+h zve``E+c-1H;k5k@NRQFJles9%mMjhPBCaZ% z3V!v;8+(jD)KcEEIMw$b!Nbl_#xIFmo_|Erqr>JGZ&r+c!?$3#s|<|%<^SOWGr@u3 zgw6`;^}(d96$D-tjjB^LT}}rh>-iU0qBK&vU_$bqUr%3hJ-@3)RvWX}gZUY$wXC3IA28O-Is$^iIn{-+e@f-KX$EIzb zF@P`Rl-%=QO^Mw+$h4TbLEib@O!)+W=az>y09MZdIl?u#Oh89Ax5{JUhn9R}G_S!M ziL8AifPo`wc0?)N3GS}}8SZA^Ps43;vK3(JI7i>q7sOF7@cxJmw$oaRfDd~SzSjH;0B7nqA!flsgOEUM|&tJ=af%oi|$J?n-%@X z;>#cp2$C*tG6)JuBEoqK{iNEq+LYsS_uJp9TIn!%IMNf>w8d#iL|I@iES7WSj#JF7 z>QWJAZdJT&h(Mz*cMs&u%p(^A_6$xy7E9HWjMi8v)LuZr24erk`htu8JqqwATAoNP zeLAm(0k?g%YH&I6H}T0#`Z4Lppv_hDJ5K<|1Lu?_*?-uj9mce-wH1TLirLH^0IW}D zuY62{daMoUw#_gUs3x`?@E04-)G*&KP z$YkUKtYr>3{h#)V`K<>@8YKYQ(MxP9-q5Lgx5&Z)b^m&dOg9aA^C*S4TUTm@rQP3fc|+vK$Zhn0QyM08x%_O1;k}JyZ+pRld%E(%BXGcJyK=}!YpnY6x&8rg8_e)Id>|ehgYy+E?tOy5)@7Uj@g-~wa zWy^D$q`SvF|Fc3Q>k8tW$L?+(zB&&EKvGpK<$e>aqYz9F*|ovUQnodD(G;l{pb217 zIdJ`(-ZOBZ1s%_z9sqyl;dPZI7+$j#zbiu9AR54!7q-tVOXdE*s7y}y8@p?OX|Jua zZM=rv)dONVuMP7`eftCdB0uaFQDcp9sIRmi~3C4}8hH68YRa!Wg zseYYoj=Cq1KdcGlLm-2rH0lCKPv?^&Mh*Sjl+YYv3*5bY@VsXMWc1ZHge2)}n`!}K9jN({#C3Tdh6we!o z_Hx?bH#ZJF1?}9FqA>oB^k$^Y!@^b^zHtjHPgbAG`!OU38vZ7EPa0Co$nflWGQmz9 z{WnT)BkK*-4*cbh2N`5@f@6*-ln=OiV1>D`TVeu3^B1819KfT*?2>Zf@r1_Z@a6GJ^nKygH1ePx}w)x7)sTi>#J%xq( zDQ5-S$_buYjp(nyXsN8?(zerB9e+ZvX!XMLjkV7K-vbOgV%Wvh=?Mo)T#TR)xFe?> z@Z6wa#w0o<2aa)^5`n_>JwUd`KR|A^U_V}_ye_6=51J$Ulq2Gb+UJ)5gW1^M3x;uD z2Gkk|zpw*a61Vu7wckf=94(I`SnBj*QRsA!4_&g{sHL00VgSILe&d?IsrOn%(G0!wHxHnZ9hpe15JPR7b`{_i!AX;B~QuuZQ>Y%Op z~gaH${V1&JQLz68Oc{H^g+715cFA|MU9H;TMlO%n08Exc~wz*(g`)7a-1T&9K~ z+~B4xoD9dUZK-*J1wImIMomyxh3Hgr2>d$GcwLtEeVi~X1UB9cxXnJAmN73At$s07 z;+P@!X$ryP|NyHDTv92Yg0*v`D*YEnwDV*Z5i8tZu&X zNg~oCO>jd${+H4P{#Rwj?dT7*x>3aBf1)UxwO=V~2@ft|gEprwuc3e$fUT7OkFWO* zNP2Jk$Iq$L&N^k~$n>O{nW-Tz9N1FR9HpX}tHhC-6LXK&sZ51~VlKoo#|cNNIdC?` zflzwfI1&XnDheWw-T@MauQysmJAfi+ z?XOp#8hk&u$qOa&B^-hDuEd=jKSoI#t2p`rTr7rN@QL&HVQ!PZP6y? z6pYP{I<7wKf2n-OUU5LJ7nJA+Nr6?rPr!S@v%ZAaU!C37QYQlBOUXD)lz>+M)%H*h zAVP`<0xnP1uyi>u=2-(*`>o8I#x`B)@Oko%XQSf{#pKlJ0c~oIYe2=oufCkpQAY1@ zoHT>Z8wbS9qjE89Fcgvl9p$tEZ$UhJx+jm_Lvu;kvq>_bj$Gqt+Zq1eotv?-9DR7X z+y>RYQZe-{TvbP$?aI45wEqXdeqbAKVS+p|4c>~?6F#H2QrY0Rwut$oG z<6es-XJCH<_(c##@A~RLOy=hI^FtFW>@Luc_38-KU_iiT@a3w0gk(xV-kOHQHCN08 zHQT^IIWlkT=|KVeZ(|?YT9`MHGMu0g6O`0e4ujpI^ zI$P{>08k150|h?^5RCrS+B!Msxo@{X>8EFa?vo=+tMbyKq%hes1|e_g$H$><4(4sh&(8PZmCH!p_@B~qu}XURE;glu0y-_u&K3jbp+*B| zfXMrJbDBR?b*&HZFjD})1X%*BDBnHS=c1z>I42q%08*I=KFbgb9`edE+VPBi z*$HKV!_l0?jlo4>1M1F30a{WHAbh_WlL6?lZ=|4#-njc;Tt2`5pVW7sm{7zF0peT@ zZ(y%r`^Gsde#g(57Y`9t8ZMN|{|y)=Tf*&u-uf?~w4A!bfcRmijT}3Xod?=d79pKa z?IVl2k;Def)1p;&q*P=V5kZ>uuKJb=$m7#SXR0c1e|oe_w4(+bBLF^-(s>@;C_RY@ zE8V0c-%C3H9Mn!9L!?(!CB{Sni;0dJf_`Ma`x`jeADazJJ1erMpFW7pyR#`I2S|Yd z92`^q&Q9y^HXU-Pt6G1H3`YJkzcDrtz>1fO2CIr~+FIN;R6fQD5f&Ok*GJ&?n9zm1OxFf8KYo2Ktulx}4Z=a=SNr?^pH6 zC_Uwd;fKf%s`RN{LRNY=zj~hA#JhV2f~^XX^KwD5EnT2GP&UIw~PPOy33CGW(A-m;qo#cj|!V zcD;#G*Qh%5g<M)!B4hwnkzle{T-2k#-&QfALA)LxqmDPGEHL(I5WGG5F2~id}vi zL({W8Jt_e$Cv5q1Y2U)-{VRg5dMCopr=|8k7?pF8_f@mAmf(pep2Yg)@$Qf*d?0N| z1?+c$SujOM5O(IY1=A!sWVZisC8Q)>$hIx!AbBwfnkx-acmc&fcY74Ke*hFQ03_#( zFzBLNg_cseYiG(aC>hKB`b&SD@B%1~R~C;%>!k^Kn;U|Uq9w@MgFHJ#T_V__VZGG&$|E1!1ahV?S)YY)};H81hz^LLJ&4y4d z)m5P_mJMK7SVeU)N~P<$6aICyeq^>Z8NIX4Mzn?7TOj7U_`vP}WBH@CbZnw##9!UR z+vWs`r%K6p*9Ut=6)eo!g!U_0w8fm;D!V`O7sBxR&pi2zpBq#$6GjHB3tfZ=Z5^tI8E z^@(dEVdUD_9zhI}5)suGe!QkP6+9t1=jJody2FDT{tU~q5e)=9EQaFB-O+_W_NKV* z59ue1{hiDG9JUyGKT>^w998t@P&t~rdUrOOfz;xTgI;}_p-ipFhO!l8Sl60M|NNSP z+iZ9mGw<^aISr8pojq(}Bhgqo%7rT9`Sfyq)i*)QfOu@|sO~J-`QL!K&->y0q+9>R zyZ$!vOj@lThVskMSFvT-_ND>`T&=E zwrCY7?}L#Mn{7ix@S>!i$Y2^@0cBr5lWgrZFoJd6+>80X1M@y|21a}W-W7So*-I~H zbw~>oCZ=tGeF^_0=tH(|SS*SAUxPWe+9hF(<<{2p0d3YRT-Y$eT!$7Ktu~xyfF|cP zhXgPA!4d-k-_+-f)p;=OxKP(NASms4b>P4?9$6yaA}izhlYPfBp37~p5ks$b*WqHJ z=RbGrTd=P!0m@G9xOT`UVR0G`?-4`+lMVpfA@M$7%rY_T1;7x4lixAoE4tra#kw{& z#QtwY3Ix6CqK)Wdx$cI$^N8AL#t)##0yci=N7ekK{y_xL;3xr=IEJ%N{46+C<=ux} z<|j&w?R$zH6ck;)_oc@7i~kVdsONM6uO`!J;F^X9vf-V+^FX*YA@^Vo8;jX!f`r)s zXfUNoE@L|7>k}a`q2F{2>x)r~{HsstHfVep4Cmhm1^z$yM1d~;$j>j843p{;uR-XH zae$$iw{7rL(Sxs+;VRE^)|~6`WwP-Hp^o*}tBF8rZpK6%d0yWX?6?h1!!jc=aE{T$8}B(kUpl=$ z5q$*W?|-Gv{d}uI&94Ki!vQk2&M<@39$K7?i&Z$L@`N^nvEEMyUGM zxW6uO!AZQw2Dl8))$^P;G*kBeO9x{(dT;g+l;t4yJL8@*@Hfc=Ds^@18(xpUzIg@Y ztENfdx_drg`K=NXKhEA33XebBXg3M!V5MgUT}h+D91d9MA&<=<8SW7B!n6A(;yJ{LQ~$?*(SdG@T{g#YLw{$e(Qw~;7esG5XO zPd?p68C|WOr!8uJF6C2R$@qWMZHo37u1e$;lJAt^3{r;*09uScF}cTO>6bOIdR9l(8!QY&-CAdDa zF>_GfkXFMmFlsZig2NcQb{O;o&Md%#6|=qPePlgq^}l@w<)2m5>u)*DUT#v4KkY@q??3n@fg6B4Dslm$kuT{Zs=D;C zE#sX@XPWwuhHu?j^0d#$U@b&cdjjuZXJM?I?McE90~(5G%gE2v7ozeVMqT#QiJzYc81UTtcc z?^T+R{re^Q-r%d@r5~@8_f+O&{ey57mPZE%4Ikt0cH36~;X|H;kH}v%ZgOApCv_c>Twmrz*T6^YSY41XEWn@`W2EN8}Exwj!uY5XXKvH zE8!tNp+3mTNGBia9M?T~qbZ*ChQm8mAQJqI)f==w?}J{vzS>RGctsKPUnFnl2^zf2 z+$41LGB2FEOMWh0#!vSJG}P@gOR&W77M@%o($`hTXGL(L?bt!#Mup~{JGiyG`;X>vSR+6TZ~J&Eo$tD%3<<&2vdr#sOIa(lhQI`%=eh2~!E=V{*PPF|G(>#} zYpSGujW&p$AywFkG#;B2fMW1#NnoMz5PA8FRL+%{p#k0dIo{PrwhDR&OwW~lc{Hq& zzR&i*pIYpT_@_T!WJ_pTAgB3+iVt=uYhyeaO z6`FZnVAne$M6&V}FXqv?fPRla0BK`ch%&H*O%E1S4*2=>z&Yu(cbf9=u=_hxGLzg& z1WQ=8TU@MF_YwrXh`7iP&7BZh|J8;7cQf#o16V#BINr_P0JIW}LUeA_^FZ0aXBg=6 z+Aw47bGl%$O_dE-3XA}jq`-yuO+(E9`SJ(gfGAVtgFC=;wP?{|TviOo?ZjPxqSLd% z6;R=WBxUlyhivC!`APJ#=ZO%{wWZXgppB~YmGHCKiu{5louoT81plErQl$Ur`{G}e z>`LzV>M%U()vEf)K>t@td|Yr4d@T15;^C@;ZHo6b{OTA#7s@$cNYqS=Jh;e-G2yblLswGP-)hox>yDHNy) zOc5S6-YQx+x&|xsd_7+p(bRgl@DFwjueQ+p_SENRokAB}1VZQ+ z%(I`lc=~zKoo|1Zc#4y!jxUD_UQxSWShR%TSib&JBs`U9x2qSJ>aQ{ z38_)t=z}jkTWvLLNGCj`w)TYm1w}I4=R9WAKO$u!J$&?ERS+BcB(n`~_ln7%qunm^ zeqLbX{0E^$zHk)zI554cRJ+{OGUbt(*#ExS4~AlsrQB!z{cyEyubYHsPk^2f0ev|t zIb#0>Cu984%wB;e%Y+SJ!>lyhQ6Ci9Xvd6>SwJSPuP|7jw6yEGx{m_4f=jiik}vkD zXCLs=mwyAXER-~LlXK4>TLu<7w`cLkEhNB-@cPA^=VO#H$&v>31THQSUz;D@K z>TWq-N@|b99MkRYhjcUa7Mz>Z9d3z}OP5pF+sKz+=@NJZ{CLLqdiC#ZVd6(kM}WoE zGa`_9u#(|wRprqyysPgXAMntYmedcbJwGs&uCcHqY={ilulDu17-YCq$u zVq#Nbeq(ZT+l3icDSVvs`mVlF-%p^Olt0(&^s1)x63T&%A}^C8W2dk(Vx>9FXQ1Y zoE2x&hx~POvv4XO%qJamQ7utYnQaLLtZrwG;6XGF&u@weR5GqqKl_2Y!~DOv05TGr zm+9$O=tq)#k30$-v)xCHT>Vscb8eab6;%?|MOnz^1=UfU2-(tUp=edzjoR?54yoYII^c*o9Z;71B9&w-ang-_9urpxh%xrHS)#0szZ zl+tq377oe&ynf7D7(%2lLOloR?gwgdghtULPQA@VJ-{+UXy~!>?$i{Ow2N-Qf*C<9FEB zz_>$>sCKu#2n3*j{Ygy!Ft8RHP^<@Rs1aRS3Pl;O_km}=JwPwq*6g>!$5Z>!EI@BZ zXxKyrl!!N`NeFE$i5rpiC$j&KHESl7H@jH)Roi0d&f1KpK_Rd}O*OZoK;sn2d7q(+ zH%+}1P4a)q3Yr)yp9Aij-RR>|@~`zGVI9|6$NA1&t>{-vICfr`ye`}ti9p+uu z;&sy-a*FwvQq^KAIdDm{QWq)9u@5tH;|;i_Hx5-L#K)yC|4N?)mr=wAHizfBlN>%~ zyQN7n+HK#rorydnopzFkl$=~iM$5xp^1L{S@izTkQC)Y2P6c{Ob7~1I9B04in||X` zGmu?(SH;V#iQmD$e#vtlYAfx0`zDm#%cs}#@-es^s5F>u^)A#qR>j%VZWnu>RDF|S z!jClaL0sfi$E!B1*l{82-|@@LN4%xIDy+uT0fh**`y8N8R}wt}yHEIK{Nq+P`_+AR zG4?#EwoYQ@8zx3*sqS57&eU+H;bM$Fnvp#4Uke|r4OeSeKaT{K6;)gX1Aa?4+AYnB zMX=TsTp)$eiqn0dbG-=GOdod)Z?tB;+4jIVv( z)9#`nrU@X9oei?4N%1oqG5^5o5J zPJfr6Zd+D%)cjpcHUDyTR7LFouA=RY==fYvSr9-Fy8+8Jb1A9Aw>4Ffm3SsSXof>G zXsjSfFV+Dc-f}0QBeO#-tJfmj2cJ>sv3Rxhv*K0*VEuVRSIwvO(eQFfXoco@O}O-6 zzn1?Hy&q@63deOBbyMML=tqIiEm*Q(TD3>I_48L}o^}aVFlj zFI+lkrl{`JpeRGD!hkz0eervBt@V*(#(ZMK6}X_?_fYy3Q*`=63WI*-H6)fAlG{fg zod@2Oq2wcqo4q^(#>?Dn*yXa5X{_K_mhK{bNHd<;V6E39)^jO_>br}w$M0HmB&nf# zVz3uF`cT5U%zEdD@BjJ-nz#9W9)bp{H(D!ho0GR zIxzd>zm=uJGU?Ys=3>MjdgVzf3R_Vql!lg85Al0Zl(JLJy9)cm&%CVnRuLa}Y1r;U zQNyX)G~J7DGHQiYXd?|y%RLo@lv~=i-`TDNMi(|*uFe}z}WVX^)|O{Z$p`y zh1u%MqhVHkuXexioVV48htX~_*@1cxncAg}D+3x-rGeLFoIBx38z;Gli(AjyZi=Gd z@uj!StX{j_#qomBqSjOm@*fS55Q@2NH`1e-k3?UJzN%4}-#L##pd_>M*mxPHc>?eH z_q^TrO5 ->说明. 最开始我用的 cpp 实现了实验内容(代码在 `cpp`目录下),以及自己实现了 fft, 1d,2d。但是配置 windows上的 opencv 环境失败,代码只经过了静态语法检测,可能还有些地方有 bug。 后来我用的 python 重新实现了除 FFT 的所有算法,并将结果记录如下 +>说明. 最开始我用的 cpp 实现了实验内容(代码在 `cpp`目录下)。但是配置 opencv 环境失败,代码只经过了静态语法检测,可能还有些地方有 bug。 后来我用的 python 重新实现了所有算法,并将结果记录如下 ## 1.1. 使用 ### 1.1.1. 环境 @@ -90,31 +90,20 @@ - Fourier 反变换 幅度,并显示 - Fourier 反变换 相位,并显示 -- 对于 c++ 实现的 快速傅里叶变换,接口定义如下 -```c++ -typedef complex comp ; - -class dft -{ -public: - dft(); - ~dft(); - bool dft1d(vector&, vector const &); - bool dft2d(vector&, vector const &); - bool idft1d(vector&, vector const &); - bool dft::_dft2d(vector>& dst, vector> const &src,bool isInvert=false) - bool dft::dft2d(vector>& dst, vector> const &src) - bool dft::idft2d(vector>& dst, vector> const &src) -}; -``` + 实现的思路是: -- 首先实现 一维的变换 dft1d, idft1d。 +- 首先实现 一维的变换 fft, ifft。 - 使用 快速傅里叶算法 fft,对每一层, 计算倒序数,进行计算,一个 log(n) 层,每一层计算 n次, 则一维 fft时间复杂度为 `O(nlog(n))` -- 然后利用傅里叶变换的可分离性,计算二维 傅里叶变换dft2d, idft2d: 先对每行进行一维变换, 然后对每列进行一维变换。 +- 然后利用傅里叶变换的可分离性,计算二维 傅里叶变换 fft2, ifft2: 先对每行进行一维变换, 然后对每列进行一维变换。 + + +傅里叶变换的总结可见[我的这篇文章](https://mbinary.xyz/dft.html) 结果如下 + ![](result/lab4-rect1.png) ![](result/lab4-rect2.png) + From 50fa97dd89b6817b67b1f2f38b34ae5f5d514498 Mon Sep 17 00:00:00 2001 From: Yishuai Li Date: Sun, 16 Jun 2019 15:41:30 -0400 Subject: [PATCH 30/55] Computer Networks: add cheatsheet --- ...14\351\235\242\345\260\217\346\212\204.pdf" | Bin 0 -> 345200 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 "\350\256\241\347\256\227\346\234\272\347\275\221\347\273\234/notes/\345\217\214\351\235\242\345\260\217\346\212\204.pdf" diff --git "a/\350\256\241\347\256\227\346\234\272\347\275\221\347\273\234/notes/\345\217\214\351\235\242\345\260\217\346\212\204.pdf" "b/\350\256\241\347\256\227\346\234\272\347\275\221\347\273\234/notes/\345\217\214\351\235\242\345\260\217\346\212\204.pdf" new file mode 100644 index 0000000000000000000000000000000000000000..2ac25afceb2eab4cf11c438e6cd37f707cae0f4b GIT binary patch literal 345200 zcmdSA19YX^wlx}5Y}>X~u~D&Y+fFJ@MHSn&ZCjO8Y}=+RAO5T5zYPDd)86RU@L&DuS^H{LxF+-o`+|$Wept z!;y4~My`%@;#MD?68PgG_{T&1m*I3$Muuj30yeH1A4BQ!SvXi|8S&Yf8E82eweUYS zZSDABw*&qkhKSnRIN5$I{2PMbALtb56z%n_9c+K?&%ljNP=QX!$l1)mNM2OnV{%13 zeTR=Oe}M6a4;=96|L(3|6aVQh5i?6iBYQd#%a82|85!6Z8qrA_S(`YTer$}1iS^eo z2SAyz4(dzL9pk-dU|SHu8Es z_CLKQ>Uh3J+33X_oj$)m?xI~ub-fR>@g}p;z3z-H-+z6$f_m7^T<^{|D{ zzn5=&eajrddwIM+WD@6j9(U34zU*ZaIg=l?N@&%nyS>ub?U+)wTz{KyJb&L#)WNp( z>BLIwJuF^|GGayOWTKN#@`~Wa zYAn(kI4`wgnXdfuqzR?h@Q@C~r-|f4Zqf7E2WE3?9hbn%IG*Y1+i?h(<&mW3SNSJ+)b_5|bAI9l*3r}ql_S!*3 zSq#K&3k$tJ=MB6+jg33+eA`*;e7lK9KIeVUyZ8$0tTKLvqphSZqdv9j(H1JEP#Ozi zS30*B4G(-nJ9Z=j{$m{BW{}7fr=Xdm2M!>a;r#2%KKSlAk5~TM`^zEK``e(*NdEid zBvBR&Se>&TB$+yeON72q#h0f?z&0sY(@zB?smg20#)wx!v0cl#PMTB)$MWh@JZ%$v zLpgGano%~RvUt)=_gPoh#5CL^%PO|850{D;N_?p*Px~_JViO{U9KvvFIu1_!1e`#jd!&;u>NElw)P4ZmCf^Qy z-j6DU67E9dE_B}I&pOO8!3^AJ6f1LA_Sl>%`dY*2o5@Ce(S)W;MHz+gsRq~=(VEc# z>XU?e2|HHBV^;DGaJ)AnewVr0V~#U}hWyFiLfeJNZ0C6B?1Ivld_1K`;2y;J3NZ3> z;(oMKNH!{7U@7bde1v(*u2GJ1qJ;1HNlX}oE(n~fgQ5r5Skz~Z#1jP*xBdE zPI#%2@6(%c0_D@4#lry}^2&n&=X7qIsx|cK^2HGQuN+x&(DaNEVD$Fc-qT)B5J}_< zq>s=MU<{R(B~XGBb6ISvSbeVx23*v2WNe`^{nSbl3b!oCg=>-T8k_!!OnHIr@}>nG zqbHifFmi4k{C-nl*4?9J(W7bb6^XV-PuU5dn(d7Lwk}{+|EeOpLQHMZ3DTXGO|EM3 z3B(aGcGyO&ywNrZ|sv*vmT!Uvduk?L`4F#U~aD_) zz_UK4+Q<4;$aR~G#Y8a)rby^SktT_y0Nte^xCUcNO>X@}^im9!6^KBHCem#ws78=G z4nGI?g5|S7#DG(bS#(xg^-ZuOeGsiPtmTzg`nrI(H3W%-!>e16M;zHlolDe81?p?i z+JldfzZfC(oPx*&S>W1Yux5(vOLCCW57SZ3(6Ne5e5Of^XYCV4mJ9%DnE(vuBS$&77Q_ezf-i)r*DYS<)Fc2%0iE!XnKQYfHSo6 zeAROxHlb@vEp~G5O;t7}He zH`8b}PTDaYe9P<|4sJ#PU~b~4=K!k1wz}4X{lq3A-8#F7{)KVU@*LU~Lk;;t?N&aw z+tD<4G5P*5WeZLgm5-#VrMwdyXX<10Odba+Jy&BMRTr17LmO|cMK)gP3C0zRtnfFa z7q(p7$aZ=!A*BM~EHo|f)(X)>z)lsQuw1J8G^@%k%4F`qmyw3V1h&)qR=p*E#sT`- z4Qqr)xQl##niZzY+p**aOlOO(lN5wP^fEmty0lZ-6ArD2$0yB21A*6t&nCyHMHHL9)h~MWpELql;eXwi1KhdvX<*-x?nrL z;nk|Z`n?}O{)x)|zJ0fYP$J$qKz4^`P+9A;C!l;0RioR)2Rs!6!P5H_Nybjl{oPyW zjqdNeGCT0xmTAc0OjSiGy9z>Opd>}Uz5~4(w}|9Iv_ZNjfyY$6#6YVz71dEmkT6+W zHT0jX-HkKqSXT4KyaI3bt$DiyFa3r#7 zFq12ws~K^eNMT0X1cBL7e46ZHNF!O=pE1>eGTjRr1 zB937j$9E*lNv<*0udRIMu1)5x3N!erO;K{x0+%2=(G@;9Y{D9jB9-N& zU}pquyEQr+p`2b$13^v)7~?#pEW$|#&9ae-nV>v^3FP}Yr~cU?-s&|hx(`c@uHQIIz+K^;F3WpJ+lv8QUm2=j`e+co@`YJ_~#_uL(g(VY1vIy70l;moR{kfC;Xt7gV8zi`#8IuqM!CAQRibk|0_<;Pz@ z_zD>*o-gH6**|1IV9YHrr_}&x(NZNuGCAdR*Ry{Kn%?7sRE?Jx-*3!EjRs21_AQB3 zV~BO28r4$t#8&k?K_i2q%2<%z5+&2&Qd$5b+IQ{_K)DN>l4u-F)h6a}+(aVbY;hox zaP{i;EJUC1@8TXfi-Djw!S;*B{*^N-*@Y)L`+!$kb*^Q2ot%4FpLO!5i8u zU;6T9e&!aK;JDyhyP!5rz)s~A6`#&f-_jP}!B%o)K?e+!Sx4-$(`L-Zy|D>KKMtIz zyN=~1DCZGaZ~|E=-pt9U@9qs}aBt~-zRz5FzM}|tV6xn(1GXJ9+&R3^eDi6#K#9*# z%(7Sw|89w|aX5~=?lhKJfu_f3i(zfODThA_8IKAn<@pIHJ3A$&vGzz}F)1-N9#~#2 zTl?N=8IZLvZ&D4Zc4(O3Z zNquYS+qZ3as|>-^Pd~>G-|Dd%x5M}d)q&;RGxrHm!)#Pe0?1jDg&5J;0cNRlh;sl& zDjC4)YZsHZsZF-Gl^!B`) zq>GT?*206hjcsNW39TQHUXk0vCD+aV3x`jJf~-Mx;6u2Qy+m44Jg1j5qFY zhm;fI1vJI=a?mFni1#RIm_}wC15a!#g0P2dXs^u7>CN>HdVgJKFxr0K0C~fG&qZ{4 zHF?o$nfm&2nHkjRCWzfFYSIA2IbJ}7ICYa#RI3&oXx9*8P6f+SD||u0 z2l&l<(A4||=CB~*1wj5z~uxYQEA(KgUX_6 zw~>zN8xboR%G?nPD*`9g2km4>X30QlL9~>ok$KPy$NjnqJL)zd2CH^l5Yb*LwPci! zDTb3$TkSOorVLq^#oKM*nZPF=79Wl?7Bj6oH8`o;sjW+HEWgWEk+dM2hvXhVvk&r^ zNF41d!F$avD>2X+xSmK)Gq8Vy(B>YVJjJP8qZ%1`03#Jbz+YAAy&)zkp9wDpK-nz} z2@m83g+G^C=gyV_h8E&BzR3avSDtm$2AG?L7+SF;H9=Y_w`|jZfX%-~e|b_y zdMXO7j9YZlLBE1@wh%}DxtvYRz<-r~_5idKR2iKj_>t1Ew-8Vjz+w2Q_tR)j(WP)f zl1Ptx7@c^C`h0Fx5k~?hPM@s@%w3nur3-o(j{GMJ7C-Zpyu9Fd0`V*2q4yY=w<+8* zE%Mj!Xy6u6Pd;*4r9p&Bo#y?1@)+PtfP+X;!~l0~i&s?0x(zE7Qw}uxz148(RVHSZ zq>4Ak3Bg+)ceaa#^0F?s%i4#D- ziN}KWP?v#itGE6uQBO{=Nn$ww1J1HMfX(vH@$Ilm0KKR9piG#BgopD75_t*baP$k{ zHZCjSTtw9gjuk(rgK(v!Vh3?Cw!Z8gfBlKgBQoR1qO0gb9i_`^YERcT?KKnRQsvkm zan(Vgjrw*~TT<$4I7ww@jUIt2kBp>zRH(*m7c0if!zpBX8O9%92Q?j_j1?*?z1>BaO9>Vr^W;I@tD0X#$_+e#A!;+r)llCfiOP} z?3*Z{<$qklCXQb}zIZWu#zwCKp7d-{n=A~ z2_!B@ks5JgcYEq&(~z%mM#wFYj+Ex?T%9%41(VqJM+nuRNW_-cr$b)sW^|V7JhvvU z(ex#sxpUdpwn_`;(TW4f!|(Kxm$F(0xp+4R`z_chM%OQf-oty7CUxi%fik-3?_Q}Q~ET)*7+G$G;vS+?qHT0Oi_I`QT%>I%hH1ln<=Z`ps{IPk5% z&;Q9l>A+**x%Rfv=J+y#+17*HEPVj_7=ef=&u{wwW9e4Vq*-_$Lr1Eb0rG%|R zYi&Ep=mwnU+_^Q-tak8fO~q#ZX6=B5e0tII?DUESG0TOXaJ5-09Q<1vU~uvP&KhN7 z4|l70uLqVx=smpM#araMaW-0NFZBF*2wK#dT+|dvGS)%Dpx^B#Z)zv>J0|T!)Oxe8 zdSYEGIx1F%FAS!TI5cg3SyALu5WhPe5!`yAW-F@ywRJ8KXY$XGH=kr$ws7v={Z(I` z(xq98aBAn5gTD4LY7018gdWvJHP)G=R{JO-E`QDQqs|BqqZ?e`Y67s7Yr}3%!ofSB zumg{*ZJGHN8u(VVnJ(3bjn}KgmI;8Q(1{E)gCf(@wEd0ljs3lUr_sB^>$m zGt>Kz`U)?zp69AGg@9sT5iOxxU9RO=jH8MYZP&I*ZjM&eAjLV?w;bokr&M5FM^TwPudYOZ5RZn-|(w;Ee+NDk#(~n+u?@7d>uU zr=6f&g&~XNi@Koe9&g!amjX|HmDu}zvc%_Zt0QM3>c^uc>5j47OjfJ9Q8N2-x_E<~2{ex<^kwUlRIIMg=`~I-pf-$k&tikMF z^fhY#_w2sNv^?Zj4OL#Yy56HrO(6FG;6A}}VVad>Z*7P1w3JK9+4hNIy@Mha6C!=G zw=f)HT5p*VIkfr-4d8qDU2Z76WP~xRvHCGUS?bht2twOi4KaOo=kdcMCF~CG}KlT9ix^ROG;noOt`Zuy^B` z=QOApuu*b{dRFuBtY5)8xe1%tsx|bi!E0GXY(NrX47PhZe+j7;1pES}jy7 zU61_a^4hJUeE9%Vi4soEqZr=u1m%@_EejO`WxA}2%^kS8<1RAs+IFLaJYQZ>Jo)Ib zo`d<6TC`JV?pSR^Zl9J`3$DQ?Z|}9&lWUY`l0k!B9G9fL;;Cusu=!SY;#D*3CcBB^ z7(WrkBYSg*avW83-Kl6tumW3WQ;8GCKyoLRH_lrJnIxjC?3`ngDts{jyB3V6h4d3E zLyo9k5_i=EaFV`i*|LvIztHx!i=g#F+Ed%pVwTv@l3Xsz68gF0l!%l*q+(X;s#SNc zLOuI-B4~pbeZ$2~N=8;Ik-q9ZYs!X+0&Sdy z_1rgMWbN6LoFj{4BN1`s;(yjsD8UUSvEipNT#ZEOB7>O>cNXNM6}z- zk^0fqy(S;2q$*l^Ws8Uq#gMh1J_-u(WUA%}8z}uznzIaTmN$YW7{Kz5&kVcd-6~Fi zEb3mM6se!CPj(Yh3dHDH+{fZEt!|Tx9Px_SWsV-F=+z5;6ks9P!4{bh+5$RHP?a<_ zoe>3sIV*LPK%vd}xot_^3M;*IsY9Y#*Z zEmd1un}sts6=D=rF~twa0;9wHb}EI1C$3==NvIcx=%2AaZur7^D<8Nl52<AI#sN+Yk z*@C914A|%GA)rm2zR#DIF|M5eDU5AK4XvB!dxGYkES~P8Yabnd!b-{L{#nlB$h%|T zR1tG90lBM1PTs75A^wc$K@WG~M;%~5r&XC`PTfH8S@VuE(9Jc3=e9kOmE5xFhDp6z z!a6QXa@e6;&QAPhpjP6|PlOx*+N^k^xvbRggt6hMXbpwsiiV}hQakU&8Ep*ipSX+$ zY(Xg}?`Z)S*-oh=avP#G%vT%vCKFf(M>o%d>V4=9R}~grCXRUk4X@Jc^BzuD;zWF7 z^YWo?+5gl07FYGV-U?ckxBm@eq3O1XE-~a2nmQZ~ah|R-A<8 zNW}BtBc!SGDGx52c7*JHFN}p30Tec zvr>}|OyAQ@ZSv9STX`i6kh}txn2^5Wd1%2B7z=K>y+N*~$Ypv$06rWfb&AdrM^d>O z(`sotS%6d_zSmh+s8At-2ilabfBsSn+abkKTA3B#bdig0t1E~WF%MpCmDHd2M1*JQ zDNz_U$G+7|T@OPUd~`Mx^dh}Z{RSEH==i=u6nN6Lku*6s80;Kpb@QX1-$x4*VIaFL zH7{~|x6<%aOMBc&CB7UW^Wg{Pn`^R8Jy&yP!TFY;~?S^oLeQ6!;7&2Jnys z=nvP2qxT+|%St2dZQ^z+kqxtYGSqNnyoy&6^>&2IFI&mFTVw{37l6FE^c(k@F%m<5 zF`K9-wH$Xj=8a;Llf8xvv*T@S8`&kOfW2U@{bb9jYPv`;?iK^hvkRvj)Hzlx^NWE_ zunZ2u%PO#I+a6RT&NhXm^kO?;u)1^lP;WH)ENqZwEXon|B@&Zya_ z=d@q*aBgQuE=aPn?`~>buTt_7<#jrJw$AB5^-fuZuxZYZhQ`dTGcf_bH-wn4ndUQ~ zS}@+9Q8{DF?0Kde$QuX+!GR`y%E-<^SY+HvbW%KlA;GSa*aXpT&JU0>1t?k*uU@VJ z6dyOEZVk`m#^rQ3q4-u~TGtH%aKdBEUJ>vDqh&K7Xl z)LpRfFphX^%SL?^nr>ErM6sKJcD}u14pz$2pz9icSrlS8o8_T!k#?UoQW>Q`)bHK` zA7mXS3sgPros%yuAdSrFNW#2oQ=p0!st3RK9<^ z@+_hRcMj+8x&V5Cv{2<(72fd7kgv|Q+IGyx+I}oAgHms#e4Lg%q8y}xl7bEp?9F| zp%QlvKrzz&~nuP`{S@=A}7N>#TwX^c=bwiUlb#v+54!<~U*#-)s4zg^G3Tsc@S2WhGQ}_c02P`p+ew7dS1A!s1f9& z7DQ7L#=xW*arNZeB?bos!HS>JH4r3c{+bGEeZL# z&)@x-gd4JO?&sy_>QP-sa+iBO0C8v8%v^Og1(N_{@m_I^ahK%*2BDJnv%=4h!JA@_ zSXvD~x0S*QnWy!;0c9{MHZ6S4oL3tby!RX@(=^KZg^SjfR1Kt2Ta)L(wQ03)BM27M zHCdXKs+w(<683=T6&d7F1YtjY9i|lBN}2yWi3ChT`}~X9T?PE$LC&V-G6>WYnpHBs<7hFii+`%zaV= z-YD`fmD%a#An%~Q#RGSkZH!8wy^kb5K8+*(Lz6C{Ax(xR)2?4&v4AWVYt!g?OMQ1v zMcP{v+L?u+G7|4-?zjn<_8hg$;z>)Gzc7-uGW&-28E%XL_d?>*EGqgi%f?m=j~XoE zeA|&W84YA1Y+rvcB{{~VFZX{R%l#yCd4KB$;6`=g*5DyKzP@J~=V(o1J-h1yOEijo z^79REEh)fl<0NpU)WC&=9_p)$>^%&gY3xKp#V50E<}I#Z4UJQ=KI$Kx{rtfcRi4l^ zOsnI5lLkpVx^8XLEAwticOi^>%?soAhg`GJxy-}pRzP31R_7V9I7lbkYr%;_CZOew$&?@jqEekg@6@9Yz{$hImMSF`I@a zh%}4qh$0vTTU{|RGQqZ=h8J`Lm(O|=!*z@zsnW<*TTEQCk8J14)B$}^D-z{w74;Tce zK8YHvl;K;ggt4Ju0Ww4#ICcg&I2l*F)hoOiaK%}5`EytEn_WLDYtT;X;;h_*xTi|# z<;AMb)SauF^1)6sreSNx4>gJ5y~-a|uWHabw1VM>8V0+3T2IE$wL)(nf9N=oP#p&= zuV$Z^UY*#@F|c$_Et3cnUOx3oW`yDoe9{k&v1@u#y1%|YGL1$F3st9@-Vy7snddir zNFaB9B!C@3DmX5cZ9*Hn#nx2S2{f;DXtsNbGh00lLV$v^Rw!+tiz@BpuTa%0UVSIB z-vwS9k+c>nXX!au)m$Q#lk&1g7KPd|JNa^2;%q{(KCjKvp!LYfk|@vNRiw33!`?b| zUc!C59FiSlv?4Fy!ZafHX^R@^+OXK9(6em4aDgxysMFWB_n+|ck|vzW znYRJ`!hT$ajsZQ`Jg~dWr71<9&(e2*QiGe_ENcP^4PQxN%Ql;gEPCUNL9kwF4$sul z#DXsT&7OR_dtG5(O(qcMlso!y&p!Q?X>By=^J+}+P!u0b31glb^PRyLmB+L_%yq%A z3JMj@rSEis7nD5DQ>W{lSXbaWPzXDp2sfb-&5}hO0;?Q!$EiZg`}dgYC0d8%S@4{| zzX@5Zw>5ZzL0Nt&R{!x8<}Cl4KiPB-$B2#IE>Jh1Lmaho7Ls&NbFkjCKNxo~?w{xHa|9 z9-H72$y?GBN%#k&r%Ya58hD(N+^eTxrIr&fI}owd8|Yv=R6@FQ^;Atlmr|3)d%urH zvO`_MhOytR$~`?GuHpwLv7@*iajk%5O#b|aF@}B7%iZ!bAgz0CYP`l^cm}6D>teU3 zepD={!g{@T1?PqY$T+0acBpwj4v;~=H195I+vs6!IZHH;Qvt+aVjPVF&P@PZ?PSj( z5Ul%CtNp;?m@}Rf&B!p|gDn)|TDt3~XCa9h?jdVe*Xu_)SC>J=cGw!P$A4-a@QlPg z>hl1JbA)^iG1d^v4A0a}>t&FHEXjNLun3Dq`%NdVezl3VPv zAhafz`sH!7e(@SPtdk_wFpoF2^ROA^LnFv1v(1Ghu1wy+rEWv=*pUDQMDM59EeUF1 zoSTIN`s_`15I9cL4JL)|{VN#dm`WU`CGYqv`_7mkBK0+UMj%cfmIFFu%vh>KyU>g~ ztq``!q@C%CP#ks&9KWwBWt&UZ;ZM_g(Z)~|<+M#8{tndoj})M!>}l;zJ(j~LZ4qkT zWq{6vF*W`CW90%o5Dq8z--W&djx$JF8j>nr?jyjneX)ou&D zhtq7F%pM|1w>~I$? zRpPX*Gk*JID-G#Y>6Cumt6Z+VE;oyWRDa`=RK2Zlexl{6*o+Hw+IW+3t$OIT{czA! zh>I$6WX;O+!fnjJie9`{0}`>_M>GL~S!Vel>6S)=uHIL;^F4EpvXmJ;qba)$&AerN z3ousoc;q$LA?)538Ca)yrt2U(vCep&s4pxEua)vb?!t#{igRib5K?=@hkTF~ZG z>X5Dp8Zhys(Q}L~dL(_$Gwdgx>*Or-7Or~2rW4ta+r<*zTBOptSHv$fkF&{E=-ql)wXyw&znW=CE zrU0B{T720))zWK`Gpi$jDx3~dZ5df|(X+q(R)ipjl|h7gBalK4;=y5AHXfW5BKmy_ z4T2ZetvU5EvoKR093vWk6(%~W@FU~BOB8oaMk|2gH4cA&U(W-&i^hi?ez0G>h_$yn z;CBEX6Ryc7-R0t;VR2j*x-qRM@-s$KKjZp>)xWG>%~j-kAj4|}7L{tfY-iQ& zbOeEQ`YFYbPFL-6wKlEyCJ}VBU%xzOZANw1!H^&%gjHX2PbkOqC+~YjpL+2lp=5urSz%mRec21}3SwPGyGtUn3aNGV8N9H)OM(QQezS)c`13AW$B z;YB^ofK^Qi4QFF_$iY_hgOBGTT06LUi#`Pwt7WGBIR)6C0cEtv zxhwncc8SYcN|+f5C$hY6sY&AC@4-01t+IrYCRbh&rJEk54W!LpR@0og)!Y|S#B@^q+C}NcBnDA)i{Q|mZPAzPyi@qC~EeJ z)uKaqsnwg9S8)x4kNSzX+P?H0NYUb@XK^E2iPbjMlm zgQ2^UOb3s&2thE|FZ6L{G#5wE`#I#bCzxCFlEL#ntFMUz>|ODMpHWmR*0Mel%7qwF z?l~0-v@%1`@&a0)GUQPDP*aCB|rAbdbS4KQrmKkPYS z+bTK{eQK6w^9QZ-AP;{sy|#eWDD7&maYKDQ_iIjLipU~Qvhq(rg`s-hffmVYBORYw z_#pxeD(P$fc}>ozPgqjGWnKM8%Z9j7XVv4!*Ly_f(qIprm+WNUf78DIBo+V30RP3r z{*#mZo9g_Fq)n&br0@8Pdj6}1o=!l|!RU82zkr~SurSqbMc7VzES<3R2W{ER+JsKr z@PjvP=IBNv_CbhtF!-2S&)V_V00;a(sOX9|O4eq-IL`P?znR~ECq@72@!J3me729` z2gCd?dit-5KaKkzjQG=1L2;p9EB-Q=@ej`SZ;L;w6>UVtg{1Us|7|9nkje*>oSvTU zqfx=l!O_U-7fl|Y{nzThIqJVQVQ*&ZXk(Ah@w-w5pXs-4f4BQr_djiuu{Sib|L_F) zpPu+2<;xqHn0+|gjhz33YH#$5rSD{GYiVTliyHqAR`{fNjZ;Pb$tc>XX z>HQDpxQPQk6C<7YhdRK_fZy80(g>fPj{lec9r4*&IR1r&BR&lSGsB07z*fx2%*6DM zCVpoV6*I#RvN_9#8>IAH|M>9X6!zcCsr=EC`J++wU!xdV=syVRdcW}gpz1Sx(A6Mtr7!k^27v_cxjU9~=KS-=9uEicZ?b z-b&As&Oi^J;qPw$P5b}fjuPbmUq<~Gp~LU!_%nDEKZFs#UH{w9B8-1UyrP+vkpmGU zy|j_b$4_}1D?Mv!0UJxhzk*-I%$ncY!R+6M;n&1}p@+}#TRlN1VrK8)C}^r@{|hlm zy?>P%7#Qh(yZ!^n-{JRUwo{wXN=Edu!my8j6$ zrvHf3|9y!5rAGPhA!20x6C&o{T9to5^xxi|f1&>$A^N5L_)jPJKcK_G^fz?q8UBV2 zD+BZIMgKP^_%C$+ae!G^|BVhaD?Td+)Bl3bzwhclbus@$=by>qzlqAfAo=s6{BKeQ z!|$t>?r%Bc_a*!fzyCWiXy7yaJ~)5R8oz7)45ELhjsMP^KhOXFdGcWRBT@YGgnk71 zztRWuzr;#^yZ!!t?f)O;kKc>@x!wPmK>j-y{Qn{de~0uRd4&15OaC4WzlCZ4*#9pP z+y4U<+F#nUzw~H-s?g{}SnwHtU*R7LuYc#K|8MnZjDKk4{@)R#{Ue$Fp7~GL{U=2l z8w1-fm;d?8qib5e*W!1}X1fg!;8(a?Rg%Muy^bz!m>sogYm23rd{*7ud_ZPvHG>6Q z17eF8)^#3)l^DY-dTDLJX{EImHA8yz=Yhsb@5j5_c^$7tuh!0Ln+`XP`%|mew^6!| zmTR_7+snJx4{aLASAz?@w>{L`y#UoXkLS1b4bHER787f47lm}4KD^sHZcoQL-jDro zI<>27&CSO)0gow*f=t!L7mMq}Xww0c6ZH9!z`WN|=*|Y%yi`OSp1f}rF{N#lnl1ic zua`fxX-}hcumG2*57r(Yr5pP&FIO1e6*pT}UIBP({eyp$jk=3ly?h!TVmRvk8ty;cI9KU4Jb($t1nww<>DZE}r(D;0{ zT7P5udKvk3DAOB-PO&_d(K7H0)1&@_yMX|s7XM`S-)PT#O zFCv#W>T=0~b8*1lzA!wJ)u!9sg9FjdX77FfD!`b=i`FE|v~u1T zojJoG2ctCT%+1!ZVgO*3M$XPtJt+`2`AWE|<$#3r)g9JWbfzv*T{>gb#AsizN$5*Q z*9VUWXaM?cYXq~Ai)C)0ywwCa2mrwBi*F15)#0*y33B11W8VbmQKG-+rE~y$YsvDksfL=7u!W()=&wD7o8k1=$zKRN7QwodMe+%H zvVh473qfV!8OgQT=v{W!Za;Ll1AzdW+&-TsRI*Oz>YOT8FhGg|ECPiuZyXF(@-%tB zk3awV*VncTZ=T2de56cT`}=b+{rT4}UZI!4&c}_HS2nx{gtxTrZi+EVDzwqoSVY3m zudl&Kmu@ml(TmGJ&ev(tuwTCP3=@2cmY*gM3<#hjhwk zx5kc56qW~e+&e{!!JsA51S14R0UcxM0w0vB9}SM#*XEbhF-JY*BO zAo6m>JXYX@wBr^AA$fVHUD}u#)j?gnfXzBlD9X>|9?+Y!kzq5-7sB8{+=%(;MY;t%_qYp#w13EpI^jWlnaycMI*i#pq{>c$e^JR-zW!~KKB8O z4=F&pm(vnCa+(TizU2I=tFbaOvxX8n12vNCwuEh*jw=#NsJ+~O@!gOz7Y7a{&eVyX zwvTjmWeX+fb2uo;{v%az^^9KK&KDQdGne#mpRMq3N~_(z$&}uk($t?VZuPH@V#=q= zlgpWF2m%fhA?NnS0(A{G;p|mwjLS>iZ)MHFs4#aN=0G;5&Ajj*!P$6@{LdtX@$fBz zZ(Ur%4SA11XQ0~S6E;h4fbkB4ZHJTTdzbD}Zo4dHkQs_(p17gNCeH-J<8taw(#S?`n=+`|X*B4{*WOA4vz_~?`0&m@cv`P;FxhN!(b=s`q=x2X~hQpZs_|ewh zR@r^AbyCoHqGSB^CX`3kkXh7UefB6`FlsXmzY<16wb`%l0(qP~h3ph)&$9L@4GLvE z(0Hl>>_G@cK|(YFh&c-_{*VoS=DWF@JhZ-XI0)2M^^xpm+t>R`aR3cphn7t|1yF^& zlKmbKg@bAfaP>V|67md8r+OryIIJ8hfps-29Om_6Z?>QiRuEPTS?a9~POw_DgS-Ru zhAsEneT%^=lp@Y}BAWV`<7Vhyc?3`^sKMe?{$Mgu|DMSQ=hE%yu?o5B6f9Lv=c1hg zwy)-ZzDbHL1t9CV7~MBJ2CH0>N3ob#11R@2vHT|rdwA`6KF8yO zA$LyNM2Aa=fh=_pHJ?t?Ofx{(c15^$2v=?Argzi|9+BSNVrvx=6-d%aw%O(e!Ft={ zN;Z*EuxP{^T9Yi~JV1?EiR~$8L3aQ25Qj{Lss=^%^gBk+H-Ow$Ik;hM#a;T?&qz*K`6QPSJSj2HQMgo9o@xzTW zAs`AZ_2(MRUd|3nFDJ(-PougTn@-jXr#k{I;kZ=)%tC!axI}`7i=)%1%s1H|K(Y#T z_Morx8Q@Ucb`o_B$H!uL;kBc|?8!7lkMfp6KZrMyp%PuS+C@XYOsgcp!|YnBHkE7o zN%D&31-o`E=_umvs${l7WZ8|aTx4u%qJr}Ttv3F+8~zR*xkYBxgwsASjxDTe)e140 z=1Ysf(A4yN>36Mc8(yBnd*B^~m&}=S8@$XWR~JcLLK}#$(Law146;Y)YV^j|_){|C z6SSAI!_CNVKpw>9Q1c)Y!=li(O}{fE#w^RxJWXH#y{t07OPVk#Isqg~sOrIR;9$ zb4};tj1h3w$lmJnH3K*3j<`#L@ueRMe=*(y3wdM%h;{{FxO`=}e_;^@o^mRZ8mim1 z)12=!x^8tE5i*D?Dv!fHJ3Hd#bw`Q3yWv>qdRW6m3#dAHh@so|eNp03<~1<&*HizB z-b@CxFUy~J4-~=~i4c)1&32_@5jI;FnmWqms!v@ut@$Y=%EQ?WDbQj(BOoU^wdC!T zw3O)2jJvd=7+L$GEeM-we{xf3)EN`>El1rESW~nah`;rlS%8iv?j>rv8#j8^6B^J~I2PCAoVV zvs+|Ho|am8{!+B$>yLO{!)>vLAC4~qMLiqZ%>ySRV6*6~fcLrN~>)AW9;?)5{MleWzG#V8<5id~c z?=o6~UMPA*+o<-4|I$=@Ai8jCjzeqGD^E{v6zH1sdH`~mrBu+Li9bBK6OD8bN#d$AhjPDMNDab+y*h(m)>j*B=BC!ENIxX69 z70^7TIv`j4`&H^Ew{L-Jb%z4H!26CFsaVt|#aY;3E_2vF31lTX{qW0|VKNEX<@}OK znN@;M5D5jG^l{`JC|lSzmJC_Kh(mY8Q68?LSSo0d! Fr$RQS!jjZw(r6t}x@E0H zB9C$6w)UR4_0dF!X@Je)Y1&sI-Qyd{<06}Gq)_=4O)eSiL*z!?D7exaf!5*kg#0O4 zh2gX`!>XmmId6OtGW{hugU9rz>*9$VWX*!3O37pqevY3mvbf_=D5q~tIDAn~Q#ai3 zYpFqD&)e@q=)c^D7sVNwSb_?O1{+7?e*V%Yh`Io=NAIhMcgX$8;SH|}Ba`!3GpgTt zL1|Abm;uF#xDCH84LhmQ|B^#2vpQ@cE%Qd;XVmGLHLlV2mi&Aa+v#C}OZy}dxMq}* z`}F5B;qx<#hJrjRx9s7hJDENKUsyL5~WLVYZyN8m7ZjNCKdAcZD7!uCPkd2%lHqax@h@KjxW)P!8 z(3;i?eW27;N#6GE!j6dsHavNj|IQlSS|d4rvQji_zy{X>{Haq&^Mgtr1_3dgTy)S6 z0iFbWdf^mApl4OdcE{aFdnT|nX38#$t|-2G*Bky?M?5)o9O+N{P|j0I;a)PZsqKJ2 zMPJFv@LzG9rfJE&$n7fd+xE@_y81T7b&OSCN}Heo*PcEL_7ODYQ`7`V4HTEv(f*Jv zWslps_q+;D%z!?4_L}5QMyEF5Bctf@Ajd5PtZF5;FmC#MoD2F68>a2(1Bw@V^jUmIRTg9@ z>*uccKA&%6{{xjNtD}HeP5%gBilE=b^H^b8l3&+2d1XYm!mdsn#hxXX4@|zotUj8? zL8kC%Q(*hgS9PRqMw>N+K8(uKqC#ayk$L_BWEku=F@Rg%$d6I(>6q*O*K$LcUc^O17vhH;6jm=T6NY(NP(=>g!2W6Hr8M38#k`SGJ{ zY#%)1-eFEx**f{0*b|+U?B2r`ZM~{fYghJ(;@+tNABQmJ(@lD_Fkr0_z!-(7aV_Eo z&uzV?Xyxiq@Ug~e^^*n`BB0og#Q@svFNlF%`|^`r4XX7Kdkz`h{uY{o#AZpRV>I6; z^AM-$yWPmqdCZFpXI*SdILwORj-8?pSu`UlM^DkYhi?gs&q$0GaBugn7?>awaHJ~@ z-Fv6=eHN@Nux#}y(v7dd0k!JFg!i(lQe)-o!_q2dcc*nZJb|mXdGZYR;D0i}V0#(p z1Uv{7TgU0c^nFgGl1?Pu#w@Pu2v&_BR>QCLH6T)vD8Rc?3*!=j3`Ptr(?5+f8l)+# zE57jIWC*ksNU&q^QTdtODv*o?lo0Udkb6*~2&v>2mYinH6+XEMz>G6xDS6h>XFfV) z^O8|Y58QGbh>FV?AQ~Pw_n{T^$PJLaSLHlLi zw>?&XW7Aka1j^_HwbcacyVd8PSNn(&=!P9@)~=6Gbl zo5W;@r1w9Z5Kj9sqj%Vh^16Hp%M6uConD{jH1QJm29&w79=Udn#;aJJNGk7*JEseq zD)>G*VDwI{<2i)dBEUGcgq}3(Hbs52XHTx+(N~WBVH8$nU`TBO7GP20+Ofyqvach8 zIqpBYOWHOuE$`$pAN5A(?bwoay0vL|ZN$RtwYR8R&2ZjtQ|C41c*I~2I;SzZ(oi+L zHClT~J5FZZ|iN72yW(7^6Q_;mN7hh_e zWz8I%o2H3=B@l~^q_fxdX3}*)+#*lh?^YDyE%MQP?^pBMp%rs=0=h8P3jLM75?#mYF#&{(X9JWBwu`9(iq%@O*aH#>27LY2V7Pa0T&%tzYFG?Z(V>hmxXP2eLw?#BdO!k=yvIBTByn;Dt)f_eP3nh+7 zCuU_ua~T6Y4am;qX~*c&ldabLO^gmZxrh|qy2RiqUzs$S5vtEb!ctKaAv!bGxObd|C8M3c?pV_laQeM-^Oj#WFDl-3MA3NsI5mZjB_d&@0&;+AZqf-S_zD z$z_MfRat4on@DnDFVe^Oyi$+jh?|Uk zMt5~=6t`P9{fhYD%Z}an4p)1JZ4@aP;s>Ok%w}#o`<9Aav43rcfv`|q;_HzkY#nVn zr{_MX-g;`joPqP~4#ay2K0LvTmOF-s=dOm*8&gozMjRl6fI@uCJ7--g=)XbD#5?)dQZPZLv z4e>=$SJNUSJX#E{Yz%8a62-zm<#%`rM5TLP@1xrTvi`5q3MbwMRYM*fku4lxt|dJr zfS@zuWHK~m>h<*9znMeChz=%zdkbHlV>%=M!XjimT62IALy3b$9N8ClS3IZbromZS-e)!QV(GNTj{}if*;05+TX91QYo(eP-3NW`v+qJ6f-S)3LHeEQ4SJ z%qDJSXL$UL@$Tn9JIls(!f0w}$RiUSg)=kOb0g4<&`ak5! z^Vp$0+JxU3#h?m)Jh9QOf6_7!CN#dDrit1DX9?0gH+A|Rl9nbM)`z%eq%KKP97!i6 zR281&?CKT2o(sBE@3nk7P2q;zGM;m0OX|(u&BC5H>lyPYUD4f*9&RfvN-)aiqgQLd zln6GrM>FEaMRcoB$LlE@ICNdj=n-B6jaz5kB=iz~ydE;V(VZ)oM-?Y-LiEm}kbo(8-ZN=lpw$oPz70xM! zW?)HFVg6SeD>LNfC;Yl&=|ZaWc&1GdXGhYH1Y{P{n!q5g>tn;SWK);}Uzh_c;M>MI7s#Dmr9eatE54Blpu_=fA{Uz$L(nz%Y#{=Y0 zq<5X7X!o-a3#C*W$XEEOx)v*FDY@zi& zbsc}!inIRS*t@rMgR4ICo24-(Pz&Y(#_t^T%Wyfi7;W)mj!^reaQXLJ1h9(Ca{@yw z;@U3e*wHz8=Un6ZFcJ4dm}bIN7j?Lhj86dOhai0^D{VB=uKSxSpe~*+@h4DWMK!8w zl8SQE!ZT2Xgjq4NcR0_tZ_UG-{sh?tNaK|HC{O+ES~lqV_sJDrfpco=`$xNUz7Ki+ z?Jey+w41ZW0=iwNsIf-m%eP>@*<+y|_SfN}tH*+!&3HF<_>B>v!|yQm;}G*hv9a{v zs7iS6MkS2-PEmh>qw3?u9xEpY;p-em{t4xU&3#Gj$|adt2PL%3tim!2*bb*!;j{xb z8akAlA}0iGq82-rp@1kAH@lj+Xz4}{<&Ue>taK~%m%vNqKlgd0DRJijorLU_A4C@Y1<7;_NBH^m{kxP$oND0WU)=Uzf%MqL>L4W{jib${Eq)*T($gHV(-T%k zk*PgCKNc$ARgbLEYQ*rZG-Wj9b$SL9FKqNcS`|!14oF1azV^1WuRX?@YAHqUQk zxmoCTE^`iRTI|STmy4B^nBXfF0@(`LI;!k6WdhC%qqEI{}3pk4M8@rJ-SsgBHsbbDA{o+mk_2Y1#aZ~|r{hOQU zWL~)By)VUBbzk)|)S2nHW0m9kkN~a)>q{9`SpLEYpx`B5G|i1dRygvAmOlLbCV!_M z&tH1XKa{_Pve{>Y;K1sfybp~WhmMVC7e1go(xI9{t)Iy5jrgZ|&tjsemHI88%>X!P z#yztd3K-%Ia%x!k%_kB3Q@Be4dtD>SctwzJld`xE^d^NL>s6SD$FvUfdy3Z^25THY z01_78P~j8znO(ArCH$dP)XQ>Fi!^{G4Q=Wg5wo(0bvbzIKOQ{jA|RHdZ@;J*j~WO$ z8dYY9XUo?yn_g332aq(a-Y$}~ZVH=q9-riFmZ&ppBkZ4?Ev3!7Pwi`U^G%*pV6JAN zd6Bh62g}BYa3x@iQ>_lgrGA$(bUAzW|8P*E6@<3Yy}r6LMRM`D>O*3YaMmsT8T*@4b9dQXem=28`dvONq(1R3+^W-OO^+>Pvg6`>Z z-W_pOws_0wd=)R^6%F;m?6d%0EN?wh>I?O=#D};wzrPvQ`0eYp`$-3vwS&JKtds4+ z#VciLgXsONPkSoXTwd3G@2epdC}!1nRm>%yGZq>4)y1Ah z5lSPx0{WwsjCB5KhU>h~324Cjy*XZwts@h6CzlVk9C9$(Km2{!CM-*aAlP^#@y#ic zzjpR|kw+k*4I_q6@4M#SlWjQnND`t)Nr+r}^*$(nGkGmm-e;cGd~&%`#vAI*THD7K z7+eK-!92cpzIu8g?bdQCxKImuwLsVWUYUtjl;WPF>g0KjsVunm@h6k!Q_38#D@_bE z-T#Gj(iJnNotuq126tgustXG@E|!vk=G*;C-ff<#&Nb+!=EZIy(mYUJ8zIjEafd*= zVZ!IX0NMC65d4Cy6L`oEQydb4!mBbfXNwo>Z!VY3jj^byYPBdJ-t^(>G#V*v)2H~6Q|AF3@$K%3+^;&qVEsG6n_R-yY!F-t zAu6a-;QlR97j?s=Hqor_J zhh6(cGIYau7FC`ou9m%W{84#q!Vh6NBqcfV7uXfD0x0Ljcg_;7#0U3GH6BVeS{yxM zi?GI39>+(hd0n|1AG?Fk8-(RtDz;w<540?w-S3b{|&}x@{JlV&HMRbcm1vZ6}=O!<4I*N^Y!<&(9XU8)7j18jU?WK zc2zW9DGGw&RgWZIHF2rI^-+qWTI^Tyt-!`OB0h(zBQ||`=Uftb5f9B)vWlS4?SLlo zX_SGftYMZ(t)?RQ*j?s;(-cA3!C49Z$f(d-1#CIL-{1C6EU1MeV$2 zr0jNj5lwVY{@;htNwwSP#ATNg@dZDOb>CAI#o*UgT2@Jb!7Yv|G3QEyiD<2*a1L+C z4xaaf58%u)VxGL1543@(T*h z@wa}^bH}P`Teo-5hD(*fZ7)C+`Qds;e+Tf|d|{$WwOUPb{?G$)i^~=J#Fc^LrG>ND zbByu>TkhZ?0f^q+_6hejCSToud||+b8wYdoDmu z%UUQnHFzszBzGK2*!Ph-6@d=w$MpVUO4oh37A_)%GF8RRq4a08I1ogR-Pi5AOIVTx zvRmE*KH(7LZ#At3&Ou(XYrig;cMMuM&QM?*nG1!tKFN%sZRMDP$Qx=GMu7NvF`_&_ z@*>Wo3v*YvP%+q}o3^4uZ79WBrUbVvm^=0oJgShzmzZ}J{dv~RRa1N%!qgWD)_7*- z0&F6;N@Lf|^-d*kAA+M;W6))uOYA`;VW<0wa(iYwqL`oMbD9_VRaAmhoXVZBg_6mc z;@4dgOT68L+9Uc=30XeJ!6qs(4FM>yLqNUJJ%~US0h(xX#!--{wxJS1%x?4V$gDo z!$qhIuC~>fGRDvHW6t|hl$0(FE+u*4mT*feUO%R!nF8f)t`JoK25E5%a87<*-hAne zfTW+Pc4<;eR=O3DqeK_;n5xmfqaFvWnW#d2Bvo%^K4uztMDuh$>W^g(?^xa%RqZg1 z!JHP~;p0tP&_K-1m9Dm#6PgQ0{$UNWWR$`E%FJ;WoNcTU?nxmp#x+je@KKrN=NuJs zwSWlY<^fSYrCMe-jH0uxKAXR?q$9N3= zRbwUi>?Sz7rWDDOVdb4wJsqfLor=&Yt31Q}nPv|4xkLLw(eX_#53}aBzw+{U% zcJInALjCl7^O?R`LZjA%UTKRlA+Sob%S~4}b&GqoVNl^p5Yh5k@dFe1(ZVqd5=ICnkKww*51WET`kCmWlJ zMJH7{{ZzT$(mCpgMxd{wTR4~#9)fj+sr!!lKL^B)f3j((Gzl@ofE<#4?I{;2^$~-s6oEX%Z(lUrLnMu}K zmQazR;kEn)v&jm@3o(G#8rpZI0QbdJ#pxDJpiEh5p%k1(GcHhz3YyvlZ>N z#S~5*1rdkl)O38xU;1Dqb3^Jp zm=W=c2{R$J8xoYZvwC$+uv&T=U6pHaW(bOw; z^Zdn-TFaIosF+u<|75104)ybc?ihQVQlT}8!lY#3x_XYCW6x+%!YcCy597{JnGPlR z-<)4KS)>;ohk`GYAk1W3qpOa5Q9Pb(_*T@ehlw8qEb=R!TA-LCb_~Wp@8M4w>}tCT zyL*w-)U{=$CE=Moe3H2q}DUx$Ys41|aDd>?+jVDH<1fu-yD2lbXM*vaTJEVmpyHO0Kx$?Qh&`VQLl#)1&v z7xoRX7Q_<$eQ+|ji$u+qD*2x z_LEE!-B7A^G2Lm1jyoW$B;Xh6u&H& zDjqlJE$>r-r=&^7d2zVTLtGJ7mSZ9Bv$}Ox3gO5Ha9ro=5u5thjY^JzP#4ENsW`od zxDRodY2Y#FEZr7fJg?g)vlq#P^_d#oB>jp^>Hg_QOm8}S9Zt+UQkiT$l{*WEf2T1o7sD+oFLOXWV1BB^rtN7+3Be@^9{o~RFEXJdS{b~8 z{*iyW=CkD2Elr0_JlM8w{I&=-%vSH~VEE_nJJ7r6NZuHyIOAJyieEsN!WCQIGsXS>R_b#*dwG$`s;6zs%sYi3o(Z*K4INXekW_>9? zy*WiY8JVhN8q|{(S3fM^$S7%i-gh_<_eZYYOwOh%4eZU$sCw zb?%?#RLgG>O;)mt66=8u2KrN803!c9j@j}Mt-_?~M*XOBKyQ}E6BH77%nZTxJ( zpVO(T^=zH%(tm#IW#^#!A929H`MUqpBm7@5!M}OH{|2R4{te*#15Q&kGO;mwC#96d z|KBhv#=i$$`8OuT%JQF>6wALDoPYS@{~M(ETg(6Bi2o-B=YKiG|2qM}K+pCcBpoBe zJ2m((l8%j${ofo83&($ubd1bw|5r-tAM_l@-@t}{pi#_h9Dkuv?@?|zI5@t)+x{

    WB5 z!Qp)~{^0nb;=}h3D(`vw4~FkK#t-x#7(OulvyqvNwa|N98gd~{MtTModKRX4Sc{&8 zk&TL;os6EI>|IUT#_<0~<2@{op_75p|J&~W^Zc0p4`xqR-(1nricaSH`?dWG*80xM z@Sgc!mGed2Eh1C6W9I5G=O%K^T=9MT!#|I)!kRyo#y2<#C^{scl?m92@MXK_6k5);COd4;`{kaq((6cE@M8 zP*7rRzQ5ZR29{vlI?tyA3)jGvne*q*Ro+e9Z5)+O6;t+Xvp<80CDNiHiid}#=4kZSlEF#SCu zgWK)Xk$4_iOoo)30HGr&IKS{56UPZoW{85*sPG~#W19#-#)q^giHF5W$VtJ;z{$bM z&neC+&#C4H=gYb6c;)!X3EAm~6Qh%WlY*1}Z49Op@rlrh;mPllypz6@-4n=D;!{7< zVj@E_BQj$$6EagWGct1mr>i0yC#WJK8!}rNB4}SuMxX#t0jLkOzZVbm=ga}t0DFMb zz-{0a@B{A0z%G+?%40cOOk8N_o@iTgTzKf-sSDvj*@H-kAkoclE|B;>|4fgq@8T*+p~#!~N->38o{1eBeKg zzw7iBg=V(MtKj{;t&};;?AG7+FV(_b-22{?B|=TwkMr*ag47lm{!+BF8YH5FZ@%cmLB`x5;1Oj`B3G^{Gq!P$ZWH&aFI~a zEqNEEN;CfSQY^*5zY3`M{{Kw;TUed`S&l0}dap41l)udNciEn!-r5n1Ux3=p)!qc4 zeri@k5l7mJ`LE1OB);@&(ae|0ual^gnC#;pkC|ba;h5o>zg&=W!$tPwUKH^|ykFhF zW&a@|=Qf`;eE;9xEB_^di%enmE$aO*Nl&iv80GPQ>h$C$6v|6kzoqo=G^({N5xiR9 z7QUkJ%v=~YR~2ILQh9XhEYz-@Po|%p&jV9sQ`+1l*KODEy-WGaOk5fVrz+E*uUBGk zN4CzM=C+T@H!ieS*7VL~Zgy_(j=_HSabAm_N8<}4g7+&e&Fc4&_qQoF_ud=pYt{?b zso~B=WL`^Gh^f72*5Df#@1_@v-t?VZfHOFfz_~SYt#oa#m&cux_xjOT3n?);nPmsk3H%?z}LO!QR>0uAVu^>xF2v03P$a-@kj(>*V!Zhz$f!JcW`)k{2Xg z@a%(@8uMwa%p^6IrxP0L-m{McbQsRh*8qY{^M4EfQUBZ}{Fd{A08_qF@IQVv{Eh1W z=R5d+?~$39nK=F%BlAxgslaQT5;<@SVUmLKNdY2%g}i`sxp;%!-u-B3w({*O0qo#a zmkq&PBPy9Yjv0Iv&IvP}a=(7HYP-(8%>dg3K__?n>&Qsq{l(UH{jvpmSsB}SeSW+K*Z|fuI=S&G*E>9)Y{6bgH{KxL zx3^XT8`;XaGuaJ~MG(O2aZk2&!dw0MEODZdjEWWJ`gJC7MK*Jzy|cZ|{dMc^c&z%Z z-P5i1uCuYydV&CdUBk`u{-rZD_1yXTU6=C$gMIu5`*i2!5Q5~~nQ#Fh_p{pOAjM&?-@Uk$m3DPt> z*?5fs&YH|3%m}|n71zPt?34B>AIycp!-AL;_k$zHLo=+Z6c zqB%}&C}V(-pHtr{P74A|v8J%~P5RD*8c5pGyws%u-x`*{T1of^nWKwIb~N&diH4=O zETsZswZziS>hkWU!nKV*46-3fiugX8%lSd|CHW*IB>c5qer<-(cSdX}%hg4(uUSm! zA5WJbb~+vAOpE0HQhv}rhmS|O(~XWqE5qs-XsOy}=pa&qX6W$eC-QFRw6Eb=43@u^ zj^i>UM!rq=H@pZ{TOrXeP_l}8;p2ACWPaEf{f2%f zM<9FSqE7+@K+h;R0ggwt`8*Fix8?4{LxBVJ9k}w@4@Ln5`^~ zATjVzbQUYYE7XfxN?%XpmzWpyw;$gKMSP!CB;-v&e9diQuf;o|% z5N=cdBu6xB!`$0C`GDf19+wzh-PzoY4km!-vW1Jp6@)!p|ux6ylc z-@uDfu`ip${Uo7MEw6Z=3&ul`gf$~K5qKI%qGqbM)eLS(O^-bjEx2trz1w=ymx}(T z5tia7Y@uE!jdz?wTU)P?4J$nrw_1*7%2yb$&wU`H{#k!CpP@YVvb7>Tm3ucEM%a{J zvQHmK(@;&V2Mj}o?mM-Nsz9h25XP-dYRp$gzoWiE0=aPz9F zSfIvYa*VTVXL-jrcJU)u*$yF^J39@qRhVlCz3sFu>~u7q*p_4|E{j+{9-|ajj6Fec zdUUl#6_Xa-C)9rlM+>!H-3QfxM5GwOJh2pQnGgZTlWCe|f*_e1%vHa3S>!xYH*&lx zU!JwhmmI=qAYk86o>P>&tk1fpD$QM2KR-GD?&4d}BV1RfSvM;ZIt#pu-~6h#PtIf$ zLoFX4;KD8veYx#(sjn85Kr4~p@?CPeMx?if^a<;UWKVaB9GXduL$GfD*AHUoQTZBG zGBx`glGMa7wwTNF2hwoMD3Rt#y6MVkx~WPTvAfZ5Aho_VTFWT({hW|RdLVPdtylTk z&OCLXVrw=FRe<*In7`J1N2I_-|2b4GA#Acy0ErglML92oVR+_Sk2g$R&AVu4OiAar8Lv1c zlyW|##2l%n@tyA-?*}h~`d&c_HhGw=V=XkThaDi+-A@$47(6AB<0ZH~5@N3jn${9r* zT-7$l@@z~tL~Ch5o~`Ng^fdu^-XRZ)l~=!$c44)SMr8c=w6u(t@%Zb|cUz-9fQo^; zM}ne~QiC7SiufR6d;+bf8IDNX&G=(1$zwyslUEB686Y@`9Wftl>=(cuN0bdNA{t2C^{4`nX>%9z;_ z0nAp)-*?o;Y0RHyvq<%s?u!}lI#_otxY(3OwoHqcwQ)<%thUn+w6b;bGHZUZ$9-0f zRFQJ^N1!A+9mx@a6?qz?cqxhyDamE6GM2P3HsEm*6OBQX@213q`^if2N}Bs%5i2uf z=qRyFO+^wKZ!ZtPnWIx7=U2>KERbifMK(#G327SA64lf|wX>4%TU)u{{p25L5nL|u zdSCyOiS_IWweG}f#*_W?T41A;NM4<-;;&D$-^+z0W88IiWRI6KDa^f>30De(&H|@j zP~*=vwpD*bSPe%R6FjBGH8cwks~|VpaRuy4DqmMuqJZ6Ie$^!wjK9P=or6aCUhMNS zb3pGNO{jwkQyi9w$J)h3=SGR+TX;(23~P3(Q&m6Ksuid3+)-X`!n^Pe<&elZqaA(- zgO$+E?(d?~Sa7247nS0BTMQUNXiLY*nWUAIj|yO=qv4c_OZfJ}tObgX;OdG6wenhk z<{9!e^Vy&26z~&MuGmeY@(Dwg)id2$IEMlK96>W`@?-skpmYA3hfes-F~@ zKdpa?VKOhj?p7yD=YE_V+KJg6h2oeX(jrD0gGxy}XdAghwE!@W@ZO$JJO)Be8o3%Z zxEReBCzZVGSaj+%LvB;o+t$xq&^cnDl^qIlq)5zHige zbsh=UvgV^%bO!4uU?cn|uOl7>q`W)yp#)-hPNu8r-nTl z5adqR@(lKXs$PRIk2IA)1MQQV@jW5{b1)?^e&+$t$gyx~Y!^xX^N4-YNK;+v(KX9$ z^4il@MgsnUoR?zJ+(Xfm7>5$Ur94TseG&0~?y%pUoa-d0O+Z>WiQiJdIGQLOIwR;t-TdwrH-{-LJqBk#6;xVy?)?}NMRZkZAjF%q)K~^&>R&j zg4mOD{P9S07#Z5wS0X0ziFLur18CR_)UoH#QEL|i!-(1S;*h{YF9NLT0I!4|5NbSX*}+g}!YYm&@g;Z~dw z(-V%y$uQblKK}?Ejl449ZB{w|=rOe6IuO|Ts(Jo0K}XkkK=Qy8Y*xKL7jBje&`Qk?pS)!2SwR&G4O>gO!De@xRA%qv>j+ zRzpq;vbVOK4tGl?594g!K>QYP!wQe9X)90u8vgPncORxcN^3RVN)C>WzQHL>b^?qa z_FKR-9XZg4M?-wvNYR z@6@_j_&vbfJh2{P zSlK%@$LsO};eB8Ewi!K9xqb;CyH;mNe%|T4e4nv-szmAlc;DXZyy&TbdxtBBJY!oC zj^6+tw}G)5M$_$Y?To=s1aDvnP#Fu*%lV3}Rr`I)C-!!CXh`SKIs&~DoSOnBtBeE5 z;-^WGRZ3AdIBDt}xwW-gsL)EC)7|P=xr5yS#}3)A_qE=Ko}grIw&uHI&}zP(!UzO^ zHyhbomA$@#m((N`fL0c0G!w6;;@oQQ9cQGnJ{|AcmMXf&ygcS5G(#0TqNV0&gyvLQ zq$8TCo^d>klPmLLky$`>@3iMrai zgV9vkw}_Op;h*J>#!Q<#`-;(8L%=TM%Ql0#BNJwTT{YjO($yGpom|mIWNO;t%6>jP z*m5;gp$Qs3t9XvC;Qsgysk6#cb~IQ3sUGqf8ymJIL+^38-5;m8fwq8e%-c#!_xyW6muGDiK`5`mnsqu3LnE@_Fu2ud) zwW-b^SdJTjbHj_`k`aE%gMJ$+Y?eFQA~{tt?Tq>$yb`Ey=|r6g=W)9R&Tac@;A%1n zo+^5?t$QV)K~E;r($cpI;&Vc95z#7Wyp-D zTWGOwrfOwqL=%Y*JXXd(8s?TLUxD|+bIu>W;#cYTx-bFUE8ZL=>OPSC2v(n63j>?v zdJvm>{uq|DQ|=sT>O_5c^q_L#4ZX}zNqHOGgYUlQEF=i4ewGR=O^}720Oc#S(g$Ca zSFAP%9@uPUfR@hd+wQl|-n^+AbCjCP7(E$=S>lZ13Jqk;0YeJur9j|bV(vNJ0Qd9R zFL2}bQ(>;>T(Axe@b;B!s_@vT9#$EnROV;8w>!6rTE&xw(VO|?_59&mc2ng=DX%h5 zg|j$oaZl0RiO6Po)BMyux$Rm|1KN4&wi_~tvcudvGwi^ei59xdq6rXmkb9`Hq80o~ zCQmE!VipG!dDG}lY#daj3Ntal1w~fR0tte^Gf9CapAH1&Grr)0A}-q)2JSjc!FQ(j zj&<(T7sHKh42Pb?1K0CSl~yWtZ%@-B5@)TjDTb1XQ`T4>?vw+nCnZuI<*W6PY!Wu9 zlx-{diLF%pCk_nzgAfzelTMg>PUJDkWPX8Y>!}7EC+y~77c&f1f?37(S+rX9=C&ft?LvhRPEES-TlU#XP=&(V*S5QK$ z8<5Ggg7tjCm$x56nZSbjDj8FDZ!pnU)Tu*g7+mJ55!-UQB#0kCY*D!K7(JJ;iN!cG=O zCh6MdpWG=ns=>bYbk&2Z96=}ET@)*5nzx_p}Y#H^P53C z%Jt>oh!$4qLS;g0wMF~{C0k~=vpSg))~liBt>$gc@HUyR4k-L}B7xV~!(fsKtYEd- z>RZ`~$*rW>h{66Ub7a)fQ~spnWQ=T~TW$r^Z(p42e4?DMAIsBm*dRFRqXI4jRK9uv zc|x4FA%4}O+@Gk*Mxd4Zt3{MCPdRfcoHt(S&Q9deh1yo=mqA~)`PG-s?_h%=?B-gb z+LC`k?O~#KM_Tw4&dW*=43-O^-?qW1t%o;T2(GJ`R^D4_9!$+w`tn3RVwADyiZ|BL zs*7xx7xKRMSii$ogF2>rLt2+RO1uX@b`GD9O;;MAXDQl0y>_)@EVy>53a#PRY0}@Jw}t-<6VMK$y&JymYxEcxXePtKBG{l5o}9cxd%wCU(Pz^Mhus zKe9`P0r8(Z@NHQSH*`CgRcJ2ER;9a42xa>Qm9fS{kN?cPOpi=*A1`z*eA=*XOU0zemQ_GgKC<~yuTv) zJ>fN7&q~4j>WURnn~>gBO)2PAQ#sRjP+WhlT2CYBg&Yv+9p*egQ5Ip}b3k#vBw~=6 zZ98rR5JwqI3@6!19Em^F$dWa9z(X1oO0(jSj2t=b(G6KBWyD3%vwuxA5?lBLQf-rI zOSEKPOS9=ITyt`XTbqB96A4<`GH%jjWA_?IIx&HA7$s#tOI~g5Xg+^fbp>pXJvodY z1Y}m38KeX7kw%9nGhI3@+pJsvTnj54^jqzF z$aBLEYhYX*WV@Ejowse$UvT0=mdQ+KvZFJ-ccrrc(YTX*Qh~4x%6`$ts>t8R%zO$C za6K}xylku}j$5d-cn!3zWYFwirEDBrRmk5`IC3D&$-Oe^ykYP;{5A&8*O@4?Qhd4{ zgu2eJpZRprH-hjmQhJ^`ePEv8GLf{tfX-_Y}k89l65Lt+h$1eU5GYgxjcrAF9GGIxlja{k$eVo0+igY+8YSsqLwp_}J*S2BEDJ zI*Al>uMRPO$sNw2t_E0w8#+V1-EK7Ww>5DgYtGTSTjUKLW1rX~^gHrmW5AYH-&2sv-U_}viX{UH1|R4&YNr;;yG;^l zZi#4z`=BoNRM>ehWkGRtIgv5X=GI3m=B_x$GC5;=Nu{w(_)w9E@+wx-6fWM_pyw8h z7s}3i`6i5}NDg+m=RniiVAkPic>?nL6o)0(dbG-9Mg25#UWQ1e6YWoF=7}4>JLWc2 zyur5I7LlNdgjU!4WsvdFUfs0!XBWD}b<&o~m<^jxmAhj0N3W3_v^sBNBa`EgDegrSxMINPwYyM*OK`QJA$M4q=yN#R3cwr3X{OOrbO!mLhf5U zAq{=ms@LQdk@36$Sx? z8dq}MT(mDwLJUdI`IejFM^@RVC09G!sUdgR%z-ue+Y6)_k>T@1v%z=a-K0qVBZIS9 zUf~TXD37RP;q%|r1!oUVrmVHxGF|I$7)cj%R4%tNwxTqHp}{U>*b$TOIGc+Q;DGPy zyLOtcbrb8UQYtIiGWRP>FU1h z+xYMpZ>2Bq%N`R*a#7=FZmwI^jdP6*9P=#TsP9nW&v~$qzu#N^oRY3A=cN;qY9i^d z9p9Xk-ztUI_6{q-IQf87@A?~#c8gyQ44ayv;1T(XPv>)8aRI-S`i#gkh~J(s5Xbm9LVK`EQ6yc4RwEY&{cuvin(9<<9PbcktTYIW2>Zc+}Nb@qO(QxsIUb zbcE&BRYd|7BQe09-!nmOB)t~CCI)%x=uA_)Ezf+?OB-M8<~=a(Y*f3f46sMN!*jHi zMmxgZ*@sfEa<0r!;u5{LmyDA_R;4+x`g7=fDubphf}>{i&KC5Wk;6FmX4Jvwc!J4* zk~?!y)N(=+2X;N&mdVrcQcIf{HUO=J0@Qul};k$8 zbB&$ZP0Ay4`jlzS&C1}?*3k}0CTQ>J12Wxo+29_H%WmeYL=WQ!;?49+^~{aC?mBLl z)2!W?Jahd{JL3AE*(U0_bRn}Z;=#SdJIXoFq06jQiu^7(LHdwkfI zoX3nKoCj{_vib7)%;E~#9Dk>Jr_AUQoY$nH&#YfqkXEcuK4rPDL7i4oHdVF6#gP-|w z(Z7#6>e-l`QrRm*`m=VFWAWJGv)!}|ZB8~O;Ue8*W6H{Oq>gO0^^Pn~mG0 zkDWNTl6jsF;63L?XLb~`FH6pcX&Ks(SsAXyeNC=5%G*v$AHyqWulQ2&8uNbQk#b{< zt@Nz1Xb)NO+y;18z^fdi+A=S?hxf|09swtF`pi|VQ*LT* zc(}izw%qgA-i`K6+S1233*c`{p95u#Etuky{F2sN+EW(nOQqKV?d1wL`678$?9MZ9 zJl{gQEZva2i>756>fK4{WlKB~Oy+_fDMOugJE`$YHYvl>)dp~BpT=S#`FNefa{oj- z!os*qG%XE}bV0gJerEX(+5*}Dha+5>FJ!D$bH2*)UoXAPOJ<})8o%U1dq2vFUn~9Z z&u~9hdZ70DzH$M0g1rVzo`-(8^gg%ttGVQdj1JPUm%!G3h5B%>V9)T&$L|83>Q=xh z+f#MvavAPnkY8;Xj-PN}2l^MN=l7UASHZmW%`&u|qD{Qxc?IQmHh_OvhP+~oypJ|K z<3Rd!hNwQ@GQ4|uUK(EU&F4wy)wE}+@!rB5P%hNZ>C)W!UiTdDRDZ1uY1MrL8029K zJUTBT{Sj==mF$A(lgz1JJQm!lf0s(1S5;f?)77^0A9LX2zA|-VQ-VkX%_4O*A{k$LhFUn9Kq>JRwKE^4Y zY94r&Wr=(7u`R>%LbNA-9!CC~e&rN&UBvvk{cU0%Utjt)ljxCsug|y3@O<5kcz@C8 zW=^i^*~8KIp)&Lvl(SjPSG|7%bU$2rpDY_CJfcxHL$GzuVIO_Puah#|JC%&z#ym?l zt^&UNgmi@G_?GA+nOXuqV@LU6;ZkfX8TPnv37FDD>5}3~?u|=MYk$Qidaqbg^zclC zc&dBRAQ`(7g}G?Kl`ON4_gq7$PWE_K`n8FC1mlp5cZyx~jxpH+aMg}EP{uh6w99h; zg1N-Kui3bEV!X;&$@e#WjmS!J%_!D`ZEwb`bq~z6x4c?>H&n%pa)zaT(Iu zpg-krhBmVVcU#Vm+1E$BGS`sR`C3!!OSYljF3`tq3D;{26t0cv{{(A+d-8|vnRB2I zX8fjk6+HPo%9i9<9G zVDJnfaVcjoEq&}G9*TCx78~FdpEtlG`$^lzvwG4!je)j{v3y&Gyrcb|qmTOY+#>61 z+nt1#oifbhoRr=->Ab7na~+{N<*QVuSVS`Cx}dXy?63G$@<>}jSrmN5GW0<%f6BM) z;vMsl@=-n>=7h*Q^`VUr9}i1!>j_W!NYxXT@-te$slE8G{5G zOzR-&x#($vUiy`3>DQf#jhTz1k6|n&T=WG^8Ol847TF2+JB;6G?{u35o(Y9yz z+}jWj=$8pY^T~5E@-w8veXsfox9FE#EUmr!aoT+5MHnwHaL@R57kH&lzAlr0pnsAa zFpd))vPYtGV>lT<6Nbj(@F};t9+aW%Fb_=m;&~e1Guj6{=9y{p^-j8^epw8oKAPuQ z^wFG)4|>nFPXqXjtMsfjC&$MzaZhijoRv4i+O>BpTbo;|I!L+{)gZJKcX1HC?=^y!yv@epnOph{taK7kE9{Z#Fkx=X5w6%C76#%-XvCW_0+RI=!?fq{rpjagXud zX{&WS55qW1{j+&+S~>r7!;_77>^hbk*=Fa)#!ud4xq*x>;?L~jeB^z`lgzexOq}s^ zOm>{NW3oL4du;A9yG=SAXD0U&OZ=TqxTUm$uiK8)#tzZ=tAuk-n*&St#Q*x7Gt?_Dn#Gcmr_ zT3zkA7wzNF?-kU0t;d)%@H6VnJ5WwsS18ZSQP(ynASx&LMi$+4@Aa-Wn@ zZ^yY6ZtiJnT%Kbm-lh!WXkS0A@vM9<`J5HsE6eng>vY-{-EV-0bKA=Ys%kd;lAS>bn@KRjnaQpPFi)Zvm-hy!JIqK{p{ji zXCEZbYZUJB@Qeia9It`rJcG1CJN482@yvzBumR3f@Q&vgn$qXR1!unuY2&%HgL0Pc zRT1o(bT$cC#|vdvaeTA56PS zKXJA6_L??CHj?om{VaW)cq6-^e#*7c=hK#F@doo3%v*X4<}o1O&8$B2&%F2eC5sQT zoQaClmH8N77tu$N$L^m6pK%2Js2BEWNA5Wvb9)xQIk%J@-;dC^DN`Psldgq5Yo0xJ z(seP?wgo(2BV;@#{c~&M@r%p8zw`0B3@r?%?=5BH_c@xE;XFGZ{XWyDEH-^DeN`tL z!fyhcA6_`7g|%bO%XP!$ac0*{$2?!__&D!bZ0LDj-`8%?ZYG-M(b9;P%?bDB5Ym z7G?C>t0iOZikCvKCSCd${; zV6tCkXWXxm4vyDth5IPlwpzC-XKgO!$oJ2jw;umwJaU=l*k~)=_mK|T6z7xs5Y{;_ z=Vd70?n@mvWyinoa=Ym;+n^n{w;)%&cxbYB+t&+1z;F^TwNv)$1J2G{(1+^vmQl)1}u!!vX3U z`>UKr{T}ct_N;yQdynLQ%`==B{~E(JMc*v%tMf^j@0cbyCBI8+>+8-Mm#+TVM8sM1;+pQABfLU`N!3BU8;*cjJvo-}XF zdHG%k~u#dDk+r_JSEWiNT}a;QGyK_(YIPb!a=yWbn$ z1TK$(J*J}n8yko7!^bCGT$Z!rt~@#_!+Ft|m?QRYh1$~Rvsb{)Gq)=%jBok9gs)xs zU5Ky0#B=ti9BQ9~xl6(Hy~w5ETa}#t+DG50>fGsS1V^Svgu`djO`F!*mGY)sF27OB zY@)Aogo8N=(a4-G`Qm#Zl8GhS`58>X*SY762fh!>^##}OoNLM!b8;?MYUkfi_?T5V z7TG)E@ozjbICE2&s?)guX)avS1*(^&M#?V9oO1f z9>(vfH@fFo=sR5Z)t~m4W0xLKx168U6Sv>A4^De#$DBVagXy_4(!qR}&k1eY3T-&v zrVQoVbK8O^8ff?0G92sFc;fO*7_{j=e$wu9o5?wO>b#wmA^$RXlzp|K{pH$Fed%`@ zE4nQvKFSQ+xt&^ByDV1pca%fMiuCV17m&f?z2~`BWynwBm7Wj|$3|W=Z_l zH`-O^>^$bCzx7z1;LyWfw~yV^gPS<20{|t(B)DKV>`V`}rNK$Edn0 zA52-2ZtBdNe3Z`{Z6IMy4X<=iHitPJ$`IoW{=TUA- z+ih-B`m=6&zXS}*J@xAX=%8+@pX^6dhV)EJf7X!i6(>yruht&|r})U<91?F1N}s3a zxEaSWUta64*|Yj6cgVBflm)j1l4t7e479o5Vx8o9YH;;T|3F!wADN;JV;1%0@6>Xi zi|aT4{?vc7gTGry8(Z5Rmp)(S_KNm~GA>$u-^jb-wUNxRS3 zjeB!yL)j9IzQ3xrjF}V{O6PoUig+ z*)h;2l1|E^m%}>VTG2XckaDC7ANVm-e|DHE< z^Rxl7t6c9euO*z!DKb7{Ofv%<#x1nDK6m7IYtLLSajhqt!T!D$(N%Gp+ZfucEbdwu z9Iit|6LnPWeC_BltK0mU;dVLXT8DGPeGtwc_o|lGPW|Wy8pG+b;BvvXf=k{y?@5=B zF_U4=fyTZ8yp_RTm*H448OZ!F^>fUYxLx+SCO$u->p3#P;diuq2G8|?d}JGrpK|B= z&%CKuY5OP-7fvvkK@v>x38A|cgzleSAQ>B z-{y*k`i4?Pf6w9oFfRwq`i)@mu+sHN>GM-s6Y}q|(@(X4!@oNRRS-I(U{lpwNF+Yp2vIKyPB7?Yj(l#{U(ngXJ}8}lK12t^CZ&*<}7KGwe_{e z)ap2Aq+y=)clcSHoV6v6ZS;=Kqx04KlFruPWHQS-;-k#?d&&9|_p&!t*Pkr?-}R_A zQ?ZilU$qSn2YuBx>Tl)D;#&Khmi{|*{qBKm3=SsXpHI_|OXOc3*gx+;gsJrka1URuAm z#~jF?Y5v;a-%;?hiF+00?G+bXF8yAH{KX#HD(|6KL+#Y|wDkT}KK3~7PomFp(5>GA z*56H80M`orG$z52{OP^ki9Y?d!y(!!zEFSCyAK%Rk9_Uy#lv zc&hIcl*2kek>2b9r;2>K;A(tVflqb(U5b6Y6F$KZe`=}vryLG-T6=bq71fJBd&UE` z*)=-(TPP<6UpN<_P4D#n(BSAQzG_^W<3rpF7Jq+4`C|U|2YGb}7ze;9-pqlAzs12= zO8P85>36GTkMtW~^aY}aXD+ifB+nOUEQ(7!w?bdO%|B=U*Vkz7Z|I{kKbF;HF^$Jj zz8=ba=*nsai?xX)=NU%9^XampWz`FoFd>(%r1EaXE*>)hIsPrl}7 zf6uF(#~;RuZ8=Mix69V~^TW;q|1O#90@6CB^PF>@ zjcI{zY0nv4$^ysZ@uSPvJn5_AF`&bvo{Zred*?J{vLQd^aApZCpWDoaY%6NJN$TC- zQ1`QZdt+_K@_@C}z2KS?x)Jky3-9P>`8S$Wul1Am12P$Kxy$^G%MA60`Fn&H%W!?gzlW{$xnQZCa)Mf4 z@iz~Zx7E5r=PZuPkPhKs5k9Sp{qK%4hUVW3RqjK-UD)E8@%jAkca!u}ALXkT3GT+8cgtBms|fDC!J$8Jo@C#pxEv5pYyH;ctW0HvpGk}NZ>-<4 zoTWA63+Ex@q2ddl57O-QdnKne8^_r9UM|;5w55K#EU6#YEla!do@bqD-+0Z+e5777 zF|)R`4?Ygz)|Ix>;WLKH+O$cZRq5rFI?Om)eq)Jy(c?JyceKc-^+^3T`Y3apKerQ% zGhCkd-ebX8>Gf?a=JHt4VbFevhRoL2!n3xmwP8E@1JzS^$HsJC+b0&X6faRvU6yDoI8Tyg^`lLs+%OImERW-xGMq=o9Uec)R&rmP zcod?(ZY)2fD8{*zEeEfEzMP0W4?ED(r*H&&$bIn|`?sv%`?p83J$^=nbd#2S;3R+Cws;)r`ld5T@-=IFmM!6L z>1V#f^SX?4`OH0azNd{_7#`ZeWrB-k4H&*wTH;=9)Sh;hczxYAFK7KP+cqWVg~x(k z#~5;IeL0`%>;By7VSkSs_`4s%y&Nfu2^zGePvM$b?InklU7nfscv4sKV1qio_rDdv z_gBi@Gk-P5{1C<@JjyjmMt0HWA@EK4i$_ZT8;Q)t==|YPjB^)twasb3Itmyy_kFPp z*CL7=ZkGPsh35|hmuDn4fT6LGR~#$j1;zQ=3-=h!dCob~-1*v6^wI|}?8+EWzc0xc zfqnIz8|~b(^f^<)qP-SPIy<5F%oE8!$+pVQ&P#u0K(Ucx+C}MYtYDF@Y3a{D(4J}> z^jqX9b%XYw_JjOUJ=eaBF+8u}eD@fSw0K=(b%e8R?L&GP>q|(c?QHg*_E_@BZz#FeA@3zCH&Msf&->6WK8`+*0|&pU zq>Y}BJi8oF9@uB!+IX3k;hxVm+zZ~*U3tQAIJ|5fli3>QBd?@Mu`1)Mb_BosGS?aM zCyRmAhkrkfIqWeVV_cHW6=_}=KCXlPERfGJY2ex~TT{49czjKN;&3va_`7WU#C>GE z-gb3cnBmw~y#2OyMs`n|>oC-Ag?AZ`GkMxi@MQF6Jl@88pF8&xnV%W63+M4v?RYlI zeW%)a>>^sZ|3-dgYusrW%DLjfT7S9p=O;yj?ChILA7j*hCuO*vaX;wxUo_Nn-Hf|$ z;l1KMtuvY9sIoE>uirb#aGuO z;>+Sc&$l?QXiHsQIX}#~jK!NS^Nv$|)_D@f(_Fjnm$ULidDPr+Uyk_-=5k1<|1Cz( zmr%}xXJzoI`)up7%sH1lyPW&I=UiwHl-C#i$`9}xOpe8K?wPI$hv$nlZ~8VQ>+k(2 zH)HuH^4oJbY$MoQ_m1U%mI>~x_*_%2>U9ro8Ovt$N`^h>r?xADwQqf81G3*0*L%0G zzNb7dXUEVQ9JZ-2mDiE4UKl>{@ECm+!%{!>_j4tWoNwnh^<>-pjXnFA6wBzF2DdNU z@H8WMGTI$4zp-i!UTb}``_}NftY&k{_U*_!;;Myb*@#2(?e^a7M19^b`51N+yt8sv z2Qu9mo0nArdzQ2x({0Lb#-Ap6=WQ5MalXgq@*she=_vJ5vcfsupugjno+mULwB+vK{j_r3-li)FoJ z>rSV2j0Ud3d>t&=^);!lZL)QoWMgCX^y}Woc{j#;<|5{J<~!Oz{|?XB{hkBMa^uR+ zkUxqAw7*Bbc;1S%>YHw!vGg3E=M}R(9FJ?52j(;POPqJD;d6asY@5+CH+WuJv>^=U zIN8Sg5SCLs9@y4%(;2TBhb+*Ku$nU5>ky2o!RH?D%xGl%LV9Q?GhOk0oGfQA z8#61p+*5xtxlmc6o_?V*oEg7mznOc_Y!qo;TYI-*u1mH3VL3ZK%98WZ`RVpvvg^9K z!8_qs-j?!8xurg9FOGd_BbnFF=E(Uj`bC${*STEYOBu5fte2f2-7X)xYD_w7Lb~<) zR{p!EV>F-OewDOgZk9TyI7IMkyZgb*Ir?kA$?X#7R`B=HM!&(Jc1ysd@23wY&s?`M zUG(|(dYA3&d+d6>mh{W`&baGdWT!QF+vs#%%>NCge{@8I*2eaR) z@Ui-M-PSnY+j4f?n{t-Uh2izM!10aE!`Pf<^PTZ1<27R&kCD!kpA7F}%lph1`~13X zCx1!b%3vcTi)?Ow1EezVVv3?m(?scBF z!OO-;+j?HV#ZUN-V>xe(jlIi!c{WZxiw~y>F4_b=YYpHqX@8tnw)byvIIj)bP$#qX zhpu~oH3L3}J+nIh+Z^)*x7xXlaQ0td8lq z4#49)&OdE5bI9^@g28+ceM6hH^Rsx=dFFm-JGci&yXNZ_k9kDrybNWZxe4yiaXl)$ zoL}xi%+Z#1*7YcxkEP*ZT%l*~`+2;Y$q?s^eHk-(T;zp0A<~q^R4y-^NA{;}p}q4u z!uGuajg51~vvta6>;vZk;JZ(dP3PEYw}q2y%@+MR-~K(cYzg&1yrm3MM>2hNInH8# z%6qmC!cuL}#d7z%sY|8LhwYc)_noz!&c-V5xL^9SQ9GsgMaO0Mz0R!kXI;ee6Pt%q z%$2^Wp$zEvf#|aiz)WuKW#t z)yZEdX4M%Wev7a%-ukx_f~9wh;3aK3;}-HnV-??TlwOAQdrQ(gt+^;)jE|^y@_DrX z;@fc<=7V*npu*z!8k*m>m9c!m~r7dILz~dUXd3>LpHDGMOejc~@-jL!B zUxT^KG{EP+QE+^JI?KW7w}mNpnf)h?(=r@;W9@ytpqq;EV-rZ?3W?^;?0FJlvS5^_ph{q}K>tZz$%d*vTNl$_Xul>KGkG#*qge01iA|ikUP$Iger8O6 z=^Op;L5vl_S(UTv?@UfI`}bN)w$2}W_gZj{@wiFaI6l@fR^l3^N&1b+!`L`z$HsV_ z`J)Tv?D!bt?UZ5s#dowFi!%Iu6Y@$pxyF#q^4L5(o5uKnd2PLSd*yip)^V?&ww^LS zwLbghEYH=B-#O3+kRM~VmGif@_sVtn`qgE(MgMI6InJ@)Kk+_|;q*BW%oZ@b9qYHr zE7!!%6Q|YJY_pR45%MPG^FjW*K^FjXCxPjBcdRh}_A&*0UlX|fV_wAV+6C+PXzBI2uHp3CB8&$V zpEF)%Zk;g)?WNvPmnz=N(O$o4EIYxRr2gKB@_n_xzAd3&p-u>&bd7f71a;calAYDx zM;A}!zj*G1V?QlJx$)nl=GsHDqcQHH9d%E<$#Q42GCZTsTnBCYqVzcwj-5Ft>K^?x zV=wWSzmrFwvotu|H>TcP1}_c(d)L}c%P`+T8|b#zZE1F%nRyI-55?G6ZRMl2?#%49 z=R3Wv>gcEG8<~$FZOlX;*Qq#8Z%_VlZ=or}-`bSS)1N9Qrt#@J2F7h%bFx3j!YYJ&*U!+imufv~9Bw zx9P6WmD7{SYF1p}CS2Hh{T z278PTWA^O-@b)cWa#dx5=hnS--*xL&Rd@BHy1S~nQq{!Dqmzb&AOmGW0wDxLUUnzJ z=}y8U0RaU?Tpi;R>{(yq=j`X_uB)H(_;ehn8c-6)b<_C&yz4yG&fBy5o&VNo-{omXwd`TU!lwHuPd@p)YzoWg^946cD zMGs5$kxV1F$Ul+X<5T?%mBiiOBTc=l%A4+SC4D?mPA$7s8!y-2%avK`c3vjGyu{d& z_t-1A%S(h`>Ah5)3Hh!nX*HS7aXs3jt{Nx&)#O-4lrfFUHhB4ArA~6p6{X#Z(tb(a zW!b)3el*b!!SBiVh>RCy-$R->r!yq;MwE1l4=H_)%KSn39+qiiTKrqkR7b5>mg#Dl z0WJRR=`kgZ+NXG*(#L?3H>lhM$8aK@^5{FYi%OZYgpI~OB=d)q_NhPm-EnGz@+fUs z>&DBFlCS>$cTuU|>piTLDJty|jDv|Z>Vx{Dya72j8q+|cO!Bwf)wBVv8(+yZ6({tY zbLwvtCT$b-%qFF)e#Bm%-Bo2}(g)m2->Lq8bv>>6y!(~*2oCjoS5(`O&UC8Z74B)> z-{TZ2abJt~L+Eo$)GmD%iq07lJ!BQU4Qt)snGwo5^c#$XlTfxv^XX{u_lG)4`*emf ztHozM=r?n>;sq_{3)(LsdQpAm$+PIH4XQY>$XkKxQM5U9G~a@?R!VUWK`rjhVFX z3CBcBsti!qgsQAa+TrRPEj0!e_hF(PYJ+^h>i(1Z-G52EEoHBgJaM)7T*g?U9MNeK zZ)zF!yAyaC=?2y2On#Oni6^3Qf{FNQu*bYodrABy*Aq*{=`L!g&pq*7FWO43-IKVb zbJQwd(R}stwI%Q5+L`)O_mq=5hH#b~C-KTy0{=meK9hTM$#WyU*2OA4s=wEz;#}pq zfgWiDPm)%Nu9IhZlIug_ITa_p=;2K9UiVTykzR7LS025S@;X^Z{XEA~@+k>xuY7fm zdcnJtkM@<`svhpsPKTkF4(WxjmxG~Kn@g3WGXK`~{wsxTsk_%+n@YR z`Bj$k+xD9CAWWheSbomai>2%af&n^Wg(OS|LPr}&CwpVR6wNE=y=H=vLl3uudMC(>sud6bV)`*mz zJd2jmV&8zeCRESzsq6jZ_tAz*os3dP{azQM317)~lr&l=sQw0`JNn*z^&Fh~J-Ep@ z)k%IIFZpaZ{AcpXl0TFC%<4+N!xBdF9fV3h1Y`1yTv8tJd!Wa>E~Te>$&z0Bmww+) zrKgM%Ps;RUyGcG#<)E6Ml>JHhnUuGxUQy31yK+8MnVi%uXVNddWLz??>Jv46Ks)`j zQYwr|eV?2MRj;UXos`+?XLFX)^=h2~rH4c@?Y8#}hRohc7r^2J+A!!Ttf|)*p-s_xv zFF#8X&ZTHe)lF)8(za92-c!9~-$}igY@6ChewHdpQ@wOuQXliS3W+>wtCt?^B_EUV zq+F(3T_-1XHs$$p@@O@1FT>2HJDk$bl@m` zlHV>+%By}iRj;eOsGhl1eX2>HGmVk@BpIjjwEA6dj?#BVi`N2#pI&yf>MK-#t2oo6 zPg?&BYO!rbu&I81mH!C_vTxP7rr$wH`nw&aoGRV;N z={2i$)3UF1f47RdccaQqsz)@Sp21ev9#n>4SHF`(-6QJ7A4GqYPU8-g_LFuG;e*PN z3{(A^DqXAd<7)B#hB_arJXi75ORlQ2H=AgiWUKo5C|9XRxKrsMso&1h;_p1B@9m;> zA$_)sWR|CO`=63~mg+nZozu9IpP331X~}qUFSC~(Rb^XJCnR-9lK<7Xs;dI6TVD3k z^Qyj3bwAmND($FqMf9rf&66xq_vmOWfz}OE^81;nzABe`;Y^(y(h2IGA;GGyv8kOA zP1qB?*6gY*qrG=O(O!}Udhx24XfLTZsg32D(3SLkK(uD>)8gN?AQ~olO8TInq^tUe z@T=CRJQZ)MKSlMCCCibFOVY5)!zxXxIwnbTs*T+1rt+ji{T^kKazV9YRaru`q52+F z`cYv{$`MtDIf-_u9{GKWTFfJq#>=Un0akSm*>b9mQg5=uRQpWTOR5~AH_-&yf&>Sb z)!ZhoD&yvGt<}VD-wsNc_s}7yuy(p{ctoZXy>U2evVEGbf|5tJN=b{)q>v04 z(_%Y8m3`{|r~2Ingdf#6&dcyKd<{9C2`%P%T1)aes_&$zb@RMx_mI6kB;o3p;~Hki zux?pi<8W8l7+zJ3Rapr409(seuzpr!KFdN%2~x(G4eu(uhy4ZnH2Vr%ZFW2BV?}m7 z#Qy?f_pq;F`t9s(>{?78P_99F@f!VbjX`UJ>{b@Q1rS1sBKv1{8+!x9jzHZHup8K6 zb|u@%=GZ&gen@*GdlTEo4zQcxo@Q@{_)Y8}do$$wEW=!ugO)-z3OzWi0C4lv0SJ2# z^5GpoJP&sUQans?AnagW8_L_5&SN|`z?cj9Hn!x+bcb0Gv$3>=H66Toii@c(hAjy3 zJRegmEMZ}ahZlu;i*8iTl|5Q`TT$!c;w5|~$Hvl5ltT6Fs4p{W!;Z@Fx2d#av$QM~ z*S2L`KrXP-k0r%OeKsOT>L5lla#VSQNZ^rQfy~L^2m`>fhuAA1P6LU7e%WIdV;;6& zka*<%hL|R>;~~B**|UZCGqDdx_APKEX53M5>R>v@MJVUWMp$^8eMlQFQUOoRBJ?h# zS&-Kc&=eqCglB-aBU>Ja%Lg8^Wy_gpegy?^sQ9R|*ozGm@5nh5w8f#wN!qfZ{UXvX z?~jM`(02h^@5gXI#`w*7C4&%i-1rC)FEN0;#m5mM{&LuV9!m6KdKR7@avz_8QF!|p z%SXBV%0V~>`TQLsXI79KhOk^t!XQfYBNQCY5c=jd3YedP(mBLgAC@l2ws=1dKJ%EC z!(Oupm4H~(AH-T2xH;}bYCo3mlfCfp07|E#yz-cnmynB*92zSsk&|*pP)knIr-QUh^zC9_HsU^r zppw$j4%YmgFcG z%Xv}A#ZmZDmN`)=7il~b)$uUj!V&?Fi!QILj(YjZ(~~npITQ+)tnDIStFd^_1ZF)M zk7v@6633BJJkE1a&p2{E>`2PT7|CIqLM8?h=OUIx37L`l#gZfOk)ASgX8Z_8fuuD4 z6cp{EOyinu5Xak1O_42vJOKRzV&thdf?G@}0-BS;=u( zq-Q?X9JY{)!aOg)wmp=(!ze#F*K^6u%Lb4dB2{t9^-(j0$SomC>JVWQZDbJ7AznUG zx#+8a`B_=k!;&FN-TY{M8*w=2&{=pT}xcGRYt<4GDI3sTin&S~|<8p2n` zmXyme7KCLQuO}Q9>mn6X1=)^`qolQjk9_9I8U=}ITS7u_N4Cc6^`l&*6$s^!P2otc zvm*+~N+<%!Sv3^2_?%hX2;jlc_VGWqo>zHDDjw$$eNOBW-52q=_n~a_Ql%>q&>`A6!MCsB!$GvA)k<- z0&A-E=tPvB)YEpC4kvuYI+<{oOga5>5+yxp8^$z8^X^BGlhtTT%Y-adqMw+KX(jBU z1u90HjY@fP_2Z$YC7YOX?WkQxuA58+3zl3>TM>oEmlt8(mMzB|VM(0YU9)0I3J>J! z)j=KOMC;kuDzapaK3PJ=q$hcS#wynQUL*s=>RRwwCgSgS_DyN$TM=K8MNQDev=U27 zS9%jjN)pCNdiqgnjP<;1!$J*Iz%qf9E;jO{#ncrF(V@kJoe(gw((rK}+z3Zv?tDoD zBrmc`I{_0bOJUUXI64;fHsn_u*lNN%9Ie_qO_o#tSlvTt&P3;Q9VDxqpCYe z=Vc_}|*QaY1eLMcI4O&=+QBsryKx>9KNGE(LfmSRgird5*vgvD3`5mvL2<{&FS z)@8JU5MC4El~Sov<#*C#85zqeW&DU*ee)YQl4DD`4M+!qFhJfjCh$aZ!xlIJw~hafRQakxc8Nt=0%-%b*@B; z#oUCvbh<*WT$S?6lv>}BJneP09P0|zRuNR2jXaLab=kxULM) zPDtY%S&Y0|g0};NPPI)P**Zyn;x?Og(+RB|R6Rg;L~OHp%$9mCwur+h9!oY=(#7!E zQtvp@0uWYdY-4*&waQe<6l)Mi+73j+b|kk{39rgQlB6+CV$Dn8Ai{>-)z`~k$+a-q zdP|ij4XBPimIs6u3=YyA-)qR>9zZ|t;)#`27E2Y7 zV*->?!di18ek5BdzCwwWB8G$~sEGcM0z#gZ@-EBB!zVn`xya+lrEiFQlSS_g<>aI_ z$@Ntp#}*)jlwZJFt}L6wR(PI;7Ehju6{(NbtdCZy7fF*q+OrPEEM?avT?Q{rOs7{seC((yFA>I&9Icm*%gdLb+AgYFfb(9*GuVoSX#u2Aw-yaP$K z`yv=bUeHy_ib|4%5xXR&Of5)F;~B9Bv+B`-G$2{|W1UKH{b-&xS*O%oW% zND1J{`FB_Y=Sxs%19^*6$E(;`hyhMfgIK$OR5-xYT@BL9v2Cx)Sk;aa*4=>YU*LjP z7qJg0gWf{1H!bYy0`t0hRC`vX7p1t8O6_Q6M5}JKme4pER*fW*qhMFH&teH0TX`h! zx*;MfmF)FU=BhN_jW@FVtVC<7?h;rGyYjpHkLCLqQ+;XbRS<#*8Y38s+viJk3c>=jU4P_0nJ5`9h zEMh<0lhEaHyrK;kL+YNYj}n1&ffrGXBS-DxcnXqIJd{AR%g`5jDRPWF7PR+4er2Lz zZ^H>LweN7QCN}-hE7!Wqp#blh&V*gsL?4BZ&}m zeTKy{!S8D2|HUQz59A9uU3#fjsgR%o*YO^Eydxmiutf!0F*{=YB<4Fl$8frp+576l zx}MZ8r~9D0Q!GVJs*;vpA;^7h7}jNini@9Ogg({DdZ=7HKxK<>o zBrudg$TD)(HYDp7BC5zsY*})K1;52BYFcBH|C(%&?vNkJKR@CKhmpKH^F?czn5Nta zi|SgW+pp?GYn#*ay1f%N^X1%${_=8n&}EJcIgHLvs=HuPJEk%@dIw99EpN{;#BH#FG^$>?NyVn zAm;JYrK{p~U`$11b*MTiwma-Z z&gmt(Q)rwH>-Mt7K7x2>k;+?2Y^na@+?Z}Mj81%Wn~QdU!$>=bH7MBtzU4`o> zDYFYxR@9%#;+-Jkf!Jmq$`>1w;XHd&@LR-%Gf!&BUi z@I=>=pk__D;UIE4JsAxA*_!&oHN9 zpcn8@Y_SX2AF+3`j;3h^t)w-zi?mC$?bbt3bNId{eK(fECe@6eD{tf+pLo;4$Tu})t#maDHtTJ9{R3vou75y!&v9dox`0gJC}FXb*4J2QxB%T z*~zEAkowqSd+~1;zqt6BLKa z_0s2m_P2t#f9^8&)T2**|LNx$JLf+=WjtMddhE%6V(iJ!J-z+O_x8pL(Z$d+Nngzd7~mQ@=d*^HV>4>WNbiGIr|ZQ;(hcb+yVF79)9m5pLqBu4?X|T&mVgFp|3x5 z&qH5&=&tcU`|{)s&)x9A@H4~rzu}Q9-2-1c@Yw@94{Y84o&ERk|MvcS_y67gukHUT zW9ganbb5DsS9)jq3LWA?kZI@re$20ZNBdsS^sj2))V>ApZ_D^eCGTrJ%73wEE1&-T zpgGf@b|4D974EnHo7%c45x;~n{RjFF^&jbf&kpK8*8hPWVu$sg=#S}->rd#X^e6SF z*j4Om{ipiV`p@*A>%U;v=>JWBMt@fSNBzI+|3m+u`g8g(_5YsrS2m63t z)+_ordr7ac-?2s3G0xJMrWwoiWm?KuX{^#rkk6~NQEg0Lsg<=!ZH+#uuhG7xKeBgP zyHESJc0W+WceU?n4}h$CNPAd+qxJ|$tVcm+{ZM~Ee^CFv{;2*v{UQC~z5Dha*t>u4 zm3v>m_Ye2Z?w#9vaPJ|#q>t$L>7)9$^)bd;TW){<``-JWcfae{JOAh%Z~u31yX~#F zzU9rg-2A3D-gM&)Z@B)tqerg2=IW~sA38WU`-iW;^1%LmGt;lzyJz>VD|Wp0^6i&x zyL9U%7jHSM9Jy1H%W^yZ67 z)#^;CR&BT1Mtw8C=MK*wqKp}60%}2BXky#0+O{k9O;yX|mEoc}>Z~EgI7|BeneXv=p)~2t5 zvI{oztGj16LCB|&R=F5jtQ-&7LAVdSu6A6Lp?%Zs%IwuMmq2Njs<-if*Ku}E?X4m_ z+pZj{RN7{}c5uh^e6_93)=DzIa~d$F&6Vb>wQ6N%=6L5DBfNC23T>s>#)X=8#}x}L z?T%ghrcZ>REbZJOOUv#<>ErcYF0!Z9_)Q+XnfRCt7K(_m)qOxakzbfU%B zG0ZhE0po{Y6qsgHn#K+tPl>cpbkx9(T41FeI&O%Z7L_(2%@%3LFb)3z4}klYn{L@H zr{$+IDZn;Qx)V~qB0j`^m#<~C(gM`liOI*cV+&5JBuX5E5-kDY9ed)g_w1X#%V$sx zpU}}p{GiFLYFlP`2_SCx-wH{G_Eu)w2WOiQ ztb|+UxA3`}s7qSaQj>&VZ+Qzn46qwsw z+guquGJmLc5a^_}WBQuX)iZO@cDq%ZYa6wVr3Hg+1gaj?U=W)Z*yT+a%{HKv*EVljk!Z}JbqCXFflK)Y8PEJqtw`3nQyn|4$VS|%`;dS_yrO-*XAmR z0i`fpz}2oA1o!RZb$0KYp7#&e4%Yyot=9Y;47*f0G*g~k0mfR62&ZnM(-d~Lq10f_Z2P#BtTw9Up= z{(}FTnzgyBU=n$MmAR|1?iK(SvBO&{ZLU>kph&8YxCF$3G&;y1hvtDA+gAd&rR%x* z{Cs7@{Pb7Yl^_<4#-Y8lAiTkL-%`P;o&!qeNZ86_GtiJI=+t>ZsE_}R_8XcDS6cNr z1^;hsio!Ow3XtubZttKX7XCxvjm>sy;9SV$gV%O~4lr<{IP%iU^%o@6z$k*LQ%{oUQSDW`=ifV@JFOHa#yI z=IAncW-nC8p2WY$|F_~0)MOX`Erglpi6N!C5ihZKqVshoFjtj-oM!kaZ@3-0;`t_>Ag=%-Em}b|`Nj$bo(Ir20FWCblz^MJ11LLq*EXhL6xhw;;D~9fdyo-A*>4Ea_8>C^XueSrrQrWW zr^DXTq|yQj0JmEgbS|@HZ9$#4HfJETh2OJKWDCC~CwY=f{e;w)&5Jp8x-)B0V_ebK zq852h^_BL_*h3h7V6Tm8%-*?CxNVu^{bqQ}*BTLJ=iPYWG?|@W}se8F5X>0IKH>&(DanxaNoW^f#N@MjM ze0JZB&Aq!>sT=`2Ym)}n7AVRiTvb(gX}f_!ZXOJtBXe^ohj6hm2)5KtZsCHRUJEPQ z`RshLWU0y30O$atK7D>^!wgve$2qR<*jFR%9l*E$`?;` z%3B(p^5%M{e9^LFqndAb)%i~Iis!e*(06us+GseE1Sp4E1H#ZeW+7jW|xKz)a>D*1LF{@7CYsN zKUh3a9>|o(20GeR8l)& z+0srul}hWaR$4oueT409ZaZ#uc5Z9iJNCD=JKFVK{0W9{+q|RA_Uzj~y`X6ynt9&` zKgdQmZfk#J*Yuq_gCN*KsZCc*FBtlVW^QIp)@(MJyqYphPwnPgv?g6Vk-s!}i(CF- zJ&gjV1>;z=Imqtm{D%DvER*Sp87j!FnNnK7eY8Z-3zXK|Vf3{Q~X6akw|RZfT^Hu^rdSlty^} zdG=v;9eV&^EU_&uZz#+IIQ-Ffyz~6s*&M(CfmV@+hI0Lb%yKiKmtmJ>ie8|z)l>Jb z)mAs*`Gd9huAN-Nr)2#)37KV?jdRv3!FB6arcB*3QmN&detFu&cPVAwYZ$hkN<&Q3 z&x=B94TJj_Q(zjr17>%ncFPGCcAjlj2H5tnl?ecbR=$rvF&q}z_Dtxr?R5^Yn}a~e zGs5GY-?Xy*YbY=Pn600Gz7}L|^D`5fpz-QgYwWEP%a2afYRit) z#*fxggF{CLi$}A;k!;I@!3~<$QPVhT4m#|@lg(y$lF!G5B9LQK{La}^g1sOu{2m0t z=fdZ50~?5;#2m&fw!W`8H3cL#wRYV(=hUp)M6F*ODIKkh9I3FP?(}C0eMd6RYp&0Ddg@3)f6es;lU;~d%Wuf#H(c11 zc!conO>H#~bCj)>)ZSd*Xz-B(Qr50pZxLe-NX$T*#MiiK)y^@^1L@Gt=EAI(HLCgH zk%FB|8)@51yMQRmG(0nv&b{8YMg|54f?(O`$Z*-R)|nhvpGq61t`{7SbA=6D@n*o* za(1j04%Jz>r<)25l$jlTRkK_I(8gy|_h;*riv zY(HBMGBx~?so7cz#Nq?1wbdsl*Q}dZKc++X6X%U-14Ewfq)cl(ucxzqpOxd3RRcY+ zpRHs3^G7>c87K6BXe@jhoUeHR81&gM^FXjd=lJOl*>;_Cm7ZT=XD3&Cn%6gJvenQ1 z;L7JTcs84I{OkDG&GAM9@qpC8iD8dvWcxzR3DRbu!6TD3%*mXgXWg)nO*aNCr)cwW zeH8T9W9%CM+gDC7A7oXKW!t?$m@bb?Ggpc#d_xY3<3Rw+2tk|~HpnQ07dCidooAj8 z>ADRvOb;Pb=b8E-WTv?MOI2W4UWFIg!K1tpi?tw;c`J0LaSDMX{{9m5s#!4N2VkrZ z;E=yHxrW6`SotfOt5WOM6)h8n{+KadPLD0uf}k{P7z2$9){Le-|5Y37e6;n>zp@+I zNzgaHJHawAq&!ODXQecLo|7lO`{TIJCHM*+2mt{WAF??J<1_jA%rNAS03MYre*kLI z91Q_fFwTNv22V#>;qWBRA-Zf?lW#uvcZK-Io*6Ga!h5u#v~BaEEqFMo7BrYjui%Q~ z9_T%j(j1rL48ks3-F)B&fT$*5>f}BWl|5ieduyx6zg_oXVcDyuRpGi&ecTDn`kNZmp$H4WNEfOcf00cpfG zfRO-sW?%-e;oxD;@o>(-0efsPFc^F0*fSVxiT#Yv@j?9Z0K**57!dfM<@+L+%BmK2 zb!AjmR#n8i_ucz_-@Wf;w5)5L-37ytQ>%O2YlWA8C7czuL0wcv9)y%+vt&GI#Hj`G zIcl|@2PXc@7hiyM7$(6OLR^|+e{DGENfcPsa3v*RSYb@jD3x?s@gfmHCJKoLF@%2u z|3DNB2%tG&)vj1K#Rx_>iR{o<-d5f>F}dE$peQl`-$m+3X3Uf*Fh_O2%r@!`Q!$J4MLcL&(46&NN@rk*zRS1?}+S55QTy7XU~B;3|B~fD#Fe@ar8Q6JrxG z$Q&EX44Dv1o~rt!9}w!1R2WgAA*St zFdM5Bpcs(M(ZPdAqIX6RmIVB`0FnLIpyw)rFznX>_kuDBdb4LCN5$^2Uzt4H7vsZ7 zyd93dEJla1csmXcqujHaqG+dfbwwf1GI)CWG`x_U-AK+Jz}d@zA4S6fXusstq`|N8 zNQ^p5$X|D*(XQ(3|4ReoM<0ceA~?xE`<;NF!xZinel9%C-!cAqK@ugQ({Xy>irz4) zl!^HjKl}?@f5;9ou(9^?{}FyA{5$wve)pmbh*F~KAjl+Fbm8yZjZV*jM|bw;(fA7) zf60H!3O;S<%Db)L8AES>%?_V8^v_xWJ`0bF{1Px8fIQ)s!ngSQ%SW1OSq3JA@6Ul@ z@zeK`!A0OiIAt(CZ==^=do-hOS;5`6+TqukPJiQDhPrPBZ-R5uKXKu#?#n+B{z3Q} zzZ2gVB_WDDpo{T`s4A33CQJ@>N+oL8c8aFvCW$|0^E4Rrqwy^912IJc92x>gS4d-MJ@ z!iKOk@>44-)Z2(Q1_R0z2QsHdYg0El$-pLGV0;rd4PaCX8GCDXgmjp>tq$XjlJQPF zd$%N&!GQ-D2Ly{-wsWT>-D`XAmE~7ak7a{U#0bl@T0rHJmE$ce}_`0Su@?|H&^i!s~v2hAM zcXoKrFqre7L(UBN)eW`fJAS8APS>OQ;-XSkZtyJ^t49&nm=97}8UG$rHHa1t8cjc_*kYTrL%@tP)&KCs0 z?lSoHe?SIep#TU~8`&k4LY}7-Xpss+sDw8}3HNNT-$+I>_Gm=r6q6+HvcF^C@H_GL}iPz%w^-j=vMT|H(-f1jMgW|;Slc)2B@W1Iz zlJ4F6e~gg5ziuPs3{hI&P;Ibk^R#Ab$l6CX+Sk|3&FA)CRj+S*RhN{A?3Q6pEsGH! zrru||qL(yNDVs7|)=AK!&s5>#O1%ztGT@+JfW@k?DD?RZ6jxhPPM}RzW4T;FgE{+> z&>m;$I8LYd$FVtyYe-mSE^!CZQH*yhk}67A@h)UEEL{b(Cd9YkXQ4)~v4e_OTc=wP z=yxi{vIgpfXvO~&c$#K~E+e@dGu7)AS8@o{W&VSw&RICV09ji(8(500>2@08)_D0B zfH==X+%|Zo2H9-NE*HwapR)8?jqep7^Yv_s#`AMDMlu7IBLJKoe(--PKNP8jg#!ViUS3PdPDPAOiJ zQ^T>ZV9tk}D(3N^n~;n0%*vtI8nEzu?lmmH1BC+2Ny<~Y$<9ZCURe9$g{D+GEP0Hw_kF7&vmP&$F39O#edoj==A5ZhYS7Z_t^jsiO-|NY#)=|g!(Hk?dUrcFiI)rU zPBJS$1AX>QxPDXczf`J6zF!yWGwF1E0+Nd#$&fN17SyRj7+JY~Z&6ke%z&GZEX(w~ zEAgAa^S`hAh96vXH-maJ6whyd(*{!1DGUG92yIH~l`N+43m{o#SyEWinXrH#e+GI4 zs_oC$R@9ZLN0Pjp925V8UeU`%z{zVjLf+4a1O zE&py+RyamxUjBRG2f|+n{2u9Lu0Qi}W{Co!S~T z{T4EZD6E9xGFW%ZIXFC6)zgKbK}y+l`E^uYpu2Qb#+z!|1i-jcxUW`aHdI4_+XB(l5eN1HOE)M%%6=p%Hof2 zAZrc9sF=1p;Fin0Zg?zlRnG)2?w*0C_oJ8|Siu85)l%WNyFl06vG3`bYy6`jLlGXk zY9d@1!(7|OmKZyRtRSF<;_E~Wfb0U(zn+1Q?KsY-IVbRUr2Jg?Oi~@X zUnEd@=%POH)KN(|sRbK@KC&Fn)DIvt#rO4;%^r1yKJY)JdAK2kTC{m^ka&(VAJ^UCji z&h+1*>{Cb6t4{QvVKBcVp9e=fC8oda;9%4AmkpD=jjQ^;%E;pwY6|n^UjmOjpLnt< z>-8_g zoEZAkC{&lI!#0syBNCD^CGK+Sy&=4uLlO0Kr?Z3}Qd&^ES7GYDOhb)q<{d{Yr%W8W zG%GO#+WsKW)kEo?$RJhSStoL!36O&pXrW&wTF3&dtq7aEo6s(#e48@1f>!3>mWR$% z22CtWIFw_}5#ZJnS{{VPJd<+pbDk48j82xM^Ug3GVkbY!D;N$gQA(K{si&iY0l~{0eGiGM%JD z@+0AYfnA!A6P9=+5f8PjOvdxdjeNe<3d>WSJw}O{GCHzkR_TU`jQ|OQMsK27CidSV zg0$u}$i;|Kzh?!1hKvG^iRiq>{ryLfhXb90V)`o6KaQCt%erBQhNP#gpt@DS`HMwb zO*~Lz#Z~^pRKc;|NDUIl=Y?Z}q z_7rbSDuie*1aZ=P`f1X83P7t+exsO)R*6Vnr%^rd5G)eg@}NAkqiCJG5pC#e6Qcz%CDz};nyqL3B)b0w z?D)Rm33<@{<`>chU*NIOop>o3b^wDNdkKcuK50r}e|HAWnRt4c;}YUaJb0i~)iN#k6KgeAM7q1U1E8u<*tJ>YZp%G zYVD>2T@qDysk_kMse|v!&GvTPNct3fWZwg9IE2b#dDkx#`n&xZl=0vl3-}&)D+ve) z6Q6TVf66&SF5ge8{-a4zl7Rm2NMmA5iA+Saz{>hHf@N7F>8mMZDq0@JS{bOPt~j4V zv5lj=9D&~*_5FvQq$?5o>=SR0*i^yAatliKXuXYBxa*yI)+AYostFWAF23T{P?|VH8%%;B0OP1UbZeG)$s? z9=wiPyt^VNRj})aOp-9)#^5#6WPxg1qR4wV;wtm6DAfSf2^0mA`YwpIIK(W9XsA-E znz&u&)knU&En*d?%AP?Q9%hcb)&GLTOe|5#I*-^MN!f3#``BspN~Yv`AZWlM9dh+0 zm@)o8Rt;g!GJdsGS`k(z)nIonW64p*CUz*iOq~^mC%ddqhtyw(Y|OXZbhQ#-f3YUQ zWN4BUWQ^Q(NQSpE&nTnz>iyM|jEg7X~vypTeygO1VlGP|CkT)dd?YG73a?@MXD!9xTa~1u*yl<#VCeoOj zPU~c&AJ~4Nnoh1*B9h`)wv#^aPlf*pxl4r%l-wIaVZ=IxYDyN?wN%PkcV@ZLo%LUp zNkJkiXU z;ny{bsk-UK8Y6$`tBgvReH?!vbk(f&u<04JkPclQzRt1URo?2w`Uw#CtBdg8sy~IoFsWp?rQEC zwz<5>yz@)Udk+|KQv(j?a)mS)75QSS0+*uz{OYG9TlL5V=3QqVrq?mONfh6owrI`0 zv*O{>rJO0cp0AP#HvJNK&VicfjL;bcMJmJ%moE1E^h{-AztU*z(4C{!_)J(Pv>eS3 za@;AuaViW=Jv=_3lQl(LCD?MR6q3^!+o`( zYlUkp!sQGRMNuNFXLQYKO1zSW8tyG7Ee|wnr|C*jKlC@MxV&w>$#C%_2s=LZLn;}P zs>xLLao^zOrG^*2qQq@Q5_PpzDS{mI4(kwyDp>Uk;oFc|7lr;Pws+37#5ivCO9I%| z>NI=JTFq>kuh?UlU`L`*$|sko5}uze^=fG)JJh!K^fCEYnMNh8DkEOJl^NT+Uus;n61>l{~ivmRx#NM)KbBu!qX zOfew3eGuZgj80r%cihl$Gxr%4rDER)WEPxTG9}$e@%>8_GzO1ze&_KOVUPFUR{a%o zQ(nM;nOcM<^L~%%5kl6hG>anZYN{CA z8HBic#x=2J`$T@2MSs4%y*C)V5df+ZdAFG3}jg7%TP3QCKycy%!n_$ws76&6v7WmUS?bqvLTc`Lt7<5tKV6UJ?)Fwa$ z`#6U*BqKcfEz^Iip_@3R>LNz!c_=KXw`BSsfatwy*m3?X6<5rksTy+Crpi;ni(=@j zx>W}E_+m2y(@2bVo5}h7I=n;|E+sL^_YHg(s+}ds*9$x*cUvW+RI9C>T|3Iqq(>2r z1tPE2g1PuiSVRFMlbSvRLJxZNxfRLA33`Ws{h=;HM1mTr6{OW=vB`9d%+$aH%8108 z;qFO=HC9}K@f$Kc2!J<$X~sU(CUQee`xG#8;cAStjw$O81sPRxbx)FW@b~rA zPl(E}^j4}XSSN=2PWd;jfYkK|Lx_NL%#_l8qWOQ4u!IHl>nB$#V_QCq~F}j<}w|>(yl!`}{Y5l_IBm(Wf$Jd6p#qz>J zy)Jfg?OdrOYK{YPG?IOgqdl>AQobGI%%cUT8?{{YYhEWe_6$D8MJn@7LF7FH^LH@= zhi!PRtUJ3M=DnYb))X8vU_EeNSR|ol7#8{G6!zQReK%|>Gh*=Y|eU2vli221I@8zi2m_)h~R`ro~R>+nhcpuq}eO6CAEr1 zGZdq$3ew#%2&$V9+l@++u>n~!+zT~gebPj3h@Fzo^V@pIVvd>FMKQw+SuaG)hRR0Q zGR!9ujSVv=#=4i56}#c8IZ#!aOG(;rbJP$&L_+8BTqH(k8ceR(s*qG+KS_2!H6iv- z3%7A*-5XqJujXo+cA-+p<+d(t9Si@df2LyhNw<(NSSGjwhb=90y(@%Rix~ z%f1+AJ+-VTmP!x^3QEB*foSUVtnRF05D88M$PF?i1rHRUJW3g#LB4^*P!3hVHWfX! zyi(LaXDwGuT{EDf)|g@2I6Cc!s_y7{jV)^hQqtEQQ&X9f*Oh+{#Hh#;)=DMw{sI$g zyD2EZ4TU`H1POEhjqtw#*RfC$TEalMNf?e&Lz9eF+vyPHa_RI)t2jc@34-RRIagOq zJT`XPB&zz2NsRP=U4Bh_meWccRN9TsdbYI=4rsx{G2BkfybnUmtjTa_d0M$3x|Lqb z0g}f`#(5eAHnxjaKyA%X(Q{|cC^-vYeW_}gx&lFVhUe88Oz*U%1s7W#l5#9n(F)dK z$$mrD#{TxQB^5kHd0dP6{pujkUJ||u{uu8=*%$8Mcyr+FpbDb&(#GlS(}HkmVQqbF zG`iI5wA<08=)_voiJY7GW;jc=;jG-~|GHe8CD~Zd4UcojOz(Ya5%O&j8R2SRNMYMC zn(0hgHK05*HMGDN{F)FboLnv~Q0vKsg$1_wN5}$f$%-LWRmuo@q*N;JT8dVTOx^*} zMT@VVO0uu%IUMVL7PP{iOEQ=;FGHDFEY?eA=Gx?6sG9PHt|e<# z!}VGeBkH&Pn_ZGRlV3EkvuTTJ6{@+FSk)Nb-279o2ZQv!@Wn-_50up)6L5iAjQ1ZL`x>}Trpk5oS=;t zu)(mRLODyl_bxBr3{SG~aHwV|E1Il$red$$`UkRazA5YA>MfQbS6ssH*v9SWond}Y z!#311I}ibPa0PXnxxc&FUtN*}m(mO7g}Eg?{%^XEH^I{+9U8OF`!r#uD|v}^Qy__w z0&o6F<0LcP;uD4rblcare5#OL)uMJ_R831P1*Ju?ow76+j0+YlRQnX!jl5a$^gEQa$6Rw+mkonjlIv;)f`VD|BZj@u4brw4 zw6ED>#=u(MbdVKkl2)WHibEOd>ZgeOF^JW7#1YPKRScz+M9+_tDvI|w>%yY2Azb3v zGiV9>LCMjY>+AcSMq@u$t(HprNd#@~Z=PI1JXT;$*GuQ3s7|Kk)lAkUr4*TMmz!IS zyAg3dD0&KAFx*EWp!UTDF)dNxXuRuF$7mbwb9^V6H@fg@p!y3y&~Us7*`eqbS-^W; zIzi84L&HQ#qN|PrmuhCglu{0VVeyu&t=rSx6a`=!I*M!3LgDrp)YDGekR8_}&nXT$$gIo1`L-wWEE~b9;BW6Zz8}02mkQ)4UpJwrr?_OXH6&@694mj+an{T41dA zVenfGnQ4@VC+P}QrN(_ZSInI&Fr~(bg7f+6^z$Q;tt%n(avD>fheGVe|1S z7@#oV?-k*7TqjnHyU^v!cb!>V6NI}qPY+KY9^U2Kl63X1tFJ&@&U_UB%tOWtk&VeF zp2{mPNG4DIUt)0_QH_(uZA7lO7JzgVMZ-lPVY;B%KGtK^w`!;=QVG_~ zdk|ta7Xoz@sFv=uOcknr)~bM`EfYs+h)#o2a%F34ljZ8Bu~I(N!T*|F7=}iO0oDn4 zE`>48IYA@kYAD+Ms=x24J%~nH(8!|h^i)GxwG?6p#i}7Ujn`%@v37+qF&~oz<;VNi zxtBdqO+(O3*M*%?_Tp9fV6?L%ck6W-mCJH02y#-GoR!c1TAV-fbmL^6o~R$AVTFs) zGJn&~kiV-El9Ggj#(dQ|XxzX6Pwx#xUsYBO_wBsB&loOrdD*QKOw4hMI#MYmShcM z86-J{a_>+QwH02g4tzzY@Bb)CvBx4utej`MTyPX&)-(Dxp4N1;{?)X;B>AMIf`}=y+m84#G*ckN3isZ(_(MIE=J#TXh zA$-#oe{Ax&b;URb#A|8#7ff+$g|AhnscuN%Dn)b^a6Q!jY7P#*LzpU3;&z-Ue-p|W zYR59wnr^6t*aVt4)iV0OjD2ZrWyy8kty}lrs=9Ue_3iuq_!g4y@o^`++3b})Jw2L5 zv(HExk36zuX+|^AF37TtiL3-#AVqSl*oNUCf}J>tBiV|g#Bdzji4{cB1W58D0rEq# zR>uYmIC?FBCnOx~(sVt0gseqJW&1&ca#le<|}nSyI-87eL3C>Sp~B z`1>4Ol81yk&Z@7$mjD$4XQcTm!2p91Ml$wR72V8@1f?C-H{cjuPmYRe$SiKLe_Mo) zy7;u}9JwM()90SbfTwEr+eBwTYHu`$}90-vLe_k`Bo1M7B(N1R|8tE3f`G>lZ0lT!`YlvK>?*hCO-B zRSz)^eDN%*rRh3;hgXQhtn6lfUb#B)6m#vSszvB<+6g3-M&AJr3oOjb=QpgDR4Q%k ztPR!<4r&{xwvGe-CGX1`xE(G(YD9&CtpQJaLS9?* zm1wgjt9~C2(~hudKrpfb3tLvAE&pB;l_#Pq>gIBCSGQVvsU)kD{)DlrT)k(#^A(@X zR-BcZH^66{NmM5}(ZpZ8A5fg=N4RPQ56DrKz#;+3M8g!S;p!L-Ggy?aU)T_QTNj0~ zf6%pc+O&cVE4UJ)bjnF94oaVN#x70`>zIvGzT8+f6>cQ@{b?pb2Oa?DM{>w2b~={3 zmFjP#a=BG&_1MXh+dHaN-;MuLl(J1%YVRb5k-jU ziMN(+;u@?hkA#r+_i^m0nr?dCZ6~F(JLRUW7DFp|)b(o_9}X?`)aF>6SzlCe70F3F zn9vRFNQcs~$TU&kZ|xna#iKZGZLaRFUILUp9%ouVC8jU(1i@q>Vz?02%vC##a5!&JrF{TV=Md`*u>lF@abyF)Jc>^&Cxg3vU+yU zP@^>hD4%)`;Lt5_b!-c>RZJWm$A=Knyw`u2Xd=^6IZ?Y6^vsIBP`lk*!e5(4?B+b3 zNESt-gI9Qn>u%DogP+L|PE&0X@wy!I@)+0aR~hS79Fl7jA_w4wGDPFVAVgEc%znyM zH-FvMu2ymNDAbBO92?PLH>vyy)rH6kmXm(1^}|>*)>cvh%4Sn3gOzQmVEca4XueT~ z^i2QUPsxr>lNqPWeR}@{MPwiTmQDXs&58a(p!kf~%GF)?H1>eLRfRbSagG|m2GefwwhBau{$6$Zs3}#UY7)jl5)Qn>V z3I6>4Ox+`Dcx`D-+LMkBiy3))Wa-VMSX6B^7YL^pu?Mm_y&`h>02g6Hvn=24C`R@cir-2o` zM|1us0;x1~z;`E1A{Cp+7icj%oaD%9ywxrUL#o$9s6rXSBE>rs(} zbD}?n9oUNGf~B|xLo-4S6yFbiBbTedBf?6H#ry1%&J6OZuw=M>*6Tk3oBfv5lx|DU z3EkG6M{cmp(~m#+mbX0p^tDG$X?B8UXNtf%2^i0dI7@QOjk#iQ`tr<7PhUOz%kxy) zX*^AsdgHT&GSzVn=>qTU7@P-T)!>8&IN+v9!`M)L7Dk`sUZG97l~Dt|Kw~&h@oHSi zC=BNnL;UiD7qIKoo~MMm!#Adlj`w565b|v~pJEt18Vl7_P2quT)E!d`Lik~1+qhiL zV1JRDYwD4gv~RU*<~0h#F)MJtm~`k#>@fg=bvvlaP1gzN)^GCJC0E<-6) z&HCt2R>oKpRh+b?H=<9!U~klaC*wX_w)9-lAOUP0`DLN&P-O2Tp;K^F%9xoq(p5GR z`2%C7oLNb_6gH(@>G-hJscu^vO}?S3+5XyiZEGv*7kY)&)oeZ;MI=jp3dc;ey|Bjh zB&QOd$`mfD%ABTK&Qj9PrX2K&jToF-2ic=N`1)l#e9MSBjM-ri#i(>=WR72fzgXst z%OpkHm~BQGS`jVd72P0@*x}G4oPDkhAA@At7N7?G^&ccy{<6@%)^aO3*HuePha=8r z&bQ|NH_{p+S(IdpPT3m#5PlTTEPNpv2YB@4CZ6b)W;9&6*blamZp#W&6tt@lInt6-l-*q;ZNEx#rF6;n!Odm$07XE$ zzhW^W_qL~>#-)VaFVZi|&E4bK88w&4jp#9jeE}*ORg%pbHJ<@v?V(Ux5pr6)j~vwN zS^fXe!~`fA@l}`cmfC^KYUwggZDdCoTFNle0dw6qH0!RVcDI~|4&Y-5)P!o5>waAE zo|fMgLI4XHfm;}fSrOhWhuwm;Zb;WeohUfmmDk#RS+8_cr7i@imfBF;?W~-=Hx4gz z_Oschdjs(_4g#!EeLmC0H1Fc;0G%81Gkj4h^9 zqoEXtj7%U%a`@~+Cb9zA%2OEuG17u&fC727jVfK)6FH#=sYU< zw=4xXprWVfyRKi~GHuO#*h6wE^3t-Mdh%+|P^|7{uEj>n3`Oc8si%EE$>)n5xg$L+ z{fzX2^s;pAu-vY`IQ+TD+*2P!dvAa4xxL4qe}3=&2Or!!+`h6s9G(^y&*yrkHnUV2 znhNb^*8pz8e5>NAVI&H+X*#qq28WV_&ZP@h4W?~M!jsHPlNacomQau@m#}D8QzMh{ zSV^l8ogfMz|9|L;jIgXCo-kLl(UI6Rc^x}oDDs;Ual(3=fpXW3)Mbrr3_?d`I!GH+4@lo?WqAQ>%NP>JUzvwr7y-X9?HZhGl5u%bv^G-Jap_q_6$^ z(s$vOtwO}SEBb1;uCHr%uV3d()2{NX%UE#w)Z!_Y`ah;^q-0qeb2?sSn%24}195h# zA3*xx-4Gc+ZQ49j2J+4vy~PGhK?*f;0m`KGA+wBj4aYha(%bimW-$BLbd$n2kLNv$ zK(y2W|M-epFWVl&1VWK~fegZh4n^f0Ngbse|=I)i@&6O*ioA#BPr_$)@=|zibM$)L% zT=Xd|u5A=g#j0VjhzyA=Ok86E9&~nsVv!##@@xn70**^MA1C@~)Z@X4teYNj^~l#U zuC9t(d|XcE;%MHJLeUhOIRicuW}%{+4njvsg{2V&cev~8w3Jm%hpuL=jA^Sm*Gl0P z&P+dwH|9fk7SgV96pf>wHT}yJqNJ49pc( z%V0%S5CH24#~Bis{Fj7^3suCm&7fK?_^RoreBIWXD{UWV4ktPfBa98(OGmi4S;U{M zo@5z)z;!-SGj#gE{a!VC#R(t*wuB(rF%~j#7@I0Vd3<>Br%oJ?!(AY<$TNIQhc}@O;uc zD*B_o4Y=n3m|XqPxwf&>+utbndK-43aI$f7&I?UB=rXfNrpYZ|J<-)ZPg74Pgv|Kd z!j=;UHC;GqJjGg$Xi1|fGiC6h5W+B5b9}C#RoNO5RkQ(6%~!X5+m*8g^&N>J>tM(c zko!L&u1heZMnF3@WtP_SsjVsscI(eLyzZn_1BdVNfqoqXN0&@XOxQRQ+#6XJ2ZNkY zK&rl(XvU^qt&=D%)EDNQJXuj3P=X?Ed%{i<&?0L$>~*}4%}S`RrldOyW3)@m9?J( zH>|alVpYy(aFx>ygkpkF3v5I+Z5-VXn?aBkidF>Jk`zsUZFTi(*p8?!W~}I{p}(x? zS1JP!2g969{gkem7X0ieR!v%{-Ugrjkm1$<_Wct*)ah4%*K4pJF6_)-LG&F<`_g?P zW4*mc$MyAkyM5i}l-|C6`#fP!eB8O2i3yQCQ|l$mlPr?5F9g3OM*v&VTx`Xi8U&^S z6FJrAO#iB9n3j7#vv5bzWr$^36go6(cw1ZOMaJD;!=S$@tRr@8ph}5PjOF8js(Gjp z2$3XLEZdeX8|MRE)UaeqN`^P&03idJtjqL~Cv{gVzbSA0V^zlHmuz1re~>2jOgs6* z1n)~@Gh4jaKNjvZB~(mswTveN*T8G zat$D}t|On&$s>7PdxBW>Q;rRBJY)`-l>S*eWikT)MZxg0Iwz|hpjbuL`^PTWm%e|E zWcmTkVpecD3QJta-mDk$N3dI#6iaUwRX;y6v}^l!Ht!S)*N$#px_RGyy=%RTdQ%r2 z8*^;4amGB7eRu;Gy0kMF$(%GY`n^0nBamoRrb*0r)H16*t|3Js71)+6P{g)b5`nhV zGwEd%kgDNbs=(e61JJJa#ay6k-vG+N_1}8d^l0hPGJism>B^;)r6|A*vjfM`GJdOG zQ0!s4cc6vsfD~kE*-Y0W*hFS%E0inZJ%}yvtW!l80h=l&xrW4!IrT zmu?1(95@#FMU%caMQ!1PjmOgWxh~f&|9@#Y{gKELLe}>=)C7!)crBST^gXzDuR#<} zAL@Eh&dHv4I-Ogg);1G$XSkwtE+>3$nkdLb6WL?4t< z=8y6bx9F|)2z-P}aOJJFtyNzMCYu?|h7q66Z2ex6>FY}q5eeHx~WvIm0Pmq z={YO~dU%>q@?htmY%W_`*QWWvKbHHzk|A(q6y5X6DC?NIa-g`)rd+PtI4R)C;Qy69 z-TjQJgeJ2;QOH@s^1RGs=&OdUQpC4U>YL*jr#D7bxR*sk>vF5IVk>|YjA?yo+AUWB z?+&;;Q_)IV6v^Y&e&3R<^OZ=Exm)Culd*==k+Y{5hfFOk@#Ztf{~JgKV%TB8rxe>p zbyRURWd*yf7B1I3oHb}Q7s@MR*zB4O%X$-c*M4Ofb6ONLt4_v&Y8k55d91zB^|9Yx z@5=PegeXp=`Lh0Q4BkG$sy~u`oUCJQI#abfYPaQzT2f&(@bDS=f@1ZVtelqkm+3T& z*@BX6TzC$v!-WzZL^WzN?D!}z&VUiQj?`2U1LK7C{C8xHlQ~|@yfOYlE#jmFQTDyH zL@cJZA1|+N=5cnkQIx6j&QzpjGo<*TqRveD;~$5$Of?0sNoA=iT^Fa)-Pk|gIbL7i zz|H0cEN?a)aBgE$Im=vzy?$2pIr}EToK45}W?(q>ffdx?DsK$S_v%=H}|C z+fA*e?wt&MMi(AL5v+U4v;dKeC$ll6$=NTB{SyYggX{w!5y-ma?rxe2Qjx}3Zhu_< z43c#R$DX01m+}>ysp$c6-lbcA@6z2|iZX+&aD}f~8bX>~%>~$m-%S1e(a0s1reON~ zN)G3Dvj)!S)=IyhbUJ=N(Z_kFZy%ONg45Sm&(r1YKKf9`se=k!Ul zWw^MUJ8(1EtcSgLFR+xP=9Yi`ClGc1BAE^bXAn2l3YcH1P^q*^t$XuuO9P>nD{Rxd zcslQHnr6G3Et|d?vy4^KfYYedHNu81dnG5E%YV-DzxqYX`$Nsv(60tXuWUGS)vtIe zKn^Rm;u6DlIWA=zR`7Kz05tL2ES*$er-}g%JZ6+kD<~MTR*>R;v0JQG<56ZcQz$g! z<{Ok}&JGML-UWmwUi38pNS8H2^vWK9yTuEiGsAreX3X;7biw-9*5rbf@!l1vR<_&t7j3SljTbTNb62OEx+Aph#!RC% zSMH{zL+Qq0B~si$Wv{fC$qblTH+OdjNTsS_47%&mx}xL7|4^P@!N16bQOu z`@Ui*XD{b0-k;8!zOeV<=!2htCmf)(e%57<@4=&9al}Zl1a>XzleCd)SIZGkiUG~`Vsr0QXXbIf2nFEZY zDB*dhO2DR=$TbHc$xOb)lgE$wlpRpt&FovjZx_;X6#AZ=ZtOe3zVUz#&K%%L{7?oE z`L1YLkF!j5j~v_hjwzeEi>p?!)#=!PtSVO>hP?qGWWDo{U2%gnb`Z+k0LH>N>D$yG zPw08y(%@F+Vb$N2{yee3u5={Uy;fDb-71`Rb$EDKElbkn>g9_xE9=80{qhBaUrra9 z97%lo&nb9`rtGdih7Y^NeLTb}5)#&yLpc^wZz>w*#?Ju(W)|S#Jn}p=w9waTwN9(` zvSK(ME|?H&f%iL%*c$st=!jr;$X#OZzB@{bROv^V1&&t1h<@zP$Y46_?T3Js|1)5c zfk0)|Qp!;s)9kcXR%nNwMI*C$QRi{iXb!Zd9w*8BCe>h#d_In;dZ-Q>a=oSsk@cR) z;G#X^0W7dxRD9L;Wn_QS4*xld)4GOgC;L0#B(^s&3p}5b(Bme#*7Oz2%mh2ntS~!# z*Kt<7*WK$sPVR{LtBr^*SAST^*{7!GC@6 z`!Spih~i}DVDh3#MoAobA4;>K6+Cd{MDO(s=KKCfSQgjPnuwg*GZkVw11B*&(0>`OdcIdc+O1-ATFOSh+ZDi})*E*Yz{2E54 zY5J)EW6W4Fib}2$mQ9%g0n|KH1t*)`-W<#==;y;CNljfXCof-KKr+1&#tOTF`9E7cOCAAxRc--pUK*yaX zS55xatAoK+O}EIcVJZV&ko(J zKrVcMDK44!IL#_z$s4GAEi5yerP6K}obyVmc@tf&Rk%`Jmy59QGNT`5?uZ%czKs2H z&aRMuIbn{vnsI%wI>XPF9VMu&jw%ndaB=6pl1!Pkuhl&>w_7i^rj?+dNPi=J9pdbG zI@L?ANcntaqcj=~Duc7tyLkoV+*F1|2YrRie|~0lB>8FZ5OJAhxrQog8E(EScOx^8 zQxTYk$=`ZgG(Lx^f~%z6(yF`>MG7@Z1qg<|Oi>`~cS&mj1e?#z(bI(3b zOe1lH$pSWz-FjGe%(b=VhjdrZhxK)btQ5KjSMnt%jWT&09;MS+_u#n{#f}yrRh3tD zhipUzhOrT3i;j}XR)?GWX&k@29u>OM4ATpx>y`u_JhMxzFOW1vDF!` zK*TM%TubxSQXG}vW!MB8%#H-&74Mhxx6(IZFVcx#coWdp>S456k|fx(Vz5=2OoAxm zISvUH`oYuD1q<{y8Ba0nqTnzqK!_L>3O|0~7EO(F)H4CfU#7ZYQJn(u&lb?5yYlGZy27fhp2u=+j|VdT6c7aOW18~OPG{J?u3Oqcw+4o( z2Zct2i}lH-EbrDk6Yx&rJ)s0orf}m;r-T2m&Ml5hNgPpeV_TXp5mXYhf(qNS2i- za>R&=Y-^!q9p}igBs;MkKUl|hBEKb1PJE(;@v##5_#qEb{#(6F&jNV}3@{B0*mdu} zegE?P23U2)ND$dK1=WJ6j5<_M9a&W?x-F%{v?xkg&gH?9XQP}Tr2QZ@o=hELpjq(R ztKjn%x1!8WXKiVobNEKB(dnonvD<{>3Tk0bB*yA7Zm}Bm{O{xIKB(oR6B+vOaKe1B zFO;dhQ2yZaf+aXqJ!J)#Y~99wuC{GTE2Y^7`QweicCgy(68wM@deIAxo+%(F_s#+9 zBUg>eZ|&~Nrh`-i$%+;1VoOS<(h|r9NoNfal&c`9yXaEJb8;swF$bY}Lr+pkRI!y} zoa|ts**+;Y`>@45#=XS7pZhTPG49M(>An1`uYQE%^bh{>FYE96zz6i_Zr;?lZ`{x; z#m!A!m8AFS?>or!aq#D8`xQHLYNVA_`<~y0J$wwLb@&f>;G3XZnZ8+Ryh0iB+pLbnV?HE@d z)QF~Oj*M7;YmKkC)Z$HF(dUh0_^VhFR0WITS2P=A{1JLtBWhs!tO-@d0dgQ&Qzbz8h9QZf z0DoCHm+*8X!0Vo(If6x{=HH!FoUYf82lNem7<;iMBv3Al?8=N0h0H zJKR;mY})sE^Fs`CzhAY~Pkm@3?}GR$#g`);qGI%c4}iQ_phthr{RKxkXBhpaJAT_Q zmt}x9vS^w$xyBu;c29bEC$K*zPMa=iAKrH~2se4&d`4xwY0o{k!t%Y$k8jUNEemVAeDr*>9kIf7&yfi}v$Apt+#FR-CM8o7B|g`mZxUqJJ4j0y2J5+s zAj0}@-1h*FGM2^~>%cKJKa;7HJWs4lCjI?Pulfrl~_!`Ofw|m zp{qYq(%8X`%0d0P{loY%?Z$uL&D(6r*pnK4`L+iEo+V`X6p>8z2EcAjT-Mb8NSqJT zYuNnu3|nq0c{3127-GNwk$FoIO+!@WMU{%oH4wLq&2d*Co1>eH=L+Cd!<8tSfoGrT z_WP-s)PeUttPM=@VkrB0dB&ON32KWga!MRyvnTum-S_Eo$q~&JKbexR#Qc9(P2MT- zl||~AT4)JDM)frHgnCi3L(hKr>hCC}Q1{ttHxyYOfoN53nigB_6`Bhei&lw*8N<^> zx9w3$*DX2ahq;$lSBGqJZ;e=l*_OfeElD$> zY!f+}O{hABcUyvp+POvC+gMAipP_54r-80h{-Phg4!UW6f!$72{0zL;a<>cdnxRw(k!(}4 zd)ESHgqwk;Q(;|I7Up{Ct9|b8Uw4vEww$Wt5P8HfNq}G)!b3E{x4h zdW4S}j5~PV%*}q5PiT4KZndfRQO1(U=HO15m00MjCQqyXB%91(^%!yQG3wQONSkBZ z5l)A;;zUaFu6p}6d%xwlkD2WZ%nzqsvwXGcwION`ZClf@H%T__kJCX|W4kxG^u2Ob z+!uA=ke^)AyafMwcFZk<4-D%L*k&QY7bV_YVv@WFZ~U40`KNiFzVtodWm8s z2k7t$AIW`CO(qh}dKhKx4wu+RD^ZwujEme0=n zJ37{W+w`B%k*Pdrij2y-oVfybco%~@ThBiGxw>J%pL^bY}_4N_A(%;8hio94yR+wKaNDjXe$&-(S%jC$o|{XoV*8vU>|{ zlo&dVEaw5Ot{pP7FQN<^IuW;@(k-y#B*8{xZ-F7b#l-Ikoi6IBwTQ}Ih+Z=sQz;)n_vkVQub4_AE8&vVVqJ zJ^nY$N*ue@K>cfBkvzJv?V!}=r8*W2%~ep8quwpc`U{qExoQ!`PbsCV_LENzw@0z) z?ECR<3l;+{vU?en`hCAqEc!WF_N{DI^R*+q!&uga&#>h6mW7T|HYi4%cQrGL!}did{)bv@*b$YXUmQ;jGq2x!XnsXxd@xmK(wqNTd5R_K`*LDnM|;sae2D- zb5uN6JIX*$f{`_*8S%W^v(K*c5u;N+2RPxoY`fkv^+Y=mJ~gA`rg65OU^$lfr*_%Zk2;|7aD{X9VY$$`REv3|K)@n*OJCiLI z70L4wO5(6z*=O%Swx-?lux*T$Q}0XUdl*7sAF$YKs;vo$tnYk6@RBd^f$C$smouCv z;Yn8v_=G_+7h;#cwB+U1ZZy~fzZq% zOSb5GqX9SQjk0R&29Gft4~TEjUT>^#Zx7~{I!kM71KzT-gY4nw?oU`Qj!++n2V!FE z!}jrrO@S=o?wJKv=rD_*SjBHxa*$9JMo8TAQ8Y`L=iRj76WgK*kFz#Qy<@ateN)x3 z@(?x^H_$TI@QoXv=8d48d)W4rNIzTd>d0CtB5elDeL`0XhBHIGYF%X7sEz8!ELMxS zS#FErJ~ff(n2OTLCzBlr*V3Kz5z4r?v*9ok;o?-#Gue$&3lEyglV=R)G|__UJUHWW zT;ZucM#qfWe#$3jJbsM2mPkdSbhaAF~70#M6R3DG(%nkSM6VayOKWdvr65j zqq06!vieJ=lFrK_Pwa9!+pLz=<`;T8J=K5m zhOcI?hcy#LgHTXs1SCpCcaYe@c3_wy8)Lilj*RSwO8d#84zb|--p6h+1bpxo$Zv{k z!X0jKJ1hehwF?EhH5kxZt3@4*C5f(XZYp#-RbU^ouklp);Tj&h#Uq}tc0`Q(rW5i{ z6P_m#MxKO?~2B87mK%e8h6y@6gr=W$n0ZZm2)3XYboDAgbk8^GE_|Y zxpp91L^d`ZxLa{BXr3@51!TH(F$3|UcA*J;6Q6y~N$w>OIqK z2fFfDBB5NHomH|oZYY&U9#PJ&KTukEV0TyHZCknZj&~?3$0>Jj-b^aVqtM9mQS1^! zm@+rFZ)f#H^!FpHIK2%{zK^T?(u;1eFzrR{vV6@x{YnZ5imN#c& zeG!T_1mVqCg=&=d96sH2x~z#+Q(Rr!luW_ZC69WK+A{?UnV+f6&D3fG_||G{JZt~H zR@?Aual)yLWkY|cY08!<`3+YQ+$d*)t~CLrL-<%Fiiq?Sco1q5(V#k#R3ypNvjT9a zhSY?QkJf6-P6eWRLn#nRS2#}Nf|%>#&qkfim6!wIaqb1Ut!rD&E01qn-`Lr~FFyY~ zmbzWc7Yev0ia5b>c)@Y-llSgnZ7|ryyZ7V1ICyQ7eC{5MoZNx3|I5YwU)Dwe#KGz8 z!&dyLp!RNdHhY5%WUBCFf$(XPiGQ0V{27u_$ndw7g%bF(4slOvZQB%_oQBvAh+0|* zir9^r>3N!tSsyc*m$MKeXLl7xv~tkFx@?fSs07MYgnJ9pw=$}RN=1~rH(o8vk!*=0qtEa%uEyuWV^<|#^Ix~Bpm#uI9`m0Mz z4+nz;>n&Nd0O-E|qhVI|Tsie6i0ZNQwXd-VB?t2JBfz^hpz<`g&b%~rElVwTI%=Vx zSzT3wWKxwKM?J89WnbMVJhWnEu(y9@nDLhvr$){9=){=E&OzMurC4FU{N<0IH=J`s z%l!iN7I@245HBK4wzIB~<{?@Se4XHgKwb zgb#M+8U$JG24XpT@W(%lGd(_chIMk82683IsP2?@QYm8?lNiI8#2}8N7|MNPl6{DO z4`EK_gyWI>!~J_lMkjl%(SOaGZ@}HzUx1+bcivdXL=?Ipz8R3)4GNz+@$W#uYarlk z5@#0dlAsaZPnePF5jCa%Ya_+NXz>sK@Y4d1!z$qK$bb+fg*3o+mIVh%T!{11njxhf zQH%EjFT`>A>#sl7b7kLD60@OJMw%O9IWnBG zW}vyb_a=>Bvy)o9)h$v2`@j7D@3YEg2_*c-v4m&h`tHtd@?;Y(?nMP2cZ#liT-u7OFOyo1k`>}#|HtsM&3~g3;-Exo> z2!l7Cvt=0#*kFf~tD9meS(;g(gwkHZV}l=h=*(sW2`OghJ74xJ)w5*J|EvvB8bQD4 zMNSymf@gZa>9xRdF(x%=A?9dNmDQ?5WkEG~i)up6XlfFxRGBL_Z$*L~$zXW2KWD!8tEQ#6 zrsR5`x6pUKV_;nnb=5F8RUK(K2@#=XVb=gBg>6H|b_yVqZf8I(!Mpe-QozykQ5_(b zO$`efUdJ*JP8p0HP#Dd^ejl_k<|AwNdb6{}Vr9P4Xc(5}X|kL!65OFygym6Z$o$^P zPPHXWQegLG?i#>bv=~q01&l&?jz>)Y72h}6g1+qA0Txw>dtz>wsXWO>5ms&@L-fI@ zqyXw_j_Egod#XjwLZq4ODvr(;3hlDIN*nSQ*6 zHYibE{aw?>3Mj)>Di*OP$~T5gmBXz`?7f${89?xzEq^^~%pA)n-IcAStz*X`yHPSM$`}o3DhPni^|?y)3nccLsyh@8dU|&Az~pHDZ;Mfif$nAA<>vg zbT*t+S~qE@^!}DmZA&KLKuvAH(_?H^|5&yxa0u_Ln3AbUGFrJ&@Pzc5cahlO{&h34 zKx~J&V&G*h$zhXM@)bc{at(En-5-j#5&WOg8LTpNn@`TJHkX^Tvo+r~O;p>rSb5?p z_JZ0;M_FmOV|kA{Zr?pl9{t|}wzcbNRLZ&1oTmN~i)Ix^bxKqbL{Uk903?(ccC*4a z$|Ck%UsD4oP?Gjj^`4Ik3kxBu;H6w$A-O=2Fm>y-B}-Em4d=8W*|zM8@_9iWKifaV zY-gPz^U|CW)rE@Sy2{DHF~IIhM{nyKmsj#XXO$9rT4gG=c0c;1Jsw2ms3(Wl|D`aq z%qMX}wKb8r594OmkurHvk-IHaYf5Z1c}>8vY)3jZoOYX^D+`z=Re0@F#r%$3^>nq4 z2^)$4*v4}7^ND8D6s>j%X_*Sryk!TgONR51F4~sl3(}Q%V*vfx-^KHxA=uq|%*qO~ zp3<^4-L{AOv})Un4D%nF*qik9-^a2pjwkQOgSFwPHacv|+iQiau{hzqAhz@H=qIe; zPgws2t3K%Q65DG0`8BrGOi$JLs_$V{dqlT%*E6%h^Pt?;Oacs3MBEPJv+E%3gU4+x zJILtDL&TL!CyMy4po6&rJ+j>-1W&ptS6v;WD@D&KvwVm1v;Q4)k7eVjFlMVC*vgf* ztJcn`Qy13HLWtbVlMsTCRH_-|9LqY<924d2)n^W$%y@&^6oK~Cj7!`UFr3mJ7oUa{ z70P{1^bVg920{Zdf7~L5dfjjen7A#zmDyzUDk)zPLOz=$kHBGesCRM43ckbWG#hML zM@aL749azp)?~e6P2%P~?fGW&QrNasW%G`%+;PFjvoz-=@@pn|GK+c*cA^cCl;PHc zaZWShPH-2wx3g2al3qMtdN3WaBz0P}tn_0K-MN!Kp4$N%zm{G*s6JzBIky)v9knNK zjv4qp$@0Q+``L)#B4(x<$@x_c=l_(r^O>Q9-URIpvj^T#A?d*C`3ohIw?mvb_a zb#=Mby5Wd{{!L5`rAXXvleCG25)hDDR*DaEy6BW@4$4+qn=FN@>Wo<#&sU59D+6qw zSH(zkk#rHoHK)Ie>+}KW{E7B5sP(V6q-qm!pkN9oPu z`Ss%`PSA+%3F!WW(;->Cj}DJFv`^=gkFabLuQkno`fi9a7*g9b{jbCMJzm7>Z8*4) zxQhupe8`>+EFoFd7R+vv&z2N6bG(_yY9Id28TL_%Tr9&sP+_jRBB;OOm>`eDy=aG? zBZx@XGftwQinKtHc2Q6v@KmTAhMD+BEUm*X$9!CIXZt!YunjQ}YMoT8mCJQ%K@;G8 zr)*bk-|rkdvjb5Xgrmh`XHr+WAO2-Wc5wgdK2$sU1m4f@Pv}vn#B++2VoFlq$y+W; zrcj{Lvxc+c8%{sK_FRs*@2Xc&oqec6fMe>t7s~v)MXWH?)H)m)^Y>xVc-ps6cH1Um z8q^zpV7o(H_cx`A+-!C`ozH;b5ZQ#^Ck!dF98tY0s4YTubsii(JF%a{(?%%9LoD<8 z9`5>9>)DrHy7~0GpMK_|PIquRN_}f}x=Ek*|UN5v{`CfQ$KPlfq z!?<7SM%!ifHINQlN~hF#cs7UA2KSZ3@#h$G-G^rRPN#=o2Z<3i07kE?Cbb?H;D5{` zLA=;wSu_w`$8fr?;q;o+yI^-*D)|*-Kssq5Q&HIh!&9*dwg?_{&i9yH1Dxtok8Sa} z46i;NhCK&Uo;448ShIP11*sZ*q2fhCHQ9hq3N{=7@FDelp9iF>W&E&=kyWyg79uS| zD2i@Uka`1xa)u|Wh~w0_lZ4G;6h?C0i~F{3a8GQ_Ts9WxGM#)rlQCKVoodadQL0vr zi;tXtn<$rO!{&+Ydxd&`ng>wiFr+F*)4{49b`zA9|(`ILK^q)l5 za2w_FGuVF0)EpG>U}AWojzt#$Vaae_h130_>ZAi3l@|GKj<*;C!C8&64om8)A}^tr z&YwU3@fv?p#Uz9{lQ~Hh+vWc(D4=DUqC40|NFbJ?8bRO~A|~uoK0>2PHmitwI+A36 z*48vCw}_;c&4f>rcVk=b>S9uN1^JSo_AOoR!`0~VY{gqKPmVk4+8=IfyujVzp4#f} zR!@a}Z_Vs$;u=@YojFrYWwX`oWU{)xyxi|sZ(q7~>FU*Lsj<{pTRT@hcb}}nK}8tn z!}hU^`UjxmM}>yxJmZ?uisJM>gsv^hihoglu0GJirgXd*_ye`(pWW>~9+fgn^NY^pvjB#tGM z`BdstTFRj)4S`HgwIyAa!bNEZJ}wVC+ydD$EHb z7c#2G&PlHe4Twf(*remX18GyXiTe^;mi7_^T|&Z?6)fZAn~uKQA(q;{Ol?E?8u8=# zh#$mQAROD*tz@Uw%4E=NrP)N-aRB>raZg+h<&HL^Mb{X2}{ zlX_2q<7S)6&z~UpTfF&UG=ma^H$Mbd`{@87al3n*&Mg^b!p zsKH0fjrhO7eti#odz0IUd86l@I>#mE$7!_j{s$IR_mv?X^y0qWqj8FNRJZl_R^Iv} zt8`2hb;s6Hx}qqAte&-njU`tvrG&76ebMyAM1l`RMQ!1J4CK^&K}PUB|I9Gz$?}5i zC!&<;3P{Gt^Ngg9j0~-miV>>buyu(had_6W71w)?Bn)N72m{q(O!ovVz~9G=aox$L z){`u|T|2(L-DueB%g2_tw(Pa}r6t>Iwd{kKK^x!57T_RYubhnmywozRXGXnB(p0vwC}*r zM8aB%>u}W16g?Eq#T~n*T((^slpjk-oVTcK8h^y{ahV;L2-J%Q+u4pOzzOy!Dqyux zBSvTat+19>RU(}@L3mLlYaB-`&ufv^eF~n_yPrm5D5D%I8@`;JM}K-cZHR5BJWqT` zS8m?C`LOM|!Q-B;zLR?YBAY|$Y*`i0Yhb&=`U;WywZZm@ibI1k-?U^93+r)43~ycg zbRvp*Q?CdPsr@cd(kwBwLXkJ*R8|scCThTE5Ci%L|4q$LvWz z;C{mWWz10Feaq7lm5QiMTJ)!uzD>(;ZRA(0V}{q#^b88T@C_!qkzP(qY@+C62~|~F zs;5(PzAVgw)m7EJD93!Kj)lC!JFcZn#f?6drdT~;*a_W!Cy`tq+kCsdVxi2rd6!~{ zGq9a9QSvg&NU>@*tJCGV1#X*77_2W=dOgSIxVFm#&vDmAXQuMHsT zo3{eBa)yACdMB#utCncat`!6|u7dn1&SU6s;~?8I=hSGKI!>-V?Lmi?kiBq9+3R5` z9+6O;uRWck3R{>K)-~az72MJX4NvwKz!eP^QF~5f>ldEj`59`;l7X$@^J*e#1t=8@}7xFvQz~GCy}0 z4oF%R1rnh4c|NJLVSNF?`+l3h61rm8B?{n4p+0MD!27-_@(c7Sq0ur#KW~_ZQm0-f zu!5Nu5)mc~&Dl8<*|V$%FG>w3c^PjUW3%zWBf=BdS-dD`xy636o6Kfgi>>LSKFr5c zQ>Kqz9#_!z?N6N4E(dERDluVC`BhyKz#K%ssPh6^wZq$j*q>$8AkK!pQR7=H_&hw$ ziz5FAm?(&7)Ssb5mauO6OG;s;V27&ihZ!7{3VBT=%__u{=X@^a9r$6qAt5{JA?5H(~rBW(Cf1Rc;Bq!CB_bAKckkZowm< ze7Ov6-p?o)&!X9>B;OvO9P%zq6+(|vp>SW1%4C5H{J~)|jhc5(mEfL)T`Ra~cCwa~ zu4yHEwkTv9q)Bk=LE@e?wb{>5?_oX^(xJ`w2`)Hl5Ttm&aJiWf1yRP0uXsKYUC9a( z;KU7D_p^0^%tivuK_m-DvmG+ug2*Z|*nT6%rD3?1jwp7rOha+Zi1Sz6LoD;WvUIX` ztk!CwVzr7gg#t>X({M6)SMmK#w0XqIOgD2KaYEB06bG|;@iw6T!F{6R#M9*WsZ**P zYLdK5y|Y#?w8W^cmK}?(8P1JO!+Gqq;oN<}3hog?jmy506v|04PG!uk=!TvkD57nT(h9-fpm1Ce-FOgJib%&bH%Vl}@eL=0&sLyeLzfgA8I}(~8*sKKcz_75hTxH_ z0pdo;>>nT=DsVg8DTd67Na_dK{(}#8Oo#wFxx+b%NnLu9FBlc`$!!OINER!|H}G|ga$ z_77Ij;1vq{PY{AO?FdStu>FH0=*;S4x@U&;BDSY7+dEtN^5uKq%lF>*d@A5Pk~7WJ zxcolYbhEaN1zv2JAVQj+H#x#QvNdt?#QHrFFkGan4)F;*yaYsg;i+GY`29)VM$-gPm`LDzKDs0mGpHz>Sa2a?d{0+ zyxC~>SJmvEuXbu&u-vgc21C^ci~nntt;@2?KH})g`aex!&8Qo$h^tdb-~kPJ`3`7@ z1s_pU?0?H-R-WRfS*5WNM`po##`9$m4nO+QO=38CLd`1$i`%+I>kNh!TPq4LR{w_p z7Y>Wbbo8Gk_QO&pe#Bt6EEV9u&vgL;c(Rg@tR{wTmaI zbWUgb4JL+NK+bcVGtKN{sr}x5I}-Yyx@5VqsUt~44d><%CF$!b-3W=Ff`#Zz2NC8Y@i`sSbPZJ9v`YyPqMNb6(fvHRpNzL#c6rJP5y#LVahOk zCwiAJ$;csl2;wNji!iCbAF!FqX;J%9!z)fv#JF{&>-GJ<2k2F_T=Oe;)Aj-C)e)!h#_55%`J#u6j6cAfQBFyrVL~}CSnC(Ixb0Squxnw zq6^BdwBbAT{!D*!GhCdX57S=Wa~v4L^Ljd2kuj=)8e8fSz>5>M>tAK!B}wy%IKqAf zmQt#SEH*g0BMIOQ_z(|2IcSt$LHVR-sr` zy$7iQL|Bf~PiAIdK(XvdJaWDPMQkN&%)V{~Zu|y8Z63+NgtoQF{Ib}4Nr3(&eF6+p zkNi?0qC$A49aC`%as!nAj=*$36@IIsbd;;ISCpmGEs_^#tfgpLYroekx5{Ua@|m8Y z0gCM=mDbT{dDYQ?7xT5}iro+mqOcD65Yq9S>!kZuB$_a=hW;jx||occBT zUN>*0EknRDlOI6XmUNTY>#&W**$r|c$I)t9S{&~k9)3SJ_?)*59S1|95Xbyq3F{^f zf~J0r_-_1Joa{K~k6@E~8Ou+nGlqsLC5Zh_K+T#^mcC?f7N7B+2PQKN@op#Qc=G2m zfqEh}lWnu4YLuRn= zi7>F(xZLl*1r2Oy6wyx193d}*hSc&CF0WX~>wgH%$_muGsa#hcR_;rA3AlS>_u91^ z9!=?%P{A9|+`Ct~G07J(RU|Ws_fM0h<0`+w+^8K88^c`G^p$8mdq8N+Zg?cJyGwq{g{gFA7QUqe^CJ*fgfT|K0KVa3 zN?!%8X9%(R!ZW!^Km3oAX_S3|yn4H-?e$J`v9{Rhn69FjdB#jVm+P6m3+}1M5~%bp zPRvVf8T1D9&$f$5*fmBhH$&BVQ7l8{ukiO_T}~l2qBmYS$a(53V$q)wi_SXHUJ6#` zVL`xQs#v71nsP=uOh3MsoU!y}e#SwnnVR+n{XbnDuB3)gX>Ky`%ZpqMxrufi7=6lIHUT?kjFRHie+{sLa?)8FPu$!OC;bc>;m2GCV*A9eoNrNCTN7qNiur5|qB4;qdU7hK#s`Pz zM@@lZmdm0%23;E<0dwFt3Wb?$wp=K@SN2Izc(b}nH9$T6Ixct61&gM-gcTS1N$GzC{#{@zApF_1;!3m?h&=*R*k9Y*g ziD;121aucssGIH7X14vyx<)B5Ww8vlLlCFU@b_Uv%S>KSAL?{N=m88oKDG1gFvqObPD7srxOeZJSA-h1B6%rV|ua=t67p( z%TcftA;Tl*u*qY*L}L)L7V#R7w}+h8s&k$gk= z2RSJPC#+I?64iC}wz8QCf=!Z6ZwZ4aIGu#z>-_l_2Ts<`RfUH z$R9aS`QcFV<@Tu9D;iwBRi{nqXl_MgEIo5D=GqtxwHy_aohcAA#)l{zQPxRoG0=&> zGo3K7)ocS6(<;Fzr-tSdbvqOhX$_V=CL&#-=k_Q1Et^3o1f*H>?;`GFTsU4K^@J(i z7w^-tB~&)>AaS)G;55lQ1L}RKe~^dSgH?d`EX&-W-fz+{q^a*=n`^{omu6;en~Xw8 zY`aBV_@Gm9{(hpP|gqE#kQAVrc*xIu7wmo%H~w7B__`nC@k%z|##m z9-Yn4%adg%y~_V7nIISoWZU0Ouea)|=hcy-)Z6)dJzQR{H-ey4FI@md#+5G9oz(+9 z7+{djYHvu_JEbIp&q+;rzIPzDwVM=|^%}1W|6qJhO$mGBilg)~Bu*NC{j=hW<*TKu z)#{dCm(Bk=32cMfUn`gYRO9#Nwo@>(x!s8+H(J>=Nm=qyW@OSwuF^^}m~SUH0doRx zt?y=hMrRynrI<~nRuoNJX>Dz-behfGmE8*wS8_2s!T44H=aV)4)7d=9(oa`BWCAkG zJ1+kf82juYO;?#pj}-;O`;*gSC>6G63~W@>p|wcRjK?{erLOzl&p{#8MLsonpK9g&;K^j*%{f1tnBR; z)_RL-D%E3!f}-?hu#lDA+nk&0t!`{&dS~e+rl8m9-Sl(^a&WFixj)qAWar1Nz1`kZ zPp`@G(%gHzmGH+TR8y@cqt;t0e^U)|(Bn{bK84wr-xMdPS0D(bZNDkIZ1P;UZ6mep z$t=wSG{gqoexLv|tIN4u17oIEDiRCOVRy2`P`8u^mFMJK^E(?m(OOiiJ*w(^dKf-> z4POCj5s0#cr)RKu~ zA$8<_!=BX~w%kgb%bQqzM0cB7^_lo0Jvn~#;Q#HtTyCpqg0%^`jO9k-({N0 z|8c?*^%)q%&xhjIvI`?j443FAz*JxI5E1waEv8j$0GFEr^&{+p%)V*&0yEGx9#Jz? zg=1REWd3VzdHqS@z;xd>PB_&L|8*DIai&S{W=ey zJaFTr4QtCLf5OABxG}_EfdgjDUnE_+ma?K;mY6qf5_NH7Z*RSKc(~{n<6=I)XxH3Y zwYoUhZnX*7S={-xvtcH1-9)fF*%Cb{GaD38OuPjN$ zs*gs$8_7U$Fn?umQsoBJKbPE{d`$Iz%hOby2=w8z`3qb_naR|B&(V+W(#wwAK^lRSKb*zo1Ru}oiB`v-*Ewls*2 zZ^yn_EABjFl&)0Mu)Zb2i(J6<91p`lSCU$xcaqz|lyXC^V*6m`pit2I?alV$Vp%I+ zw2Ek08b3DogLGOPb;O|_3>F$KW_l)~RIpPK$b9x%y;iV2>U+glc21kt?BYCFn5hllZ6Y_Ia!`dba7;#a-vT%XIaRkEjKQe{!1jZ##1e9Sw1vTkRlq38U7}~ z3x~0hxq8)%Rw5f_MJVpLwj_4*JkPNVPsnK@KvY&txx-uWmh!mdr3esPy|sL6c9z|| zyuQwak%-F;7-zE!Y&_s}h#My>T)TrV#Iu)J6Mc13Hlx>gEUJMOJacE9WWi1)l_<-R zn#9$iSrfl!#BYxUD=JrI_jyfii`TZad+i5QrfIrq>Ds@+9*dxTds)(2g!z!aW(NSp zE-|B&ON^9wXT&UUh~csKJ`i#4TzCj ztTM=|z4?H1Lr0M(?F1Hf;(saMSJIM;mWa4rQT)(lO!Kwz`5K?D^K>r5MZTfB>ajQm zFUc&zmu>&i8&34Z+AKqJr3HwuOWFGqx3)VLI&v zj%`Eld_(y-hW%BKP#xWD5AOIS_xmTrQzaQ>`BYzF!4ubb_;No)jEj)^wY&w1u6u4d@Ho$(w1@yu%3D|k_))ojIfT@ipZn;9*0 z_L&D?CTj!ER1-}sWpK;};PmRoT7(h$Z5}?}V!`nq51$hYd04DrL3K8EtjLF6>BW_m z=b1(+4?E(V@v!dNW|0*jUJP-Er#bMuNk-|Nr1w#HYdM!`H&wF~JyP3+=3=2ziTrfl z5%KzIsmu7eM?EehhS_mA9`>fq=7jMW8`b;$hhU08L)D=kOZmqjz{Q^J?^K=Wny{RG z;}$s3o8pgtcXxLOa))cS^U|(ljbPfW?e99#e%i<%UQfaN{(uw2N_fQoN+C+9IDe+< zx-^c1haOU`3`64nc>9~~=Xv<=R3Vh2dMh-c1tI;G#lzbNbgMGGgV+Wkh}G zm6xp+EGw;i5R}WETC26tS(se$xjIo}m`ERn$lXy__7HxZ%4KzR<@9e{FCj=YJ?KR) zM5+Na|JvUV9X&R=6I9*!YhvyE51i<`su#h)x*O>_27takFG(DXbZXuz6hk9Ox8CUW zvkca1^8${3$8w_T-bN109PaE=*<_{(Z#!b3{F@{P)JZx+?nwW6Qtd7+EbOmmGW)Jh zLP*xu_OEVlA0IdN8&fy3Q;DEKG;vW9s5&I)yJ(V!R7zRrGw1=UPtoxWbmlkI3`L8= z-isg$`?lXz+1g3$lzI+a$}O*{y*b~pFnR&=r+q(QyK5hJPgIk@*hG6Q_>PJLE>-7t zkP(>FBf9Gfi|^Qe9vfKC=2;*+q;ZrrH7oDu0Kz=O{BS3q-?R*71B3=byj#@MCu#d-uEVm5W7w?*hK`v$>LU0a23QF94a%H|po)*B?#k zl9KL^1KUZ9JnG3m^D@O!GHMFFhbWuh?Q@tvT5@>_q+JJT7PE{ZvPq?i(F$hPOu=Ly zP+2`ooYh6@9t-5MgwbvZqqh9LgwUlTO8TZ}FcU}JeXw4yKee2)889>htE%Jp9(2=j z4y3$j0o(eH90aCsV|ymHOq?TbhI)=~#2!FGrG>_(0ZIWBa$?GD35Z)r8iSPaoZlY^ z`&~=8W!1PsgNmjV%St|z;YEJx)kf!e%-lHiz2}?8hZ1~dhYP2>qt491az$+j8+;@= zpZ=JK>m?`px5vk~Z~2~42(Qeo$1q$KDCbx*haV46jxGG|FO(lCg`^)%oFm^*Xq2Ol zQG03NZpc+c04=; zuDWgBP%lY_g%W=$xp8=fz2DSV+)Qh}Xygd3Q7DHIOk{L1`-2WaXWw7f6^ToR=0_SFgsUcnTJc*7j?cmegh# zr=8EzKqQ(!d5PCaKKh6X(pc@QDO}~@RRJy5kXR#Tl0OxH;kJSl;1ZAGZ5u^>^f1mUqC^wkv5=R+wVb~&y&Gy>Ypk9MftJ7 z3(K-5Ddm*QsKGf}n4Q&-c1D3u>xPpYn$*rw{%tV(cx3wH@o{@QsSCcT@-6E+5=NJL zT+N0avh-tN^%3M7Vu$cu)A{aKePPg}e;!lbWV$tPF{3!|LMBIqS=AzDu#hK<0`<@Y z`AU@Y6QP$4<)*9~+?c^d(AnPy1>Mc+7=xpB8#IrPr|)GZ?eb`sP6=CwgnYx!>_PGB zz}d;m!SQ*VTybZ5!LJF^c)+}y*}kW*P`3{11`{}0Ebup7D~t+p_1io02A$RPida3+ zZBI=_E>f%FbGmBRiZ*%XZbZz-L}XxVfXwaO^I?v=27LhHyB_rX!0_rGq2^4WlX(#& z7F&T%1?Xz$5rLT!T7*i{9r<(Re+&8alt<*Q?)0x*;Wt)S`R$VvgBus@s$q`c+-M$2 zL7(QwrM$* zrZSrlLx&!LIshuravH_z^JWQC6F89?sF7=cvT2)!%JL12R`wq#THQ-)*~7~< zY`MA*%$fCtvL)hV-dI?zL-4#-)h>W@1dhexV+;omS%)4JyB$kNC!{gEB* zdX|o%+7%Mi0bP{w*j33M$rg>aDRd4B<;%WkZX2!%xGQIlYf!R$8^G?$$+0&L;Y8RL zK(1^dh5Agi0sIBy!|9&t&*>a+TTRAQ09{`Y{|L;cthzX!1XYPa>OwV}E3rnc&$kFM z7kZv}D^4=2wUMQjQaJgjS#S-q1vFXIK``qYSeBf54}CC z!?>)xNAe>b@ve^7aHYZ@c-Oo5PFhPN#DC-Dgh%S$9>4O!3tar&yZr7&1E|g;v#Dx~ z?lkvvJegh?`6^?SZ#tJynBLW7C;MlNqxs2$n>D-sINM9Mq#2?ijeW*P1URAi@#0AA zc92!B*O)+7)httAOr~$Mie44|U1u?fRO(PRMRw)uOXsUQ@8^p z8psH%`!iuxWR>Ew#65Nu&FWQUHWSCQrF?#Np~Rdfb9%OgF@Q5DVLKp8$2yuUK74j zz>=3K%~R(apll$SdG67b`9?>-W3eUKFIB#NFC+|WkUj@-DVb4WG4m1+^_&~}fdj>c z>$b)Bp&uDx0m+jzm`U`5q~<=Sl$0%5nbWPa6kaOKug=V_ZfvA_`S#LMN=XfAa3^`4 zMhI`bdsA-)+4BMa@o6?^iVrV{f8t}`&j3~mT%1mqK)&I6RuHy5U@bI1UsKf@=!039 zqG;Zw=q1~4Shhc_{(!+C^dN05IWUSH7|!)P)${WP)QhbTA0GaP(%pw=;lc?(hms!h z(Donm6>E4O@^-S;8D%HAEB<@RQ;CItYkrM&W|!~W$s(aZ zBJ8aAezs69XVdxotJzmCG$Yf^n3I*b!|H+2m5G4+)9t1M0)~mf=VJqtOsAUe%^XxG z3~FP3h2U3LKd!PRuIY8^y()PCRg#j|%>rgUedETBBgP8yf3F(S%;Z&HjZ_zN&H+xZ z=S^rpNJ;PL5npUY1e{Xfp=>q{GBwW;$fXmjrJe88gc&i3E-U5`*NtG7BTQi!W_|xl zK(*#{-Ot)4CPwJ#){?*_#+!|6p!0fXf7x+O= zK-2DkmGzxuLK#vbWnRP``CYodsw<_Pg`*=8hPhli-5hj3H94u(R-3CA+)H27 z^F4hzea2W#>e8tYKb6z545gg8S8V@smAID{2mHv#o_OhIY*GxcAEZE#$3AkoDinv$ z{?H>p7tTCfvHeTLy(tr?A{w~_4>^cq4+irKE{I+;GQpL!3;E74o|X*4a=ycgjP>Vp z-R|Xl+qoZ^uwI&~=B(+QkY8*du%X^ORRe%ZUeRSSTdF}dpaz^hvxwyOfS z*CZ+Xdu@NeM7^CF3*MAmV?X8kq7A*)-4B~#c;qqYt)(5!Eqgvi&;O~6v2IcC3Ax1o z$Jm#~R(hT1ednBSIp;gyzTWTd`@-#VyS&_aIovtqa5%$Rq?xg#NU|c=8p&fTvZC1W zoX&S(7e>83F*uRP*EsCP?5*Te7xBbx{?m6GxIh0)r z!{H1$q`vpQ`}@4l+sC#1Rqr|Gx|?e4&CRtnyfnYPjb|n&v4F>@#aDU^7ro~gdkUtn z@(`O;8w0jHH48uR)Iu7%X$5#G=uW7dI`z}Kl_@GBkf~WNHDP8h`eTA>A16bJUQB z+W7(4;TYQXG^~2imgd_!0ZisaPw{-kagxwY-USJgqxRao=6sfmA*)nD$qDbeZ=>|GuEQN2BqA4ijYQH`l_a(6+#l8<{(TAHeU`JjRMs`; z*ufgvH#G?nr0Z@ht?$J%dld6cwOHm+=~y`xB$G3ff-qB^m{^-xyBuVC9jzWOe}DeX zvXAOWsoEL=^wjz`p$tuV6ShBnr~_k~1F=liixM-2(xLtY$a<_0vv#rh=J{ho65V@YGcJTFiAO)VFOLi8wk+C^=B>7V*ZVMC2Ogwj;hs^Ax`+nnpuz> z?NdrJ*l`0C=JGbr*W=CfKV=cP%&dE}%WleXPsw5?#IEFqVaqWnZQVXOX`S7=)!Mmn zqtd#ntQy5mkD|>lS$gl)?@nt565zE_EU(_q?iII(*{`o<@iE84aAuBAP`hMm&a@A* zrBD@BBpU0$4xv?YM3-nLc2uI~Ef{8|SztXP9RGLB-heDB^YKXvYNs@(?O;`F8>YeZ zz`h>&2ev616Is<%4CkHy%5Slx3G&MK!$nUahCJ^oR6a?kiyRIn$3CSyT(9A2$ettbY`V20Zhn-Qb)Q0b0L;-ncBW&xd{`wMrIy1(>lPcf-?V|4h_?ScCNvK z;JZq~y#T~n4btcWNxY)<;C&v7PUmOy!&XP>>;{wEF64v#)6kq z%ZLGS7K&O&A&@-}CgG8vs)A`#1c(*y5~gjGGbAF(Cn&8VDvH6wbU>Al+bg@CF3sGH z6hJ03rsP0ivMSR0WF?CwD5?4z(061=foU$n3_o%&yG|dw$|q%1NU<2U$uqU0pH`B> zg6;bYmc}C4!ptQ@Ss2MgFQ=k07E>wpKGl2NOSEnAzxi^K6)1c&!(9EG;eGO4ci+H< zqH4ZGB-z#>Ie1X;~~-9X#O&Y zOXE+_%iqQy^2$pBwGj^axa$}4s{>ozCv%w9GTobmq6(ob6ZBE`ms1>@r@MEY$-osC z3`O68t7?jct__$YcoWY4)@K|~$@{s=`hiaRMq#F>+)!ZKUm?XZH_Z`7vF}xvc#9L4gc;Dpy+U?qnwZq!(+E!F^=GHT{ z+PbjbPjP#fK1MV@RC*VTyDrQhQHyvjzyJK*Oi%9bJ8J)=Wh1QD3>_sNnJqq4Jt_Aq=i}<%iKrETiHDuX{ zbC-zUF=RDo15u=@*{uW$xAGA4^Eo0limDCV1hSIoVa2B;@1zXN1vcD8x}{@kh9F~$ z5)>8Egev!kW{k}EQJnuZSnjvQ^y?AtyzD>N#_JE-c;-P1pFgaLWf9|t!oxwibLqcc ztuUfAvDOT!{Qn04Bq3d>cH8)YBw~+H$7U-eT()iwpioNyppK6lsn0_VC(F*2V zBI_uIDXWH&)PYKyFo?)ta@MpU>rxzPp~*Dc=+3XdA74e`(mUX&8MrrXH1^COaNWJM z8nKsVuP)^zLOOe$r#;nZ5wCV%A=;w^9D@l>ZNY$Dfee$H{{7S*#AaBX zTo19jNhH4OOcd*S05dRQ#QR8_&<#ZeiK6m%SY~A^M4PZIF^ ze}@lOS9=xhkK@^RhWWH@;U3Qj(B8&Uu^KoQzYJ_yclYi-ML*TuM;O6o$Bp7}L_xxy zN%9mpE_$n78iQ^a?R`x@!uDGvu{PbD6`4v%d%F8!X9-PNDQ%V6ktj0fBAu6Y`2sE$ z!~_$L7^pU`;k0jl6G$eKvFL&Tdz4dmN;)vXH+)Z361sbD1+L$MQz;*bOpi$Px$Mb} zGRi!&M3pc@L*D|$Lv*>|!`-_f`GklNG|H)qpKVrTs zU`Z{%K{Lhbk84cdjylEli?*?FF=I3?%Es}XykXo)=W=%vsni|O^TO~BtC{<8_31)x zRQCqUlOs-SKvv!*i0|p&aA&wSk~W9^zz__B?;F0NiTi{pko78O@lD~-w-*o082ml#R_1qajG1sN{OA|yF^rFsw*3w$8<;v&XUB9QmH~RnNO!D z5X(;p))AtnjWsjKcq}17EXovYX2%u;k)?ac0z&Ub&5z?uEg$niUl*R|*I++%0e7NZ zzjpNS;m+RS;m+yq?oPbVzOx!HvhQ?C8>L#!*>V0qnc#aF-B>c(^IBv6?5BCaE&zCh zExwC1kFWjpP9`^*%K8{cF%JWCY9_b)p^bN62C}cvUjuHLz&qd zH*P#MPB_>n07=sUHw^IiJdY|VOjQ|E`W4GjlK$s2b~o)!PRowwB&Z$nmQ{pE0ukH& zjOQi8kl#O-Z}_sx=_;u=tz-`Qj3~aB^c|rrEX4hXJ>e#cwXbto-+%2^^YpcY=C#+> zn=d|IX*M6XmX{w_7Z)GLZ19f@NXa4Je=Iy6j*&i4%474vE-FYKPEOjTK`*b{=evA| zcW{ZK?@!xfVY)wf>ne8G-NxybjBofdp5lk?RcFQY|2Y%uI~uxX$|3=Px;j47myD0b zli9}x&>ug3`~{XLnyg&tz=%0x=6$cBbi5X-{0iRy*Veg<78S|c_rAk?F{il;_-&g| zBUnTj5NtuLTX!&~@+6gsBsmQ!K3Fjg(l&}icp1gh63nU+3wHi83!t<6QOTvm|7kTtVCHA%#vJU~Ph_LNTq7o!rh=~PU+jd`W zSSn6PRA=Ra`V}jbv(cxr7EfShHN{_(fX`f(w?9frMzl6V3V;Kk^a`(>{u(;iYNN~!9 zkT>9m)7~zQh5fWH>Tj3@#@}@;TWkPM{G+f^0vLcPJ4=^kMS*vLi!#ua6cy@8Xe&w} zTdL>EIv?4nWY(eXEO4ot&?ADSS1lYVd6bZtfnDP#vsr29V)hL=#b(`+F;amov$(;2 z7BLH&YW=NDg32kR7&6BAUB{EM?%R>eA~g+uKQl9zf%)wgA<$W~sb~?sq)_Q|nxrUr zcNvnolK~(9bivUOf?$3&3DZ-MU&gNM1Y!AM)l`y2L<+hNG6^hWhc7s>AFf&gjQ3%G z93$?IdA<}#9bq{$HMQJYPp@}6Gs`ni^=&UPCNM#Iqj%A21Dr`UMhx|6;NxCmHOA@n zpz6Kh!9Vm3=7?BqwcET;#1fWjl&gR`bCYLahL{AY2FvwK5jIf`CJ}H>5lkwuZFtYf zCbqCoY{&l-P1jkF_y6T2$azFGa(PQkW-14>YP`9~VF}QkyInw)J3(NPg0EuBGrVgl zX0n#lNJd>W!e7L^3P#LedL*3jns~{rl+I2pNph)ax(h3_D;paV?!+ar#M6=a@f+1c z*E2>N=6k`oyv8VMhJp#(F_cRA1Tg1$nKna=gZYz0mjuk%1c;xDYee~3IGb|NBc@-U z0ufm-ybGjbQw~+fGSlSG{J#d?P@dFnR(dJn6kh>{b92uSRTK%P#@8)MEsUH(HV{+A z!Wkldg5sp%9i+8dWXV%e;7q1~D`togV^zK<{8{YfDf1>#M+HG3>Vl9EN72Q-J)Zv1 zV;COeCiLms7l9$O(o$cr-oiFZ;@(CZ&@O`CZMPRy%Y=rm7gTDBHNgrJ%oT9w+dzuxrZYb?sPJ`MKn! zf6p?XI@m=)4gzagca7t1&1w8LXj02*PshvyO;AHFD_$%o>*yZNMt7cRA#b}KrUGJU zE^j+H+Wh<}t9FPkPdc*Mo`ji=5Bo&Y`ulJ^W~O<6nZ;%|43pMywX4fvR2x|!9i1sI z)n3SWpmh$3=4pn4HnmiCO=?%QDp# z-xqF5g={%puGb5L^K%P>+a&Q1>(_?-aHRY+C(_sn$*=PAw^S2(*VdQ-4@$^%i&=ts zKIu}sS%(uaLMh*gO8%ETR`9Nyp-l}h^}1s`?_gUt79gGoGGia~_dW#IrVg+7$hp3BoDN0X@J(?%$f_^5PsZH+cu)U?n@*sb->~bK)_^D5 zlPqss>!fzlEmHOzuU2bCxiD8MwdR*+m)F`9a*d zMDbn3eLkVl5{V`#l?Z80%}>lPEj5K~vAEIPxEdEVh?U_8Nnf|k(Oa$=7ByG8-VurX zLD>N29rKv*O$@Bq+GzmnTdMKV^54*lJt6Q*>qS_G9>^uNwEPFVyW*xH(PxY>3T;tG ziQlk&rWbH`_Yu@a%tja82bQd$N0bNjMk8FQT_obw54+fV*zmsr^A=ixDG#u8keK%qI0k=P`mKK}*JEc9 z;=wDP&5$Da+MK0WCle^Ue67kJanYpwFs2qPE-f9i1dBf_KV==8z#WG$6OcuGXiNNA zyZmwAVj1Eri<@^j7j|tcH)jaK*7~*8Yy10K6u*pX^90fGZEN|Tnc|73JEbJgA>B(aw!*t*$`OsBQBMh zg{|m>>4tV-N!^bA58_)(9Ob&rb7&eh@R*50=;Z z%s{aaO2Obv;nhCk7i)Us5vc2ptYv}*V86ryqBel$16DzKAo@L5Pl~#h3ka@pI!4v1 zWMB@sAoWi;#m7n*&CbsL0;0Ke68nG%ox3aLsROr%^Q(?E9veG~)I=wdNJ5x4eE-{- z6ieX{aa@f9h)TL!uz%4;iDz8yeGt@-Sx$`XSww0MRch3Zvy~sl=rw+Y_d1WpN==e> ziFm(IU&(@&@}F9*azBPj0sYIq;?IYywXqG7nj5 zV-inZ)ZHnfq2=Y2DSGGJ8H*xPui27|=qi($OO-Oel`F@nfW#IyUsO?ee~@b7#7oqZ(e(3_$Y_|)g9Z~xOBZ?oEvD|CwU<`MNI7ZRX)jqeEKNcr`}7z+~W$k zqu3Aj_V)fd&-XNElBGI+CNK;W=~{vEJ(eAf;<6H{F2FCLJS`3@w`^c#=t4R;~OOB3EJ6iYQZ)sy0mAP&Hj9nsX%P+3Ub>sEGV?njR{m zky8n$I4qj&DMgO*swMi5?D#U7dg5S9v{`nMPijhy1=rqPAK-3R=3*+p}^U1Ryb?>Dstfmvnq{NCBU2M?Oc>}BoNnVyxG?SK^F~LwMWDRbgaQL4OaR`Xr$hrkYU|GmWA4sZ(M8Z^9AH1F&Lq zX6U@CBQGN(YG?(2DT%=CEX;e%qv|Q7(|knm1YilPYL$MekX$TbtU&Bocud zw$~ytv5d%}Mq$`;YL<-~&9!tc#lG(?m!){ztL~bz3-o1(OTI3%WTv+R^OsBuoAK=N zK94k0q%|{hUJ=E`#q(rd$(PILH!e;t?%zM3OC-+C+1c}v^S@6EwHJ!xZd;F%X@u@I zCVad!p-@JsQ~QBg{wWgu6zBJ9Dl;eunRC1qbK_n>&H%&1OfvrSu641y`(6Q-SY@0F zXd0MuHPo14v$&+2wPY~o;`+f1${fAm6Rb;FL)P4c>!`9%Z7$k+fPMR0rSUVrnoH{X8yi6DygC-o0@2O~4`QQq5;DaYlg zH8_$oj9c;h;VHzhceQSGP3&j6jF&z_?N@YS1`=o4Kw8x})a(gUt1fxUI@l1Yd|m>jR|30-5 zFjXiLqA}aioV=!(R78@jYS{3Qh-`;Qwhb|-lOZhYfF28gbVroz(EMFhMSO&AzOEtX zjzuUp5qxOLwnUe?iNwxdh+na8-`0xR>X(F$@B-hk*eEaG&zjM5t{FThX&NE-Ijw5U z`t#g#_vgyxz59DtyocYBaJjI7Yjd9v1%1_ z*EMH{FM933m8^l%d5aa|CaXM6KP2o$07y|CO|_zZzH=-p>WO!c37M4*kU2Qn069R$ zzd_uWmtB_osn&wgyQJ_x+_c@B>^xA4Ex_fpO-MVup+CM*!CbxJ25d~>4 zozF)b?dm2kA>^n}S~1}58u;`}>2TLRewL&DfJ!3%f(#rb&mLP3+DgDAbU`NK5{hD9efnrue*w$XS}4k!!Vo8l9T_Fxa((>|4(l3bj&6=v|NfU-3Sf6Jv88 z3HLfPxpHDUbX&kazIpTTVnx8qwYl^kr@Ajs zow?8J?lE6AbzIALmKwI5gH{sy7(pkT)M>r*k1ducP)Va%SHrcQ)LGr(f%I=@vkUGT zD=4VEYATf2y34**Lqwvif@G=^B5SUoixel+8|c+^`kz5S4>4Alwl48kfhrYh&sr$F z!$PXs&xAR1U_m&JxvTFAZwaq;R*;8pcz~bOh3Q(V_Ucb>?jw zK!*;IGt^#BtJYFVwOUN#Zy3O!$V!3=e|z7uBbj-Zgc@gx+`F*a+e;p6l1p?ckwh$g zQ4oh&xQ()mbe7iR_cY{MCd3rU616?m3RNqhHkSM~TZP_2HU_O_g5WWtC#K5s)dlWv zRLtyX+7`oSyRV?q&|1kZ$Lg?ISo|0j|Px;t?*d3tmtNy)wKlkLO9 zlgv6*i}{@7$KHDVt#{seA^E~n-HY*U#1X9E7#d-ORJo6<;fcTYDCo2?6@ zJS0zr=jsQ#wf_K&n@so?2(wy+;GLiVYV(#(>O|65bTrg7bL(2!v!Rvxe~f);a3slf zUS(z-Sy$Fw)zw|q_la(x(cQSu#yK~3FyI_JyTjq`?Cm|cyIk!^YjHOe6h%v+JQ`-E$W5q^!>IiO3W$CGt4Q2Oj-^U&CZ0f+@!WlQ;bS~!xo4x zq#sj6L~_*cwjtyN4O?Od$BNF|nr0gwKnP2rlh-9bt!D&D)*&OzRPyxk>ne5W3RcZS zid}CRm^x+=;eA^*u)U0^;Z&ps#s4_L|E!yuB-2}2o@9x8l6#k0xyJJT)t#%?uRmO9 zHXnAQ=wWew{-M+9Jbde&H{W^p-G?)}{*a%Ze(>%c)!M`j zlE#x|&c(VkN*iWD2N@Pta60eaeTrJaIU0uoGT)&8&Bz)Cdb9-qo6<`Eo1dG%9`*9u zz-WaqsA^^EW^T~i($nnAR@t+WlhGh}K7<)JWc5TtRn;_&Agc5^EW7+gJtl0hhQWIG zF500j$(rC=6k{WjWxO}hGk7e$kWO#J^zpZ%8NVnawPGV#B?`+ecmb=#DOrEf{5cib z_bibq*CX*dJ<~{`_%|D?$<0gD`v(5LM(snHZt6sXd8QfQBb^t)pf^4f>>W=aDl z#{gpt?Z7W9p{cAeaCJ?03W{GUno_|pPQh9(!xI}t52$S{vgUJ;lU3nMYvt_r4z-XW z4QW~x$OGMp3KnuJvnz!VE?ir8Jyp7^y;xT9pWsHvB8D)$3dTGPxg58~`pf4mL8weO z(&>utx=WR%GlZS7y_#$#A1byC0)#*vWp_y z!-Br4S}_U>k->*yX#w=|{y%J4viwAzuM$fz+rE!iAy)JpzZsQRRXYWnS5#5Ysn&L# z^`t3Au4p2!Tu$o0gU%I^D<#ve+kJ1bxn3r_b92PE1%d2ruCEtJ;bc8yl0}z9Jj3>a zlNVJSUevL0K;Un{#o@G+Nf8@~Ao|?DjcrqI@g_Bh2>D7NOX9p{Q@NIkMh{{OqvPu4Wf98_u@f17t~ek!HVh(NVM>JYLf%Gjkg zoXJ@{wHslHnmq!5;nii#l+#1inl~}IH1}(Zwc^^ICaOi%+Arq?x)rQ+&OmOlv>AG^ zyGmiFVT+lC4DM32oYfdLr%QcJzt6CnIn2kI$)vkg8g+b}Wibzh?S*ezCmEFgcMEWHQA`BdPo6Qo>&qim^;k?MJ-!fP-b(5rF{)) zG+1A6R0SR=R=((>OsUaGn{aN>4?3`w5nb0RH^t3RbbOyN&W|q70k`$U6QJH~f~&O} zSSxRD_xnH=;uv%;ESB~bS6AYJn`uUCoXKE2ImTvI=$3@;#ubsKCpX#(zGVT3A@fW2W0Lt5$`^8=sPK zjmS6$2=&;8?0UMfK)_$StP6fhv$yMck%zJsmUI*pI#U?}7v#BIZrv-DSgkG$KPROe zPZa~zqG*{$9uQlp2aF!{ge5VT3UD+hmNwZg)`k6f0nckiwATaT#cPUa+rL+U3+<_;AM#;Z5cStSL>iqG!3lk9`Z zY+vw^d;SWRbX%6MI5^R8RUfypD4EEa8l3+?g%AqZ(1?I@k|8VDRN2!=X%@_+U5D3n zR`KO|Aya}Chv^Zm9tw`h*8+^yWqLP7H^@04Z53wVOvV9I-fDo$qc2$ zGtPggvHvh#rFVdyO6Zvgn{47m9aLOLr#Ln>sNMTGqgwRO>4%}7YRd)@8lKFHbE=gQ zoK$4Mz|A*6Bh)2TN8SN_ezh~0!gZsr+55Z1{Vx6TZ<>Z62@-4M)O<~H+_a5?SP=AB zV@iLDtw^eBIaq^f`p`3uAGI`Ip+`2K@e#d6nwOFhNu|;rfu*n2G({|JGu}x?vkQrg z6QU=MacO?YDb%{v7~|Sc8znWL9|}sdLU&t`1_WBbOz#)b`e-mVy7$>6wEdv(I-T9XiP439?M?!-jW zN88hp0^KCleql6XY(V-R@fzGE6G&SavZ;RU!p6fTO^(D=+3_*DvRckw&G|agh()Y4)$H-skUC0 z@jPoHyrlB&cqY+hK_ogSNf zu3*i1LDd9am33Rx_>u+FCc>N4r6D<@$B8(Q;#+|N5DIV%`rGMIHY5#?^xc0(&smNl zh`6tXVi4qcE{;i_OuDa8wL=_9gw&ZjG06M`7Oh9Ld6iTMJjJrZT^-|%VRxT!l&n?A~+kj9c(CgF?dHCrYIAWyeRsBJQt z0H{dDfeSQVW>0$;7Bv;$Q0<+JXh@J(4G;jspx7^hiaV&&kpH0bj-)%G4NF_t&uL;# zQ59*{z(}FU92!QbHYu2lXYmC+u-LqD;9lZ+2|IxzeiqK|&q1u&HCYo6e2r(70w89# zkA6?p1(5+z4h$>kf5f#|w}}(SxTTp5tx&*=OT?O5yw9VANDp@A^?@wF7Gt`fH(X<1t zztY|G`PBN%K<_UcYN@hWj&+L7_WF74W_xw@CUBgak6&wC+uglc<+z(lrE>H3(cxkL zW`FV$&dW-Tv+eUIhlwv%Cx>Vc)VCNlVsh6E2+X5Kyv2oqGaq&34HjpryTb#c&4{X` zJ1glhr?T<#JU^`n-P_QSRC+@J4L0f7&e+Z+uuCFIlLQY#KITzL!B0?MgZP4Gf3949 zPn2Kknhdz^xH7rsYbNqdfu|vx6qD~&t2Y!y+*ZBN^h8;3Zd{L0o>hXa!g#m-oP?hUtV5YSoj7@Sq>%}{{{D-xqrzf{w7@7M&Aw{ z5hHG@-+7+Cq?-z>2;I3eMRIce7wa0n zboufdb1!tUY>2;V_)<3d?!}ALSNU{@{WRfm4kE1;HW4;Eo099Zv#?yN!9Z{s5oe)P z(x7%e5$B9f$BCiZlOE_1oxI-x)KuzD+Xwj!nbR4oQce_0%qxvXg8-w!R=J8z{H0o2 zm!@^+vko>SHrDnRk(^@_gmumKmT0iu@4K@EyB^NJ=P)fI7U2|Wg!5mxKOEk9mgikg z_;C5y6NGqidOEgTH>O@OR!uX`b~>~1?5SIDiV%0UBXArdZlG}k{;;6Rti$4n_WJ@L zO~x6Tk_^1mY&L^bPNQEg(rW{$V(6@*>Fi@GD`53;dZD%}Wj`LXlq%n$KC1Txqg;Jr zhN;y3Q(GpoAOK~(+$R5NettER>e1bmp&swQQjb@s7LIB8wfmmtMtr&4n_5}vtv4FI z&e~eg3(mQ^q3W_U_JYgEBeLZsQQmSsiDlXJlSL+L+Dwyq-<~SzDZfN^>ZuY&`?@g4)Msd&i@(YvtGfC@l?WC&v zNIKw4a?kK?*NA&**Yy7tn{(Hb@$Rw}BG*N(gcm^ftayr|)QXrOuMI zW;a{zm^^9LnGpAWINeI`>l+)ptE)HINqIGrOdl7YOfr@KlSaos9O@UWQ14lb@|j=> z5SJ62FB0%B_eBo=g!_Pl`6a?R4o8;qf>fJMrrwWt#EA_tn#OnL9#7V*6FGtzI7|VH zqiXfd+s~OFW5X(q+w>;i{_^h`-m`PM^D%qJv*Btdr#ThaQhib6t=uJD{c<+jWpw-{ z6YH`VcCZfU~>OY zYhy5oa3ZD{pPuR{#Jf)2Cm9Zhrrz{!@jfz%!icN{2D(^ukc$nyN8GFQB>f?q=h8Cp z1^5Y1#@OPmt)%o<*fb=9vC|JIV7TA!Yfv>4af<__(W4^8Iz2!-ar;azqYtqe>5vBV zAWU+(f6o1R((hqYJF=Z9$lmPF^sgUnUbq3|`AnvpGq})OHa5Wro8SjG!1c`=a*kVG z=5q3l&Fj*}!Oq6ywn4Lj;vNvqJYU2^IEc~57VG~8akpe<+zUlo(Z5@y{%W}6c z`7Mk)s?`7}rP+(Hq|y*gkV8x(-b^G!OxXpc6oPCVJ@W?riKnSA0pyuyzPYi1S~+YJ zF`kaFtOdNOTDHyRnJE$l0mO1M3et--?D7mtgQ?nga=FELrXbUEx35Z;dMlr&n8F^a zHcioW+^6gKIAc3K)(m$K55wC#JK@D!x57e|RHvrGUVCXNjQqUsx<}#Bqmxl5eedG9 z>NQ~T9}gBDE+K=i*?^uP;?9uO7hto4x7jqs8(QFWkO+|JJw4;3s#%e))yFw{G2ip}a2?p8Awf zNO&Q~PHt?`Mn}6hoba1S*!!ba@u6bfDBqWm#1@Z<{U`G_!`_{fz9EsOJ>Mw=$97`c zod*kYF3{LqTN)wCveonighaIroJe2XrQctqab#Mx7FwEp-DvwJG#b`CnT=qsB6T!; zp{(K7E3drrj`0$^59AdBY^bXO3oQlc@}Z*eGzd3|DrSgEn1qhP#Oth>NV@b^7>e}A zL|N6Dt`XBOay=d?d?w@OWJGdWGD+^L~z&-d{%o<`Ml#ZrFFh=6)@G$Rf75Sk(6Wss8-Dwzjmpw7IGA#-g#hsu4w!>RSB~sr2JdBj&(~yy!^VU^pOA zs*cUYp!c2SWap2G9t-n520uF#M=xKb7T})~_qq8bMp)+c)U0E=7N{@yq*DN;mQ2Ge zn?}uWX@xAly9@|eTJx7fIc6hnV;YRD*pgbbO&ZtxL5jHYg^a3gSpvOtI=!co1x;ROqQqpW&`A#;JxOb zHs5ce*A(!l%KHlZW(sT_G@44vbyG^Saj+%X7ZRbZvCVz`Uh+1%y{+EZbF*aWBgBQy zF{!;tfuXaDJP3MkI)QIz0C|yt`sZci-4|bc@o!L@`Wwk6{LKbw>dhc+*=?fh4v0H; zw3>qHMc=h#4Zo!rJ%@h(b2TH;X{rXpaTmV-td%E>F%eN~A-3|#W6x7$iSA|v=o--x z&s&=5L+SwO8tVy{Wt6$Ksio*AxjP0021CqDMgi+Y;tBuKC z(ty0eEOjs{@eEPqAd$_Q#?Lnq8_6GS^3<#A!uP*#`VV7})t6FGBM#ADyoOELu*{TY z@~W@71r)oo%IhmHzx*;a14(r8vH@P93mOD*9;fmolC`v+HT`QMFE??U$h-s56VOzM zPzL`+i1!sDwnDT#y7^}l_c5Ok|v(%zw>l~LY zW~!M~%E%fgz1fMZ!URQblE*cgj4U3*fdPZ@@h6{rvSis$8Xzj5sNt}Qc6U`2Qn!55 z3f@(3LBe}FJTd!wb-lG)rN6Oiw>q`9WxY2!_4H)!H2PgZa zhtEnBo#y>(j~VSsKFT?Us=^I=XbTyNYlHZi+4{n!n9=O3mkjS;(@pl$ z6r0Afs;fVQx{?$%KY`>9V};B+yzJp;)9Jk6hI4cc^DffknL1rU@iB`+r&xC)3U?UR zE+9Fg(TSYsU;l(+naTPR^OH|<_xi27E?Jmu)$?+(n9q}~OP2`UhGcDXlR0ZrvoaOB z3(3*y>Q!=ea#bI}xqD>A={)Q*>hm1VwwTC-PAtDa%=9MJmT`_}lkL(5MJGw)CsM5( zP_0)8F3>I8vgJ$+jc_W3Sx1RrT*^3UtC9GO8@Z;(@9b(s%4dr2IG+7 zs#xVXKXhWpG?96y+G85t;(7UfY2pQ+*a+im{M-_n2F8rc24)C6px{r`MbCPJ35&)sYou7qo-YyA zno1c6AW{DI?aMXP*qhBn%nttp#f*G|*O2**y_bBcbug7j?LCM~Sy`fiYerTuGc|*W z0RN5qU)1haxE8m_J;pp#?_m1M)Is&ig{_UPD_0Iw-#_5XxpEjDa0e5z<`Wlwe4hsM zE0a#|-onCIeRh6+P-vD|Q_O-CoGv>{#}oJ_JHY4e`yvF1a2QErJ`qmVT25QJ4tC`X zmgG5LIBLBJ+xHhurV*`8?>Q!`{XC$1lAf67kn_hf(qyP;;*0{I=@1*~z?_GD7iH!F z!qEPVg=z-M7Z~MM)$HuNz^n9R(GW%Q4ezQg>Wp0~54+}hF3qj6-B6#Isdfb}?9#Vv zlWyDWAk%3tFDGqxlbEj%d_H;~d6( zm?GG2uQzdEkF&OeOFtnXI$))qkY<}37c|acCEO0mC{^ZtjISuJW=D+;7p@f=SKwaV zQJ~|8swHOq5b_j+WI~lE zkz0m2(~I7)d|h&MXU(GfO%R~cMZ5{vJh)PnGGv~{5!pf2o2}B1DKcGS*fdg0rKL8m zSwYYxnHqg_TRe3E-EMoh6NX@ZkOBB`PcYF~Fm?zN_2IWceH*Now&(_bWtl-#deQ5| zy>ADqr?^hX@E#PmZGI&bz+)LD88~UB>sz}SzO;+v4J7+1P0A2=gK6HR48!YNyq4R( z>wKM1J3~~{Nt9^*EKv*&DS9|$b=CjyS+7NgonZvS7iZIsnh3YIR)ri_qjf)dEE>3yGA79|vlDuf6c6|AZu^5kHP z9tslh88T>TX47@1QYt$X(%;QFaC%J_ZK4?BFT^%a6hQFU2Uk zaKEfTRkne$s@d1<;=9jB;_RhT3_I%(ms6@VrCMo?-hAo|sRc0TmHm|aqal7YC<>?k zU@#@!m-Q7rj(w)gqiH@*VNT0$eQ0iFLXl_OXmKJ7FyX~dI&n6KcW=&^e@Nedg+}{t zC#k>rU24&%)*XJ$r{27>3s#Fu3?|L}iz0p0%k;4vEoHDw#C;->*zgtzMI43pzJY6V z^ATU@A~}|a3U;Gtew)>Cign$cx8|3Z>vKX7)F~jYH*=MCRH3N4E_0{Yu4m(m5&k`W z5hG=tQ<(V7<(J$iE?v45n}DLKt%!-0yc`=Kq@P#ljlD$Q)5Ki^M)orCp3Ppc_)Q;u zEg3QPcf`{CTEOS%rKJV2G=pT@k|oEsWyvM(iXqDMCwm$X^mwj6$f3QTAlDpMO0q=j z%!(}*8Vxv?&qI1mP{_%-C|ZT9kB+cE0)z#RP?;V?P`^MO)*c0nrF9Fg1|r?XwOzPT zW)^Mr83K%Fm~`t?*nH=i-~K0t_Z?IImTjt%N!*Ttpb~bbbSV{gLX@S~{B}NH-ZCVe z+O@@qNk12hFVK)^$P#1dP2o(gz}30SOjRpaEs9)}^8Mw3kmIsy7_H^jSs<58gYBN+ z2qrP3G#*wsgebMe0h;;fGyMH3#uUp*se{Kg`#D+6C>WZlq8& zuwVlPK1WZ~Yag%Lf}~kN^(oDU-w>em8XJ=Pwkc`KJzbd2pvvycuGqX%%JS3HY*iyo zYO7YL5CN&0Ih<|D5KNYv=la~!+;TtN$Q`aN+!+cm^opHgwR*_K@$TX7`F-qTHvKTm zbe<$=Nm`r$a71>2g~Qmro% zNmqGZdk^C57I8$8KFEV z0hpbu-YjGS+qiOg>G0-FK)2Zz*gE4jlLbAKp%}F&h-ZT3!S_z$NVe)9y`Z(vBTr3+ z!7hJNcV07bjt$Fop&oXoB{m;OiBuocprG8aKr>Zo!(N6MylFb0WL`C-dopSN9PUXq zQO;L?1XW!SG=+q^g9L&cM@L#kbq!vizA{xcpy$~!wWf-m&ML@`yf$b!nLH^-%bUd< zn%;ysQ%u@EEJ+m^3sD`RZDyksZjQUd__6yXA~iwD?N}XEEu~vQYj(Djuf?@yvosL3 zT`Db|32V=i=TEQo361mPkEiOXqk6!?d9m@t8h;Yz#AJd-gRWU3>}AmpT}E!-vPBBf z&~~?UJX!WBr@kii9u)3F{1rjM4>91>GJ8WFP5i}O#fAoTuc)o(lxt6 zk&W&Iq%5v()YGuFhvcwCaSDs=2Hhcga^n9i(GEcgU*$1wr5~q2eTshWFYOwQA90}0 z?KT>_T%AVCvlTp9!@4)wL_TzIr)HI&9%!2Qfuzs=qj%$b7cbUekthfl7Zf8&(`Xgy zL-l+zN4I;X<~+%`AEV)k2DO2BCyRhSdYyi0U5CcH0f8NWR>hDQ zF_d^%kXYA>Wv4raP>P&TTa(FE`TsHYrNNP1*Lm;V`#^}e7R4WNMr8r_X$ z05pIBFoRhM&PH+;4re3|N8${Jrlim|DN!pGS&@$E{v`P!$#Uc>3PX_ znSO26`r<2TGI+^^3OHENEH&nr{z*K`*XEvMoltw#6(>8RrNK0-r}ww^FJ2U?NBgZ0 za|OBIZWmJ^#as`pgfSFGyEA0g8zw}cVDCd@KM+`-o@r^0s=5llf#$K3hXM4X9jvXVqoTZqIqsahe?M7BjpA8v#FF-JKM5ZGiaJH|9U z(I`0SM&Qh_z1b^<VxB{8@u{)O$Dw(*aY$PD`gU68`k=~oWBzq3-eMs`l0ZF$l zVb1a9aU->_TBpI_uq(0~Z$5!T$iLvtmSWgB>V5*e*iA=@%{GBDdZHJB8D*hmNo?*- z`>`%A5dh@=Qag*Q6RL$%e8v_Dp>~_krxog?#ZH!SmbB)#V>yBZvi@PhW_ z%w7F`v$KYII;po)GkwZDbVZ%##IP%d}Ffr5?Oi+ zm`1-daD*|YNdoY&OAd_*l(gi$@xU`sWtEDGPhGIjY29g1*Zrq6()9Fvqwy8Pg3QkO z{aBjSB%0Y|jxdfCNuo=b5~H`-us09L@}WuY7WWQ!xjQwtDo#Fs@nY>XFMRaF4{uyK zILL9|68?>VRwqvj4>|6kaC&l8>OQ{P9jjq7K8(3x?ebVdlYF!h`e;Py44a}`Cp1M5 zmi!&*iar$i(`EkQ6udH+@*b6V1m+!5h(9zURN?j1Eo{lMtni{JVwG5if12O2*(PUM zG)07DA0t~KOR81E2)yba@CHwlh-B}7z;COUg6b(Lhbpgqe9v|?+14G6hzVEL4MYr2m7F(HAtePq2uuEhAuYY4X?SUKczVm2*7dQ z;mJMpK|x6R0a67)vur7@BmTd*?>S_1lxk?(tWOaQ=DQYp$-!|duJ}8jWk?#Xf-u8LXtE&Hb^%5(@q{@ zZFb>@EUdv#`-bV?oj1H^*R0^XZ0p0TpaSxff6gX?E*ai!_VatU`M-=YYyyxNJmKWx zV#-S-gp@D>_v4Pp9zN~Uf*fHX8!Vpfm->dg2ws}uKgR1)0IFR;3B}Xw#vRD+`56aMs$SLIjPHt?VD)*N z*=%wMzP`%MvzzF0K9MrmAsGgObE>2IXh%t(6XEIS6*J_3Iadc&`k1t;wT|8bAlK5J zXHT2{zwc8~FYs(P5;;prq(#9Iug{wb(upky7|`*gYQ4%jevOZ zHUK98OfV#?pxHKHauvI-5bO%e7;?7&(0btcOhpl zv|2_mKW|`Jt{T-NavqB%!>Z<>g{r3~avzH^$@LqAd%qb|c*nDB@E!JBBQ9?w(A*)C z_%YM}I*okfmLar6CRvb-2k~-r!<)mJ;msn|>8n^#(%>%hDk>3BQF_OY(f2WHqglxtD?KevfPWhQ%nT#m@XGj zy8pD_L$Lx;3B2c$VXU?!$I&+D@)H0D*{lI8(atrG&%7UA;Sbu7d%?TEoC@ryf4uz? z#>fTk*8`V@ZQ@TR$gbhNOpT0bYr38&Hf(9n;Uhj1*nG7vTH4}NT#rAOu~yKg6CLlKa&lFTX|ih@0?OmAzZ%&L=qd}H$q@OnQ4 zoLk}Qpw;lq-ZW($!*o_4B$y4xj;R-o`}xJ76$val2)#a8XKS(2qx9;?z14~%5_-s6 z&*}ExxJ8}cR46VJo`*ahBgIq&s#PzRG(ogeX))G4Q+_w)s=D({>Z-=?y_zb^Y>B8! z2!S`qd6_yPF{ry}7+54Kb-+T6xR3u20j;x%xl542-eb4N<>0w1bCvsCu}zt0Sn(|y#Rj#YnbJX?d?J@@3Y#>Z5m+0m5 zMV{?#7OiwzU_+~-`3{DZi+AVK1=iY?o2LpOvKdmVBsB*>jYjg02S3<%Q-IyS<%7zu^8dWQVWUF5RU{%$ut(I=zh6h#4uTT>?K8bJYzdCK`Y6((B$QlIGtjLaysbvCCmQ+PD#PC#z zl{QxNhsnO3_oWD`81@W(ZD{CmEdH~2Zh=4s(de^E&vpGP`HT2mey_8O&AftVO8LBp zy+dei57jUB+=IX}${9Uaoi<3e`h~f;oBsVu?nZsZGIEt_a>3Ww_BfF~Ki5cEvaab` zZH5gqyrMe#Zj`84nT-8~!kFYrXU4tYw)RgqX; zOXAGRnGC=RLdu#AZZ_3yJ_!pG4^L1`wW{2&9*})3Ee@vJ+?PFFh#_{|w0GqIB=}m|@&$7-Ea;bDS+MV25 z*oc2mkb?L(*t^!({tD#rMbYfGlrT$}!t`W`5B$ zwX_GG^Pr@fkFURx9FtnAJ1(_T&Xg-<0y(u9kve2~;9m(%WahD=KoRV))MNe-WeMBFm5 zstBS4pc|#s;@#wgj74@^BuT8RmbadV%z`Mow`u@*0H53(<8L270s%j$78x`J08AJ-QrSQfau}Yft(My*uy;2kgDy&IZ(o6d> z=m+Hm2hw}9A$d7j;AwgHx+Qp~En4q-szQBiu+p=%tx6;jq)hMSc&SwBl_bFZp1Sss zs{LYUxeV^F=^AD!yv>2WnxL;g0huyZu-^RR`4}yn>z2Zs&1>kHxeIIO(M+?7ip?}? z_&!>kn?qs>BIXG5%1JfW6Y%R`>MHC{n8Ei?AYvTQ*?^OIpQ*st_>km@MFN!dRpo$r zX+NdJe%E>3k#%a})YXH7gO`gEFCdDL>IOugxLo8Vw)fYH1~UHaI@P2VmC7IUi6w%@ zYm-}Y`qwSxltBe1vrsa5N)0seLa|uM=kpJuvLZrK1^%^#>X@~nI9$x> z{U@NgKa8tQCKqx0AYtaW8He2??%~`A&fb0I#ZqWfvM!!II|u%6u9eGi+}wPfub8KTI17clmz7Vz;O30qNw$FMODNHd`O*WIR^#-N{@OjrLb2b zF}qG8BPmFLH1~^{Oevdf8KPmhxa4EskVLB6lhJH~gnI4#sT9s_-icJhQ!oHWDz6h+ z-SQyQfRiH|;w!I4;8jF8ou12v)A?Z9Vkxh?rh}{*{!rqH!#{^CBjU1L5zz7`w*xsZ z<2}3Doh*s>@1|~TUAVcN?{w~`bGiG~RO&w2*p2|u-Cx<-x{sHa=kCuPO@f<8%X$lA z*3j%6!sPfwD)Ii4@aWj@(5<(6)4!eJWH9sdp?9KnjN8VCcyn7Z6bpV)87uDyR3fs7 zGdnvw&%!aChTj7h5k>%M6rDsnx%~hO@r_OISQ2YF9)OH71Tycm9k!KYQMHh!@V-j1 zB1^tjjEj2LGrI-WNqj@o;0Fm9$*INZgpv3`$5?tpv3eU|$Y_Z3#z``x|Y?tJ0)=k`AK+4WT3zis8!+ftspJ(bUQp4`6O z**G}Z+v}{XukUyE$8WWc`KYlRhaqoou;S@NszO<+4v|rNfQ-h=uoZTmy>QL`D8!Ba zpR0~i+r?aXzSm(M?yq!k{hJPfl|q%euf(fsjE>*7EuFpsQzp=qmNpQorz!I#D!^l-X4;5NAX z+?(7dxi4~$yRBy;@AJ1m6}`><`olMGh>jD1`-~Khi_Sd1^Zf1GQE8>R(&~n*Ve4a8uh3rwmu%c%R17tespERNSFFCz7*z&dt@m^IUM_HWU2kw0Lx#e7lZ%x zkf4cFHZ!;+_)W;HAxoO*)u4}J6DP6 z5zR0UZzV~8yIAk^PjhDhPruE5j{7^@-R|uETJ3KM@2-6+`1s?uxkR;EU2Y{4 zY()xSpl`(0{bS~f{U5i$!c@N9cFm_2&szc6IRJKC`vSKflY&p*7_iv4$|H|`()N8Wl3r1`h+ z!KY8NcVAL0@>>G0dJ_Gc6+OG@;`1(PF7SmJ8z;c1iq!f_T9s9-WU-QC?Ag+tbOOXE z>3|>Ws(`iV+4h_!sD(~hBT1U@*v)(R-k)+mi>GTcTn%F02B5_GZm_p`sy#mqNJ?`F z;W*83oT64d7T9_j~C=aeL#>X+nU3NQUlTXVBXRZgX-yP*q6DRruo7PcxCth~a95hjvsQ)F0zq^VW{ z+lDBsETMM|fy5|U<(&6^!TlUiv=Ot~_4enUgVVdqeVFBvxOnUO!TG)I`OVFur>e!J zMx$uCZjm?5Vwlfl9uyy(L=k&v!o$-%f#Z(3=R^P7JKjY&fVez)!Vb5A!Jl|qqi?fD zCC*r?22ZQi>SeWoCPj7zH)V?mx)tygEJ0Xb-G_{Gg>7;(S8wx%E9q+0(&DqxRss^! zpc|{%9($J(&&y0M$ulEcnGf z@vsSWz~C`*%X|r4VPrKHe@$LQDW9>po(5+yWjb#sTzG`-q?|poR>rAQ_fi_EHzSR# zn10;h{?pigE8xKss7avVlv#a51!prU`@5E63&yF0hr+g@ zNt)TPRk_jQ_GP^!e;M~0v8m^mm>pcjb4v>|#mU^{%na5X$29T5jlCN;Z(>gJB~@L+ zYwvGoeCMQMK)f0?-m`Ud*an=}Xy06WxSFspLTOBfek|t)4RAtf!(N>=oi{=0*G#HK zp(4tR=jR4!f=itRKFJpnj?Oe&&|AC#FhH%OiG~RYB#dz?o+!3!WmMt65m|}^ICF|^ z9GJpiM@ZhlN1y)r1i@?YmF-Dxua4pH%s6lp?X=e~+9p{dy4Wa zYk2++R+d5v<}Fgi@cuZwK505qObaUNfdee`7$M5Ys!^+6;VlMWK)#2v7uul*g^Z+iq;2fLJsZ_r;BO16M z$%ql`PjzJ_Q7N)}w<73FK86a#M$ssKC$e3^5nV_K4PWpmku(`4YkURpP>@C`&-Qdl zwQ*#Ntg22GQ}lV^ltxmWlsaW;GGGU3)h6ctX?QgaE2Zn$r}LV4cQ zeF&R@XZHCReihe8Y`};m?ls1Cm*0yXdwbzydyhVr_xQ(`w=Zn(?S1e=FWq_R@#Fmu z?w?Tn9RGEc4JoGV=npxJHRdmL`UU6q(U$$gp5XSdBE_oq@HsfClu|;DAu@E3p><@Y z#NW4k6lhkRFX=IL=GlmN30<;CB4MV|0zr;W5YHn)4Q=@{bsmF%JI7|C168GYbwVX1 z64;RRJ!B$4W_#3rm3|pZs)Th}Tg4I9Lgg92AHHwZumXAs&~-N<@sye<40WEDHKghS z*0Dr*tcnhGXH+pNU?q=bJFg3}#TOOG^Q?G*CEI=wPmTW!q5&;rPldFI4Bq{= z?rdoGwjt!$%2NV0*{>kt{W~rlbFB7u$KL7IOZoYwrS+NBnMNaj`TX?x&CR^* z2u={>&z!z=`rNs^O;t6&SJ>We-i1GMC0_M2F`<{Q!beDiZLF+e zMR(RfN`_#&WIKN<&w8}x@{XiW>rRc0Yk`eTs}I=J(kx{3Q(U|W;#aZnnv6F=L{Z+z zK)xqorKC_AO5sy{d^i@oPkhE$g*HOfSmhIB*>qp1Th663miK+8_!aoHw-!3sPJEY& z`B`6O^iJ!he}*-DpTWxdw1cAc9^1`Nx&Iz>UG!$i>P*A4(`=`zk}Otg6^=_zgoT2g zv`@Zb8|0|*o%RrVwuk2wLzP30%Vz7{?a9d4h99scm#}N_=9d6`^C8MU=+f=q=J~C+ zTdiM5JZ*M$c{yrTP_Fx$qiQ<&R#LXbf8BxC+LYFUzkBVy|Hb`}nCC4CId%b1L$ezO ze5sU3XsKK=2iY|-A|sm>w39d9*e7S$qw5X^YV^6&{|J)jE})-n!nn16XVWX-5U&|b9fFpp`^w+b!8K(%_KzPO00 z;-ok;1L*c?quXOOx8C2)JG`>)jfV9hfP6&te+~Y|YpMZ%<8^&ULA)r-g05ZkPqAGG z{3&DoUHJG~CZWkC)sQcHvR>DCH+oXS-_Cn~7H5X`aO$uD zPqo%f+ltbhWg~~pO0iz7)tVDQq0pRfwdAJ!6z@3nk-e6CIp*>0-Kd|;lsb5&q!{kym1p>8n+}$UeUEFO1+jRFhtq)8xmXJ&I0lXI1i8n>bTuRgIf~ zWtF!U;I-6-*Gqt7xjswx|K)zf)d1(WxaD}$d#9V6s)wr^baEpMrDkWPv%W61g@uKz zls(BXhSj05=NKWjmwRIc?RG!aJ)Ao@@~BI2P;U@Rg|yX~DNr$*GF-#-iCn9c3plgD zZ>6d?cqWLK7is%r@bMrypMX#=&m<)^;RzV&N+uN;iqy!f*r+xlT?ppo&m`NB94&=E zN~dEAm28&jYwo>2iL*_1GnvG&=FnPRUJm@%n zDu4Tc5Np7T)n6jCFw6=MK^9oYBJJAu?Sg7n17f!|Q$aE{#B?$c(Td|?-=hh_ ziXDRS_rUsgce@`c6W=Ctw`P^1ql+cgT%uxGGv$xuAR8p2SbQzxq5Q&G$7UqWo*Q?2 z{GT`j!TtHc5?JjrM19cirKzsBvXJwNQ#zmY;pr2y=S|HnELc<4sCLKmIY;>5m=s2; zgv^BxIDxAD0r0`zm2hyUJQ$K1VxE6c{$1YuG?=nVogaXMuTRkObKpjKf0BI;IwGE< z&Slrbwk?KIT8}$FsJyndwY3zfpbu*-N^P;%>;IpzFMp0JyUu&>-S_T&cYF8kulE(* zjYebb#ts4mxPc%EuHZ5=1bLIyE%7ydMp?_+9fsmqjeAH97ZQF(+vM`bfbS( z&3+WwQ&9BElm6o$y<+GI23wB*y4923OSr*zIJLXFXqoGrew5y)v2BBnQ%I<3Evh^y9(ah@7-C@}>z>_q<|8(~4n|6xS=Om9ep+9R%HC_c>c} zR%Q++Opn{lBZH-*Q;nlM9$(*7?L!-B-AOsGzmtw3dUK&L)&5H9r1h{0#vKJIHtepaQ7~B7mwyd2>Iit5X ztKf{hgBx30wbk|Y+QQ08ZN~5ULe$#Xscamh8aml$kG}DvTk40imbFpx1QorFkR9(+ z9v>Y1f7Hc`LeDjgZf;V(!|N8Ts-a2?6c*3DL>=O~9n;zn?kmW8+x5R{+b<*W{)_5y zV>d<|Tiy%R7__&sVeAfjm@2d|SJ$UDIx< zI{5Ndd?S<8cugQTjv%wx)QYIwsHrO`Rgj3EBo8q z4!`+B8P`KA-w%Hn2CqW~!x54FoMkOK-W`bEaQv@8WZg>>t1mdxOGSFI>7;U0%P^$E zSmr53;c5yagV2Nv0us+S{zosU$2U1uLohtBv56VFDolsK@Rpk=ARJTkr;Y^P4B*f; zC zyMZeD8^CUJ=^24;*mKc!BH~hM@>BRT26O;4P5mk*frJ-TB?OIAel}_CHr3q99#$K~*U8Nc=YCit7Vnd1%;X zE;L-**MVtnNAfsKhxP;NeZwoFYKzX!`sNLbE=7K1eJR$3GUOBH~Z z3$Br@rSbU>Q>zNKNX9@7t*gDFwxCob#G7n3XJ_{k#`Z4Xzj(jb+mqq>-qpJ=y|ky9 z=GncoCozT}YpNM!YHH}{F10k`>&v03G<9erlqlf;M@0KhR>||N$IN@3(?_~?9jKYulFfQ{7#-L>%|feR7}y~%jwO6`Os;_nOG zz|@y_8`*^GRlR{M`!-_X4d(4~`l*{YpN(DgU65TZsWezEYhY&GbS*qprVHy+%(=UaT9mr6d?ncC%h z?f!i~TD4bGsjaX3yE_*ywEgz;5=F;7+-PjElzv2mknqsXl4AsMhZabqBMHV)uc*G~ z&omliIv*R;8}B^j?q*$y)M)@-o8#tWvE0??GMoXI5iq9idqdvlU59}RQ|GWr-3BJ* z)G3x6otM6zqQOS`=XL6pi6Q`iPBxn{0H7=>P~d0X$Ows_L6)~3!7{iOGo!mH0fkr3 z5r(b_$a7Zy<-NUhUyrH^{;|Z72Qlh-?KSQ0W;;In>Z@?=^w{Z2Wj|28d*%!jU=eh? z@b-kI(M)?1JiRW3{YBU2mZ=+XVhx>1#vCJ-gQpf<^pt}9^0Q(Qzy=f%dBfM7&9{EBHiK**dnT<8gv! zFr-+q6~Q$L_mOE>o5^DtrWzCz2y8^CAb?Bz3XnEn9QSaTkzrZUq8=!WT(q;AnJr*?<*Bve_0BxqDVMYzSXI#W!snZ zuxx~RsJIz>Q4-EF5d^Bds|ceF6AAqnPs_)>=!~#uU5chDv)eVxx#Ymc3cophVLS)2 z3kkp-V3~$YTal3R?5@wOZ*8f? z3?pUT?$KSe{kq&C2G9XqK8RySg)l0E{uIZzmC9r$gV>N_oT#P!J1`S_v~p@jpW6J4 zeA+J?PSIjg60St~l__-+e}5?UfQ)SHw=y5c9K(1M~Na)>LT#Yr;da$3O5YkEP- z*85?l>Vy1R$jmVs2=D!O6N)}7uZ&GB6Pk}0>}5fwM=K=nz zTIcK#A5Amg#~zYi($~_xNGjUgYDRlO^}7_u#;VoDiA*a~C@dzy)YPJcy^Hr& zZ?A4_ESf@GS-f&`p6c*X9IJ{h?DJwjZ^uyUd1`x1_@9$u#7W+j;JN%(W^`&wJOm3BUUQTqi| zK?U8Pd-hZ39zT2a+`VUe=RSD$th;^gTzB`1dxF>KCyWhed4lijnBg3n#c>vCX^&_fsiTDP^w+ zf&?n7F%ySh4?4mHG`0dvTiC^p(?}iES^4y%biaf8o35K!wcOg)RHQnW4U11x=+e8p?AuNq;X9Vv{c+TT5aVTS8QOu26eLwB=W0#uV)w#&728|nW}&)L%o z5|OWH7gX+HORSa`#7fy>%+!SNC(C8*T1E5S=?P*UG-wl4dbGB@d)rd^k3iC4ca=>eA!4N4NNm;LqFGl z)2O#NOw*K%quZko3G%!2Hw^3=N}co@x@*K3UA2ATzwgrzjEv|EPwdJ?<3BWyr& z+!dZheX>)HD2!Yu^h+6J>P*bk+8qw!P7%yv0)T{_JnbF-VajS2%4lO)G@ks#RbL1P&>wfF>cwVJ8aUpvB)xaVUja{fAH)0?r z9K7?+S;(lwTsT4|3#kntrh$yqc_Kr0Wz>VW!a%iZ8>Zt+9Y?0ECc}x(k?R6XY*QLG z%mRQs+(-2PcgS#GkkMat)5+F-QEoQtR7ezG-^UvVcX`A{4pM08XP^?xL8?q0!jlY5 zyS>Gg-xT4$;IxrtKw26~KOIHqM5y*qf3axh|AQ+Y<^A-VC1P^hbvrq7dRBFH z?9GY3zxkii4*lo!CoO6n?Yy?5`sLO-HIZ5O1?*{)+w1F-^JmXqxG<^KKFG^=dh+zK zdB?+k<;V_*L+@yC0T-A0IqiO%&hwZ|=asU}#xR{PV6{8k-{aJYfLg+>TbAdHyQ;y| znbwA z!7}A&hbnxWJr~+fKcPA@%rs~Ef71APT-(#`sR()H{CR6}=gzHL*3|9WR@lhrGZ~9$ zn&a$RyGL%-0ixN@7$3R^N-PMD#LEXolq2Q2;~gCPs53=F6MKd&V_C~yW!_gg{Ssz) zg?Wm^@s5l*4WFP1Zaq#HnJcUliz(#_B>?1bF;g(}&0@Y9g7EdAG|CbH-x19_k2WrQ40)pAU_TPG>v`9@kpsY+lwyVIAhnhdP`etYj(Am%h}YjqR8I8aie2*PQWk27KxGQW|R|Uq#qE#1xMF(ow)Li7&`(w3CkAw``qM^K(ais@ES zCGe~amb!?s$)G94dU4yjaux2qgbK?A`}2;1=G1lOsRvkL47x$}HZvs(R2z%dWw1Kv zg8j>MA5~9ci&wO_R7c95R(<^H^;fRkzjFP0RT?W)9Usf(maEGrrc(E#>OuB>)fCoe5q0{v}H6yx2+@NTw1O1QZ8>Ly=(q%N$#dBBq1)0S+9G zBSn@!U;_WKwD(Hdih=l(Y76V@x!{BCH@ENI3znX~_w>Sr;O+I*)nKYvtp+UCwEMyR z6U2Tvxzii5qYEPlU9PG0loDke+|6bZ}xV!3Fs`>{J zvjfL)axjFv!@M{|tjD}fE_K_Gt`IrW?t;L52U=orN&3#eM-=Dq@{Y7GYK=LTfu?0% zl4lgH*7f{?-$ml_@)u3(%x~J%un>R(+dejVBjCVgeuEqGPlSQgZ3qdLVQEzPlAj|b zRn@hM7}Bz|J6R8_5gZfgv^dAO733?08ns9*i`j)zX^e(tZP*3=1Br)brANCVZO^sM zhW7B9w%p)c>k!v}BPyt(mk7%ejI))nR{g4y>~4gHyP zhk52LL&wga%D(QTr&h5%v1M|Wq8GWRb$2S#)ZTQISATk z<&A>U^yifI&jEav3I=UORK#S6WC9&c%2Oep3moqLETJYq@~2`bM21s$xgr0TUdrIs z-!~8r_N!miUQ@XA;%hCpqb*Ff+pkqRo!6pl_O;Eqg@xB%l6I-^TH)9U9PblLP#?8V z8-r=hfdN0ErKPohOmJwu&>`s_eo8&@Ss(fJdciTHQr-1d+InuLFnNc%w{5DHWW1iv zH#~au66Dj=-LSc-?3E07ux;bO@Dlg|Al4#vSDBEgG+i|s+SJ4x{4z6rX>@?Wq^pel zwlG<}xGL@VYe6T%M8J-eFWs1$`eh@aYGl%~kZ%)8T@ZC53OJ%3ayW#xkm5GnDo;6F z{`(+*Oto0bSygxLYM;<9ZMO8~HEkwXtrV|qo_qQtd--2#zo!|?+G_FK%P*fRu4>B= zed6voN*PZN?IP%nZX(#HaZEC)9bed&rI~q*9Spfy-j?`o0=mQy?e=+_Oj37IMyv9rmef#8WVoJ%A7H#f-7`K= z1nvOVcgL}0F#%e{9ZH2uIO6(*I}R(wv%TKh#LUdv{d;e`v9^2q>{)-!fBsb%BFglrhJ8ExJsrK_ zq{)$n9SMD!{qq^^1ZfTL0zB+V4lYURVh)b(scy`5bRni3e^+<)E}F{e#UfP8mv;@_ zmoP{dPoaxG_l|meR;mV^WNt6A6eoc^PmlPHfiv&<7BLr@SjZxEax^2qI|3|PcSSk2 zeMm|?kcg%f#u*ckNCsPuXItehWJ@n$_DvFlQqx3t=u(l*`NpCYb2lG0=wR-XT>c$37mL&ej=P&ciM|-A5a}E0zV?e%j z(4JF|4oErs`LhG*5BJx7CthLq;!9RKap8EHc-Di)=j{h0~9 z(RNgx>l21?$MK(d-DY4_r)w0@nVG~ux(v*x&iQsWTQ%bLRr5>+ zxzc4hD+V$HJNXy?p>mVLb6huc6*F-K`9bIaUf0qs zul?DwJ1L@;p6rcR@87*vF0WjeXiZ$Yv@*LjwRQgd%HpZssm)Dq#d}`4uAj^6>r$WS zWe|q!vq&!-5#TZAf)mC)Mn$_%0ChkkdE+5wMiQ%Bio^hOdPXnhc|DT8Wqd4Cr-Wvy zn(KTgWj9m}Ab0f)KE?f)CLH(TuJC@I*^UqwDE4p|O?f1f35~dXr0W5ES4z{pAr18S`W6#S-z!P!q|R$1xahy1MJi>t7&_ zBcwabq`LP*?MKpzzMwtSo~qjDTaR9OaXSdQtK<3cGiSQf3!R0P6{~BVEbQ)}8{wF0 z)&`OtNmTcST#<*w3l*u)-%xS?gVWG6`5e^?1x(mPjyE`V>iDt&ZD6VyM^nGCD!tep zJxdl{|K6_cJ27xxb+PC1Q;zf_t~VAwakx>4OpKe8i99hG+qExvEDUSL+yXFXeT&(q zK^nle0uZ|<^o%SQOf}vil292z3L-@uI$;rede)FX4rNd$Lg-EKLi5av`HhJp z{5Jv0$RLA9amj&+U!FE5^C33J8b%{yE9R3|hV1)2d6iR9wvDrfkO;_xpC> z&(r?$MHyM#Rgv}G`d+kFKW}7a$vBB3W6|na7>^la$CPrEZ)!x#1~bNkM9-mp7zaZx zeeFa`(Lm(;vEaeAPe1%)83;E-uw6^Zp=xf18@eguIg6ooT(uo6pRaZR#S6;|jFKQ8 zM;KK=h%t$0sO8zN+w(5!JMhf8=6UA&ZezPKKR374``+aRnqyZ>gkWOHV#DJ(pYE0^lZft-vVeO&j>eS|H5g zPqK{V!}uTODdFhnv(S--kbac+vW|>wWf(n{2gPpbo3Qky*lzk{kYW5$x)E?o`jt1; zor~T~9?slO&dh8i%QMM=lT9IcnwM7;Vh3v^m3$KUuJ;=#<^4iZYxe(CU|fE|Xd})M zwTTYN7Y%^luNX5tyarIreH;XS#xV_Pj-tBnHwt>EhC$n=Yr0;9crx8=(&6E{UY2jz zFwxJ1HpZDYLq77nyfd3G2}X;QW@I!|Y!_-xeX4DJ#YrsT*=0YJ*vI#?WL8f~Sh1N! z33F}JE#%7*)I%p@ND0^L6h$Rd_&s1NGBTSMIq>|d8HU`VhjJ& zgtp0rm3F@Vh4xq4_qC$d(AsKhQ9Gk%QyQDq>6xvq&h)g`nwanwn#~Pw<4AJ5dr&7m zriO=v*nfI-N~CpUil;Z;^mRUkcz0G=qRyN#+H^L6((8zqhoszP!A#zJ9&!Van}q zE3SoWW6DCgD8xbBZT%+C65Y%^RT0V5>gnc|r47K*=?&le3hg7Nu0)aLnV6%%YdgHVqL;rx7#(0v4x{Ud&0y5zUo27UEk0 z#0=Qn(b8G(zmPKhFVa_bQg-^*rnh~mU2Zl@xpwT->UhxeGbI>S7*V{)5udTUD$TAY!h z5E+hHReIJ!*ySjsl`ff^*8P=#6$D)3FXCiqj+_33R5T(!VE#Fk1v&=|dFMC|Ks5o- z4#261!|4EozA&fX@-a1Gs4{PE%8st`{;h#rBu*aNzC1qHJY}?-O`|YAZjf}_Qevqk zF&QKC+}W#xYSQzPYA4K!)el*-?h&CWseMDwkOuS4`VRGS>1}O4J%J>w)wcjdueBGqb1-w{iX;<*86}u@|%}stcfTWvAV3o$@AHEw9*a zdwv{ez3lOd+rDJdO(nI1ol8qcb77-nbO)k2Dw(djkiUWbrUX^lDs}1^BdX)_260c> zR5ehZO$$fo&;RPC*O9ou%hVXg1sLKWnxeLk^Gp1qeja@XR_YWGZz5Nn_CdJXdQ-~i z^O^aKsv32%gCg(xii*X6R6RYcS+>7Y;ILUV{E%DY4+1NTwR98xpQac~xi9~?#|3kP3_cYW_E&JZ)dQUbYzI|@D9?GHVXF*+ELl`w+kP)R|g^*SoqKAEYYV* zuI>Av;Di{OYtl}KZobXUMiOPnX|;RA+1fhAd?CIOw)A|_<|NBpU%JF13TP0H+sMPk z9&R=D?6ehTqYgw8b!H1y-K$pgSes3ESsC-fi0u=orQ(BOMXy%0H6t} z;?9d@K<6(c92JYY<2qJ*Pq&FFaj%A?-&0&niX*2gHLRztNiBPzEY;OBr~cU@MZ>^D8>xW(ogShX5!oxvS<8Y!~D>V0n31CXfitTdXEo zMemiV6VtEM8hRu9{|Nii7`d|Rym#My%f0vA`|jIc?fc%Mzc_wQAQe#6zx`^Bo?F?umwYjr6>_3ArKV(Ng}{TjCi62b`1DuVAv9j z#7Kh3@K5F5S4&qndy-;THCa{Ntaq1lzH{z5-xqf6NvHR(lg_b@@S;1)HRWZwD)-Jl z3$C5L#Ezdm3uIr%5?GoIlV%YAq}0LOH~I+$^Wd=>klK}@2f$=m` z=jq^^WUc|iRm`kDNVSM?u#`uHSOx5hiArT$B(}Zl{R~nrqTIW%C5)jRP#C2&#>BWH zF+~D`K@(vZFAJ++XPPawaFPKxbbMd~-v?M=HlJJsrY3^gP_4R9H(j`#V>)+=DzH3T z3qv{fJh2Bqm-%jGO`x~C0>PXd=ARwj&s-he_GyOuT1N3t42Qmm1ENgklz-|mzjC

    ET_a*wkVTiG@=`ekYjY5P_!}9U;2%>D(@%=PSJ>DF))?{BuwDAuk5ZvH9M2pySQE?rQ}&eX zp_3J{%OW@~P?D*mXrn(B9qMtY2zfx^`{z_PN@*ix)S~ zo_g}hwar7MJ4g1bzGn>dn17sdBu^Vt`!kgQ2a}fjg5a%k4#XY9Hq$PSguBe?5w^1} zrh8_ths4Ndo5q7qr(@=!>{8Y2MSwi$M0$*L>$>e83vH(t*lw?2yN|^CwhZAPJpnN? z!u*RdI~7tby{KheTU*&vsc^9YeyP)0T3GnH%`L>-zs!8nNvrvxFg-M52OaUn`~^Gk zzSWT&{*%)jl4}tJwlD4Obm$|(~V*hHt*Mpm9j-NSyz5yFY=8+GX zv6XSvv`qTOj}f-VGbnRylIxr~gBu_COec8%F(HbcowdW!i=4Lm-HWDe&o5N$l$Hp! z(fJHWsCem?OEV1{R-)?id{Jf%ilA6QvPoq@RVAm@6Q1Fl5ptMwLshpae@27H5W7S? zM*qbo7;s^y0@oAfDJR0i$Zwm%zIXE^+ys2_N1CU5hM}6wkrmFu;h2a7Z)KS;+2^sJ zGBqp33#pEyMXP10JP2_)L-jHiQ%x+_#>fs`nfHKPycU(9Fk%}qHCkyX1J0AzJeBIu z87Tn#Qu*642D=Q8~r!>t0?Y2`=l&;e~ zwBu$@O2?M$D3$eD=QGiQ9QB4uoO`H#Y*USA&%6^X{5TXCZMu)#xf;-rrY>Z(1kTdi z=}-msZOlwy-vO!eJ1H|I-ViXr_AoVd%M8BnY=U*mXb8w}7E}G6B>+Jz``NO@N5QvC zrAzJP_EADq-9*&-WpVp%q6Cx023omPh#@e#bz`n;tZW+D=oA%r*SLd-&a|Q`Kox#N zWggS!^13F2U$-W}0GKaPtt_*(h2Q+G@+;*(i^z0YL=4%Xb+)!(g`~2|Qa{kz{wadBlm(J{TH#fH% zrBY{zbs%gL(v+X)fU*Pr+s^;mQI&1*gKeM;!4HPuD?^~f;43l6UE0|m#)=XTw|6e( zOum+g6HRI^7Vo`!PkhNgZf{z8@tC9N?f3ch_EiX`J|P~l(yYyD3hXBz*CxC)@l1;H zSkrE@*6;IwVsZmG|CEEu^Iv}o#3mft-m?&{iLniM@F$#-HQ?0Xs!f~E%iDh_CpX)~ zmce`?QB6?!VHCY?5u{+*s^`terxj)X#9D1wE0^ax zOUkICMCC$jy9FH-;iELneEh?MZ&ng5*5}X@N$ad796=C?B3=-upcN3ESIc9$04{~UZ zHCaff^2Ne zMkZ`pJT^V-JfdVFET|)Si{Nmv%z<7q^YJrLd9F2j*oU?wS)IXP6GWy@c$dizcfuEa zTN24=x(ysaeOGldhV0l|hT@?nvC&^$U?md@0A(6F#hoChlew%$+HMBaRv5R6b`g2& zUGi-!Bm||1lfyhioPaQ%$Wv?v$V_boDF=oN>7-s#1bw%8kEVjOEsQ|0YG@|z8oEgq zbwhw8>H|w(LX6p6;dW#u_-~SGZLIXhtf_m-o#FiH)!p5}=Jma{>oyAE|9=vbeIc*c*@IJ$K&?k7|AmbH8L~O=6pIhGCY#baW6sWmFr?gmj}m zj)l9W8USTG{a|$03(7Qe6)q*9gn8A>8aKH_o)4O`qRX&YV#;&!?YlnM+R% zAIh|8Z+{Ih9uX%edHX3l;%sk6Vk6e34KkCn%9&2pM47AKpB6s$C#8_Hzel|FD+1HZ zc^zQ4v5iej4+E>?)@0e7sZ%;1l@WqGZ3ZUk5{KBlMct1Anu7t^DHe;TIT9gr5i^X4 z8hf+|nD;%4>&5RrPbG`!02QC6j~*f$sV63p3~n(B#p7{sGNOqTdyl%P(k)TT0Z zYsOeA=fEM<<8#wuuA~9b4EkM0QbiD@-{>&P`qwfAVVCXP<-NVV_e*BV>QV$l6Z#u< zTWc(E7{%ruvXRNOe4gyRs|yE97|}gIu!h|(w>%LpVJv)`z;qjhLak7^AKofBOyk{U z&(idAThnabsgP!*BU^u;WsO=m^kPq*;jaGXSIVCYj~9*g{}zX#S<-boS1j78Y}WQH zD~_QJkK`>qszY+*l4omc-v!Q_Vuv^dOG{y2yMcW2`8VYa5MqRm?tat3>DbcKCbf~) z?XDz~p0GjxeWFY_kmogZ@j_{_RI3rmaMLD-=#Rz~z_J}|f(?uj1^s@f=8?Vf;%yD; z=INR+%HMaw7gDKsYv^Rvg-A1?stP+4EIGmMIWPE6@(5u?V&OvBd<0lAf|!TdvF7+Pf~vy{rkYW9503?2z!Z6@ky(h5MOBhbV9loym&ls9FbA6ZZ2=yGPQ#cw zx{?07!~}ztL4Rdb%%4=Q1*1c(={fXtE~Zn_98GMJ_!{@YO!{G-ou5ArY{O6kr0GV* z9+&4_LgWStz z;Gr)t3@q`3s)IBFRPvpjo-3kUN2)&yETVtirb*QnyHr{$s<~qY8Oo_r-5c^QFGL>n z(v^^4YE;NVC1be;6ed393cF>+ZoaM};*{fbal^vgpq6vqp#m9^B=RN63CqufwHe6B zcQvm&jO7Q*rS^i@+c-bz_MheIlu2iq<73nQi!o%=E1Zx`)z0`$tppl?_l zA!e2+Na3Ovo&$WH16e6@6~w5np|kfq0m;If7#D&9`aj4#L9M4QYs5wJ6k+ciOhal6eXCDRk zzXL*}?gf|ZReKQtLO{L0S@@FpXG&aalv8tc&Bqv7$HkS^e3uAQK1VcNU?Lm$gP;fs z-|;=D{}L z$By^=ubT=HN56kW z=d-XAiK&mHYNas{7;dJnafWPUaO6lOOYb${tfRmJDi-4dJO;Pec{3rqHyOPY?1|u` zq@~5{z*HH8YHX?jlc`$+s~IE7;pP(21Q41%ac{c8W!8J26^qS-8X0O(sSuxOeSm^6 z4m^!$Q5FL4jJlbNTqb-?WS$nmiVg{8YbvEslT0v?7itYB~o!tj7+z`e+dNMj{olTA{W_REeuFZC#%rdo% zlDM)^Z88z7vqgZ?1)J|V!2et;P%R+iHSB~VvWghpavM+I&mv8+X`!cYogPy8k?d9VZ5h?9=3 zQGJKBYyE^F@pa)zuMO)LRJ3_|z*kOpA2mvR`_d)!%IVYS!J|h=Pg-?2zk;qDxwa=Y zNz-{a)VY4p8pa8)eNxPutnL1+KKjfl;A7U}vD(Z-g-*$Q-W2iR07R(mh1c1DwJZxm zTOb{+ssdoBHiRJSQyqwP&ZCrRBzmt;pJWNyYUqK5GB<)iwFBg14Xz-LnNw?XwN?rP zv|;j#*rD{_a&8%n%feM2+J>+y0u26^*hA;RGdb5nmgPNR5(5&`a^|rG^iz323gF0 z$uG3kRM1D33GA{ZVp(JvfDy4?EJHWJU7)#HHMD_e`w|0-6&IUq*KryR=Zx5eBULct z$2P?#VGJ7<^*kdL8d->U@{E|-fD1E+4TpM5#h@er#-dZeJOEKdG`~=m6ibNdJ6V%B zX+-tV)Qub#sG6z})EqrcVbwcGvm&CU7#{CjAH?^g=#vm4Bsyh-kH6jmjiHxtNv zsJ2DSu6|%l&eM1c*$X!)+)+ETqpFo-%;uFGKu|SR=3eFY}F5Z=(Hbx2uBZ79EPhYuKN~NNP@cmF5#4v zY^=-5tI8Y7TgoSuf24d~d2zVDvA1{f=4U_ksllC3eC%VNd1tPv)n0z%jgPdiav)ZToQ&p&#z_RgJ~CQ=?=T||d+u8a3xo2FS0_fU`XhokF0c-68w zV_dQ;DFG%UH9A>NHvdG2_C1Q9dI!ioC!D(@yf{d-&<<(D^aaw*sD4O9+@c0)-9-o! z6TG%S_#CoG$Q%pzEU_gmkk$b!aTDY$=NJI7tpiO%4l2ZIkk=AQHi4gjW{7YX`lg}h zG1r+LV!FgjriDOcMFVkv*VZcH4fVgsJn1kPbU710Z0I+GKNOMjzkz^!MADvo0%47y zFD*F+LORkcR~CC15MypfA%Zv;%homxg{Q=lciIjWxQTE;d`w}UFvCcTTQMtc%2-AhZ699z0a#_=X zZJ$4z^QC*bxV_bV8q~`OI^v&4YB4iCC%9291JC z7zpz)^d-TW@VzbKn#|T{JB#^mA=_YL8&VoVN&2t3l3X%W!y=K&qQ{8679tbK+L7N` zTid8dVZ&&}X#=W+W^zn(^O;WTSPDjiyD3s}F{;ScW>3wmVZQ3c0Tf|}*h&Ho8z!>+ zi?Nxy9dQ_}WF)!Dy6k`<*tREZ#3TJo$({0?vN_B@x4!<&)y>-6oY6`biwo7wR<+vN zgz=?YIVGO8fd`h}A-~xiOyxroulHU8wyVv3a;$wJ(&;iBD;+lX%W-pAb3|A;6d*MV zY*Gt zCZin(rkJZ6`GCT71L7oKFHe7eI<0DIixIx5Tpl)VtgT&L?=Y?Vs;WS9ouR=6=6K?MO>aE){e=ds%>qBNH?B$!;_ZN%mWRYe90TEgC{DrqRAJ8 ziM)b;TqxA)$*se~fC-Eh9}}VHBvDEKElrQJ_HLq5TKI-jNa( zXRvcNG64$3z(oz;U;@8UilGiMqIvfh#GJF-c}Zm9+q!|M6QnvJj8|((<53OYh|r@~ zQQ>?U5j(KV)>egJt0@xa#6}SgU%J@OeLqTVu2!ncfkzklxepoIyO5)Zi zmoHLVD~#AoWG42%C|IoaWtoXJd{9^}&zI}!-ANM4 zcssDr8Rp*&T(juhmR!ehtP}sP;wuGZQ6RKTP@JFV3LkIVmC+UrMzjHq=BUv55NY4U zA0!dRSJSxNa8X=@fG^e5PThK#Hi#@LWVN>Gq6N5M+8RgJyUe`!z|mAIzS7U`EIBYU z*jp7IQW8amH!Nme@4z+3Ht;S?>X!aK$#!EUqs&VNaiapV34d6&P^n}|D&=SWBWt{8 zxngENZ8IV3on7LMq&}~s#!Qvup4xmzeBLH(>jH2)$ohC1cWwVlk9%LTFxvhj$ZT#> z=Y;sEcdKxjaE&|-pR>?89=>7+VyS<5MH#CR|62GPtW=b)a#DdzvI+hSVU! z8Pt-w$Xb^1Q^#h=a-!npMs%vl&D@~WmbC|Nz2n1TeQ++TBF8ZZ{gy$W5-W+BPtCy! zWlXLg{G0zHuI^oNZ5?Gpo~A_Qa!vF7+REZ$jhN;}ZR3dZEqSUqae*`Rc;gDAu>~Jp z@DZ2$5#XFXgCxa-)JQ$YPno*d6_)8;Nnci3N~M}^YONJr+4c_&Dy4F4^IjQtxiUUikf)^ur6s(a><6VK4`&S#(s=z|&&x!V zLJuBgfk!JYIKrat*ZJ&EWjyZW*uTQ>0|%^$i@Q;ABk09y3L$gH4mKLx`!m%OSVwj; z*&XPICfAs0;ilo@8yC`OeHr4j`3R;rIn!;_ zBAb!TDkZ(0;wo=|Zm!(#gE$Dl#_7}G+PQQ4ZFC3DCRYybtI1_FrFKW_bvb{m_Ly+p}@!gm$5Gmjw`#)d-vV9-TU6XZ-1}%eM4_R zqX9H_fF!s9+{qa(LykBzoDqj3X=Wsk7R##0rN~urDv_*mB3p`Gic^kVDyt$#QT!(^ z>xbi5aVmDAU-|7S*{Rr;;%q;Yb6+>ujfIMOfCdL_5Y^|NpX$87#WPqjGp2^Ny;_luCV?j4U5Au9RgDz#dW%Hp|#C zhz35Hfc95(m*hU__!kPczuU3hufX@}5vjHf^AE)7YsCC&NsdgD+bX-4X#Zt9yupKq zf|TKD&eGrimsrxkON(2yKTbA#rll&xm>tm_TFN5BsBf&U)*&y8>+vyLIP8FpjsjDC zGAA-^;(4*Xe{r89dtWq+UILB15}M^QZmr;SRwJ^i8sCzAZ``$GH*x6bt_q=(h(P); zZr{EZX)ZIedqoOb`mTqYA+FW18~e5$eOvH`>8AaS7S5$?Pc>d0n|@NU|4Zq=C39+G zf>y9iUll#kgZ*xBvC#+)E?fxCY;Ono{rw=txe}bZD0YhAgGm=coZJ*1y#bjaAr#U% z4tZw$#RM?^IpQ`dhm`8_{RndDv=RZ5eo1#f$J(< z78W{!Ia&C}ze;YFg@0U=&PjK~_Nd)U8|dKDB~;3dMlp(yDEjcoY;hwVS1JU z20)Xd1+!e4#e1} z$r2pQD%d4r0>=bHYUsAHv40OCemU6{x1WE9d3y*gFz;e&!B%^wYG07I%?35ec{xMP zKa?#dHs5@;D8~RX9F^QnnW8qovh`!4g>48_VX?L@dZ#Bg}R(-@Q5 zPDN^f{q2DDJrJ8@hZp!6xwWw&*P2baTdm4=6#2fqfA5~0qiMkNaz!nwglx%M(($JJ zqgP|cW6vq2#pBK0&lxBu-LoREi<(oT)shbJ6IfqdG0$=LQl2^M^W6PK5a@mkPeak* zP``5J$^&+wfeOZ1H$Yc7x`H7sQ^ZQQM$K?Z4d-mG02uvt$fyp|3N0@!wtS^A2{Cy9 zS#BJ+3}R_FeVu6vLNqnd3l}~o3+`xa@-?yE5PU$^(TqnJqIB?oe<=MBWSdK{_NuVi z<$l(K1R+bTVzEIQM{k%8x4lo3-lGdu!qK>NFXp8nwFTXKP7rV&iN!O3lmklEsY{$_ zkigNm2iYyhwm0RijopSCE2z+97?K}1o7>2E04g@eT&1#;g7~}T=?@;h-u4~6v(>f~ zzqR677Zjb@s%%&;ydyth?E25i9il(1PYhDk;6`7pq2P4IAp>8J&b4i_lJ(@hYk6{U}A&Mt(lr{H*H`&lP4usi5< z+MJ_aIhn94e(DYi^+o1fuQBJ$Wy}2@sKwhgc-kF7LRx61h0pDK`u?R&suha>#*A#M zqEMbvE$??sXUtGIWk8Pbq!uk^fb($1eQ8^|B)S>xJ%49;&aWCuq2O;UFZ+@|TX>pz z+lOt)r?M&~sC9M|>L@%>a|#l7{wuMe(=(P^CSkT}Yk5CEM2jg;MTx_E1UW@UQVLdXl8qjS(6dpykNSe zN_7n{d=?IUfw|Y_l6d`C(6zPNrb&3*@#M&mdrKec6$B%*YUB#;`t`+tsJ8Bk@sP7u zmVa|{C!G`PeLVg8Rjn`|7&%OS+sIa{%+&i`wgR3PtAP7`E~dg$$+o(&jf|Pa1Y6Aq z(!JeY7ILJeg^z#y#{S;R%}!_7fB*G|PoLgzw74meUGY8j06xta%T~&3kGKqc19iyT}r2gVvFa=G+~$=r(mr)nwKf&y|IG zO%N0&968N(czING;iuFRGuD;|rWO}sfd2VnR-v9QQ-g zAh3&$;${p;h?xOy+qNOFGS|{9!ZgrU(-Tvjx)g#Z6Vt>F;Dipuy=xHn-W0rzZ@l(! zc(rRZua=GURaf4-dR1)Hd&xMq(R=E=j_%bPJ8dzcG;7hbO*?VSL0J#C;3Uabc$)W1 zO38;!8^7o5yOCJY>l^f<;qg=_)KPlXQ+lp@6)n_1nl;3ZH{CshQ-P`?OIC1*Y+a1% zKhuQfzcZhvO4M(n^n#~Zjjn;<<*fGAY{X@|3`ol|9XS^eMXBqMc1Gonfz8@Tr4ZV5 z)70gB+852piUMH{l2Yv#($xU+8NK4^+uKV_FQ#1;tA3PiC>mu<74`XX|I~QDvG7=QP|jmXPr52**ILCvBzk4~ z@;F%?lN*j(+Vlxvn{-u7Sz2aHqj^I5;CkT9o^=QZ=y2C1$^J$&2QgnPNb%DYuRz$i zg;1a&LEVDf^#Wp<4CHml7Zg^8N_g&JBpL)|#UwR^SjVt*Wc6%MQ-*RK{SL8lmID3& zxW@iX6=fVlA>E}pOqva<$93wob`>i2)EExF?3wxn?d8bSio`AuTNO*QxS{*+dWK3wEq9e0E_3?1`*jcH4>ml@#~z7P6+3nnY-lTlr`}dzEQ;k3 z`U2P3wuexSdNBr&^5vXMjjZL(S)QSnEpK2!T*eG(sazwHOBXFuc0=C)iGtxI`zFWU zW(4@-CFzOi(`DhY_RE8{pZp*SA5G#{%<%!Bjgf}3kus9_JKsJM9o1?`8HGsvAw zvSOI3*KGHN%zjQE)Y%YBR3g2B*q z9`6$N@|k}<8hwK)jGEL{&xMw{r@v*WQ7;r(UytiXlRCYTrP9$=QYFhEyty{&btJ~Yfs;UJjL32frjEnPTV944~cZluhYD~?it&j{f z`*-_;z!mtpD>kG9rZSyo9o2d|bwI-ZH1vbqI~yBHr=a_`QQD;hhYdfK_vMA5sew?L zo=c?+$S*kf1#o$vSX%l`737=hIy&Hpj;)b~>5hnEd8(~!g~`?`GpSFAZFKX1(%z6h zEU?4P1*Scco>Y20cK^{McJIy0m)Z3vPgdB<@rCqLw05lXWOkV3kfcXA#%SUg^{OWN zAi`)|8cSMK^q;7XW6=ujedZF9)P^quK!}J#GL}=-z;n?QVvddleK9Ngs2&-pR+l&A zvdZk*&ztaS&k+LdBrE7`PI$e7@-1q2zs|X$n$!-t8&K^`6uNRq(yARBwtd+_DC;_D zWFZv&A=U4WmONbBi!4|1pL_>z=1ldx`ZnmX$Z(m~XBur2NdFY-l0R5lnns=}?J9i#0JX(76;KAdRn{zpTJR#ZqNw@rmtee_+051vI zllhfN);oKvpU85gPrg5SN*a9*liw(wBq!r&HkpOlMNP#lq|(IHOx-d`MO6Y-Q$Xaa zUK+)K2AozG_0YRiFEMY|T;kqK=Fsq1%1kMWj1^LhWha$eG?+pZK>*O80tK{noURwR z=4o2T0IUW%Ul>!&UCZ0>W2&buuSK!b4gZT?V1AqV72@HdV{)d_6vrAJ5{?3%xZGTn zFvR~w(!J+Ee7_-XEH+bmr877`SYEC`hEZu;YhOD!$XD{mX!8ys@JycZyrEO%8HXJD zlWsNr1)|lD9>iFB-{-qF$2l4igKQDc4SJ9{jT~c6lbdz9oKs(ex2)DI=WLLh^gy)G z9At5AZ1Q&2V8;0Rwq<<0n6l;GGE<$<^ijcQ+7lm4eeULP!kvKHgrd>L9$jkoE0DQJf)?4GQoUDu-17ys9GgtCtIu(C28m4)#25f zH+SqX6BY_PXE#_r+414S1Z{-p(($dNXe-x~r15%9KJHi_JDx3FMj!O%1*_DL%Y07F|&r$-K(# z-R8UPO3gg8u=(nf{U=YK*6!bWbnE){nr#gIAt1{&yn=PTCeBnIj4)V8ADZbam~lMT6Ha{1NyQ7*vK%wZz4UbF~Ih& zX<~(1Ud^_N&MhykVKdFOqDdw$m9g>8)X=*g2&YB-kJtB6C{zE)TqjQ#rRU5|+ z=6T)b5M_1Kru|M-Bp3{(P&E~=lJB8r+AgPl~-S_ z-hJ?(+EvpkA=R5NU)|rYZmq9Zo25=EpRW!!H=U~Ug8b{WENn)dr<1LVlk+)tJf|$S zkCPus$ZZa10Y(#`mwb+vcR+;+wJWU_97#o|qzy8lfe45u3W=(wO=l%~2_bu(G6UJ0 zn_^l017eB0q|cd7&9t2NHpSyf29!2UotCNC3y#)GGu<~kI#-q;@ik4IIi|Z-^s1f! z6OdSQYgjJ-vpmx>A*6ruBhj^g4_WTRRH^_UC7hR%PQxE2%;uucHIh2gqO>c$D}7Q( z`z?O6vcBA%|3GcGIZ_n9|L%haJS$23y$?R%&))dh8;>8yJU$r~kD)wKh*+F$cpV+q zSg>&u#LaU$*KO=j ztGI)ZuZ3b^Y#>NlLB)Q7(vyb%h%wYO~*Qe$M)MrFQjQ}x0b(c5@?rRxN^_bXg zu!#jvYiFqLVzmcRHc?%msM~YkYg-oJlx-CfKTu#a|2zVH?aA?KlDtJPBGv2XpU4Gw0VV4f%tM zdwW*JF5137vPMS*vFJ`WIy`@~C)eCghM$heLzLk|Eff-|9F@ouITY_$QM64I8xixC z3Dt60>pbADdD(N4zf(gqzu@y~D(G!gEDIEnd!dntgBzd6+~+#;I$hf|TFn25X(?j= znepMIVc51c%lR7Rg60^+flbqlIA`{)q)#*H|NkE#jeiG`s|9v@U38)>A6&ZB7IR*0 zNl`Z18^`mmX{A=5As|V}QID)QOGYY=sYNJY!*RWM{Vw+&3V-eeinz1;Iop55Gi=AZ z57rgNf)rlHc&SP*;((8v4{cJ~8l}VD2E+^+tK3N^`k}kzJIu$Qk)sM1bR{f|JjHfp z2B=Fjbk)$lDN}Cilz*9eSjgR!ZIj9=oilnf={QVm>~nWoB7oFofGL zi^JE(Sn@~G|A7p=4`}7Cc&7);-34Ro_Kh0`?{?1_=Vs3Sx%MX=bYqx2eyg*SGDqn@ ztH)GeINk_zngFf@kQPTvmL0~a(gC%JZHi`ka1kEMFCaimT#izXeib#F*byCC;67}l zGUxlX82NH{y=E~zG8oH>DshW)lFh9xrwnWoA1m@4we_+SI*MYbdT7>MB`Svmn=BJw zE`1A|4p%Mv*T8MUuhazHAw`kJh;u*bUYq!h|0VrL@Ec8OAYBtT{{8wK+P`=aaaymh zqxBbb=}ZyWVV}-1Ays}#9r>ah(Q#w#_GfIbL)=WHYO0ndrH(uwq|$QDbJTN&xh;G4 z*h~Ua+Fpsm?iwHgno?{(PGkdS@*iXq6p2^`C#n^KD3mG588sId^09|QUdZ8fuoST! z<{t}70R^%YuoN5{HY2x%r5H)C;@^O!2>#TbG=g02{BG%zXDy}Yt##DP)Y_Rs!Mbtv zs%4W<(!z9l*V;X8Y(Dv)#c5BDi1DYh4NMK|#i1uYO?H2;wM>)CgP647)3MxMJT|iy zUXUBIVEAdtzCG!BHH+Y0V!6Lo0uSnN=0-wrO)$5wtil76Y}V4Nxr}L4EpOHG*0~;R z%%^c~4wGjxwM9?-*N6*8rD#-i2O=l5xN~Rhb`!rVwCRt)@7AUH@g^9#$T zW?rvWo8Wy{o2#?lcLoqmeQ+WdEgnYGe&*54y~^pDbu11&y3gH5x|#hv_t#|3EE%90 z)tziC7x0$60N*kP*kKrgGs2!wDiMrYf*w)$UL#Q|hkTa@xW$7D9zq$Hrw~tFxl+KT zi}8o5%5xN$emX35QK z*NCM#8pdbH@pTW!li3M>{qqFrM1C~YrNf!}lPKmiVZFh50Kj^QY9Y1OZSPUOiPC14 zdFwsfy9OFHPfT*9N%bZ}AvMi-t+}*4S_pI7pWQ;9|X629xfP>Y4wYrU2OYax4;$c>pbG1u{uZ zH7LurXfO`d7?2A}6K?YBi5AW8QaregZ7B2jlQ%Go=EhV2Uj3eA~v{i?i`~| z*9n4Y6?uy4PEN_pD#;AkJ+c-A1oI+en#$$dvg@eA1$^ox-Z=i)l$ZyLk4LOP$5x(LC(%Vtw3X`@DDQ2qX|;?ucy(FRh)TQlGwj`7-3L zm-}*GXDTGL7Yzq-68JR(i*2Xf5QTpJTe9tq?aDVw4~8M?t(6(KVugpkrJ1CzdE`6A zV!c$Vth$=M=i>}_H2N8vL)g0EAWB^(XvA32!UhSSVZ*T?$bXqcSi`!BRKvFAP_&`m zoLHEMbbmXE{(@Qb6>&$~@E57D5P>b2YS>i#}m;sfq^TXgFMb>5S6LeEPB!Sn3u zcy2XmD;=)r$-Qm(lWp-Mn7b$m{@W3;tvvVkWYD~u2J~DvZ%cv7M9{glOuHk;h_fw}^1d^&}~S_UWQXH7t?qpfLs9gy}IzxMSvZZYJ|1sLJy8g_~g;KV^&X}3=2Hd?- z(p+$9H^uN~X^xxZ;3ZPJw;Z&$6LWnoEqGN`PALAcdUtX0kqDuTfamJ*paCJS6KIa5 zhKr8T0Ej?BS%bfq8M6SAF+L810L+DsI!O-l*GZnfBHfZ63ZAX|2Y2+ST-N)x-?8g; z{o28SuFwJHd|Tf>Mct0(?}?TjyUOcTz|AsOsgvRH6|zh^;K zUv;TbVg4NRw?Xu7%JXFS>Ydw*aCQ@uIq-~8`|GBc>_tP6+z7r+=Fl67B<~^+?zNxThB1RP zjxlcN;{w!F|0Y*lW9c>Y$;A7(Dm62!F!qe68Ti{>HvbepOO7+oxQBEci>Up5{mTb{bwe2KJZfcJ4r9vTLPc0Pokx}ev!v!t- zFs6=$F-?i>9w-GcO##Dw=IUJe=d#W@A?!<*E0z*51>hTsDYMNx6a1!v#{SjBs&znc zXQfvKhTBorOXrd5tAj!5!QH#1xFxj|rIfE`s?FwpY5#={=BLPZCjNqCW~ z^vEboBG#ne<+$0uS7Y@$a^ylo?)e4AYAq1ZWzgmxa}TNzf)THm3rM%D-@>+_Wd`d` zndO(3ebnC&NfpFvCv})qeW41E-D+AZbn5}GREfH$=R_TXh&zWINHgQBrbitQBFsOK z4cj87{mW*6K!+)k9m@n~z^&^uGX2p+rd|@O?@8x&%g8mPa;sIpGrAe&a=lK;mFhQ3 zrJHrhRnt3r5V~i&OXp|yOFWN0C&wC^C&#d7{J^ms{srZ|pS|=lLi}QKzkSIF4Wo!6 zBg4G4KKK5vV0J58Hn!~Bg4HO=vOHR9xz$Bio)=|4GgKTm*2MS-i1J#$zvs*cLJ&1y zXY|{q>J%NS6`9`>^HeS0k%P7=8w_$(_GK$+>a~z;F$=?axa4{QeGD}+#h;!f1?T^Dpu?uOf=MR$nW=($5TvAS+NLPB4YF81qY|MBOALd+fajM zAV5zO{4%>No18&F`YQEh6;cnRS(YiMKym4vL-`tse-+jLO=%ZodkG@e`@+9oFYo(z z&u(8BZCn@*{qtvPTU-7|pFQ(Yx$LI|`^Y2z(Wyks!(&B(Lya99|B7&@jVW!&Sfarp z4fT|T`f!WF@+?2b$-3;Rxy{EOzk#$8&R~#l2kDv|XnH5fZC*q4Re<8I?s_zB#%2s3 zX8OBHp$@57^+>hl`?a(uFRWGoLW1b+bW%L9IQ>>S{p;?XQC@D1$|>Ejb(sjBaS&uk zR9!bU!nCVg<5gy91C*#wYLaxj_1FC+Me%RlzkdJGBmWn^@C6?Y27ano%;o%+Bz@BV zYur zZ#sRCg0+29j2~+v(z>L4Z{#V1RR|A;3trtH-vV*^oo@G)!Qg^ySYpxD4z>u=H0ppI z*uN1iZp469)Ed(a#^KkfbDqwK>NS16R4kM-nA9<;S*A*2)2&md$$n1&Z^h)lLPJGU z0BFj#Wntf9)Jkqjj3jIMOX+WOsYBFRxolBL9=nW~ija5?3a zEZI`3tT^TJKdCs~Z)SF8b^)4J5?5Ttvc4@6oEgmfy8FBO`+h&f!bCRuwkOf+O=X5? ztlc}}_F}?LX5GGRqRU8Mkw4BCN38@XDKq>eV%(x*d|2&dh;3Wc?DH``j`Nd%5j#&} zOLdh5CN>}U98t0T>hpducAW=7Wiii>)CKI(15yi9-%rJ`fquPKdr==nWB(|{sKbW+ zWEx_c{YerqL>vzea$(QtkGVgiUclwjG-o-**ya;Cf3}#*Is0d4=bib%ZQkj(9lSLN ziAQ=p3*B&euu*I}bf%4Nr6H`Sx2Fi1F17`JBE*M+u9+I+qXorJ^8scvyb?%;o&DI> zVp>#`=%2{RfDc}9$C)h|#g>xtb>1{$hAT_ol*E`BfgDAnXY#mhfse(p9*$u2d^ALLAAYPxA&uW zx^sO*>Yebfd(KPce+u+5tmBkznz|IY7KBbPerx{xJWr#Ln4n};^C4N?XYz~zJx$D~ zsZQfmf3u24gXMYIRs_Umh#`u7G2*LONBhlm+!1vs3OYn_|M0&%RjXYkCZ=(qOl)Dg zXljz?v%$M(qLZwR5lvYr@IFT)VTiD)gfr4V>hPVO;Lgx|_%gTFDqoyCw_V*DSzn)6 zJ(10BoD^f9*?wi4pWEJAJsFFgT;1B9gQ*2Z@G{Z$Xgz+HK99De3$~X+Jx=u$*+)VK zcW%H3>?lNgiKYTZ#f7?rRi9;jwThvnv!bC(z5!KPcKp$+taFCCA81Rm>_$|xsW?Nj zj(KBR2bvFD0}z`q2-gL6q-T+?&P-3p)3MsK6Id~%rF_|gI+O$jikaB*cm8d=xkD$q z0aP`vS}fC$4tTQbp~SL`MO78CWa^>$gGJXm8PAjo1uo2ye@gZHZ7v&j%dBu4+)1kE z?bc{9(~upfak^Y?te!g6IJmyvh)7anX5U!r(Aef?V|rm~aQG~7&rQWZ)I2yZ z+~d-4du-R`Je%p~{U3?2M$4sOz=>#bw$s@W6Ml9>dm+REoZ`r_2cs_3cK6W<12NPhJvSvkXCD>9hmGnvvjo^E)$Z%i$_lNU>+FlYPYaIfOf7M8d}tQKF$Bz&`` z%r}-Awc0Q@+-t_GwI^*lY(x3RBHss1);B}b$tci36}m6|?X-%%Zdfxj2Wze7r&1D2 zvLtg!NQ9g}(wc|kju4v!7RayitsFzXdlUrd0o{3|u%yc~vDY0S(PN5~l4J0Y+A?3} zO^t^tF=IL`Swdm@K_4xSF6PBjOTe1R7;fALR1s*YqwRe4N8JD5zDpy|7&pVcjr#@e zXe%G*H=exon4hhsYR%?Gd8{y2t!^B?uzcatr45(!{e_K%d(UX}+TYqYUVA`ZPhuBGCm$bJXE})5}LQ<$!1iX*kjL>WZz-mm_ z0H)rWfiJ62RAlWmud0HCWfJz<|9Qx#E`&Je42R=%t1>w~y>Dc0WMaZU3|e4#*gtsm z5M4)K&K}6Ft@(OFO=L24zy2mVZ>Oxjdx5?K+f()4((JBML;H7+MvKb(YR^;GQf)C_ z#(GfQGz_BYnFEINNHr`o)S8-o`l#uChrZzLQ}oxVD*e%F7vk};$Y>x30*|}G!yXYciO5*ezsj3?j zcAEtU=!vMB+izHIXj4y7(SSbH)Xh`J4C~)hRXtXxzfL!pJ^Te|y#M<3>+}WJSt#^4 zG{5m5b?Y@*y&R6>y7VVfrqMKY(h*jCq2ZwqCAaL*;CkD#0^9bA1S%MsRRv-7u;vKu znDD1zSNueH%XFK2wAGAhT5#oE@49?@{~|BTN%G87&8ydMjuwkt?vQoTy1huQ-pu80 zUL}jSt&?))!h@?1JT_Tr=cWBrVN|UfPHx^iqMpjSw1gm65v?}u8R=w8!nVZYHk`Bmx)31W25|; zSR!I5vZq>Q>Y5xFABeAF1Ds>?*6l97q`Z%XHG6l zvDk2S?AY-;F1S1m>O_GRW z_|OzAn~x09h#{9d;s|lu6x*sMd}WzP7FD&Y5xYX{E^ZRDL2aa)DQ=p(#J!_6*C4#j*OgY z1<=%BayE$-ozG^=g+kI2qMC-3hJc6Lnun&MTCS#A!*r8}(^5YT{Uk#fq&aNa%?mlG zq@nA^gjiqiQddcLp;&3h6kQ#3c4zY-h{(4r`$>DLY|7~wy_ib#bz74H`e-jX+H{G} zj9r@xd{sVWc!g0()YaPXB~6p)RzdPv0Y73(leXxOGRvB$-|{E)EGB7uIm<1F-5!z@ z!;{4^O#jKv&E!IgR))jThljnVTh(v$qN1|bDKZ4@`f1k&hA-`%wvT{_5>u>(sz|yJqcWP2do^IHJ&%OjP(F1DVev5rs{6Najl_{JdPg@jQ=T4hx}Q zbfAuI#vB=?XfPZYi28p$ik1zSU8%f1$-R6vL|Y0W@bnnGqYp1 zV`gS%W`>yAF*C%>%*@Qp%#33@ev|LqbI;zlyTA9wQT?MO6Udp*Hpf7 zg9!$x-LigLLUgcR%sMd5^uFxmc5~2ORVS>a@!$;gzf2p$z!$_zmd6fjcX!PY$b?kb zGON>_CH0tL-hWZ#ru0G0PFqC@N2`P?Ex3Nd>gce84v<|UUhzJoOa>=wj^g73SL2_( zH1@we*${C$n)Vx4T~U9sO+AV|$+bBb|iDcN0lC6{sI7Taick>79yt4aPLcrG`jtfH+YEg$ z+JV3rp+3AaOP76wQT2!JYmz9K{G($>YC*QIpr2OdFN#2xhJ%vpuN9_Y&V6;h$LxW^ z@fp>rtZ_-^Ef|sR^1{<L+etjDEd7DW*2;K0+bo9$}A7i~F7~<_L}fiPLJ~7OGaz zNKtCTZq33?*DhA=D}G}iI!!(V&0Gsvzt0UETv>Z)7JTdfG-g8KrWchG@>HiE8?)UK z)W(cp5zC?A)yM7{r$6WKnWXz+mXM(}L1D<*(>3F;HPr>sejWJ1t)?thg8oJoz2rjs z14OU*c7zpC8*|A(x0rj>DYX4W{IK+J_fUvb zpnXA`+nq6%i@v+I(S_CTfj*a)Z+bot@q7C$3_x;ZtyLve1=0qij87%i@fxb2;7ieO z*}O+|UODGP%*!)n^lVIAQbl?R%vb4zY>S*`oh}FjMp!ltNG!A&2=H0`4O4~1ih0hP z_1#G*m4Zx0>)f^kgr@~;a7?7xoc#4%L#t<^z6+K(LmEuN3R19137@jdk6S34nk$3H+vQYb-*%rU8 zpV_9IV9-)F7Dk&VMRU&R0kBA{7ANkEhrNMeHtpPP@aZq9lGXUBJiupyB z2q01V;P9n8zy36C@JpZI!tJGqK4|((+|di9mVIX|-5eqAY&n377?hle8nLCT5=eS^*h5dW|NUfJ_-#! z+*sy^K0bHV`1&m*{}D?IiLRq=(N**rhM6IA^?6`{VU*}JgCG8oVqMQSP12*-xhOmC z+cubkAcI~VrpN()j5`Ge+#VN|-Y?;Sl1j*hLr-!(oUB(Y@jdk<@bQb**1PSrLKpTL z8U`2RMH#ScBx7VpooGG#vtU$&-!@s&%91dddC&XC zoV_G`MsgRfi*ZDHy1U8{DA{DU>^#FhMAk|0^~$qqEkLw8_?x;i@!Lk$8d1X;i&E zLJvP7JslGMb}X0G=H3B;l^wRF&ec_8?|mrt0L$q!ltE<;VIM(-j{ZT2`q5YfO0t#f zB#?dGkossTF?mIWu*T8+=!i4%jG*u5C3Q^3d15-zD?2rpW@#dLjoXWjSu_`$L82Myxg3RMrs+Bwz zb#A!(a^r#KrO6h%#o43e(q~UOjk1i2$})r)y!%M-juuY2ITE_zkBDz&=8%KF1+CW} z0eGka#F3khRpxNS*V&atQFE0qADEHZ zw59g=fK)EKiGe=s&IpAZjPAf;o|yp~gI-6k?E=79O*~-C>x}rD70-gWeoP{IEpSTRrV`_?-J) zx5M`SFO=a-QiSO_?PG^4Ypc3ACV59uNU5Z>@Vim*ERuSNu3CsF+?z*c3Hr%j#E607 z<1A8=Cw#uvpBB~KDr6$@CY*IVf0%wvN#b`PSS7c+t@9xD+F-(o$b?5}mD) zrg%;XP*p_8M22C4$N)fVxaL!SROF%v{$i?C78A(T zzY0@;4;D8q0IL*Q7e%l`>p~Pl;XSCI(hct{C|x*@8IX_~+0;c>&w?5Jd~i8Mp-Row zW8)a>sHQm?wNC-AH3p9A6iV!_e`?;S$i=zd;`#cvmlOUj9_#!0h4?`9%6$Lsa7aOR z0{RO(z`jCFq17s>>7D`nL!v!C5iowW3nu;8cvbuRTu*uB+R;_pwVvz5HJi)h?m!q2 zegjrAvZIGxvb((B0k{&3a$cim&95>!Ekz<9gC6?niDKk#EG$( z(S-PzG_brX7>fO&cekCFEQhp^Xq~M!*HGhZ{A0_7wX9-STj$;P*QGQJkXBFDF-mG3 zHyY*(9CWGCbICu_^tfrMVH8eg6pnl90SFBb{H^VdR)`fsvAA_=wwG^g^0+4$Hqd3M zm+tjC!e^NANYg}6vYBme7l!-nwNuh1M{B8;L}{c;3^Lq5)K8U$&CJXw@lx#2&JE0% zjbLgG@aGD?1<0ZzP<#1T} zbI%^h14+I(GAqm=ub!`o7i==lD0dN!x{_`seM^wXrBv1Yyb)b@IVsc~^!drZW= zeL>;z;d_$+2yXzu>$AAHgBMP`m(;pFsZ?hZVRuk*i*6n}grVq;ot2^dMJoM6RhS!E zX7z|~vc%k@a-!l->#f5g2tMxuE_*Eqd)dPv@m%Jl(Mn(lRUC9obo4B?jf`y5$)81n zbUJiaGYP!=o_RXw7Z6Py@vQ`^>F=OaiV?kxlN1LAp`9BF*5ce`zU_;&r;TX?G<}9ozZr2otwG z&dPc3EqQH!{?Q`#y&cq&>v$V$Z*I2hJU`9gNU@{aKHU1$W#$ZCIwwv$>#N^Hl#fet zZHI^h=k#n}b94RvEPt$XIQ8JmfVI+TP6@!ypR%k~xi9I!G*2f@^hV7LjDX}_h5u$? zB)=`dUx}tN?*eW{j;B}4hOU~26rj57j|EE3FNlYAB1CQ>y_9ke?T@q+5C$QbK%%o} zbm96oKhSmq!p^28@9SU=6BVUhHIoxc&g}C=LvGwqq&8RrtUt4bBHU9*xQ=FEA56zr zX~rddk*8J&gO*H`W;8*tP#KD>*A=l};l*6@cWc;BQXVpLwhxpb%WRe z7A$C-AOrz_CW1dq&nXLahkZPEs-Y&&K9#CYsogE=rP|s)yEE`|d@c^amAR1%tlAsy zSK*JxR~xN3%t+e2K9>WHW;vZJRD>-rH>>s7>jU7^+TKUere0pXTe>}|wxusJb*RoU z=T$AaMwmf5r>17F&>rIY#}CaqIt3Y#uKf9s+87E^WkHX-EtdQvxdOu6-=TEbuF71R z_=*_wEaJ?)9zFSpQ#7hy81coc>$IvR>#fr}Ebe1y`*@?ju+jyrMyV5+iY(9pq5?PzU>m?i# zF>Iy_v{lMUQk^XP`3o<4&E;hmxb3t2&PyC(`fa{wt0a4E$^)}etvVE(P!ThCIw_8s zb^pl0_@T7l`QB#POOVcRr(rz4v|IUe(77wDaw(1M0S?(E%ts@VH-u${^>u;dq9(CY zbpL!hF5MucHYTGFdu2ubw3=xsTPxrjDxLzmmr!Hbz}1G)r|8Od{H1=2+A6C=1H#>_ zd#1EK0WK~vwQCb==Hy{m70EA(QBbKy>gAIuMYsFrQ+CktDkApk`T6+kix~0H^12yj z<#)n#G<>*tVlm(?Jp&WEKpn5AiMzYIq4R^|P8}+lM$L)T%q!%<*_Y3!283-nzaPJ6 zfBYU_{9v)nqr)?paQt>*`kReI?BjJsea#S8nH@KBasE*-l4rf2;dBP_lGXKiz47{p zh+{eX`BEkLE#mh$rtj=wc)Fq2d#)*x+}sQeN}QRp_mxGqR<2+25Y;nZ1Wv5f=TKnR zI${0r3;`poXsHJ=3doNz=e$}JDO0~?vvr7xf3zl9vnP>3<3&HZ0GAqD(9KBvlyJ+N zwFGY6i&DLmm19j!5X~1hwwcNNPF$iC4B-0p9Q>1$Jed+8_47*@Cwlu^B0R~P`r zq$x)Dw^lJc{d^OhSxk|h_^~kpXx*H?O>C_EU4qfQV)U4?b`t4L4tFzos#f4(dqcNI zR#x~(!;2he?dN_$;qRO0>d)q`XMr5oI5AHR4@hHdn>*lktDT%*PUxldWakR=a-kkz zlgXn<_fsuBtO^%3tL5LL}Q-$#qezu zA_op4iGjS0GvVEmIZckDZXXmF4bL*WB2Mn?j9bf}SBGk1g@Z|+wtMGhtD+i)i|f0Y z+}KvvcXsC|W*m9&%2IPqso3DOa#hHTu>7(U8+wVMwr8)b^(D%XP?GitG!8;)Bn0wo zjW09c-}er#W~_Fz?)_ia46bl-8n&_+9vao@&yV|GN9hR7wY0R{#E9*!8xJaRuA2Cg z8iEH(;7Wl{m)%H>LKf~>4MOAEEG5L!VpKb;?MyfhlrTnb+dG}@0At88)vtkhz90@O^W zvULnp9Sy64)>OFQ0M)#%Nzzh2nDaWPR_br?&nm>1y2l|9KS7!=wN|Fv91RChq*M)4 zV-Mio!dt)JtMf*({^T5{Xt zW@|7r_Ixp-krO}-G!CL_t8aqCo`NUd!JLIFM^IHad66SHl1@ivE$Qa!t8}`rbT_uK zfUT&g3Jksm2GbynV$JX$a)p#{!LHRZt9Frk+AQnYglBha{n%dr`M|D^)-y6cDBdMg zVP|JTNMm`3#a{W$=wCbPvjQu$NT~2smeE7;fA10g z!j@y`@(PWivM{T{Vp3xj8%9-X{w2Nz#UVE)KB*pM>BTzPCDzaq_cP&2^k;S(Tyu`5 zsH&YWc68))V~AN50v}O(f>t9j^^Jw?<3-Z9^6Ad|2OeTS@5iG@H$6YV>7wvC$I|v~ zj8*yaR>{6A$>sK7+m_lm!=pdtdN`_z+(}lE*X3k1{ZGkZGN=!xNPL7naA&dV_WfUB zlbq7E>s_$}5U?;E#uDBTKddM%_!sDAYe}5naRY~9Ln63 zAd#9vR(9I1%;ieaP#__3ukQo6#*n}IN=G(<5dojM_tuDqlnjYr8Z}Zusii1Ss)dP> zo{cW!GevV(nQ<<>iwN|fy)C1iyO7LBmS)lD=gZA&s0ieAv5~>w-Dh>BaG=yr1?#O9 z7R}?2Wk-LYPFd6*p9tZ_7l1X2Ah}RBK<8Q3*!aef&FeN{K9Ll%QR#GyHTgY3+f9Kj z$|@54f@<@mY$Lub9=L|F}g+$B`! z7HpH-OQM5J$zI0ZQGPio`|?%2opF>Gk2t!d0xVcU2wNG+K4({V=MQi!d8QvQ+(v0y z)~(UX6+=9Q=}85U`~b%y%4cA-SKrI83A)aiM|ePPRpSzp%e^yM433JA=wdMDm`wUH zd89naP-}&^oKyAz5)onrmj3via7Hhkv~Rmkq*q>gIPS*+&)dQg=#`#R^9jc*UUc=S zT{`zt+PQuXH=!??Qu|arckir%;2^s_3~?)vC1ODGZ*1z(MTqb)yH^r z5R#;Q==et}y^`BT&!04lQM@ljg1PuQSI?S*3t*mDABZ2z;`m~-Bp@+)y^{Wm<8!E&GpWoF??BvHL zYQTFpy2H^iy2VS^#@}aiug15(wvIW(bu&C4cD;8d0FGQf4U8}PdaqneU|cWCGNyGiFA!QD0GoGLs3F)2fvT%G2Gfc zP&io0Cp3Q|9|&}NNcsS-5{NEgHjC5bx@wK>J|-!fha5bF4i~+oc7yC>BecAJAN;wL z(zqT$zNSz5d~Nm{pGX0(+e~=>^o z8X1Q5l??3nNaL^Z#$S$fWHcM~JoT%qn;zE_Q%0YDUBo=?JUlF3rmja5Y2p?096C9^ zuYiN3fnvYPdW4rszA9hxx$odrTsApY^_y1q*?b`tM>=jIn=^E`%T7*Up^Omet*g;gIgAi1snF7ml;DIwXe;H@(Exe7Yo*#8Qh( zz!13b*;)+XrrMA-~rO?!lATnuAuJueui1x;8s$^FDQFn#~&P z*ZEksB~@`od3WWfqbU=!1HVPvwpB(KuFOHF%BSNtX-iUQP+QkZNw(fkq>g5xs|Ks} zi;q*S`}Jo$(T~Ww$mX7Duhb=IV*cFiKDsd?4J<1KHqzLmuuQ4UL9NT%6|BqTj?&5f z^C&HD?CcUq5RjUsSnk_o8%T!NTjTxY*tq8f42y4fhxjQvQ> zny=N}dd&Udk!xod z8hv{cviUQsu^EoI^p<0}HTvV@k6VJn=fuL1^11pa*}_!KdNQ)H|>&SH;3#}oVwN4)I`AVg8)oL;O(jmRG>!s z6)3f(E8H(u0S3Cx0`LmO<+?uq{&;3pje`v==F3MgzG8y{X5S@#zta=bV zWx=XXHn((J-+EvHv!Q-oROtX78Gi}0h7?-nD z+&<1EI10H&Ot+#-6_U|R)m;hb)vaE_)ya`gSnhBPY`LvAN)kuUkEQ?{dup!ds&*|l zo{sn|daEl&@mDwnw%Y3w`=Pij29kw5)8@mKJDY6Zjk{gl>=fAJlSOyEo?4E_B@$iN zkGGTn(p#m|J{cV*V^&AsG*1zf^yZ3Qw7q}WH4inYbkt26%ujRci6TqwwG<9POjJ^)k+F5PfUV*jVO|rK=lGNCW|yOQsK@uAi*0z;<7~Bif<( z1_kCmK95V%#0;M>$3`J+y3wdmsru;^hQsi$_g#S0AP_+iRK(Z2mV&B*CZz5CiamTtb`t(x!Fqr9@- z<_+oKU9gwfu6Af0y7Mqgbm+pJa;aT>@3~lVtI;W?K_?OII>>0vM}3E$n6Pmw+Y`h- zB9=pldHpTq{$hOBrw$DS#J|}uvQAD;6hJGJ6O>eoB+AvHv!(Ye4#1ChZBSY{rtC0s zUVj#?s_U+fdy1XClkKpLN&E@$S!KG&u}T~+O$vXmz6Uwt^htQWp6_7M8-44>T>B{Y zxZ~o1>{;#N&%Meph@WBr18Mg@pWlHn=wi5Og4YYcq_=gTOSZnpIk4o~#zZz8I*>hU!TUJ=XEg*8! z+th5Ql0thdGq}d)Ndb4a|DnUbnZ4b@Y`yZN-v4|nW{UDeMQpb~(T61+vppHP?*v~d z9%U=LvhH{oZ4tJ;Qc!z^^L@nuF`VU(Ki3s){LrOiN_#WU_yGA5&HI!Om5y1L*Rl{V zRgS;0{Wi9N=zV{Y?G9!Ru$91G|K2ncqDtj#`O z5>+a2y>Sm@Ulk6*zq9}Us3It;EWgmVY{mQFN@b zeN%SE1>6~P-pc1Eq|tCxx_iByv5AF)jZ9K^M`~Bv`S|&I^Y+VK+Wz_b{*Uo9nn=r* zxpa*~72oZ})(?PO$yb`MSsKbL{c3D7rXZc({XfRPjeVFLsO*vVOJbH%IY}UXr8Y6< zF8y7NnE=L|?AxzkOxBvlPb}GO_4RAfHE<#AN5iz?Zer^LQ|!aH2oU2_{^M*rh7^$pG7>OPIOU zLmhF_PWe#Ft)A?iGuUVLXZ0KPHBHHTJ-c$ObPboj>KOVW;hTKhU`r*kC$7arw5c~?b7iVH` z*RsUmCITv{wxuW zW`55}YC!&N@`d9C9}&Tf@l^P866w!4VzRk|y<{rpJ0Ao9!P}AQvN+inD1{c@ci56U znCoG2ra9GR8r^7Ej_BbfrbJI<2b+y=MQ&$Vf&M-+eeoqDA-k$Ix<8eCqFp0e3CsOq zzEO`6TW*f6Liq%cRE3|BD0PzV1Y_ZHOJ;$&!A#iY9=5%p(=oyrt;I*WAyjm`zdIbJ zOF;Ckc=4oWQ`r|LkVL}1^Mm;@VRJr!B#bYw`*Xa6cJ|(d`NKsCcS?8x418aWplUTo z7zM(?>crEoEX22}P*d^p=W%68sw)OS)2S@F_tg9=Z&R{IcbYq|;=U@bul^b{qO=;+ zBH2Ra^F2+!Y%zB_mvp^Q@j04;8_O<}e29mTTSm#B`mi4jzq;M|#io@SJt~+tl5d}+ z^yJWqv`P@=Iy%m9>~g&`z9uCuT^k&57>bH?6OP`+X~t3*da^z&|FFPeKEwx9)oqWC zIOef=jw@c@pimq1&pKn!(3RkKHp5;mdSFI(11iHkO^=OKmZ(YxZ$$ z;b*y@6u%9TvQ3FZ4M&9?rzPLb)^}4pFsKkC(WRXB73_8SuhslMvx0lGr2CaUjLtFX zMorm!b~{`MC@wg&*?Xc5%87lQ9QP6DJLh0oqRf9Z(rWZ|L72Z`*B$0W zYa>#@%h~YCXTNss+fSM`A+Nt2$5?a2Oo6-wM57W0{VH)9qV3MJP{L`tdO{2bm_E2R zG~T2FeZZ<%tfZy-J6XUX3)qy(sOU?mbiYu|aq{dBY&x44-B`lQlfN!^q*RtOG@gq5 zzJc4=);?xtvMz%0WTZ*Mr-qY#_!dC3uVxh)^PJi+SL-=wVC62b#~Jvi)Je7DhA|? z7V~v@UiE0i$=-O1w8q-!dcko9zu}D(s7v0LEVZ7SWk;Mf)0NuK-zJ%2#oqu<;T7l- z1oKZKJ|b56F=<6K&?W!c`D{uSq-P?=sree%7pQf;HSvaX>=y}I8KLM#Epi`woKKgfaNEHVJ9%K z)#`+i651tnQFAO~pNoTOj+MzCx5D}Brsws!{(7zYZI?m6){cp1)fI8 zLt|a}xknZe-CzD}`3d^@J z?(WG4?utI&jW`)N>7%jjFm2-)L9Mop4Af#-Y&6^n>g$24%lOTG@s|>9 zbds*+U&`&x7f-h+VGK546ck;VV6` z56$=-QN-+?@%ycu)LI~p`?cwGgNnVhqvapFZmsKFdy3k9rnOOx?ADg>O`$%bSB@KW znCLHF%Qj4fS!g*rW-@;!lG>^hW#UvXV59QC5K_oxvR7xZ^T7mC(3eQDHVHHsDE}dX z%Fh;QgqND69y)q6AsZrVL}Gs9MiJo_TThh1sou4!QV#j{+-%E&$sfc{{4>>I>gUF_ z#xPe+Q{}o1FMIrh3k!r`VGwsh>oBVV@{KE_Ul!&B@_?1((LLGkK%dluNbIB5<*6iL z6pq2K=P2k?)zWSI;kunjAj?E#;SuxC(cr_tAm^?pk28*wbzXQV5Vy7s)_M&W;Nr`V z7*}S6+_Z@M5v^Caf+z#<4nHzNGYrMGz{%e?X~LJaMEE!!uXoegXp09<-&!P$J6aIL z#4leeSAll$mCH>;6|cxs!Y{cHZ$Ks8t~FhouB@8f5xQ8lCR9J zI;mEj<);6@LBDuqEw<|3iuC1q^Fn?4EKSXJU7*p?v-9aq9ED@p=A3F^gR{1aq)nW< z3G?reVDyX)I^|oUYvlXInIi3)I{O@?uwsV!NtZseyu@Sa&C1H@bD^ zs;x3mx#09YduUQ0;6q*P6+Wk0HIbTr#2RhsPLn?88_1e<-|`XqGsG51ayDB>he%-d z0AR;HCk4ix|A4K|dN$Z^70IR%r)UlGG9gjC^(2H9M1iPfH$N9`fEtGjB!LNR;ZSXe zkCYMkoM z^XII&P_M)SEngbnGDLEu3u1bKe%c|PZr$zLJb0>;gRk?s z{=wHt)avDik0bl=IGtvSfDP2gLAUUV67{hw*@}nqT-jj(TiXqpn(pyOw8^UM1{A4N z^>oyXk@-6Dmv1arl7!c{<^}*3F+$!W0P`-<7ufcrk&1n7$MM$nHLXn5>E^b{ zNtyFvd0Ou60hRI6c{FviH9o|!$8gI;JGwL5uf8HE5J0(qMLUWtXR2>O1%FVOLER5# z!M>YPUMUe-CNe(afi=^T&u;T%v&%N_Gb>yQ6>Ys~S+->@$r4A{Z+rm|U%ZO-%#h0=WVT2;zCOUYbn(fuG|@6#S>$TJ=)cwcm$LttV=!ayx6QdAop&Ru zLB-NOE6~YN`2@L@Q_(+;2?`p@=hS>)K8OrB`{ZdqA@_X(x{p0?4fXwdKb7#?g^XYk z_NnGjn4&gH42&TK`XK;F{B_a&u+nmHl5{j>6_l%wzu6iGu-^r!U;G#h5Q0A|PW+Nt zNpbt?Cw9Ie)Tef}MPS$`W#*J0n>rzG5L882Ogrn>rMhmQYs0FIE!x+&XjWC-et2vI zZFpCux94Px^Y!qJeuQZaeWYql@eNk)WFM(IPTQBan6)l$$hEL-IBjTN6>r>cz;AG0 znQgFMk=lZ)4e?FL9FMiaU5VO4HOF}cYYp&?(H#-(n>tFh47dK?@Z?tEI^YTMRVpG( z6isL59}?GOyk_K);J&9e6&Te=VuY5UJ|c07)9xiOMM5Wb3g!~3GM-{OmM~+FoFvm( zv1ZS3HsPrw996N#Zh{{*Xs_QKMPALemYa*doP46%T;;bWo(sAhej?{v)mqh(WN?Mz z33@O!t7DO**BVrf=eOq5k^|Hs*WF7BJkdST&E;(Nc1c0i@T}?>leFi2{L&ux9r6_m zsR>;XKHz?`da`oldqaFf#2xb;_m#4hIE_n?xl^K-Yt4+3I8B&KijrQ_ISG(Tl2 z^!FC;qQ)K>>=Ej#ai+gfI)tA9=Z1D=zGi7pMNZwE2%Qk0$Vuay7@p8QVwm7RLN^u2 z;($Ko_QTe^;Zg~e;))1oVr%T=>}Xe;AnA7=gt9`HzK#h2(EOGY2OL8zbZY_W!Sxe;@y69URQeB<$=Q zB!BVW=j=>OKuH|H+E_Tb{*n2YgNv2*zf%7zA6SBojs2g}|Elxfb^K2W|99$dX`ohY z?EjsEB^P4jd)W|#w!utf-Wn1x)mUSpF0 z&kAgM>!VN;#+y;qE44UMt;dTKe>`|+t;C-4y}Z_mHgeZB4LmBEkV-X~_bqIX;Jr^x z&hulI?ghmZ#KL{4DDbe zPRTl)VwQXsOY%qrx-hVT&_YYlS!4}6zHkFGJeZft=AJfgZ!!D~jMyutuzbftNE@R0cB7NV)fc%yHF}t zrGAxS-Fj>L=wo`qYoddP#ltnnY%2{C3B>!0*b1}N=%`bdn|?nSqwoGPNPymS+9KE6 zh6;8CIDajC&&^imPxxesVrN;%E=O#8*VOuhh9_6?!B&0ip}R$fNu4_9C%{3gskI+^ zRipKvIZB-rzmxN8(1}clTn#K*3-ddAEyu4_QayLsKMC(s=>6^zq3u7^_U?Yon`O=U zSbA?3gJ3m)tu$BZc02A5K_gUyJ?|kJzm+qrRp94W%R*ieV}qza<#gU=K4u*Ga=>f| z(sJauO^wOm_d=6ZT^nlWxK2T)WPY4tmtBDc8SwY~IbN#N@VmXflH!le*aF{3hF+@F zX+1i;s-^?msiIof3&ovM;wA1k@&1fcZ}z<(Y_^FBwO>C6(In>fy9{M`4}EvVbML~s z$I`1YcpO9Cx=Uj4-~A5u9eb&|!@a;Pw25fFJLK{aUad;+E&V;R8q97juzmkD8lT-} zib5apsXF7A9dP^i=-zhIKZq?HQ6OjBB*T3jZpXdXbbh8k*8tePa<1q-Zb-p?Vnwk& z=C|$F)_;x{*EGPMaJQ+UKXw+-e5%otEpDccnsa8R#*z{AwL~3RiJJVOr2L`5W$|~M zH#R6Xsz9YYe3{({Q@=C%OtPY?X>17`HutVVL7Oq-OmvKzGv5q-$mgs=V!O={kx0p% z&X7U^c%bGNuP2YFH1jt)zwR)nAvA4Srps-8ZJC^JK`FK2%(M*(dZ%sKvqzq67F^!- zpw)^fC2||Xj?M{jtneeHXXrh}Mx6H_es4|P>Qj!ifTbdwr;*-FJu+&;m?x{q7mN z>Bil(4xv@^PNAL5$VI#S9i^Ct>bS?N)kU8>w)^_y@_xBzRBWUpXnu8NS%Whx)&*Yh6Cl-E)c>~aPK zsC}O(q?Wi(?^>^3{a^eWm1$lPo1wjia4}h3%s2>QOuHmbvHp_arX08kP(i)=j5z-j z`a^H|Ionr!^cjcnHkKnc!T&O4mfSE1wePCglYX+89}B?ByrakMcp0Fznnn0wa=)1E z@;Xlca=^5p-x${JpiKH!w&H^W7dj2#vA8yP*ZIb< z4I&YIURj#C=rlDybr0NQws(pA9D~+gv346fNCD5hh)6(uIrvIvU(auLMV*%}W zrVEL_pjistp%&Y5VWX8=_2X~FaV55StYYr$GYLVcKW!knrxj^B_efP5+=Qx=uk&;D zQ{3gG><*`6ENb8@z4-^*-wf;*M>=<{mXB!CWGT!f1L9<2g4U_HN>})Bm|8xyO<+`T zKS3Lq2Z5J?zi#0AQaN2b=gDD&Lm!~$)52V(cUo@U*1Z9P(o2A?HYu}n*3rN_<-n~r zgZ&%UV=;!HxwLNzj~@C$gaH4hXMW#g&tu9zbkYn^*#Q5C-gpKVoB28bMpgNn3T)wo zz${|20%jSzyu8YSn;Y@(9>qF-zFw z!!_Ym(z?GBDi8WoU4A>zH8o}pw6dgLAIg&Y?Am~RLL>|P z>jHflwXoKa8zc6b!m%LqnRn_>PdI1Otzabs_A{{5ptiU(vXilQEUbR*1xn?VKHi0S zecvNQPC{8h9kE7ytX%rgpCx8J`4i_ipk-!#h6~7jXbbiF7Dp%*{`2x)JI)J9H3%-4 zXI3?MZ3@dhxFuG*;3Z}SU2w-eX(i`7K_$nEe8{TCx&ilj(2rp1;ceMxKWk|2nXUa7 zOYjX4&qDR-&O&!DCInX?j&p5`0I2Gro~W6T00m9~ClLA~Pgre#0F!zwz@dfl9!>kl zBlAia0QLpt?68EP59@{TjM@PGtXQArtaz6^?c)Zw1?Ca%<;$7buHnLT-c``IU9^Si z{$T~LQeDUt)2F^gd)za&8`uQ~`A)1RV_)#)UTTGIm>i)Gv_*#gVuj{DGv@A)&%kf; z)uGe*zL5N(mA3xhY9Gp#yPE!oX&0!RDN*2dpHhik-+W1T0l>>0>XFVJ z>k;{e;EZ_JV?m%7J`H+z`sUk0xBSPqcf3ct8jgFAcfzNR00{ltp5h#g(Bj7_79ZC)6fzb0TCMjspUMf zLWXY`kORmc{okMlES9wP@NWR-{RC3~fwZ-U6d=pLKtRF;owv{7w811x&ooWA{OBJoN%<2&~-*m@W3-PynQU*Z}?)5V8S~ z0~3MJ4=h^5?!(b|+FlC$M%4yjYdP-*YmtsuzJlgXjKJ!AkoVxVDus8mP8oSC)6{rYtu%}2Pom2SOZ2g{aVc9WJbX6Cb`b< zG;mEvmZZsRw$KrrPP@eWcgi)zs<8KwWNFN!zWwvTLoQ6s~4PlJ8jLH zaWX!kB@*vF%06#RbWdkm7c85oF>yJI5c950v;nBiA_NqTE;)hx=2>e073UMW52A_( zT|c{B8X-|;P3u+@Zc+eq~D9a7G~BE{xT`dRS9j8 z@F`5tllmF*NluHzxHP&C?ij~`zyuW_$Zy~m8~689aUTy0T0aT|d`qA=)uo(P^_zpv z1Xki`AbrXptvW5Mygu$CXODy4J^TtQ%IB^7P`}S5r9WCt0w)NOUpRrdqvG8V`5uTE zP`f!!e|r%E5NrraeCVEeY#%>s={*pv0f}U&JrLoVYL5X>TCVdLbpn6uN@t$~+T=cj zzHn)xqJ%-v5ab}VFRs}COq50hfyRm*&{rQq!3hJ+owoB3Kq8Sqdrh!GouCrTbpT=B zS~Ki3p8UsFF>NNSz{=hAiH#N%#*KNsjlIK!LY}oCwnXwlLwBPeMxzrJX~F|lnA0c~ z;wcpB;PNR}E9QDy{4`TUntJ-AoU;c&Dd2B2=zt0aSqn{h3cjmRlA}SB@O{%E=8BZp z?7bx)?N^S;ptgzygKDf;(fMaSM-I)^EfJ%kPOt+J@FI#K$Y`=jqYyuuVlCK^I{L+` z*N`$r6_SNY;|mE%QqDLzfm>^JP4x%YK8U4Yxp5Ryy00?9ca&(;0)>%2{D6&7GL6|+ z@#$^jWYG-T$hsujQnYCB9SbYcScKetPzc>VCk;+Aq_}m3&u4@>*jkrQQ{Em4?PfCv z|5~I-a16+xBJ6hfeG}1( z2eM~A0D1vgnZU%&`AwPE+m!bYUNpGG!D#aJFlZDNiCf*5x_pTQ873_ZNhNDeYJ(VI zg^`cXf%WD+Tp6(zfAfZL)$&E!ogWzSFOz#H7w04y@8_KUT;KW;Ry9unBN`RI--zxR zlP*xH`$Ky*6fWrhnW@JI%|!rW2V`oaNWW(niFx}uN78CE|CnQaPoPU^ucY*BZlslL z2sBo4@?s4l@0QA0+NXcUbhV4(g5IGuPl}rD%~}9of=PsBH0A8DDVgj^8=tYom0`sV=7P_}6{eFkyRdYrqqs8frssc^C1f-_KgcXE zCiRbRv6XB3$F8Lfw#hsRy!<4qK&*c&#%8fx`|;xj4=r2J8K5 z%j-Rm@(dD|pRXwrsXuOr^8UXb^(DhQ`;YRWwj^7yFbL8Gcnmnxg zLGRUbIj1cB}kIu`AM6&xsn|+UCz2V)9ZG>uI$%5*Z zAy*8We@tj9FX1NPi{k+3Y_WV{2-S|<1pRjb>ZqWl`0a30q-G49)w2EkyP`5hju8@$ zzeBrHwuzbv)3jza+f`Okb*!{vYeSt4T>QrqZSfyevyqXR;}Gb~QehSa<=*Y@Ce2<# zC+2}F;0B_~PtX)Xz=8XZTt0Q+=+zawXA{;f@49ej{KRj`12m0Swa|)3|}YJq}0Y`i&EDJI*KQXkN#zK?8b1zcvNZV z{PRzy6@!zaKAZVxx-*N{X7`s>rsymO+51Vjf=+B#RXZ0-;}w_S77v}vU1im9Gq-DD zeT;wbeKDeYMSUTs!3ds@{_lL-z~i8T+b90K7aC8Td`-M=WZ#L?n@{q#qn|Ht;Mk$9 zdn!N{Ez<8Z*VdaVA`2U;S z#MYz!|5@H&G6t3vJC-1o*JzSHd9_ZzD5MtI1IQexSj(1EbhNN7L%uhivV=eAY2@U% z!v?b9zjDlDdyoajl=tO9p#6u91>Uw8A_*^dhya?d9io!WTutF>_C@Rm&+kEc<^&WK zfbuLD&fuSPb_^KMU}H`Ix6O++JTd~UnmMugLGBN+ge%K}Y=jN~>eC$$ z--aYt`{aWA|G zu4TYU$`2w$2Nl+Izjg21Y8uyfojNb+%6=dkstXDuGxme7@VK8do4o0=w=-Y2jrpu(nrcA6`tDX$X~<6WkLb_6;pU%sq@6joW!t7rTsE}pJ{+cgLOTEEp^KY2y;)FP z63m-9y|tjr<36J_z_9v3K5wn@=hshd9OVRfa+)&^cw2anw1enKDd2oGMJxD$n5+!d*B zlR3nbOT27&&HZ7Qh4elEu|pj9s+OT(6Jx;d|EZUR%1_}*y8}9YX8$kx`H|qq%wPcH zLce+@qVy8v?>oBjWb`;yBCb+r_Tf8n`tqy6cy|E8DBZ9j&mbR9aHF;XT#0SXr{ijZJPsOR1{ZHW+} zK!g=Vig*(Nx-cN$;>(@KTf9!{qfdJW^6lM>kcPy+&GPvlt+WTw_Y;lp0g32sc!7#d ziBx1iOW4Gp^0Wu=7Vcv7VQ8zR{9jFNG;%Z0z>EkpW(@V8*(JS7m^p@D`PA!$PtvE8 zF6>0T0Uf||O@wX0%Sq`hs_L!fg~%x zT%F&~Mwy=jP1(X^Va@vXHA0^nh!V=9Q&rX0Hd8!}O-(gTiEwJFvo$m&uf9hXme2>& zh!Io>^VO1E;eKPmsDMRm<6!iwE(&IvAl<_p>+t?l0A4;3lGlE41(|wPbL#<<6B(mJ zKa877iH`DrC?fDLt`gii(2OBKvVHMAKLP|8E@0k*0A&POwc@VSC=g;_x;zKX=%ELR zOuy_$Q7NF8f}t_e6Somg6n0h$zyto_iCr5$ybR^PY&UhnEvITP-GeYk16Y(-Sy#)kuyg>y zrqsm59LBgDs>Z~Sv$7S+Ux@Pe(x{<9dlfR058@;l&1p;`+YcAQD(=mlNBopI3GnDa zo(ZR=lIQN|!I_?+g1@#T6Oz^cn=lKR3Fkv?!Y41k{$osK zP36emmIg_waU^F|X+bCIGIEM3A=7w=PF+QHIEj&OHxV1BqJRL^57Zn!HG1{&-%0~M zT-R>}#Q#;s_qBF_WeZ`p+mZ*zfcuhV+o!dod#=FkNv4j<3(0Q>2b5no$sMtj%2uT; zr01nFIlL}Vn9p&w4$VONJ$F#-$(>~hu3zTa7MX1M-QB?yX-9>?vLptd~ zuGCBmE7?kympZ)1M2u~i)KoF0NT^HIRbhULA#X=DQvv?}&CMSFMvA7r1a{+!;O#C4 zpg=)2i2LM;tjWE5b{2r4+r#R7@NH>Hp}ED<*4kS5{O_A&O%1e`_E?*rbIe523zV9= zSHT*06V`f&vz?2JG%IAI8f;$=+#P1p!Mv^R^x{IndkC!K7;L+U&X1BxH93Wgm?1Xd z@;LBhysD>bFZpi?h5Uabm0t<-`FUres@lkwT`i+#DCL=DR@AAgthug%mp6L?xuh;@ z`>VXXCPIakWZfG2rKI}hZDTI7U zE{}(jvw%>IyJGhM5>|Ly!#D2Pwz`IgtjzT!3+!&)W(4?O&1;Rvf%@@ffg0Z?%d+iQ&;v89SyX_3{(LtWiTUHm?}wiZ=a7a<5Ex3xv~B~s1;N>KSk zWu8teg8DR5;^SzTkcYuBo-AXKr|dw77Z-{F3Eua|m(Z11Q;rPH3_;H1&fQAHYLJWZ zOJRve;r~qa*e*LUD-19v^XkU!wQj*pV*&S2lF)45wq zKX%<xA1??1i*_bIf&JWvP}b`>^Oa%n1St8dS86j<&Rk13b3$y;Y^MS9UKf-zJ%J!RJ> z9ZzS$m(gjoS==U;l;!kPd%a@f18kJ>Qis{Uw0=c-f2ALKF@8F$Pglw#dldNjX5-$g zyjw~uGs|bradn$&-T$RbB9|43+En;KQBrknWi^HTps^ya5*i#Ruy5Y-z9ZK~rj@eE z7!?#+)7U6BNBL#+%+=fiDzBxnXjfHLEX|0z(eOAiljl5_*%V)goYr;0;zN;jY5mda z$X}VqWf@az$ILCL8kZJNa~*kpChFSjgK3SwG55=pk@U+8cJr^wCm$}(dVQ}JDA*@C zJuXcTEXzf1rRMt0wZEIp(Q0iwO)kUTs#}&8`(3Mon~!oixYcVV>2>~Ya#CZ&(A8DRI{h4rS~ZVgCtt}FaKZuCQuy^EcWbq6x5@#1TDx$+6-J%rTFyy* z2h8P;Z`A9|&&_&2hotP7hnIGEegE8Z=DZIW`9yYLPrA@2K;Z0Z(ig({tXsNsf9_$@ z!25Mvv*42MMAv%^&QIzYUsp-JQ@82)dg+qQ#Xw9gHd`}`w#ccOD7 zZum5VKAnyp(!f_A7RfkURj#E5sC31@Y0j?nmkd?w;gw)nCl*5Slp4?NBi>n*8V~Iy zTRr4)X7=%CzkS@a%16H{GDlY1Ye}a(zGQFLzV1Av_wLbh=4#xYo?tH4J*4Bb&gku? zQ(-3^K0(_C7KR-+l*vbK|7v@Sv5)=XSAM;4KW^vMeW?rek>TdLoPK2gcG0}Fuvj-yGj~FOeL2}Bsx>$EJ_WX=+);9L za(F6Ei@(U*o;Cu9O$~FOnme~{&0G144XbKvYt_NW)lU9;JST&;YOe$Bx;yLosMCwx z^$CaXgPZwT2UTXK$CI-s!bJxN;>=@AG{!MS;48iPMU#BvFwnasP+3evWH)p;_|1J-l+BM6= zvE?UrWj?mluK4F!&hS^_-`zE_N#wWt)`#U9B{;y;cu|{ zbeQ-({gs4ri;ml}j{%J@gl_`hZSpC+3*`&hw*L25`~cwXE%?_?FsZ9G!zOmYb+Ruk z9+*yrXM?r4Q(76Zl|O#ybR*8RrQD2|f}$}7R)3oP4{o-Pd*eR4FxRWfVbj?RI)1({ zK3~|R`|&A$seSQ$93k!29sLf(E>pkNFI~v8$NF>X#?T8>wmodh)a_vKdd@+5sEX@2fjS+3@bs}wru3V&-W%~7an1Jrk27{}MIB9HONwE8Yy~26oRhYLE%jAL z&A|jF)renaufMG&w_KKeM~`1*=Xqm~MK)g1gsc0f?7DrLUTEhR(}q*pZf++`w1)HP zE?3&qa>YLV5LcQ}j&#FxNt-&>t881h>_HYyWvJxH;J)v6@O#cdnWCHFn(#e;kSA`b zjY-5e#np(_#p3bn{aYoGdty$Lu~wz!QTNAcTb1(1D)+~3sr9x#Qq6(LV1?x3p^W456-4lRna24C8+I=iU+fqF-CvMW$#gSArn{e&yBnceg=3 ze`|k0O)kGSG1UAiY5;N@(oBah_m{>h<>lzbFC)`YYRHXzRGCy|R3Fk2ASH!nd^A|& zP_UX##jzDW>lKw^P&KN6b+T!*doJn`Jz|&|A_YxD(NU^j!W-yZ5r$PAX+}Vnzkf0& zqMPkpZ5$4`!;>-7`a-L5YNHWd!`hOqsoqeXDyeF>S=?}0)Twka(^jSm-LvOV8&prO zE7x*pauD_wehsaJWyeMzL{FwK*RpSNF!MHhovh4Y$Hv}+J%m;Lxkr2};ZaQG*mS%0 z>Nfp-`Z02Ztv$%6U*=^Wg?4RcYEr`jG zxku}V;v-Isz9|x?vl(?DCnfL$>rcFxhH~Gybnd$Lu$9Qzi~kYUAq>->2GMC$JRf;JsW;+D884qMD>5%Pf@gRhX z?2}!TN%jglt5C64t}VZFRe4obc@e4@`F{6O9(DiiVaAuo+t|4}W7cHe=;kWi z*e;Kxb~gse2uMVLK!OzLScyOfS^xslE;37HRDkefQ(D7GLL&}Jt5t_qOe@TwjZ~-q{=Wb0`RU3uVs7SolwqEG%sa!}32phAD+R3+>KVZQZO!ow{x^v@ z-7of4XA(XU0))}8n!GXg*$-v=o7wvd^w!$_TU;XPuIE#s?(etvi;tIAjMa90JJAjMy?d{B&lWcbB=6avhq9!&%OrE zE864;Om}-Lc~oyzs60Z*S*`$|*=hE;G6I3@xN~l|otNX6_#@9MMPT`t5ts0}_3XS9T1qCyy^jsAYCgeE#6KT;km2SSQy<_i0m6!jN*5&&N|9MXW|C+YNcjDDt-hk8G zu%MTXea$qN$Rt7AAyoOkqoeA%+~H9~d0hsm6&i7SCeA)(JdHidfwKg~@@w9`G5M&U z%|ZKUVxL@@Y(^9XiT0ic5Lz-T4Lb9Rw2Jk=%0D~%;uX+~t5$VuC333d)`={MWQs-0 zBo-`KDPpqF6gl03?gJgCWyA|B&*5p9SNSdkqIre?6shDxZ;q^$9w zW2(9(yP@S=d=1tnZ3WT`&I8T1{$5$P6;ZZg@6LBDC<=Nlj3HhvBai}D!8Qvs~!Fs;)-5iiUL(ST4t=k{1%U#9TiH>PebmsaU4 zHRq5&3oAhSLER}>UE?->@9fqE(H7Q`Q=?o~d2YBW3$IHNJ@_iC%-(ac4`)VKV#M5A z+wQ@cPDK6(s!gmETZ+=JwM^Syzw!kqg&FRG7oIZbOl}r6EV%$<)?1iPMRrN$VW}e9 zE!aat3x<7o@C9TBsvyiq5losCw=+1f25Gf;w^KS;qp&N3ih1vY_ag!O@N6?Al_N-@ zCMNhl&pu)>kAjpKSHi3-4Tz!saJAk5{ryuQejp`A=riHM;9+lTB_e%^uyG z?A_enx`MEi`L&02G*|zi{7Vr zglisUtxhWUZ5@lLmDWjrFR=h`#~lvTomnj%v*kQ z^5>lDALyTN?`~iFjo0nLGM7G%C47E;-!>gm$koH>x3G?9PcV+~f3^MMEHk6*gG@ET z$)xMz(c!I`mp3b#gSN;wM`j)d7#507Vu(CoPw$DnrN{UVQ6z9Pd{d5&GrBlrrO7f~ zUOQgC!OR-Kak;3@XRm8BFhRN3bPBzbTnM{cyA}2*Zu}GLAunnuRDyVEM?lWtgSn5- z=o@)!9Zf`S06M-i5jNQBL8*xaMv}KPz?4e^OCQ95=HKMCI&YtTGfIl{8=y0EcO+2W z;M6g&`VVX$4EN6wldtQk_aE!%Y&VMid)>j|F@r&js<(e7K7gY?G3bYCWAstc69s+= zeh}^R!@eKdAMoF=i#{c>+;JWx9gkuT-UV4)uq1$wVmQX~h$4+ixr44^3SjR$h`_)T z`QbJMNZ^s7kHRztdyCK&K5qzY1(D#CrP7GiHPC!P?tV)w9lbbQqzF`w)r~^7fs!TY z(8QH!jz$u!BJvCWG7h(w7iRC_0d}_%qMZsN^l~g>o76K3ZfD#C-d_kDp|I!_ti@t|iY# zJj8ndGiA7FM1Gry&>k91-cG?Fg)rUodxuoE|{!H~a>oSWE_hG$FkNhuo(N zGzpS4eclBW4 z2a;}Rc;M;#!0ki8&qf#mQ)i*HWY14@IuHkdA9#GC^o%NgvAyl$VmvkQxdJ+9A?z6e zhBoZ6-Nv7xK0-WdxMUqIRsjcP1Wg594@pd7b`rKyKmEGce@T9kD(07Hl!R02fUNMw zFuef?C09UtAB8?py=xUZ=MM-BN3sh8kP=3_(gKYZG%ms$?sLJY9brH%HBJY$VSpdd zI`MzBNB`x2d6SRiy1xeZ$M9sp9!Ac<9eFFPx4-O0K2k^qQ_3m6bU{Zel)9$W_ymLt zaX_a7V!)^)xb_b&mx$M?!y6iN6G8ta&C)cUS+CJd1N(|P5Nczv4k3{Y;t`Y^X8I4r*2dRgh98P*;=LwO22i}P zzDI}?t2mDE5d(;CfZa0uXfzBNzCgsm?>iW}gOzh-18FZE28dTk^aVBVY?NizJ1{=E zn?qNT-jyY_&zc2AyI#2w!~|;MDY9BKXa$c)<;(cjcN5mc!z6Le%ya-w1Vlf|wvemc+9xsEc>}8xzMML+q9WtlhpE^L$VdD;2%YsD29xk6 z)hk#_q*QHi+Xlmyi1wv9ZKIBhsz;8@KL()>dp%6)i>@7UCcAg%Lk>ystu#qIn5K9w zYIGy^dIcL>+62ZeN!>q@RpQ>_?>(6z4bgS&3y{vPH%}4Pt&DfXWE{UprNcGZ@O7je zG4p=hpm}~B7v&en-gTrqJSAb)pc$7a476x?1AU(+Puv&CI>PUfM1CSX&^|f7p&ROA zzh?KuU#MGR7uDh0$bLy0@%X+63fQ@Yp9gApn0Gcb+`>@C`<8B9v9!a;XFiU)9|{}5 zkS2N`Xd+w62mV?j7pDZaw*cc;Y=&@C!k*0GsE!S;p>28HQ(uHMV(QeWC5$QhF@$ol z=cV?Ed_gazrDa~>6e6e0^2~|(MWpJE_Zi-$1Z444@l|@RN0l^*b_$gxH?mCFVTj_2IrifwvWL*vW6-I~H!2@XC@<%paS&7YXXy*K6`72dsbD|^OvFM$| zTs91(V38iSss&4C(haJQVOMI#083-3GvFT3s@de_JQx&>~`2@~gCMV76n!j4vIjUDQhJX^BzY=AH8=u2Or9Dp3Vs?~I6Ubt9M^4?@_ss8co!2~xn;fj z0~9fh&YYM&zQOSMc+iGL(n@DYiDyWKvm4|L0Vsb9*tcl7C(fCeDMht$oKK0x{dAcc zDZz_zIKz%aPQ4lnq&UPy)cGsa2f?V=I3KEE6U@EFu=gTiy_Q_=K%Pd>ypu?+(m75+$Rx%{(%n=tG+-&|4A%4o zdmlUa+69^Ws%wdB<%8aMKl)HddB;ip@=YOKHEYp?hJIP5m={(s_;l#hI=!)JYJ0KL zV)lAId(6V~_8tm`Mb2zpM3t-0oTtg<@e}Mx!^Laz*+8B+`z@1RZz`ilz&(#v>FB7XPtzKkjKz8V8kaij$u~oBeR0!GGLe_{~qo*QyxgYUGhFZ>* zNWMKvSZHd1;6~Qp;z+MA=fVf`Wcf>ij6oe!T$=s(!V>zIEK?D*H>Z z-eKCO{t=cU;Ke3`)i3VS^Q)$;74HpmK0b&=M$v*IX@MzNW=bPr_kfI~KRPUn4G$!mPJ>=f%~4Nx!q<%#Bp|Sh1blh zSWc-5P724o#uW}K6&-B_b#}R=tE4YwqE3cRicU^SqNJsOonFXd=HC@ z<>Pom)}>pSZS@wD>u(?Rv!KP!5k5oybkF=ZiO-3GH0kc;MfEgD&=^q{(m>|y+@nUx zK=M9;d18Rw5`Of0x-|#^;l<-{>Hymm&GWGBG3p|`dmGjk^RK4__Rs(JQg`p|1tF~^RC(+jB zq_p&;C#?r3LyDS@g65U{^u)v^E)V62q1?KwtG3%Mzaht3SZxHeD+t~bG6njA6eNO__2#O^7io#2oGaCme?4r}5nH9oAu%i?hLT497~Iqs zn=U_|Iv1;g+%9X3@t5`|m*5Af!CJ^wI^&?_7*Wf)%}d{xM=xD@P3`YOgzu`zJXGiH#VWOwr*6+sZ3E&KrLXBWm_-A)!87 z$|NOrOsl<1gIXPB=5N36rLtD#W;wo6&=ct{gTA(}-c6V*;8+T((+(%;)L6=E8_kxy zc+nO!lj0K6Eh_vPIe@n?Y%-~Gq)Aau;Xu+RF}ar}p0#O|CVA(m$_2?sVh@psq2lwq z_fo(_c0oeqD4D}cGSvOPe{8H~=8oN7x4t}L-VWPH%dPZ9I!BxYNlf-hM#-(1;39D( zS}rEcGzG>XNljAl0YZv!O~Lf+P&@+D?b%?)NqrqD_be)E1hG5N#^~DaCJqLDOS3*E zI|EFZJ0F{n7Qs|r=oSaot4ax6X=$6%2BnNC9Oh4Z(59i2LW(>?3u4^(D%eaHQCV99-dDuRGCn$=9sW!<1f1ww>A1TP@}XvrfoNBtD(_=DyUQN^T}a z&_~d(>NV@v>(=W(_>y{?Hfj7J9y8)fuFewNT(z z4l^kznvw(Dy+(skC=segO@2SLQl|k7OnYsP!S-71)(#1Gy&z;^%}Gm2Yb|Twa{xTs zh(gJ-d}6^GXB~HCD;r~#B*J={EcXy@FcEE|fsj1#H4>7NuD?d90(ih2AJ0bRlKPKw zEN+I!Bhe(#bj3$ zuRV*4`vfJv-r(F|Ek4laCIGCsq~Mn!JfDozPC-N;&5~BO0=eZK^l3{%Vq=p~oxfVn zwI@b%=#W-QASr7t0jiwx#klg^3HM6V?*Y$2e&eryza&C+SdAo_lBuJ#*y=IOD z^^#F>O$$vxtx=xvUB-4dh}2Oky-0m;FT+RpaL2)mwM9H@=Eb1A|DW)&~Pb>%pC{JjhNh9GP80+aqj56*#;$VV(x%r zlYO2r5ej-!`QQn_2nmK>$p+$QIvo1ef0{0_ykJ9kcA3CLeRAfxnj4)XxyF7 zuM-Gn6^&a1$Mp@(iABO_uV$Rzl$@*}1y1L+i}c}akXJQo_UsBn)u4jvNTH{>{^7 z(EkAbbojiv+H!T9>8zeAR;_UQw8>OpSZpm)!o52Ti+oheJIc5bwdRTA#tz6k^7{}` z4H!eRMv#qZ6(Ym4z8uj$z)}5!y_OtWUmB0XR2ly%S;U_f#u zO0NU%X#xyUMJqNJQ+7KAIR{w4(a)rdfYM0o7&P|a!|!g2?Z>N3UG#6j|D3x+(LR5%TgaG z)t}Oz4HCr&?81FJpzrwPZXIrLe&?>cA+IkRuJ!AT_aUJ#1E+UQVM{$SW)R%A{f!YY zF>~{N(|V|-vZdB5_>#_rk@iV=V#AuzI#X!ByQ%3QRSETS$H45=5e_{7KuQ{ zv99IZ?KlIyim|{1;99^e{!n+Sc_Q3CJNrSST6HuoQYwxw@_&MEBKjM?(1JZGLY%}A zFMUC9Y4$xTAy^9e#4}_wv+Khzh&~JMmEQjb8p&7r8W_Vu)Bi3GsKLR{T83HU#V)gLvppSGjdBE3l?iFxp4MgU>tP&MPxR1!FrrwdN1cxrfK79;%!%` zBO27?w<4yP4+MzYzQ$A!vI?<%e`lFPB`S)FM&xT!0@fl~tKvqbnh_YPpnxq99Ivz% zPLC*U30c4`MX>w-gkh4xvT3FniC?pW~&K*nq(xi(G$J@bR zbL_LOm(%&SXw#J2jvuX0hvUs^DWhxn`Ik0pIk+_PJ}?xr_vJEm6}>aJ?KlFnd*>{u zp}{hUHH)mpS0Uwn<%(F}OA#7t|MLRCDj=4}D;wfAs{1H;uJBG3l7@qYpi#yKH37CJ zRBmA62FV9}s{kE#RB0BFaTH8U6p(g2Z(L3+39|@@Is7i&=vG+4u=EW(3um*zZz0J3qG#2Kp4KJqK&B|#OoCn>{0*STi2 z$+QS=9j1s2D+Qj68GBl>Pz5tdyQg=kR*g<%ByMm-0Lax1c5@>=HaXEU^2nEN4WB zd?8QXUGKTIuaXQhpGl#NZPTirGbq%+2zUe74U>%wk1V1{f|Dg4tQ?;a*;XP?vCW^x z|JB*nLh!AtpWD#oEwXwsggY45D6B)cX{(vfQv43?+}1Gd2ymgcn0G0tK)>|6k%8*L zl5ftZ@|)x~=|LWf=`Wa#Cnsf40;o|;=t<6LV4?)u5Sn_#Di1TZPKwr`3#}AioQFm# zLKLYI4Z3oBTT5Hhi&29D4o3(qj0*v>3jyH_*}Cgm`jnzG5Fa)enr*JWnt*Bk_g#F* zG$>x%a)^)~!nDh~teT)PH9XI{7IxYTH` zupXnioVbJUSaIp)@2y5YmQcLaSx(b|(_GS+cQji({xY8tYHD-ZoUP9#T5Gb&UZ0`h zLXY-_xO2GX+Vv)@vz12BaKYsQGM-o_L*mo?H0W!YUV@&>-gvr6WVJ|Zma698=hqC? zEZbL`rR@{h`HL5$`9&;79h+&k=o#D;2rceFX2{&5@@4G1W1*uY)=oiDffk&|?Ay5_ zh>T~sWj1U^^-ds;fwvfviHTj3G?Y;6#8{@In7EiS(-u=FlWpmQCIBN0_^wIFa^$lh zBjNa~%)r86Q#%M}>M%h`#X166p$wJ3EP9!g=UK>nB%n$|fe7RJmc?|$uGlQ=4;I_! z+?WjamcjfWB)yB0nfr$A%A~^Ld!1L(3&Q38uv=J!p;&a7ehw`&`S!SuR5@LF@6KL6 z_dfR%&&>80SElS|Ufb4YXWS?KCD4Vll;8X~Hs)Q2v*W#+3TsF?N1NS0ADMaEiJkVT z+vX#U^4dIMy*AMe7iUvioWl92GNc>WNX&_qbN(_ZTq4fLHihO|5ap)LL9^ln735+6 z0x*H#rK4_rzJb-o@ZFKi@;lLb54Brsa-^^7{aEUz2|kTWJzavg*3&oKts9kxlQZ&6NA?RjdX)=RFanV&mlgh0A;L z*(j1Yrt@ulh_M4a@|ZVV45W`Y|5OWRUZ-bDM`?^0v+y{z>Csxh~Yr&G_obq&q z;B`t7L?uXnJp6g$3y?7YA-hg$XJ#uw0~ncvnVo%56sudQX)RJIMV02}@nT`bYMUwW zr*9^Z@B)QS+39abQ{}pN6x%leo{0xV0JRE+6nMRdTY>K<0w6vxT9o<4D@ac0{w0jp=V#&x_9|pLFXc*<1Ogd0 zK`i^xW5d{Z>1ErzQ^m_0$90)52@GsexQd$e)Ct7D%)uwb_>G*| z>ydKV%Oy=wxNgj;yyLs#B21GsQ6>_;LP|$cF(`bwsj{nt1`^vBi5wWAYfD1lAhC$3|d-h8I4 z`HU3C{k_rwifrMWMLg1mmVA=DDPtyS*;qzmqLo{P_ea!gpHc4Pku3Gk-h6E0vk8o< zm$2}n+_OT9Y{gXrMaD|IoZdoVv_|USYNrvj#0ztQs;_DE$d7;+`-(iDX&D%w_IA*ei<9 z&g_#EiM#ehcq=&@!N+c3I_eDFlk~&HSx8|t&$6@;6lht4tmXr* zM6mwONBj%lqzBSF>*dov$Jq%iMLsNRVpx(zn3ly2rjo|ejx_5LBf%E}G7f0q8zk(| z1;N8?geI0)+l?laHcC&$bpffgfWL!(r3VXH*tM1Xv2L@m^xu+prw?ZRZJ9MMWb~Tj zL_%Ir=R-f8?PMmFnfF=qZO7S^p#5!kDZ~9HpZ_srHUJpBYZ}_7*sIgOYNr6OI0?^4 z?<#SP_j>Olwce{@HU))B=Kn3@zkj%8EymZ;QSI~jw`}K--MqAfr>N3auy@3HvmKmW z*G5fMSdH%YxY?2-YBa=wqYvdau>fitY7#vt{=aQ zf0SFRyycWN1Xp$)I*v4t1_vHr9)I~)>5}0~AK&xiRE-7|krd+0@b?pV6sWMRfG~yH zFD4``tU-(0yMx2CqZ{p=se|>9XVu|kkjkcrqE9r&izv`Uz^S_9Ofu3k^ke__0*}-8 zg0P~tB~1f8M?q`-bA$7NnqM05>@JEdBf&|Gw8`w61h~+{W@v1rZY0}O47X}UEmbav zIwJH8^z}3bXeU)P>|u6j??%)~>}AVVzqPMWuA^;eVOqbKzFohP4$#LG;LlShkIc7>Pg((wUX)A0flG)trZ&d-M1*(XVL1t72#&)WBkWZj5nEhrbu5ZD4ir1lz? z!=lxpPg~iyZMr*i&6N{qx;ojXa>vSVa!=IE1hG?OXhDD+2s5kaOqs0J@x+*zgREX` z`EIDKNSN~@jX8Ia4-g`Uk~r_MAl3&^eF%V4f+aPp)nk=|PjGC914x+nq|XLp81x%S z1fxk)MHna&mOxejjWWl8#)p?cIU};5oiuBx19Whz=l?BN$~TgAuJ9)UuH{|uwIob3 z(Nvg)2Ll5u5BP$67QIY6RR+Pg3#QcTRML?vSNpOZq>Wy;l35LL2z*t(X{ z<9NAxCk_hHv|`BYX#^PC&*~ZW;o2K9PnNj8EZf>(S{SH7k`~Gzv+)lKC-+9r& zoyx;5Z6gOWJJCzYUM_UEs-5;VJH>#z-Ep_18{kAeD6Tfs)rs9}4WO_fzRld3P$LIq zp<@juFmy_L%%4N|OZ zp0}BE#xb`zyr1eGkOIg)9IMnEC;FPf8W9U-zzyD=$&2F;>_QHBD;K__&@n>}`yC(k zRydFVGW$a_xI``-{6jD-iT~158^A^&i9kSj7=x^CS2#h6%r(H+ydT?aTz`OXw8q=a zQ!ekK!`njB=)n%eE^7Z)n|?C~25WX>8BnEYvl(R=KJ2g2Y|z$x<|swdHWX#Je2L{O z0+BFZeEG&3!6-_^k=ZZMeYL zysf5|Ty!79&evh7U3GAFb>I6d`$PHlH@8=~i?_YmS9QVX-3q|Ea%1`o0X?K+Pap`{ z1+h5YX7THTt9{>z40VSpZ|rvP?)A^Q@H!E{frh}aXHjF8eQ@l7EF%SD+C+(hbS0!5 zM}Z2>`bsC(=9Fh&k~= zBG~_2!5eMaS3B`+TBD-U7(c$YDrigY{w@x|(GZvLCVa#)?d6V#jHpq;#Uw;KY=20D$bbnV0HujHR1@19 zt;JF30ZfU7{nM;%eenKfYbe2Aok!8oBAt9&sO%iICMvShPLa7pnF*wIXIZlaWoDk(Yk~Na;nWX5%AjylkoxaoN zb4YKYZSOfHtt>bEp7jj$T6h7Q7?k(7MpvRWR^pWBDFpgxgk>iCMEaF&swiLRZ>5bt zgrEC}{c>0rDk=$hPw#rUY;M829S-8Ra*(pwAR$3N#m$L$EXS4+7Wgt63Y9WBb!#Sj z^x$p-E7^vZ=O?Pp$FuIeSSIT#M%Q^Fx?~X_ur}Ei4sc*f2Cxo5=s@LT5!O6U05IRl?QX+#)^t;YZctK!A|h}Z+rKbU!fngTQqyiJ?V~bE@Nv!hwivG?u{*l1>kp{L&oH5CBGZYf)Tk zCWXGJq}G;>Fffsm8XF8T4UaWC3BbkNGr|$Rs3gBP;80BbbEYGVBT>4%r?pkDU(74-y07xi(|+sNr~X}NVquj9E#y{t}iZtpRY#nE9C zUf*Zpod0WJhD!WOy24;#9*#4Gs*+t5P0oV%d!hHr>*1Jv67lQ4(@0#-n&zXHln zKP3amW0!Q~^$ec>GjpU-&xl5U-;{@^9Gh}tipe`=XT)gkLQ9Aw&Mqs8Bt~SVD2X{) znIwr5WCcp1O-^_vQIr$Nab)F0NfO7)2}FTJGAT|NKi+P)!CW>QiHI!kRiL4OcNGv< zbfr&uSUIMgP%O$Yj2mHY%7Q6JrqJ;zV9F#_DRmVW6k&1a>GxP4jGFa){I#pmz4XeGc8DvK_$88=??F>Esm z2rR9}J8r0#j1@BR!ZHyZ+0aTMd7)=1pWhEquKu%aC(IY2#4>HuO5Q120 zLM)b&kO_Y^q*(jdLG}bokFr2#yVwORJ)b?o9%E_Ngg?d-31o*y|F$207cDAAlmLK* z@jiJ_J|WY(+$ArN=_B$n8Dgefg*ZXXG*bHhftsx*2D-`xJgWbMu49~ltN)I4h^U05 z>X+;(UZ200tO>3eeUJ7a3MHtIjJ8QUnD3qMgNuWG!8;sJxsRx3UI4mks4&b{2^A$I z3J4J{5P|^eKEnsQe84wM+YW>@r!^WG9{qy+-Dq)kKc)v>7&4|wM6p_R)?l}?53x_P z<`>y_*-=C_SR>QNhL4abKE8a1 z_G%q<3_EgR(dGy{B5lBK6YZfk3i+{iV&`!0LBIDRQ90tyo!UHR4J zA-N%5NC%T*p3u{rCPW;$Vfzc;>1}TeN%hw@U)D|Uyg*Y0*{kD-rW4^FV|bnG1s7aS zfeq9xaGh(FzNWOc`9<3yCwmhGc+;dD62WFT3oe0!aI>)uZa4Nh_qz@i4o&>6^LI^7 z-VSINv_Nyyx2cUyJEz(3i8$t>rCri)XT-Us6Q3mR(r^< zgAyzlml<7?9tV%W$BcvUpl#1(uT#ILj)C96H|bBPPrw=Q7uy-fpPWLV5GWR#^kO&I zPTlLgzv;fBwU(ij^KK>99-DNzpYrR_rBifT2!uqzBCvHSG2NDi*nEr}B?FF-`w1iR zBkiJ5wm2Elg1xM)ZIaD4dw; z){8z}FH*o+>@-i(sm`LQ)d?Ve!5PE2`dlx%pex0g7$y)14GHaSZJL%I-`ZMNml{e7 z!Jx%rPD3->eviqe>v_|l8T6Tfc^I}B4#U~ygo947#|fOn@N=Uf?Nq~}39NiBrNQVV0y3W2Ht+#o{|#V5yls4+I?F8)+c5QTd4h*r3$ zfeYF$(yq1w zcG%*+a?|kWiGy6(;9Of=dkME8@7k2<<7<|_#xE43hg4e|$vh}pA1jdP; z@rq3nIXMxRaL(JHXzGKAhl7y>q**JxkiCkglBU#l{Nz*8${TL6H8t)Q&$@bFm~wYBt?3uRM3EFkAJL5O(SEIdRU> zblal;@y%u6R;IE|ZHcd>Z^YrN3hIqD=ZCJ5{Jz{RRa<2F6QYU^UNJs&3&2IOL08DB zx$E5ZCY|BOgYo$uVFg$w+>~D^N}-b8(KNGZ8MT&LC9Dap)VENZg*)`^)C2l%>T&(yraeus z1z!=4H2psKmT;`;bns*0}JBSMG*Awj_AV}hRd=|WJ?3we-7zk4to z3I(B+WhqM6(;BN^i?Bf`pHt#-`C)mFjD7J5*&^>Wnm`kP_z_Od9d~G1f8d315lB3c@0iHx`7IZ2 zY&rLBtD@^boLFtpJK)mbcP`9}fN+k0;LQoWao? zGH3feh&kLC%)kqhtm1aok!*5D$*2Qy{JNhLb+FFs!;kRGvaWz53W^2@`X?cnf`c&| zi3>v7!XJ%kZ53r)$Wx&UXnl$_aaDPJ`t-(HClU&q%Y?-YZl0!kv3b_4e2A|D9aNd0 zNloYHQ}g&0)Ytg6{P)3ba2OomUj<(P{tpn~$g77!v337M{Kg45x@%vI?|?XEj;uKf zAwN8bgvyAN@p5l%63KKhR7MyTFOM5;zRU;sGUWW|2$fOjb9}G8j2?5WI{oc{uM7>( zn9aYCdd5;k_0mYFieEyNiNBXJl9CZYA3YvR3^{k=1XN<-N_+89y+iuQuD_Fy91e+MsMAoTM zv|qDWg?9XgEJ)EDjhnv>+DuQ2V*Z9ZO7W->g;7sCeybL&7OAI2YRO)lR=kqy&wN(R zFOKzOHvtj%UWZfOl*{4CQX&wtz{j9fz>HR$&6x!uhz6eZXQ`mcpQSD(R_0%k0wd4j z;V2o82&n6FP?}+b2-cDLVX)dRw%4#{?rs=tP=^N60xxt+MWeS8Nb&(m7 z--X^0&AT-4%j8?Zx07$Bk87VKEfFIT)mRrcr3#QlMou6@>z5N$G~Q4j)jAS06EKlr z{PkKO;D;>3@>G}$>tQ3@7dD5diOP~0w} zZMBRQ@sF;Z+1xO=b)Sq=RA0hTHGS*764z-`)jCZI*J-K=D^iug4X$Or>Opa?I9V5r z2&$H<3#JMn89_rjR9^t9m?&J5E1uSkLW3AGKZ!Pz5{tJX7D^N#c@Qd7ZQ&UJ16Un1 z|G>hMipzy9If09H76v>TNnKeSIgI?XPelG1{PEy_YTp_4A8S#`T^50JZ9wCfX2~OY=~tse!=B>6ZI9AbXk3qX zHq;ua%ov5HlF4*!PaD=X9|bO`T6K#j$2{dE@l*Pu+VScf`$0_AP1cTnNOMfDZ1i+*En}^U5aE$KQISvZwOw-p!kT z1ls@n_~4qc>dG>tff`kW(Ab+4u-K|ZcsDA5zaw=taWqR$OFof>f)vaxP106iRa5E| zYA#p-S0vYgb?|2C=J+aQwYmjtj6axt3OuDgn|eMwn)F-Zw}ZQqx2Ja`9|MoV$CFQI zU(6oY|BxNcI(aGp!jRXHmNmBKTJ@#L8}hb#7Dgh#FNtnhp;S$zP#o?;5jf5sB@Ec0 zCX)&TJ_tc_2*x2(U-uX(Fa+_h%WzB=vw)$uk%nAK9Euc&!S@VzlNOCepxfm_VI<3w zR)x9MR)tA3OO*Vy3}+%x1my!HU(@Ouc*6TkQD8KX&)_I26y{EkMMQuvhlN@Qlp#9_ zsvA%v*~VBxQnQJC0W`+YkW(58R3fRzn+kv$lPnbX4^(S(#J{R!D*%dv&g}J-H5@1T zh{Ht7GY7b`&bg6`1Xa(7;8rFh%Ydl-|H~vWIBo(>7dl!Q^RmkQl~N(@jB%0F6(wRE z2zUqoef;&Uk30p01zT2}AMcG=e|Get+gcaFwGe>HsxO;}j@`GcA5K-)-8t6*?*+TQ zwc#Nz&Myp(zGpHcJJAB?7$N??2H*y6Xs0Q+Nu%n_nVDcFw0c^H!DQoDb4zoW7ESYo z`JwsY`J%<_G`pz!Bdw;D_La_+u2t^7SfA9F@6$K4ciJ~PH@a?jZ_Mm6?J97*v*0W` zOVL8K7%kz_Zq^i!#ihEsY+(YJ06R>2NRR1~E{`vcFHLn$t?#zacFy5u*UipEB_Khu zAeF@CZlOEW9qwtmu5exPy3%#c^TxSoyS>h97wZ!Ccxzjo-a5b!csC~>WFE|K*LUTQ zXfM^joH^2Zrqy>9+agjcp!hWS6@Xv^s8xmyXK6=cM2xPG#8~W56kjQZcKDF-Xm_}L z4u>n_sCSuCRx()-*t`YHd^N34hOzEc+;`QB^976SOP$wqi!wN0 z0FaNR`l}7{4!htkn{;=%pt}h?>;a^}Q`NXuuAqK}<<&&wg%^$c3KtHAO zy+hY6+mxB`(F@<6@rUQziqg-+p(vxO;kgHvum9e-)^z33d#*U~g+g6)U!$2}Vdz66D;h zJPeN*UMt8@M$py4yV2visHbsbH`I(UYbAEhN=%xS*g2~b3`m(6wvR6S4JyXP=Eok6 znPP{aMg>McHSE|528jI&pr`MPFYc`tP|x;agBL>v)?UI7*lTwe5rH!&x%OH?4s(Vw z>?L%J-8w~}4o<@Z?iJjVfTO-@T_#m6yIo?3NFs(wcuhZar|e$o`tBDIBF~S!gyH!k z^R?o1CdHYrsQj!u**fmr*)b??a=5(9uLBb>RqdlE%+DaI=D=;wQ924c>hndNbhRbP zY;*@AMa|M`xx%u>ZBi3zx+&3=o|KrBek{$@rORp9rLVNFbMHvMnEqSJ((bCJiX}-5 z$x1y*7<+LNFo8mI*ks4>UvWBC~8VIDYIty8x zMfUi7*!K`WWFfg?9Q0L_?&LSUyrabFpih5T-=m+9W^^WCj@U96G0cLtHCUeLRidqp3!O$63uX$!1i&QryYc>zw zZ3QiEHLWIH@hru8QjWSTu-jx#%~G0O#Zd*Qf*;cR1A37zQvFzE!QW-4(<$U@zLf4K z`d9Nn^c&zrp)2FR`t)o6bxMy#nxqa{Ku8Z;f`#+v17hpZRmr z8JRv5d`>tV-Xnj`n0JSU!q1xzTApLfPnw^w>}HEV3)kaasy+&mg!-ywSCpq<2M^}7u8MAF<)ab$K|4_#Xp&v<}$06I!0qP zzZNhf1S#wC0{NJ1t|hi3luM4=0^vY?fDSND{3nVoUkO6xj@5lW(;NeA#XAWfRUKaAlVDmJw_`e$ZRC zXe==1q)m74J#gyhk(0nrlgtkD6{=HiTypN4rti)3NeQ#6T64*!ubqFN_N>fCOLk-wuq6^l-(%Jz z2`tmGs_Y%Kf|k0J&x527CpcglMy$GMvh&c+Qn&;gEO!t=yda7ptHsGUSeuox*>p>p z;a!5a>_CTz1(CHDMck8!<_MaN57DC8TFOti_L$~cpRig|mJHiq*BqKx3)hMDTDq~> zQVtjODVEEb8TP4Sw`DFfm+i65b<7RV)w>&SuqpDBi2xm%mrv#Xf0$(*9(4m$*Cf zWb}#rK4u^LtZg{FU;lUgbM|xl`RM2I>C5s<^kt2kt)>=nd2EIB^{i2hO>SRNkj+b7y>@!`=GhEHdixWjH&MD%Gf9ErrNHXBLAi4k-ml8>^if-|*xzR|)k z_)bJ`M5m2jKCNje$fuy)W@A~#+P2g3SR>-uUZd1lZ89ZIqp7T3)EfsIw>8o;8|ODJ zXzU{=CmPQ*vW*|IA6UO)7Y~H(hhUrvgD(ub;plQ4bI^__THA)<*Y;Hv4R6v4aVG_C zr6a?++FA6&aP6ckzV;8$rI-aK02`u#)Oe)9sbb>xbBF0g z=YL>Y`psZURaIR{d~<|>8waj!N_m|;YeARl3L8cw_{lf)pvJn0R=ZI={WQ|*4!WV{ zQ9LF_5Rp#rx3rGaRIJ=w$|F-bQ!3N~Q!vC@SgHg4BQ5*b&2Z;0C^)yq1DqjisuirJ zZk5fvgm?G3+~qvyD|4Mj#^|Iwv0!YK62(>2YR_7wFS9ngL)o5q96ZkLmUk<=6OU(i z=MN_itA|sES`K#nf;%c6jsK#2r1Q7@Z{wfa&vZukJQwGccrv5q^7-+c&g=0uxjC(8 zrcfwM?~HfqoyR&&FK5BZ>^JgvWH#qb6Ei)I9+|c#LW#hHj?Nk3iD?V(%YkHWiTs%S zSk6?_K*bd9H0nI59Q06f&Lpas6k^yCW-%$mR7Q9XLJHen)+`JfrOcphZsc%D-{ zoWgfdAj@}HI7YOD`TDosLllI z)!p6I-PL#XU9G;=>O)euq?TlN$&w{o2NJerOE$6<@IruOEQx_|TLg&LkO56HApsKX z9FS{vEsShr5`sb;5;D=?&15nmyBx`~NeC!$GK3`o$@BhK-LlBeeqUsFy;rYaRlnE$ z-&fV4tP`Ourkr--B~kNERn6xjHi{(&R99|mgIF}5*UR&q_`wRQWGKiNQBeV1h9=Pz znnz3MRdgO1(IWk4&Dx{xR1d0*+CF=D@x*n(@)>{);6~ZiRV~|NT_YM>x zf=QMtO8r&nv+-!ff8$_q$5Ql3{LMgmFaAT*-D9WoC|#G|%wSyyBAD zim>iOu*`a8^6ECa9=xVe*$h_lUuzDj992x^9DYT&xyy-y8^)JTyUTScH*m&RPrA!c zefzYDIBRI|9+>RqtY|OSsrGUknCGqKq~3sO9yhAqWb|h9``PRIpKh8byP)u)metUz zr%fdp#BWG@XlX@g11EMk^jZ1{s02(8ir#en-qokt11`ToDExBmwXD5ma4p;%-#oPq zX={J{@Mo@|uN&zoocn#fL$c zR}1Rh{1M?uia`SHN4i27PN@DcmCE@kT{R3TL4PQgP&^*2<~lL>3!(_e1R7sD!7VhG%`JterS&1fCr-=rdsF8T=Nuo*qqnd~jwGj&v&p%nkX)oc^F$ty#tQ{cd|1cm^eGnuf!6V6y%HN+6$RNU`$6_{Ep%XG zzIC_lUj`H`_R*wTV| zO>XxGR#(^lv8Jw~F1qbtQ`8ubH#EfduMMCt?9T-nyZ~iiS-XawJClOpaheF zVYviD%L1RkP-pOXd<+N-T8Yu+1_mU*Xln^PN>j`%!_G2u41JtI%n^>CM`(neq-o5A z42!7oiO7%k5(kCu41|z5y!lJE{+Aw*5QbKXo?gvNg>QrukBZQfYunJ`+RLbJ?HU0M z{|Rd746I#EGi052X)(=k+(1tn5MC)SBoHNYY=UO&6$66hB1F&goW59Sv=Ck_r*c!= zEXU4ra~yq~L)?)9b%LTPyv}c;Mk+$>A}OPIsZg;6mPzVJ!b&GLEVY`LIpK(qYFCi~ zyv}WSoiB6OzOy#WAB3Dm`eEi_?t>IheN(GL;+W-N%TH;jRZI7>z1%n&r+2fvxvSCD z^p)(DoK$6O62nn6$6+Oj69w{(P?hF9#Jczw7?z<~lETRGPK?;qghq2Mp^;-*8Z(nq znt`V{>MH&!hW-}n!EEO=#o!lx zjdbY<{Q-UTvb8U)ePKU3!F_NQ>&L67>1D9WP1Gi4do|Zkt&sS@iUv6C z@(a1RXflEIA4cPp)T~o`J3ZR$hAH^`Wn#u?2DEnpvNw~t&1HeKLYBD7R0F;w*Gz@j zbVH#iX$E{#Nec$yXv1$wix+;Zg<>=xkuA{7Pj7OPLe)GKn=^ z&acAP{Nv0r%HtElJeHPT%4E*UKVF8QLBDp$6u76Ew(o2~b~Rj{t$f9B+Q`^5_S>l2 znm|~s3HcL{mh`;#v@GroRHh%-2NkYZp6D?&b9*)n(=i;muKOd)O<0vk}r1&@J zZj6F1j9cNdYY|Th zWJS~>y563^^ciZ%$t%;96^H=K*vdMU$m^JKl93RmxhvK3f5g7qSLz5vIY(<-OB>A_ zM59Rakvg@G=1ZosO4)*r0A+Vr!{z|0i*|730M#ZIRa7dP>;XA|EOq$M&UXeV;#mPx zZ`GDmUk{07n5JXej;3cQkc%pIB2i>0(EJw~@lJCf#K@#MZ7H{^7LZSX#;YJpo3LD? zn#vyh3IMeGOl2efDO*#}8gXmHtpT@&^;m-1-#Ff9yjE2OL=@c_!n-`q^^9+bD07kQ z56A~`>8=$JSV%<#y6^8|Et?M95=#Hmn|pRv;t4vRi09{z-F!($z;5(dWy#e!b!B5a z`gFr^&+fLtyFP65e)O8|#-1B@$BtfESJ%*{gKvSagKOa05XU9r6lAgSqY4es3(I+D0nTyfw@<>5BqicDLJ%tIBlzGm~&f>$onW4is5b;@< zji|_L@&~9O?^mm(9jX@CJMO>$PqG+h#>dgciZd9tbSHf>-fXkEFFNRW)N%JifA^y= z-23&BM|WFQB~Wicj%@RX%lkg}xr3!rihldd@BhbJpPFrNXHI=~n@^5Tt)^E0S5x!% zpP7H!@5EfP7sF6L<{lCB$CDz9YW#!ty)XTZ@BrQ&w;F`W$W(*|Gbl+}hy*dkUvN0- zQQY~#Y2YZqMh263OujP{m9r}ZP?jM#{c$_VzPqkITco1!>v_z3I6C0i#qQ*G^1FmF z|5!k{io1cErDh`wSd~4ezDoTc&d`eb&~9Zka3DIVOa^XHW&-!v?{m!A=9I_Km*^AG zC(yUi_k{0xUl(5s{6>8XDLmb8-($Zwe6KniJs%ZpD*EPyS1A?0!kA!CK?(?P0mH>) zWHv%m5jmogK=!G~+=dvs^AU68%HS(jWc{8yZV-Z?^Ek`UXl?c~-lQq=!?1*grTZmX z%F86$a*~>&=BRlJ>JJ!TfTq57o$pQ`J>o;he8{(mB+Y)FM-(sfdKp@d@2=}UL;t<* zc!P}2iJ9ru>512-30P$^m6ergLb_kGS5eHkGk8Vtx*+q(AcC587&qG5+E5!Q3IeGw z#PYI0$qLvC&!2XbIa#g+%&Hp#W)T=frx94^=~9tuZmN}esTN%%gbdR*ra%7EJ3sqt zgcgqc_r`{fkj)g0Za%o_($62g{F2rpy6n_<5dX?gk>&oOL_XoVAsp(z{PSOWw>x(e z-hI!7*H{iys4$hK2dc1@$de3)G_Me#5_JG0z(%P-Hz8PVlS&*V08c7$l&EAj9(_mC zy&wu)p$49Ugco4ckTw>!6C;d6Gg#dkBMt9>2_jAAkj?R< zJfF`IsMUfvjvy;4p5Sq4F1&p6Kf<<^e^vWOBvH{H^S*+&%1U z{zQH$FKGGMJWb`@^{&h)H!AMRJRk_$1f=F$jeW-5#?P={u0Ng^mh$H_w5n2Su5cB;ebe)US@ht!+ZW7IMAYr+}fxq4GVbR?xNd&tq_3MSoMfl#m~j4v~>4KDJn zg&R;qLzppzDN{sJLBO!PCf&2{6K*E#o^#XgH_{_Kn4(j;BD7ETmH6&l_u;D72@AI~ zP*Mc`AXaz=ZyA$Enb1c{zDOp0i3}?y;|VdXQW+K>QbJrs^<0Bm%T9*u*fxN02&sV( z2r%Tws~Hb=%!o@DDWlGgJzTV81IKR_hUxEh&-Op?>O0@LX&6&TU&f4VS!=}Y&zjcG z=lISm@?%@~&3|a$RlS?u{qA>B-_Tb-M+oJ+FMYl*V2e&akAB)SRUZD}_kZwj7^0yv z*G^`ha#BI&wkkxYL^tMl5+v_a7SdGn8C?a9LJ-JAQ^Q%?bdebc zT~H)uo?>dT;A9c{C+hjTF#us%P=$Gcp@c~RO5U-;C)h8rCs_tQO2AtKOiTbcJDuSW z-Y0bNZZX)yZgGS4Z9HVLghTIV;hE)gz{MxdPE2H)^h!t=?1_8Ben6S(P z4PY@XPas z{89Sj{1NFM`R?Es>8~rN96zRCwEj$fi~e`UVV@Kx#VNeIqlRw_-?yF@FsBpDchQUi z@Px;B(%)j}rTYxS;a&7D!{zi0ebjN(`=H}XhA$Zx#Z!iPtz;)Pl%=LNJQg(~#t`g5`Wt zm}v$;Dl=olKM|I-54o_c4T?9!f=tg4qX5;`2ALJzV+%ZmXnJ~_CEq+$4&GC(P@r=#J8-agB%w!m{0tpDcx3P0*|6&epLz6+-=BWyxz!`+E1c}TqGjiu zbjJ_>_HVDa(RuXe2)+0Q68`CH?PIYv?G7vt4O0|zGxu>SLyJ}Hj%NwK%4)!NvP7@; zXOL{+k!VRHk;I1DEs*zR2L!d)3F_-XqWn{O_N8VNWATuOqO57_BJ!WK^H9@gWl3JD zEU(BbI#XH#ar&(M9Q->=vgN9Xe1@`;YbboF7EJRod?zuD2qGdLFc1;Bnk?g|nu%Z| z8OP^8Bl5Myl5ME5pqJo)KYn>xk0D$9kRvZ9+Vlu%5R}Q zZMX5FV3aX{FQOe!+>aIBMf&ZNs6MlZWX+sPBfHfmf5K`-F#y&lCMS!eZEx4xN=0vD zjilAwfk<)Af&j!1Sf(sXmU9-~;%#_};TfSCx}*c;&1SYKc zxtd+*u|RzD&efOFsV&}}d40)RS!{J0u3%tn;u0A$f*AR=PdM>B;ZtFby zt?PTTZJ|=+!wyH|RX^FX4XXBRTI*&0Czi=OsO{7^^J(p)cK67q6A!j9R94&t1y>rF%Btx%K{mj}3py^C{)Q;YIcgx8PY& zo-aN>u(a>ozE}61-{<$Ku4cL9Yzgnup4zRGL4$#?KkGV zXv90~rM*wnKcaYyTtieRe#^)U-b>M?4Gq?zZ!rbT??U)kriPe;7M2U>P~rZw<2 zfWHyGsafj`N4whB%POuEoPUVQh^a^S3I0TB;45T@fGh+q2bh2 zYAJOt#ilGUGgY&jRnAk5~_=9F6@mCTE25z!O_agnY=6JAzDvbqZgrP?m1SteGn1G+~28*P{cV*z? zK}?3uldwnT>b?7(LN{W)&iMFIaAA?SAFNf(tdh=3X67|HGp*;LX7r*#)ADO1aeqZ# zsWOGtUjmD$$WXu#YG%yHFdt9GTw>vc$SV;V^NE?aRv;_`M&hr;@yJZg%3n3|Uo54E zG4AGpJ?&d#r9jZ5ATAMaYHV&SHZpuyVmOhD*C%$zcLh+OBNU(pN<%8O1yxjP6ITgP zBiW$&|hlN=x(T>x|!_^piA#sYtxK58N+Bvwu7zd{Nwg&bYU%OkR;uL zp3X<3RUJzAaFKuOHDmQ!1FhsLv|gT(l+NTgp5gP-uD#30@0@%#V_|rXv1UHfcJ|Sp zzJ_q55SaSsO%sQ%`Ru#jzI(uAD+vdRnKE+qAM7cP3|_vqdF`Eiq5a^~3tw+8KJ;^R zN&1uH|MjfK@dl62$no2zW=}g4Wv5LQScWs0r*=$V@rgZ6Es7G~Vz?sQ7>@3zkKAzc zBYU>Y+%VTnl}Fl{W_4G(cS0XfqNfqP`ZB?Y6n^ENSA zWotNwJ8x=M!j#GVvIuKoT}I4m?f4bPuM~bIsUn2il!zUx)Iud~!3GwrSou8Yf~493 z2Fj{FNQ74T>~l@>*^EB2j1|PQ8+605q8(7OM2`3qlSO<}xTP&=B<$BnSgw(lvMn-J%~qF9 zhm!qu#&k&Tk4Dm=#3EzSa?w&U*HsLadXSoIp~x<=SY@-xMz0bx%o)+V5m}8>#$(18 zjI0reR6I*XV!3d3Bs-a%%CfWBxhy@OMNkB2DSIxvrTngP2$`2cweF# zLPoG&pQoxZqM<_{?Yd;j@30sP+S+E9)?{SDJ%z?=`d#JTwf0R>r(zBJTzLz!bN8)Y ze)HDdmuX*H`{o{12|!kdd3&&y(W7}?Klde62XQmS$1dJ~xs*bLD zX3kJC%m{*{n2MQzyE#HE84;465pgJt0do*S%MFkmNZhTU1y&uf|9l!|8_Y_L;^WRQ z7OLp>Y7O0fa*AM^3g!^caO6l0bj>Eur&A+Ow^Yrpc&I5jpBqcu3hfMGT@6-nFHuD98O-bUl3)pA~65hIABzs{Tv*)duq zy!C^Pf8IYk&+_BxnE2~*6CFWX5GJDN2Maz^G;x}q(FU~1w zL_w3vlrpCrSI#S(ax!}Ii~0k7;2|@B1DN%$=wd47yikE^{m~$(8c;E zmX`I$g+a6^4MNBlNLi4RyAR&ztgr7_i>#`<%NUS-oulXj zpK4IO*4Pw=5F16Y5{BAV=9wy$&-e)O_L8F>er(fo;`C5t$&AOtZa8Af{%Zn_(9tqF ziEl~f!l+8zNo|n`Psn|aQ16@@$bGIx<#RPEp94IA8|0w$2uX3x$;cLF6Go3Oo+2Lv z0@pV%txr%T4C(e3LhD;vsf1UObT0?~>aGAFAGQ$5qY50_J&NL@IY<GO%vGNl}W7%8w9gq*$ z4un4>AF>?^KTI3m2(5(aS;HNc=a}cLuhXwvS8Tttixpc%sf63qO1alMW4zuf=IMG_ zjjM@#xec|+f=eDnJLFv|8M;AHpfzL(^E;VQb|*J3@3QT3@Lp>$7z*#CYY|)d7KPX=k<1vACHx)TDk13>48TU- zo0KH{(N*3=OgfPqc#Pm2L>_{LxbtU%g}Ge0?IKqqObNKrGA1AE?ix%iv{BiLXq(;P zkiB7F$eY8ACRt~s4Iv}&nPjvjneQrvT6(BFWpc=JObt6#M5|%U*$aqvB1A*+gRld! zN!n_ZWyRP^QJzKgrZ%WZKQx()Jcf6#S23Cj(yT9kBR9G zwT0j@4xL`uGKjPoaIl6+0>3P^Jb8=$Ooy^k#orC#D@WkHvw}eCU(8H{!G5M1I7v-Y zdfv2*(Z-3T&2S3K&G;46FyN)EBzWtjsceGAR<`PmL2tl4{kW}+HUwYk;gvR!I3Z$^ zgjWfIgGi*!wHmXi_N<|%){DFn$j9*O{gN0-p!;@wxa*BKF0U)Zyqnj$6aLiNue`aT zwOnu1WwKgSpR3-6WbVHArhnXHmn3J9R#iIJ@#5OQ-4e-LjIkJUIXunisFT zY$i|SQfAAsKEEvrlx7p=k5)`+T+|h%X1L2NNiAO@!x<(cyw#}fr99TNKMP&&jXqct}tUXs8YDHJnH)!XR7 z3Z;(XoP%EH%iu#arxKt5kA6 zOIY!xY{lZseQXf zHJFnhMZ=?qMh}lNqr3UOMkU^05;_|=-7lX9jy5rY<+ar%_^UBA0J{ITTLpE{hR@0w z(mqFIymgbK)`@SA?`{$}Vb|zxL22x>5s0^`#QmjahzgS-W0}@2a@Ix8x(4w+e)FX6 z5F2X+B@l*Nb#0Jz{y^SsZ5iFZ`O?ieGvBDqQ&WDWBq1u*-UuR2)JXkAQh-T8E!Xjf{h<2qzy||-oj*cdW zx^{&|d&G3>kXBBoL`sPF?cM`FOuRudngpKZgudR!g5oibdpthb7K;>AG^NhNL$pvy zv$m#lnOIw)6-~9yx6-XJ<{sM96&oB34~-1bvqN)3G&Lj-(L)fv>vR`~CdbAX>Ajd| z9aa|6!MjP_f%S-lw?M0UO>a7D0eId5R+*28K0j2MD42b5@Hh-1kXh}vOX+q(8t~G1ZgZAtPn|I&py6V1x z?b8vr+1Rpat<%vF@fcZua(C(4L7H~8_pUV#mQ7rwVYsEVGwW>}SnH@X`G}00v?6DQ ze)FI;QGf8jjROOt?YFMouv>Lw^~@tjZ6oNOshn2YX3DG$5S4r#E9+oJI`M;QwHPh>qtVAeTfyl#+dQk|`>W%_Q$iZ1O7)y(UBvota z5qcoHiE*TwUn%`%xUC+5=LY*>0;apEAh|e5ZWJW92&Rc`Buxb8bWK1`>mnNIyj{&b z#I(%_SEQ)`9V-AKF*Iu6ByVgo!(#`aP-LBMEzt}yYphA|HRv%u`Mh2Yl0^EH-!B?( zpdT_25Lq{oTqGO&^KN2WCDzME(kBaO)bDSNiG+KKgi?rvYKU&)Ja>~Jw+KUScd1AP z$;==b2$DC0WTV8tULzN2ASN&)oh}vsT2l#@P1{RaeMu|<{ufFkrODD%X|BX&S)`Hv zEIykr@$;o~C3?PuCh@>hi3y7CbjYfkP15O5tiMi7hb;ZkU^*1l%_fb>`mRE#u_r)9 zo0`dvW6`M9YB74;F=0+b^CGf}Q{pl41(6lOY~oKh2V?c&^hkOV>g3L*=hE|OhDyt6 znw0-BV1!Rk7Io7}=C3!M*cC6svvDuu2_TMFIA0Bo_44c!BxIUcPX51k6DY&JVeq05 z(2NE?|A~PQscws@am!kVLu)p&T|*za!DInMboMq{!!{3NEmbisfW<&PG>wRJx=trUD68aS z0e9d{=x7cYWXUwv6BkW#oYFa?9)P49GcLNu4e&n9^TEY_fGHpABoOD6WFo=IM0{h3 z8~|OG4M~#j;wG#JfD7{?s^&!7YM*tXFS}2>zl)wXoDIHc;O)OMqHTt)?me!%(Z>x( ztuOk8u+~&!iEH~<7(M5D-bZU;v|X&N#!em3Jvv0zK zb}E{5468WnUR{Xl1hZOZU_P~TV1DG%y^l+w?T?4q?K}34Jq>ZJ6pLTs3roNPyT`ss z`Ish(rJPLD>+|m}M3D z8GLY=JSyeo@S&bb}M)&o~^#O5Wy!wbcLqN8b z{rKeqGrLJQvxC8-`rv@-bE7@rYjfJG=5m@kcl)(Bym0u1o3FaT0ip?hP5PBrt7M(mhK?Y z(oM#CXuy~Y_H2ijQkk@a&|rs3f~8fkOxNT!LU+~m7)q5%W$dhl_dTUQ+C?*A@got zJ6;`uTL+<$4prv?JS^(Bnx6=3DxQ_%ElCP_EqE~|SPd+IL|Do?Wkl<-8|SLQ8ySG= z+l?Intz~(;yiY!AV?Wk_IvOe+0}cBcuCZOyaGiLQ?WTsi#7BkK#XlL$g^sc2@#2Sy ztk!|@B9ltn9ayaLeyq-cg{x$gN=Am0A*zSAXHpEClUoq1gce{;Ud7VX7&gus=}F_P z@r02vzM;|%h&=ME>IeiK&PEUf>*)b{Tx7Bx!ZC?5Ln>10k(fXZ!G7jhx1TWz>XeI%u?C6u<=6B7!AUYBxT38cN0 z-u7L}ZGR~jS_~<_l+p&t@0>GI;-tOz)yd46U31QS=UdMCzW;YcN(3?sgh-H9@~(>s zLkS(K4Fx9e9y6k>*BB-aAE_%k6qKUVclJE6WW)A>?{>Gva-Pzxn(S>?9WFi`5EWQ3 z*>1jO)r6~-R2H;kBXnuw+nbiJ|MFWSPi}Q_>DmX^=K~lV(%ia=Ua_!6v~8_@w?Evu zVD6gdf4*U^Xvd?>Q)=BhfM~f1B;i|iT9%@ORYqL|gw};j=|J6H;81)V~yuigkm+KIps@W7V1l2 zI`kzl4N9C8n8(vFO;m1KRD07@B|Vt_-?4pZU5nHbDknOUJ$yx~gnAM)k_)(AX5T4AM0 zG#U*ypAQ6sHcWO|5QMuRwG0lz1CWLcvG@NNZRK6PF6w31o34*tw2RE+x+bR@o^Kd8 zrJC&9r~%PuiGe**u3~z$H*kH$NX+4hc%m|hInYXRhh?Zbu>%~YJ#F$4Bb#(2u`_4# zk||!#E>QP<14RFBr-eqkH#~tz-z4U{YdJ?plT%#0edc{XgU){`EsJ&*zof1z5A6Ha zt>c!^2QI8xkn<}FZ!RI#cYV(%Km7nIvh0skv+xHwExNsUFjoXo zM0U0wwO`$;(rU~oT4=2yGiR$vd5gG-J+*S*ct2L)5WTD#Jxl!p(MzkYP^T9)Pv|9I!P*%G$xIg{GhLAL zNsMa2$JG$mU_Trdq&b3L+-hW0E~K+3^>C9OuGd4ol7$c^jNUr~aAg1n6j_4HqydSN z>}F6N?(0K3P!^S_=);b+m_(4?clxc<{9BqNy+|Fo5T`P_ggao*=&3}jQG1NnK1&a8 z(%++}^h&}wB>-0iZVONW#cqc94L_+!m|8e4pOY9Hv0_v^E>tz2t4qvbtsKT)k9~OI zhll&h{4j}`smC$IO(}1RvfDEib14-wmqh16%cAI${O*XJHL@`_zAQhGAIuxL{1B8Y z+Yr0_sr9F};mEM^%kbNgU!~sDy%&Bj@)_9r1q+C83{9`am*>Do`f>ULHW?EM(4nYRm3=|VjsrQ5bRr6 z$|Ak;@F+x^*rDa@d6JToKwFQ2vO-!Zi|n+B)$Fud%?)6yg&ML|-^RvhV?W*(T!^29EQUNZ~C-G^fZ<2a9tM@mwGNHoyA#?gnT39C8Can>Y{dqqIWKtHct*NedMPv-hS=+kjrBY zh5S#gm^y!X?KkQ4llQdE$qT&QLLaET_UO9qbVn?nnZEMrEsqCS2~L0LD_51KUcale zG=IY$4`&k*4|9(GiR#k50(`LA@c(S8*bxtFB3__o3mJg1xExULAe#;%$Q%t{7YCMn z#8|*>p+Pg5nH1-C>agP{fCePVs%K7TM~3S%?43po)g}Jq^=RH-WbGQW?ZdO4M4f7E zc(Fc5rY8o>(9HRu>qaM>>4fCxDu@)(Z<~EkPmBXS8BEa=DX4d7!$$`4rbPZZ8Z&$j zN0WcdU<&c#E?3X=^_}8R^TU0O8EN!cea{0cdVz_S(o(pTqRLH=3y*tWcD?K#^1kmi z?rnlQB-mr=u`abNwf9hmXFUrI*8Gm~vP*hDn}2z5Gr#M$eBgARycK@U1kInFr#(_wHd^FOzvVH(td z>-*04VYjyPIL@(pR-4H~r_MqoJ^|6rwCq46Yc=sG$-BY=(W%<=MB|EL&(AiJsj>U* zxAU>k1VIfCPRT4te6{`7v?s26rS@;rsy|pbAs$J|qX~7UtQCgBX?)xlpo`*#~=r-0Tfo6m6U*P9OG2 z!}PR?ioq<~L_<@`W;SBK>SNgSWLS`WCy9N3H5I;|@KD18^^V6Y3yw zaI$-)h~3`n*yW%G(dwXs*05+bvO`1{{n6IT^)#GEq1r{#>}rYO2;4S)^NX4qPV?dO zbAq3f{D2pHe3QSC=7S*kHYVbf{z@2>u0FNtOO(cq+o4-LY{C+2)msXjT_+cujr&TXd@JY$DwbOh0; zOuf=Ttug`0ZXj7|1+>U$q3GWo0@#US@U^h_Y2xa#!_gxtLXGP zrLd`)4GFS6BFbJ_>NJ(uPCEwh@07ZknWic1RB@_zrgS6o4d!vv|B{~Y?QMP*>}S4h zdfNN6wBPpS}6#mtW>=I0@u75Y=j%B0sUtA3;O$noz13Broa}&lJ8Z+snEYm#_jA5ahsQJ zx6cqMhv*DAfKLtpJ1YcGFSx&wGD%)p^mDGPZv!tP~{vv09_c8ke}iI}e#viq3lnKw}H-D2|IF5$3t8JN)fa`u9$ z?m|p_2y#VSoUjyu=@c@ThTzM``DQrSthENZ3YW(@M#k4^WE@X`psE92%TVSc8)x$Zr@_N`MFaYTWgUw>^xxxd4*|XV_nR zAzpjl9SaIMdbbjl!!0!fW$kFPnK-ke=!C$u&p)E|ZCT#LVB2`~to|tKEh+kRy|+X| z0l`M4@F1TJn4%)1izz_^XTW4$E@!hE22=w8sxhb+MbdK$@on=HlY*>8GOWO8YcXol zff#xCrW8zp+ZCvoZ;!#4S$ldal@5h6>H4@d0i5b9V@E#nW12e-X;t4LI{|U>?aOpfI#i*KMhIr94nV&55>aRA=6R(c%Fz@8Ii#xnK z!rPVY(ZP&oo9TYfwkT~iErfUScM3YxC(zea6c!p$ui!mGfS1FefDGcP0N_|#fD3v9 z0c6(Se}svtNSJP^R752rGe*W_jKpKkcsz!vK~Y;6lapagNIUVmBCO(MStT5aw1{4( zD0<`3uooHYsQx~9ya{0k%-AFUH0~TmoztLy2%sz; zBZDM!(6J~^L4pkv9B$&ke4+_wAh}pLadGajr=;M*MfMK4E+JTHoTCmzMZo7Yw$WfD z^?ewoH9lg6gGdYy|F|Hk?k;$AM!?COdBv%gU}%24_D1~owLdGhUpIAhA%3C@_=BnH zpWyemb$M(UamvGoov!L9@IssH2vCY*z47xuQZuV3XliEON>C&Lp#KYTm=2w;t}|Mq ztXPQZ(f~awi*e`D4xZ}3E{-4_5Hv=U&1y(<)q3C8(B`(;r?POTb*F8our0c+@V5DF z&#%>A=S^HD$|~lFWg~mL`MsRc-wcq^LFD_^HzSVu+_cQ^EKmMey{M1_(C*b({ns0aH$|46oRQZ8~0>8S-$f+ z)1tQC&W0~y1ikmB4%mS!az_?sGtq*`>Ocn9A&_ZmDrGXAr3ORGX6p!gn4_K2*0@ez zh@!sfal6$*p~#vomb{2lYI&oDVj*8t>^t3A0SZN=mbxuXTfDsi7|1I9;jLjRyfX~L zUL}($<vdC_F?b6y=jfTgqd1*#3?ff3$txR_B9e>ej2F#iJe3Mhe2YR-|+ z=^Yy2<9Y1G+Lzoga=wwMzAxDjzc~JyCkiM|1z|4{Q^)=f5bL05FKx++AM$7WaI2ps zO^>sC^`Rp?+2uEXh_;Lj-(~CCX5+iI3=iXCm>D*rf4XqT$SFM!k&1K8v57 zFqJ%b+yQOBkMqK~u-R(z3uSA?#}kOIBWOn?$aNlDS&wHqjTkJl4R7JJ^CwUlk8${E z=Z0$NfP7fwHe`Gi|5>{vLu@My@nio;cEV_c>$6)KVw`0x6 z2M@$UVY7SUtQpNw*wz|py>83dYiE>dy=kwba{r@K(&^eSBEIONQ$OgwYCK|9evg>r zo7b*gDY=>uqY|4pK2sao)JjJpPMgQmH$1#p5LGG?(L0;&9Q}NKJF>)mwQ2NB{a27! z`eJ4FUGzYVT1;PSzlmODU*)*XZU~sy_Op;h29|5BQvhqBBk+AQyII!}iHOFIP{`Kd zn;>+EM_lMpPN(eBFog$Pde=#)AR%_sp}UD-(#ZNeBKj^OT&m~0H1HKIn@XvkquE7b ztO&a%3FXmFJK^di#{tQ7fVSzG&-^U2>86`DWq$U|?Kh`)Kf3F&^v$&!!=B&nnDKIP z#ui)Lws~gprJ37*=MMX~&K~#5l+`a4ia%OC<&|->w<3;ls5Xsym(+Q%(tW2LDoor& zT}v;vuclVhcTl&}pEVf+>{{6j&zPav>_pWTQ1wyOQA2DN{;u*)2!PY+8iL9Z_2=*4 z`d(Sx5ggMx(#WFQw?V5gl7fz6qf(wr^}JN~Mspk*Tj9*t9^JJkbF==Yu=}?U%y>zw z@Rk|HmuGDMohRIM@0@Y3OkOjl!bGF_if%sj9pC}CRGL^?&q=h4i_T%s>69mY-pw&t<5BBVW`ykZ5wb;$PBCDOWwR%h0|^Tfny%bY30_9dyzT6oRW zS!<|oeeu!YqRPDG+jiOlU&V9&KpgGU&DQ-ANl6ycNAH4nQj{i+PCy-N(pk(#^r|OV zx?-{wXn@2{GCM+shkZx&$nY}YikLCf8wPsQ8!!;uahh_{GzEv~Nhhd1dKn2I(?lDs z0*3k-02uHM!!A(20(!I{dlycrM1hGZ=xFo<2Dr~~4#&m}7zPdWGPK%dpn*X)R1CD? zBy|nY!#`EL6fCeTXXK47M!Mg))wt708<&{12E!5js0UR0h?6>^mGfPw?IdrtDv2Yg zJ+WTqCHcMTs+R5&wj9`?z#?>+sE{k9>%Q=&uJ!Y7`uK%6bcGAAe+pl_2EMwcc4zIj z+Vy>?zP0B@{}1(?el4IuSH&jM3+T1fUDSU1Gs-{@QP&@#pqc(5sy3iL1{AFxhVSW4 zQk|3yr(eh9iy=UWe=$;`{93kaOvwCo1KEKB)t#-hJ&=8%?b+naCqbFv;sI7|R*nYb`H-nQ_{fIt3tIUM(gloNjesfA;Ji%@QL+Qe>kD1<_d~A366yy z8QCCcz8^SL7pJ1q2Bq9x2NB%@uaiVVt$aWe4^PmmdEJi!Pr}lX8(gp-ZXF1vihZ??6@Dknr0;&$V)CctM9mVJHsR2r)vs=u z_t4~Yu(_oaQv8YhYKKJcHdH(N%Sikr12@3m_c=JB`n~nCDEK3hIbWu(ops{$9i@e8 zb0&OM*2P_2oN*NQeGj6sGU3$)`0xH))&{*Q^5LCm0HI?+x zlqf}G2~RpP1l3AD{f6iQpqGPOOFsZDeEJg zx7hYS&{2bm2%JLK2$Dux=3*T3eTjy|OwR6X&9&sRIojZeW}JCH$l25Wi&TUxNt~10 z>2|k|p_K^~V()~mF5w0X!o@jkgUdymYO4cNr`Jre(zHW|jMpArv}VP_F;{7Ftu!g@ z6lEoITW7OJ;Vtxx>Vfr>qlj244^azd|Le;eySpz;ThtzakqC6KZA++AFrhr_40I$; zEyBErL~POrfE&t1NI&6C+z#}Lw5a_xG(Y)r;AWN$>0%?krq%qMiXEl!x$D?%*~2mmdWJ4DLcsQ zV-7N>7#+iK82J@Od=l-15e`fMa{R(p0h%0wr^vy+Ly$wBIHqnpw$S**xgX>s%jd3PCMFlF|7ck z>u7X&D%@Nwv^$6%h!{o41xK2UlatHrO6Z3_b}K8dUaUss1-(7+Lz=4ncGj$&<2Tj* z@VkxQg>w5fw|pV}2%?Q!YTfi3`iGEAXoa?8JCZxH6pQW8V5lJAARp1j7xb)~rL5MN z9FwD;G&eiaMFw?D$Q*XLBqAmpW(aI@ED?z`xvdFe#V1mQ-o&y5wJQM=80gQ^gVlbH z;jrg=!*RXoB%K4?=x3D(-s8EQ9F^tX(vOMs%o| z5F@1$iDkqMO-9|rxGT5SaVOnc>K@hQ6za3@m_CaI#GW}pwkU9o3+|HA33qqXj5cjzX5_d8pkdE)4;chyE8dguBZPo9Er zec{Isum9~huGk{p_3*v-_x9h5=@cM4@=p3`;06aP<2&3pgDv1IU>ke}Ji{6}F(`IW zw3}xsH|-!GikuJ>sH{*HC_%8<90mhN@eCHb02}s4O_oX5;ZIv>WnG5rSi!A(q=l(4 z6vMdrtRT3tXG<9wB9KE}c@*QL?R3K)_fj|I_GUM1$cx#&e0C$IPfV!T$V?{D0?LS5 z(E-$#Nl)&mcV*~y@q|316!BU>eb?@wo_(X%&)qnCz}<7roO?}?;SINR(z`!Dv2Atj zzwUVA{ax_u2Y(2=Yk#gy#JPx(xeNEhe?zY#zKAsG69Cdj0HB8s>0uTtZ&-^C6+>`; z%?>|;$`9)I=zahHd-T4)?bR>8bPojo-95j*^gEz-5>C5x5A#pl!+^h>WX9aX{}cD{ z;Nz3Lb`KBMfJM5+y1BpzIMhdjKm}RQ4$5FU=mGP=Qg8$42X}(4;I+!iwd;GYy>`ih zyEb=p4crz>En5|tJ;TCGsptTM{`uudS1J-ob zyJel-ef2!b&^ciq`qzB@qJXsM?sbb6t-G6E)6CiunM|~K4aolHbSZoK42f&bX0!Ym zJo#KgoBV0KId&n}!K_yDZ)n$Faq-_>k6OT~^(wVqI_jmTUN+7fuh_q`yz;!!efhp} z#q%4#N59olD75UxtH0!1^Q{rQRcp_ozwhT;Tl3V_cwLq70qRQ^udV)|rI5=-U~8e! z3V(u6)|TM)U+~qt@fQ7cv}!?nwO{7*t?!~8_!!!lho5#2TEQ1{* z7uAet<9&SFuL>=N4BEh);nH6#*61f8iME&i7&s7p+*X;pAPQeo;AqYYCUH&+Gz`I+6;^h(I4P%ugzgYMa@4~4 ztSl&3htYrZ&eh+%%Kzq7L|88TP9)PB*3-~?3FyVbMQUAqVp{ZNCxmNEteh4-sd9{d zJ7SGaFI8VEmHqligptR$QOjF91EvV(%ac&2y%n`~3M{LHM5MnPqk3AWi~i1HY(Xl4 zAZ3h3uPn7-Q^M;$N#{X`{-|Q2fsWTvx+H4Q{9&wsmQPo6ulME3)i-juZSz?&aAz-# z&XsD4J^9(}jA4bfMmwv>Jn9bthLbeyo5jI0rZ`@@+>twu9s z5rrJKnjosz5xvfcDmIL2HjG^uvOlh#9=4bG(>e43h!as*2o<3bhNuq?AO2?bKd6E3 zS~omSfBgBLZMCKFo6G61yjQ&!ptmU0Zlh<>|Bl{59Q}FiA#`NH==<~`{aVU^M@i6k zm|VMwK8~ot0wz`b)2VILW7G*sNA1z=N6m~R84Ya~)@){VFVMKtSm=)qAq^p$FXu^% zpU&GcGeYfr8ar{hpbA5XM2xC~K3+@Q2%oA=P^XR0JLEg)x2=DBI;i^|B9dkvcH*~N zhu-Bbl25_+5#GP5_AtF)C!n|WBf0%7I&uTL;w^H8qX}Qpi)eQZ+BX8{=rVwhq!1lF zN9yAu`*YD9SCKp17x2D}&OcAid&v0}==>)AY;;~E`R=M&}cqS_4x-972+Dyr4xwYX%zkCQr@ zTG}N2_rB-J%*a)%sqs;vwweo%OVvWj@lpGizGMk?%)jUA+~R3*M`_mewPW6Bb10JX z7bgTw@JWiXS~yw%pqLyVu{XKQ7BTEmno~3STKCVaK0bX;#GoiV}!wo7bY8+z{~&`TqM8)1VIoaNQyTll8`9spd?zRZHZE3nX;A0 zM`BBM@{~AsWLu`KD?Vg1wv#34^|9u@wXN(Xeu>v>I(8iG+gP08R#gu`P>Qp8Z#SFx zJ>Wmo{I065W%WoS=YAY{y&)>vb&Zl#_ z)Q?B2O|O_zxiU$o;AC8pEGNEgM@dC@>b16Hg0ifa#s=YEpS0+-ollO;q!5p->6syF z_Dvk$_35*l?a@Yj*ZkER%$|RuszY-GvJNq?&!TZ?12J#{Jk#pmuwkct*4x)N+i0|> zMs1QbTPR9-Eof)kaJD_$-hXn}!Tme8iqfI|yLPN^)Hh((26hfkF}!^Ic)HK-azRzP zSj-#cG}u2onmuHbG(cf)^J+ei46@u?SPt<>yr_&r%xmj~i}|a$6;2~Af7#_#;m5Fgi)Q% z?Fc40Lv{D*(M>R9)ZO4oWq!0&&9swF+RSs4Z_G6&qnOQ*iL4usAH;m52TXkP%NWwoW4RJL>a#VrJbg-Eke=)xrOvgVQ<~*VS|s zr-OPO{0kj?5&79VMkmEQj#$iNHZx32mLr^>kMWYWpry13$*Q#uwOR{dR^3ulk{YVj zu}D<*#W+sqVHvX;@{%B18Lf`kacCrWa~BApv06pkgx(DH?T~YK)|xnb`U1Sede(eF zjRXKiv)H%m#pAC_;lC3~GK&K%^dzmbU=cQpQ3v00@7`YxRawgNQo`xSDA{ToS;&yEd~g!@GZLPd#MFwa4t%{&FSh560sRSDDy7 ze8N)Wwb5)L>E{xqV%qG9I^64Hwb`$gbW%y68F_P3J`k>dDt-Kt4$oe9psB>cyCW8Tpz-^HGo6Wf@to9>u z`m9@y;`gsN^GJFyy%6EpJhEk#x0@r27ORv?%0~1e6w~ywl5hxk#}X-qvfHd)b{g

    +O)cG?bi}y|Mf9dh9GmpJ; zWconZBiILFE8Ek z)pIi;3uDw$DofChN2w2u(j7>)cu)X)TijzQSWCfb^3fz!i^7Kua9jt+XgG?zB}|uq zH6DyV5q~DGipO0go-If&L6lj+!W1&HUjVCx3}&vrc0t*gzu`SCn>1LCR=XsSP(h^# z5lW`)PyOJDF>8FhGzde@!D}(tEMfH=AMV<4?lad0cjc{sVJf`bqMvl0FJgw z5m~ZWY%D9`H=73~C`qYOK9lk+Q`RaVjzFJJkA zna%}kukT10a#$jA8O0-TBauKeKW2F}&ng$h3?MR~T}=}@$4Khz$aMHyU#Yct71p}C z&~Wty+L`K`$<2-r_@sJApGE!E`tk|-%8%QVVNLfwE?MEbPf682SN8=W!5K}7P3`Ma zAXD{GTX3w1dXF{A2_}BM z^U7$?TqX`S8SIydAxH*$PqxL?LmhRRRSpcAX{VDI2yYqkDxc_VY&*H`z>~XDa&u<; zj+oRa#I4Ap`V^ND?ekwf@$i+WHc!3xTMw0%@^+8aptHG58cL=V_rR;~-|2Ab4I0Yg^yslJL|&8%&m?tlvQ^i( zToSK$SR}yn$-!JSS(NI6lmxOx2nZQrqi{}mTF^9m$TtPGAjrj>UL$CcyL0sg$?b>= zE*bm2ieJ-3p>i$-%foBSL*PQE_lqQ=sPO!)>k=X7TP4G(*j6JNVXy@MYq1ezjN!pt zH^>!}T$k4do7Jwj+ze>7LbC$@w$uvhDd*3k!)c!=+7n~>&i9L>eXJyD{PiLXboz!f zNLYNC;XX>nq9ls0^l19Wm?}{eTt!sjK&)ltEKUi39o}lfuX?$9o}&bCV{HY`mp?!~ z3B9$Yq(AU#x0b2!dKFhK!mkypoJ!AEGLkdih*2ZKfebI=`7GG^LaY&Y;=29Ue?;v; zb!R}lWpoCjKqIB1ASGl_-JkRM!k4Xhy2)io?Uy^3t*_w~L!~~4MuYX}ieMq*vNsp^ z+C}XtRDCLy!C0)E^!J+%$Nb|sknyc%J2x_Cl+hn@$I)V%g=9;H^;lGT$xC@W zi9&xQ5fUK~ts*7*{{X-f;2nV42Vfh_0{q;O22Dm3;IklMO;Cx5%_0h{4{{RA@P2>4 z$Lm$~3zRa~BB4NYDTd~S@8&L8uMwYZv6EBuZ|*Yk&U*rqM@q+faS=Zpk5c-x_?**Q zl#U1yyj2?D0y6GpI8hqlwQB!hr904H^r}?d=R@7+Rn}-L+dW&Zb86Z*P^GVQlGJxa ze{M9^`RnLN&M!%!@?;;i?b<7}zw?PgOEe-0NS8T^8JMs7#oqUXRgWY3MZxd2ZqZw^ z?+5UH@ED-B0~li=U~Q}ffdc~V6A%tTa#k*jH>qrWQ7)9VdZE{1gF2gs6TIw03hk|4 zhS3W8(5<%nY}5`LoUp;F4ca0C&=bOa_bMS#4%zz6?;;c%{V=m@@#13VGFgE_iI>mz zT%N^6Pv;^MB6!o-5N{qfXr?Pdk@}D+-0X)-!BWI2cFKnEP_g?+b-=F*W&8Skh&WYv zRnfINzMQ`HJzCrO7WOUC^xHq4qz;L3msV81VfrIg8O_>GWQ#AgGUfDmnwp5gY7AC_ z6G5uXjdRqz{ji-{u-$5-=1d3iCrJ-zsRjC0nqu%A&H~9Q1<4DaKP_n_DeuBN@_?5u zhJ>spjv#p%)YUf`q>GF8p6=|ew5iI<^xgAs%&N(C550K&_Lm=8xBj6QkL6C>erRLn zt28UOZ=IgLbyQ|)wIkL(I5B+7Xws{Pox`7hWW&aHfARLCUwCYjvw!nFAKT&BdSq!w zy}o1V@T?=e=g@&ox%kB5p(BciOy7wlK|+4q`j>zfbwjjff*C54;j2!IEb*d;m*cX< zXe>BAf{Q5^WO0>|-MOGRay>Wh;Rsw5G;uaH0q&lknEWeF^G7 z^sXp17KOzq91Xy70B#fDI1fv%ITuy3!8!IIdpAoLSy(k~HytsZGO21txLpGuq40@W z%=~ipj33_VhkN~SlOGQGVb%{dewRPur_a&I?7Nqy{Is7Ae>D4=XQCGEemjCZk0FCS@|W{*?HHELP2nHzYPgUZjjk z#bN{7R#g^W$cB0dYBW6oe$#BN7&=J8Rm#*3U9M7T)ou~(@BWV2&f1MaqTk-_%Qr&? zCe$pzPX?zq&&aVNmn@YsW(eK!M3(Oi+v3?GFC_eCzBHZjm#pv`*c0l$UTyGdNpd9H zSt^*=wALAm`X@wj}apZ*r;}(2`Y$X=K-Z7fJjS z_{s~c(X}3lstdp0!cw&sf(5EjXl#hd(Wnu}%{Kl7d;w5z1MdOUZmw?nJTf&kF@i-n zBXf~Rki$L?bxJf%FAF?*cAk2##6;ra&UiQ%X2qeM)y%?ZT%5XlCNfmXIBZ_lpb|w(u2#>> zYH73(3{+depXhUnX>YVutA)7M#@+Sg-YsRT&1$f) zS~xYbI1n<^_DH=iJW#I%_{RFh!QA3_+{~IiPQ;H4(zVYbSr35St$INC2oE3R&+$}) z-@sD=KEqSI3&8hM@OJ9$6xB}+QIwSmQWRy;NmiE`uW<0tt&(dUL<>yW+<73?;?4h`iayQCwTR zf~}a!57b%#jm;g}xqn~m+uvlcKk>JvW>802g7qCE;i>Va)8;ZDOzL~wiFNO* zpX#fQ$ILIi06(sn2hQYZe|MXyJUm*JOQoQ~o6P>AO_AIs_(k5A`gvL2tqgZ^>A`3!u>?vVZ=uEl9sR+fA&;r|mx`tQMnI9d*4 z{^ETq9kGJWw1(_m3^^eR!cmvT2L7mxMwB8k!URLyF_;;~UGty(P8(yQ0UiprpPfeDAb zPRlnw!}~4XkPQxcV)1P7rz(DEuh{o>E-GN{%fEAA3VROga-LFGes)+nYhQ=;g zt-t%BzY&LWf+X4EqxrAHkBDi`AYQ+&tca-}rXr?y0PLdwm(NWDM@tWZ9MCyi5E;&Y z2auvq6j9#ZJ|Cqq-AB$?^mePz_5I|`%JNz>4~i{2kL)04z;AV$wUQHPWlrGnBAdx7 zn0xEWor`Z?&G_e5j-9FXwO{Ch%~ID}Y=jtXuvx)t#qoB=*BQ=FW?jn$T(X^Z*m))r$$QQqi@^@P2}IXZ z)N}AVq~*woak<@$MF*%e7Ps96wG2Mcj@tYgh?;zD@rM`ib~mDH?xOW_E`y1hznP%q zft)CukWJo*ulszU&!gA5(KP8$Kh-(Qhb(j;pk{+Ucs^Y)=>4d68}%Hu4%MCjJ6bla zTB8xqn9bf)T(9?dy#<(dZ-6lsKsp)NZatr1ezOi zc!Izulrs1nScV)3!=7w!S7h0^$7YnWw@%D|Cp;HR`>jl7xXZllgR@*HS8+#55tk;T zaTgaRh8F97jVHb7)K;2Nn_Sl4=59H6d}}0D5v^V^YI2W{WMlQV-;pY}w;dZ*VQDQR zd2pkC4T6PM%s=QmqYj;^45}*?^&o9+CK49w;2<3I1FHofVA6RIfsvQtJYZn^Gfvc_ zt4KgD_4WnX{3T>k=dt!x4ukf#SnaF26J73(_J&nWUU7EgD53Z=HjLd;+7n6#ocfrK zFKil+$1CEYQjJqbr%!I^AC6ijhbI|N`nas*O0>7eRT;HgE=T+c&TQiXX4Y28%g$I$ z-mt+@uV;1Hg|WEN;W4;ftethr{r>*p7>mY(5%F~j^`8M|Fy6A70AiSyQPJ>>*{D@% zF*_}0y#e5p(-eNl9Un-X{|vxAUnFPw@Ua=x=?k4p7xGr}h9+_h&WT2B5o$#`1b?`+ z^kDZncsil#LQ4HqH@5Tq`JM1Tf1vwi%z--MKt}y2kik&Pi`0eg49`a?S+-hfq|s^0 z?g1uf!X&2<$-xbhXVAdRAxT1XCjx}!M=P$7HF7gmRZ%;Y$^S$D$lcouwOyy0Lz`vR z6N^M$LOso^UCE92&OM`kbmuNZc5-*Ex^FTO4?A6~gZ0D*ByA*D&V(pPc2Gm8y+uSZ z0pvloicDqua>+>$LYl^TmViiqJ=_1Ks=U4&|N1Bz83PFqg@xgWMQS`5=yU10Xxvg7tz;br!Ed*Qi;?1ljw_~AA{)un zq;RvJ_or(@u#^lN`FyDsj*sX4LT(s&b=l%>XH*i|SlXkhrsUz^DV;A`5WS_Oe=u(f zM026lGUZ3J(qPNSyU(bD!F>FT2}u{9!9pe50PsUUCW%cUiFHM+l%9?enQK@c{wqZFfV(6tA(S1`SZR1Lf`0%k(V;$X@p?-i;H!a_3 zTD~)c(u(BH%`11>R@y3})H1nqX8BIau&O;gxpNCiGn}U&5Xh}>DtUILWWQ1}{#q>n z+Fz+pgKglh*1+b?rftRI;Kop3T-qjV%f+R^Z3rCOdCu=JX~GuA=3>rb+#nQ8o0##D zWJuO>PK_u8g93#gq{0hpWYhY_xwgyLq9P}(@hOGQWL*P{CNPv9|6|*p*|BZgwr$(o zv9)9Fj%{+Sm7*DovZF-}lTp94`+wrWuQzW6Xv19&>F!*cbyg zRf;h#3tjT)(;WS%M7W>D(m@^gUdy}mR=dwG2mYLFK7QiWINg=Woh)7aI(+*a_A<`p zFi}qvNH@U6RT1d=-STqK?=XlX_fl=MZewlPSUOKWc=L7ZP>te&8~ev1fNsz0fJgzb z-=GJ*`I{yCvXy>7BJgBdwW!U#{x$k4e>yzY!%SHwHn;Vl)+K;AP5#{vuk(vvp>>H= z+5`L~U-ZeK8$c9<2%nnJr*Jm-Q2fBCM!&?o1W@16*eUxeJf3MfaS!#;`8F?ez-B*- z&532iHe@=Ln=xYg9`oVMHV?3QS9}t5{;0n{ukq$QdJXX$@Z@|h5gda1FobmJR}9A- z=o~6P+W9Gf@B8CPB_F1LyXV?i%8%;RM`xUGJCNtOw5agm{m7wRcVa~}6p7QedLy!j zQ>3-Me&HaH?Y7eWI`?f{0x9^}-fxF;uuS(mu+L)aTb23;ldIPR__s>tRBd104)RMq zm~KLCzoWn;!sO>E?#D>~^OySWiESO!_m>e~qx|}^Rw(=b3xP0`iZ%Vcx~pAK|12wa zXIjo%b~-;NpEO$xR|A}bwd?4e;Pypa3hS8aW(_iRGWA#6>jL+H1Ahqyd1+&e!3=lY zomS2}uU9|s`D1(od?xr?{Tyn&uQQ&qp7~pQ8@?L>CV&9%o8riL{I`+4=)qb-@0aCH zNAPdT-8W5$y_PZie;5|0m0l9RcWU2wck^1s@_jt>MK<^(7YI?ZZ>s?L0r!Vv1 zvI+G5PNxU5j11jg?{oh-mX}^|7a9yDJf${34}n!*1}H+w`N>Ut$@z|?aatHVDx#hs z5`JH>2+S;XLfAiWdzHsG7Od@xXOYvc4_^32Es?|?*uZ-bMkQp>T&^>h(Sqv>D#mbU& z8Y7tL;*LKRnD{c}WpV3z;uHr?u+8nT7E&J?Av48w5;B#A9IeV$;`CL89Hze{{c8*7 zou~^@Z7P~_secjgEk2$oaf#kkL*z^DffTNSSZ{#P#7UCKIuWLE2gwW;itTSi4S-j$ z;VTsS0FQrSW^RxazSa{i@}3r2Z9MUj;nN6O$xj|YS`pvFG!`1EbQ z6bXp`-ldRMLL--|2_0C(7Y%g-G%4BE0@epf>Q=w&BUOdYGq3j8q1!=2 zpTZ3%blyN4kt)=gMzdk-ABKZJUI#9Q0*E2RfQAAhbYu=V#87acCoWy^0q~og3(|p# znGARaG2rY4_ft^Br9^ognd>Jpw1v_piQBid6IDA;PykZFrf0E};9qMIrr?^VqE+x+ zLiEO@)ByaYPQ4j)noo3^cLp^DP;w#5x5mS13oFk>?^Lt`Z&77S&h zmZvqg>#MS|m9^VAmMpR6Wk|_>_Ip+6@kX%|} zPB!zK`uSOs#%+JwS&5hG^+~|Su^iV!13qhWfuo~Rge*`$ZJeKa?~4}Z!Kj?zqa90! zYjz%-(Tq%8&R*?}Hf!Qqc1BAFAw?F33M#HH zhtyxHc*MI|m*g}>XE)Y%oo(S;b+M!p>7y3s-n$bD(qy%}pYmfa(1@=g85aBrLXS`r z`iE0#Y)D*8SE278IS($|eea#VKe&oMJ}=6!?~;#SgRwi>AG06u9y=Jv0Gp^&n2dk# zXP6y+4x}COe`Va}vfWkfSp7b>w#BNoAseH(%2wCOPB=nkF8X)EgC$ko?y5m%0zplzy=K$yMM!lY$@#I|zR=5bSHB3*X>HcbokgX|vnu_DJ zx}(^<sO}9T?xyD*QlCA`1y zC{#)%WeW2iJZxBa2KxEm!(U%_$M$jEKvWm%_*tWvV%=dXoAC@=MU!-HnLI5$LHI?~ zsSsKDUna;{5coX~XwpS9Q78_VMfY ztLhl5=R=@Ht6}XlztqW?HZJzTmq2Xc+J?9aESR^iQrL~Q)Hw-K+c1O%ocuZ8ym;j) z%;E)4zLh_h#zR}Agp9Hf;CuB7S9WKsG8gqj1F9BJ-?U=^ZQ^gj@J=Jqrz`Ic8F#p4 zM@>0H*<}vTX9G6-U8n}wb?fBcbv_3&xQ}zq4}`{c3_X3e`%S---5*0TNT)|sj^3fgQRF*+`D`08d(dP zBkwEFMMZGNZI0+EG92mMba8gp=x4fA9ibF4{%sQ;84I$R1y(DL#lzCVw3ZA7jPv3$ zYmV`ypm6nhrQZrx{5Plibp7I%!#18uY zoEdqZ%kvkq$j_&T?QY}N-ORZzYm1s6*0I0|xrXA-#dh>)X*Jqlx@lN3DHieCvTi{h zcAQh;Y^yu39jNpD1e-_Qk*Ja3Z@(7cDcsc_7-!YQ`eW!HA+-Bi!W~ zUB<$ZzP5>GdFLhtL+jGH6SwJ7SP?1vLVd;Txiae|VW)4_7G`Dk)Q=~=R{!>F0~1e+ zdk)NVnH7~&8xX##S ziC2;yyLpR?D$a$-WeJank#46262t5hrC>2NEN5H%AEXsCY<=ua1=*)*9p9e)}b zCS{3&tAY+bW|_S&jLtbs*MI0iL%PJ)_4l)7Dy@iuHDNVIXK_rz?k>K7?&Qdh+g z2J_WN4u4m1gbA6lFdWH^;R$~#N_N1^5}hRJT7lCO7iTzwLlI}T$y)*s*P9kvd_AD; zm_4VDCgNSbiq*f;+NZAHz+cwN@SW4j!;8sA`uT!SP^=>>^AkS`Tk6ElL7>2a19>_c z7t`Q?@A=3C3;pD2BV#RwNouTIKNA00GedZEYGT)aw=glRcNd#?o!&)v4@5UsXLkfy z1bBj_vlU~+?)i_#^O}oEN#7frN8t*S7Qxrxe)(dTMw_2dvuAL8uPzQcgw|VZA zI>fPvdz9hnkG9EXK9M}`+JzBt)@Q%$aq$Szk%STP=O1hobv@tj15&_%a>|r38G8xa($Iwv~#=T+vk5b$gJz0#aN zG6-!__IA*UDX0^0`olXWWC>5D4pgZq2aDmaNp9M9GtY0LRjkP<2ONO7TCc!N-Fv-> z3t==aN-_ZsDKdd<86Hz{zB_asW6iwo3FKy|tg~ZSFD6HC0w8&;ioIB14qTIRMskTo zDV61n{Ft1IYU5(;y+>NYBv zmK?M{Tzz00U=~#9f3Mw}E*>skuuZe#$e}I9hD}~9o@R=&F2$~8;hbtqBj-c6SpT;E zo0XW%M*D-F7gI&KjB-j?VoFB3PD@H{z|JaP`b}POCNn>ahm1m3Ub>Z>vd~Efl}$F& zLV8}Tzv47KY*Cxp#$=!>3`csAhCq+yclNAkla zzbBPW2^w0Htn7T7lV+v94}`i`fQN=kIYYEG4Cc-F zeq1Q+s0rGBQ3mc;$X-cCBwvdP%K?Tl^Ic%c3f{NtH^3<8$yigf&{zD~v127j(_PZd zH`Gh}w0SxIJIHIJbF9I0PvYZY@ZsPQ31|tt9{n^}Y}q%D3nX4NsD~{DpYH`?ci&MI z^yCOsx)OfnTe2SG#h-D0D~`S>I{32w9sPz;kFM9glSDHs+n8Ks3+)f-`eTps@%?&3 z^bRnTe5z`ibUwiLm3hZuH#Ls^%X}y1q#LxzL;3go$TCZzCXqIAo5oSRL#0N{C#Q?x z^yIKoDv+|3>@yq@7x)HzW6G_mWxzSb=>R0Q011UV)HKJrM|_V+2_9tpP8hu zmwdAndewSSPu1VlrM^?Xa7s8&1LGeoJ}pWD3%?=fb>W%iST?QO5>DY$;-ckPC~^9C zteB@oc&50pPQ6FGrDiz4AWrd%u}(`zN~IWC861^m@>#ynPuWNENxEAkF;aXk zF6vWGeJ;+NkxzM4!BXTG zikxLU+l+)y{3}tCLB%19bUlp*C9OAX*PkjCLJOZGlq2N%$g~6M@3cFpg&*aqp2~N5 zcZBo3aDsy+H*YtBO!_aQdx2&yFI0Q^#GmC(Uc?^@H~*Gm8IE}#4$DN2abMhG@He2j zXTeAQ0n0BF=Gr`Gma)v6(rc!vuL;?@@(0l~m@3TGgdDB2p}9_6d1+bT$S3oHDYf_J z1J}G_W}e7fdD*|ITz+7i$D3p9lB|LGp1AoSkQxn(58oLHk0;v^xt|pb|BC*2;<<7R z>))@aOCI41mQGgm9-tgs>bC+?`d$tnu7gH|DPRrvMoaQ@&;CET9 zGG8JB7B>NFBlH&|l#;>>I_6&oQ?h$mK8mXFs|;X3_|@eH!(Z78hjMg@=Onj$xo5i$ zthrB^j@r_9i4Mm?Uy4Bcq`dO?fDWR<_a7bk#qYjuy<*QE0U1bN;Mbw5JB*&)shSYAa_WybpMOI+9?386t*dkj`0#&IyXnVJUwj9HE--xSaSi z#MFCKTEol@2Z~kzX3>(+BB+&+8R3)Fhen_Y!7n83&^vR*8|UocJHc;Vsbb7cPpK}@ zwD5Dru1q`|eOJjn7-KA->;GPSIqtsdPngBR9e5UXGjn`l`pdD%{A3ftr4*MvD3P95 z`yoD_cr4wnQm95+ok*CrEQMFHd|=IK&*H=zvOm!$@h7!^m4Jcc9OLZCDb~3rkZ7rP z&T%p0+!I*&2zZEm9DOW*bUe>_xIPzLHe71O%j`D;nny8JL|6@S4U!M4iT)%RWSU8| zrDjXT*1#rYuVS`iOU3iR{(}I3k3<+nC`WL_%Z9(k6X4KeZ^Fx(GrKU4W%@H>b-;B% zexPRhlNtP6x2AqpD%SOkYl&-X`p6frJ62!s_AoU-Ya9_wf$rbCwnF6?>G|Gk42nef=HBPjdR+?S>w+&*R6) z6+`sbN<1jL%q|kgL0tM?U~*xdwa{jp58rAY~)|w+f6q9m|^hq zSZl<~s}6V?YP{z6tsW4omQd58aiL9Dx2vf5!=*E)bo*DMh26yVV)?MTT{pvitFGDS zyuKPiw^k#YMp8}T_hb#-AHP2?l1Fpn>EpH=11;i?IUV->E#}!88>Vb=hSa3EPXp0d z3|%+3a0KezeQt*-ea@DFbB`6~Nq@YDOp zYw2c&Mt9RuX?DhT!0DaC!j*C-n&*Z`?RK~K^Ve3n=Xb#KiZAJt+-6F9xz&uSZ{Cip z-OMomrSJPCK`W=d<{Us^1xUNX24}0V$hPQLl=gW}UR|}U##`b6RvOoAX|q$?9Wd+WG}iB& zT@BzQ*mS=c>uG+|u!Lt`F8iT~|Vs&5Goy`#``a<9qTY%Q|B7o0m)lje&atxzG z3M31uL2*kdNDH|_eF!R$g!EUPr4$xKeds74!1ZUBn-va`fb(fBP=%C7W0Ra64yi$Y zfDsN4sJ%jV>n#we)l`IeYbl@)F+hFzS#XKVPYOr#z{et_q&~|WqJZv}U4Rq9u=uto zl_ZhSQ!}?R1pl(Wg3BMET-=-eXAVssm)~2ixHiW5J3?Bi|2j1j5I~=ouL03rBR-r{ zAL4+jCU&X|$L3QOA>A5Rz0wNdDK#)!R-pdRrVv2w~|%Vm2Z z`HYfzdB8k~rNWSRmE**Ylj8$FsJ?Wdm*7DoO2~fTO@GOPaPB;6Jekf+8U?mJ3nbkL*Gp;w@t~(i2h}36AVpe3z$jl#Ax3AGK!N_^_Hdk#E z@Y8-7?It{LE?%kMrU_j4RliPnA3HvIhX*A*ue?6Lcz5qb`y_jtIaGW*Dt&cT^t`Z*<7=)St%;9yo ztR4#*L*+xU;J5kOjK|JM91-J$q<8O5lm^3aKWt`eNE~feW}yQLE&69&3LJot9p3@i zc(u<8AS}gZI=!PBN1kBdPr#OUT%#E&er$vf?UZW#Fk>6 zDn}*N60C3n{9c?5JYTp|4TrbG^JRC-QD3qk0!WP;YBk;_H7Wf;{f=l;C<@AJ)e&!( z#`k)3D6rhgd1{%WrfF{$U_YwB1b_F<{J2qt{fHGoR64=4F+fUnZ_43(8_DE+MV)Hy zqeyKAB?1iuso7mC?^EAp-Niloz-haZ4eKggHN3q?{Ae1KGA#7QsKcm$XaU^_J_#b| z6D~mHf@l&=fqvr;2%rhckKUyseesUM2kHa9&VyNu$ZQ<%hJ{hRsf=@_z{FBxPQj*W&!Kt zLOkA4@WBVw=SZlQ1*i_yJzlVqGJ7r!d?f$R(lp`!Db>Vzpb0fF|EJ=)pOc~Ddie_* z;1im^wM)hM(vA>d6PmxaOU3cxjS!#{n!mJ5#s0#L5FitpKR0&E58>-FS?OPWEE&w+ zr?ZRIr;(}&wh?sM=h}z3Yj|UaREM<+xBwVHA?|AvI6-s8epL3M?0$n#1+N5i2B`|M z&Vn_8UCwf{N!M^&pwbyo{f)FG@Q z@&PVD5W(F0ND2rp!_cL6Pb6PXQ35=|^6~em_+Ngb1XzUSWAB}azgVLLXpjTC>tT~y zz|#6SjCgPG>%h67Z<3s#tpNGLIzx%{qT%$>Zbf1#sFIe_FVd(1@nQL-dzMl!Fv+h` zow`Zn3)(3>Pso9$k^eru!U)>3q{z^FO)F;J+*5Q1COZF7MK?@Ag@J#%#lSC4>McGH z0H~a}{FaK`PDUWP%kANMb?5{8Xe(Bm9vQ=fjRj-MP78}y3HPmjMq@*za1&4GQ5X;Pi<~la|HbC%_Dc<3XeU0Uit6~|vO+n5JCOJI z9d_~=k7OU@FGE+R((=DUecM&7rLVCi{LT{Ud$Ou-cVpPCA&moI@Mo8aJ z{3<#CX)>=n0U@7Eof2Xkk|L_o@(+eKLS|ZRRU(9~@bcijE1ui10(9e)%!FOR<4%Sh z2Fno5_KYDx#NA8{Z4iCTQv7+J|D$;l^Cnycx3}3>h=I?S$c|u;8=+Bcwe&N)x`G>l zy}W)q@g$fJ=|c%laB%>s`9_pp$9L8T%dR%^>{5 z5Fx}$1jN>2`k0H>+TE3arusG_&0<+!EkOf0WhMs=MPwba;OSEe&F`M$+dzA61*I1M z0y|Cr1v&^GK6nDc2t*{&$VifF;|C|&7lBwEq|ocZidQDq7fHJeEA{rcfxN813>5Oh zgdOpRk+(DAPQ-?DWU?5NUc`no;&YG>4S+VlNR#036a8*m=z3Y0Fkzo(tTHstoeo{E zCTPOji5m$~vu_X97WlcB-tKlU&sd?@s#Gz+(E~~cCVJc z70T}+O$NazHxeM~Ea@UW)UO^~pmng?l{wr)6Er_9ah&fO7(e~&jK~{8(m}ud&svE* zSO#hGS6p(#&_fO>0rhg^AcloltnT#Pv|9NQ2Y3 zt6Jc{SBM{ROO}PsjHRkcqDzHQZNo|+g;fF&e_)W8V$bOHiIPW4&K!{XcoeM2lAJm} zxZsuWGmu&VNGk~al?KV64XXR-a1eK%phAi;b>Jg0Z#ZH?F(F-8N=gboKxbda7=?HM z>-1u8!uj&kqU-T)pg__BWP7Z^WRsGN5C(7!q4Tt;{A(UkpTq}kI+Z-QH{y!4;r^D! zx&u1?xG;x*pn(_^QiZmW$D6&*o4v@JE%W&gs@X%~A*h4|6S1%7=q=EjnFC-c2e1xq z)BF17tM>N!`}r!VAGF)@6&J<0v#%XNAgW|F02#HaAiPdE<`Q>RiI|Zpy44{P1@$Fr z?^kWGE&nimzIGE8QZgNl_^+ke6saLe$ctKJ<)3p=4ca}AF1S`aPl$pNuYQKiesg7F zg@!L(m~reAg5`0c@B+2+1C8%N@3(faaKVfWqMb;)k(PBW;qTa_Da>83^~QY;L&AQe z8HsuzeSr^xan2;b@MqcMcZlHQHE zn+}z=VW(t_zg<>)f5nZ;XoRL!LGhO1US!%7V00zC1|LVnr_I{&Nnj^@N@d3_)Dh*$ zx{8vU{6=3FAODWxHZmRs%(940i?72Tg1%Nbf;Sf{{MR~L1Ez2=tq8#44h~UEwt@g! zpjAU1PPFIZfy@~?V9X|k22F(k*}@+yLAAdgNkvu)TF>TmdjTixyB(Csxhcv4g5zk+ z1_MKrD)A^f;GOhlo+MN5e&)YXiff?W7H6RsRCh2`$gNy66d0g1105ii*=uG}0-x3S z(%GV))dy{3myfQ= z5E1fWCdYR?Ird!*;xfGniJjF(fKO3pw?qDJPFzt4ZAmag?f-dW}?9{4>AgCqfDJh))Cpj z*=&u-eRYHAXeRRUvQZ;9Qk^iGBE%owQ*UFnf5KtcZb+2MGIic@&qg<`rWvJuMQe$A zAxxXYJRNy~W!bOxGm0@_?JC06iC~Fzn(Xk-Uf|lW7cFQ%?kAV!N*8ow!L5PHG;_utXJp>duc0b41b&MM? zgjc2gj)4?$H3v~eh6nrLL!BGP@I%_69moXL!(D4{CAXHOl@E4gQ#q_UJIN ztB~Bsx<-R4CU(DYOtcah z4DB$gIMy{cV4JFqc${`%u6X;Msho=#19s8f2QzxMw|cH$yGO_)>w;pvN#MAoyd>Vsvgd0@Bj8lC>Dm0rk(yV+(D$q!$!1U>>iV0g zU!VfPm$>JfD4t&l?@7ph75w&jNwN;Ge_i!i53m^|jOs-b2>EZF;Ib*vVub%c!=%Sm zK(jH@Vg$cZ&cKd$$|--V;FHitZas)^K}#Q23G3E2&vejzR!)z5ti(ea>6;9Sf2rTK zag)IL7ojVTzb4wEC+5SJ`5O(}d`5z241XPM z{zW_7;v?YXN;5AG}w&xE;rmagS+fb+CWGToIdOugB#2p!`4G*Vy`1(bdP_Q zjV}hk)g6X#t=ORKf%Y#KK_L8v?i)n+xmuKG?xTt2TZk8+2KY4HNXWY=H8e^2 zbv5`Y)4BMS>v()~#01ib9&0laFTAa^IA4NJT@2(e-_UI_1iK7Ii7v@+F+ICOgpYRy z=E6Qq>)xb4Nn8fTk?Bnd z7#)!&k|L_RosXQ4v@j+lGL$x~mu5+!@Tj#*M7R)WkF>K$ zIdbCuC7%Hp1J^F%(;^&5KBDISSvp2`ltiZE`2Kv|o#QrCQ}eyo0B?fSbtwVGAX zIv}~4^Lz4xwWa@~>D5}p!obmBh9i4o5^s(5SpHc4;^escn82>Fec3NcJu}c&V6-KN zF?+|9cQRu#(1f89r2!O7gq#akJ34dXjmM5d=p#-BY zqMd7^F|bBbnlPV1pbSNmbWy>gg)?BF8bKlwSQ@JqFr+}!YHHR~7~Ajl(#)cqHTI>S z6~^VS8?9N^utT%JOqiW&MiJgj&6<{Vp&#%>dg1Wpdz*~sJizb^JUeso4jSm&M^Taw z@(IyKLp^#Td|He1UO;4eJr)F`G;2Xm&4@={0Lvpo98sT9YrA{uNm(#8Qs4)l@&}H6 zy#dklG_YvYiwX2 zTt$!?q0gqkd-vU8XRr<@JCW=Iwt4)@?0hOUc1{#R`x;7otAK>v+CWVA8K~yx6HWH( ze;UJmFDi)7X=aqq={Y#-c(0P+X{}d#Qc0x?cv5&FpT4QH)`~E1dR6H3bg|&9e>t46 z@Tl5wbVpZcjuLLn)KM${f-sEHT0i7UB@E9gE8Cp8E6Q>&f?pC0020|4d| zo8u~F&nw^WRhp|g8y2ZG$TaVcTeIaP~xm|t|pZ50evV*oV&?oz4BWE$6daf z1gkHHjA^?I+ZO-pgQi4ti_K8PsYQ=0wN=B(h%WEIYBT=!uQG+wc1I}q%=SMqj)mBY zkky&3XXnl0KoWa2LwCoa3#zHLhX8vbUa;~z?y?zjUk zvs{DIqlts@eg6<9@~F=C?7d*AiG}~p#PoH zRhwXQ-f_p@VMGFtnW{2cS|h73^TU~cu@IhO@sTuE7AK=HOsPm|-xymkM7`>^IF?r5 zKNX3DIaMhy7F~otm5DekF>Dsg2c9IAi7!J%1ezq1g~41eZ}85moi7jTzipewS-aQui9i4SqH#um2x!1JWZ-uL2hzxZ$*76^OS^`2cR590y9rb-<_A;8e8KgJ&`C+q zWI_AzRCA25>r{(h;2fk+`@0|3@m%infsny1hzFt5oo_F+706@`c_ybc6JQzyc6((Y zJ7^Bd7A)dehhF%;853-w*#KUF;hI^+huMjs1XKoH`5zyrQ4oqlfm?nD_F_CEk6}0nKA- zJ7F&Xy`~Def{&_*0^fFw}mettnZ27NN=8o7D?n5ZR!T zB&w@yP^(DO#prT7xO<&XVmGVV@Or7{(AbINBs4Qk1pecS$a@DriE~Q)kl_Btf966~ zeBb}{Jx_e@u=+;JIPb>$;fHb34KJ|q(Eny8>A3;zukKQy*ml|%tT)n|?I8lNs=`og zs`#WxAnJ|&jnaFxJ+tXy2K^U6L;%eNU75rXT3UD@(Nbhy$!!ctv_|6Y1C#)9Q5apx#rb~$ih-!fTr77$=q!gw&i}oX`3RUYiXH^Y9 z;60lr)`!d{BAGO}K)p_Uno1sM9#*CZGM}`I<;0N{(b(t4F?)`D40gAMTpT5NzzTBF zU2dE&i12=6y?XEsHch|4r7$fr7@>nX1>LPY2C}eVuXN0D-HroekZ&@p_ z2r=ntU&=R9+E=n@I~G^+R#`A6TTF7T1(GC*guV0RwAB`rhy3N$8Cn+vs-RHP(G#R& z6}dP=a*+EuR~kAOgvbbf+)OCypr#?ybWj|V7TW!hsqbOKR_Pf1+8YwafOjZNP!M4c zs-(Zm>m3thdeiY*=mRILOh`{vqzoRhoD>E^kkM#?^uH$%RiNAiWHuef>lY80^Kg(# znA1B5C?4wD$q_t%8i*T3*k7a>tcS@o;p^BW#`PmIm{+4Q3bmMQW!^Ip%tH}VE8MAK z3^(vC3EmK;U@a%9M7lBbUzmq*GLRS{FPb_h4eWogc0j}M#K-YZ_|H(R^X^sId7pOErvNSx7qQ)r_O}M zx|Rs!%n8<8qk=A(ZvN6@-3IwHxN7UBPzAPRqsNj>l^)!Hy%DP!OIgqnn<5eF3}2y8 zJicmH1sFGT!)BL&Q-U%rtskK#Gv|#{G38ZiSL&$Jhh6+pyrgA!Azs*bpM2Zmyu@Xy z$2pCMMr^UQiddhEykWoTAc)v*8Y>z5S7H;8#Jq#;I4Mptf@yjw_EXvN!fHoM?fvq} z7fo6MwgPYD08KJhTBy3oQEsSZ2h3(0o3nlg8*b6COlG@IYtw<2)gRan1 z6f*X#db1*jPOVpg%25D*xIvmvYzXE3)9?Kt#U%fwx0vgW9%)vgAKk#*sHcoXi*`F3 zKnH5%?+v${*4p>gS^al)t9aq1!9y!b>oU3BmNC#Q!T% zPynfle}~Bo&js|gRulK9K?aTtymtpuH>58r43XVco``z($j;`ajkL$ry^X=hn>TT2Qy-0Vtmr@7Y0L>L2?8RtF0Oag2qG!5j)j zmO@CDb3~F;;@zP=xQ`BtzCi8SzvgZ%HWW4(xj#DyA8lvn-Dl?oNp#-icUi8!Su-+w zEnxx;$v$#PKg?0SZgkgp+yFC>Y7xDk%-$$YFa=&0nkQ%iGIq%dZF3D?h&i-mWc2pAy6qFk0O`s^Zc-Cgal3I&XQ; zJc-NgZSXXfV*PQVP%)w5l%Q85v6L%rDC$t(NukJ~l9=`l$-Xec38+D7Nl<$cG)x5R zQBjn8W8Wx##%2R_or!IjZD68{YsCM#B9D0+tq2rjR<>2{6(*wU%=%tUcfvE7mq52B z!-X+v5io%FO_u7%P9`;rAPC0MP*Q~feZ-x$SjEXi0MYuKs-tBZbm)WE=wpK;xd5B2 zgJY6sWlE^O<=Qa=0gJ>|7Q|%ns)h_Y?}RlTb@(X0(ZMQshPl%QRR~JpL+gP)*4k!b zj7db~Yq_$|_zUQSUBHmaH#=DVx@7W5?f~CCV|OGTcLg|c&RWu~Y&{v5o8nRs&rpt% z3C|Z2K>uFqq0`NJmotp79?+l7u&i{!dd67)vsLPknzO0D?YUg$tSQokxqojr<*-&M zqMOrMcKvJWu!4W2;A?@iN}`V##bn|Jf{m z%45gBdBz;cedh7Ca4WmV`90v-WG9t>y?dV1R1j!zp3_R?;rKwmmeAo?&s_OLZe_j| zfNwI=pAR93ArrU3+Sh9>c(i@iX)k!WeY(+-e@k2;2!2M?iY1i$d@<}0n$?iu6K0Xr zy@_Uxxk1a%e5}qR*Kbs)iG^ls~7$>HhMziD$g23k4*al@oOR>FnS*Z&UkbMp@G;0;f_3ItFg1?g_h%|!0 zAs{QZ&U3LuOeI1Uu!s$x*cNjnRu#%9v`2?94H(NW*E(sM=Ye8>iWl9 zc9>a-pLHdE-#`ZU0C1a6iIn^<=RPKtL~QaRu+4sjD&!>azG9X*pY)XKz1l|^YAovn zoLhRfyb3rOtXsOGhgd)z$Co49o>s!dLcEFIay?y-b^{YUq?{7)Eu9naPdt225=E{t z>%Ja{hR8MoGiN3LQe&aKrrcgCy6CyBWMA_zw70$`n05%J&EjaWe3sXl-O$}jMG53X z{`kEK`B_fzNVpgXCEMH^1QddqQ!K*x943}7f8|Lx3;dC86UbdiOCX=;`pF_$DYkDT=;|%@l2enb#fD-bq-@7%l;*QECpHU$TjbDZbpX+ zqaSB&XWzm9sUPnU#psf`)$nByIn_PS;L^rW98f9h#T_?CIt$2z{8T7Xo{S~-fxKl> ztt<5@20xEIQR$u8^IZ5ejd!ktH)`giu_NlZep2KqV<XumQ*0KfN#{xicKRyWVk{^y+wb3eY7?m zS#wKfa?!u4rGNeEY}WGWK|FWXZLWpe&@yD?Esh@9-xlUOC1^T=f55Hko*7?PC!DEL zH>QK%L$E+@`PJTeAV9rY9ms^b!i^nz-sLyrJi}_9g}eTsktHvhO10)O90JjQ^y9MIN=YYqrA`$?vfAXL<_5|;lS<*fQ!XAAIj3E$E7tIk?9;r^=>HUQDB@0deEIJq5>eTQA%`VEsf6$u$@h{xFO1WxzcaNdpid3LT-BKPKquNvX*s=V{RZ< zHTDgHEneX2Jf&-Wh^};-Pr^=AnWx_t;%&n&D>@B(Cj-Q4yc{u(ZHD-~hSIo9@Z_8b z@SG7SI~8JI0IGVYWCx+vH7R7Op;1Lnpa~VMuSrk{Vg;K6SI|Yz+d` z&S`z)0~e%YKKx$GNgOV&Oc9fxZF>rym%HtI4qCa!t-FmZZ{V!qP+L}wjBh@G(iXh! zxpaEpQbxBM(!Al&3_WwQR)Bg9?Czg!b@qn1^P#t(bNqjFhrSk2y826G&q9&lm}%NM zP#e(<%;)Hhq-c2TtI?16cb*V#d6tSVJc6s;wxBQR-m|gQw*_%prY5BT{Y(pbdz`Gt zNbklx%TmB6Lwi0hzNhsEY0nnkFBj|2{eB$HM!{v5>7`@o5z~`|!GAH506LEVs&ghk z%Cg2WQr{Ex??nYv&GAd)Ulvw3fP_Z?u%;FF<9*lkQpVyS+L41>l;1j?;v^u7>VB-@ zEcR)$rHU8H=cp=h!F#o`e$#{S(T09wnmiLSG5_LsU+bnT;WG!4(zKf6M$lN+UiDeN zTV4py%$`5)6TdaM$%(b&g;3RfY^MeBv^L1S$Qj-C!3ok3uWWZ&u$X20GwiMJjRB&c zNeWKjZf4`WZGFWrme~!oY1~><;w@=kesHSX=Iyy)JIiEG6XKQM;DQ@#`?-W;p156& zpuK~gOiG6rcMM3WT)y2a{jEN=2d_un%u2^@KAhUU1E=@f#-}tVnVji3?7$WBCRy%U zpKC-l-jl9pz~S`pgf%$s$+$UNfqM<;*2QMfRx!3Mozl;NFkn$hZ z5jU{~PEz*R2goRF!xpl6;5(ywC|2VPn=Mvp{LCz`Z2K(%JJ^L()#Wz^V?Nmz^QA3k zoL1*J8~#LVSg*%70^_Y#^dLTv3wav0%(J#iPd$#IR@d~lKdN|j{p7D032HnwbtWQn zHrYRQ2dfK~>@V^_kE5DVXHfh&Ks!OpgHVP>CL%VnytLGvyO0;ik`7Di#&+q%;jh)g3R~GVfq1eKjbQtV zR+SxS1?O{&w;vhRwl`e{Zs|=`!lXgIevgf{GkY&=!M$&XG6k~yex~BLjV3x@zaoIl zg_Tf!d4C*saN4_Jk2)Q`;Y*W%_n8ojUJNoF@Cqg9H2-IJdG)(41kbYn-nuAx_A<>E z14Ztn@v2pnz+~$hHRwHaj3B5AENB$t{PwN%1MX8xC+jfh3-)OX*DP@YqFLsweQ&Cz zOqVaGvCkuQ$j|1tljd0^b}NhboCFd>8{Q{cP$fgGKo{c#+nE4Y>s7{$dCR7w+?(eb zzHf~6a&9E~^$g{5hs8~ab)P|h)}OTodZ&slFC)1_`SPF^y$P?GiVIlzC#0Z_n)A>4 zKCc?woJ{udrqkNr7Zo0hE>QB=MLD43E-PLu6pmZZ8u45meUqY_H9Z-8asz;$Mt>=c z<=-8b0g#-x)-RX|SxH#8*lV?>HaN{cKh2K3r&h^%QzaO7n+CRrUu5AIBIIm3&Lf+L z+C@Gn#6@l@K$&i@K0XPaTmv_MuAlt#cgQ0z{k3?IAZa?WSHyWud2~9^ zS$;(^h>{cvLHT0Y6HyiJe*9p5t$#KU#GT25xZB#YdC)@-8=pl|qL~k(6|FuQIr*^| z;v3N&M7W9YWP2KFJ4{@Nvh0N-+ zN8fRV9CT^OHMBlzHz5W3yQ!x8s!HI5VJ;#M$qCGxO`7&B zZVlp!@>~DouI*yLA7gi@N7WaF{_4fj{>xpB^3s8A1cQ=pl+Vf=+q0SeFH=9dM`=-a zQBE~1;+Ve$XQW0XIR%XJGo2lh!AKZaR(RG>@s;>EFCNjEQ3@{x2h{qJ=JpM6?l)k+tlPSXwo^Sf@5Uzag0Nf_pc@6(d!zN zWcgxJ&}1P~q6i@OqYJvQsnX0YM`}49Sy8u`4_t^6qaq^_$|JsXV1IdPdFmW!MHCQ@ zjG|{GrlecK2gxT2$p77E@xGRt3&{DZ_M}4e2;nUG5_5z9Aa$~Us!h%};P)n00jpZV zTwYV=sfw8_a;OwtL7}=(p|uofrRAdM0&c{i=BDbV_PmsT_HkzMDD-IfsN|ARA?%}@ zT`{ewR<2O4P&rdpvGn82$fdkpSFb#w()0|6t-40-TouP9ay{=#(Q-+z0yd}8NRRFO z0JPLFt6gKMs<~o)QNFiey_7&jp`y0pc#-yu?J<->*+-`92mNIkD^3xy!7Th6Pux)- z-+IhfrY=oFz?E4Zq5qLNitU%mtRoZ<^W+tJ9Gu<{=>xkRh9P(KWWz8Q?v0lQ`b~Hh zYZlH>A&f6NqQ4v670-u~j-2jC*UuEplu$B5Y(wmm;(wF>WK~h0qW|GNZ2e2^m!6$4 zEH*6uWerh=_J~SNDE5Y|tO+WQ$=~~PTy+(lA;f^GCQW&g>Ig$7LT#vhuVk;;NXJ28 zx0G62H1bq)2pwNyWFb7l0r+#4^28ZPmMwQY|LTmQC!9Km;f$dtH(`lTU7UH!zAkEz z?_~jZnaB4)#V4e&z;SYp%z;2|e)_``+k_1HBl+a*fOhrmS@IleM|qC1&*#Snq%O|n zho7)t6nk%R*kRaVVQpv^@)OuNG%XUX#0-hh!4|mDUDJyRdZ^+D)o(Bu964lpaI=7J zuoD=M62QW$uE6@Lxc_OmxXOp~K8CRIB>U4MBda!;JY2^@q7)3Cm3r? z&m5A(rR`4osM<(t`j-`mTNYEBG?Lwq&Gjc|Fx^>i6R zP}igO(d2+b&baIIlWIxD~(cY%VL3I*+$obC&i-r5x;NWY>=H)d-m>+Z74a~Z+4aw!)z%hB7i zm_232(RMC<0j~U>&9*z4&9*$5$AGv1w?@LrYIkJz^LPqrJYG;ss5j=;5}a`$q;z5X zDQdS5> z7bjIFbe#WQn8t z8kIj#F2#HTpAz`BD(6cr&L1t;DSVD{nu0RDI)D93f!NrU2u7-6L-QICgyX^P5u#U_Jk7pNmLW^cs*_ncdCJ_x2LaJQB>yeA21gH&n)+Zhpq; zsjE)32R#mV!pS(@H@Sy!?_gE4x~I!Ls?PV1H3@@8zJYz!{PrL#|5&6inI}g6U&h3* z^=9n4@L=S(5P=K1iEiWvFUP_=<~F5Qr`^^Yb1?8W8K(G-Bs<4cW`!$dU%BrMtp-3S zV;YZ}K<+4V2iiv5CT=I`D`zLkKgdBw?C=swMh3GT7wnDly(`+mO^9RM$4x+f?~(S5 zGkqr5?Z+E$`{%H`98wL(!%T+uUEp|7|8tFa4Mi)EPAkRHP(B56G|6<#k)dkz&Ll+5 zag;bdJ2^X1$`s2q)r3Z?t4eB_#ya)8zRc7t{j$GclKg&R*i@xH9nQMmV=ci(t=TvK zrJuyXdgth`4l$wtJ?d{9|7!?Q#{O1Oh-}BhUkuVkq4(7Yn;K)`9N=9+N4v5eAXnCb zU&O5u@}biq-I4MkcEP^Tr(TR3q*M_}k-u1qP={`(bq%2owBW>2pcakLmS_<&YTI1u3J7p**-sF{mX`)8u`rKwK)u z8YmT)L3%Wb=(h=jH%78m2Yh zAwMLXXX|gI<)CM$KDY~@u|tjkzY(QxhCdZ;}mWl}Vdp!7ZYhRTj z&4-;9Iw!o-`DDo#v-~v+%9$@cSx{Wy^WgIdWoXTdw_g|%4GnRo){7yR(wJv%7k7Zt z4rVH{Y{%U8n{VHGQ#g83*($zL(^-;lip7=M3^dZ>3k|qtJFJw2$tuZFVXOxZNG2r` zU_10)r7?RBMU$UkcHDGmU2_iD4QBcY8(nje&=Q@BUH&NnALs^YLr(Twv6djt1rRV zAZ!!UQe9zc)xofRvp$A{iju>vfQQ3KhGc6ZopO<+$`96-x z$&dPi=r#j&tX|!L^%Qc#Qp!_AAPt`cW1yTrwq)(B^#i0&Bp@)Z#EsK~I$pUfN_996 zAkzz(eP|YY2h9f{f``y7xK@6lo{`L6EOR>YPCJsUQbd>) z8swuoO?7-*rOGg;p0;rd!7hHCR5|W)D0gUU8Y2wv%BpmwVP_CCxUAk4bUn-#|6-<( zR>%dBb!-vipMADSW|6=~{flz_@IHg}WQKj!$SAsxfZmdsO)CTMAFa`AGlc?MCH0~t zD-qw#jAcR0aZ#H&gb;*kgT$aj&3iAN#L0k7CQX-W99PZHcjrA`17xpa$E~xE%AxebnV`h_o z;od9EatPLJi~7O7McDUU3I5H2Iu-NJ>WSo!fr!tH-v}nX)2JPHB#UDZ)P&h}9A!x^ zo?jbx#7wJ!7}dlngcQ7=xAL&m?RHG5IVe7LXN|E3{TbMglQMkryKhdflNAw<5x=ul znP98mx`Fp^t}^?F)Er9iZ+(8)tf=vzmxJEo`+ZsuL-Gv`erdu0M0P(Y9!ORNz&ks< z4fj`Ds76T^{XmFrcS_zr4hz{=;*rQ?glweU0Jw>@r?$8m9*x**;={lVd~jK?n!6YH ztBC=3pEPd*jOBm4d^88n3AK^QLJm&;L$Prpf4&Gu(ENNIoI)f2L*O)u8jce|sbha` ze~sQKIr`22(wtV{)<=TV$oX}Uynpb}dPBN#_`I)lnx+qK^N$tF(KS8slwN-wleQH9 zl)%e}05o`BFSGA#_*GJH63{$D2!;nrCh2wwUKw`0P&D~|xPb*dV_t9CP~>&A|B466 zDUXBXOdvxE79$nTApNa}0Hp+7n`EiGZ}n@M-(ov~8BS_k>c1JX50Xj!7Do`0oJ*V@ z?K{)2>hn!980trWkn{2jHP6>~)qDDf?X>^ng~R?<)XOWhI>YSHMLL0$JI{D}ev;JE z`Pufj|B(Xr0$ufoVtzo?7cQ7bDfk@n&6{2!2zmm8nG9j+7h@a2p}xGo!bo z<43Nan$N@OpL_f{xqC}o4I28#$DlI)YQ5Y$6g%v{asMCet�G^FPN^zD8{S0CEI2*BqE#A<&LV)54qeChiNSWS5+IIux9 z&8(LYD$PSZH?Q)mff#{0_|pio=`?4p$dhlFm&wqiUQO@foB}?WIKEnapeJHgNy*QH zRcW`fpE=Gh7U58Y8lV~oi(;C&lhE9eDa-+P;K=&h3?th$wlP;#W0my=2= zaPo8`vko(#Ug-`V^7<=<4)+8`tP(o-zr&shEOm9|B?}+OAR0Nt5|3g2hKd{9Ax926 z;0zWPBqM_S1N{dg4#ct?nW90`aNnW3QIFRza7^OPtlq;x8mD+hhrB#~HbFaFex8I$lABB~LI2M(7S_%hwS(|UP%G0O1-S;f zQ-au7gbDoK3K?#KP6GXy<=E-5*y;$e%;oPd@;E~wYoT+QcvRX&BOmIL-(9~yURP5Q zZ*|E&KaPWXKrv0jVHL~DG*zSci4!6%oE3{OKahQtRVgb(!o;FU_<*dhkM_K;kJqUr z-9K+xfsUfmqOjjKt-tm}9+F3M#pDYbN6Sa4lYEJQy_B;WNW3mP)OXRj0ybV?LQ(#& zeG zZu9@li+s6dO!>pgI3j`{<)8LGj2jLswk-s9hkNaFzyWw0v+qRuYb7cm7$(|OpdIJa zB?(t=kNSZS5zG1HxB3RWDXXx)?s|S5rGyZHmV#yqg_dk%4IM>zm4_Z9`@ubk3`R2l zmnmEl+>FGiC_YSEv}jQ|3VlIx0X<%vVMte+eqJ{!#pp~1h3T*ux@}Z!JTfAUB(!7s zT9%n*OptB8<)d)M_bJ20*ZQ()<&*bY24_yOb526B;|De!F&9t^*-bNy2#b$SV~35- zP9+=l_O_Nh5lnnEhiCbw@&5HQ`PZVmm{V1R#_b?w8CU-xqfIO!9v7#JO zUu)?Xoe~UwPdUQ@)E!#>SWgAfuE5DcAL*c+@M(8{+#cc8e$U>2RsjYYCOyr{4^$=b zN+12*ZP7{~))3Wu$G}hRB|=PNOEo{?_YO`eVkKwgG+EmBXHnG8Q(~=7g>P>2mO84O z`cf&0ma9C9oU1fC_$6qv>qk@~sggmW1C$nEQiB`?iI4OP{kNM-e0P9w2N};8HlDwS z>zIZ|Q8Kpc*qRpO7xi775%p4nM=b%%^T}aE@ySsj7?V&qczI{$(Lj}CeDIS=20&tu zBK9y?Cq6m+C51l0twnh>D(9G(M$0iVwTGz1cs|6tMua<V0A;=&p>HCS?q9jhLWcK%Z_zK%Y0tud}T0`^ZdXt{9`qWbv9evB%TZ zV~lk6*T> zk8`v8TslCabS`olUIG6uX97c-DS<2=UGqFs-@3gv1s}8GD|A`bg71Z+5jO8}c6+ux zyD2dAsr*(E<#G`rL_L3n`?`{?QbA7DYl-Hp@G(!Ldd;Vi;I2aLqFn57$8R6za;ZVv zA_nXF&3fu_&&RKtr_+7gz&htY16!vNCtRMa{Z029>A8qPInJLxYl;t;F_SensB+2I@_~YU#GbT(51i8 z+@qhqvV2*qaWy1>@5z^;E>L!}m&?)h*79Q+)MB_vEBP$2PFW*;aH1bR=e^I_k#o~$Ez}CjTAo;kA2yJ&Sll}kC)IA({G9)F*UET z^(%6@n5lQEQOpS%;y!i$nBNkZQq2jIN18_#CC)5(w0O-G6DH=##w&|d^RP~$a?y_H z+{>I#H%)xZ&-J9^Oy6D!bu%dE&{gZFnj-6Pc)0i>PbH^v7nX*2%74?70)5iEx5mq_ zOFR7D*UoeA8z{dByjFk@`u3+zwQBZ7I?`>cp6Aw^+ZBxFd`cgGxHUc=%2Q{w2>E;; zfajC7Bk~F!o@eDZoR(%>>m~NNZRTcGh5sZerK(7WP)6v#XAqy-WMh5;(*dN?=Y}Kb8{oyp$X8mHUeNd|5s=-oRNPtTMiX^TWJ|!jq)OS}FDr zYgKX+6)fVO@i7g1&w4BVX4I4I(;P+g6gcTj3;l|3SpH+o#E*3^<%hIkvqV^UiTxOb z?CbP?1bxb}ZQrfv>-M%q&)NgqGbH@1v)2;bC;VuZ|6fPs9m>C*U;OI>C~TaZIy*U1xOqHip!s^_+{Xl-RS$ zRZb_9ld~8*r~TPYFauI*BlTuWgK5(CG8}|}h;;zCw)3vhzc4)n3Ws8Di z0XOfaUoT^E{oHMRBK2t(RjFzZo2N0DiP?va{6jQIyg@gpuw0HgQ2Z$u$0})pOdBH1 zX=D!2#Ew}VqPplM@P|YUX!v`?Jkq(TLk?Vh;I_r;7wEN-^4LcK_M}-o;B_LfNbl5! zv(RhgXH+@2aW}p%6u}q;TO!N`X)o$x^wC?|(#H(@pUsMkUcWcDFVK0P@=L#e zYMBLVJC?-1kTi{fi~gR(rMwWp(Bua3`^1#uYS9{*>{ZQt%c*q;+d3#5I_K&b;WYJ& zn97MF`bNEV0;Hs6Z0!*4$|dV)f)^n}iyztn+(mpP)sJx(MaoxC528znmzql_r{UUi z%}~}sOYQtQvnOgx>zwo>;Mp>6k!V%{m<2-~J6iqUU$pb_k{Sy`0#urwj68X(b|~pX z4Hp_&eAVME2aoiNMP+2GRPH@uE+ON?MjEw3zFBaS5r%D5SGGa$Z7Ek&wSrB#x(N|w ziNZ2{v2w6OC4DU!YT4H`X0?Rzo4BNTO)d$;c;3>2w}V^-eTPpJ0t>LiIthv~zVX6E z=7iyWOjsuL84pQxDept83>EHhx5U|1Cd(X7Ml6*K@agzPQXVRAlK?v8u)q8inWyV| z>$3a5Q)S~!9h0HDwB?jdN z2E6G~TsdjA&Kx4SL*DQgcJ5|r=sFF~2>Q_#0Stp@??s6CI1sQL_jpBj z-!E|Gj!UoJ7pZ7C+Pt_671q;DqfP0X}P0MzuEGOVkon~n?nbM(~qDXp6ZBiGF?`l(jeTk4>R9Uyga8P{`Qyox6d;j7*8(|%QnarNIXPDZLIJ&i; zgYS+zvJ=SYpcO+ty25?OV=YA-;^l^Sx;}O%foVnmBPBcF&9~K-h#$Zc=R)c6TX2Ts z!C5kAy7*0=+=FtA5z6?VM?gW7XPG0($Z0vTXx39N;w+ATfCvFqwZ3T*RR1+r%(x(u z4ZhD+=}!6t^XBsw3IKeQF2?=$kB7Dl;Yz4+x8rOA=v-SZA{^d-iogSV?pl|E1Z}Gt zgF~#Ej({x|4i*G=+y^Rkh|aye(?a@O-<5>!A$3_@c}SD13qvb^hiFsC=8v`icxU5d~+t>{SV(1)Cd*crrrygO-R1F9{Y_o;iHr^Jqx<>OV5nAh! z=SSjBg>#0A*DO#fS-U9(!>i_vFqXPxI&5ZvIvJ=9gBHxAxP?I`>y@t7^He!)tv8}c4jzy!ggo=Cei*vvYh!M3ML;$~%<--K-lb@e-GJ{xRKE` zEzU~fN%{q;@y?`Dg;8LIt5YL3T{5NE5~Z{>5`pmt(&cVv#*fB8h=lowZD^JPUABTA zd1A%wQm91MAZh0zMLX&i%i~A-b+LpYMS_io{dOJN6YIyO^-Lg5^c8u#{9Tht>69AJ zI%2dLesy9M`w6|Bd$zj5i%`GDd2|i4MU!XX(@!ba_#$P$Njc>T35&(tCOIR=aFS$$#yL-6`sqxU~%s2D?O4iSliO&D>JA3^@=jA3S+7_29C&A z?QZRnx890m6WT^OyK;)M;)p9iMYu<`sLpN*gC?B1ScGSfw#fW%dI?&GV%6}otO9I7 zdXmoqc6Ax2;-U_XB2SgMtm2C#<2=lL3NLIga#l$6Xiyg+mMs&6dX=TI1~NF(N`$k2 z+~?{~$yVg9x~zNJi$bZ4jvd-WZrWZ96|%PKJX<#MiRWs~MQxH-l(2Pjhaxpcy>y6c~v z=2Fy2HY)LUz$xdE;#Y~p{^J`=ed8+0me3zUcF`I7v0CB~C$*5mt_HPNN~`ie_lzh%VA z;W8xQ>R8yVI&P5M?lXf$;XlyAWV3QL)p^U^#S~1u@J6b#x&lZ`!*X;wOYG&Gnjaq{ zZT~5BeRBHT9zof}>B*09n^b1_WT+7KG`lN%e~4z+qA62g%C5_}8#;_<;iD;F+SBQ? zjjRk{)rU~_e_pRjdv4cZyN?`QYaD8jgUtd0EUp83JQ|m&kn&UcL{i9r<48bDy6dGK zzyo>@zNr2DnGHuXc%K6Ek4N zM`Y4#SRov+-T7BLj4Io~eHT!GmP?7bgw@4st*k^lQZQVw64{K=rCyGxq|BnE;<^m5b(#3}(?TH^sHF|W}8f%1+Nk3cRL*Nep zfsfZil~_Z)Cy9YlmgqZ~aD7%M`G$knga14JYUX>+^W#L;j6v*9O#9!Qy_Xer%=Y|u zlkqo97SFnX<+DWIi1J(wy?s`YbKd)*To9%PDjJwtR9EgUT~Hfh-@a}Dc*6o3-S*p7 z9eQV1)l=_q0amj6Z(^f59l5HXf8=Pa zIX7`MR!-pprQ8G-HAb&`-t~}ahDwnho_80XyF8vwW4rSGd`4(J2ac(Joa$*`G$A_M zp9~!WW@BA_yR+Ka-5;H@I3ARPI3D&tZDk(a4w)D)yGE=(*1n{?`UEg{Gdu=#@O9IH zrohYy)mv6G@KY`>!M|~H!fj&RDLw^`zU%hQBPJsRv12nED4&i1l|tG(e!LD>8!rG1 z9JXGTMy7^Bf^D4_9a)c&v%||o9oAj*;nMNtsP!ZvZesRIMvJYD*Troo+H0~6eNO^i z<5Bj+cHFjT#>9O~tR7GM%h7V?`L`bX9_`Mlcg1XSVmqmarIycrWcBcM2~Qa3j#jb| zc+}q6p4lLE-amz6Gn1|Ts=YYAQjp?9YwHK}kd9y;)HI!}ZBxf?bEeXWQe${V)$5^C zXHbtxk)usM%v5XFi5Wa_lA<13pIhRPIA}VqJ@7Q;(QPrgq+af9WAJnF75U_bT{5XN z2(QAct;2i?wC0-mbP>r^w2QAb%`MByb=9e}{fss@ep(HUl8$QoMZwH5$KHp@?OBI* z+F9rH(y0{Q_Oxk1>Bw8+q*^c~u)^<6kiXVeyK!{PFx}KZw?d!-YhB!|*uT7vYQx3; zrKH!q)C`kk^-lE`ZSHhgh;>Xb%{TQPon5YBBh?J16J`6?Hk&?ZpbS$dn%-HqpX%-8 zwhz1Tg56ICa>J=qy?c5yzE+I>O@00I;XdhMxSwo7KQwE71e(NbiQfcN9!$z|G-gzK zJe4ky+krvApkGVGj}mvg^pBX9u4Rb33LafE3PO%Kx)Is_(7w&stcXg=jpiIGQm#G$ zt&|;?aHr3MJ}Y%@^qB^OEdjtK4%=)tk0JMAH$Z_V^3HZ%^-^z*(luJXuM|DPF=)KqTwk)P zj2lusW-t~oE#`iKs&!bp>$()*-Yv`xL%r1K$(Ttrboqv+JQl+xrBsOv1p!Q#^y*+W z^PGwIUk$X03iq0&;N62+d#U7Lq(<}8rI*F-{F1*2$vDkIpVkT8vl$MaRgyHip;7yv zNBxa=Mu#k2X&ogSB*>Tv4ZmOktO%XX1f=t&aB~DX$bh6~B3mtYm4orokHK67gYuB>Jnz8sOW&&7{??0js?$bS9iZwPN( zEX@}bp4W|dOomK@_%r*RM(x1XxKjxTQGN=!Qq8t6?Vap^Qm{;%E(I1vgsQ=U})-|GKz@g#)~(n`=|lw*AY4dt#tGlSd9gQ(En-flffD+C z56(`p)%h&qtabR!d-d_1oNdX~{f-yIO*X%b?%t633mss~bA z4J?n5!6^yTI?MfTtWj&8A;8yapC&6Ynf_>=97NXqvI)Frd7z!5g<$$s!?~cal2pUPOFP zb+<}jH~e~5``YYlL;4b%pmxr9HmyVf8>hUGH;^;we)~1|3MoLZ$DV>BkmGiI_;Mxv zIVCq6nO6;j{RCj#?*)dla8dLGU~F+RnKB%z9^Jbi_jZJ*PBG6u=J6uxbG%|vGrTPZ z4ujf5&Y0f=I2=Al2eCPb`d8r&NZhm1Lgfs1aO)tu&EaQ4e9@J&c$r@tpGI^UXh^p& z<%6&;+SD!YPLCFjgpSq})~rrJ3{KI;IFD<@8p75&OU_JlZ3=8<58o>(bg9p`x>?2n>HK7}1E(3UmkRtLIlFx!*L^t1E3%4PRuVGEn$s%CwhGE3?u!{@p) zMIHfF*@pN|U47h?*rm&Znf#qjC}*W7Bb>E005Z6yJM=lMe=%MvUE{BT24LLS52nLQ z)JLY+CoqqwbHdT5K~Waxc^=XqP^Cs$RUbL@LAjG{*7*?%zi8wu^>(k%%NepXbQlYN z__bZ74z`SaL4Hx+(_nEq3UrorPkl(29$RCRw%oSZk>~#E$;;kr*gj*c$EMyE*N)V7 zJrjCGpZ_s@$j#8P_*#U$EAgr`N|Po-|0nE&z zHMUz3{en0pj)}@j6QWx&ha;&o-Kk!o^kxOsI1+AWC}+zPha3G?=AypqChccYqyp!g zs33l}fPfS3$$7HTYj3I_;%~=lfrpJLu$iZ|{myt4{VI-lDshg4BA}it|8A1)vfPqI zPO`w^b(E&eW}<)%jNO3r>~ zqj~uBBKu9czP#yX^m>PeSNx4;w0NCs=@V{&P7{;KF52w9Y?J1TM-Y3KM3#7$l60BJg@vf6*@rD#~#W-=YZ@!7g4o+cUB| zRzdi@^tFh7N#Vy_-7vmID$g8=+n>`vM%w1(I8)F~l0USIf~&fW;E93MCy1KX0nTVG z-7~Te-&4pwNXO19a|%X{=D(+A3CKNr;=|S56C9#vC~M}q!|i6}LAEDMIeg_( zDx0A4?m@Hz%u9K)Z0k^MZ@oSdJ3a~4_b{GBHv*bhr5^AvHF$k%oA1-QfS@xV?j24M z9$awmaB=dG%!E$HxU6E&ojKPARG|2Mt(;KVF0(yY-YhRsQYWeUIkY&;k#p25(hnA2lKHe&)?1f?omf3v?S5alu@+v}9SbatUa_T=_h#q4$@ z-}^q(ZuSiO?`>=z8KmoXft%)gp5#m>Nt-Y?$&a7c3BE889u!42T5Av;qNz}0*6w!5 zWu2XI;U6a}u?S(>pK6wRK0@z^^fH1JyC^?nB9LYix@Mz(XN8enLV{RcbH_0YOOzbvUadYuLFQ3N+-MzKYnueM<{)k8iU_ z4X>8CpCeE|sLv{EUuW?w(3zGL0{DAXZH+6UP0ozxC1nmOk2zRc*v=IEH4G}t-P+n{$O_NP&Z;%2? z!RrS4!EOw~RH2xWMj37t{Mm^{n0o!d4wxT4gc<_AooD%GCH(0iwqb#wCzN?*hFg%` zsGoW4vKASB!HYC5EEn6b-rP6W0Sr)Lv=-O?J+MG5BI|TuVb?osI{2O*0e|3ygte?v&d^1ldGUbd>UDdMz6{>r86w`qW8 zL0V|4I*o0Yc~yYf1VKY&wzORd{8=wX`V$Y^d$((O=&^%SNPG5 zGAbun63|S1$+shL=auQjgIvT60I7xoliS)eXG-2490%RLRvnU!UUA1a`rpDwv#V@O zR!-5Fw+OrUIiLsW1#T9qw&%xzmZSh=0zBORgHFkR(Ge*A2MI`nerGSLAFs!F3F}=5 zST!^ReW?|x08fW9!Fr(-#Eskkxg!AThz{`grf*dnx1 z7C{0?A9PEl7NB9$v%`V)AwTGg>JDzeCWHx&1@1fmyd4%DIE!AW8#k}4;JtjEi+7mO9^z_7?Jp4N+wJ8Bq@s=8<9&-MFQv1fyq?YsIps;p zJLuy|%6?%eM{j8U-2NCeV4HCFy5na}SIo6nC8xZY>2_efy-=6!ZWH;7Lmt$P^TLmA z5ZamQykkQyWOulnpz(m7p(J$>+}lNUm=9_9QQ&zy5)r+M0TKObgOyM>ex3}`lvzbn z!A0@S(~6Cj%8e`aT^ABhnCa-VspbaKr=CTBcZ8^q>jF*YMocm8?_KUfG(h1z1Sfu&splt7*d%+Gt2fG|U%Oqudu}EInBD{yU zydJ0zdfqSM^>cBHZtKfHVPHuEML5o~UinWJDQM|+MC!Z4uL@#M4rN2g#M=HNzJKgv z9>+$XK07S=udRpl7lVa_Mid$|;MlOIL9;XBS1lx|2149x=~z|-?;?3_{-03FyDhK> zzfUfLLbmdH2FqfDrfFwmg|PPxv71Uiu-z#gqlC*1!tA#}06SUOzM@V%&fadn7j;2= zz}h;0Sf60m!^;tn6l_DFT|E!15^I}z{`SJ3pwzW%9< z5ZLl81SA6v2kpRlUUL)GeE-?y=M3|m zp}$LowpcV>u{aa%=mkRhCM@v}PWPH!VC=m0zl$nJlH^>W)yeqtfi9y{%M&PUzRLs3ehqj)e4&9#4-W`G?wy?g$y9|z_t5TbAD_?wkMXW!&dl11R?!EfZ&7g zB(RL#=^D`5XP$Y_!@6Bmnd*dvB!uUMcjo)4k5|Ia2VC6?+!r{wJ6c!S&Ann17@KVwSYL4l89cYd!J6>B^z$(=e|AIE7}YD z&D2g0(kGkyqhD{>u3Q-76D3Am!)%qfN1{Kvwr`*xf#v<{3Vov>5!P#UbcF<8Ql6Ro zzb~Mb`!^?*ebDLTsc4_vzIl(G|G<3O4)&#uW1~~+JCYlX+@^ru$DhV8pC+ljwSWuw zXp!I9D%-Gg{|S|ib0ZT~>VdQXeXtyGD8INg6MyZIRDi*EOyn6O@bpm<06UBIkB~Fo z?@?r{NM*}vdqjRmsxdJ5K`6zloXQfqB`?cV>z6U78xdBksCILRllEomYS<Y)-AUR`Mio?dmi;^PyxPF&{^{~9q)nkJ95xlI42Sm9`uSZ zZtjy7zC|gb?qE|Yqt?7D4YCLPq;4z)Uon1NgTKgY6^Qtk9Azk)!3{D5xT9`4E*hAUkU2jC8SrL80F#v*(s_2&xS$&jpaK;i_e!8rw0e(nwKZ-!VVG`AU8w9FB>-fh72jdU=`x8Z87zv6( zDq*fcn1Co@n;@ew3IDYrDX5RcCHY>!nCU>7NN7mN47N(WNG3f-+}^vL0N1(C2M6Vu z8)_|fTQ#2T?d|jQJ!Yz5TnW;~=%v3Pn}zS`Czu20PGfE#alEK#dd-lV$O`qRE)k~p zj)#UFi>O_~=b|`cbl?c!mX)JMs&5W{(wP)o2%a?LDF{yw0m}NIacbP{;wJaScC?Ac zi9S<3#I|aNKL$Mscyo6MB! z(QO&L5d;&aJcX+4I<%Vu=VwMJ2G&`Ky}1EU{uBOu*rujCsNzmTS^n|U8NgAM93>3F z#Awzyf_li?(80F1`x=XDRexrm<4$PNV5BdM>vD8);lN8 zS%om1>j<3qtqF4gMBY&gcm9MfQ!Rao9Ne;En1iOck=tZpGjJU+`<*Gt%pG&vlx(Nj zj@FV!Q&tVwdJn z0K2n`LD-yOt(|71qa6EnelXgB3pJLkLMi5mUM2llM9dI3+xpTEdwjE!2JOacRKclWp^7#fTcCaZW8 zm2N%eqDFMADWDe zD76^<2Rfm-%~Ne9!$()o5NIZh#j(%l%&wPgvY*5I%CN5)_n#U0;7tdLMYG8fjWJhV z1`EaoQr=yBQ~o1cOJ^lJBG-EIL&FzrI{ILTM-y?eP5RF)5`p}invS>#LZwCc8R4i4 z{PzStbVf*Rum!^ZdanVUtSm=!&)Hm2$T z=kPGTfVahqy?LXS-4>ZqkKJc(?`#dtRo^K$_l25m)x8^ohcLoA8<2*$!wrRuuZ={@ z9mW-aNOOc2fJ7POwZg^SOkqlBB24ZxE|DKNu8tFOCeB)ahg@Ic$0-iAQoCY}K5|i5 zA9d5}K(&A8AnyZQj__>9sk*eoH*^!b_1Ryqp+{^srO#I8T)Ob9INaW zl~23Lf2D8xKxPJd5V`dY9HaPi?!tV9RuH+3{muWV$56LS8>w3L-aGi~=HE*?3$}B0 z*4XuaX^(q)+d_;0)Xqm&+u4saBZ&Qu2A+R!Be)O&G+Pg|1ANQg z+H%q}gFKytLOBoJVv1Y;Z4x-II*B8b&*IG};S~D7zA*UKh8{?Gmlitxdf)vU@$-2d z%oSou8|!v)%m<&BX|036P%xyJiB#Ixw~u+me~1jvhd8(!4g2v&zO;dYk5$Mv#7hqm zK9yV1Lg(LV4%G_#lIx{CyCmCS><;*ObIb_+y2}Uxyp?S5`|z4?U3v zqBU(maAJQx9!zG68`bv7WvTuiLG`vVu#zJeW5*&`*ZKa3f6EM-l2}LYm(QW7`Gksa zoB7c$7C2oYb*<7tz|=W}3owoIg|a$QGxoaQfv-WC5!1u-qI*^elNahaK9W=(euL_ zG6O;YJ~k}OO2w1r8e=KO(AF!w)&BVHu8aEO^VsjdTt#TF{isvL8tls&08>_OF0n-6S?a#w4Comp`~b#8lrxqUX$n3P0SW2DwAS+#20ZQYOB6Soyv0 zmQ_c@zVlw(FPZ6;4}6}b%s&o|u~;!&8A0g%=GL!ZT3~J9^`E^?F3yRcZDx--mrev$T#?Ox%>PQRa08rw((f=y8VEwi*zC6 zkv#HeI`)DWq+9s0bW&-tLn3^JhRsv%2&+Hw<>j6Ih?gqegNyiw*Ma2;8@ZL^I<*g^?hfML4O`1`w8U6wL9IDX#L1eA^>w$-QhK!j( z7>f8;E?wlZ|JZ17@-LaWgb5b*5X)a~jY!mPZ{E7Xx%P;QJZBH^K z%Mw&Lf)hCp+9>a035M1)_A|d5YB4gcdUgd$7i@E|(Eu zu>`i_*(}g?-bHP!C*mw1fR|AkE$T z>Q%LzuDE-04{FEB#-P8Wx8Dx|$u+18H8N}q=i`Mp^k@meKi+$kIyc!rzH0n)Y7@7^ z2bbdLd3sJ0_f+=Z(=)y~S4Ka@WX?d7zN`sR-dmo>P{)riBGRjk3rZLWJMbgcstq1r zv@B|WDD@o`C1Lon8>0z7-`WmSOx@AyVmG2Gr00vjQo;~y@q8@ecbpvQWI>4UkQSSw zpJ2R7{qes7In5onp?X;wVAj~t1b43Ze(i?Zfh-dzMIFI9z(uSDm9BD-AwU=usMI3+yj%#|~}Pf$&FZE)(T>{pn2i zW_C}QIULsdQ_l})i~$Y{!J9f!yFGjqVb!s&()+LKHBn-|XbG00Np}adck(RehTlH* z*B5}exbcoC9m*2x8W*u&w}u4oktt2j7U?0ee(A76)Q?h^C^)AaK7%(I{^>^o_*0XD zwWiOQAzlX$h_#}J1=O2irFjrQVL!d))`)V3Fx^w`ffFD7H!2p&jr7jr5%!iaI06UW z8=E!EOi>LU9_i0uEO}qz+k3#6PUvo)<^F6d3lrkEh)fw~;Iv@Xo=OWVJg^UT@&@_| zr~7Yl-d(nz{9!P~90+H>a~z*STGS9B2U$VD{4*ik18R$Xb$dwT`{UBgEWWu>2g-^a z=P2xpf5dO|lX~PYa_^K`N%t2h*T+WK+`n@9_VL=9#HV~3ZH$*T#%jxbD;q;B;e+_M z0nCn8Rjo{59_p^cKbOe#P+L$a z;oh%VS@7~i_sE1je}PvGdt>ky&_cahTX(k+o2~{6qDMYaZETuYVQu0tKcX}KK4MbA z?qY*)gpl6z@dbNCz*Ca{1V7(G-HA^R?X@WOnv-(D^@H#gAHhK*Y#Eyn^S?G=G8qh1 z5=OQ}Hf}Ft(^bLy)RM}18XWxKQH#~Wn?5H)9P|9sAL#RCf2(f_=6d)uYu)X~g@qkW z;kuzWl*c#O>I|~*O?_;~6Z)R|b1|vaTd#@ZptbCb#8+n2XFpHy{C!R)E)Khi74_Xu z@;k_VPuM{02x>Ie{7Bk=HRG7VNj0N5yp*rvV7@9~k9kR_IF+e3A+*=ugD z7=HGSrZ`&)IlI}%5tf8(`#Bq&?M#Q=SJLv2cW&_U;Zf*z%WC7Ub<7hbrj%J)z#fiK1RZYD}Ej;5Y~uh!IIxFbDn&9i);fK}WyMDfOKD@{}( z%vp|BR(^wf+g&MkP{Gw&5Wf_6Ip8NIjZK79PCMw$dbrh6qPUdHW>XL~R8jO_2t6({ zVgHxfW}&n$=J4Zj8k$A--GnA*V}-bvGQ+97UkevKSeC149%LSr zA-EwPkMk1l8-8&^FmnUPPk3Aidssq9LZqCZ)}RKMA@x1NJ+VF2Jy~NeX9#BkXBcPU z>%D?`lz4RMV47c6zkK@N$p)oRP=z6!e)4hsgk2JEf<|;F4^9nk{q+z$9T7*&H6sJ& z`NI>!68sH`;B60Jh3d@XjOZ-joaEy0iye!Hq#d&zzug3M93jnn_2cP>@DE~0V!W5V ze&CGDp3R=mp2eQV-h)ag3{oV)Vx-1dT-|^jKxTPX9~)aDQn(mYFmXA%zx%Tkz&Dzh5vT@d_0*3E)aUW`ErM zdik$CEk774Ru+si(d+D%dvj9dMVL&-^8CvWbcG5zt^LW4o_=7bV8foak62BK8(!h0 zU0(PvaU>ii3pY@PLw|lN_A|{gg*x+QHL&|WTm9XC&I;*wA~k3 z_!k)bAhrhWsS*HN@|`UF1B@^H;_~Md)=LoC8+&R{%o;x+V;%L-{7`q`Sh5ds?n6BG z6`}=+LhB1f^}FMaEc_GI4DAFy{EguQE~<~{@oJuHH74uJVI$eq8lgx0?f3^#A%Rur5;Vp=Q(az^TbYBeV`ht;>r48LwSws)IwrADylmlp66B*0YvWD}%LxqudS?+KK;@mX7ZH*74f;-J+tFI?qEKC}Ma+6H=3lkIG12!-$wMl0_ z8V3zeUMbg1QcBt#``_l3j)d^U}q$f7SS3H*zdK{hk!xk5h$;wgy8*K__B|Wutwa`o1ZpkF^!y z%TlE7HC?19pCIn4-|?-4fVS&pEha zxRQtfh?M(+uT(iM-4VN)kxx8~?$|&gdkl^brVPBP64imI0U33^XK!ctR0Kr?#p39J z%z<@vx^~j`*rJeKn0n>y>y3XN6^7no-r$^weYk-@{En_|!KJnBqswAyv|$QamBmOl zG>gbxDAp}~lxV%>^KkOvagM1>>$=qw%blIgsm%yAY=t2&eC#>5!#ppfgPoCZt7CM7 zQi3$Ij$kHAa65=Ia3|7fID5%Xp=;`et$wcLI`#!Uz$(-qifOp+IQM`(qLPkaF9pUG zD9?;OSNY4_@0cgqG~BsVr%(fojiYh>crM18)u*nLYhQ%BfwAyHPX8NV6-G!Ti{N<< zWJvNn_MU3z8Wihpu=nFTM)Ms*CZ9&~w{<=Gl#>Y0;tz+r9}2<*id(;I^jig*BfkM( zwi2e$gunaPd{?03UvFL(5++%NnT=jtcrT?vcEaQ|?~(rhNrOsAfNGIrHp1k)uV}pA zbCN)bnQs&kne#;9I*GU;18077u7>#{upUePgQcXXSj+;H17SZT}nleft}7bjGuX3}apvwt-ti z^AN1|QAh^g8#v9||J2qKl(2-Eyp{ z>5@tkpoB2_^gHq5R)RdjeMVZKx$X;4=9Xe{BL>k3Zq2C|SsUTz@Miu{43%T`ceEvP zj`4n~d@k*xpm|2GLqm1*+{aWpc1Hx62YcsifDO=Z2yl^jgAf$N^p0_E z>Cmz>osouN&%bce4j@!0)46Oc%Ic-BI`LLfFv3}OFRCbWinis`QD3YKS^*v6(Q&S= z95cTzkUyc9cuGCxvU~ug%VPvy|BMy0OA>z+j}Hc`Myi>Vub`l89SuW zTy9>hjv~nQM;5e9^U1PaYOJiCxr}u~2_p)s*U^pt)&$!?iVKg&?}SfXjXjwi-8ePg2sn7j%? zbXF7Vw{*NpLQG%uIOA5J=3Ac^?w64FE`OC?Mx)d-(3xwqzqn)a<^ght^kq89c<61S z0ApX`=z)xnR9$1ZB09Vqs9U&F2sSV`@HdDz&^9nONM1?rfxKEIF$H_$dRQvM z*NO@%$@VJJORQGSbro{)6*Q+n%s2@ORnzDUF)&sI`Qid^RRNjdA$iv1zeDz{*?)%x zSZ5n97x!(VQDfwV=l1b#5W|M<_I>D?4N+OMyi}Qt3oM|DJ;)gXVJgL)t|+ha_Eqw$WEZUg~oGEWPC$ z`!F{U!(+Nl*vr61wlq@UhvlkVf>#2$(9*TNgWH~2dUAeA%yOL3 zmTq+NW=U2t1%pmTF)g{W)n80V)B&Wt%giRTL4n%oRxlm)th2xU{<4)kS{ z?+*NJS#ZXnQkZcj!cxd^CWn_qnSpW@#r}#y(zzhjjugI03s*c3;N*epDZSPh){;V9 zQgua_KEJL@k1)f z1WIN8yF{Sa)40+>`I1o}wQw<*s)eG->DM& z5-De-WffzpWbOA>PrJotN1`@l!K0C`oBQr> zxdIWn?XIn^V<$)UHasRby^h*rsJ7b8w6v~DNBWQLX=irpu+8-gd6v%Y?aP*hp3$BN zL)R;ht5S{2fXjgUCjL1hK=n4v7R)i0R|bFVN;}0C+I>}C4UO8LysGjAJKyj;QM(61 z!HAWU4qxVjV<=y++Es)Hv5DoF2UA}I;qv@qB;QO!DS`+1o)E5+R9`BGmFj!?jUuhH z<@<2M;?+6L9?kkvZjZW|QU~AU9SNtC0ACV^Rgz1=H#^ zX3t1L)4pc_A!fv|ii>^@rqz&-n?(nuT}*Y;pBjq1Bq9qfpSE+Vw+S%U2107-@d(i1 zt;$P=zf3Q=QS#Y=6uaS0iU)}Qo4t@mI1y&ZB$*gE3WD>|%}e#UZR#cuN?WxEZc0@( zk&U@)DyCvcM8 zVRCO@fIQv&I(xPQFF!6(y;|~$@|T()7GHHfxIeu1?0kE6Dt70t&JrHVU)?@RKlxht zQivwt9AF*b91waiHn29Vc!G%6^7F`LZ-A~(1XsrlRmY4}#|%-&j8Ml6Q^$-_SN9{W4mPb0 zGOZ3VtqvSI9vngo3PKA4LJI~$IyCqS5@PiS#On754zUUiG5Ra`>{qbaK)=U8oXg&b zx3d{|dn0jsqfmPzSbHN`dm~!=4YRWuhO?QPvl)4NBVKzWZ+jzDdm~kQBUU>r+}0ZY z)*AHI8unHOqN6>GqdXXQSqQ;mf851D+{xYuqO%!{vzfHB8I7}Lb8 zpmE8Kafx(40Ha?lAta1CB<;lrVsWvks)A`>65^)VTlQT;fZ>z+li3ixJ~D0} z=_bv4H8!eeU;HNOS>B(l7kxLNUHUOS6r{|n8V~#r z1j#E?mr`x2HJEj-(uKJCyAMrf9-fz4P;Up4;n+b<$no%m-(c3qL-7= zke7^fYYL^e$S4cJFT|fDmO3rJ)Dj0(UQZu#vG0XR?0Z2LM=v{i2Y zb-4KBw%J9rU3ay1g8yy_~!K$k17d#97J6SxMM= zHefIBb1x5UFYj$Y5Mn?uv|liEKv2A25apL&c!*zkuwQtnpVTiusSrP@U_Yr)KVrzI zSggGGsNjq2G7}zn&4UhLN}ID4)xWNeQI#!-}aQywc=7cE(mFt7@KSLmK7; zH@9%oeY$Cyj^061hgDM?zIZ`>9#kRevXqG4$X^rsJieAsAIQpMkdZb0@!7{cy%3dqDDQWPi578wtC9a34uK2Pr1u@4k`o4Xd_42KH`< zWDFr^rkl8LH^8?Dkflngz?4*mC9@b)Li0t}p%q<1@U{7t_6KG0eZh6j;tfWy<;U zyXrQZP*KHjbaq_2#d|BqtoTVarLE`7g?rutp}Tko?rSaORb`5sF;dm{SNf)$B(ZEQ zd#Sg~LLshf!N@yuS5c3&;ymx2W{m3IQJY8&8=Sx<*80dN(N0x4EtxDXml779x;$4R zlFTG^6CReXYijHRrz$b&7XiZ?a+O``BZ-xO>H(blqc& zSIAbl0753W*KYwc8`(++^(RaV|Uqfn^ zL4gSRolqkLh8}~Ou*gnr-Vcw4&8DurDU^yTrLx2kV!MZofcLJq+_PE6QsV;*nT=`@~9jd!6g%y#ibLcv~Ik^lY` ztt#VICasFC@bf0an4A+vMOTW!>BTON_p;XBk1ASw<=r*tL^>3;aQ6$}Dc%oFR=WBV zehbugZS)`eRT?emhf!x3#LVmbTYg+jSnlim{cHem_~X&sc-R-lj21)^!*0VC$RiD7 zH0c++|4yRhlnfih>QANeTy?E+DC>r7chXlMwpk|6+6x{Li1dN^%6l(&tb6P`rVodd ze}|RsoZ33gSb_k@j&LHnpg-S6;CP!C7bkAsktd2-&u}W+*;H3l?5<&;ptU;xs%Rj- zy433KKvaBotZkq*dg7g1aCY+A8SGd=a5D1Bye+}K9MzfWSf_WK)|slfQ0qaktx0p{ z$tPKU%CT)&{-2F{&GsaZ;ACEyojATmTzjPh(E^o633KTeipLQcwq(=AP1PwGqBtjQ zbX}3DHbgYCv9Ur79IHvc1`cZ?B>)`_!_Iiw1M6pSQAJFKG8iijq8&CC7DNUXE6tS4 zLoOf0fA_uHeos4IgHOi^U-vmiN(xF^xoU;gU9|_Yw_2_ipTR+({Aa7oG4(X_RC`K- z?;ToMlQwN0XV2`Wlg4!5>gv-Gt&cVP!CCU?{3Cy!yWullC$d?42~$@{{Fz56Z}ghv z8Bz$Sw4dz5_ytt@r}o0}iIG1ObjbcJPdxgc4|x8t)g7-tbN*=1ze;~RqnXv)2Y(DD z5P~jfz=efPho7l_Xc;eWF)dY|!qM^x{0 zK_f=Ddj4pHL|)bwQ5}6A0D>_)7v?_t=VQW(Y_>7qhRffjLM^>BKxhy&<4g%`no|Ll zCZeGqPMRi2h_QBDTzeyNwV{Q|X`KI->E(wU|1AOIMd9=a0H&&legP;Z{@cB%OkF|0 zGTb(j;2@VZccvI^qYO<1A$u!h+9bzjbYjL7zDru=UFi6fI5L&>Es5wRrZ z#B^UWeWBDGRiU)f{HH>(jXA7=s8(X(w?u+uw+){HjgUK&ftlEl2)Jtm&y>>N8~R$i&qxV4LBN^D_ROJZzk`Y{z- zykV)~oogN#5=@fla_)zR{BabSE zz$&6I!&lA`GqjQc_-9wkQs`p8o>oYCotTjULT@K>w};^KE%fzD+}?J~Twj>g=;w@| zM{ifxlHb=|uOE86>a6PS1z<>HMAV$^`Es^_gR0UT&jz{{XulA0{%bfhC*pv>6(cPT zgw0qx=Af;c?AOpjhGJ|ZM?7%L$M zqK56FMpK0TI88YIIP?P7+qW$O&yG;KVE(IFx}jH^WvK&R)pPWFU0h4h{%w4<$h~%Q z4g+3x^SXOo9@a$H+np`U&yE4QB>t=M8^KpICF}!UICD^YT?i*)*V~v`;JsbHnZb6y zk0-uSEHlKefns{-Rb2_gfS1-HSN3g$rr-EEfO0D z*OGyq97SD5U1pA+5aR3AR0mDHhE93V=s{tbzC!Q7dEdW|+ht64>($iW6_ycYD{0@b z5<%R)rEtmol(>D6=gQL2wk7?T`1Tn{Ae7?gMshj%(Jo9f0^v=H^7_H$Z6PIjY@k8k zb1E^_8{x3mEE>c@L_8&ZdNdquRGbtMLZm04%uM$PAz#>P0%xwHX zX8gbU@c(v{E?H0#`_csuf}7F>U~m7COhScH3|@+HVBGMTe3L2JS^f1R&*M<-e3n^QdzS9q|*hn!}G7XamYv4sAm;s&#GSMnt+frC2^%gWzKVUxFFBa=f<)FKdg55Q z{i{sK-;I8*xzfDHxDfpP<^yjv*=Mc@Zg>=VQm5k)hNRj%o)fCa3PY(6$RY{&4GhcLVtsfiwkC+P1A`gU} za5uTODUpuW>-e|4!;zZI+={394D{{^eO?XVXuqb0MU$y{=tVU)ut*oe&*ByPihy*U z{~k@@Lu`zOzAoqj9t0^dAQn0c5;Mnat{iHnxPO7UD0k0!psGu1<7}7>$JWK4sVw3# zt6EY_TcDdODwY5lj;FsbRV)wBCQ4#kR%Za+K1bT6RZ4`^FenidrYDN`;1( z&uwRNYI`cnI6xzn?5h3Ps{Keb=S9dwtSvyQ_*}AHb}W5-Ve538AQYF{X#RZ2ro>@3 zd7obko!=vJDmKnYuB(Z7(!v(c7EiuwYRjCV%P(ImShk`;5=E;Ql@tp|9z1>r!&l7cZDAx^r%|2FB z_g&uNIP6%6g4|@iytjsg(SZB8gjS`2W}3{YXpF}iMTx@2oLPpMs2a`f)h)8!PzdFf zGrd5|4aSPLFKnSYL^F=6MVIud5NjcWtCXn{AtnD(IU0IyU?~B55f)#;%$hM*5yP7N zo<_B>FL7Ys99tL$o5#Os2tNfvP-te z-tml3gkhIo{^pEOvZ!iQqHv}o#RZV8YFd$1h!a4XigI7lW06*zigaJpW760^q08bT z!_9G-z7@2RwoZ{X`!@5vxG^6hA4{JFpQk1TgG*oqV78Igry`t7Tc@NRKdl?Sn~|M8 zV67whFgY;EdDJ2*2StaIlQQ|hUGqid6Ckma6f94H` z&P~tZ0yB(r9J_a)qi^gm z6k-&FD;cVZmbb}{s4HIvdGaM-$8_YN%r5mO> zb5aN3kbX>n@-A^oEm49p3V@D92`7V|L_l44)KFNhH%5QX|>~J&q5h6JySl)C%8(JkCdXhDiw_^W6^#H7=19 zMX4s*lxR*iuA2A&SfS9VCtU|L0nHi4peYFw?UfVb09@qj6{OjT_F_#kqFfZ~vF6Po z_M%Ntag5dD}8B@Hk~JyC$t2XKoC!c0;FDAJADP?iI8G!xkY zGo-x5q%VM5Ac$d1htdj|qmk$am?7gWCp`k@NV^(}GLv@v0)>QNlG2H&7LbMk;DMO* zW0I6OKz;Q@IRG=pFdr(d2eL-sES^;Q66XO@6nGARh8_Z%lx^u{jCp9T|9#zx1Ih9L0zhy2 zF%e1#Afh@d3#B2T8`B&$NfZE0H)c#33PjXQBm;z#;uVpK0}&YrJRTckRrt^ zBn1M6Xr3#=36S7Al&ag<+JL*^(EJ_N!mh@QI_MW8mZ%_dVl`ogA zsB#}_%{-@eu^lF7C$ybA(#kQuWL(_*b^@iQOW%Vxop*K4GBLkZ#di=y3vZa^KQ27qRt#B^D4_QUrjQ zevE=LAyGgzaTGvI;ZsAZlqev2D<}=Y_MCgvxbFq#oLlKvpc`B!?8iRG-zcWW&>c6u zeW_Pe7PeT4L>F^-8Pahc#kgXU_HYW)_VD6wqageC-$p_H?Z1tJ;@f{41?9J=UDfYxEOCc^j=$ySCRRz)NF86o=|UqeV}7y|UG3YJR7{z#Pv!-H^Qq5Q zI1b+>-!K^OoCmYFp)$+ORJBE8sxhDXV4JjQ5nyY`5uG$^iNw5Pm2C9X?DJIY^EB-9 z9N5c7xXyMuTcllb@=)pXlGK>WjjPTWAqv1>z1K5Xt>5nFGFqDl1! z($MXnN2O3J`MZYFL|{2?Yq)AUat|X$8q^21TN=^_(F~WU@NkGj7_lg?D%a#}83)q5 zr(wtisI)ZA%z9ih26tc!?9JHQ5Tt2y8S|Zpbz15P)yFQDh~;I+Y3SJJQMpppBU*vw zXmVfbouX&AdlocoSi{{ov3rHQ)LXo=MMy4)O{lWF)$gU==j^Nn{bA;Hr0+DHJH?tu3cH*l9bJgo8^ z(M2NFLUdwEi}z{ARU-1`)^WCkkaUMFZGMZb7Bt`_5g6CY2@Y#4LO%xViPF2Qr2kpn zHZW2e+9pv4QTfQ6E!M%KOQT1jbx>kI=j4V&@dI#yg6#t3SeP{|Xixt>OBy;v2+{pM zL`+%--{v>y?N>_pj!kS0ZLvxjL$N+%M#dkkx_{27#x^5T)Ig$f;ghFCR<-`DS{Xqb z<0~~gGyVL{Y#C)8b~Hfx2s`Xk&1e*ay=UZZ2)2QVPK@#|&ggLBalmPi*UjGU1hz&y zznGA2IL}Mqu_-yR9;F(&o@C*$T(V7X@V25iCze;Tu!C_e zY2FXf>_Odz%MMgN{ujWo8~6~B^CDu6*D;&`%CNN^zJu{>u_>}CTmvn{nWsEj5qpX& zH-sO3ULnS8o;zp5jL7W7uU3MV=wQL5==S7DuhS3Hhob$dD@gK7sOz!*9boj!+`bM0 z3Sv#%jSg;)v4iYMCQI%%zMy>0*Cbsln~GaZGmSknsQ&j+h(ZHlrm183huV=Ro=P*v zA3{}wD2gzs1CVK={)6F%{3Q0xL51WbGLQ4*_qe5m5tJOlq{Zh`(&l--)D7+PZ zyFw7!pS-BIQK!H2O&{_(D4jp@?1t(@kRrV>L$h8d*BS1q1W+XZ@ym|Bcv!`WY8B=U zQ>cN>_M~r<6<+lnpSMS{-RznDrrOuB1i}xhQ_4$*RpOe^k7PtctFex%^PI=DvlA`N zl`zkIst2c@zN2scuESQkA&ipsLWVNTCr|&WiyDcMOExN1J)8r-y`H*?+9OzKDO-|$ zogS!V+Xwyl$N`;J4F6_Q0d;=7vY=Z$m4&nd&ymWks*N*nM#Gn_sH|PyeMvIwEt*WI1WPP)Z*@mh~SZ7{rajKkM=G7;~g}H%^ z5(Co(rd$^!6(_;OI~{Gpi1v&^Lr(QN6>Wf}a*Tk4@C(lLz}##Js>?g+Y2Xrs_6SbV zjF^H*SQ>8%0~T+6V?!b*+e`D?$}$n}oDnDK#I=kEk~>LAFOSB#iUdV=DB)(7+D zKYq7JZ40n`<}SzMGgUW*{6w1;CQbq9+ zabh2L0Soz+X>O6Sx@1^#MfzuTxHdKQcQpq+LlT`*lqWdf@>&MI1^z~ScZT}4Xu%1rd=)3^&;WBm)f6{LPl@nB zx3$T`y#yuoo3{zQUo7@IoixcePhVF7wpGGSZ;`1jc#)UQ`>%zJHoSx<{Loj1X@ROO zobGOUv7i8KZ0t1b14aoFk*V0xTRQ2<;)6zP%^<#`kNT-G^qz>p68n|pj4sqVC$r47 zR=>y$J1rtVCes9U??;i+5S$a2bYbx#lQ3%8cw(raiX-yZryIfv=uR zHO|qyn^YSQU6@l+rh9K)aiRlg!J=N}2&B@%p(&DM83k0g(1M*zmP6!u_hX<#8UKmU zapOOIO5Zr_MVJ;yUw;xjv$8pgn*OSvdYW3?1ktTn&86BbD=4p#LX3%FjkH|x3Z{$N zge}oYg)H6Rx+Lp!ZPUS7|ItpG9g#-w8hfo#Jm&ORXh5b zdB1|Xwa`)lmi1;i49zkP8ZU{6(+%qlu6XjJZ$l?wBu1VghDe6b%0PkojUDxfOD zDsWU-3Js-tLVU3v@J~IK2r9T$Vw9Z*S)?~^IA(3OaOY$-EUnSw8OvIw z@L9LmniYpP4OQWy)wVV2h?`XvH8SC9l=@ox*%9Dr+b>ipH3id4F$zYZ;K}?gR5?&8 z+Uu*;Zs9~iSJS94unTkHMR;?q)p68FCp!y_d=XgTpVLcmZfmx^mKH38>2 zf8yC=GkH+wnd}^q+oIpswgmfV$8lM9pF6P@9dPu|xRi-zCqp;$;fWXz7ZV#5i}=vE zGBs>|Xrd&c&&b+4BVXL3Hm)H5QDcBpcw3#!iTKG|)u(yvC-H!D4z zZ`LA-@ZG5QaH@{*fQ^Q|PiVO~o*(~{9N{OoY8gNCsK}(-(%|LzU12h~y0Ot|WPTUX zH#R8D#y7TVL?CB}M{Hs%QKD@t+V41GN=MbP>e|;-!P;kZp7{4M{zzWDjd{K8%O&=% z6HGC4kmhMasHbeb-~r*>%8!_L&fDzCtycviuq*KRsJEPxCQwhHbZag4fYUCFZ+oos zu~n|T<8o`Y<=+&xmR}a6Q6s;_32*gR0hPm!)~!VZ#l~gTgZExIcRYWLG${7t{qgzZ zb5|;^@9sS!;}asw95i`?Q*Pv5Ip3t&Mebpc=DYv6>f-_?Z0Hrh-#QvBH&{i2$6L63 zcr073Sym<$kRIq~ec&lHBmHi$T3HkE;CJn~eDhci{qSJN_HsdIWop9vewYyV-)5)a z=|cTkv7{(Vf}VEZPn(Tpn%^T4M2)#gXC5P7CJ({=#2z zgZTX;4Tk$~xg5)&9}G<^A?HKhG*+_srCe3n(ejCYq2jD%F*oB(C3oOTK^{q*6|?qr zo#+HXx@`b8jYO9WMqau4Mw*99eEnx)5o3pp#8HUn9Sn>w`tjiyKtDS&^#e<#% z_D!PrN?n?f)9Ce4M|S(Ts>-0eySOMng(o{QRTYyr#T)Xb>z_ou0R2Vkf7 zgjqck>xF91g_jrXb-gK$pW{R9)h^GDZs3#h`-pDW;R?%C{9OjtTE|_JSk}i>*ZzR) zKKlS`<7+jXh-rJ2 z+vIfmAKKmmD6VD;7fncj5Ih8T5AN>n?k+(G4el<%-66QU>)^rN-QC?8?j+~@@1Aq( zy}DKJ)~%_Yy}EnNvTt?ovAtK(kH@OQ*)d$*+&zu^!^C5UB9XhWV3aAn`qBgABfWBy zuvk$p3v+5Vby`dvi=+7DUtA?)V_x+y(<4cHVJk{{xJ;!Qb$4i1Ymbd&)m?G!Stt0C&(5_-}-6FY;)g-D zi6}cs$4T{^E;E=mSnH|drNKD?zNTv~u-)D{)!bVn*l01|U(T}HI{=numgSJ&MJ2T+ zrFAU2z2lk7sgA3^jXVn*0hm1>Lg>rU1avBY@}1^<6?%^}#29b%tyic{ex7523xHdP z0l@<=nAM8rmnHDY@_AlxBQp>8R_t;tn_#5E!@F>BKMBX{p<=f=l1Dm;7t@2sWuu|G zaA7O`pfkTViDnKbIT`J~tbZ)ehW7%SO-UD_uX{MGb_baZiX^;oIUujy@97On%vFy>-mpbA zgPi3-JZ!FZDsvvXI?qjDGPsba62ynu+x2QlxnT*e4^KuQH}B~ImB)7SR8#*nzjyOnzA{H*y7YkL#xQ zQAEirv@+N8y6(_?9e}+A?U2xVYDK}fnmS`wZ+|&&FQX=d4>ih~i}TZ&%HeWsWVzTH z)v}!9g?9wL>%gGkm)8Bh>p1q~WMvsC`vroYITb}Xz_Xow!2OnUWRMt?NW^b_D0q5uXfd&1n{*t)WRApk$FDYTX+Q4HMXDlV*4;hx zZKCgru3?_hIz1ea8SNM2hHwa`6$G*u04KY+$FQ7R2A5{@1>k4vDtv-)wd*x}Kq zwAb`Bq{!fGC##_Og=%ZY&SN7S(r<(y^QF)^+HETG4-67RzVY32! zXinM(y}YcB8RXKe z@v8ZjnvF-4K@+C$zxmSzKqG8am2*=&Bu>>8CU66;vP#ndiI8eny_ifE*e@B+=@2 zD1{LjSb;@?P>wfZc#chaT!&)N`NQvTkD#;$l;f5PZ;Z2=t{+ktLd_EDZb@v2!QpNz zzywc$VCm7q?fX;Q#CcxiX)0I6Q2|V=REPDH#5J4C@}D}(Y#q3*`BFP+4TwuJBS3Rq zii3xt-wk)?EI@=iE_3fv3oqH;Rfk_>;NPgfKZjetW|G(Iy`21>CT}d5HCu9X?+_uy zt1nzC|5=}+7H_6_>KgOB++^H*U#2?tgHMyiTh%Cb_2)!9rHz#&H|@vtqT9vNrNO$U z)mzrc+tYc5^7}#O`y|8zXO=+6qXnaZVJVG$L);$T3h^3BFAN7~8O>ZCF>K8t5DY9` zhork+d&SZ^$K_%ZmX32q^Wyp1A;UG5JFbNZ&(2XBK!J?Au8hmpw^e= zIyR~(Q#xVWEoeyf%*qg}i6)Z!nuz3nUJQBodHnQE$j}3z!Zvt4TD<$-M1d-eufay~ z@E~IVZC5YI#tawfxnjJ}h1}xp=qkK4asEX&XutUvm)0R@!M|kGl#jVZ_j}(ITrHyN ztu|xW`l>e5q+`pdlq48S#BRN`G0C%Ob&+wJ?$Ms^ zxR1d-0uV_h2~eXu=xo!F=-+}>0+{@${7q`EF%99(jM%V_%9E~~mif5i_F{32e|(bc zMad4V6^av4vyL!R;wO7>;EiK@UAP-M-yL|+OKQ{@*Y@Ls&L5$xk#1aB$w@j4{9sjA z-A9Jhk(v10=D4!}qr(b>eW|r9xU39|+?o=n$}EbDdqLw+zj^9i+4^>$b5A!c$g16O z!a7fD;<8<7eMZ~jXl8J}1Z2FfP<5*Oez`Mp#I(wkTi39QmzsW_rd%y$ID2Pb8w;#+ zq>I_S?>qOpsSWv!t&yO8bsd7$pKK^ivseR#MM^j8iMsPyuyuSLA-&Sp3Tx$U^t)@kL|MSs;UI91A zdW5{CNRw{5xL5J`$z{2IsiGBO>HgK&^OoThM>JEsdF`m*b+~OY5#Z6&&qjf5u3K*H z$=AWeS+%aJga(}NLe_X~%(=&R3P7D)o}zd24>ThuKlVG{SzH>t^v3Z@daSqrHKLEJ z4_Bwm4Yw3jjGXY=bxNU>_JQ7PazJ`VEj`r@+VtB#Ni)@tv(ITvVtQlP%dOC>6YPNA zPI>!MM_2d0@ujr~?Z>(%`OMST#UIXErMj?{k@gfv9Tu-XBCKqsljfMMecYL@PYP5| zd1m9(Ou4z2*5LJrT6w3<*7#uUaq%e8u_obRy<$}2;2o)xp^1#I>rIVUTgBl0UGZy- z(xRtCw{7h}-sy0=29CaWQR7Qz|FPEbPD;Z%T8i=y59jV|i#nmSpqSKLM4}~+UjP$F z&yEylL5Z$ANyJrCS?-y#&5d1m{Jobfb3RbIn9(Tt(u&Ua7a-J>qzUTIixg_CUi}(_ zWhdD)ne)0#hEtAnhr1Nf7a_*>L$9;j1)v30)V28qGflpL()Of^M`V35o+fdFuzlWS zg%U37s@MHNFioRso*2vWnU|UH>6K$HOZjte#D&ADJfZ1y!3o}bF>muX*QtfnxJ1yn zF#MD*JIrs1jO4;Rm#)YByWv$m?y&>yW`miH+=AWgFZj2VC$BZ`O#8oThSryhbi2tO zDx`nBofP;V5iYZuEIQFrORdB<%DXIrRL<}NuHKw5cP*cs`7VT`t_JIX_QuPBipiFa z^I~`BE;$bl$3aSiT9kt7mF!25WhpfV=D&|dVG9x@SJP)`wM+7B>imdp1RSD{K#=EJ zvJ|@RH2dCmb6n%l>zGQ{T+J48M!#GV?*WfD_Ccv{kCCGND;Ej6F55{UZg-%3^+{ZJ z*c%pW^}Aa7VLLKW(GU7g*iCngcLpfE1Xq52#94b-%&&Hg*jEHm@*i-0Hhy4VVG<07 z`eFIgM^FcK3Lg4cy^Mq@lq>XGAHB7$-xZx0?*wD{eP&y74Vq+g_6G?(SaRGX-bwo`~sie{vIl&eBXEfWrh zovdVMzb=M7ij?ktyXC>K8(E@pqCmA~bBK^>*LmmJRr4^-F#5I=c5V3zd8T0nTX*%M z&u0Vu3{4(bd#~f-fs2pE6Y6n(9J4}Dap3j@(=Yj*wes!U`o(bMsdkOi>Tdaj3qP@@ zsb4j0Z2t4DGgr0|z|*7kJr!bM*P_Lh+L>&Lv@NHJd2U;lr$$J9G5>gQ>|^~<*jF0d zuSv&xnNux?ptRqUwcJjOdbB(0Nykm2wF-11qW8X6epmvt@4Aj}cZaQyO+inGSHGwp z!T&_PF5mxx0ExHYY}Nxp!F6uRgH0S7Dx_|ncvt(j5{=rz~?(1^qQ@SXfO2+4Na*<2n z{s0e7$JJdkshz(V8iD0YY;LdeXy)V0?KOO6%Ez*v z&l3T-m)C*l-@Q`}cxHnCY;0|0?_g(aVD*=@F$BPWW@aFuC-_Tg5zuQ9u(7f05D+kF z5iqjQg9&ym0(K@QFu}l}MZmpx!o%W^g_=bw>3 zzJO!)|IGfw^^cu@=KmS{Ya{)iuyTO4GPD1sz&?X10v2Wl@HhkWAF<4TOktw`6C1|A zaiRaiM9&BwWnltC!$Qviwhr9YA@~m*8UH7ae*pRC0fz4{{nwy>-1t8S4=nRf3jAq* zH2rby|1xY~Eq{FY*E1Ndzk2@@q(5Q))Bj(<0`vX<1nOTJz~F%8{sYl}1pJRJ|6Vw3 z^nW_6jNlw&VgpkwjQqdxnXF9qc$boBqSNKRW&z$IVSAYHsOZY)2<*Y2aWiZ2aBE$e2#r z*xJ;=jDU@So}S^~9R~1vW?^Px<>iI{{Ld!gl75l_cRz2o|91mfenm2VK#MHqY@W)eeEWE+{h zP|*%9W+Hiv?t4C8Hy#Gxtw;%#_J?QMt$2N5SD}s0Pt4vPr_;JyQl+^_3Vttoj-kQi zwJh$(!{-mD9H{Njkt!^gUTaX*? z`Igwe^k|vrIt+&Q^JzV>LE6Zs!~UF1OhXRM%IDEkP8G zKKITD{NsVkCB(eRsltsr>iGSbMLO53-8Qx{f4ijUvo!1;oi0&Sps|@A>#bgu*^?hf zCW(cQaIitp{fE?h!_|gnd{rKY?B*T&3p1aq;BhGsKVN2=ur+&z=M$hd4>#1HUee{W z7r@#)>D>sqoT+y?qm&LWZgM>RUG@Y%`|dkvv`^g*4sBUFJ(Ie~u&ePcQ`5 zxfGBI3+UEr;47FldSAa(?DGW%Z$b;MyytwP5T_U9hG?Wb})(jv-g{Kmg{>a zB~>_5q|*axPkHw)y~a<$)Q7K@k8izn;Xzxp_YxR)z%f_IIj8k~|EfeiSmU=$7fiUm z=D_uj_TFaL7;sSjUHbI@+rxv{r;hi#yCj6zlp+WXN^BiGcM_Y4eJkBZ3xxo9OLWt% zf*?tQ3rs!`z4VTpuO2(ZG3dG&EO;O5Omg7N?(Iv(8=;lKKZ*<&g2}!>1U#R~f9&PG zX=M7eBS=T^K$j6+`_lS47xbagkdG-c^*oUUp|P5bAF!R4`w~$ zLo{e)06Xvl;Q&M0)`+2#A_5L;V(5#RKyZnYGNPOE2aFvjdOw)ik0y`I$z6Vc_f#dQ zmRIxoAD~Ng6rh_FGNK8*A!$WcqkpEplPC&_rhdbXC4ij$P+T+^9h3^8`}j4_50M3a zN&z?_1^VI`X2$L%Gn5kF-1N4 z@heb025?88ibTu(s*8vfqPo6e1ao2m z7$;BOX*2_?{~1u8<7k`xLMR;|IwKJ(8)SY3EsrQuq@>Sz6i6@Rg^#6A1|S|0%qTfv zPL5dmXum^DkGq#M=Hpt#WWWnxqK;no*Qi2rWTh6&_zJAGDdwZqb=pTht@0CQT4_nTRKf7u0-~JFcam4&r={E{xVr zhajwWaLfCK9P5D0VQ=5k4fGB?Tt}`KQ7=?$zl@@efc2a;IOnW0eosg*$PO_e zzrA7N1*0ou`XtG}eGHb*3`pAj zaPhUx?}@oB^a-vl=!v?GmJb$sgRaP1^@iht>gf7{?GcmxQ?P=bPounEK%;`5pYu-P zCQ@726JuNO(`Ot3Pt>)fOuzY!4>R+d-;S^^kdO4haytaEkJdNXkJ3W%f>5q9H)tL{ z-da6KdqJ!z+~}}}JmGmnx_xZt_r#aY_VRgR_xPljjTcy{z^8bFQ8yeVke1UgAo`k& zG=oy)9U%89>Zv#<6Z!ou3G%MkrhSg+tDl*C2Z`p4k}x?Rgg3d{Oy$q_w-$!NJTfC! zUS{NI$`T4G>I(0lO{$`1j%ODpVYi$Sp|IpF_#r#}v06PNux2O|3ex!8id&tEN(*5@ z=P36uM*nOuTac(lGY(MWxl*O7+ZEqR+<9r%HV)tRdcj*#xHqc5uF(9H@;a{M2LO!@ zJ<3FW|0FaspuQpPt#NLuw@D8W`uvgcpH1=74@((~(;s~zf7(p>X3+eD5!J!MkBXE9{tZw z{S&<|YjO&+O*|8R!klH@11!pKK(`XSy@uQS{w^!azrDy0$8!i8t7m44vIPDRItl(Y!f->EwYMI7a#w=6^O+*(%K&{;WdiboeZ`DM%*d z8~yjW2sfOoY;#j%+y$c0q>d|eEU%yNVNCxCIEZc1(R*C9vd#3GSV!tRpGprn(r=tj zX}%R;YkX>v;mBG<3m`r$bQ-<$*R?s?tIQp?%8*YYJ4;I4;`ROKG$h)V)n=NTf6wGb zj}6Z`k@@;-6#VD%e}~C;+5iIL2(LY!!GBUZlUvCj21lR4Z&07!`FehOw?Vc@3gy0o z7wJFKAaLy7@}Ty;YMcThRL0oCwfSXG>Z>w5_?(vx`(*`|?>c*7`UcD2Z zl}SCE%!19ESjYM}pvB?IMu&Lp=YOR_@pJ8awCl!S@DDLr29@(*_xqYVr;NY-Rj+fBbSF8(K$7X=)?A2N1Zg_^r!_%peueNm>sd0IGncD84e4+df32h&{@ z`+diMXBg*t?d0UWrS=UE_OQnJ;*0nM#oM11aY?szJyp*P{3~yfkp-eU= zeuxLcMR*w5q{6fge{kM!b&py;I%7Pq2kZv3`uvmp`W}4R`p(m)A8s}RW`x;1$NToq zz|rgJ^twv{^Nc}y)^d0H4nD4CD-u#fRK#F*ebCsDfshk41h9Ftfq^AKX0up%d-8ex zZ=qj06NF7KL>=gL2p#Y$3HmFPW3jl?w>g;3xGg$1vIilV5VfW&6RubS zPmk?Q*k`8#_o(LgE6VhLt@R6j!O!f6l8Rr9^72XeV}~wFH7(XeM-@SQW)k+uVG{1aGI^=9i71_S%XnI1YV3)Gq_k~oIzsCx zfFUg$3MgBuP%7-Z|H&vWz)aB9%E*bix{VNUq4q$tR%CD!qR{nift$Bu7W?zu?eQn@ z^|%UEY9hF^^{oWj%IGSP?U+Um@#9q*Apz06oKhb35O=%8{lSY6+G(_-iS z=zxp&Zui~E)ZyjNUwEBT)C(eT{vlf%a528E>=PIa&z3VQpH@x8da$u3HY+8u&Y_-GLG#%F&XSkm#8o)3C_rMIS}s zs$d_&#qDL7n@ik?@Vbj^EzItwwkqTU(0;K^omh2wVl}*<)f0eyE!&nEoyIm}A%q8g zzL`#(ued(F7q)u94AK0cKn%sC`&1EZ6GAd_HMN?U=(u)(z}%Qt8AYk;_mLS$y4AmM zVHmS$Tqo|Q^SfZCZ&OBcGk}fREw{8_EOHju7m;zhK5rO;)qY`csQo!I~*=aa>=J82tn^`1o%Q$KvOFSI362=_hN)O3-YkcdtO zo$46|bIF)G`Q8JN<|gzgyogx;k|)UP`Po=4qBKI;C4NS%`D#hP3g#E9gPMvnE*T6) z0qMeC$gaYyi}&n;I*r*`d{C{Av|l zmf7?A2Q4Q|I2u?Yc-{P66z+B#e{#*{YFdD~8QF*GXmig}95vMXs0`t-!l~sIjALra z*LI?)am{(mf~QPZ=Q^gcOZ9VeE{kd$p=G!QV%N5WI(PHmx?L#sUnfmM6Vvs=PW4k2 zO;Nk<81U%MvS|Vt;YzcV{=i$o!<93;rtGJ@Th~gGK23?UJ0qd(!yT8?^YhS z{sjF&-3fli)Vnb1+T*CUWV-P928u6HMR4{3@5tf)X~pn%x$U082C14T;*)U->< zw-Sn@Z`SUvH0PU~+UdcCv^wuvD`SheveLz`Ke`X1g{?og@d03je_t)V{)*+S{2jWA z-9_I6Q8%kk_tf@AA^0q7tDcibBB_1DuCG_(aM;%RiKmq^ifw9YLZP*Vy!87!GXSXH zyoVl*k8B(T)~5c^{Bx#COI_qI&fM4r(kMDKLt&j6;pgq5!(<|}%EGp}Fd$;XTy8BmCB3(Ogk=8Bc44=Nnf0XNw0^?BmpGC$Sz+ z2FNwRa8+{}mU`S~<()$_5$1|bW(w11=Uqe7WSf0!l~E1%)e0%-kN9rrj`gppOJ>Gd z*NWAz+3)4YvBXPUEjGFfqIe*#8Hw??oMXjQe z9rw$Xty*-s&OfCtt>SDBVGkWS-3oRr0d>9%}O`B>SIHvMgr$GzuW z=~q1gj;s?o3(_o{X>i$L`tpP@+*${`?Tch2E;QB1-v#Jv17)FJ7xW(kS-GvkZQ)p` zJ-+hlSx|djR}E*pOcBNp(>lA-wsK!?z#izfz!{%G#E0$Wj->2gjifZ%DNMy?vZoI+ zndXip2QmT|H&fQyoD3AV4CnKAL)xWmVG$TUi4lC$oJj5IPQp-;26l(EM$B#5mfAWr zt|_#st^n4(dc;B(dC)^&Euc z<)eU-^`iJ#_45ogbxzkeZab9Zq;?5?e(if7W$Sx^+*;eTfy@ZPq@_n_422%_DC&wJ zz8(~5-L2z5jC185&}M{&hmQ^$NMH5H0^py0sbSQv*7>yJ0WP!c<&V^K2}N6V3Z=3U zRNlhBEhD&5K%>3ULL<1bG-{}SjmBGLT*@@cILZ}){Uk+e`>JZcMAvZTn`yH<(bQuw zJr`cK1*0{nq%~-&SA^=`Ve1~6AQ#u{LYE?v+T;1yDFzl~28+Mold0BF1VLj_=L;@@ z(OH5js84D=tXA}aYVKl)Wjrl4TAkYw9;U3{3fG!Xix_IILIF~>QURL#LpscB#+YDX zd8au72mGU~)h{LR{rLQ42YwX5Gek2W^+pgAi=(nqyN6G%`LO5E zQJl1%o@#Pcka8a*%9ud?NzaU4s|>1K)<(XV1xgnQo}b-6R8E}fI{yU&B%|Aex%P2P zNHHAdh$MGSw^if1*k^0#eFj1rva6BRv+4*{Zve7JdTKC1LkV6$-~mkE4Zn#o%o#&_Yqa9@+z07^=ogL~d&h zBk^Q=OrM!kUbY}i6^R@57aru4SqvWE>Go~*LQZ7!pLqBE9v@a|`P)&UA<^hKQ1luH z(EIbQ-<{E_mT9zoWJyJ$`OW>z{J2V!h9 zMTwfcPwKV0Q6ZfPs69UjSfG37`{E_NYoWmRF8XO8lWJ37$(fA#Y`NLM4x2BsJ&LN) zvtwC9reD;?U{lux5rHz;+1X|N6JM~_29oxj9Gxvhn&Zy%?^#?$p&<#pOR6)%ynv4_ zE5CbT=bZxWQBV|oKW26Z+hXW;jaS;f(Xc~Cbx(TRZkjc+qkVtAj;q{Y_P8v!mD|RT z_AvBCH{h`h*sVfC%XwB}pL)d_a*QVz5I?EkGq%NFfl2B{#r?%~iNM=O)`W}KZA&(V zKHNQY3qgf=)vq7;y$Z3RXZgUJ=4Ic!yQ9Q=ulN_~Zp<~kSSu2Ok4nA&T$WP`TpxD_ z!ZgXoKBWt^eGT>$oOvCRUj=?q?DBvy|A{#qYkPb8j0$0{qV`6Hy`}@J+|A8 zWfO8kM1hL`!l#_w>wI-1&RTZK=Ui6TTi_EQOAb(HjhuRXeWwgLz+Wp|>&=Azk@PNS-fJInx)d zMTck29z&}N#buz1J{5LhJlU? zxtQj$C94og1beiRs0T_{cMODdJw>y8EgNra4kJ7mqk~69hh7$ZRMq;?d4#a5IT!Mb zJj_{=*S@yj19ypxKW=(=S31+yq_M;xv{fM0XvpJpb>@!BYuW6Qc+ooSkD<=p^&H%r%gvIV!tsw3N{l6YEKa_j=F zkd~=5>Vt{y5xr)0=4unB-5j6hs8s8+k@Kx29j~WcTrIwJcpC^5ys6rya?$8~TWxUe zhsAFMQd?U@iMQrKb|+4U$#pnw5I?PA_VsoIGMHx*q*H>wP>)y=Z7_2Y_$l(B3Nn>Ba%`#pzMayn2#2hA#pWkvcu+}Ua9Mp}c9UDXE zQVapA6sqa!95;j?j{^)fi{9RC5pRhXYor>Ae@Qri8#E$r7G$)&w(?&ZrvFYxhHi_p zWMQaUWIlYu|5n8|J&A7-5NT8#GHbFONRsOJwRN&)$YQkKPBk2uY$B;ugz^16d`Xl3 zT`93>C|Ohb%%?3oDw86WDPky@9HCIj!o2mAsXEca${m*2&Bh|`ViJFx4RY&+W|NIW zZqVgghnso7`AE~W^JsE&Sz=|uEyQv819@4ao2@^eWup~Tt3j>g1noU%$aIRC?iloV z39?}s5v3$2fT$dko1BMAM^;ljAYj0Fit#XTo#%0fro;6lTev1AezSa|e2V*!_mI}S z#J2LKJ6kEU?Paex(v5;UYX%KApu5;MPIug%bybGDQuB;uHt;P?Jm(P&_0I&5ns&)eyo1}aWVgf@4%)?Dt0^C~{PAY@JVKkd`dS|Ctd)eq zo(bDIS0}@Hx1==b4btWS;=Cm2v;bQ0-K9?4}9j@Q) z%^zrLs%$jqt6$;kZl_8Tm_*qjbvj~yMnRh!3}%HOPBgG!x~D);mkX+6;jK2>>J#oG zMz48_iy9gb%~NF)_`)Vj;J0xF`Yzm)pjbuLCvAKt&DYug@~)pG^3#0aiG&0PFi}fl z%&s!gtp0-`1;QK^hlOhh8KUHJW>Np>lEQN}Vkkprs-)nfe{;7VPK7f91vSRVX6I(Z z-UY}3W#1vs?o4?t#QeU{R2I0gxF-+?mA@CMr`&Me5W>dWYSwige9!4^s59pi;y=wq zl_p89%~7vEb+?a3$HVLs5RB-2ODlb>rK-G9?D3Qe*#Q{4CXovM!h`}pHX^83xh1cw zSXs@as%LvyS!il%pqpnfx|nlg2JAst6YZs;#1lqpMs4QabS>{IA^DaU?qv0tZ`y)( zB5fjxC|(;t+MQUr|5@v2>fR}uB}||T53x}|hUQ5DeA__JXW&|y?k1`or0h?}NcBfa zb14F}Og3aX%x5InWg8nCQq<%@H^s40tB!cBzNGXux>d=N$C$c4)Zz2%%1xbW6dD^i z#34oW;%43wLsW1X1!;SfdwI`%F9-xEr>z|&FT$1)gAA+WMa#A|YldT;s)k&{rx6Lh z>5t2y-$RZuXd#$==eFFWv^+h_+Mc2XJ3hl^PfSI9eVCpN^p_a0K!u&fl}YrL;UpRH za687$i)p%B0pIxAU1Ji|V!5M5j)O5_X9@RJyAZZSp> zi*36LoR-Ds$Tyfa)6xSX**N>sHA5&W-&ryx&2B)%lzEox5VyZ|o#Qt&gIQnsc5E>; zLFo7~TH$0m9x`nQ+c$-7To1!Ke#yMTq?vKe7BjaVQngjtkxU7aH$cT@9YA?Hb(yui z)d`!Xx?cT5fnlU3A(AYCX5pA6QbQ_DRS;6EKy6>?=&apRr0P<^jPDdueUB!8ZazyF z=228Lk#qvy+iKI|)b~7L^#{u0&CsYyGfNxPjl}2tkZKo~O#Plk3HtOhC%{OHVx(=~ zSWHieQu!gPoqjVvg=|gy7+0;k^rQ8f8n@lv7t)*(zCCjL^Ssxs!dNYz;9qR|+{Wrc z0D7KB`aNF91{NLLUE~&`%mSs@2>acTzrYXXsYAFr2=l8q<1};WgdwI{|O2A_!ZP9|df}OF( zl21Df5DMWoX$)h{edLIX>}^hnAUO_2dQ?(|z7>{=JW006Lpo^s$JF^em*?0{+1JJ{ z6?qQhfjp`{6aA$;1m!DSb**yGrR>^k1lzVvCPRXa-)xThsA+o^|o*&b8d;?}S=5rroTEUL;#)g26&-6sBF@LqD%kAmDQ=UARp{#$ zE9CYpBRmSrCe=Ze)Q}+M9DO`MN=!$O>B3^ls?u>8gapu&9P!ODa(?4J{8*lpV2AB3 z{8JycxT#+nQJ?Uvi}9nleSNHkL54;~LA(5D>F8YWAP#+WF~*EghX5f{0$?do&d^gP z3ooK;QO^wlor<}UskU~&R(&(7jMruNcs?ql=DH`snI_G7b|4|{${&Tce97a7$>jii zl%C!1Y;1s=l#m^nHZP#JCe;ghB=^*z?Kk(7A1u@fr0>YmcKw%97J+ri)camQ-5H@B zGSUbkj~B!!G0v03{tP`E-3&wf<6#{=E80V2+;X!N`Ks4V>K;eJwb<<-R=qxk$;jaD zxHZpuSFmlXmIW?@R3g z{eV%Z1D~tvwsWI5WdMml<{`m-{V+pVFX99dUwFG(rIf@EA*vMIxq>iuY9kU(9f$D) z$Nd(LMl*@c)kB!_ydRCfnzT^US}^l>biM_62D0QSJ6j06y_e*~fiH@=Q{IYP zr0^o(Aq)iobv)&tPK*|rArFWA$?Hw~Ee`bSQg0*IR=B4`4{3RkMGPN>l6=_k~Er~EO^QnS$ zY4xU|Vnmv>`jDOlm7IjVh0kB91G7RIe*Ah0+lXDmMus6f&B&Hm@oJPJZY=J`Y`XT0 zJIPZTS-~WG!7xy)>Ng1AeIG(~*75YY`EIR^)lyjaH~GU)8^}vcJ%+h&3PNx>3?K2c zh>F@SQNQ?OLAYY@ySF74JU%GORmji~7BjS(1I$Ju(^$Dkv9PTqMI;oN<9i0P<1IcN zgZ5TnKaxl++mAV)ztWDK^X;pH-$c!&e~-Mxw=ZvAxI=ON+3HRBw2{{^q0pQgDmoip z6OP5=6z!mu$%{vbhs`~LCt!M^LZ!=w#{v z)XVm9&=ViWRe!d6{X7-hdHyG|b9n|2xs$-aFRy#_jOFWx$K290x+j^j_L6h4wAvoL zl~&8gwb?lG-zU1Dm(n2gw25rGIoEl*fWkx%N&Nc~m`sJ&SX1!Ku9v$9A=EGl!akE%13<&Vn?ZbaZJB=`X^Oyiv39GDAhEhQ&pXI45 z5x|``$LvU6_=a%Cn>IINsmb$mi!J!Ge}6b0PiImeNZ9>0QshVNUS-A%t7Tv8?68^# zkHOdguT^o~Q&jDC;wT(Fbh)l!>e3xP6*^r?U&eQX15=FiL88a{jg24HpvktjZozyg ze}dBsUfAbk!6!3M!RPSn8fmy#-v`Ii&>owdv5=)Xwkbb+L{>;>#JFVvb)oqzDQ}kw zc-v*+kKD7QP!^4bRT`tdMxW-Mpa&_IgWTn~G0?F+_Jalr5vbSS;^2=n)A&3NYmA9i zZ4UTo_9{$&*_18c47rRlTI|(@bma>6!=+qSrZBkYibCJo9I@49$~`=I2?Ylsq~Bfn z;=dU+-83p(oQj~Lp1TQw4%wK{;!ce9?0bQd+Pbv1@{t}e6SSALUqqxOTe$I@mmN{egp z(WB6LaT(4k%!4|_KQNO`31Hx#wPugbu?n{yC&Vp5oN`YYlTFhdj24`(7=N)^@5EVE z8_{GRF?x-uTs*lYmK)u&(LLA&4jdV;?E@#q_kePUnMLpj2oGUY-8!N_=}}cvly8#k zLy(yYZgE+9>I}wzRqD9kxx}wnMgU!>U#4UxPkELu0zF4eZi!@=Li$G<)Y`f$yc}-F zxOT56b>1$PnI3HdVhnrR-;_&`S-24`32{{p3-h4LyO^VHiUzG~(w+Z6N z7zvVY;`mJ{i80_JfB15AcLi%RX>Lk3M>4=-w%h-Y&S3|==hV~g#u6J3#Y|U`!^^(Xr~^Pf>!kCgCXQ?sWpE4f ziSSCT+EnAov@1uEc=L}F>6MemRuX28YM}Ri==OInFOY?O{Lmfe5pf}ecrD$L)bV7_ z02_CK?i*x@oQ)G#6a2(A`$?$bXEshu4f!*VNIapIa8+`l5#8`U&>q(+oiOdUzLgK57Uv)ykvc4!k=%~B(A}4mB~hU} zG!)?w!sk$o(>B6o>3-?&aEl$^J5-Lk6paTCy6i*;+fNYdn6YX^!W&*B2EA%B@C1US zm${`S?~>jaV)`w?R>k0*xeu<_e`Y>{9yrFheU|Wa>&0Sxy0N_{6>sP#o{lz;3U1YV zUBu5zfm`%bX<26FpXIY0sA<>Q_c!v4nukky!poP$BkG^ujwNJj$2+HRO~zUm7E0Vc z#_LB?=@^VQezlOqqv@Y#>Ws$Ji((~}OT6VN#9+MT36@-Jjr#@UI$Ug3dIoaUuU9I0 zcF5koavrW%>b#ZqqE)Np#RMDf-^Yp-^Q7!7614|!FB%q_^bl3v+fpOD+9N(E6_pyV zd-ZDTgAyKfu9tTzLo=(`1Ovi~4LPSgi8R2MTbmQXlGr&FJ>V%8h^x7ByT`^T8idOK zXj~BZj5>L;Oc~3bnBUMKZ=HQdJ6l7+Q)fl0Rnx&G;`ajxy^1$YU^bcbqSs+fb?3GRyM~6{&7#|_18P6(bK&5 zizv=|HM6fvKq=kz)%nqr#d5b_1!WEUzgli_)EzaZTh%Q#obwfbyfaIfYU-+ydXI6&X~yN63C8uB6+2TzwU9@&L|s~~ zESX(1x&A!Q{OQ_XZa~3DW5)eq%&4bAF#~L#*SL=8vr&(t%!?_<$^M6WhiqUGP#Wjy z7gE7F%xK+0ooKQQqUKhJD@>wVz@p;WBqeiHB~QrwFOzW^!E5Ook^*-V*F=K1iR<8j zad!}0^T>4#y(_5e8u8?@*P?;mb@h-%FBypD^83@MMg2U~PK565fcGWQmiue#y&ci+ z=kw>lMfd!1;p|80s}!;k-f2%0qVcPgK<;I7T#g=cp=1w6PsW&952qUMq;cAvRo>Q^ z`v>lzw(3g`V<@S+QkIILruc>d}-m1G#_{O}kubjNyb~>moLMI?B zAZ$L{Z>YT{((|I-YiCZT3^h@skxlayxCi{M>#b8x#>k+)%1GqtHe9q=3`_s+iu35R z3v=Zm#qju66(9XJaYY=NsHscxR=wMQ!ePx3`BItq7UI#}{h;Lq;Gm!mXLMHDwzx{o z?X#|*_aCqT;-R5cvRAV2bhxk(yrs=|z@U70#&?Z(j<0fAA7@YXD0q-Ipe}flW)T&; zc1`~=S>|8vp8yhzjxzkpd@Ok^dBtpzI%Nz0)ByleQqNh;Sq#)4tZeb2(U^l455!>G z&|D&3YCFdVDxtju){qwXLB<IRmX&g(Pn2qTiC8rthMa>Fpww+IAbjQ|ehz0FU$bXYt?AOMj)YS8t6jtrS zX&?M>zFEyS-0`Hs`;2OT*2;$!sfk*j%rS9fc6IAgQo&u5iD5FpOC%1$|0UT_vW{ar z+-~UOQJ?@G|0ar26OnQ%elI6n@-~>Ib0r_kH*S~f#!l&NE70?1!)GUD2pXRrZ=B^H z7Yqy0#iM+4R&E4lg( zA(pFfGeMlcW) z-(XIh#mSD+K)#AhL_u7LXAS+~2|*Zgi*H3nhBsBtC+&!=bLg;#!L#>jZ>6P;(Q@Zg zc5RoCrEY!a=9w^v7>|evtZmuu91^{uR$DNae&0|`d!e`Fas=wkK})t)p$+_DQ*#!@ zOT4EXr;wu+9Q77UNo0J}^3x>K+|!?x(#?Yp6_kpLSCkD(7-y5QM!RwJ5mXTNj!d8tIqG#3EyY3kPH^zPSbzs5%w5V&{F$*xrsq!$vB3=X#up}mZ zjc`8W9=o0lu7dhxy*#SZ`1;ynCU-4&wB-Kg3DB~0Mba(1L&Ua<4~t-|nC7^+r8k}T z3ieoYbT?8dw3<4IS#1z%wW2$ylgSk8cURo{b_V4G!%Go@RUm#xRmZ0ua@FwQw*Uru4joz$Yv7zyCSD0Ve zvI|9f*Q&1~(a+d9`s}42k~zL>_Pa&GV?3u-<40(*p3O8dp+Jzev2K=!1e42T-i+<+ zlXq|%U&iwV;v#b4^3rC`5O&lbPz~34@|`^O}q}N2OUs2Y*bx z9wwvF+D30O>#T_wo|mGgQ`fE9x_)L|b7rRGCjwl&X40+*VP5cSI4IuWtJX_WV^z6L z3S~<6@?vTccd=wf0g)St&TLomn;IOq=V;U$O;^K?0XYzf=mW0?he6&ySuwPba2rY;CxB=i|+^PM+z#Q zgl}eB)?R}BgLg)h z5hCFym9a2=w79EA>dg(O{qrucJ1HM(?(j-z+cf!0-9@g9$d#_rwokWHBJfePBW;P0 zY4x0z;IRlN7_!7WPy0fd*+e;4j<9hi+#~Z)2qFvEEO1oKz-NrT=l0fl9 zI#TUPy#2Pwd)JH5|Iz)c9?aLfsS?FR|0~q<33L;<0m&Db zz#aqUAY(T_76W=5*r7n?nBc%tl7KS{1KvO2`+?9PMtiQ7l$WZ!DDZL-%7b4HAJ)5> zBc46^BeBXv1zzy{2*|a%KRuvch22*4rV^}&m)#&b0$%Z6^k7}z;%9)G?8-CcskUh> zkuL+dHuW{DS=b2kWlAxBDh|Ra0I3@Aq`j<9tCb`$uR%KuiTaSbOtVnTC$SbpJ^0Jm zYk@!yyaV%${7UfjTQ7##wzs8j^KI5Ws#T1xbcwm`pV?>y_>|S6g|}IyUp{5x(n& z`Yz@U^WJKN5}iyL69U?UHW+i8WgfjUahRTa47_{IO}t%MQZ^=wQ{b%aAO;Ee;Cw=K zV0=os@)yx=wMZvwb>ReOnRaTkw`#Lp4m}87DE&N_s9dt7QmcJKusOGbG2IQx^p?W@ zfzkgzRJ*L;(RyFV{X=WYvf7=%^Fg2`h&_^RvkK)}|6!JB0wO5E=x49LT;LZjsWB(( z6&P{o%f7lavBBPWeOS9v2@X` zsvJ+c^K5m3c;WKb<o{~GW_sqU7M`e#m;rA}GK0YH&&lsNEOc8xJF$%A%y>8@YXnBltfRA5 zjNJIN1rINSRPo+n13_kT{;VUZ->)~vTP*{pFLtY*AOiaU={rfys+-Lp2s=ILAcR5u z6x{jos9h7U4kF?zZ11=B7oJ_|H~N*zdHRO= zz?p<=qA`m2*(p<^>x7Y~L}=+qR!W)ihWtSp^D8v1jvy?i&p58;ibKo)+KCP-S_c#j z=GgLm`!|L+PcZ4+2I#O0yz1UIEQBK$3^ZT#1uJQAsIt`rV@{p55xN= z9{p8gQqH+*QO%FKMo9vdZ(2(djSp2@5}i-6y{lX|^1H@#RUwUOgVk-^mm9e0T~aky zyrKpx`TC2mtHC)q1k>`(^~Kjy+K5^b?4_h>D!m1&zOV^u-c$+OZ|h49@SK$avgHP| zw0TOHilq@HDiSJX0lMV|i?mCP(zRBUwLWP$G&cC2IQ=7XUg*QX@I$jFEVfZlQln9`?1NKSHu#RZ*hRNQ zqPnqcGdlR+2ti=`duAyFKy%lCVdq~M?do2d;&k8+nX7L>xqWI}3Tk`Mv|A5vuH|m00Sobz;P#sYH6jK)WN@EwF0;ZX zER*DoVkP(q?WnTov;k9tIuK}w|D!dJcQ7nrk5*1D`E{~nLc;iF>eqq02bymiCS7%( zblkczg_fOPCOO;u!&fE>u|}MmM3_I)b%_}~95}VJPbdR&R0Pw-XA;xRe+#?L)4X~OX z{~XZ+ov}E15%4pEqbK?#%?$JF^Zt0ID1H3W#!nlSVtcAV^ZM%p%l%2J^O(K?fxu%x z0(-jS=S^UKL_%;bstmhjk8Qo{7fnzT2TAFeQyFOAhH&rDBZ#~-4F1AhGmNz~>@VB& zX`j|X-#g?iqb&`A&vJ*od^3L_ap(ySK|zDnsfiBc5&q)j_jMoan|a5VCWk&wx$Qvo ze^W_A2E?5p!(DR>7Ceb4Nz{DBfqx-$Ec(7fAHUq6VYnM^Ln5ktl>ur@7HJ9Q-{>%o zeZq1$BXE#Bh9p#oyFvaL1GxW5nSUoJG8m~W_A$z>+TGH!z_rtJoz|^W3JpJ51kaIo1T2*V;S@U7kKmHp9g(2uoGyo;qb%#o@m3$8rG|*H zqIg)nw)JFb4Z7um*OltvYO%I6a%n@t`i!*66r$gfSfO4#N)^aXZxxPH#1*zB>~llY{g?qp9y)R%SX^!=G>ksI z-*&JIDF0L3#S?8xKsKL}69>|}0}|7y9cv!9PnqwkJ{w0_6+DZo_z<@xzo95HCAT~< zKSbo~gql!&UF7utyXHpseShVjIRIpVpUUr{#u*Wq5hzWPbk_?Ea3GM(u#ccN^ySp# zgNy{0AD#&ErR8CV1p5>=v}Ss{$<%rT*-hdGQG?QFEG(lyS16ys1cVur6sXSg;qv?n z1sX6C>VSpI1FjWZ2T%JdbQ!~_X`g+7O+>xCrI>ZAiw-0z}!I@(w*`FyQ_M#*i~xMU84Jm~@4wt!nh zU6J_vSVN@uV*UQo6hQ8rf!O4KW&rCg3tmcsR1k>xd(?ZEuf_WOY|7Wx6D0#uP65s* z|7N$Uizd6mw-0@*$u(v`y9z|3UUN;Sv5ZN73Xi4SZ=TF%$q}W6l&{wRd58F4xKbsu z%3RbeMN^_r66S!f-yx_!G1@EA3wTN>wqQ=Ms z{TGCd8Ta3D1ho)wGs4;?TnMp(IC(zC{8t@8O$03ru(sp=tF&89(k+!}w&l)F>4KQH zR>7-igOPw-z_5#wK6o=>@M=t-O{Kjy+gft|hR1pu3qkOBOrJ@my(XQ`LfMAth#Sav zwtTAw?a5TE3ng^8Y^xT{y#kMj&VN`MCw1p?i7jfv!Tl81y#ngrJP->k|GwXF+COeU z@YvSPs1dj0<`y7$%4qAR1NSK|cLg3YoqxYHs0NL@0t&f2|s+#L%Q3!@u?#a0L4bcG|lyo?Nj!|J8)M+E$mm=nVZ|D9o{ z)*~XvJpu}h7W=OQIBA}-mD>dG2j2m*0yw#fIZcCA+9e$v; zU7O@yo*}b(rNHw--Oo0D31sE3N1UYp7fSQjqfJ%}u+d!srA>+NfPygFxynhQ=O&x~ z^_w~ftdr*Xkf>tlyewu8^X#%6M&CQlQ}O_JGIt?&w|n&B z8}dYmYE#7MDW%q(Z$U3Gc+p^xL&H8AkgyP1$8;FW4~7WUYw#5ZF~t59nHeSudZ)*I zaL|>0GG=eNwgZp88fLt__u8_i3a_FZ=A^8*&a&n=9(@4}LR^PUirp^G5uW68yjT0* zjt0AwMy#xjL8Hb6R^6_vq6yQnN&~JAcMZW_(QS)0HD=%QkA>^M6zizUn$gY2Miyt7VEg(~!6A8nlNm+2*0@ z>MYrla}tCjNg4H9NIHkVhTz@~Tf&^hF!O9Odro}o+x=?j7{<)A)Q}AjQyr_t_UCIO zH<}G!ayA-Hr{i%-uHSQWp>wqvtU|9n8DO-lSexGagR09Kb?68TW5kto9_@K&i)x0Z z7+MX0Iu(L^nf$cF7=y)AL?tWm^hZeAFwk`2qYoV`l>d1x3_Lq5>8Qha|Lk8ND%{5( zA^%ntZz!G;Wjj46;Y{;uuKX$+QL+n`EF%*v;5ejc|Da@!AH~%}COx z^3{;`^B@0DUt827Fd?R%Pag0a2-2v`FUA)bpLO80qZ-14{2;h<{Jt26!or9BQ_1H; zs(pcw9>O9gPY zMSP%+9kWwsFYpfL)1ZaFV_Wz2(wAQ`=9vGeBQqiKWJYK%`kv zv7ZA#_o)pO+f92JC$u_;oWmRI1a1-y-E>(XNmOO4C>$&T^>=y0b;#mQ$Q*SGgsY49 z7#cc)lbffmv9vZwH;HDHp0X^6>i^Qd^ObfZ zcr@ibv1qTS!><7hjtooT6w5t2kqkjHNJBuDs>w72ErZl(gVX zlu;`Ar#ZNoFHdga58=WMo}uv}-;m<$f?8$35LZ*`I=N(SwTxYgu}rIi#-G%3t#q;bP8-)oCp z{(Rm$G7s7sT@i~B!%|MM#Cy`S-h8LpkHH}x0;VT!i2VqmP zWT*4*e^&2H2t?pKAjL}@?$QgBU)nW`J4;r`_W%OVzegHm?};y7jEj3UV6Bu7n5&Mm zRmFGQtytKkuQeykr@TM$V>{)b))%*Tc{6kZ6He@C0OeYC305|IJZ@YEXQ8Ud5RD6x znqMM%QENvUgddj)NC)nTxM4GL;_suy(ue0))XW&9k=i&G0$f9I@88$8;`HbnNjsaM z^;z#LIHubv0gK|3X@{u|H#R#Z5eR& z9I3yzC8Ej-v5?27jOHgu#WbN!oHp*F3rdIDi)ai^)ZE?ur|;X+qw zo7>3H&=kDTG_|6pwIVH}ZEk_UkVMB&+0c-bEWK)3SXkLmqZ*ZGGT%^x8aiN(cP3^=hY*p$8>f0fUM_d z8J{PL?&ElUr@iBDHGLZanI{5jh|s%^kEZJSw&qh{bHi!Ibe+>NKI_xllCRl#k?S-3 zX}~jl#Yu`Tw%tn|9cDpb@t@$g&1;Sni3ytNt+^Pxln}g4m)6Cyy|kPc?MgfS1Ga_7 z_tHCoRiV40`RehE9DxZ>*MWP0k*7dyXV#M5XV**4oNRe^%ghZAEunD12#a~;b>0od zOx_YP8MyY>FviVt#yFwt-yXvmh9COTRahmwh|I-(@rVF-Ljx$_f2bth$(b^Xs*5;@?Fd@D1_zLLU{+$ks#LrpfICWcqcu z*^gvn#&i2DZ|vJm3t~kdM8U0P*A(2BeTS`f~8soYJ1<5#3S?Kn=B(E{Fr(^xp+u(^1_ny_RaE*!oGYH zgzx>z750jz@+;q<&u%=s!JzFk0&7^}%gaP7mmwq^sJRmZ?DlKG+s!ZW*Mz8`o4J%kQ^J=XynFi!Gxzls7uaGyBE%xtz}lRxIxK;V z)YtC@7|dCzujEy&2{&v!LI@-IT}Vq?zPY}8#^qKv0MGae15jwTq`l{D+Ayq2$qxFxcY}HeJ zVrrtMU%Fiux)yZBzB|z#ej>Dn=>-(cuqkX0VEW*vd^X#3NcvPzI=`&Fo66%=Bdjwon+QG- zIWqnDsNtlQRp2vU3&`1}#jhSx4EK-+hifh>nVCh!H;$Ztl4>qY8Ru%8S`#htSL|47 z$g-+g@4PGg3>Fa#sMV^;_TFAEvk>v1c<$ypx>BQwZ7bW^`3ur4=dC^L$Q5<=jI?M~ z;%9T@AKR3Q$ut*@dYBi#`tk94!KU-)i<)zQk!aN14&A_a!Va8LMlJ=Tg8cC4G?`a# zbL-uz^fZpyA)|a7VZ_J2(V{`?J1h3gT9NhWCbQXN4HpEjL+s2`j}CBZF1b1W#hBQHUV~hN{b{E2 z2Yl@UF}~t7v}6*R2xcGr#vi(eEMs%%E&J=)xkCQYo5mw6URRW9#)wJANN`$h6nZNl z`xsi)*c*JlPYUYD3a$ZW1!CPVs|J-lY74Y6qvvyepMoj?m?V|~6Z7}4`$fK1v z|7aKX_?PDR35rd3n{B6J7~8oKyx>?(Ei{7#TAXfV&FUJS1w?ZMXYk*&I;H+}9QK7U zRdYQ_cr8kh>XyH}^NCG-4Z!Sq?UC&n?TPHc4HkqX6<7uPQq#m1M5_*#+(9rPNf4z0 zgngnFxqWHT2B7a{a~#kIWx8P8DS*+5ey^b8k7;8tuqkX;S5qKF*8FoCe*yyvR{g=Z*2Ht72+_L`54K7<^;gav3uDyV6C>F_TQCg-cm;O*12)j_oZ=F*TuN*VjUBY6y87P zt&jpsd6deY;cYLBE|=;)DQPWKj#Mj2kyUmgi!$?fuS6*H_N00-6LLvN9sVX2Ql9Ys zU%I)#Ht(`Vn2z?y0Uqd`z#hgXW9(Iv4;`T~aKChp8Gqb?yMYz-@J1>$D|wZa?;Qe) zy8cxtkRi&%B0TZe(qoDfq#SA2fE5!MkV&+)dUsByK14T!ZOF5BhUT%_arb`LCK$T^ zs^6;rsAX81D86#(XV*pg?7RqT!&eqP&IT|wJwJxAn#&6t?kb#00jP5A%cQvWT#)$d zG0|ym;OL#!aU%ABuNf15v$#w9uGUU`O|5SlO(V6|alYf4GH31OY8!aHvr%Eeu$|p< zWe@lB-fk`p7X{h(8(nE`>XXL0lfHHrRaL6@?{8(e2Cp~{D1uR8INcm0H23$#n4%`% zRIo%1zhQi;f(apxE}_b=#|XJy|G&Bt(nY&3ZWTPhjHy0w+j^foc?F_4`D%rq$~bK{ zCmMKCEa|~DsRx`T8F*60Hbb@3^x8_3U)*y_-%J#8%9Kdzo~aOh`%EkIL#47Q*uN6{ zuzQZkhDc(MR`A5KSR-6-ZlA%f_O;XpI}Ar1RN@dfjkMHcu)=DHbp|=IT@qbZzZ1eF zsB=t>m(%iF>&>fP7mZR<+FW>NZ>~#msXTH{rHava3`Yi&HE^`;>cxQjP z^(LMAE1sj>L3nf}=~`g-Nf`gLpf&Zo6IdmofjpDr7v3F;TCN_ ze_&$HiiDcd-E0Z zL}Ql>A*k#?mt8^3*THPk)!+m4iy@CS-5{*JjYrJ(wB)Rgp53X zvfSy{GjPp(r1-5`M&?sy+=ng1SR{{qq6buyhXnBDyAYdYp35ww9LBCAW(&9$9ekwl$+#5TH_o~J-nx{4Cge?5iUW`Fd#yZF38us`Hz)!iCvLb?6tSo zG;E^wwL?GloBm(zG*e?kNc!8md;C)XCw9GCN zy>;>QanKM^CvX{_>;7#cv?o~{l{I|ikdZa$A>GldCf%tfjbdri zz9`L7sWK%E{f`Q+`>lkjR3<8_`n?$bT)lUhwnYn?svKmH1iv6rNSEoN*U&mGo*oZv zy?fJjQ}hdhYyM5uNw=iU!c^|rdw$KVPPLz(Vo2GDpMp{yF-OPhT$Ql3j!-`8+qjYw ztbFv<91d$F;nnE=YW?8#uNQpp!KsRY#-vP}OdsZQqwvj;C<-rpR>@m5K49~=dh{+N zT(Y*X+;8HEDQJfbNmk95Cv(iOM`-%fa` zHez%o_bnqf&dXWei&_#z@FG2o+BIXiKj^U`IOGk-_v0^ZpP)y|lhv5mrt!I$^vmxV!GZ=9 znzNgGmUA(P;O{w?+N;A$GKFQ~uxEO1Hq8mg)e{G660{XQ5ITdY*}0I$e&tUB$yd$< zi?T+XzEs^*H&%?@mUp2%{EE86)J=IPW23TlULAy*Q4{GNzQ62uZz9;Prrj0Sl2LYLYG zjCIJ;6=vHVD~A=ekLRLEK@Ti1?5pUT0y1yV!>7Ph_2%NTX12ILWq%%dLt89KZxhkf zWq0A&-1`uh?UJOt8k3Embd*8APMRr_v!v*CKiL^$H2_)IGVh%ZN>vL z%L7K};raF9!<1-JX6OmBdGH=lBNN4kB;zkTTVuU;OQ_V^Tq`Y>+Z*ndTu zKp_3UF}yxqSgu+BqT}`fJ+;30YlhT+34i($PvjDhyhW#CwD`pummg#)62_Bh+S~4? z@MmzfdL=Te`pF7K530rEBC2-ThF`nQqpu&ZW1GJ@4;NMa*qB%%DoiBfO#uGloMo;J z1RR?|pr4)w0u2~t{(J$X!*5k{d`JbR@`}o5P2KRWe1r^4Cjk>^ zp68HB-WpqJniA&7aBMk*kdRfOH(oKr{=NOQfxhlz4^ z4igPlHwW_8*1eG&Uu)W*)4nXKiP5>GawY0@1wHw){hSC$DFz#c2Wfy4(D`XYyQp3A z;&mr)0)0TD;96a1av1&oMh$IXvRSb(lcA6j<|ke)3Q(VOY-64kZenDNT>rfRdZwvZ zz1w&8xF6!_n>;<`w>E{TW?PwvCQC7gch*nD;md!hAs2S-F35dAVK1DPKB5#%WK*@|>J5&Y zmB3^O)W!8Ty{Q|qn_}%Oz*9C=z z48UrzmP*>Djc^%~rkj*Qv+xt>IHw;Tel_;H192uJuPXk)bF5JcJP~hM z;@%FL^XXNe2?x4idI~VB6IiSl*4eHPR*+yup4~#igf%m8k`bKYqGf~sl=0(ME-E3mak5E ztPHAA#}(k4!5lyYz#6|Z8U?Q>W|y(+O0>rE$xp4~a0!X5@J*%T++~_S*dD9)m=T!i z6?TIj^PT<#Hnxx?3pgG};T}gR z{*fViNn+EP3AD~=su5&L5=n0=vSG$kH?Hn-zqVp0Tc(39XGd=>m)j=~%R06hGoI#` z0O5%GzLc>N17&&0H1NMXt^_6sITQym#n#XbMLrOC^U2rZUWR-zjj+66RN#a>i{W)_ z_N9gSLvag^T2K6`fQ_-?&nF{t z7Z#eD#3@{*nz(gnC7dG|FuNRBU(+=^SCf)O@LM~tajM|pQR*n^0cOjU+NRmc5uvTE~!8ChpNSr z24pD_Y(9t|g>IDrZ_3n%>7sO~xn29yltHt{(Wlxp!+guaq|m&;q8)}=>ycv5hbmtV zl5foE+FdT|6wC*8q|ny&tql)n&%@)9X?7dA!y4F%|8^ef^Khrtp1H6vB`sLF>*Utu zrruRSJ|CODPUt3PVMy)jj9ZCVx$1Cm-ee^eIoRb_Kb-Q(OU(4}C|Xus&2yJLrwwT{ zwbPV%)>?&cA`eozZNpx?b#XlU5oiBm!CeQXF?OMa+)1r-tVb2D^Rx-7JG{aG=wQ$q zoO9t>wS!yXM<+#N(XDRLf5zKxsevA<8_0{_D%umTDf+T7m#t@JD2rM_ z6Tl%+Q}nK(3}qD|EP=Iv(VIr9kN{1gsi6cV6Ja2MT0tEEEm4#6WdXexun{yyUqJ;? zsHq{(G8fYacs3S5B_{iZh0z;8s-b{!625SD;sfulrR#qeH3Z1hkW(pm%{aZw3fL2` z&7e+3A9O4-;E{yf){sN}$M|%>7{`tN=V8eyf^U)|g}s6ABWXk7mvR=ogxGoU+B9k` z^g*LMji0Dk#`m#OwSP*E0V8XdpV2Hix1;58-rP!LrdxOp#|!P(&DRSL$BAxDP@egR zZ%Vjw{hg*#5T=u24#$EIN04u~L~jj4i%~d?7$mWyk}5zCv^`0_8F~k}Px%K!mX-`f z3tGq;(&6qTi=;9Gq*sV=Y!fy^*yHEZI_GP0-Hx$`o$3TP8z15qHq$312UL06_>L0! z(UjN@R9cZzvbOXPe%Kb*(E3~eo$3(KK?sRv{SI#?-?O6>uN$INo_5@!8hPvdjT1{Y z@n&+~kcXfx0pO+fLfnN?skC6P_wbV2`O)|&|7135@q*0zZvhZOPets|EgLG^^jRMb zfTXqIrSNDFF$ z(USt;Qd2K#k ze+Kims5fNdB4kQQ0`2V+@9x#{Gd16mFg4HJI7Z@KI^LjntldH}ajoAtYJNZBa&MK$ zO3`+PV#3B`dZ^Eju^6Bth_vcb)0sV|bI;o5om395Ht%`qd6RC*f|jbwVb|w(qLc@+ zvLJR}&D=6p@yd{^wo@jy5H-j)V)}MN+7ae=r7P|JJR0JbT=*mek=n5N8y4s~yydip zZxQtn%?0w_xulMf9O{gl5tnzsrO_T~sU>3OA>;e?>STss+99KOhJ&!Ob+S5-?`Ea# zI?Q-zq_#6bnm3fa7%d*!^h~k#(#FvIJVqwbpD*sQPJI<0g|TNYsnhtHtW_-pV}Xue zLe8F#cF|J4FkDVKS4M7oc&LJ!uq4Masn=h>nDb*$hq%|rc&Vax9)}a}Cetsvnd3p( zb#KCU&J&_DvrWphEACnH54$$S zdnmv+zS)Pm{-&h;MibJIm{1$K##&YUlJy;j;-4)}d6(pB7cmTLwTXPmj>9vO7v^fQ zku(_&JUB8SgS2Yde4VJL=b2e7*s}=T3SJ)QYdseR?`v0+@WNtVj)p9W6OIPGozY@R zt#ip`bY|QokyvF_qLi|hwg|d%alLhFPl!f>*iwwDw~Q0PgSN@sOWC2(FN?kt%Y_P- z{8tBSH$29=1y>xxk1B)fNteZHv$RPIzN~!Y-s^Gtc4UiWmeNiIt1Z7?%C1~c#aoZP ztX)pB`GqX+qxr*uhEZHs*GPP0c<<2WOV;exP4M8HES{b{=4qNP-ay=x=T_y+Hf;ND zC1pZs2xPCqqTQV8IhD{fa2tO{Vf7sRDZ)c=_SdAeV}<`~ixK2gSj5YY)`IqU|5=pb zWCGJZ7b4>3q>DQKaq#y-=q@)AjnHZNE=QV9HTt1gqM&%3qsn{ego#|tk-TN2eFuLc zDNiuvMG>zv{}jAAQU+K9H~`YyudA=nu|)+rWo#>cG`AFaeS)4MQL8eZ{Gzf@8lDiP z0YVFs3e3iDLzp;+$>4g?R{4i}@^DMDhtVWZuX4_J>VcW;ar$(zEy!wJKwEJ>Ne{}> zDRP&Ow(&{AeHQXbf>sy>@|O9(RrCS2Llw#tHn)<`GzEXXUJUBP8hA7|8FUBl*MJGt z#DOOxSX1>4|BhZkIFG<5xF&^fn;(_)(L=7M97SxQD^fn4nch+pT&GS7U50PEe$lIA zy_;jDFRr!Agw)R#MkRvZKM;q2)OhwVIxJeh?YdwCuz*qs*V6%B4J5=2BmY2%8^W0X zSSc5#Ym|I{4nj8^ELE_lFDIu9J0-K-lTiqNK*S$m=QDRi^^bG&NA}nMPgUm64X8qQs6 z#tG9J2z+p9)ntlXFJCM3q2R+;%UXo0oH;6}yOS&5g%9wSCHTX5jm*!c0u6jnsr`eG0Ck1}}E=)x#+$*oF zU*;6RpCxLn(cV&dEa4PB`rBdg=<=x~JXvr5ylCc?+_g;vJsC%CPX+g$*e7qv?r0Zs zb2EihWAo0`!e6~uG>cZT{!J@%UzbO_dhfl98bWfB8^T8aDGuT`ERjW0CbrodiTKQ; zXVUIPGIic66i1hu+MjOxgI{y^% zHt9o^8StH7@gmaXRq|b2Pbw^4^g-AJMj<`+4ot_bzSk11j<}OLkZzkG+G%b*N$J2u zG=V&F@K=?%*eo^MPgD437W^!J;q||@tjpBXQ)C35iJJ&XxP%wEornflQtRb(Ewqg4 zsdO{P+mTvwX)f`iE2-kd#h>@HM+@262MR+`r1eZ>b>$O|9XEbftwNZ?%|*jS!QR!$ z6FJk8LsDdvG{eme3LO9RmCqAMa8lk<#0o8o2zqbB%9KZVy5uiYlgUQe^_kdiUe%u! z?a=ep&2sNh73C4? z@&wU(t-DV;iu(P|9E)iTF+W37f`~1Q-V{j_hg9VjdR?c9PLqG0 zta%z8CsLfcGN=+gPsH{%Ruozl>O*esMNn(QdGj1YTUT@g%l+%{Obz!Oi`$V8HZqmu zxl(#O9%8)CM^gBboq(NWWieK_nlk2_-g?k(>h^BIYj5$IjVTYwdwR)X{3)8+!QV?o z57DxyIw)B9g;eYM*MXN*=&b$nLey*>Zz8E%HXXprgihe)$()X*t?6TeC52mS>Xy)t z5ky}!1Yf1IeLXI=j?QyLf)T`SIemmENJ^)*zle5D3a0M(SIop>KeG%`0n8E#jY?6;G%#yQChMg_P1w*OliKr?e$^ zzaIl!^6_#gOPpOo(#p2fcMk6rFyY%2XH zP(QDw7tDvPh_>@Rw*MG>OwVz>PN|}OdV&{3_8YWCsJw+`AxO+aeIk#%N1?QJ=raHZ1ePV25z zubAxk0uZ=Sa(^NVC|&@hRL$AkZa$tA2<=qYzqh}K3N9CWX=QI)ZCjOjKE-<*t`9E) z7k_4NSshuGPTn?NH_n(n$z3+i26|CF@gCoJXL{@28t8NB%X`ONsOal^hgWyo`E{*v zO>rrp++^u*eU2Ne@LW@)5gRu+f6w3#pEz=3OMz%^BX%IyLvae)>nXv9WnzV!yIu?))$5a zZBhBqtcAi{id?fhsa&8!G9yAK4&cWJO(Nmg!iP`;X@lqmd?jLM6`iOrV&$|i(q8Ds zj4whZO6B5w?sw`uA?3ESuhR>3bMSXYeVg!i0E0;fsxnMh!8?HOD8>1v16A6MWiXBQ zEnCaI`8H;w`uv9Wgylj%Z6`CAcWEj%u^g|i(a4J(E!K*hF+20(g3l5{s5a^ zi-2@ETicc981yw!dl?>|4v<=aHiDptJ$|nZbT)NMCg?tZ?>hn&+&Q_F9M+nuy<4vU z)B}cB1PDA}63eR!bOWtVa)yZI6-UsjaTyDZg6b6x$_-#jqGL*Uo(~v??~=T13>bzZ zVtRz><$}*5c{J^9{*p!Q-UfPsp2T|f1HnQIk-JxcLZK%U1c52fWC6sOuYW+n0mRs^ zK{~D9FO>sQzW7Mm7lDMn_$XHS{tX1S0C;$;4-p(rmK3n*tyI zxnQLi(%$XrQ<>dU`9hyokYRw-moBAC)c`8EEPD4cP&@P{orZaX*_Vm0{K_@v0Svqb zlc@AF%?EH;N2}YB)^S~LK_DtpjP- zLIpnb5JG7VpQp$nKFlh6---vf1m`&wFqU#udTJZ4HGinZTUtWX%RE)8saavxFxD}C zZcO2wXeAlRHnXaX0G0uo9QDcC)g}82flLvUFSl^aQY8j)s7ciRW^rfBEuPU zC~xIwVx7iP9%sEaRLQWj`xb-Y{z(3A$kP#ETBr?5Ds}Vy$GP%>4#kZ%H`Ljez?L$=)hkpNP`jlV(tHq0!d7(Zy*Ko?d5~y>&5hkzdY};y)5>Z zS0z!vE!iFGhB?$EAqrFe&<5Gfm4_R?`7=Ry@@zv9v52TN(QI(_LB~&KxY|I}^cec+HuJ!W< zlR;L1gX$~mc^s?$7XWlXi@$X)_k;sy)}uV}G3znv3$2CJAGaQ- z{si%(-+GGp(Qhpwe)L<<5I_2@OyWns^#|fdzxATEj4QLPZ14(e1y6Yu{j<=&HPqKy z1sq>be3xv!ZM{vs$a)9-uC)pLp7lO>s}%*8S|7oakFAfnYn!!=`gUtO^-ru%sFzt~ z)OT1rsqeD>0^Vco;Yg*04p?yu>04DqWPa-l>kIn7mx#=7{loePJ=q^@s&p_pm<$dE zQ^27h5)Ymn>;mo@?52Fd?nG6|!JfgM)Xxo`s{&ZgD9(-!j^@ai;27}u;CPPQ7QBu6 z?L<}n;2ps`sNWg96Fixy${(B(oI-snQI$Vl|Oi25W5Oa z3r?f8=|oljU3mxEUXkqcg6wbCgkq?~{~_Xzh;I{c&X zkEmZ9z8E|tJVXV;L&HPCmxeC|UlG27YlagO`NJc_BdL!fCh~_zheuN%Lrmlk-w?i$ zd(*?|;3;8f58oAr>hQEM)P|hpUK&{B{ST zA-~<3XvlAOv%4v6_ps?9z6P7Jdl3!!8D0BQ?{D`9pKhNH9!NCgx6icCq&|pf$Zwxx zpF{mTq9MP1fqen>AK5=9rhBk)!B zRVrXlvL{iWY)=MHwWosbw(kbtYu^i=W<#Sr!=3@ourt6j?U~?N_AKxN_5>0f z;S!oA451l>7@8pBB7_h^7^ZLteqb8{lre-`7-RgxAq+#fgeEj0G}jnoY-7yv15?e= z3{#rBbC-qG^N(g9t+ip-BbNB~n8(^sIdzl#5hy^JCP1`YwHYt+P32 zpS9O(@3Sx58^KvY284`Ph-m3W=sN@r%?0o<1~Guh0N}oOMowao8gQKf$fCe;WWu-u z44~e&IG{flI0^6vfW2orK>j2^KD8_WmYC}miqpCmFnaT8eW8zNS!4J!=6*k;vk)L- z^mqw!6`&TNWFTaa6d(uoB?bJ9mGQV5=6!hFts9{47=iBs4gzc(2G_^H+W?&mdKmNr zVBKnY1sqnWme<7I$6K~uQuy(%ZGe=0d|4ggEAp`^-}ahZHQlvcl21(~c0|5_`z*U zk0$p`_w8}es_lv7k;!0BAx}-M_H^=`x<_g1u=B__rfz7jp(lsx$%mdk`&~EJWU`k) zkI61^heKQ8j)G?u@T}E--yLgm+STp^lgDmwCz~GFTit1<8GEa?!!&2_aA%qx*}L7@ z@Lq*G&$Mh$bQhYQ*!xH!-D11Bi%six6O8}dZY6D|4Z9O8ux`w+dWudKOv9aY5BN?S)9QvI_wuzd&RbcLI*)A2W5^hclbMP z6|}wZQ!LjyBHd|BtAus{`XixV!9bkyKXSdz5(xzv~Rdu7&(TrSYT^&@L|4Gj19WLn{9(WhY)5l1$J8+ zoOQ_DD`49b_Zl6ygKdsfH#r!OwHhp-H0*R_xc!4Yjx6`|;Jl;C{cLd2QR{v=_}HN& z+i*;}wfn*1pR|D=v1e)d z)Iq=;!yIO?5AEM`hmD*aeB*GrW5EJgzIM1g$jo&J$$4`)SSA5ynI{CtvnRqF^oor5U%#w@dWGV(?C^^j$>4`RHI_AlKb2eDA(3}Y~^_lbRC!RQSp<~gLXfAd< z_N17_j#W>(xg6WUEXQ^**EwV!9@tp}QTp6w@#L6Q*kWcK_P)8r@s!**x7(LV8EpY` zm*WMgp`+T|>)7<fj*?;)FUzb z9p|13^R#^(eAjKia>tq<;)pUY*ss6>OAuE&=)dqFT&X+?ToXL^!3%GoUUfUJp)x?# z@~ClrfcLJ9ayoS2m9o*AS1> z{0w~EKKKUP-~4hk9BjTd8U^*_iQVJzfMp;D&AT|NX_<*|8TJLPhn@$x@_1&<2cxl` zIrH&o0(hT}^ngZ1?+nVmmCQq$A`^JPC z^sj~WVgo9h(VFARn=s%t^m}4!KIjl)+He&@|6Nxx-9OQaS21@Kbx%8%VdQ`qwwAcW zWRF$iDu;@C*S0j#fn&I3)mi~^HDl$EtSKk!GvGrE+tvtu7tN9auI+yILk5;B|9ihF;MiHwp5EwcGY=V$RxU zFPV5`HG#ZrwSxSFlGm{eb@klUPWlFAt}e*0Ojj@IvpQW}9yQ1V~nN_>-&%;`XWaxoa9C0l6N6yy02^ zdE2#w;~B@cbh}^u zlF?~z*igmjLvQ4eVsyb9GjxA+$s0eU9$oP!4H-t)ys1O2qt85sp^nj)-i)E{(JgP* zP~YgTH+RT1df+V>vW^~m`9sdpS6<TrjyqrZR0%u{7C0`j(>5a zXFT8k75a(X=LmRjcn?^BBs?mwsfXwf)lye}+9=bU%b z(&l{R-LZ5!m%aOz9_JHMiCd8So$KBsi`n_y8*i~WH@v47mvh^DZt*$yyl*U1&O`6D zW!8D(<67pOXTET%jly-;$KF6?X%;zJAbz@VWXnMZGEn~Ajzj)cwlVb_iWfQcI10G>=--sZ4HxS=f2%x|JWOE!SM9hweNs# zZJ8uNUfZ(CF!FTd@wmy`Ke9S*o$y#5k2~E_LmT5Bu)sqX*LO^}BTvU4_|HdPjL-Pr zjBJk2`L9QI#ve^`2UEwFC&THz{m8z3dol`Jcrq4SV=`gnX#5F$AJN~o^!Ek*J^Svr zD*j5PzE4L^$JcLtrBd~6pw1Ngm@+4#MadHB7Pg*V^PIsRF^H<&EOnoNqZCeU-E$z(Y-3ppJp zcr~1?!(YdfDr#Ojya)Sk8ve3w7h>qTGW z`neBLPVoN?L8vH19ny-v8Cn@yiz-5Y75Zyb8zv5uq7TD=8vegg1B{r1Qqb2CLVWaX z6pxhXFHko1H?S4d-@sNPKbl1M&|d>pqaUNcMGES#Uq3|u6K$b-^fPpXzKf30uh93< zZ#W43IVXto1@xEH-=)rTzQO53|Bd?F(tjihi6Zn*#63cR{+aj=(Z&fPen9kdK1H|* zALj$&zYz1BKPG-cyx@GE_!)7+`BUOo#0BTih<_rkIbRCm1VwSa67<=iOwKohz7kZx zX$blcK?;sO=)<56&X2hB+yl-8_lWxo&Ib3F++T7wxv#j_oWBna4G!fT1xExIbAAyl z3KkQ|!O~zEkrG@Lyg;OeJPi3U@x#!9&?4eTp+5^fAO=GZL;ryo3jIx3Ffkey8kR~- zg%^bv5o_W9J^VTGKf-?={&V8*!haF|D`GSJb@*%Ir{TW|{|&MGf#d@T@xKrUxe)$q z^9YoQ!Vs#c0@NZ-g$B5i;Tpst*C;u@LJU+6BnPSkQUU46nTi&2uA&{N3#b=pfP7S8 zA(ty0KqQbKXd37t5bj$5S^`=DS|guSJOg?Ov<0+V;lQWCL@^aH4O|r>xN5E%;zrNa zqhPL)YeXU37H$i5f*Z~GDd(pMaemJEIl_O_`wK*HPC2J2i1TaC8RFu<>_s7*OU@+< zCEyg65>nn<`qs|9m3wR7I{()By=ULLzW4IpRz=jk-FpWW$@h-$ zy#lNj3OZf~{Fw}8F9)_OC*X7UQxedU!d{2HTKarowFXUI%M0Hqo zWOYn+yu712sXDbfqdE(p(ucUgnz8R>l@=Kxt6CsO^3X?+VRxyl5lIbHd%a-njma;0*u@>%7}%B{-X%7e<|%2$=ID=({1RY+AtRdiKcRbo|2ReBY# zDhHq7b8TEF^=}r!-?7$5K-uUe(3jC6P!80XBlH#YOQ77~9|r#reKmL>cmU-Ej|GpR zuOUvvn@>klxt9)+jOzOUCd83%Gjbo|XyyTnL0_tG)%fZ=G*k86n%Vk3&3wH{vsiD{ zJg#?YR_i^Qr}YmsFY0GBoAq;=o%%Bt>4pz z*B@%5>QA(>^=I0I`U`Dx{gpONNoX^bVcKkEq&80(qb*d%Ym1dhTCp-!TdvH|%9UB# zI%Td_r7Y0uDDbr{N};x0Dbsc-tF*n!TI~S!9DWAhuhd$U8m&WV)RM|JtzX%xou=-? za*y_*vR~uFpymT}QJOWUN}J|f>C(nhI=l~9C#+8(p4OEpUud5xH?=R7JKC)qU2X&G z5r}>5uF|Jn!1hrdX%CdA+T(^@orl$n(&dfzwenhfN$HI3gZrmISC(VUYL}Gr+7;!Z zc1`(M^MZPg1)d8Fuod=816PL{!nNVpJ`GV|Z?FaSr}A9;O1TQ}fOlbkVOuuDYF4q` zDc|nV&tQ8sB~iB%Kq4PEXVqT-5Tn&TZ7|rHyLP>2jz!V!JY&o!bp>(d9R^ z>+Uvm=}K<&#B=D?NifI0y{+qw&Ws&y?BQgt2Q*I`ELv5ALs!v2>J$xr-Tj7Xox0(n z&d{)+Yi(H4bu_H#y0QIm%r>m)`Wl|;OgKlV_yth&f2p(LT*33c;p_DLZ}|56=g-a; z=TqSP*=w9%RO~apZP?N|)!}sCZGLmlf$l-Wv2I3Hp^s51^zp2{sJLUeI?Ax}&ULI| zjp7OK-uGM2CA`b2{;BudU7c)rrcN`wyt&RaY&G^6vhlS#JK%nZdv%^+m$fr%Gd70Q zg@yxlvEi7###}8nyrOt{zdZ{^);w$tu z)vu!c$NDjltJj)SZgTPD{ke*{`WeL0OKk!b4`(!I^ODVB^%ks?Fi)1>qB~Tj>rPnzs(89HRgUgLm9M)BtY@mbdO}sA4^v6>k(3Uw zcBqe=dFofqIjZ~mB$Zm9itVN{=rdURsao|}_eKU8CcRMox;bBc z*?d=J)ytYtb4gQ3v!p4axuPk$S12*j~X-dr;Sm8qsEZjXwMsv_qmPx21nzOfy6#&JU2`?zA-#(yf!T0dWdb))Ytr|$<(~uWNm(ea}DdM<{Flo zoXzVPcui>XG(T^8(7b``Wz$UaHm*TUbIp5AkD3p$&6}2+Pq0mzo;05|tv6p#xy{&! z@;BI^>3Q=N&Luo2$_}vC#6D@-FcMAM#xQJ;radE-r%i{(7@T8GC&qZJPt%z(sp-O) z+H_^iV8_-F#w_e7O_(tk+XUyRCem1-i81mu@kXI0$tc5nB>X;2s9B4d2+N5gI!+GGh;pO-;XXQB!5? z*VG!#8l};u(HLFSJw~HX(`KB~bQ)(hJ;r&kvkty^g1A5C{tZH*-wyo{1%;l4o>9No zmHsb$qBD>FnL4?tCVm#w!KtCnWB!qQ#Qi0aiceyQbo@O_d<~z(5c$+e%=f61n2)HF znD0|3G27Hh%no%DvrnDG98f1QC)7#I|G_6QL7&4XF+uV8Bqk^gpTq?H89s>#;^C8+ zpgZ^^CJ29lPodejCksWLsHCW~sHdpE$XsMAauxZCrix~Z=8G1K9v7_^JuP}sv-Z|Zj^Dy>=XddY z`2&0l-@zyOe*QG{V;bNOz=)VmG2tt|iUO7a_>_R#37-0+Ti?B%;Hf`HKY%aZzXAFx z^$nbdHqhU}SMJ{feVx<6`3MydKP385AwD^SCpk!t>H_Awijr^U_4{X)_RhT0U}oh& zb#PZWKaJAyN1)@MfQ~7kV=52_r2*jxOGiN{1Bi<<(HBuLx`VRd+xyEX7kvtJ;-gQa zVxUM=0`wUq1d2jppbwA)NkP*xRE}aG7Ud`wwE@MWcA$TQx`7f<576h)Pk<6(?wjaO zIiKO+|N93gq$8yJ?OX+|L0N*w@Qk z4Z(JiTCgWL6r2do;O+~-m5>m03&Vtw!Wdz^FiDsSZ3f6$!dzhi$b6wtuq~7c)`eBV zS|MN1Drgld1${ydjJgdY+>o&IMv7+$kHQe#OOdcgXcR09`-NGe7CaWnKH-$m4EnT! z?ihFmG%Zs^KNl~U5zY$dK_84Zp-pfCPnm=+fmIj@d{Ouq=6{6&Gin7bR)tT6FN6eO zQ@8`8cEbqqH+UqBp|A|Pt;02d8hKxMB-p@nX6Ov&3=p0Q&xLRBxa?b~5m{gz0K#kF zP8iiG;(|``EJWd=D1k?qB#IR!h>}HVqD)b?C{I)S=zb60*S{_36HDIF{~f^3Kxc7iZK+Z)C(NKxs=?8Ky;5o{Z7c08?YTWah`wA5I$A{K7^VHC zX6&_6Td9kUO)TRGD)p64mClyVmoAn*E?q5sD)yAVDBUdGVQo^R7RpNZOOI%OmYxa@ zg;f-=jUeJm&r9EwUQ4)=aEOR?=nO0X`NmMm9RAmht~RQ%wWX5y|$EtAQr1Xfus<6idWFhlq|wqiX`(bmnl9x@bENsw zyV4S=L|Or)klvT7r3PuM;6&OX?H2Y(`=lnRRqB*_qz|Ms(mClP>9X{RbY1#fx*^?` z?nw`!(uT>VWV3*I>5242wkUfHGsAUdMzA4Ul|7Y~2p~tGGUIBTOVv}T#X`R9g=|x{ zBiol9$xem2vUAzFP$_#OgUVCJEekJ;DvK57mL-%WOD@aO$}-Ec%ks(!fr@3uGO^&S zth`KKR#&Df)0J7uS|IPX%i1YFKy@nXD(j_e4-r^4Aeey|nt>|34z(2CE6StR!hZbs zT>mS%;=f&}Iz^nC4&dj&yMw+|byU+@bqY8Kya8O-wBF+a!U0i$SU>_G z8IT6Z1Y`s90EG-M28aRW06CxzpaSRsEezTLU4UM|0KfupFfs}7Gni)hL%;%HiFpp+ zw*puLJY#WyU`M{f`)&GjqYZ9y5Bi{|@vr`UJv%3;2Dn--Cr)xCFeS?IK6C z{&K}zy|6Cw2tYLR?iS`}*8%*Rv3nqn10WB8pZ1G9j`6`7WA6jnpYo6Z`xi2{3}@^a z`}P@ZFKh>v%M%%W+XFZrFC`#OEN?j#8>}wr4CgWSUkKoNj8BUhf3lp_y`1qcaCr_h z$AtjS%#G#pe862mNr0ZW=5kxt8=YA@+~!eCOahln7(4lY4^Ln?&XH*btUZ3${BPUg z_WWhe5UZ`SG;#QGI$0N&e-UVLY^#7sugu1RMe1Dw(UVhsT zY@FZb0X5*g9IqV@-@|X`$S38@ZO+y;mfx-|zpK`K5)Y_F@8zGAqb$ek;mZJiJ3sL{ zxf{R({ANfD5n3ar;OyY+h2y?D}Ttn5< z>Q&m86kagcWbCj5*av{^s!tjHX6cxyK4<3sh5`6efomzmfP(b{_)`HkQ$QRkpx!Am zX;3x*T@^5Q1@_+(t*auN!3qs_&r0n@alI_3=ZgKQSgU!v2X@rF-5Yn&F@o)LvoAhi z;_?}72gOU;CyFile#I_hqrmY7YJPj)&5X_3o3iIFGd>$fOw1^-j>k1O`)10XK6)H% zYpg%EwE}Wa0efZz?2&6A2Wwz&S_6CG8rTch!2Y!+k&dMr*kjhf9q!2PL=oWUR~;5rvjz<|$;C1l2t(a+;Psvkd71*iom0UCgjK^23H z06DNPBj9JOjK^zZ#_nWvWzhrZXJBSv1GoS_RLFb4o8isz9`TlWPk8IR=e!NxHgAu2 z2t6l2hrBcBxuEFk4sj>!PUM{!kmBzo-ATQZaVP6e?wx`=d>|o^jB15)BrCQ~k zc-|R2p@DZS-!a~41L_3o0m3ID9}s*3EJpo~DTk}%{znwV{fPS!`eUw}>qW6#KlcIp z9CwO4g%Y?k+*$N_>bH`UsNYIX39Sshhwg;dhSs9&&|ioC8htrT99D{Q!lYqRlpFrj z@O|{v@PqIJ^zZ)#-^AfWb9B_dV^~ICK!}$P;4#Pn+CToNFE5-I=+z10n8z;&y=T@o$|6Q@?c{PW{&Tr@3QX z62)=?|okLI5D9k#PATpD})eY1muxo zGQ@xoF(NZb<`EDgQVcObct6AxBO)RqQlwliA~!_@#55uzrIb>N)TWejDMhM?h+L$U zQbdXrC;zp6`y`}zZF}$czxTV}eCv1CtiATyYp=cb+UH~j#uXKvTz_<35Gn2gcY#Rt ze(e2NboYMZ{e&vn|CC*+9oH@jlUA@mv=Abr;vbT%_yAXJk`Gs7(lMb=swX-u2Hmlu zVqL}2jP#83)L2G(#Ro+1^*%>X08R=ttRm>pS7?#~}s$$0^AGK}hmr}8NQWD9xRwNRR zM~^Jx+S7GQ#XRE5A@dX0g{{DH8p|>Zh|5Il0^%y+{dVF8LbiywS>T3O?3SBxIRsuHgTy-2>Zg*GUDb#udLDDKj4hUI9GTZ zs_aif%?zbXSn9+VRwhZZn?~n_@boF_MrWlBvg=qGmM4 z3UgDj8r>AD8{SWDiq#GOZp^63gBzA9!`_3nE!6sVIR3=n4%iO~VUM-Pid*c5?Qw#? z`RukQ*b7Ly$bMF|wV$(}6CLaq?H5I|{gS;}bhOvnn?+aqb^C46+umjG7TL5%d|EsH z-&4e5(Sc5%d?6oeiE9&;A8X=e`8J`IpLX6;-7h~UMAs#Fuce1b_k?Pj_@1Kji;7_9 zBH3lKTQ|r$1WyA;5Q{`2)a{EpDP0DRa zUX5z9-o~-sg+D2N(e*OCv0@pP~L6>r8iJqh)Vlv4hO)dZZ{Al$0 z|9_T@6;hv%-xcPFxYZeL z%Kze+9qdON4mKRL%Yfy;3jUsxJqi5ehGPxK?5V)%kjwzi0?vkfF8F!i9~Uh}OM3w% z%YZLH{v!Al!0!QH2EGEUfzAf-uY!LK{ATc5z`qW@7JMC}XhmM1YB)t+^L#2W@+9!n zfir-!fOCP3-Z8%n_#$ux@O!|QVe=L68-T9?w*YH_b%>qjjE^3amGFS}=xj*m0bc;t z0AB@e2EL9yw^Q`lUh{dV8B7LF{f61hZZ@ZRz~*y$;h&gUO|$vE+H97ao6Yt0ugzFe z4zk~xNTf%&ID0wv8`P)z_oo{XM_n?mAbx})a@sH@$4Zl&Fir=X1hu^5} zkKd>rfZwRi66fgkZE>Dn1I1tHb+`CZT%(iyI=%cNMz2Bm)!JPAYVAGv)!IDikzO%a z#>qHwuZ)-RVkmyWb{KxaHea@sEyaB@Q6`GvGD#+h`(+#1Mij_)vYmK9wwLY22$?LC z#e=ew>?B6YF0zXV$P}3(f-+U6ibDM2ZIK)x2Z&<)%56yAEAJIyIZO@{5qY1yPekSY z@_tbwACM1-QSw3gpcpL!G9Vt3g|bkLk;SrDjFn*-7Nz)A+=ub2xa07vxR2mhamUMt z<-_7T@)7xnm>}sT9+hRXOq9uTSuQ5Z3Rxk_wnmnc--!2cf?)GjaAj;?=_1K#NJwOIwzaA7~(a037IbGRF|*FSA`*8 zle>jYZ7Dfm=I|-F$_VRF^dhX+i>NNuH!Uxr7g!AvW)xY7saV>2k z8EJ!m&nt8%uDBu^Vjqk5Mpw|aE-*fHs_1&OPoO+>Hc&}KK7!cCl<=}(FQU@$ z&fo;1?csAwg)Jhdq-wPmMa~!1 zN4>@FXrJO~(NOWh=sKb;@cekby=boK(LOv@U>5nmlVYA7UKy+i?<_h&U44OSM_%33ehuxXX^up}c*=)!#1jQusvL3VUfw(ofs4dn z{F=@zTB9{Y8)=<3Vy&3Zr)wikqjf6{?;+YxR8Q-;A8R=W>v=JFAfnOrN^n=ijqBcs zo7OgkasojrQdN{o*UNMrO4koU*NO&)`!=q3Q5;=^y#8T}-mfD0lA;1? zPa=6FvK)CfKe7wgYUjF`uaR2HtGz{sqb+E!bSXL=^%CEXcwf=EsF!mbdF~F+qTHNZ zyf8YWcnPiRa-vm4%&#S?Avzh|QG6!4yZAh<_a$2IJ+!yD?P|nb9ESpk`}d)P>fE))kaV{200t6`tbtjjRRj zr24Qw5~H|WE!q<`Xnj)2@64i$v_AX8Nkv!aS|3j0HO0De?W01E@ANI+9$iFrWGVJ35o+Ta?9o&7z6)w1*YQfVcX>~89p@MZ(`n866Y=<5%N;*Z z7rCA{Ub#j#QdTo+Rwq&0xK2_{=UR!nJ|bLM98bAW6dlfWlk25JnOrYXKdDYN*3ia! z6xc>OOinHQhjpp3=H67FxGr(6appYB;b(#JXx{1J^+X#f1{)Cv=10)Ak^b4*GK6B$ zNR2gxX+yIs*Nw(=km^TMJvr-~3yt;U>_1sgEa&QT>Wbym70anB?QhhT8x+}IbS#>P zIzs0P*Am2+h~%9bYYNtl)(kZzFFK?+pXeXd7ObgLPq0Rc1~T1TPn`YV<-fZBk+ZyZ z>9pU|Z`$up%!|@!-hHVyouzo)yf2SMGyb!E*_ii%E8#IrG{$@;;>R-u+k~q@&b>qM z2<;W7g)}}<;5yYJqF^%lM-=EAdXMN(<27VN*M+=PZx2(9h;DvQb@ynfMf5277Gx^k z6rBgEjV@pcjifU^L}$HoZRY1ik>*>0luFE1vh>kbv1($>m2bUAAB3etdBz%nM z1kq`dpFmqpQ=8&95!Hqd;~q0Hx*^n#s1s2t=z~xOUHj0PN#`Z4(J5XxP>bkUq6?wX zv@T4;9SYqczxQjGlB)Zy`MWJpF{*Gu1O)csw&bGew+d zzUK*Xi#Nf0t4Q!BdfSSY-uB)OqK&tsx3g&Hz0VsE9lVct9~G(Ia_u-_$}8pXo!g7YWXbEbY0mL9hcw}X4=v$CV#3`C*&@kz*mqd8@%`3!RJ8TI?|Wa|?u;$v9!l=BtSo6D=cJNwkJ&J?T|D z{6<`5KI?3yE9-3|qNo47Jw){6pGQyr`77ar>O)WedB+?&(d>G(;MgDr#XR!N*ox$;6BbmPuBnt9rspx6BpoVyQ^6xp#;pwTppu}lgOSFn;Io^wc zQsS35IunR0=si8{7fb`mf+`UD7tDc8(Q6N7fLkQ;Ck_5fgF5-I4odaM24x7te`QdL z|MZ}i{&R!c_%9AhCW*tL<@%uX|M8uves4&4ANKNJY^2<;jls99dWm5|N>UZNcI5h$y1D6vGsJ7X4do$Waw3p}r(Lu+LBaZIzX8lioorbp)BJ~hmX?OP+Lf2HH z3?+lSk0Z+>@)Helh;)VzSA8;Aobx%Ca<1mY{D$A_Z{bh$xAS-Mr}{Jeef(K|KhY5XaQ{euh+d=p}k0RUmC*Pli-}kxivbe|h7s|K6|A#%3VyU=_zh#z+ zR#YeQ9inU=OxZk`vUxCN^I*#6!IYhYDVqmVCJ(MsG;E$jRO5$DCMqKuM?^JuSP0ZA zdtUZ}>_yp2vsYxV&R$2?4cS|=>#}$7FJt}fi@)>c$?#C7dG7SwNp+m}yXJX<_Pg$F z<831h+T$IC>FrEA-SUp`ju0;IB=02Qj_*c$+!H^I_PEz~%6Ce{`9Af1DsJ(8=KF() z_g(N^5a04$@?8=>-xt0s;@1Dgk)&|rvsLxEz9y0&S%z1z>Ge&Ym_oZ@2VNgEzP>?Q z;uCKEdwKi+-gB%DG@ao|#!f~svCL~vxmwDh< zp*5a)y*u-|0nIg#Cxgc~akQU+PXT5DdjRud*<>vpIs?&bBCryp^kTW(2u(Nm7!dcs zawzU#7w~@2XNwV}Q_H9yMJw)a_52uT-7aVzj@1yqg?XzlT7Lwb&EMnEe-+E5ULD}c z3|Je1)^{M`&oiYl6OwN+Hq6Gj`LR8)e4KZI))9!$pJ?6`J&o2;TgIs8YM&CuLDCV} z3wR9j7R<{$NJ85C#NV#}fiMewPXfCG-K?)o=T_pcaI~4%vLO$_!fCdkZD!s`hU8^n zrKD(RkAd$d2l395=V_D%jp~IqM{CQwhCP>ywC}J)Ql7{j#uP>^g;D+qlCHdJ+Lz?R zCM}Lv4Oj^~b6JP1-HKiw&Rdqt$yzcrd6XU+-OuZp8pk#VI9Q`_% zlK1Ge`}Fo=5=DEPK9czL*jXFQ1%zLjtq3ofW2skxvzOOVuTPk_ zV%VWi8oXPdGZd=Ye?)w_`5Ey? zSgv=mc+ZyW<_y z(;D)pXx~tlvZl5RqpacC84;ree@hcSe8~3Au?C$7MlArdKuo`Ms*MC*1^p{pg=1A8?=>-GJwb@S+9}imsS?>f>8+TQJ^0l9s-YVq*=wlKES>} zcx62dTmZZP>;zoN=rVwB0v9sM?Pz@i2#Ko$@b|z}o|je0^I{)lowW>dH}EtNqqr6W z@w9Fo1@dlsAHCi;>G@G}K@za`5(b^;dahAd#1Ne3j0s{8ETPe>xK&1C{B1Q68w#5icu2mw3O)_E9yk(+)&B}} zj4cK7zJAToPX=NYVkLy{$21;`k?ddLlMdCm1gAqC`0<$g8St;7V)uvS03_Q{$@3Y_ z!)Tobc_LfTpJ?EEauzz%5rG|OO@@AZ@OvP~`J$;4@B(lJTC*|M2ap`*x$9|=ECG(= zS?GzdwhlULRV&X_&t{Z+)wnqO=D}v1vdK}^uCh(qC%nhkz`{krwX_^#b%*8Q{Jlk) z6MK;4t8pKBhEG`YAX-1bxAr!nZ#l4(`^uxRoXabs)d368`llG(U1;5bZuVd9z$`YR z^$hqE;OEDJ9aeK9vf^>zGqC?85W7Q1R%qwo$uGgf2eBu{ zcVg{gboQAK!Ww)KyV0ww6GP_HII8+H4RmT~KWCKZpt(8LpDS$x*PlNiVh3WI`OXK{ zmcq9#v40^P0N*}?g>JDdzORVgK^8uTPBPz<$gVM}C$dd!7fEbJZDNei!7sswfQNv2 zz=GH+WDEJP_rbiT#^}CL+wbV}9pHLkgmtuyu~!IF(fS(XeHu){@mPz!v3m)J#`s<+ zo#(5yj`4l?Fy6&l8n7cY)38I4)l`u=K1VS6Y4%?$W*@}m*g0e)?|OA_`AP%dx8D`} zD`5s^+6kWI06VZJltp~*bmsUFUr6ys_UgBBh0z{l)LX%~9AGs|)gWWwXH@Hpf@h|wvg;hvU%A%SZzcvJ(L^>pHqffPxO zHtvdP*36@-NCUl|2d)O<{#L$;%J45Ss@3K)WbduKf_hDC8X@j!^@*^29hGDp&s45L z-&L_?s7`GE-q>)$p3ua~$~S;fp1b%J`!Bv=l-Q4=2EB&z%F=$PRnt*vHGBtNL*15N zf=6wXs7^A>F_AU!Y9J(g*>mwacvU?RA1%ys?PKhn+abXdgt!OtgRuZ<^Coj9NdNgnuB&}`%I zJjYtTOOci6I{|kQ5cAT5@c$wtBY}`uBhXq4PhN2M z;kf|c1>VKz8lWP>y!I^cP2ewp4>1}af**%bG$10ZA-2XZG1dg|+krC?fjnSOXew{} zz}gm!emA4OR;>|u)i2_z5J>09yfn1q&@Pca;fh#Z@%oz^{gUDkQ%K{{-+3 zw0?&8_+SmItZf5gtqqk$oq@lB1!Sg)Rkj`jUk1%b;Nb@FDuV`YaGRW+IEs(U2gobmXM*BycypRr><|7>KqJ0b=!y5OlC>G~}xGDeNF0 z^sca91I&j$a?C(>nTVAY4^8YZ?PoyPG`c~qR_X$H>>%wIK+N4#-l`cPh6cRVKLIKW z@K#qNs-5TsVl9kSXoWTX+gJ<4-;JH+X$udPpIAXtt-G4L8@=GOi5zfUMFw6%E7neb zAA2Dl2z}!j=-kdIkE8D?w5olLy=*x!gO&6n7J6%FYW%U8@NB2F?YldZKEn@>6AnIstKl&@(mu z0wa3yxqzpz_kkO6H?jsip2YQ!A-Nm)IPPH{0$zh;ICQ|fEJ(Hj--U%;jCA+K65P!i zeSj}Qau=`weXoM=ht>~)TVPpv1)KVF;3olZhXl_f#uQ))?m~YL{%P<(#poYFQVPVp z^dS7d2+2qwB-RMD*213w(0La4Ch!+P4Ty+oh?Vh6^ql~HJ8&i}Efl4Qa zUg>DXUANI5t=|K}f)Pecs=-eLj|l7Ups$*{S`oxbTMT>@I25=NI1Rmi0{$7GdYbqZ zEVP6*wK{4Q)QsZ5uZDaoB)^Deug>vU=5MiwgC};L#=FQ;BR07*SP|n8a1&awYC6{4#LAkZA;DVf$P?p9;BI)U zauxmVg(I#w~tKLkU!d|u%p(rE9~P5$jpa6p3w|sh>2KP@z6xhYd=G4H%QbP zT>y`K)-YdFd8lTAxEb(J{{*P|!b4q+qIQHAi1-_;&H$Pw1rp7H>{ee z)>_RMfBDhjr-^kpE&;JpCgx&7$3QO0mA2}9Krwfd(apAA%%0lUL`9ev@m>B3s5oh6~8>eT`8f|=?w zq2C65TZ2Ce9cB3fG-1J54W0gIMFgx?KzL|&1`61GALA-(%6<>D)&WCkMFeyM_CEx! zfhNXsy$k-|5$)+11<|uQzyj9J%7e~xu(JVjH6li_R3`ik@(3^ql1tEsWnExqUH8Oq< z$xp!}C-tk)L>B34?T(;zDDXNEk<>9ey&GbM$hZ+hH+0P9h{jq(bvPvXK;)9q8$9yU z{1kSOSNc*$FYI`>z#4Xe3lXqX4lhCLdbFy1?ulNbA;Bz6>X2f&xZ&PTv~ zkRvjN$|9BjKFHC_RI@;qHcaAL)G%LtmIs|f%xeX}tH52ra$ty2*YF8iUr06rOCjF_ z>;uVS@NwX?fX9JvGa9YH*8yh$-DXRSQc0Ksz8&}i=H*H7S>V(0*(#QRm%kCv0UaeFCPHTYsRJ$I|Cwlox<+VD#s$X9<01#V5|%1LhFI&soRF zg=VGk4)Qq*YcUe@;y9E+e+&3sys{Kk&hrXru7c)3&IDP&ty(XOzc29#^t(gnQMRnR zS<^r?^a+sM=VS|K-Lv42W2_K-8-h>v<6(J%`8({&EM!g%;?MRIfF0pOmGT)`mx4WV zLG522OX~)V0G9w~!17kix&e|r`0oS%Eyxc5S3@!v{Nubjw5FWNcS2qaNiXo@z>kCF zsa8MsADO=fxE;~k3+#+NvJ|);y`}@p5t%u_9NXNq6~>Cpc5!^LqAKUkabMfKS6Hb5(6u=Llx85&qY!N{i8Vp#J3XH$$ju zA-vcMTIYb3tYfC4)*a!sc28u9E5s7ZV5F?$v4R-46UWE6!V%L)pdPkmxx0^bl6oC7 zw^6UljI>@nyT7VkiM}V9mo>gj&nwdOe*%kgCv5)IuwgDa!v$SKhVu>4tHdmnE?n8b{ zjCQ7BeOH!5#_;d3ZsiQ%I9QEbH6Pebko5x}BFOp_PqG;CqMfV?X1X|Z zC1(c#4+>hg^e%e|>UIwK+w43t8^v1R8&(^c%|Y{heVv$)fclpM4n;kWp~UI3CfT$h zxjjZfpQFyrq-Ta}V+MT$S8c_8?E=pN@VnrgA?v$X8yV1paPCDcJ}ObeJb`*P@$V7$ zJ`|i8))JYCgRTn+pGt2u7fb!a3;gk$HB{!mV)fkXF3LRDx<`75$g#&kdlo!xD{F|12b_9~$x<)y^X23XeDg4P7AlpcdSY6Cg>toxbs30xb3 zv`Jq=ztmbS^GBRri}jOBMOW5b$UK2HoQ-f5;p_^bL$}bo z?tp}~p!-7R7|?aarb6>AS$p4Aa>8|iL##zIBNhxb7qs4!R*RUpY9VmC$hSTfX|@fz zGIV=Z&W6s4Cc~xVYzW#jn`5nMbI31?_|*}9dyMFZBel>6Ag4Cue*~N-64YG?Ab_8PP@)~Q;9VKtM``a7V{xvJ8ZV%05+H2)`f^e!e(DOQAvM&wRIu|y$D)23GcP+)@!Wn4Cy3pqm z;k2+S+uVl!KN0t}1ChO)p!o?#jL9;KvCl{kx6k+y%H|kqra?0W%+Hv<3w@vzTJyTx zFUKa4{Ha=Zery6}SUgrStBUPgZ^5hdGkJ^@UMM-C zezKCpd=~vDFY2>MqPh9F*-l0sSkc!Gcr$i^aSP~Fe;)+a^@Xsuvkq_}^8MWnDLA_w zSS#QZ2{S$#lq?E<-u0pRf(Wc=6 zz}7fBGbXE%n}eQ*`%1=IFAqF-`Mnf1$->#)z_Q5i2AqZZtOdp(4Xt480WJhSg{!KA z{~^#dK=*;<5vczn;6~t1zlWpdvjZ!T`YZ)K7PQ|>w?aZ6l;TS(3551Sr2;uiu~t*^ zr-HK;=uKEn?f2C*$n>qFEUr3(vz_IRjJ1$<5uByL^R&=3(eF8sHbC<2IzCDc(;Z|6 zjTU(fHu9QWWfj3)ybWoEAZ?o8qp(IU3T%h%SP7>-uEiasp3 z8`8EQzf5q|RrKns$d^*S1@;fxT56I%@JR4%$X_4GzlxrJ6@F>Nag2x5(W9X!6zFY9|_NG^f9I0T(P0~`WhbWt#T1Tv2V z9!9L`W~>D~b_@9V4$#kRNMj6*Mcw+Nl-_^p_c1z(t1dYufWv`op+;V30VK0DLk0QY zGhTLNf6ZAZ333E~m9h-3JJToRTCUYt@XOf?sx;)JAP2QY9WFT)L|UX7WOC_7mEi1T zLDYG>vT-esT4^t&T?}}9`P*@J73h6HsdFaw_+-kS4$8Q_Uh;uo+}fO(b7}K4Rw; z4cl_3N9}yzp8|Xm*k3ekKO(vX&t>L2{xwK6Wu%&Dy(K6+=@@zBZ=4q7`tVufjfnK6>)2I#-AV`kh^I;2 zklz*bH(cURCeO2sPEt?$$(E}+3DSEJd4}9ckba8P1zi_BTfieYM=aWyWBPR-j5_xN zo&yd?&E*;0-f$T~aJ~f2z2Mvn&b{E=E1aQp(WkM~oFp>Azta>?QVDb=>on*~I6ECU z3A#lcz}dsvg;qNaM9T^%OKt-^2MKL}KD`c-o8#$RX<%2(gN6b7^4aI)DT=YpJRvlm znr^eiPd*3DY_ks_zaMhipw7QT&)*Aet%Uvscgp;cHP09tX1*?HJq}$Vq5YsOL7r|JKnk|6aoR>}@s<14{X0-nB(6i>qW?SU6?_5$ebptpmb4SF`{ zKA;6xnwNzZWV`T0CnA&WA{XpJ_8M1mjE}RuF(JfSpHE>&cCe05?i=@COXU4?0 zXT%1JzXg6lvdippYzJQzk?+xP{xqO??6cs{q#e?iBn%;<&5*j>-S-*GFJD`%2mdc7)K3b0&q&! zSm4t@kv|3HPLWdh?sfvV0pXo)zs%Sd`F)Y!7x{f9-?|wqA()jch|-gBk2|4(O3=)8 zD?{^I#KJ0J9(tm~-x2GsdWpm^X@v0jodJVySkshIOh+jYJzvGReK#P0c7 zGFnK9&6U{}o~nOUy=KbG!|vApUP1hxI=jFyiU~ypHkM zEzlbD9{giF1N4TNL_;$sx*Z_Mt(K0SkdCwIXzPQpQ1RR2WQ-b0m1lsVWUCwgr;z_H zzx`eO4md-Y zB^e(B{e88QL1QnWfjyhXe$1YBu_P&1dShv!W8WiZ19FIDrh^@VG3<{f?B9%GcYF+c z7-QIJh`0QUG?}BF0ewAIk8zF6=3O znVA2Ys89H+zYCJw&{CB``Ff@GN}=&7L;Ke-O7|XL{&{%L&& z8-4nZWO~7XzA0%&#^3=%(u}QxhxVyqtWWJVtc0;IwO9I35O`hZ>oL*vs2rlf~vr4Qkp0aTZ)#vL1%pptFd zJY0g>w0*E+2}cDc^QWtud9;IH~mj_aMz*T_mqA2&mM%0WtQkdFNSL((#l|ub!5RJ@M!l-QY z6WQp|+2}FZ=&{-8C$rJxve8dvqo1a~^{z3Zcj$M)uno)uEZnR zuu1RULxzW20^0+VfjxkIfP;X;f#ZNv`=k!+AD#o84_pLX0bJW};Lu*-4ZzL7?ZDl@ z{lFvr(t7m{9|N8PUIyM6ICNmTNkAKz3m63!1Qs7SVpytKnv3dXRshxlCIOoR+XItR zhmIU#rT_;4(|}`BhxJZ1CjzGdX9MRA>DyCh$fYSH;W{v}~XT<_8uBmKniqVO0Uv0VV;Pj~LQ>xYZ7r3`_wI9WkO>b!#ke zJa8&-)`$_+>soVx3xP|4s~PXL)&n;Iw*q&K7*V5|wGVg%cpP|c#K@Ep))XPv*qF#GQch}eHl$vcYv8ckf2n|*7Z98~B}a`YcL)v4y69D_F|;b?HK zU>K4$1q2Lk{FTvn=%9H?rb#P2|;!KNBpZY zjQ@ag{+>TM8kF}xV7~u=^>B67V8m26N2)&XUSLCDbD+FoBrmw?^4WUK*MOsU67w|T z7~X^2FGH0M!6OL?`gxZ95xI(yIxK+4Ycu;t3ct&_(cy)MlcwhK<_+t2$>6(Sj3T8dCmD$Z4WR5jwma!dU)Tb|3*)F zGse8Q^xC-en*ZUvykFGCJH&ZZDo^cj+?|b+EmhvlnmwJ+H7?yRr{sjVlJgABna-Pp zlKnK7S1^BEdTdVl1vkW{GjsA6a&x8&R|!03z+ZR^MGOO9CeBMmeV!7*u~fO>Sc@Vd z`nQaVMYGA^I#*mAmtLQH-? za2%CWdesGS>8&|yTWxb(dQ;AH^^$SvS#jwzIny=jwT^)D6LR>m2F5NLM-7hXZHZDCoF1<7^y)iDmFD`v5XZqe+ap{ve)AjSl zrK54_GI8m8ap`t(>6EzisJQfuxO7}^uD?Dmy*n-)*Pit=bEX@F;?nu!(sAw8Ag;X{ z#I;w0e%UNq(qrS&qvO(>lc`JUaynHHkuNJcH=UbA%2E~?sqopaK3i$)AGfIuKiV zkDYx)&LQUz*W^>@Q?i`ToX^O1zIDE(h;zZYK#udXbB&bqPv;lP<=k?9BhQKPpePYv zk+?%Sss!a#B~?YLtZJ#+bdO3>Np!Djq#98@)kHO=`s#kwobFRCRZD7!FHJO3ZB;vJ zf^Tm$Q;(=e=zjI6>PgL2Z#95gsZ^Cp?eQIs4)_j7M>Sr}qE2eInoT{`95sh}skv${ zrKovo9`#o9)qLus7O1~dU-hzDME%ub^(qZiuc@UpSY@c?G(@dbt7w>7t=^-@)%)sw znxH;VAJ9a#O>Lt|YKPiElhudnBbuW2sJ%2z?N|G0hB~AU(M)wj9idt33-u*EtG-fS z(HwO`ouKE{*W5C5)oFE_UQlP%S(>N5QQy#u>byEn^VJ1)fnHKSs2^y7x}+}A-_?)m zM_QSy&cEmGIiHF`z;Q{AA&>ZZC$OVlm(8@;A(yACaLU02haZfUnPZE(xD zW#}EZoLinYx;5PTv`M}}LOb2YZWG$&c6J}8-ENwjM*H1#H=Pc+qufz+&>iiLrbF&n zcPt%t$GPL^Q}=22X*%MLcPG$i?j(0Iec?`Zr_-12Om`NYaG!JM(AVw@?mRl}zU00{ zXWfPFLOSQZ;=V%PxJ%q6^sT$hT}J2K748c9&RylMq6_Y7cQt+Qu5s7U5AIrbEnRfi zxo^=WcZ0i;este+H`A4bTnUBgs(eqxFmz>I*$C^Zx~gI7>bkmN>6*HxVe7iOo)OUv zbOXcHNjk|$(2aB>BbRQXn;5zE{rY}G>z4Wf!_#eaTO(1o*By*IbZ6bg$fuL_!$tw! zUH32w>7Kf$QBX~|`QBFUrpEb(s=k#+%1-(GOW>nP6^fIHiUaprLb@WQT(zr*z zu3tCm>VN2e829S6dV^6s@-6 z(L(RmyN#B5kKSWEp!ey0Mk~Ev?>AcOgZiM+MjzIPjkfxTK5Den$Mjc5Cw)?%G`i?h z`jpXCf1@uO59y!uPew2OPyJ6LMgO9IF?#D?^{+-B{hR*H=&Nt*+eSYf(=nsJXLyD& zzzcg}W1wewmNCdvUM^#>r@cFjVO~BjpE1%a;1w`Nd4;?}#uHu#$GF9w%68cXDskKcpZ&} zUKg*cvB(?jr5lUA*St53RojIIBT=Wt3V;{4{&(p-hj!wL;b4S+;zKR=!AE8nvj5@~WbYYN%-?J%X`j za0gPqDk!%)qzr)+jsU6>A4!d1=@EQm#o^LY@gCR0h#meN^p`8$fg%N3tHIb2=heUO z0rR0GYL?iZqr|bq4vb$Vc4R!B*opB(VrRyaiCq}KPVCBfD)Ax4(}~H9XGE@>mqNxW z`qa7V>~;3&%FaF&az55oof~YaH91mz>U_p_dN12^Ze5RUHHmGsiN2pB#s#*%M|BVN zFxy{>b4~YmesTWEmN!#1P0(x)yH#t|mhEmqf~SwEH15IQs(xyKeoK#06VxO%MLi?7 zsb;cOPGM`D&i415`ny`BUR6ugaqYt%y;#4hmn7s#NKA+(+>wwsAzwm% z{Tf^6a<RK|b$Y#iTW?^C+`=}voo#OyTiZUivV&}0NAzdUj0MB(I6r%xmto^jdlCypCSi=*H;#(H+r`qI;tUqMt^;h<+74 z5&b%Pn)}66j?F)F-;o$>AO;sk^ev6(dpAelCR7H|w=AM>d5*rVsDf(8(YGSvZY9Ls z${ct5Qgzi|^`}~hzO@l|>u}s1&k=p1nn-okWHp)N`cyTQ<`h$_){f z8zCw;MpSNssN9sJ@&bVc@-6Hz$@QMoswavwzHzKF^L5S0faDi1=p_d~< zuRw%e$q{-TtwMx;J)uxSAzH(+xjenW@wFwb<@nl$-sJe&p4M@E?M!cRd`+hH90~5E zw>c7&q757g%F;U=2`bV?ud-K}-sN~uoi=$jy_)o%SKF&in>jYzOYd`RxR17QY-mg$ zcul>gwAH)cyPvjsExZ=A-Fv`$fOc@qXiGaeW^|wrIc9XBUC~X^P4rQ8b96K9j&6-^ zrH`XKqdRF&ba!+&?Tzk>?xTItgVBTZ3BE?QKYBEJlnz9XM~~CN=*j3wIutz>Jw=Dv zpB1NB`mC;^tLYlLmaeVq=zDa1eV=Zq8|!Aeh4YQ`o%4fpSwE&4>R!6H?xzRpL8`u< zrRVGS^~d^0JyE5oq54TZUQgCj^)x+0&(?GF^Lnm+LC@1K>X-CVouOCgReH64Lw&67 zQ=h1V>QnW(-l*TxALwm*hyGB1r1$Di^Z|WHf9gKw_H=u>Df&x&LVvAK>vK9&|E#a+ z8~UbOQGe(@qHpQnb(Tk7$TL0Li+GNg+nw#sb?3W(cNe*@x=Y>VUS2Q1SI{f$6?NbC zit9?cwSG`{(huoJypmoSuYy<2tLruJ8hLHJ_FiW%Ir?t&gXs3?uIQfV{^;T8=TVuF zj10Vpd=Ji0T4|0kc~oAWQ50go;;>&SM|tp_xB~Dlh2UKZ!@Cqw&DpyYg?A|i?@}Co z50bz-Ls1&!_~SQ5im? z3VcRY^&b0-YVaA=;WKK$XViqxs0E)<8$RP6_>6nuGwQ)-)Q8V#0H4tiKBEzQMq~Jl zCh!?e;WL`SXWS2;(HuUb1$;(J_>2eOGg`rCw1&@U1E0|rKI1|7jP~#r9oz)=79HU& zI>B3XhPUVfZ_yRr;vsm5WO#^&;UT)gLp%Zx(H$P*QFw?R@DPu|L-d4)=;cml50L^7 z(HkD34?IL)c!+-R5dGmH2EaoMgohXe4>1@XA{8ED2t344c!*)}5X0S#>>(b9Z%Bi0 z7y;jq4&N{mzF`!6!xQigqv0FIz&DJAS9lVhU>rQbQ}6^&!{Yx2iyse*p8$)W2#cQt zi=PaOp8|`Y3X6XR7C#LZKOGi70~SA%?Yjg$tIs&UI-fZEodeE6=dg3c`P})!IqIC% zO?7kUoO9m!-nr!b=wv!SIahQaJ;1rHN2|uFnQEaPP;FFq)k_W0WA)$Ev+6nZym~>s zs9sVF)hlX=TBcU0*VSgVMQv5v)lR)e?N$xcK6OAHR-fs2^d@yo9aksSDRoAjQ{SrZ z)c5M5x~wwQ6?Iiz*T>Z_>R0ubs8en?cZ56AeZn2%KIuN?{>`1} zPH|_r&$`dMFS-ldm)*tgYi@?S(tX|ihx>;6rn}yKS69@nbUWQqch%jzyS%%-@?KT% z95 zGhpX4Vdt}gf9vF5iRO5M)momBu|;Qc&u*w1a?if3E_2V$RGHkfuc#|Lx4NpXk|p0} zC7bQ%ZF!1D5&x+d&p9SA$0Rk0ES`HzAzMA8o}mbj#A}elqw!iiv-;P!REq{r&DzLU zSiKj$CHVj7zmX*WS;l~*{NjcZ> zV9=>$qq6+RbV+OWC5faDBF_ ztz7r*YCF$FcdDJ_u&=tC6#J{PM0TqE(caM@6eCACGNrP=0+=-=qRwZZ9_#^oo1MsStay3RIZ= zS9>bTKCCmz^US>e!xw8?tJYlOnQA8AtL@s{vX1L;%W79sglA?CagE<`-ytQlQ*sl$ zgaTaG4!Q%E-9>ldvLDtDbJ=`?%dX^A;_b-3($UOg(pbFUG(Ephc+MS%|n~# z`!DL1%gx30lG-__okHzgu3Z62;CWyLDuCJ*MC}Tpc7;*9BB)(a)UFt6R~)swlMHJR zS(L{p8LAa-ZYFar>v7L&MIEUdrO+Tsqp>uRrqLW)Kuc&9t)q>!m3GrXI!dSLTe?iw z>3734a@lJsY%jH!*>B>QVXwn+x&0Q7E9~`rT*lPfI4-j{;Fw{*gX400BaSQVcPYfj zO`OVL?)Pw9W^cwZ!+syf<@OdFSJ)pgcZR){Q_Goq8;;BD?Ko!GJ8)cX@5FJ1{ULKN zw|8-B1#^Fd<1%|Ujv4mHI4-yM;JCux%iJsCG%|pO(`Xw1ztYG)-1$=blRz{31I-)= zG;=V}%popkseL%m&!>T2js$x7EYQp6fkwUvG;%c1$d`dejs+U|3K}^cXyinok&}T& zz78~UD$vMjXyi6K|D#5}3p8>e(8%|JMt%r1 zaxu`zC1~VQppnZ#kNGjsOlF{&p90NXL67-4(9hLCKi2}iTo3f}&p;zL0*(9A2jF90*3|}LWkgt(Q z*w;wJ^feN(e2qkG(MTlXYb4_M8i^=hBN5lvNF>46NF*c@J z$mKsYQY_F&@jxSY1{x_5XymRyBPF4cQh`QF2O7CM&`6m;BV_}Pl!Hdf2O6mmXryAG zkxGF^DhC>=0*zD+G*T_lNcBJ?HT)hEsTpXdmh_lN?f*mFcfduFZ0lDI15B!}X+T5- z1jPUnhM-_p6fh7J5L7TAK~RyLRRjeiCR9{J0YybY%o$vBj_ayx7Ev+hRgCX+iF3XC zcJJQ%f4}?g|4~1Bs;kdb)pUQ~ch2eQo^IGP?Hl%tQ^THd{cv`$ht&mCB@Y@THBV2H-_4q!tia3(vZhvS;1OAlvAH@|BYiU!Rtqfynxt z^gP7Wx23lsn!Yc+57Bg;v<{+aAzErLeItDXG4(s?JLC*mix_o~!5?yA&*$yQp3mEh zJ)hT=MF(yyI&ha+%G#pd?1{a7W&Ysn`pE)ifyh%f5@Ihe_6%Qd$amr)UQU!HLcE+T zONMwkRhA0za=I)X;^hgl2@o%5%ceoRyjZpbqT>SDGKh;;$x0z2E|Zl(EWBQ}9%A9G z;6+D*7d1ek?76_PkQZ4&^s3@i5VyAD+Ckjv#d$;A>cjb>6v&nWP#QOc3q<3&(cEY> zkv&H^Lpa-vGPxWs2TkUtansNgZWcESWpi`6xhO|CvxBB`i@1C=om;{cpqX4DSBPe_ z7-tTPaptlZXC8}j=5vR+!zhnC&7DRIxU<|@w2-^ZT}F$z8{7?)&)wl_&|>Z$_Y^JV zo^!9!N)`>RWzkR>_ksI>)^VS>PiQ^&h5LfaDWV8fP!ScO4OC3UsFF$`F4#!*sXp3D z4X6Ry1{q*;v>md*R%j<}3-Q8k$OWCzUdRVKqiVdxr8Y_6+6Q?AwWOX*fi<5z+{Vf!wGY64BnYH~Vf` zgxUzdrlg(Iz>5B?O;39^w8g30MCdf+3PzQPOe1g*%~y9u{)Qsd$z1X zR)OZoDrJ>uF3Z{Gv7951eUH3=F?|td!`T3v1imlf>^Xbjlq2Va3RqsUg5@PeEH5c$ zc}WS^lj{YHbK~4mDc6_lht@ECC}aAtp6Npc(}zlKI5!+^;)1zgw3!RxLeUm3oC`TA;+zfPp>B&KEHa8m` z0#(UJhnaeuX6kWPQ>0f+kzO-JdczdyEmNf5m?FJniu9f-Qa#n867+#( za-XRl)k9yHI(-$?2_tGq4Kb!h)Ch}&JP&IKdWFS;USTaE=fe_eOI27Vs1{ZTs)adF zt?pPUs20`{GD55;WQ16s2GBv+0J5+|Y)F%7GHyy!X(~3N=`XqSRNR72r_-@Hok?fnmNb{<;#PDHor7D`d2}9bL-S}Jwt&oj zA-1IXG#|I6OXw18MGI&Fwx)%&5ZlldbOp8rMH~B1`F=s0q|D#Tm>wyZzb8zOIOgw_ z%-{3O-|H}cugA1WpJ`KLrbh-$gPJf6GGzX~Df9Qun7=n=O4OPuQ5&X27EFmOnfkP4 z>SN8+$Cl}f9n%zhrYR0gI~t8t903Cx!#F)yCXxR=Vfm&RC^&b;_|=EWy4FP_1?cqa4WQy34k84q(9 z52rF7PGgLk&iFHf@naROm+0>|P6p2Z0| zEKbn<;Ve@d=JkcUk~EM9@`UD*cq9^OMrlTUn~|uO@LXMyo5&qu@kntz(h#SLQ&A&v zfw&Oqi;Ki1sEK%$coi}duNALD#+sjn%W}2Cwc?Pu_CW0bWTzdZ9fTaUpKCuy?Ia!& zPt;!GE%8RqvTL&25UbY6YEU;A$q>mTrIOW>HIlWGGRZp0dP%vYLb5@K>S4x4>|Bls z#2rf{izSOB`I4oQ0?9H-p=7yag=8UiVxQofod*;de5L_NxVw8kyJC2p@H6!AiH%Sz zq=L+;7xF@bBqNbn94f_~Wl&sAx9>?pAb9ZL79o7oY8=L^agA+)C zdvJ#*xD(uUaF-!C9G>?*=hk_Xr|N#Xb^F7v?%(WP{a>rstX;EvPj?hyy^I*S%|V>9 zW<+Ss_mI@amy|Xu1NQ1~2Mq{**aR4uy&a_L0*G()pz*%7*zms@dB#P~_$ACL)vU@h z8?Y1GRVWp%8qK9BsQS=;aVA~9Bo&t}$}UEU3Ejp4yY;$jVujXV;8er8vUtuuu1)p0$)9~(<}ub&HV=w6U2&6svy8R(g1H=F z7QhE@^pzCC30rG9+5V0l#7>Ycj&_nF{Z>exy2CK=3*<=+T6s}GivbiGNVzVTtnk76 zl^2frE}b&rZ?T$9497TV6>7IoUQu+}u#H#KyOd}fu&GRG7TOk#SF5@}s~c)0 z4fKji6AEm1$E(kFbn6>5zm`Ikr&uSdBX?$*T%e$$ANVm+eceBN!~Ac*F)GC|)71+| zWMFnP8VFH4o`jve=Anm=;Xlb`XggET&OkdPjWVEZg6Df%wd;5YTDe%)y?;Vyv|`sJ z@YqxI#M(h1t?&JMeSV67Qbwr!`lUnFz*_$bsQQyuPb~xbB#cN8t$eZRVSkbL6Sv8# zXBJALZkLTUQSwW6ccp`}?%();>a`d?lHb>{YbXa21E*D|3r(i%Fx>un{Mgu@f4P#e zrwD~NaK(kA2{&NM#{G5^)72lEvP{??(}t)s6K+qvs5rfNDJx6C&uKMk?AOE z#?Y(E%l61tgnx>gFvHQ2>+9jG$oLeGS>dl97imT~BVQ9!Vn#8e=o<6cjC4lfS4?wu zxO%+L8goVF!;+%CbgCklDQ)_M{uBhfA1GrItkSIbE9_rf!ui@V2lWLjr1jj%t9n${ zo-rIg-#248m$Y~p{4)O7jIWomvX>H=G{A5wLoM@C=4C>5tTWJG{w0|iLGMc)Ghm8X zg$!8B>Y`NldWVo?qV=TD#z2qhQu9+n5(gD>g^(>BmXIp^K_txL17O6wDZ1 z#8));jrc)#@U3QJA+;bCkxVM%Pd*nYqivx_8ZQZX!_s5O2#hiMUNRHGh+xF6uNGe| zvh5Jl5YZ545@iw_5gB37Cx7^WhEJ&QO`<9+NIL9ePgub7(V!P`xgE*LgjgmDJZ5-6Ol@P^<%if{8TDM2=F1e^s6@CMuh4TeKne zpd%RhviSp>BZH5$(W>YbA2PTH#@EZgzG5yn@yj8n&cX6T&tWT+qM;?ZLd`+HdffTc_8rbRp{V^jfHCgb z57}aIpK{zu(cACTlW(cDX?7Wu?EG+INFf~|Jroqi4C6Fu?+Ce2(oEFW0@Dy1J)~)- z@7F^0Ry3_>?E~j%;dWu{Dkukj{9i<;i5tKDn5JsXqN~F@2z=})JdgL(SZOaT#00h% z8M5-o9fdr~r<{Y_AAQxI+zx*hBD~JGDle#`LbDtwKL(DlPzJnJ zM#XJ6W+9MTen_rwMNN;i1xO-05l1{k!KMz_9Z*LeTnPkfx}S*yfudMB&(pjwJn120 z$^z8U-C3vt_)6ko56>`z;v7hZ#CmxsEVGCNh?OJ?-1#!1Mvq=&^P*)$T4o))zsZPT zTE2Wvp-AL{?;=X(1ga-h`cxbt4{PuUnI)NAj)7a_V9+^W}=@J z)?Z$FB2~nkBGHa0Kg%wV{6X;cTD2!__fy9>Tfgi@+&Es|6jD>#YDb8tEQ9mjI*Lf- zzqsjOV%ZyzyB zuI5MEK8{8r4d}m6vb~HsL_Fd1G%*K(J}BG1(|9ol(YKGb13AS*-JelEmd0xn^+OuF zzo7L&rG6w$3M^3JAqssw+V03hONB}6gH8QJI=W584{1e-BOTpY?uT@z#FJL)2=hal zrDIDgbr$#`ozropGyRxRMnMi}w1R||k4Kpiy?#i_^uVA--MmMmmdj**Nd0sy>CC`Q zl+n!<4?mrOb3{N|XsS~vu}y7-+*zkpVpe`yu!<3wrW6T{7m>FU#iAAr=FvTv>z;4;q<&TYFAyOp#q->+O=2!}P*Rug1?TR9sW9IB*cg=~y z0@4}vXyN*s5;&5lCy%XF@jw;ZWO&K)x_fz_O7TQxrN<$7sT7ky%c%~L^^)mAmOWte z+pw83%xBCdL0&7U-r&%0{x#pIzOO&$`}k6x#%%fA{iWp|Qyt*}Y9KDErs@&v$_I2f z5N$i){DV~F(c>l*a995k(9AChv7V2S@2h3TQb+_fyKY4FcxBIaz+yw>MqC~46@DF# z9?sYK_9WI@wN$-S)n55P{XorL&0g#7VR^s#;`x%-Dw?d^thKCeEZncOSk77c6Lu4F z`oMh?eZY0ybw2ap3R#_!`JDNp*P0}+YHw5y@9ol@>K$;DpIjAx=@IdwqTApP9ukXE z!IS8{0S`Bo;GWhr49x8SU~|vP+KOU?7X7&YGR$w&_)cn%nEOr&6eoK)NTlSy67QXh ziA9_E(xV+XwHzBJ8Qi5gElAFNvvCKNlIhdlOZ9NE;PERWHj&^ZsdPR7L=$LLM@K#Z|r<=g_AP7hc{0zp5BOAw6oz% zKq4-@eF3dOMt!75{TE`sysZH zZ;0=-?pWcGGpH<5`Owl+?u)b=_B#kXv~1<@r20nCg0Psfq^5pYczO3C^oHRMa32Kk z6Gmi$(g1y^)q_}fjQ8R2!Pb?flh)(Ii*s+mN*Q%U^*Qfl;gigZ;TzJsB3uzYsqCyD zcEC?#EpuB|J5~>`VoN(`^8u;qqr^hD90n{xfQMdpy}{u5`mQ0m(O_r%!8|#uN9@@V z+o}y8-{i4b;a|ZUh442-x<|^Lu_M2YaD{Y@@{lVL{%mz^{`$S`1O_6NTSTb}@3++XA{FyMcfABHG=8+@!_SPZ4zBYwr%@{ z(1Y9iFc6xB_xU5vPLuaAN8>nebN$iS$$Us9bjjqE zt5Tyk7C*z)tsU9Q%Sk~nW7B~9WI-x zmJOs<;OjbXMO2WR7gThhYv_>gMsSI1zZ@m@746BY8#*1I3_>c}wNbNv z%V3`j@?fmAw!tQ{-3~{)n%ypRH*$qBM7m5 zd38y#2>IGrOEYPGXK!cs0PEqcy5z08l+-I6-c%4uqh=jWHYI_g(c4*CX zqupP0g*UX+RSLq^^YP762O97~mZc@cYy+qO775|lE@vt+pL>|>>iH6t7IM}~w&Qp$ z&d{${MB}SNY)_H@Nmeh#U{BI6>rInVMiJmwZieD^G5UU5bBb<5xfEinF#}@-T_~xe zp_;S*l_j?SZOKe z{CvZNh{^13-)bs1_S-J@4m8$V8Bcb~rTZNO34_<{t?6htJCvK+t~R*v1~t&aL`g$f zBa{A|ekwf!9|HqG1%j*m=hm2c1(KujntE%&fCBYLiL6=Z@qA0)|vA+;Fqlo z*&%)e+t?f>eGPd!mXX!WhBf-8Z)!{R$*nTVX*B^3sr6r#vSL!}Gg5s>&kcSZ52faR z@YiX4O)ZfKy<0C`-MN&rX8)`zN%l&}u=0gT@}b?#kEMOnhbQ9I2J;~UrMI89xU3v9 zQw*p0xLH4*Z=drRj2!!&-LXFvde|(NYOz}Qot#0^>QJ*`^-u2D5{kX?t9h|ZV_Q(e zWphu{dmn3!X^vN|&8J)6H@o)b6z7|A)GRxNKj55sOlctcV#gf$si;WNhpsM5%+3&> z7@mYbBfYhd-#iFmK$i4Xd1FR8Z)Sv%qzK3w)vVW^Uosdz%pxz@*>vBAap*gZWekPS zrQeO%bWM63_<|v^dB|IA^uUjTkH{J?0zX36*vvu0F1n6^y+>V3{n|UN60ddh2U=nm zkGl828t1meW_5{{!3lv0pPRFqn*#&iqKo!WYDDd5Xl$(Av zJ82obXinWGBOaz^PN+TJpFGw*$I!FjpU2zMd58lgW4-sI&oIxv)#OD6HOT>F{`Nu(hn*u z8kdK*VvRkUW)Ovot4Gp|+c@m)(BWoik=iO<@pWc<+W4gVL~9j3YO^rJ_AKvHnAkn< zMt@FUY_p@wH(kB^v$Vw2-J#AVJN~naLw;af!$;lPXtqButUD(1J;F_CC8GAwUphA7Q0hIgY`2fZ zosad@tg?ftcAV6A_OGFXWG$MJ^UdGerJSx6f24igsIoq&lBDW3D&nbJznEU2D%NLC zKJ_h85At?_XMgo6gLvm_cz$(EISCx;3esAPHBC%PQL4XkRv9U|&={7YNIa*gzgfS? zL;^iCf6dPq-AQaVu_Up%AP%|TuzvUuQ&T{?F1!hLjXo)uzITwj*}-m8j!<}$b>(#X%VjMCW;E>>`5xMT88YeCy{Z)7U$f={t%(2(-mYRthJ-raE<9EC-pIr=>z zCZi@JNPliI%K)IG=X(RBO9)GmUEiY(GNz*36CK#2(A*E6xX&KCO9+5QQBaPH6E_UI zZ$DF0OJbC1F>Qo26Z!0{^-GzytnISFmUfakXBxr5m8;Du}nN zq>k3P-zPDumn&sxYUVNY|1;?;p@9^#9oK4S9_ z6N3G#=Q%O)Cs8eTmZn_UCx*VtWy0zNqQxHzw6UKJsBBxwP^iXBY8UtNg&b)uFcElO zdM^&`Zfb%^7Ig%^jp;USO?*B#EY}39P;7^FU=os@NQA`emwP>ejk;o^wGGG(V z7(@7M>{PGp-k??d1bn>-& zp^qf5>)c47*Urj=R4;mg(e^fJA#$ej{qFTR)Ms_k#b=xW(Lp7F=!wtimoE|Sxu!W0 zhWgYV1~&unCgTVqRqyBcEskHh#DMm-F>Qw@^|YkvYEg?}A2k6VMU&1uC*xC!Yo+J#2z; zzzgqgJ<#u?5B!4IM}{u-fDS-&4GnGONh2V0hPPZu$wrY%P(-wBruqBIrYs(VvNs5J zPmT!wd(XYFTO|`sv;n;2@3gh~*04yr!=X}2MmZMf{rGInarw2QA|LDY%pao9q0!1}_Qd?dJ;YTESU{`F2U? z^VzMFj@|9V>~S`rl=Y{PmyH(S^rc9zPMX7dHa0O*_{{-HN~WYR0Hixwe4T!tKjk7e4m*v`(3*e>kbB^kC-%&h}cc}8z< zQmnh($i0_WozBblRA21~UD=xb_(uNlvsg3lE=~@;F~6d!O0+c-J_WmdCY8G+d5>|c z$y-3F@nw3SQeBMG2G*@frQWs!f3tAUc4nv|;5t@f+q|h4MPoX)rl>Kv&@P8owPobE z5#pc3>*MM>=n)-`n(*pg`hIP&VX)eaEezDlc6RAeP@;5iY$J&UAbHoP&pDzIRt z8ptDQ@dWkt?5JG@T6aZLyO+FPTxvTPKR}p?E*E zV2iLAYY^!6`V#$3vwa7#RsUWCk=sID%zDFlta_KoS9ue5$g$OuxOWtJGPA?yCIK6t z5=@6d@mnCRzbs1zS{TpNCXWt0M~jKoGH~TD1Cq$klgt=$`u4Y@(%9#JQC9rYQ?ZU4 zZGUsA{-Z=O{xm#LV(w#auF}Kz9RV7=zVs=X5^(=Z{m!6ys-0TrGsjfvM zkg76a^C|A$Qn@*v%W^4zL5oGdGmI+c>9DV(hb5xh5cIGqf-S$@dP}z#bC1C&$5mH~ zpxWo@cmfil4;1~#IxMX-lGgnuHt(M#wsYubGrW0d7gNMtuV3gb{y?n43a%%~vLmb9 z4OQQ*I=v@709e=!s)eN#Zc^r_Few6P=ChtD-o04!ddJse+G-tLc@ysNn-f(Nwz4@N4B|k+PdYQrvcVE zs@%-gSV^qg6eY_&de0ZK8sI;!6V<|}4ErxL)6a%Zf4Fsx-zXl;5~eU`tT*cl-KKxt zfVX6g0Jyz#NEa`1goh0|)L&m`AFZ~AD7?1CN!8W_0)XTwm2-bpCza!+(W1qYa#244 zPkq@=il2!=_Xr~2LP3q5iy21I(Igsw5oB!!KqZk)bGB7%sBcPAO@cUCf99`@d>=f)?YzgekWzhq!5CXKP@2)v%SX5D%2>t0(L^K!0s?mtk zXR5O+XoZ_u%4xGyAC>4DTbr1@OG7xFiuR3g0}ivJ9nTWryR)fTR}Xt$u416iInFWQ zk{C=eR6l)F*_~-P(Ajt&nJOyTT@~h~>N;Fbcs=2+vtaBpp;V?()Qt5)Gh%LjekS`K zT6iSKG<2C%(D5zG~Ovp1c5v6-o-D)n#Z4V+3WQH zebrnR?e1Vb#;Y=SV)RU5=o}sEWqw+-y7|JGFvRL za9h2oxm90m3)eIW9F_f*swxUjVGr;>tf6R0*0jFA9Dqkuu%$iRbCWGjVYjs(^}Qgu zhnajO^o$X6HE2Q9%J{ppYtxkoxo^;@3q0OyW|{sVS2OCO82GACw|jfi$&v|W=hJRr z;*g?8TWb;XujI{mhju@797tX?EV<@xI75bXczq|xEG5W3a#2gUdb=%&z=958n|F}> z%pS-!Cc`z1SLeLhcPdcU`&A5!xz#*jwGb=X%jbM@yZ3rnF*V~?>FOqvjH%C1$sMB{ zRpZ7)>aOE!wKbD#Z{;q|+rKmxcd<7=wxu$oLT)hQNCCQeuQbD;i z8n0KA^&)J!rLMz(%?laa_^5_3pK*88k0>ZiEL;E_Omlm)_s&k{#?XINVJ0>>m|S35 zPTGIf1OzzLJsiwAv{lV5IMl2?&1t#799nv`09r0upaCt1Dh%fQ(BPz1<&d$rfYAc~ zzVe|it|!37%L$Z}0PuiCCB-2?E(nhVfRh^_3IU6P#6XggV4?r}84n#uTH86BJ8?+b z89SSco14PS%sJ%E?Jb?HXaNu|At4;h|IzNA4jvXHcqID#=`yz|K1Prp<8v1A$%;E* z>6dhogw_rWS4Wif=c=U7dmPBomA`?nsR@x<3ln1#ICV+6U7f&~*bfu{j?vk8lyCH< z+0c&AAb;(cD5VC*uPGzJNO}M1_VIxB)gSmhB83=*!{60nx4gqri83#a~ ze_*_SG7#rKFu*@B;6E_XKQOL8*+b<0XPg3gK;YkF0|emV{>?T31Ox>A=9j-2Hi|4mKKwKa&_y39mXD4H8J98%- z%!hpV?<@%Uf1djOo!pF_od2C59whN{apGVyGD;{(;$Z$y)_5TQKg4k;JDI!E{#(<( XZVqbB(7&4xUi(8gm=nPB-@5+`$+Bc= literal 0 HcmV?d00001 From 167cd317616a502ff1e692c9c1d753d6ae67c5b4 Mon Sep 17 00:00:00 2001 From: Yishuai Li Date: Sun, 16 Jun 2019 15:43:38 -0400 Subject: [PATCH 31/55] Java: add notes --- ...15\344\271\240\346\217\220\347\272\262.pdf" | Bin 0 -> 376674 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 "Java\350\275\257\344\273\266\345\274\200\345\217\221\345\237\272\347\241\200/notes/\345\244\215\344\271\240\346\217\220\347\272\262.pdf" diff --git "a/Java\350\275\257\344\273\266\345\274\200\345\217\221\345\237\272\347\241\200/notes/\345\244\215\344\271\240\346\217\220\347\272\262.pdf" "b/Java\350\275\257\344\273\266\345\274\200\345\217\221\345\237\272\347\241\200/notes/\345\244\215\344\271\240\346\217\220\347\272\262.pdf" new file mode 100644 index 0000000000000000000000000000000000000000..8aaeeb726ac11b7dd08e1cc98a78f301c45f8cad GIT binary patch literal 376674 zcmce-1yo*3lcaOZ~*-!OLD*IlPmXVGX9)`5;^#>k?5x@Yj(Km;O;o+fIbhS027uIvo zv$Qdxm(?>dvIj7|R?E{%=~|f_2YGdv2>%s_l83Gg;3y(lw)@sH-BEC5E9UxN7f=w1tUH0WQ~h+fgi#erVj>U9x>{R|WtpCo3HbfP;mR zjzbIZI(ut}SAFaOZ{yN`u(NTr{k^zv1$qT~MLRufd)r@n8@SR7E6~3;axyb8lK&v| zI<}&ozCFFd-2j$lAog6u`{M!ThV2y@Q>R zo)tWdOM1ShS~Ok*vKMCMiypxR1FE4$YqTy=wtLh(Ld1OKI?oS?pm&mCC=0j)QIU@i zib^_$&NXT2f%!9y$x1XBC2d^&nY%k9HG7zCmo3Ss)|uz{{x9nsGZF|8QO_;a-J^b5e!ctg#?IEEo zn#~g6rKh(8nN3Mi)I~Z{MTHg{qAIbvE!{8y8;SOv9Tjn)dSz@_w}%})&kg>DXJ!_R zUH1|Y7IKR^DHyvV`gAtA8tHyBGNhGmD3NC{$b2_{C{j+o6_9pKFooM&I6P3Ws35Q zxU(~>G5nE|7HzIT9TSERR`DRNrL0_qVSRIUE}ZXfb9Uv*D>RF|t%)!AQkPGIs?2^t zd=!~UdBKq&GLFvWs@pgZQXs{0YWb@k?zkgnL{IkE;%Mc0D9O?8OhmBy`o=C#2#+KP zLbEP$p3HZyy<&ML0@x(e-K2SHFTM=4#LxinaMZ`Vlyu@N(-u2X;;2ZJ@@x9WcGTS; zsT}#6pf_%OTLZAl)KS}-P!&Kg@*5)Ra7M_S^Pi>QRmxE&Y~MG}*1WU9y0pfL>B~MS z%&UzqnG!5bhw4+JRini!JMKTwF}8q~TP150n@3Ci_P(gwLB_b%7}KkOQ;$HI{lon* z?pUew($+ZLyyi>01a#28cXLXjCpTwIeo7i$I^_LTdNNgy}3 zAgvsz79oP2c~*H)CtyGkvA|{uS1^yMMw2;R2vhAyjx`1g7FDFQDh<%&1&T+>nJx5{ z@6eMsLPO5eIr8QcgZY-2-W{>CNttC(s=2X#f`x!$e zjihoczrN{7quBgl9+adu!~Bf==xHR-r-QChcpqN-j4YjMDTM2Ik+?jS)iG+c&GnQ< z=hTOiVByh4&YJ)k;A9l~;Qel5^I_RWaFS_{mnXsdSv>C!sqOG~Pfyzi`y`o+OfjX+ z`er*xrVNAzrtge%sRGQ$YfA zy?!YKB zTZ;0k-TpMiPyB>DS1XL|D2bV3jo?UdFtx}y$SS&NI(y$ixfJ?y@d!lCYg0#MDHNZX zVd1maF&Wc5SbRz+rQcJG3YTV$@NW~UW0PVfQvcY`25^W#U<_aqp zK}OW?f8Y>}#swoyMGK$xLm-e-@vqsW6pVbhp2G8b_pTz{=F=ox{$6|$8!T+3*<@>_ zENP%fcWz@P)YsEsTV{t(Zs{LyvEfzjCpeXvT)A<@{)UUct6{8BqXyQM(H) z7-5U<%k7Q7{zKo`3Q5>!bcTGa&(>+tw74Q<_!l3KGMdHI96ZnevfTs6Y z85z&ve&bm1_UY7yNTr)^FG$_@vBiHphOLYI5bMQj9A_F@JB`ZXv*(EKcA$EMc zX<*;eQz%yw<#Wh~^Hc!=&#P2{{;jC2{J?-IvGS4G*y#KK3gSLg4heN!&w_0>a$sLS z2$UF8O5DoAj)dEI)R-7aak>T9PBC6NjnV760mFU+*xQ8KL@%5Za2ko(rMqJR4{vgu zpL00fiBhAyV)^1kj*#XjPNH`sH8}FIKMBX)fTQ^v%7z8*@%-c&5`wcj z?YCn_Vp13=?Cp&0LqB0b%H>X-{uV(%;WrUd;-^EVu`qqC1ZBzn6S0$a$=9$TYcNuk>=QS36DW6NtX4Xja1lSn*{jxD*%3X>CB4R52Jvt@Bmsxb>PYOuRdEc>K z1(r-@vpPD6)4Jjht?98J&ZRX+gZ;>%#jK7LyxtFHY=mUZ<(*1zdZrM9o*1{nS+;jn zl$x_z3*M~y858GtK78->f-H=gGrWngkw^l?={v8Hnljynqv579lSLH1Nn`oWI({s! zyQZNpwg-PCC^oM9$h)L_S*`0nzPU|Rc zXay%}-mFK5ej{&axnP)69j1@M&%5q1&}D2_ICcZtvfQKkjd!rVE=^;jXJmSfLR1Im z>Iei@9?(j=$(%1S9o#y?m7;oZrnG;1UPod_LmH1ur+K)Om2(Y-jY=b;pGWpFO_+z^)$ z`BjpQ^&K9Y<2Q&1vFU+~2aRP@P+`va7GJA0KwQ>muX)}_`jfe(Wo6&+g>4nQ7`e(& zsMAX5=VA-3NlxcXEQI2hP_#j<`(=Arv94i;p+Nv;vYqE8`O3%E?t)z#`;@p7lkVJG z4)*M}LYNeH?_L>5GtwJ67xzM*?Zh;QdK+93`E?6^AXS4gqaD6F^6{vF&hbX&=Cx*9 z#$lbqDxYz+a8wz^sX8O&lIG8JRy$8{ZX$Fz_!8x{{9Ut#u8gZHQEFC+fO#UIU{8H! zj%|7EAh79=mG4UmTI=IHzF_OG##cYad~l^e{7U4__rRRbUo75MIBl$rjJTN|~LZ%F>-K7wXJKo9Cmtj~c=+V*@>h^WDt-s(*xYu|7Y^+pB+bG*ML zX21OVhfMSt!fTobDF*8Bc!-MZAbXpgWtY8AjN#OacRmB?-2C#G#~xxBY?)tityI%Y zgb$I_J8e@3D+}5U0~hxk=OBAzQ~c=?f&+;y$rOMPEjO0)Wv76XsabgC8!CKaYtR4^ z0$JKN?3lTe?l?1-s}tKnV=ic=_3=)51Y2gNaQTg4r)>Jl7-w2dCqhi?Mzl8p?1Fd; zGX44Q5w$-W_Mb{YiHeJeX?UqZ%;bf-M$lJWgO`6+qBkJwsXQi#t;l8xa>I`9O>?zs ziT~6JwYtgQ&M>kx{52^^`#}=W)T=Sybm`n@d4AUR-91i98)wzGRSv_c-iGCBFIdf} zxsQo=F_9Lw)=)^rNf}=&Jklj$X-zEBBs5b`XwWkE!ImSaJv-bJ#CrtJecroff+bDD zH)4rrgP1wxb7+(1m!w!XsViChT91CD`J!WJL2-;CSj1G=X%wlNB8;BCeWv-rXrp?R z3ksD%F)fTQVC*3yM4oPSsxDocLWmRjJbTC6@V}NL*(r|7&c_Ohi@vDjsq?-=2dmh z&1dD9SN7Rln3nXD7J)$BMict@TA7W;b))OJRCBbL?UN=<7B?VJ>`(GD2y2ECv`T`k z>)}bo?>t#M&?S|^R+HMzizHw@G)O-umY+XGlz1R=4?eAuIxB9lqwdEUZRU%8s~i4Q znGP@GhZN$+7;rbJeGC|Kl$y(qpYvYB|(!Zf9`*mMAWF8&h5OnkJe=rf1(;K8c)uNZjXPPtMlASgOX-Fe8nHw) zG&lp<|9GFm!0)FF3>$t+Rc!qeSM4Tfx)UhLVca)Z=+iR|<3`y-Xfx?|{YRZ61WWX# z9iHOfBBs0$)!%2mCmc9~uYau8k{9Z(a zT3FB0OyACoUc~wp1ejTy(5skP3tHQo{YUv%dC9 zva>M1$y52GB?|)sz3QL6evP5vU}UBI+U2c{&>N$nWo2Ln{1Wg=eOMTNiG9U1zn10| zrWi2+Sl;l{->3VF68+0`|1!&~Z5aN=7CYtP+u!2lDo#TxrGroTH)MtH4*G z_JB9)MXzY1WNr2f-~d?uBv5~WwO=jX6wm;0zTUoKu)pelJ@~EMe|CsJmH6#I!s73L zN&MwQOn=b5Uq$-Y$BH%|#NSKn+0y@3lm5L5fRW*KEnh1YTMQQX?t=JnAp@o$Uu zm$?CqZ!1Qx0$_RbsJ}1WTZiB3eY7()vU~MQir-Qw0rc`lCT6eOz?DMql_46vK2UJ9 zwY4;|`sEM|{}}0C(Bv=W|I*@3slPkjpOgRZeU5{b^Dm!cWMTZ9&;6?U%jaH~;xC_L zVBmNY_h0y&l#$-A?e~fj8Grj9!(015{f`4c`&#w3zJOOL|Mb2$Hu;Z<{|i=qv)XT? z{->zG{4bvPXH=jOvavM$%OC%T(7^b%=l`qNz{v3*vEhxN{zKh=p|byjo&KTUe{Ze- z;|Rgb_BU&>zZ&wF4u4vU{ohB3KcdL*2*Jqt-$jVG9s7?-|DDPHkCB_@Z#J?s)3f|P zx5}U3`rk(E*Rc9KV7~>4f9UsbKUx0m=6O@-zc&LX1M6R#hKcbXftrcquT8`9>i_?- zX_(m9SpRN@{~V})ZyILiKlcm^%is6RYwuT!yoM8|*Qf+wXL?2J|Cr#vd?fwKJ^b(F z0_a6q0gQi|{C_?^O6wy6+nJ0mBM%Paqo41Lg^bI^7-WbpoHEsBjQw(Hr;uo7Mb*# z8t^Wq_e$q)^}e~2<_j!DT>Iuto(1*@*&ZrG@h}Z}{&R!=bS<=ye>3{U={Dwwt8l#glG;Vd z++z!wjA#+AB~m|LbkXeeAFU?v-Wrxr2FGgO`SJ3(9*@3)do1Ec910gMs7AoE>m|C= z{zSjeOlW1Midg&y5EeKF%3e08OOW9v32tLktqF zTr#2%c*ES(@^qneR;C{C)$YM}cAMa_o1H14!i&xZF&wABX%GixjEhs)r%39hK`odc zQk#bF!UqmzIwI7tZ27~&;CKg0ngctG10hRm(jj9j1ntFF(jss$1FH@#zwu;sm%!08 z1(YZkGJ9csziS1V2iM7ekhu-8`P4>^9dT&8eFIHs@`y+nt>ktV28X&enG>wbjJ>Ko zy&#U)z=A35h7}3!H7ld>iA!g{W_*0tgXAf_HTl9WXRH7boI5Y^J$JV|>fvT66`1d0 z;n>rH#KA#Lb9!XsLgqWUdos9`SV6gu(*`}ISjWwL_&X=16mx;tX^U|(?d4ghS?8u% z@u@hK&?)bEWYm$Z&-AhC9A^+%F<3XhF*s$P%GCtAB7yAr-sq+iW#G6U?I_Xj0yk}H zzJK4HC`192w|)o|Fn(Ilz7o-jLNzR+U9#JE&B8C0xpEil^RC{!HL7gb;OJnRsExDl69Q1PgwLN6AiQ|e zzK6jmLwrf^4WYvi03%UHBB;xRrvDCft+e~@2oy*tW9a2cF7@3ldzJjIdjZ~K0ucTg4~GaJW4AYqP( zf*Iuk>-Y|pYyoa|+Gh-T6VpQim3urmx)tc1UIkt)xQb8*^afbf7}Uu^IHM&zb~6eZ zVuCc`tRHaiJ+*+?21i|LBY1**B5*XvcZk;?aM{1$sy9}E9&pVRmMUBJ-s9lFL*?>% zM3(HWZCy}0nEmi8HJ=}Z8Aec0%l}bg7#K45!^wOO3e!J81{ACb;<>(X&IH{1#y{ry z;_y6co%)u%e@Z+51LE|SNsL14b%YSbJ$eWTYj4ndfk+~#iGB>8&(s$*YA9Id6?UO3 z$1@Rr#40G-Quuu`iG{`z(Z>Sac6$uj3WomDj6Pjsn;0M1uayZq!CH9PFE^R7+&Q*l z4XbV!l?e?lIu6r{I^XLoJ6c@T(NXr|KFXUB6$u>qvU?S&i!H`oZj+?Ym`I8Jlx_H$ zG})FEaJ(ZlADEniOAl6xm)bYagFnyO%`vHM7FOI!!Oh%u{~ViL9Yhm3 z>9&lz!HAO0NH3c(0Or}7XtOjnmsL1ZhQGMquhwh5PKHNKvkZz>cv>*&A)T&3Y}oGy z3b;dJ?}oX<5~In*cf5Giyhsv?!5!T{>E}%%ff7WY+wlq0^4&}v>ji!E7EwFz`~E_Z z|5b}(F$P>LU-_m-9@f>!YLq-`hmTJ_^sFLwCc*xgu_OAyf%MMRBazWqM7_`diDL0f2$qs^aIx{F!>qXmz zU@d-9*U1J`&>7T)JJ7tReUz}`Ww}ob_`p4Ca>#x`f>i>wb=myP)n}Ja z^}}o^En2tU+DF75+VO~{F@0>`uA^;cNqvK%UYw=6ju_x2`_y~}1E4J(V=sac%=}g&|J%L5NtBJ)PV-oD*!)P(unmaWPUYYWc?ZMJLYov_NCs$qRv1v=` z2f^;?ODBscTw%?^h*M>s-Kvlj7P_7=2~#^E=q>$kptFTzxS0NIlu*;HpE$LrYU9}R zRdpPz7}6;`OqeNgfoXC57=#u}s^DZbxd!jR!z1fZKc2rUE3B)Lvk_yTx@%q`fGvXAl!-u-h`OgRluhoCg$x&z)(v@~l|fH?Pw$zj7e)Nqrz0!YcD*{McK zLsU#ZF6O6`{h#j#8|exh$O9CYb+cPTEul4RV(Q_id5GdHGUs1seQ!e&sjE-WG zf=IVGBSzp-gLl#Q@n%>S6O`1Tffp*=tK(0V5Fq-|bpwzE&`|t^qWPx7^3YH2_W%kL zrk--%(;ba;kiDYXre+oVW~(ThL6v~DN;QbdBR-2&)7pqc)v;ms(rWcyIY%2BDLBM)hEwK5?3g0&Tl6^8k?13q@&t#%-sJT3NtALxr5%0t>GR>UQAwxA8 z&#rVeR<%6h3jDRLm>$xN%9y;`(w9p4DQ>q6f@*fPnt<_hNpRXHyNkkYiELH+Yrf8dsyJJPhdVe+tlZ2wz)0{q5QJ!woEXp~M_rkUbZsyNt z82BEATqu6k{{bY|&dGNZ{PGp?`xY4=CNvQ%FnA{;u#pj}`zm*Wp%4n4QMRrbokir6 zqOq9}iR@(gp%5TDHniEv$B#6nshsLV;nf^jo-M(MSZZkJ>i+ZNeZ+_F87)YfXUX^e z8f*rQj#1sY8|zk*Gom~-rcCuao?yYY(jvD%wVA(UIJv&;%<0HxU!R|0ThmFcC52_q z=6=~M4cBly4-(>P?0*DzcWY7sTWIUugniy2|KR@eh>m%kT;LXifI}a6NlCsXQ^S)% z9@<_?K+&YK+3^J;?VK_h-YVKeI_`Dn_DVS$FsiraHSL$0vqq`x-M#;jzCTN#@?48o z2)fd?BfxGl;gRW1CVE=oAMhg4rZN?2BEzU9p5(+)#LzudD1or~?${x$MUOh8DyqTP zi>uhh3ZY{Bsi`=<&{V~e$S`s&QU$jW$;INd!eu!iD*Y)!1*M(;^X(G<)?G>QruV3e zvb++F&%`@Si?MF*vCFu=(Hdql#Q9t9^QDN$$>(I5mhiz)8HL4>?F1oknb1@7{?6Sg z-nnqIEy^z(2VAydTzVa1h{>DRNkkUuBVDV|8|Sh|<*Szi^~DDe%>j#nH>z+dPY>wv6W8jr1p0FV0SE^vU|AP=zA_E@(H*E-pNEHD$b^hGvv-mB2vr zZbFpSRw8UcG)t~GK9c(I^Awy%5g9hfs53alxt$xEi=PwWW}rI(V}g?}I(V%3UK`=| zojQzC2ZEkB2MKlnL-WZ7$`ooP2VnCEY>;)d?LcHSj6vPKp&zUX$!IUA1j9j9PY-XY zl*Hh|$1%O=!_jT2d$s#d--xvuN5iMEd;+SC{Pb~I6wu0qpT5JSUVyV+n+{WXTn3S@PrRod<#5FSi6DbcN;G~`1csfrRtZ3+5Xj0dXpk72%F%wr=;{-_l?5zl^R#Z7g zQ|UR}c!r2;c2jB^! zs0RBHuv^^(KxT}rGGA_XJnDtV8H0Num4=x@;c3M!yK*_hji$F89daw=i;&2v6Fl}) zJl<{J92E)3zEO#HU=ySSorZk6d zBl}6!ep3XZ7UiMvqB-BvT$} zp0UWGlB_QL8n@zaRFro)&}NwVJsUWxcfh{~e7J-SiukOp#^jLp?a^HCH%ha9hhLKpzQP0x9lfijJ8eqJd%Q9;CM49x1#9tu*(tGc)63j-Yxsdm-gutW;5sS5nOF|&BsCU zyGXMzdUP!K`{n~Z#_n`KpU^~RnsuQJ-)H@-`y##w5f?OSMZ>yKAkn)6tTZ}pb2F(= zNl;O8!CiZtep*gYn`*^P*8O6R!(V8P!$q=OQXEAts}PrHNw(00>v66q>CwS#)ZmE* z3r}Pt^AUfkNQJ0}l&_W)beo$?B1of4Vzq$yjxtN-^Hi0OcB{4iS>q=ThT8vsr@h_|Xa8fq|9edJ^;J*YYo@n^+W*GU>$j19FUfz+SeZFk-f~xeG1f9o z$>`-?MTk=AcEVp#kn2#lWuL?8`K>KE{c-nJvUN<{4dqC)Hs;Q+$WzD!RlcL@)3T5S1 z_aH@0v(-UxZ~urZO%*U<>{sT|h=om6MxSGsti$)s>*|S6yZzqcacCRagOpxI2^dO} zd{Q!X4#lt|WL6RtGH#CR8}O{bHX3etj*e(l!2 za71WB&;~O$wd-48=qZ0kft*W)YkWCH1alR97$Xy>2p!GLRpllaDVVEN-I5GO^(|B` zH5Q9j*0XC~w2p0k>@`=?d~V`Fxb{7Z=$2i6HSOF{$6}^7v>ueVckbNN1JRoehAnmW ztGh+@K)0hVn<-PQ_R4o|gDZ_PrIIqNO_2Ny20A8IndvDqAEK4_2c_aXgGzPeCXtr) zJ;LJKT6Eu^CUX|-G`3Q=fZy{{EU*!0wHfX#s!-Xo&4y8U#HgYzQh=UVd}sxVf@O@W zUS-%nXF;;~877!jW7@TijlruhhT$m-Q}fUw;W|1kry3?%h+EG1Q{Vh+_{50XZ;W(q1!xP=Y$(Tz;z8ZCr;%R!3x`|4;Px*SENJ`OZs9a<*Yj+==R`9WR?1Y-5v=+!Hv zFI0E?M288%vIKj)uM2l|s|V!58<_ZmHU~OhR+ii#k`b*l4Yvl@Ao4SzpbB{@uu`$H zL%p^v=hlPhjhh`8%8HbQof;DsKTGzU>28kMsRX=S7EDJ=Qjtd!X!;>)boCCY`26~= zVxZux1TvUUwisW(HrLVRR;?|UisbnfNfmJSCXf4Qrl%_XE*i^HFrNWoM4#CMVESXp zIVnVBN>mqbvU}G(K|*@Mo1*A^+Ye(qk{N3~T+rDO=KZS*y5V`*t+ z7CQlo5g1?4L8e(EgTa^kE9r$JZ?A9S_D`=N6QuT<#6#)q>W;+YVYeBijLp!(Dqkte zag?Pv8N-NiP)}=Az6pWFT{Fn&`_hvFOX-^2E zesR>qT|$k@2(Z|r1)5a(UXW`PfReAcaYkP}>NwM2LT-?%g12KMf11N(AwAmbP!KvX zkuzvo3eeWDaQJk?#oY-Vkg>@$oveHjO%NQb)WfBcQ0pFinP3~mhTO1gD*#N7l~Yng zr|hcY3w{oi9m;v3-B@X^NX231TUwLQ=m2eCp9<)AU6F>JwBi z$4&@Uo)=zI?PuNdQJI4JLP_&o{7GbRpojZ+3Ysy5M$Icov6MA}nHh zy-<{Xr-omXvkmp63LrW%7Ig6l6TJzUB{AJ#h9a&>TA7?~*^ zoWYLh3ms1c<51w&3ZAs~>_|e@Xh9Kziv;9br+#I8U{;3ok5rs@D@bscgGdObjEx;v zqyQlMInVEufsk1U$j7ro?;*Ix%)0mvjMkd~L7W=tD1MN|*n2V|_Ue*l)r||)`Z2Of zl`o_QV=xRxRBbtT8ZgV-H#EplPbn!VzgS&m5R@BOVNONn1O58VxwJgR^#Hjxg}d;L zpd_|jFd?A4X@ffts51fDa#rp;nNzH8^$GVohR?%=TO$TQxB&xqtLIWYBziNS9iAaN z#EV0?m@PME3?&0_eI}IEdvr~T*&Rc};Tfr9>FTlVxXp6&rCWqQGgI)(_{-i-*TyXQcdu>@#j*`e)DALEStrmY=Tf@JbFdfT z)ZLBj-|nW(Dz#$iNFB*+*vElPFt!mlpdpNMhZW0Y;5?;eKA-Xk))|EkQ+WR(*INw( z)HUlcBlbYieDbaKrU+XU-#3axEO&Z8ySWyfmhtCAhNU6+D*@5M3r6w7)c9zdQ_P9( zw=`+qkEDEV0yl85j*a@$=*rUFgqdZ&HY}(60itrPN@EeOi=EVYj0>)LP$e`3a_jhe zJp#1IC}#X38xd80HwxFy$^H|QEtu}-@;Jan+}tsDN9`7C%hU}cD`jH*g@YiiqG73! zU+WncQ>2vJ3`5X|Nvu{7vxpy_bBtPmjy*MIm4qJGhV+omI3Wehl1=h$ca==6 zeI1uAanYVK26#g&^Q%`1AA#pvfoyU-iJwmo#>U8cqg@hRA7Kg+9#BA*lp-o4~{kcf?=*ufe zQEC{_O`hIZ{TY~LX3x>9(*|}7!+g!8MVGq(QtD?si}^8hZH1>h4b>xqr~7k^@^VW#M@O2WN<_tpFm1<>hf=0%{v7 zW<=X?MU*U5pq)=#EXaq2&_&Rw7d?XjcXsWzX-Au8H0Ypu#rT32yk3l8B!#pV3}dWL z!hzwYcWHjmoox%xBHpJW`?iw`rDfbfS2Ut<%?-Sj!6IDJDPw6ud77i3d_uhJUfIea zz0e{POlfFo1!0#|4P5y*h~eITw+wDC^bK6S!C8FE%U3P(4T`-BLeH)KE0ahoA(?I^ z*tsC)@$_q{H7u-T0y1_EqbU5_RUC6C>G_E0Ya8VY*u38(O`_D82=*ea3o;|=WFY%m zZv73~2lk=pS-40sW&?DvZri_GqT=Qa`A=fOmSXi~P>FW(TZ02-hE)ahG3)=3;vKwL z!Np#c0f}tqkw~bI>WYK*fmX91G%LKv@fM_Da@5>xQ4QVNmv|(lWCB6+5F9G#vsidv z@}R2H=d4dqxy6kQ!53VTV0Au4F3!gU!^xRbA^bi!8lkD9HNBK)-XBCUe22x+(i6o1 z&rmmSY6D?K|3kihHko~pWC3<%B*(Y6I{n`1}^*!M&3dcxb z`%^IztyzN_Qs=4#S_KB}8#4)u<6cU3G;@jW??~HO?*6LM45e`18)GeEg2)tUx@bIHNF;%uZ`%WNr8^Y{0v@0XHZRD zGDOVdO5(9UsrqVpaa|VDq>k~Dc5|O7Sw5Kd=~Eu8-lbf>CBVgk?p!NZ$S`#-lgQyg@f?XdK@1~M`KhA-6nmD0hMPCY96%^nH z8j=FKBdPR(FR`hew&MBT+k13~>&}SY@m>liQGJ!58x5fiBS{0YGH(lJxhr!7@v-R@ zjExQs5=PVR;I|;(@)qrMx~M>Qj&f8r2d7FdoQ$@F?8@8gOXKrwW>!}-o$Y=J^0Ts| zzlZrca(Q-qx_7}r%4>8Sz~JSEQKr7VVf2II^Cr2u0!e0-E@AJn1#Gr8UMkp({tL|- zx`K_W|LMLdaYSzN)>D<;IVx~30^b+rh7DiQ?vM6W->ksLs$xfz<6*s8$4xNrScZmQ zDmrFYSfIFXB}OQKx?F#N#BC>TfsmzpvBuy`4V`uexG|oT|!1xcG^Z)Rm*Z&Lj@V|Dvi|OyDkpFA+ zz{14B_Rr&8b+5;}a2t@l+1FnLZ4yyjzK@YY=eh=Wo>O-jT za(j-zU)>x^9?M!Gd~v(q+n7;#z4fVb7g1H5LP>fsaNd0E|46?rw+=g`W9Wt)*g@|m zHyk9nL_vHXr85#~Vb&CPZ#1A)9@x-4K<1>X>TBw1Kuh#!9*Z<<4z=+;G<>Ts-tf%& z^=sdaqH%Q;$8H584|aMqCQNp!Yz8L13)1O5Bb6gNl9Xw6GapU9s?V7gTh9(rD@2_$ z8_2J8@1}e{kP>3sr+=~~=g}HPYFSUE=|1oyPN=BY( zeBt7qcj~^)ndJyOr!7NNS}bQggx5SH{P#uQCpR~}C>xpG+ZAyFZ;qm^u|iCcRVd`u zZ@fI|i(4CGXBWHg7Po?@U>zTyyO*|FY|f82x{uFq2>AeIFSiV`9b**NXU^*$KJ5G% z!?(Z)KQTC;ux^hK$;+c&db7NEp^qrNFE0oux%0e3P87ivEp;Oph00Q zvy@5u$!#y+vKppRLoGq2MYl^X1oH#etxc`j@;?<22fje5wSrq(i9X>RZ09pY7L8{F zc+N1zQ=2&K4Rmv`FtG5mnV;OoykrEFq%qHjI|e6eN#|YcFEIGNriMTP26pZO2gPHangcKy*;G;zp zqQHO*uug<;BgijzWSf07+fZ8JoWkkn69@Rc@kOY|S#9e% zdQQgo7UTFk(Ur=FRZ$Edt)xkYhu=S6oWGm*;U9g8?!T}C%U?^Xbncr^85)NF2GOb5 znjU5nIfIwqugr#UEXP?ON=25wEo5^R zu7^22G^7Z^JZ%SB78qvspr52@!kL|tA{>$bS2Q7}oPd&&9Q|xSPWOAot~EhL7>v8u zFW^GpD*y-+q%ay~Sfo5NOj8tzar{Auh;1odfs-!<^&)8yp?ibDh7W$8#2_(IQkpOq z@!TvUfYm8r$g=w{NENo?KtLSSELedE5amTPNPL-c0ZKpxDveDcfAAl-YkMKTUE=14 zzADK~T}6AimQ2DbA&a{KT|0>-TG^^2L&<%I+$R6EG|p&zNP|$CJpOh|RKC(3aGR03 z)F~2S1K$_Jl2dg3f`JvSC>o7L&zuA1Tap725uHQayO)C^TONkPkQs)8DhDkCDTllj z4vt_R!}kN#5JLeaNLC;$enGcNkaeF~wybZsj*~QQW+G=WctZL6;i74%p<`_$wP9^f zf10SIpp2Aw(1d&j8@*|wc|qcw-B?Qc);fQEn{U4>vl&rwYB@oCWu)TdxKFwT#>XZ- zw4_gKYoRh417J>pGo>dv1L#%tY=bp~?Le&@PuW-z#U=}UB-N8H*&r$+yyL5*gPyEV+tcmU; zi19;ZS2G!A&3t;)l0UEUVg|wD6rxU6u@qR4kRvjsyA;@Un$faemYhPg8lNRnkT{i% z>r>bI{34D+X{{GF&vq~uB=lt=Q<5_O5=%1pjc30@FAd8sd__sEnI`3FRHy|mP3Dc1 zedOuR@!}^7KNZb<;YfGsON|OT!J~DvFiPxJk%Jn;$tl~JtJb547tL^tTTtnWQHEYM zoKHPj{<)~ndT7~NnPF*bR3_IQ>V8DOpKLr6b9;I zGSO@mk;2u%hX@e5zJ1AFjKzJ-${15>Ly#OP^!9$dS7kL{61r2M^9W&T<_#|?t(H6c zA}^!*0Ov3&{r!Ak^IHpD0FuP)^&=?r$PF3eE32bTiL=Z_eTU3nyFqbi81Hr{ZMIjmbl2e)X$d54J&Vl%kA zr3jzCYZ^qj9!zJLD*?&Ps9U$_7F}-&qHaO^0enb5GC|(Sx1@a}gY?NeH||ufVjdvJ zK-1*s>;ox*MlNDWtA#tX#r^W$l&0N)0(t_SgZ3w{a~P#;F{c?x zLArNQcik|FZq$gU70m05r_1VS`s^8LoR%4o>Tsuo&YY|}tBC<}y*CHd9W99Dbe>Zn z_$;hNgO^X>9yfK=iFj0@RC9)2>?X$OGOB#N)Ue;v%{9@fXYBYGc@<;QYULw}me!RE zrUq@Z{D-mD9y5itiRPSh!~_#Sqp$>Vdo6)}YH?=`%xCzcp14=c+VmH#!LnXc`eRT3 z;ClyoH2M`P= z)Qm&HXIU53RKx2ikqF7;wV-wR660vkyO7O6WZS1OS7*K6z?sV-X@O}qi9nuZqd41< z>|6_NEcjI;rM^0-G(f;*nyeBYw$$kXhejpL=*pSc!zY!TgsKxes=*X35aEyaRpog* ztKlJe>mu??JP+XL1`v3|pnSn_96(r~=`Bcn#7Cqn@9f)M>QL+g?D|CtLD{=#3#Xy- zCbVoz%;-=r@PN$}*22?T;6)vK@7xsv-PEtO&P0yzn90Djpzx}+ceIXj-1DytP^t|q z&~|@(GD+g8x=IojBdqZ)Mv}(|MHW=zdnOk&1{Q?e)MX>x%!bl_M7f|Z82h$1P&|t} zm|L^5-%2@vo9suBbc9sfR%Fv6cpxtFcq?AMq{LCy76BMr z2;RB~k%di3x~=fUzgn-&OhA%d-9^_SES5#Y)e%31wLLtN3gHW9yi7AZWeCjouV3=9 z^Duhd%-50Gh03_7SJJAWi7Vzk&T^=6k^F*d&CoO!KA_A$)Gv5E##MQ%FkAQc9W*Lv zWR7e-9dO|%Wm*`1F3Sj;lK$e`eBxijNjRD}_(b}>5C8f|b5kkFCp%?O5KQEn#t!_v#R;XBo-r^CDh@EJk(8+`{&n4aZ}IDVr9;b^5LFDR0j(2 zaG2+#(KAXcsyKC+q>ZKNZF{M?W>jecZl*0+L)0XwhBq==!F5qR-Fx$Dd8mhJKqUxE ziG|!I98+8LQG$h%p_0@UmT&U*ACYzxp4Fx>N|a%ypfHgC9vE$M7Wq~BJ} z0|?U_cidZXj>)c7b#jw!Hv}ZV;z)!?C9fPKZBT4P)5!FCtKy&lj=2)O%vJq?F1~7Q zO4J(bW+fW2kq~-oNYbC0w*|>Rq;{I9N2>!L>hliQ#~NcCL>B-b%Y0t*)j1Hp1?ef3 zk{L{}Y!U*Rn4 zCG}1J>_MKg`aGs<4Tk@ZxwndqELgL2&CJZq%*YUcJx@Y>%orlx9SK3lM?MQ|4Av4y$*0=U|su@@}%F;4am%RPX2UR8HVW+}26=93E z1Yt63j6sb;?=TAs(#MsF6V?ioKBA^&X{@;ACBqPa2s>52uMt}5o>)H^a?$j)%(lZ4 z$Sh*kBkMto8#C|X@+K&i35q_GJ{AdP75kwtPG>|9?!b4PWaN;8t*SBUu8qzt+CGtj z7DOcUnl(y?O%`qMbaDVoShVh^qS!CBUq!J;{g=Q=pGIbSU>pcOJ*xT}7y-`4GomKx z&5trTsVKzqx3rL$Fvs9Jd=Z#PXH80D^gvEZnw8g%6eJXx&w$#Lpze-!?mZZFb4CC) zmsV~^X@u%>#N+ESsl(tfJ_ zR=ioYsY)mrH1pYYUl=D`;P+`b&G(})ec|^Rz-Q=Q)%QfiJY{tcnC&^kI7)JS%QikM zU2KkB^A725y8vJm0_lbfITnXsY}Sde%2J;zQ)u!nv}h8bJ(!vcHO>ke9N17^=ImB2 zh*ZCfM1_s%VTgoXwI=@kbtN3wo6gTh?d=z#`j{fNCqZn*dzg3sl_&C+-e5vD2unoV zAh4 zNrl!=+N+9R2P*+_Q$x|q478;p&pLNw-QM)rggiEG^6_mgFJ6UnX=8tLECF1R(_dqeU_#T@p>^wvchwF+uzlq0WnD`RR@5>|n%2WBHbfjpYUQh`=fDsvwYFe1I z|JXTUa}zj?!qhx_ldi#+hOM)-EHSuEkrDVUlcqEnoRhv#cJ5f4GS~6(>-$_A{$|O4 zhV%?u8$T{(#?#@;o*a+(i2r5?hWD6`$U!(~P#d!!xL)*^(L=c=Y#ev(FatJ1Em+F{ zC_lfLLBGV8*``uS)cQ|Iydju0+)MJAq2{k-)pp-g;|@~95E4djw(Sex$hS?xgojC> z3vK9*cdt~=P^Nz}tRAOtGertCip4v;5JRRl5OPagml+73zN%%nPpOS@42W`&7o7E8 zhzWVi7X4V4at*I5%q8RTRwzz^Ue7y2y7mdk4V`{=ZH#WE-doj2r&SP-xFSatr!^lN z6s?mjs6)nR0Pro!%TK@|W*;@_R6vRnFn%jCC!$;)o*D+kaM%7p znTid&_!EFqf+qT33qt=MbN-)vynmhF#F9DjWSM95w9XliwR# zT)p?3kY4DoA;*G8ucA|E{%)8}*v{uP;;Oy3Gtas|M$?uIW&x*{hY}j=LkwZ)P=mCm zVRFQ+ zgarlu?}HDE1_QlW{JAx+!;up~hF0Rv_Aayi5Lt=(P}7*iUF*13-b=>``T|0VT5eun zkM3XA0shJ3zW%owXiuFfsc^RH`A4T=U*VRkz8WBqhi%w4hDApG?wK^-!(ngED)i>n z=`vh&8j-rvi3ShT0Jc*mk~9cJx4c6M=L+mU*&moQn;l4sNJ45oAV_sN7{jWke3kvP z<<{cUm1C(O3Q2xEFfR`x= z@sjc!!{74WrDTJ%<-wfYqq8C`^gcH-a}=`mIBMf!I=;FW!-v8?c+G?0p>kI?2Ficw z5-#w%kxUx3+QX`gm}KM+Nc(T9M#KGvRPeMT%jqS=TSxn0GJ`Y%KDsjWZR$L9E7%fp zaQYh&vpof6A;=5F&}(6f(2tpzibUdw@&-uDm5sFd;g^iuF~O;m7Bd5$RWb=W>^`|u z*E>)<&34jDvywRGqwTcVq+Wt;1+7DA$KA}uCKNoXDidRP=Cmw#RxJbAsKv!Z7f-ru z>&hPF1^D%0GwCn3=iX<)c0V0?2TuhK*3`v>caGc5PgI#b4ewd2sBfh&qR1m8vesdU zu9%J-M}`ZX($bskf<1R59iX>lVsuSyzz9LKA3%{X z?>5y3rX^g9<;{WJ=(23g5>`>`9-z*|j`erg>ydbwF)Kt@KEFLz+;~=Ond0S~-63>h zmm4t@-*^+5Ok-4wp-qUT1<^FM_Dg%gHoN00X+HJ{%8sQC!{lAigND=_4J$6$D0h!* zE_^yy7h_jTxaI;wFc~s*#|SH!f*rfoo^fUNDS8~Tpxt$e6ijr28B|_G=Udy(6Qfd3DyPb(JUN9 zXNtMrO*PaiSpHM0*#xv^f?~p&;1jv8ebdoym(-AN%ipJ5E*&2Pgjx^#z zYsIEfD`DmGpcN;add%n?+nG^E&`$>juQg>6Y1>DXbs=y7^w>O}C_>S&EeNDFw~)ON zYgW=hAuRX{x0nz5Gw!LVLrGhvdHb-kf6~FsF<0?X5FV#=!HX@4k6pai^F~L+2#6RN zk$%z=Pyq{V6?idcXq&ZnA!CsaP;)v$vpP}mN`%CMqFAljabSy3IO%YbUnQE>D&C|o z#V;3@5IosdLm5VbO^9=n+Sx9tw=;>~B=!`-oH^I|y$H=XsB2r;lFlK6{yf?>?e$?| zlc38RWM3T55elj{s=iIyDoyS78aA8k?xhsy?!Vgg#`bX8In=z+io1oZGw7i!2aF@s zNOC64<)L8UGX~QPZA;8m2&09li=cj4*a%sG434S)(gVfFl;Jv?kn^TG55xuQ$o5gg z1%`<3Suz*lQPA3yVxAA*KC?HH zQn95!R4SjAq|5I(OZ#m|hdD|&V=Lxi1^?YT*VIzu%MnK>y@cy3S2+x4%s}W!$Sk-9 zOfaFk~ zFZ7YWDKafQ?&AlsA0C{2K~-An>R-ve0v7|S=yL+ZrlLG1YqJ=)o+Q;PPW18dI|Rz| znfCj6GzY?OYk2qD~p*-E1yJ$uPI_pKMxJ-guIVJ%$%B^ zhEKu;m%%&IITlvegZ7<3audd#{iujMvwCM&Hka()*{x4lu{pvf(+w?JMKWYHyL9ia z_USnTzW<gCW(<>%`Xons&0=%539^)o&a zZ4ltzR>lXZsv2oXC$3Gim;10)-FsZnAPHuR^9gezBE>a=+DS*~UUo z*#&la+mU187g9j~IuYx1&go_PeyMRhLTiR`$>`#MN3v(}kuR+i%1-wR2VTXg3&!~d z{$@uR_DB3fR4w{f(++9gj_0nirE{rEjhdwVsh&tCCf)CY??zrs=e8df7mEF(tn)mk zOwNW9b@A5xuCksQ)%OR6GQEy!IZNp{7A@-(iSp_XI`C6&PM();*)U*S8uh}1^V(>|ms(?U}S(omW``RToVYc$DB9nD< z&?zkw(=nYxUZkXTN#VQ>COi%F5`P?7{4(NCN5i9LfAQJJ^uq2eT@6v-a#D0Tr3`wt z?8bExqeX^yV~BUgLT)R0f^0i-dP>dnGRc&r{3KyIx>f6<;oEX7 z(?(DXqRz+HH=a-roPOGOUj3A!(rbX4em4s!RfLM9!8NLbOI%R3@5p;m#1?YPJvA14 zx4R<0mv6;(*hW~91!qd=<3YLZDr>d8qX(|^ujA=c)~a56$Q6!ChJ@phR)El}U$YBQ zMn_E9_OwX2*r}W*W^SF{X73tVib2)ayFWYXPA?YjbHiZ?x)Hb#(Y< zY^%ms^iwGEcUs&hF*5g??gKH3~#&(-cV#VC$Xu4QolTbf}XDAk;$Z*25O69 zD!btTo}Tyn@teH#@?*XS5R69rATHqBQBgg#xHDxasW24&TPfMRdkqEWX3TiW&UsSS z_wqO&IIwZFnf+Hu=`@vg>w?YeA}qmTm9&i$UyCJ>mz7mMM?QoVFfS)(LbXX<9(rv= zZI}7g>|+xPc^R(u-!Ch4f;lt!2ExW#$`w`5uTsZebDE z{;=l6C#i)jqU_)oxZ(xR;w4K(l51@ELn8FJClEp)(!SKG&*xpjW|ogZtQt#!zo*IP zoELE6lrII-cSermYQUF!3UY(MwyrNLbimvGPz>yP3CI=?I%$jh`qR(H=nv~v|2}WA zG)s&1FuUzg!E5*(&{X4^_m?8%7fcYKGYAse55RY@L8mii*w zTEv|5xqXNp?4sn%Y7(iG=U5;)+OHR+mkp3ZJZ@*uv~8VPgJo4_+_lNEj{-U!g?3(jp6KTh(l ze}`aZB%|aFAyCmIw8%@71jFVHzA9s&IVZzY#ZE;U`r5}kkdG#SE|q>iQzF8@J<>Ad*dXW`eI7RUVvLFBoV`Io z_Y$YhkKi0xL5PsN4~+1A-SCnEr8@G;Y3(w@tW67tlnwy#v!hgOC%po^Fz72BqcGx* zYPnWhjL7;OGxVSl>Ti!g77fK{R927T0;$x(V%`I|z}W$>26%8q#$ZXVe+Yy_5gLJ} z>{ywU+FdU?MO+0K^O!TVL8f2XX~YYq{iR3c1>BY}C^rOLbIIdle}Gse1&aCHY||vd zrB#5gA%jVA^x(>dt7wrV3VsMqY4y+!gwcYZ-%kOIlTVlRF~!GZgDz@KQK z-a42486cny$b`%wU~ey~YA;i&h^Lm{W`U?G-mia%6sNs>f_~xw zuwGyRX_RHPz(B2BfAcbu&@5DeTljOy(CFLqDJMUR_`JO#5ss$M4?P%98RO3vMKT`y z%b;)&qn1ESTjY)yJ?<1#RWl-`ac#GI^br-*>$eeqYS~Euf$1t=P^E{S7&cdv{on- z+%R#(?t1{6j6hHDy=W9|ypi1Puj6pjpUy?l`hxvV>~m^J(uO;BlWw<#O?hAn{?m7! zvadz@+AxWR%~fI}hwP#Mr4(3G)SJcqc0*#34eUi~p%mD5&aak=pSN-cX!`Hr6T>+w%?(hl8

    (q3F-sR{3X!uqmt$5;tImML=vdBvhGj z851^9%LGT*;9AT9c3LzWgQ4Y7mpu)O#>q^mO)fgO+R1&=M3>OLquESh|E!5czu(0b zhuR4q0nGy;<3w)2NZTv#$S@%h&bN2e=`1FobS{!`XZ=DqzLWQtuk{Zl6d4JGs-kh_ii#;ex)KP72sL6)T!$hys8N>oyg-?;@5^`Kx&` zJoa={iu2ocqd(|D^;j4muH`egRCHM?q5oM`UX9jXDJ>ZBNUmhb&q`#}!1l6E2UMC;3XVwuJSCywfL06nTER3r>FWkfV{K1M2ak@~op3 z;=ingV{XVglabI7|5`bwHFx8NK}-I7LENp>V*>*p7rF!=q7aC6nswsc#KO&`#j_%s zP0yyG=4qeG@@h;M7_SPFHRbF5Ko#zIfG2tp@fQE*B1VxCLqY4bDw!z#%y*<#Gs(KT z6>LZU-|Wvrm>H*cR`m)fR;n<`5;K7BNf1^}iwLKq>JN|#PXw3Y-N%xxaBh_`am0#muu zb1{!1ixKuV?s-*nC|yaaT{vZj^7w$%&JM+3&Ve5SN`qlYalq8ghpkV<+udsRAMl*|>vO>#Jf zgFZ0@6Ek>k2W-TkdGZ?aarQBj&E++>trbdH4B?J#e>pM*N&v1YAaVoVq7B0u8=+@^ za#caJD(>V_6ZVk&s{J$>&)V;d)ZVKI*A7{R_@BxRKQ{2-u;~^tL+wV78~TQe{_yRz zpzzcMrCNEPIX=LFQ3`kcsDas$H(EOZ%j^Aw7!3^2HPzg1(8{7q;?0nK+Q8**yCVoa z8;k)X^Z%uZZ%ezKxcRU%aH`YlG@`@Or_7#1y$FVLh%xGPRhVi}yF_OU?+O% zc>nV#aU&L(#{F;V7KMYP7a+y|bJGbcdpKCJ)_vH3z$FuVJiXU;*LbRrF+c(}0^XUb z-k!s0tuIM@i_}ppPUcCi@Cgy8EE`-`h41{;FZ;a7Kr%#KUnQ%O{68?0O>kw~0ryiFR8scoC z`Cf+f^#^DlNbqq@_q-9z4xX|Vc1azsl39S+o@6%^x~PMVI9Bv%(>%c`Ax39Mv|F>jEat`uDyiV!3UxWg`gYZXnQbcAFk+OURZ zX}Mokjv1x)iJ`M53f&4GxbTTXt~0>ByF3++>ca{vdbd=%uT)3-xN#ba)K=SiqH=*8 z#>nbIjonb?()5TWz7O_gcRCIbM5vd_zLL;T8!LUr0K{>cfbv;kMN1dDIw=uW*XadO zCp^@TUj=PW9%MSlBL@dXLn#vMpI#AJkhVX%*`Jv3>KyN|JN&VNb2KYMr(1u1E41UO zSyJ?r*q!rh!o(jF_R{MuGNk)0QlLH{#|oG{2w*sw07vX%m){ekH{8&eI;Dy>h-l5f zn^;>&=@&g?zk8x3i}FHdjUY=XaK3!zr;R9KZKgGNR-l&oc-uvIWwC1{sy2)e3yy>YCo2{X`M=$`)@4UNz`4PPL@P0CT@`|oAVIiEwS>9iLyRVIo5HFkI@yF5- zv%!-~HpH;RnHN^rBw@7WETpoEl%+72fN2ySW9(|+7Ou* zf-wsfU%-c9f@2Tsi{?+v*=(9c_{6{`U*I4g8lEv;@WomW0v_2rX1RIiqN(UT5a`X^ zq1o7Tjxw8>17(@<{ux(zxQA;l&;GN7Bkd1wrF?Rf8*={yP$+pdelWlF?{wCcR8 zvSm=&<&q|D&l$fe6*`Nx=2e_W^_FXtc@jsRapnc7rfdT}WlqV*zF%MqA-h3~zJB6m zSa!z!Fm>Q`G+0YMaPt?lg@lQEvf;)1rfMFO^5}4JdKo391_b(($|=A%T>3(46i|8d&ZKw0p%U2$*v`O z-yp85zT~wj=?W9e+VdoLGLYJ2#T40%+BVzjGRK27Fw&oswqJtsX~PxAOh@jirD@mbUJsBz}b#1A{-)y)4uweYjO&JOx1yi0aB3|Z`nA$rVkN0oL)#ObU) z+~*!5qC+pj`!YHG{A3nY= z@9%0z(~JnHIBVI^lRHmtC;y`|UN5xQfdx$N-blFp7-3U1=W`tNBL8vTO&5B64dva_ zxwp5rc{!1_B1aG-&qLi`w`xwrxyur;1_f1em z=DGIOyj0gX)QHv05ea|OCC|@@vjtyy-xQyYE&Gt9IFNw=)bZTYd{9IbA|LQnLJ`lV z=EcS(zz;-^LREEqf*n2r1KUN)EZOrA2jnFO6l(0-YT2V%jZfTWy$3m{t=K~|vWX$? zKEmp7(9Pp=M?0yT%{m1Qv?_M8^ha(Hlo_At3U-tdOHGh3&(H6Y_!|X}gV*z+R47TW2L-_m3mhS(?vJpwCNwC&?S2F|-y z?kCQOlz_&#>E~HDSN$rjo))@>J>dO;FsrWa^ff;cTw<@_F^0L4@@Tieve_?kB{%M4 zqRNR}PBLD71f>YLaz#uJ;@_79D-VW=^lXlbCa5F=@qKRX=U{Z0~7;vFW@cnKt zWk!#{cHCpl5LCoItCiuAU@?i}J_vP9_weTYQ-Eoe0PY2wne-Fdlr7yX8T5jlYB)_oIu*&sX$-(PK zoQ>{j6Yv9LiXSI7{CHS=u@ooHGG}x3iRG>_or2*LNcYS|l*UjF$Rzu@UvzXUf5XB{ z-$Bf{c#bBVK7%h}e(l5{bZqxeyB4@grP{mqiNa`p2=@Ml%|eMe1=ez;+aa!a2L%zu z$OqD%x6l*z*2>HII7drkRPWNhxb-HZ>@cDkxa@U*`oEYx=z)BK22WxW7GomuG+In$ zFVaLl=p>SLCsN{~sKOvn|K@5(c-r7v@p`0fKQEN{YY@`ufC8{G#2cm)U8Q~YWTHG3 z9#UvVfGKCNlU#ZTe2TT=aJhtjNSk}2x@$=UzHTx9q%`Fz)v2$Q$ye@Fpml^&2B0b? znjdT#dN-PfcL%W~R$XwrVKAJ^5;^?~+XC#%)A#9}Z0W8Q)uW2NAkC3o*E$r`UfM%b z#i%2XA8wq$i`XS;5P(G&x<(}&F`msr)BET~uEr6uE297pDvGPuud=X+HTtb780_D5 z?9Jt=mqJg;EDj4xSFWoF=gMpXn__63(uY z2)14}X=+(RDx_j>07omU=;$G6!@q3LDWzi23NWuIxSlUhLE_%M%IeS<9dBL?F3_ST z{FoPr0@$xmc_Q>k&wAk_Vbl-;{(Sr8xrewlV9S|J#?!-P)ev+GymaNEkXClP3;6B| z8{`NTZOG zPp{*;bMuZ9j#4<=M)5tz^`U=t&e$8}dWQW5(L?iP6C=Es3LkLg8G)`fK-|lpU^Yg2 zIYUBfg?8qVX-(EL$e8Qrr|lew#Z(KmNf#|s{Ts)6*3M@xbR=)bg9;or(O+deIpmC2n9CgBhw~$?c_aW^HCu7y3@7EToSG#~WoFxwl^u3sZtD~#j zfb*>iD9*BZEJjnfEEtk{K2=3#8ym`uooVKlvL0eW!^d7EBV~pe=Vh2#&{b2LJ&n&+ zf93a8tWk6suVd|R;s(u9ueuIf*qebfKyGQ%B44aw#;2{}Kw>_gOuIU{vYQybKZ@p+ zv=nvvnWqp)FBEH;qiyP=cU4WyA5bTiAXH<1fz zZkOSbzOFCjuEyRr4;ne%L0r3pskAcVWJprmdBi@_IGP!6t6Ny07#ftT4d9|uROupA zofOqv)~e9PtSw$H%2l9Ir2nrWS|eu>}vu%N^B+;X zBq>771)47jXZ?wdRDw&24S%I$OWUonqv>lqMtMasb9{O(|3S?hM9g zyd`$7Laa>g{7YddmyQ0BaK$a$Xwh@XXX-(EPT+Tk7R#%oXdj~e;9O`RPJ1ygrFj!a zxZW7Tb*@z~HL@^7q>6Ltyh>;J%hNU$aL37ap&~ZNVA;&r*?qHu=#s(w)Yh)aacc(N zxuD-&bAkg6e>yPtNNiYG^Mv-$VNXOHg03b35xxuZ#J2M-G;}gYIhaj~VJB?*a&nE_ zNl5+SN((?kvUiWm#m)m3s8_m>v=!b^x05DD%KLo|&XG;7ww z;$5Mi`r5;ytQdKshOtbJ3>gY4bP&45zzWCA3z^QuDnXjJHq=A@Svd!sxrOa{SkjcZ zxKSVuVbF05)X60lGTF6jRjWU`3wxpMz1Rsxhm%p#X-&!j-w-YL;dw*RVQI9^LfQS~ai?INRh`=Vim}!z%nx2^ zfPIpXPIlI+P)pYi96Df=5S-2{^{F7w^+(#O@wOqKgLT*{{ON!iW^EiOcbkxwc{1z_ z3f7y;6L&5M5m=_M41XFNB*ZImjoZRRZ7LQk*!LQ5cm(`vINPgOW(+cgNM>`xYOnV6 zceP{YY3BZzB1ejlj&aT(iOivjcH@Uow=YNl@>fPo++0}CyatfW1Y+fYyXRk|y7byJ zq5D5yEBtE~8_}RWVaCianZ%VjQ^{h}$aEzV0yayIx{8UDW4iB+f?zuG&*`sXFG>0nv4-qH&p}%H7ggbQ2O8mKxNdHb$OF|CY3rhrG@MvgN|BR zq|`Q0BJ$p*34a&Cw;#q=v0FBpk6517sm^6j>!X0`ng%iy*Yo9&Zw?!%_MOocE==E z%x0s8@>ytzd{#ca6&Cnv>Ius(kpnZ>s|h zk{w&Ap%j@iJLFY$(^kw%uYP!XB-W?ftc1)^MU`|G`MsIxmPx$OloJAK zKwfv6xwmZ?Sz@Yi$K0s0U6p#lhfWL!V91h{Sma`|`{lK+(}TpfHi`?Npd|hoN)}d? zlfE;F?myBIR5q9bXeW7YDxI82wNfwKB-SKJ`YGN;KQxeXBx}@tH?hGWf25kgcV+2(xR)t_aAuXU!(Gg#*?rdK2^fil#BmKP65d!#s7xi-rrq+_5=oVcV#( zquN_QNpEven6|gj+)3BKJl+pn#lF3G#ak9L*&3}&2;k2;jCdwa9re0s^;o2gCK zuum(FU>fgz=yEuwI~v)~o2olbzM;)FR7*Ri2MBg_s2{~AHGo}#eew(e)P>f^na3k> zxGQuLY8=MX*@v11h*Ad6NYGw14*2NbR{&1V$!XF~Ka3(0A zO~YT6QFthI67oL8?j0D)u*sBrUU&ZWv)W%Dl}w_sAO+bqDJ5)QAf(>~P62vVp|GZ; z#dQ8+348s2Eh+qO z#Y6v1l7gbMgNcfns}7^0m;|G$nWrnGwB6qZAd!DtME`A({zqvLqnw$kmC-+&;QnFt zXXj>TU?bvUV`lhUDa0uHw>SLM-5B{$F}|hIO?Z$Xb#8 zF$@1NB`gLlpRAK1i}&RU#{x<3!QT^o7&$Q3+pO<2cYnWB&^#+$S-Eop;15Kzu^XaS zH4N}gXTR5p>r%8YU_?9`g(8Wmqga%V2vNH2A|T_x`qh@x_dfyznk9uAFgsP zt5Bh?3z(5rIAxFgJL^ieCN3l#(EriLv;9YrG|Zr2*^*89V}ohA_5MtL!J09y#lz(M zPS@1r($p|#3Qm>O=0UQVK2(S`%lWKc_UdCTk_hQSJ9 z5yP0WgsUQthr5Bxuf~m^^J5Y@n_?-Qv6bucCzJ449@0kcl5v6hnwaRq`RpU1@We+X zwu8+nr^LJVWn6jAG-F*|-OlIv`-Q=yK_kJ=vh!m3Mu?%kgm>48@Bj{($hU|kA2fP4 zAV0iRz^W7M=w(Q+BK)x?W%FVoCQEs#xN238! zAtwKHSFI{t6@rAjl)SYh#ir1*D})Ux?os~7Ce&gqyuYEk+>g=WP@eb-Tkj}5Lyoj< z|A#JR2v5~_X@WdWbN+lby1W6eT^#ZRi8E%wXAD}o8eJY&KLK27#LnEv7Sg1)pluN*K=EpTmeGenow}|DcS7g+v z>e|SCzxOZmwmtG_y#!#q=E&$?_K0s0v;1sYb=cj`h#1{JLe{K)&YY5-KXeufA;SL( z8_4!k6Z(o%aAg!B^takgiE07c!n_Ph;5y)eX)Do-5`MSRH3r27Q<2mqL459-pz;M{ zBb2XDq9W4R3XEaK0)q@5Cs;Oe82OaQ%@FSSi4|%?mRlPH+ID&S3tAjA<8ddB@SxQ1 z^S2%1!iu4zy{VdE*a$Vq(}o|@!isPJvnJM08-Cyzf810U2;)>W(GX3m5}Wa!Y@q!# zT-}(}d7WNe8>1tl_H^gfff8W8ohyKvNpT(rw9R}^`)3Lro3AXhdm?0O@phEQn%KA$s04XjEx~Us?X~5KElRcolt?U?IRjMgn}u%m4}9O&9+^N{dC3GR`h_cjg)&qsQWN2Jg}O>-!b8ogUbofjGoi`ke#O}Cg4He6P+ zWS?WAzRj%Y(HSF~t~-C2fhI``%npj;7nvfm2?w1CT;Fj%2!qL-LD^5|nV6sWK5Iqa zh7F2Ik+R@LfhD@1Rp9T(zf{1?5N1-%D8BND<{mpy`_qHfuWqQ0l_Cf(n7~7QFx`o^ zO!hkCKjK!JRUUZxg>;Y`a1A1;Ei;UAtf=qY=vyGqePCWs7t2b#ZUU&fRuAFFO>^AY zo<8jKxa-LP#ngV4CF?!m33GitoAR$;)P^8hR0Rl#O-NHh&V6k2q!g@;)AFz3LPQD{ zL48q$S{YQvz;q=klXu`^sW}-;Kbqfum>vGm(vU&4OAaekaAg0^?N1G76Da?6L;h(6 z^JJ`Z_1sFb<#bLm#w=`@phsFgriKP!Jxtnh?`J7iBQT*d#i6Y~6E3Ku^QD3}iZ3V_ z^*r07@zKGRk#^qhV80iU3}OsUlL9oYz1x2rBq(Sei{Ea6cJxSw5+CUA?fy9ndO|kq z9T1G4a(K8cRW|;B5Cll9y{oi5eaNW90B=0LA%?Cud_4z(8=x$_(be=QZp)L0brmn4 z@=Rz1B<5>tJ)^26WK4i!LRT0T9qfVPbCAu(jl3UL z$~FGYnK}OYr=HWiH%8pDiD3(*e2FFRUWCKSt&i%6e+h#R79O{W7lyeeGr+&O&0wjMv z8SfgC>-kpL-y$vEX!fRISG>7wf48`WXPa&yf*3xX*cfxH-2EC(_)La|X?kHGLlZ!e zLxw}aA7&dYxiKnpDT*SDd-nghz5RAWCw1Rgzmf%YQX=`GD+V_2#p^9P{~1uJ3A>Lx z*{X-bV~Du-?EZi%@;gJuYhW`+-I>18P>cZ^llX^KYeKV~5woQJK*{yyoIB+AaO2Cqg%O`YKn*Q1l&@~Yv%6H zR=1;pU|Jq{*@XI&O#U(|pwS$gqxbsQQqhL8wj5QPd-gb1f45OaG2f+3tm=w8**PNR zQwOw#w}i0kDSh33D+fqk(XD)r=0qRQS09|REMHh{)}z=cRJX2cqG8lZ>zpc|mM}TT ziZ|%?gCSDye--g2KsKfi&>q;M%Lk)eq}N#}-%kzWt4+FcVbF+DTc*) za%d`MQr(T<<=}j#MhZF1Byr((>B`Vw{gOiyy2I+Y9SH_9HNtnt$F3T0s)&m@(QBi-|ytcX-PRkB^)Th_R*eN=@vERLyPl>5y{ zXwM|xcE~dk#3^M!f;ccYN}O<@F#&p>pzIpqUBc|N^E!`tI3pEdE7No&?WMKg9V>mZ z-jq3IVMZ!Db0{ZWeda;z5o#|vfFBosj$GkN^M#6b6^0njscu? zNdncH5f1dV7L34jl zd*3DHgjJrCybisH^Z@YVzBhIefXsX1wu+*=3ZH-}&P6ypGd4OL?@JXU)$BhJ(@9d| zjDIYJU&5H=O$yMDQga;%)Zo>~A#qEH#^M+7o`~QHfH1YrYPs&)BrbZGkd`Y*!iThj z)ND}MSW_WNlz*}1(*rr-T_6;E%FG{an9n2uBA4>zr(9ebA|wd; zqs1i`=Dd69l^UJTk`P&A-Sg~yW3X+jP#thXqkKMS5c4^3s*ZRZ{SDc4@}x($=D|sfSoF*`0g2?68&;n~Ko~x!yG5XKT+J3a3eF`Ge2V-DP?U;7X z`*YP%8oKEdrsPGIWe}z4=YTP{`g#7jP{jVu=x;vUm29PV@cnp8!1oOh{G79*2(Dp#Kx>r3Gcwf9DAKkGF5lnNg>-_1uh!q^$V8M9@wR)9~)zLQ{zn*NS=@O33 zhP+xB=@t2NfU(43_cATF#i_uF{|7^v75=%+YO>RxRW~ zMhI#TGU|?nH`VL33=RH|9n6H!es%K9Hp^lcvWN(T%@`eS z$7d|E;4&E3F{z8!F=mj_KKlfXetPNqA&X=?QDORR8m!Pl`y2YfS8sJ=dFXerRVNHY zfr89P{4<-wkDcX8MC7^06BbW4LKfJFR!PZ8q^5wl6VXOP5laEPiifST%d52%k;IC_ z%BOQq!hqnrKOn(ass{hHI`JQwivL7V{x_);|KAV?SpQjC{4dck{v(><|6tr_<@{d^ z55qcI4o4g){(s?3%vQ&C5|4r=ElP(iZEY7crF+;*^a|1pRrRnMOOAFRCvY+XUqC1_@hnJI>6J7#8PW@ct) z=9nEb^D{HX_{gwt~r)>SMsk1jX|0DTR z^3|#JfPg{A(OguU^yZ?OyHCN>gOPn}FRW zKWR+-6+AU35_hW0!C?+C{@(6mXf>Yo>kfWNTamL^xnP3W)< zcUe}s{7z(#ViT1z=T(qZ9`}F3xoIc#pVz~}6ViZT60Vc;6-Fh2lrk6iVd*=AP<`(S z?$7X4{S3ki%hQv7mzVZ z?YEg9`?GpdqU8q$@`b4Y?*jv!sVDUq10mqZG{So`8v9!6))+k|t;Sk#N3!lb7o)Mv zHg}yPY841)uC`xaN{W{oW&!%h1)EN=f55*_U;g?TJ}D$|6(J{A(M zB3RD(itEQ!$&d0p5rih+{3bCn`!PMXkytX3jL>Fi4P!`AKQP=n=HaM-IA)13_#8)K zgub(BLxftMrUJ*t0YRKnms!82T@unGm;vT@)8jHFWE8Ai>kFwq7LgJ7_>{*RHkx86)r6? z_?~9V0xF4k&pl+`I>N34DgjJ?p>+tZvjBMXaq3_tMi-3+uazY~4jL>D<4jK~zz17d z$Ys!-kG(;@vLEFFemhK2(?Cl1J|*S|yru7@1j z>dGoz_-IN+5vd(!0mtoa;*r1c_Xziuw-UWHGaRLMHA7mbB_cuR6H#bai@1!M^8fNZ zwWQ!STeR@~Bl{zP+UAzDss#l`1}t_S{Oo8)CuI7czhk+lBV%J05#lEyS1n$hgqd!M z4TYzhybJ00+uu(+cAQC%u?VYhl}%4%P^8Z;)IkovAqbqn)Cj$yp2RO!>o-CJS;9lq zMa7$wB#nGQvh}%>gjv;l)iq`T#H(fMun1kX=)U;@&+@Ag^)E`XLZTohO3O(4LSR6i zRMA`Wf{F*OOyX1`CN}F@CJnD0Yl%8QWz@`HmAzo<;*X*z+ONHvXbV#hRMB`{P90d` z3_cUYeQQhJxJVxF=(IK?5PqlDv|JuJaTPLG!7xnLwcJGnyS(B2+^UsWh;k*rEF9KS z9$kHOKJG$#i%M1U%E@aNIB?IVu7edGP98Ijl&{5)#_muIvbZ4~XIy=G2@_rbtN)>U z>I)MG<W;T!@{|qe?s7Ikp ztPP&d4n)u;nqazGe-&CSv+ivpI`M)Hu*-%Xq+Ru;!WO&d58If98pO!#9k@z7PZ%?B zHN4t?v1`tw{E$u1-CCovNGj;&d|C5^BtC1|R{e5cQ?yM9&W|zIo1+OM1^9?{QayJ~ zE&`|nNu0bx6nsy;b9)BXsoYkCTi_|3?CWe5`e6AoWoHUIhTOiMS^t8u9;YUHNj5Ty zWB;uud#g5~+G`MKykFq-$IVEfM~4?fjD|pCR#B!>Uon$JiPr@5Tek^o(~2pjZw2Fw zUs~GmX(KN#Q&RmbnDs=UoQq`(9DCSev0R~1u*mHuki#f8XUM3${U)7<=O@B&CeL1= z(DtK%b{HyvlyNx+_{P#@yht5{?b^~1r0rgehGcs#1%fm%@_mn<`u>RZQ$RAV4E`zR z@OyjcZxv+(H`v*G**9zZW0XA1Kjg$`o=s(Wo?U_>;%LMr!2?~63G*6&CdSS57HiX& zv}S8BoTYr?Q4t2k8B4{F#LF>Uces6P6_#R5o|^@KSEsi ze=<{pSPmN2@a_lwuQ1Z(v~B-3W=DOi*KvWGGj8|!$Kb71?YR9gxMH5!&5e!{S5;Vw zR3%L+3R6t})PIWKeAVEJpKgjfREvm;w_0_ON@Qe|=TrBi2_-R6H7q8hdlpDfDoFUJ z`yST}Om~4*b)<67M$@o4BsxmR9RtTdV=hwci=NMJ2XdA+lknmgP5{5gO`Ma%vP#KX z4~K!8(9O_MCPbErr!B2@=Slw>?Ks{Ko-CimW7YurwHxNw@Rf%xjWc3a;w^Q<*4g5cwcdZJ?;y)>%2jsIqaSM(LM!XsXSTiT@b4+O?LgEKb<5S~XSGv6KZ* zr^Z!PM47u7aJg^AtbjAF1eTYyv9aSmu#t44TV zgjR!%9izKsxOqUO$8KLYg!fyBb)K$m4=eQWlXP#SJF0&nQH0DuCWxz8L5dGUmAdH; z<9WTTYDFDOuu#;rC@XTggF(Kd7WU-%i;Rgn9_fjE^Ud3miU~^|a(?$8#}?r3)Ad?BVLV|gb-TXu8=l?RXw{F28w zbR{#lassup&KV5%%?OPu)W0Vy1o@Pw{jOFImOk!t@}y}j7u{UdtJAlHBuiLiCi}-{ z0*@0Edk_vZv(3T(KKot&o4oo`{uhH=hwU>qcs}S}JZ6Dm#8>_WJ97X!b5;x{MIpfA zM9Ru$^r_cu4Xn5gPXz1F8W_`c=GF%U`$}lV66Y6leMFR*gpbB8ZjOgCT4afc4p>( z`9IV+Iwme^CMN2C&Ey@;{+ky60`F$-rsn_uhX0=#9oYU8h5zs4<818z3z(gM@`OVb z6I#R*UwHaOQ9q8|Kg!MQZwh5^DW4`T7zHotz?LGC`@|&KA z2ogeho3h2{?RPn%^YtKWTYNq4bnN&X75u;8Ve29HmGhclJOpM%7X>w7jhG`gYX}@2 zBkyt(ULKx3FN3AcgohUNAxC;D`_w&9XLH`DE$`+8y`fq&S1_X2E>9E23)^nDa%A&h zRS-+ef=e`|bfCZKk>dq>k09;wZnt&qm^)#FB)1WEk1;HW8N#Ws!Q1?M;Y&|NA^jp| z1^zpd5__CSBg#Ul9Grq*gf)AtO+JZGqDF3BlBm*)2PjcnRlzvv?PO#Mr-Aa$KD(rz zq?0eLI5bybm#<`-5`4kIiybHq7zxjEJYaI zAN*2q&`A4Ys1A@WVY8F&p!-vz!Dc>G=T?@UPveq2ftLN9jV%yYs;m$pX>5YFAC>6w z(o**{*)>4fiQ8GU-=P^h;IZxM6%A{P5kLD|*#Fkt+5SWD`rn%aGYba?J13)@xr3#f z74tvtP&SVLm2>ml7gkSgmo(+le>{7)J$v82m?vEG zj{GhE^0T^H{QX0lNLs|D$Cjm~#o=?HzH68-d)nmqjk3ou#ZW6?(PLfsTY^2+Z8gI< ziEcf|#2wbpAA;!O&9U_Kw4Zww`A`*#{xbiF)?D_&8h_=9VtpeqPj0WZHp>(Y+@E1q z{MFGIZl(4Z)|eB}>yPG_FVz*(sjpLg)>)l>r@_+mwS8@62BEbJU;092^IoK^}A{s=q8~1`}YkOJw zsgkIrC7;6LEIfHDULiVPRwS^dn5Sxky->E{d&=|8Ge_Kvq{nLaSE)UNPQv5)!X3_! zT0^(n4;i}IDC73r(EA2<|CPp_^UlucSo}v;_m;%G*1)Dy%3Q;mmBh}#?Y_GKPhFTk zBPb~L+I!>& zT5_7%uM}noO|SShnxi5zDbmWA^6Jg{CCx8Zt_0iZR(hEuq5?%9_-%cDp}%&?oQw0y z1k9ore4~$(B6TSYhVFmkO&>B+)kJgHhO4>$>Dp}m-CYx~I{VpOvL%}>&ynS$)&&`P zMz?k|#4_$c+N9jeyR2MB(&@0m+wM4RdXzb=a&faY-LAQI)rR^(;4Sxcgt@qzXYDra zyj}Nm?hn9iPx({s)RpgHF`wz}(`1gVL)$+In~=4R_^!kM{oiKiRjVoaW_7OgT|#u* zyOV#zTY@RsHlT%t5A(^pw)mXKW3!%V<7v~i9jO~uf<+hcwUta zrqP9JuJC`Kw!gZ%3}7z0&Zo!6N(>aOfoaA0g+BNXN{%JX#qa#(w@)U3>uq04Vczn4sb6k%JgSzba=AZ~U238dbvD;-K5EqFi3bcN z>D;jGSFSpZzpfH{<$*1MEmefS?v%{ zIMRvC$oWGalttc|N8Lyc#)76`tZ@||!g7_VqA{V+z2c@ItZ`ffFxP;(9G@TuE{ivm z8EMc@6YAVLl#)AnWG+NG3ExlmF8*^gTZEo`jeUQEo5(|l68s0|tQSf1<_Rm{ExGsN zCL1En;(H3P2a;IKc7YTbY8hhQsaF}~4>B=A*#SQdP7c;F`V|ZjI|*}InI}BIK&e*Y z07PXEs6&c{z>}wfSwiBDi6g0_5h+cqG1}bx{G6jq*k}StBfA&13T~@7q z&U~d!w#s0^zjHwvq8%z%mGMu}lTihdE^!SCY`IL@QwI9~b(7=Tj$`p+4;<<1qP=7a zM45^8=zuH#^1P~`uI{D#bR0a9%RTAk2MDVEx`nn^8z`6gQ5ZL_4}z{oG*&E)+e6tA zX90BXMArNeGJt%Xgz0kKDvgWWV^d*%gprRc1&mXtlw%p!t*re+>-w)RT*2xNoLb2I zAIis-!mFoTXz$Kd%&jl5@aw=As0sPO_%CJNP{9UH`n?TY zruwE0xW#(a($=c|87#$D1vQ){3g8F#FhGO=Mln(A>$z!{zFrXG}CXk`h&M z>WPc5A+I*iOfjNtrfIaPfBs-K!)Gj@re?A|KbLPIPC^M!z7(HArd~fqVC*A~Mu0*l zgc^WpUSXMf1R+KyhHfv|b|s`+bK9&_jZzkD|Iy1`_HmS~{d}-L|COvLsQoqOQ=~Zc zc{GptN(Xmem8dbJ?ly7rMa(}pF#Le*zp%i3ntH0ghW0@FfZ!h2p|M$>TmHH@WE0L~ zxLI?l+^gQJ`c%1HC0H(4DOe#`rMfVtQ^+Agr!rzJmWwG5kNbo)USc<1SjZL|Q7kX6 zCZcKuvpB1#BO-g^)}pLml2>Q|tVN5C`i7E3qaxzki2iqke4UxdUq8SqETI*P}<1e?^V?{}6#S4k?_LIU{5!TPRn^#2F zy)SJQy0{jf6$9Xul4a#kB9 z(qC`Rv%JK~D`K|ZU^S1tj{4fAaCx4*QiiU86Vr!|KbSv)*wX690Mj{>imLK}Y6?@^ z3;=jDao}G#x)Lnfna$2}Z8s7Iq(V1F{I%-Dq1tVZ z0wB)f0yI^c1}0XAiYgs42^MYxgfTRD6Z!S>od`#dd# z4Kgizg}A)@5mb(LF!5HuPy$vyRl&qVu$`l^GU-H&5oI#UrVy0e2})hriKWPXx37oi z{X!U@@kxBXbh-}?Dl*1xDOEG_53w&5)rZ!c?Y&}cCWyFFEIVJTG&-rCoa*r)m71gT z#D5o7`OB355>^^q$S;zpE4v?Cu5N@0?b9pP*~RwUB*&8+_(Ir7JXEj!=2>3g^hQMV z-WaEY=n;W4lrOInpY(T8>H*^CW|X0dT8op8bkd-mO&&owdEHww)m8VGf2+if`T?ip zZeOlJT2`*)m|j+1(UC|{K60C;VORWV-8ZHo6}V`tPrHEqnI(paY(n>m@NX#9U*r!U8p}!a83E6GT-2` ztBN-4bfU=Ihf(k|55cj!Z7zoUAX}^ONuI=Z|0ONOTUMruHnxl?i}H|&ernpBPN-ru zEkY%TTPx@ASHfbwB>p3r>jL^}v3YCR*xnC-?Odp@DEL??R+vJhd2B7WAg2#lngz25 zr=xQmPF?pNFe|NfSX+Klz;M2(==8Vf1Mb$WyZlyTv@t_*O){-Ur^azxH1M0UO5Qdd zfv;jflV*jV|URcZp)KqG|s0^$9#Gz?dNdcildzOd*i3iuQ;@ zUGp?1X77gPh619WHwPlx)2m6T-!2%=(LVrmI=TGe-65FpVcfAD`;%-B8b)$EIjgdJ zrHrYNc9hbKw$evRQC3&iTl{pQmOr&3!)89HGFr$B`!PWE6*q;Tq8R@&DR_7dznkcw zZssg&UUFH(WNkc%hJ^<$9&Wb~jz-yTVYZBdc5!cY2OR%_z!(aj4l-5yRi5szI{y4B zdV%s*q1QR#d`jUqO<5ygZGBoRTMGgGt zrSeuJ)!e5!I2_;821*;e2N-g_Lbgvq&Co%YplZ1l~rO>inOB10SKiuiR6aS=qk@8qsb=inA9@Na?uzixU@rP z0GEH4V~0oqFfz#S_*KkvQknn zgH9&ij)@KUqY@@<{7WQRBvm9yL`s;9Y^}s9b*}&uwq%8INB|I!yr&8Hq#R;Yd8Z#z zRC%WvLRIl&95Mj(B=6}09;F&|qa910b)xG^o>ih5OP=+jr%RsIq7_S?%P>h~8)Tys zN}iRXQA@lGqn}EiBQXQh_w)fSpYbsof9xUWu4P8J!PCDG23LFvoYIboMSLKWu22T zIc1zfF_&eXb1|1?oZ~PxWt~$oHD#Q`G1Fz8GcnU;oPT2C$T}xs;>a{8MG9tfAjFQyf@Jj?Tv?mJyLD@`~hngz;U#d9R^E z>$(N2x-yx!6IlK=k|8{nYn_4vB0;k^wxn6hGY!G$OfJy^Q|0I~6i}%WzI^S{yk%E)`kOOy%V3i4gkv(WS#tZuv6&_$B$nIEWZ`y$QRNvqA3iXb*`KM9 zBd$HNkTI6AkTG##ri8&53GHB^gu>R=jbVvPr+&J8s62|aNKF1L?X z$<@{0nFG@^49t9jWB8`;33>C)eIib*fw^*u&STW8J|Why^ZPkZxbwy5eqq+U^8<40 z89MC;qIUeF&8a8gz#o0jd`e8Xf>;ZGFpWC$PXvIznH;S4CLPqc2_HHelggJS9m#i> zMjg2)96;Sn2jh;+6DlA9_X;xMp%3tk>q-Y`n^9}g5lyEzwuB{@&ZM{?ua>e!VP8q)kqKd_}plNenwmFEX27<*pej|J@zgXKh$|8 zc4*CLgLDQTxTxokwX8eVOpdDw%adEhXvq_2ji(AZuL7hb^kLQ%Otn^Ks>NY0%dzdYiSAVFG?nMGiPPP4a@{2@3 zyvmf?Fx$@xHrz8vd8FY}&kVHK#j>YoqjR2_b|X8+87&5tel{xH7}sFj$t4lWdokf(c{-Ep4unj}%)rZuhAQ~mAQ_J% z^GJrkz~*8V2n$182Cln0qIeek}F_g z&!$qwQuISJ=eaCsVegzUIaLvsW&g{DS=^C%fT7<);_(U?gh%9*_*4m1 z=~aL^$F+Q|yTDK(1VcZbN>8zY}>a2Q*Cm2>*3f~>%0xQfxH2|0lxvh;c#)-gX~A=gm}7v)HCMO_$F~oP*4Y3 z8`^{2P%B(rg?>i+TnkkT#sJFz$pFnD+#Q2{RTOn|iAX`!&MEQ7*)ghp(_eTn_p)ix z?Md6F);D+5J^#e?_ClhuG;eokx6Sm`D32UaL)L0+9r~&oy0SouKp>V6Q>YYL+&5pamiCJC zmTsqWUN(e*!^wa`zoY<1zty5uI7D7lYCn=TRGVT_@3?5vS^TW_CGu4ykN8pc$6!Yg z9yuqScSO*0p785@gD3C<$P;LPNgpWh&=P2I>Gl;XKmRfBQuVINss2LeO82g+6yW0U zd%L${!!S+vG{3oGL6FR|E0FJf-$nM_lr!~t~WGl z;o{*U1Bv@fZ4CDQ*<7(F^APVI|BX$~R@<}l;SkIb7RwWn)xEo37iTdSt%BIl@BI{V z&m7Vth9iSA{HOMP^~i5nlto2rMVN+|(URgF6j&c~*cGqjZzv4$jhz?K0oF}bHv+tT zK7(@WgSUG$RqK_{chwlx(bHL)O6N_Fr>b(5*Kor9;3_|S=)`h5anF2YyO`j+rn4s| z8XIMF=-Dd18)b5_lTIO*q@QRSTC0vLR07c0;q8ebn6ibOL+t`>k1u`H0RjNIVNI3&cHPWmHtsx(mV?Ca_K=RETBmEvT{*RQ@PjzjuN)g^FC2urXykd6^@ zJ-!xnHIxQe8RSIpe2}>iWId)9L=FNy1U5t&7-G;1XbdC`;xl4kg3Ul)fOHr7!Gu^2 zUKyMTLNelELe4-?15Xu#W`fQ@dJc9eK+1qhfxrlc2YDG0GGWtz4}%p4D}$s8aA_bA zf+0vraKWF0_>8!m$eED1z;O|fz+Hm-K%_>bOkl^T_mHm;{y~HVoHK}{FpWWZLZ}72 ziSTA%CqkSc#1im|AXq0zfDlU}RC|zE0bL@P8~9!@7b$iWco8`D4p>o;6bNMnj1CPv z3=$iJ6b4QuL@*msg+LPo7Ig~cSA@j{M+o)^`4+StWEdO>-U}uODF`kIAqch&=^K0% zUdJOc9bdxGab|m^z3Ev=1@`6@w^19y`WH z1TzqPP<(K9(8OS^V8R7>a9~f6PvB1w*}?K4e$XaJACwCs0$qUYK{cQsph=KCC=P@S zdH}hDT0rceMUXlu6@&#k1et?ML6o2&kT@tDBw+;U1nwj-1C;}tgO~$Z4Lb$wK#zks zGc@`@tPTu*V66XyUg`zC;%I&Se;39Kfjxo+^9vcFqOCwe{T~(o$Jn-pMi+?H{=qGb z^^ed?J)kultv87O6n>RG?S9>=z2rN90=;E2xI;(%AeGNbi2@}3$aBSH%hB^6nu8fi zM^5;&#Lo(9f!W6>9oZ1~Q2dHl@8R3LU-Jt-9oFEh3z0OjCQ)mTEf?0^kXFp%t#^6| zbP{)jkImd`J^cMo_GhK`@k?p-R?$qSYpI+&AIgMw>yb}%=dHpWH93$(<_phTexhd| zHJ-%GFr{kZs@C}OWj2+XevF2nbL%#E0K;mOsQkdP%s@?_J#>TC5BGNdq3;>? zBr_0*yeY(KGIi{oKHyqhc)uZejJGE9H2ZO1z?{~C%TA2W&CnFbtQW?t6;51hyKF+- zJC72#gnzGprK?(AHnA(%q#tKq=)53XD=Hn|KP{Zl_!^be4(D&=-!5sV?{NQB-}X`d zo}?K+;(j6VS7%^uF==aiUUTL_E0}Y?GNH28A=tvbxN!Z=kxT87YM>|8p?Lj<{*o=l z>~RC!pL#RA#UItaX!4nG=>qG{->vTE0AfK-cufR#M_ND%RXJw&tGLVbr7i5ePc+Z| zp2>a$$vf7=sLcBt$%!{+&xq(&ZbZTb^$B3b2hf^VXxSjzEyOq{dr!-ce1^6EN?5&o zjQmQ_O>wSNH?P@FT(T^eukt;Pl!ZUmxhLLY$F#q;SFO49{2-L)4H^I28&;6- zS!Sva^+^nMY?Z=A;Q4tVfoQvTkMP(0*FLA<<+>!7YA%ck1y&O`$DiyaUA&A;=JLR= zfPvItNR}?^r087&CaY_wmcU+2@wn8y-Dn5Ihd4;})38wEaeT+EgHK?}V7ZoWhP1Ry z)FnIprZNY+zt)vmlMRZhJhtmo--|WnUCi94u?N-25VfQ({p{F{^O(XvbZxn$w*V&b zOU9YMSG{t`yv8~PoDY?7F-76wEhXRGF1$rfkH?gmQ6};CorZP)%qr+U^;^R(k5Ha4 z|JvK*TIzGg#dcg5u#W?z&C!I`ZcA*g_4tAQqM3I8AWNE^YkdNz3saAVgEK6uog;c( zbkMUOUR>5MIa^)TV6M&Pr1+rDUQA0&JCCc6@tTz2h?M`m{nq}ZUU%B!Z9hAfT=YRD zczAdyj*9mynbp6eX#$P9q^Z|bQP46l)@#Wv082$f!^h7rgZUuSrV_uxXP$)x1{s@g zO8<`mySi;XoMgv(E-^;!55DIYX&bRvP5?K*jFy;Eljr0==o-1$1&!#0!DQ;;PIC4| zJ477nW&sih)MdG(TCX2gan0qGl;a({J2D@RYMdC>5KVO9jWp?bca$9%geE!b=%t3| z?cZ0NZVKvNztG=0sE1z?NsdGV^m5pB8FOlIrj`&b&%&D?`d3l+dC6KXRuJUzKA7ip zmA-X(%Bn?OzFHAwy=oAF0ntt6$8y3nMuh1G|N3e6XIkS9e*s9rCO-UrD<*d zNiAOP^4e{0q%$zg_Ek}Dm@rXck6HY->eyd_LFHIZz*qlRRI#6yf)d3d8CHSH{jG?? z)Yq(I-0|)6H5+w9@W<5rJQiLuE>SinMqXyJcJt>?bvhD#UUCX*ia(hlSmo1=h|Cj3 zWB-=DzwT7TV+CAI?lUnkVgyFSiU*kCkL~|>`2STRPmnjUvil~=O2y1(`c2d{xt(bH zZGf4h)yS-(O5BVs+beCf!Ao^2>f|+k$yg?1T|Nkrdb{T-e#tRzBtKv|eL3BMsQx;}q@CvGco`MbAgk>dd2JW9w^Q17}ubS%oK!BP~Ae zRdI@SxraIS;3fn1x#=V)-5e|L;3{h8$j{S4(@*);EOgJYli|~sn9!SzY-jolN9g)Z z5@sYMI*Wd6=*l+JajYFSH|JqqN=5%vaLdqr)5&2d-nM^RaJlDA2C`?HihRVwKk!m5 zTxBTr{znn+ZCgtQP_D+-+W-7{g1 zw#|e*@p!SW-8Vhy$c;2J-9p1T_8-(Qy-9F%Q=%vkz}mc3y8T zk++TP`LZj%=GpM!(g7P{wv~!$2>%v>;==_`(7>7De3~tG_G%ihss7^F6a568jT%dn)R7-}v@RqYj4r(-VP$0a{b9CuR>4YNo#dNQHu*l{j%&q+gg zl}CVJ#)!Td03`@J|Quvs@x^mhSIG zRck(~_w`v(1OP2R(^eM3#1yL!1GV11U2}}PZmzNwCrQl3rX@+d^=P$H${LW@-O>)F z?XM`De;;K2c|rf344w=*m@N#fklq{+j6H6B(w*~|*P6~T2#>N0cC;lVtLyXo2zYT} zy_?7YvZq7fFXR8dGXC+EnN-cCpyg6@lr+3Ae%F1~*0ufgRs4qtQWD~xuXNTHolk;t ziSd=3s}bTpc!F7`+Wj&RGvQ|-fOs-@WOT2A(D0ZxC?8(?(=OW^^v=&3KZ-`q5*CF- zMlKrlPn-_UDet(RYXXDE;{Be5_=lddA4EA^P!+u_pUr1c_-=Dlhh~7~zRQ2_xl`Fc zTA5tX6?i-095k^z6A-z?DV8sSQ6S$Mv{ssEiv#y+rF|HoDT#epQqFr+cklUFOCiwBclk3sqUr8EczzVZ@wMJ{&RW>X)jbTju+j6aSG~T+qwdh0GXLPSL zG*lSTWAga#6THbuB}yqrH>iN(<-b)26(NCzoP~nq+D#)i?gTV(JxsBmDaGeZsmav{ zowg2!fQm`qML}bGHc@m0ClOQjY5fe}4V%O&4U+n;Xfs7}sxhr(yJ~5UrSAl`zv9^Y zcsbB?IL)$zi(RxuOzW_`>8eCa(SlEYqb^|kTsCv7u^Q;Yr7ZvhTG{-Z|;sW7+MpiH3Sls?ipj`;33N z-hx9%Hj{c1Bc8ZP;K|*nQ}@~oE9Nxdw5^F;!t_v3?A;cqZRlyx*kIB@oe$%&==b3^lH_6Csjq&jkF#5= zfpp>%Z370W++GJ*dYc^rHxP_v4 zg-+}uyEe^IOqBD@1V1TYg$)l=`rOC!PE#m@Rnwpp@br!Vq?hVZU{FC0E3g!@%q|T< zM7oK1vT?2Dh7${XHx5?=j>Io>)0Y>g{I`FTV5j^V-n@>p>drS_Qg5W3Ces~P9-cdx z9*?&tiHS%){%+^_oxeW~OMZTCf9}2pc6qKwzbV*v?3VhzY4Tn3Eu50Feu53=6a6IR zBzj10BrCCl14I)3ClQE<;4rzOz$HNT?;GJEXSsqLX29EsDfGa~^Gv^wfw3-*$c4&f z9w!rqb!$DnU`O*8$u;f^N?m0=BklaVi(llFDd!OmB1_BWSmDl$Sc`5%EglOelMsVI9!Z zcuPmo6aCmaZIpUqMQ+bj^ji#nfg`X#-^dR8xsxNq#*Q8_A5^ze;JcDHU}Mz7ZGj=X zFFOMDvPjdNHzSNUpq4KpDg}ua*4Lcn%2|MH%^v!ftKC%;Isv~OCf*U|^u?=F9v$zm zenv8i2Nc)`>ee)oMGbgh`4nwhPvxTkTG)E-8zZ!hywk7@H*H4L;` zg*?OdVy9X4d_L})%g5hBv-z}04Kc~LY~=63yCqpFBOSx|XX(p27z4}tftya>Hhm^P z3Q9eEe}Lm$&8TkGPp{VVt=3mpP_1^DEQ5AxDAalS%#~cRLy7MbJ@N<8uizFKZ56)j zMtFk9yMgEe!Mo;SNu}&kD_y3b>_aoHDk-K5Gh%*0R8ojPBA{eaY0nJ`z?x5DI1uaadfSIh>d4LEph9;kzY z3HvC=>Vc`TT8V{Ww<-5+ z#vK?i9$@VS--yFZt%9(op_J;NiN(6ghmcV#ZDuODbPgq}{Hz9)h7uWThbMILm2B6+ zTz5zaX~z?Uq~msmio-1o?CSSCLRutqYRmOyF$F+V`#QFR7ZuHC*ZpnMDTp2V0g&N^ z0+{T3&CEN^zOiia5=;xh$uRi?C#K5cN)vqup_r?b~qL!F% zw%?yI#bxK`S!`vgik`375c~(v99!~*`&}abufn^)61?MD4~)-79obzPlq}f8z7Pb3m|pNNNxS|ZiZQzJ zFv(?1gvt~Qv4SuoeXLrD^6ywsT+J{-+L_(z>6+< znh3O{m$HJfm9N76EY2vN4Cd})Ehol2j9ZDk%<@9kP~{F?A}VbKVSCUs3V=^~NZ@xH zu=)JnIL7MLx31CWS*pLt)b(Y7O|XxNd*slzCjAR=ueYb)Z1SyV;b`=WHrnW!A%#IdO)q74Fd@kBo_VYO57kuB7B){F@|8nzPej1>=T6>%c zB|xpK9n^dJIB@EOa(Nc_h5H{X{0i0_O$>)kh0!99lL0&B&PDl3-PX_0Xbcf{ zgvMzV0caNu1|B$jk*2LPbVc?`eothZ^Z0YJpuMB-D5b?2`a`FC$TT%RsQ9HrQp3^9O_j(|Bp1vE zPVmqPiz*gH#*w|;^{LzCu13(`33T9sr}qt2$Q)W2tDOJTbSEyrq8C3P2g;;2<90x* zgi$)xq&PFfGf$DJb0Dyg4IFzzqH+hb(*ZT7)r&r4y<99%6mJu|&r~H#)}lwnik^5s z5Wu|-_3AlfD%RoAjH=fO&zuuR^2t+UQZ`rxMVMl!V_m+e>1T`IL*{ihN3i!LAtQMZ zjwsZTC%H^}IT$iJPnsf4Kl(F&z-!n4{%mKne-HLL$kWxzUllte8&kIgPyYOTB!02S zL7yeBVVDBtI!&l=K4s48PB+)M3Bd>qRzarO=it>mHASb&LaQm>(*?75-suy__BgRe zIo`T&2&LHsC7mzkx6(4NuKxb(08tB3>C)y;)O8d>wv;WJ0Nm#6cFrSUuNKh&# zt`DCXbs@FPqQIhnrX1f;4c{+h({YIg0hYno1-4>;6T50zDD*On!S5di3a#{pNU+x6 zu2fp~Thr~gK}7fC1duji9_6Brg8qtrL8?xi%#_^k%XBBiv>H+VQhu^p$`w0cA}ym>8BSDa8+Amibg*C0fCwNYED7~4X=ZV} z4U4{-vikn+Fh;w^GwZHvT#1v-kexYI;Rz1S(h-qjbO?E-5NeZcn&%^bud8z+B*>iT z!KdjheV#YSI)bV4zcvjdJapAIZJLjHPvDjEq;Ed=*Bpwx==s9`7XVp6roVp-9L8Qh zrLHi;?2B(IcbHf)PV0&dysL z(4768&B!SW(Um~ef`6pmZnii$vcv0kc`$X~<2i3{U$1xWg>qw*ItyFy4}N1PymIA8 z82zhK8a69oE#7$HJ)(<9h%=H-qZrc)h3~UuoRa)qd;q zH{bm1EzKPVpTBv}@%`y%JiRxqTD7mw=k5cqH|IQ<^U(i#Xj#`?KRSHiwFj4V9e(A3 zRS$1#PVabl@R~=rH+AfMMAQiY^Xq_rIe@0CJQ^fVfhgs`#We%axzJw~3MTM3(PRspft1(yy4;P|q`k*FT1_$jOJ8eRS|eb; zA3k(Vtng4dpd`g4mreC%H*g9?VY{oLPeO7n@ghJnfTWOyW3-zK!n@)TTO59p6X&Lx zD7@p1-&hA<2nHZ}TB?Ck{nJDLR~TilC(BpJrCb7>gy+$PP`^lO)!e>`0dM4B|IN?t zD`ON;VFZ}rMfgc0>@A|; zGN=9qV?m1aqPjktfciT1^YY{ws>UxJq!qQc85mopxA7;Grhwh%F{$tooj=}QyQxSU z&?wex@4P1NsLR#ZssbK%wTk+aDc+ZUt;KpgK`Y#n-F>7IFcy>Z+dg%~iPuSF~r%*qTg5?b`j>ilNRLt@wSKq9ZLs$xyn|Qkhw^ZcU~V4fWnQ zP^q`sSv6~7jSeI2@HzNMQ!vsJ3sfY#*SDv)^hQ`-(5X2KYXGv{>adyuNk_D`IuuTH ztpixt0spOle>dVfiV%SAW4w-FK~*_sn^bL<2UVv(c)2JyFEgHM)oBZ7X}w3Vx{S)g z*`g4}-i5s2zY2I}50w}?pyYrSws90JHz@LTQDp`}F7Q8(xG@9BQ#@uot_n`EQ?~ge z)wVE6U13Oszt?j8*EWnlv7-@qlf~*WD1F`IsnohIkJ8|_I9vt_ef-8RZ&{L@dgNAY zXR-dxJ+XeO%M04JRoIS_z(5fXK{{;LpdsX1B_00&+O5Qkxx9yh2u6 zdQc$5&RJ@k#h&?c6A*FGb3LIl2VLF@3qusXN)kH1+vPKY4mg2l3e^YQPLF}Y{~h}iuGD)RcCVhoKSY0vD-FF zvkZ2APQmCFn6H}sHa@J@z_S{9_B+xsp|y$AoO;05!vKpUGWtB?$Ce;gB#a?xRYFK6 ztl)uY;m3AZ7;y-~A&fg1WU8iujKMW!D3^vpEE%&}0F5G(shFf^*t6wx5IjWTi;R5? z<_5$3;O90Su8+7}L0+Z!y;}WyLT&U}U12@0MJo%xWfc0b&*3$x6u;ClX9$%6NV1@g zR2P2RYPDz-I4I}n=gsEA?MgT!(O4|#8|aV4$q7(!g(t06bRC?YkXoy;kOJ1j+0Slq z_7gjam_fs)VXW};Li`p4=5m^GO<73&6j^lF*axYfK?~tN%u-}s!ma460&Vb`1rSVy zX@=4Tz0QD%CT6jJ2af8qdjnd98hxZt3Ul-THXu!Sfc%Z4uPfCgLFfeNtzDo;4}hE# zLF!H-EVllH+Xx;=5Pmd|$}J`zVNw+oV;@S}gqVcR%8hzipAPd+1A5UW6iJ=~RRG=r zT*cgOi;GaQ8uT{>56ePvgl%IC42dgsOu>U8T3xpubc-&hN5`9O2JAHt+-E{jI-@3{ zGnoX#T#Xl0$+Z{qcpLsBu}XeF?bda;I$|BTnl{%m4BB4{C+M|scwWoGiE!-<`X6a6 z5(?=MltJK(9ch%;avJ3o&qjG(-dLO>H_l+nw2?EvjMTEVSkp_jC{l~o)>gMy%%HZk z?sYHf^%9OhRQI<0n&~HySaFAzxM^o>*SfLd^4;m^y0MhJTPpz~cimV(6dyqVSDE@q z*2#)PK0}C!lah)P5BqbxjkVjXE^X68gPGlfRjoJvw=Mhmnq{e$^-F6QB|{UGtz%?k z?fNgQ2tM`2uE`G9>gDY_S}Y7hk_@w^ttZg4u|2o5H_%hNyv_y+ladv5g2U=_7%EpD zSaI51)fVX)?&t#OJ_gYJnPL}G0oRF-gG{9I)XVD%_3}DHy^I(9TEwe<2K_K?GezNI zXw(h&FhcyJa8)D9id!2oRhmXjs`@$)p#Vi)aU$4j>tS;#aClVFFP0Plp*dArE1t)! zv=R|AU0Q9VTvyb_6vy+Tkoj41;=!@#(w?4>Qg1UE?RpY)FreAeE5m)+YOU-_w0)vPECf zktjSiJlZ^Q3y7dK05LcIDpH5sebg?l=}FsS-jcV&ygLoI7%Z|m@V$#S1zz}rv{?{S zr!_GxsukXGrB#}&%Rhr+(}rIBk2P?`i`Hb}=#e~1_e1p)&5KW^jbf)u+X5GC|0E^3 zLtZq2bYluiXzp7TTmR@(UHh)bR!0ZB>MS&g={34g^UB7(w|dfJ&8d;LC<8Yd{1;B( zG(y0kPv3HE&z&#c+{9YF7Olae54k*H&$CaD-o7g8kNT7bag__;LjFo|6S4={cWl%6 z;C1lxFXIh^hclry6e3fyuNQ~%f`Fc&;1%M zD!~o8n67_amw(mDxqFLeh=0Z~SA90p)*sh$Rxadn`dtzeK9LC%E9e;^U>7;N;~#HI zQIx&2vg3voH3~|t)yO;@2R!XXzHn@0S$Z|($rViVH@<*{kST-#Eb+)jY}<*QJa{Zq zox%ozEUW>vxt2|-6th}F<{UGrcTcT~T+0Rw*v~ZIZd7LtOY&M@oOBU`}K$bT)Pu8B>J_T9mN=h$y zEdr1aT3z8ebO)od!t{Yt8XEmeL9>vsB`af}g|OK-(9fwfG>%hhnz68j=L!MNB(bP? zQLzI#fE=7g_HG%#A&uFF0WA>8=hEtAOL71__8Nn0V1nWIwhavoVe!fIBsMfTG&w%{ zPVfHgI1D!WhW?}_uWiYKI3^l#J>p;j(3dDDQEaJ2`ry8v1pCUFv+QXO23-ky zAOU3DVH3)iQANlTC|NF)D&QyP7i-{+)z=&v9{&7L^lexHIri;_9<$$WQYw@rPHF9- zge^0XcJ9^b30gz#6{&i`6aL!MA|elarhV(D#LuxDPZy`@=U zxm*FkHQ(Kp!cruv0e^zx>_&JSPUf*|Chi*zCpJ7ZK5%=QGP+>>rN7zv`K~rlZ9(;I z_q3#YLPD|F?(HAxzy0Wj8&BPl>F&hT#r;`x-Js5H*q`n?GzIEzXAMMa450NGP=HaS z7I`{d5vy;j-%*bnpz<)d;RbYrrxNbGsf1`rx2A|%5Y)|c$Gf6WMKO5O#c`-iY6-be z6LLKkzgCN{k{Bc)ejZQd4-OI!64*-w`Z|FUggy4_V6Wv5*J^iaF)jTEd%rx2nBS={ z379vcQehVFPZ7loXxuOB)!^$;y$C3UKNb?^o^kf<986CxjWMA8#W4``^&`8|JHB;8 zWAm;jC$4+s+Ny8j`}Vf1ZS`Up7V`AnG*WG{nkcQH*BEq+TCf;eZ=SjN#*?>ockTY_ zD#M{8)w!t#SepYE&SQr`&TmF0pW#_3Rz#6vlNFw=sO)UA^s~v%WP=}D7_SJ-TzEaL zhdZwWs(gLM8qCMD?i`yH(|iI};^^t*2a+C1o?fuzRGtz_lj!+8AT2myhY1kIl*t*f z1!~>eSCwj7q1U|%M+O#RTKMH`#*9cIULT*B~O;=Qy{4uCaQmVB(;pcb{noOyUFk7mTuGW-l z*Uq(sVk-!rv~hj!NRKn$o2hQhcV%mH{w$ljv0TcEAQTnt>7=Mil4ldr2)=9yu1wOB zV|z+*q? zukC49-Qk1#pUggyzprQK#Qyx@?B{Y@a;vl5xi+;bO2oA=6E^lMz{|67&>9Wrg^Wm_ zoDU@)6VhroO ze|Br8vcseTv8U1~P42L@w;4UNfIiH-e(cT>iER23vNx36hn5BvH1Ue$K6Qf)6PLQr zrLMFR{&gKqfPgl*9U%HQ?i`i#8}SnGnf1ufbSC2+_QSkY&G67kTr0eKuO3MytCjgR znc?g}zOBc{$MUIcBxlbtViJ>yhnehj@-!qqDK0h1(K`?NRWat7KTW&@L39BSTV9o7 z^VLrY{gD7T8|^gFaQhMKwkshRpBcpU^XFtdNfKnr?Z~?6WU>J+b)K7E<8*eyuzO~E zRRefA(Vyv@G6*abk*3YP<2|ALaHgR%-=A&CRb>Ua3z*N1umN~2DJ@z+c*Q%L0+(;p zK68eYdq9$ua5PeUsZA4M{j7-*G=Hma$$5k;G-sbR8%lkjjJz@pJoypiuIVp)VdBUn z%y>J8hg!dZ;Nb@Pook7OUrAMBYN-I>2RdtGiU*Pk_8 zQ@KDc4hVYI+ROE1@`_@@gX71`rMpX$-NM}V@lE!BT9Wf%g++2&=7HYT`};gfy)@*p zR4lPzzYn>N~H5>H*yNQ z9#J7K5PK^J-H?A%eY|oo+n;aFxGVGZIz@dpm=nZ+JM$WwFS2?z@x}*d&i?e$)n)t> zP;t=|$prTa;U{Q5!=AtV(PC9P7k7S3&_loUvFHjAX^&MQ6;QQD8}nqI!V>L!TIbmds( zHXsOgoKRJQN6H0L*8a6sVlC)wN!^syDHNSI<|i_1v#at;d#c>2d}lV9D*{-m1t3Aa zR)n!QxA>_T9T(k{c|}aG057pfX}A<~#Rs&x9CNdXx#;JGtsm#ruA);%1hHcD07|NS zfD#3!(olLKXzOkQlvqD8C6{wx%_X(uGK>`-mi>AYGJ14W_Ujsu;x>7`n;;1knWw3-y50^|z47~;t7Vz~~F3XF&7Ay(2tM+@L zb@PBR;(CHOsPk8%xnDL)Fryc=M-=io(Nk zR6Ky}n?7`C-TmV*0=9Yq+B*Q+gW!uq^$>*G{lL2Rb?u2gJGL%QK6HQfp8PF6<3n5X zdvuCD*{R&BTpxh^*(C$j*@}6sEN2)PA~N`|74;Ite__j0WI=HmY`H~us(qFSx-?Se zG|=NMm3~14Uqf*M0AKWY$R8h;_`@l{pU&*DI;xzyPZ3mdrC3Pg>x)w}o68p2)#-JR zU6LXJy>BPEec$3T+3nbGoZ5)|!0*J%_A7Ojr;h5kF80v8^#`2HSRmi-E@q z-wZaCwhQZ4tyq$u%w$*O*JYRGTC){7($6?^%u=MMxb|9V=}V$Olms6n&X%ZM>_*m7 zr-NRVg2M+P;BdBT@d_3%4`-_<(VdJ+X%Hv4I_0CuQ>7Oj-hO&PCu=x6h`>jYhfgARV%v^CFh1V2wHYe8Y&6OT#HmBmwx)+q0k-Zy z)KJgy`}ijC$f_Vw0c0qby+f_IXX$|+SN?{~*6gPIs-EUpHb0c@$kpWnS*|3nOXaGq zO_td6S`LtQv6{QwM$6Bv*A}m0Wm<88n<(u{gV#Dwq*%xRkxWb?@)HZT1yLx8#JUi# z!;2yjT>%I5z==#Mtrj@Z4o1EBfc`V}pclm>aDjzlaZw+Wd;@<9#O-pVb=u|f^{8NO zUM~1xIyR<~vA!NdzAfXE+EXE`C`yu&qB|o;Vc@D8CH$$Yh$OKi60Ran#LbdY9{X1i zD|?ZtG(9{VkGWiG5sl;5#$wIe#eQ?|cpSpx=t(!<0N~k}8P8sm&-KK8&H0{eeXb0r z`Cur4B{iD>GEV=gVEgPoulU!ZW8;!zo37Ha0qo(j?nn4f^xQ?pHBuM)XX3l!q;&Ps zbT4c%nJJ$Sshyf)dR8S7n7??ISq9`m+BDvu&L*>sjeJ$!p6NxHJf9`SWrl<#(%Raj zY693e4av|KZ=tv%z~uwvBE%oNyo6qN$-p?Xy*JR~WGEabl?tU1&X<#J9r~yeF5!aS z@oji7r(X9?eU=Z{O%(Vcf@YnO7@wI;JMikujFcr1%O}u3FWyA{b9vQBHC9|Ta(G!S zO$PdQFT=DFw&Xj&;~zv0O}DmMm%$nP@zC0}nr&Lxy;ujV`)-HsUZL5pv4ThFR-`5x z+Lzsy-MzbJV}503SvH$*=(*jd4drXHo}4jv7gWYnaa%=VzI|zvdi%0fyM@ht>E@+R zF1Z$C=F<^IzC*Jv0CCS`+Ic0t1*iR?E++_xixvmaBmN9r2JmSht29X&9pX0ttMC>M z_!r;`p+e8TEwX9^tdhhv z(uyoY#*x?4CVf=~uIy$0KV@G6-d1(xfA3rM^d!AS($l{0mL=KpzQmRj$CkXri9<*N zaTc0T8c1T31UiJWLqjRkLJ16Aro%8(+W&t#5R;8EN_9@mY$xJT-|%lx#ym9?m53}FrlZQXoVw#O}%I4KET^9z51RWy1sP^ zf7Hv4p_c`-Aj6R{4<$5^O)QIdtVDH>=9z*Sy~s4N9uM1;q42&=p&4)NY{6f#B`Bi* zwxups;L$ZEG_Yj*(9ca9e{Hw#Y$t!yU91bPe!YF@;@5*?dg)NF21E3Uwvmx;n!y`7 z4kSCch*m;xiZf~Sm##c%vC&Ay`imzRWZx?eHHI^9{gwcmE~zVyH3Ln9Iik(dKP8 zj-&Kdmv2n+S-vm=m?v|^D_w;zkLy}c&HNJ)(bq^PD_M7BSz$&zs4^8L&>+$Rb77G}_JBa3_4~(~$@;&SQRHP4| zp^_Ow?i6`4GU9xf)(EccJE&gN+h^4|IiCx$&>?DBP@Y9Ns`G2Rz>H zWKXIiDvaLz@FfQyzj;uKb*1)kTCy=$eqY_T?Ol7*?ru4?rV;76`;n#dH}n+9BQLbK z8P?6ig6W%$HF#k_zPz^I9>8;`l zX~|?A3&D>ThbR|EGs(YM5Kg?dUt1xpY$cU!@T;YZ&L94*%^#~obO~_l+@IhEItT0^ z1ipN_H!>DE5Fr&k7jZ!izg4}ywW!u#tL=Y+m;zp4UkR*7C#fBg>69^m@fz`=N+(=m zNu|##vuS;YTHozo9vRAt?HW8;(JX~MiwR(@@9IqBN0kKREftI<*w9&*>Oc>maUPld zPwEwM@PiXZy&Ef4#4Y)%nr}eDMUqI&kS;xnX54*;e;yfgxgYdVO*mKAiGG ze-A!_8P7j8K+dE2_n97lq`$OdBc{aG(QK(>@%(!wj|^)SopXK)zVx>E(%YXf4VR?9 zx6O~GhJ>=*Q3aPMf2WO%g0Ni{wFb)S=GNOJc22sq50CqeN4MbmxBA zxnoUKO7%7nhbr$?8CH1!V(#Vg*WhkM-zaDRw>>(R$H=E@k|MhP50v3&U|^a&R#Cw)ON=fT$Y-%^W)VjoO$JIfY`AF5KoY8PLCqr2q!h_0y#TsI zSy*bYKwLNY3%dt{87D_UlI2(?;t1vZmWoMXtq@SyedL0)!DyCbGe$V1ZJA<;{QpbE z7^>9RfTTDILdQ*b0H;v@;|n^i%MGqEI!`x0p=;;wkv^ZuYmp{x2FD7f-d31ugpCCm zjy9f|dqE|0qn^~#i1}bruWC|D;}b*zgn>!t#>yysNV&R|Oa@@)Xi8QI^K`Q09jFEx z)ZoHdq*imHn(2{$tD;9HmWwE?2zYkwd;s8<#nT>MV9;~wI5W)IsytJyiYM`R4Rz}cUSz*n0P45N$0# zt=T1jrBA>Q&@wGTW_oxiiuZHPeM3D1nf6j<#8t!pTA->99U%>Q5juzrUT@Xona;Q9 zuCxl<^_mA=Sm+nD#Tee`G;H5H%8j(nxmzO}zW%xoAQ zjBdWF6qsM7BOQxY>80H^bMN1XZW4nAFGf`YX?N4Fg*gwg@sS@$N z(N8dN77z*%XeYLBa6bH-h(fs9^}7XcZwe-5n36DlPuv9K1{h~yor)N<3`*Kt%c7)V z1KOW6LSqg5T5uu!+T(-~<7=H3!004mlMA0qC=5l;Ktj*T!a4Ff$#PXtwTa5lCzach zZ+0I1{*?#*et&Dn!GAc2u5JJ5>DfDmOzDuPckftnZ+{T}$NtA|8(w|gi7U}{2wkr& z-E>Ju^NyQFhi=-{(Y*a8TwPJVhkO~)23J?yfU7G)t;?WGYT9QWW)hphcCD~NEwNBh z#u%i0UKLQq|1Nit{usNwS#pSs@}Go z!&N>}p%k1+bwq?pX6!;A>wmS>SS{zO;$smHskKp`IWgG+_^uLvg_#EZIkZuI|+ZKV9{vYSTKGQ#7g&F~maz!x;Sfp9|7QJm0kargaUQ*ET3d3WM;adoOIS>(6@M;`!pgS(#w?eGn-2W&$W>jRVUtrO9d z^~kN*?C%s@!oI#eeO5uR_U)lYZv>-9ih*cg4kyegQ=`zV0XcHoyLbRRLt~W;ms{X z!L~t1)N3=)9KA3utkLg$5nXlM)JOE^!EUhicdkZYYco(K6b`ZaG^x4XD~ zJJS?jH@l_ShBrx!#nJi^Z&8_L2K6Nx$LVo|9*y>_9-W`jGfwdq`@*=ozQnAsO?Cb{ zn+mDO&PZ`zDZB|1u{;akE@{@|1E~jgVvoa;g)APCYYLr~&SIES#-3bXv zE0TI{4pWx=EuACc@Vfv2mWUC54Rnf=Zy-tQ1FNQUeIoYK(tdwhz}m$`wxs)tVtTf# zrHDQAM`NR$R^&2+bOy|+sarU%qb4rYVh(?L%6-?bRI0|xxlc(QkNe~M{%jiP()A+s z8d9Y@(O4~LtkdarTh!b&^J=pf{eqVC1z=sVuh`WUEH)I0;ucGKwxuZJ{m<)!pf%We(Bs(W?p zPKxFj)~@cF1%zs?jU3YU;p%JX&q|@hGW;}%p-Tc-mEpBEN6G1hV$nhN?-vYzgF|V1Mg;te^ZQEBovYpTvybtABa=rEed@t49|MuO z4+;((C=950!Lb1JYcKpz(Z^}mueSN{p?a0|tAr0QYeO&EBp8=qLWD^ghLh-xRbdzn z!w`Pi8-mdg465%2VKfL60=y~&L%8&hlkCM%5P3ZzjJM1oV=jd2F7X}aLsj|ihROpXr@Z%3V4nNCbSI6YhKc~P;~iy((Fk319dJF`4mAsOq$&(e;|SG z${!-Vfvh8M7@0k<6U2`QPDWxMkPXDE1bl_ytRc74huOl~gn6bAcXHghgYzsBY%Iqk z4zXs$A&x^F!r2}8P!nh6jxeZLj43obh7rGV;I_v=BO;81tDdpm$YPDrm4!|ukEbvq zj1xL^-Jn$7QXq$=wGPMq@&D-tuss4>dB_KGcouVo=NlVRC5$^=lBywC4NW{(MH0ut ztI2OVrc@B-$YlYEYT$_07_5naKVs*p*IuP~d)VuXNsxoi^7{s8O$2=rn~|D%fig+~ zk1r+@T=~O#i`7Jv7}IA@`5PF)i#Ay;@HBkLVl`7FV`R(6;TVHY1>tQ%8Ka7Y%lDAi zs{2;sj{y%FYYU$F9+>h#r@HyzgmFu&g-CGFjYArpZs=;qgmb|_$z`;bjKkCz7}kUS z7-2lEOs;HY+~gCf;1d)v{{I^){Ah`aIK^cLybcxt0^j^3w(}>i36j91S7) z0V9Qj-l)WsPl^(4vRPmUB^$|YcBh3V4TAYxmUzX=(|FB5BiRZ7@hW*5q(RqXKtv-^ zFw%QmJ!v9`K5ypwIf9ExXCU#Yt0*MY;O}r1v}j^x0-wk8X%LH$*Z3-9uo%w0V)tNq zgm;#&7p?d#8-n5`6N}#~ABNvS4mdM_L5jSgutiZ^BC$6V!*FVhMUjFQr^79tyO$N! zYU6(5heUz)fDH1Gho@P4=NWk634pWc&cLk&pAb7140`N$1+%arTgVdGtkH8UIo0+x z<00~(9+OlLt&&s}#`y#heTR7kK%x0CMJ=8XQSkUf?$|_U{cvj_Ief*M3(SG0c&t0) zH<)Eh*X~vQ6CLi`#*>C=pMc*DTwmh}UZKJAJLA zxf@JMP)Q`B2|U&-&{)64SV0`Lf#zvrpy3I)8E*){FBK$U4Hzx;kA$aO`z!~Wj?;%K zLF$eU9bB?wL51|m&U+;=&Af{CYD>jw?Ee35=^x|mCXd2 z*I=A1G$JSM0u3NJ?F6wiXe5HsexEP=SHcu|e|_?s?4jC|7+*T8L!4I`P!q6YG%l#) zAe;onhA-;6?Mvz5%X)0-WZc0sB%a8uG1(g$92p);_r-aZMLturSvDJ;p?mKfJ2)I= zcu6u^WD9STja107bJxy`eGyJ_BI*}WU$0>#G~-)9ewuT&JOQ^LGdBZoFNjiLpNk`t zk0?|5ZaPz8?)J9^O{I>pe2-U!ICtH#`A+ps@;pK@Q_0q;S}0o7le)e>ai%b%UEoT)~9l;zU7Tjvup;o}6wkWAWvt@*F3mV(82ygeW9Eis zOQ`3R(-oHSNq6^KP@r=n!Qm!4UR%dpNnK*{8%?g2_I=Ip>aaIh2lc zuGr*ZXy>;Z+9Y4X*3c~aqUF7QKS6mCN+c*agBS;%c#gOZY1RgiJD!v=jlFDaE90%!xl_a>1g@C=9Dvh5%^^Ld=Em<}z(w=SYkz$J0R4G$Yso9GfIydAM z;@_*%(q0_QWy;4Y_qEEjE*b6F*nwyAE;IuO`Xe>(E%G!_;Lia*@olqO$m%}f5+~JO zzga;*wl3*kTTOn?|I&inUg?$HEzst0LpBu7HiYK;MK~D)LlEfcbe-Rystcf*NX)$k z--jmDk1*0GN#sw#pHHVu0INs`sE6)Tao?ove)=k`L)5;UtwB1a)Gt@{-tygoK+Y6f zm8^okoi{L?V3%T1R`6JHS54tuE)>b;Ld3HT>stK`%bKjB*Fn-`rh{l++E=<&hQxz1*yKmb@5+KUCh&VS zCOsQ)^9iH4Pwlsw4Y-u+zhB&q`LCV-Dy?1e9kfymfWq^?=6F<^*9;cmwLFrTiaLUml_6^xBv>z;f3Wj|!&>18W`Grqq_sUVn5>M^6$!cW zJDa-38y9GV67KOmOM0yKl>lqes{R1#s}-&5;U|F&-i{H`k$u7$UH1x8k-yTc!cPZ= z-by@>8oRPMHdzWMMh>qV+Fy#@Da2M~>sBRg__}Tr`Tm;8@l0Z5-{6`98|qWT`%1~d z7QeT7u)c1f*|%M_Sm6i6w@{C;#o9I|+Et6y2JF~eH8$h|6z!Q54_A#=oFp*2 zjM2JinpJ7I)M)M9zRF!+mvmI*g0?7P*xj_Nd){a*W|9NRXcIPC2dBo;oYiM5pQAD2 zHiOpiSv(C8X&W1IW0$K&Yr6TVOcooh*b+pex<(&W%e0Sg(=5RpJX)|?`L=|QvP359 z9&t`Jf5UQ!KCBsoy=uBh)fik@AGIjqL9w%otwC*?B6;7^QrOz=4)yKqab@bWUPe%) zkV~@%Llz$S8`vHcDkFggWOwT(p=y|G1N(;s2B9kD@I}>&ia#250|A?XCb#iyVk#`y z{gd{C^SKJ|os~NlM%0(ewsv3=d=VMpw1G$3T0l#?QaekXb@7PR5>i-XVg5{VT3DK) zd1umB{_aBI9t`vmxDzygX{*#-Q$9pb^bRd$+a(yRrcj zL((i|^d(zknV!0yQg15Qu_5noO1OC%eL^!zG#ALH)4fBzb>!9Q)fp!*2quT!Y&FrM zEQAx@ki(HIv?RLI3THAK(I=9LHjCzz+aGZ%u^zlmMbLO3rXK)#Q2!{11QM7lqE+An z2NL(W`1`B}()Y94jGj?T@y@>Y!v*0wjWW82 zaR<8N?+Dhp;|#^n1Su*`wDMBhw!=6!V!uEeXo^BlUrxv z%yBNFO$;B_mr{fyQAHZD>>&WK-E( zBGc9pDyAx`wCWG#Rn-Vb7;QQ*QS0;5Lu|P1ABHa0VVdVGS8V zXsx@bz_YB$4D6~^wq6|jkXT#mWNwv>^du)e2P-4c z4lt<#p{wBM6(n@+479}~N~}D%nwR8tNNQM;`a9BK4Y@rbJ4ft?#2%8f;X9j={9B4- zCAZDtm00302;wTpiY~j|Wnsu02;xd;kTe?bf~AHAdrYSCH|8l~5&0@bOeXk%#zq5E zp5XO6M4u#Du{Wt_-EDdjgy9L`1W~l!a9Jn%1rFYH27dX3!smG78F(65_`wLDnvC$Y zZ&Io*HZ8~O#|Gw}5S&xNG>T?d57 z*Xb*fntlfT)dHcz1z|;Nq#9O4s|*%WRfYWlAFfpXl7QsL$j<(fx2VR_4pA}+?|i79 zqG1qhW>&E~je;QZaK!DgpnKWjvU+Xh6Eyb33FM5QRx5~jU;;LL>eJK-h9fa@*_$&k z_jWZTsCguCL#0lq{4h16*E5ul<94VZ9HwubZ|7>Yo!u+6GhE-%UYG7@PnS>9v9@%o ztsS*<77)-l_eb~!y&aiLDPTdLc}7JHAMuM?pdm6|Ez($M%fY9R!(q33WmbX)d&KLB z*bNrWl?((@PL6Y?0)eE9gNG~mkmTbg*+er)<9yT+N_%+TlMaP4E}nN~)Y_^)lABRa znn4ki@QCD-Znuz1(P!Wb(}K`)hS*aOdZW<*XM2>U8@T>XyeSBeH>eP|zj$8Kj$F*a z+-wv6lhCs^2$t2=zB&*+8;n>C+P(y9W^?|c>qgl~!tR$13`A2)R{FMexPygVt2;Lr zQbv|HP>ii(!>;DbzrMSnd=?GZA53E0kYv!02tfYZmZLjc>GuQy?|neK!)Ikv{oC># zJJ!ZsekT%c#p$vJ-14f+zWj05VuB!w%+Afihp8PZZ-P$(h1d;(z)p0W;>9||sm}p4 zYVqtMJ3B!(uf(lm$eFb(9+80(V~u(}VJpjVO4R3#J2=h}_xhp=2U{?jE{UFqIg@Cl zX_`L>vvTT1mRrQ@N$5=O$L*7PBn3h|Idn3pCRA9;@O< z1}}Q$5DlHO3 zJVES52UX9Y{BQ71@)+{Kav*d(YI}khLtX+Pj+`_G(hal#ax>^lRk>IOLrGe`D|g~~ z8%*MPn+)Q4%a|R~QQ9oKWVLHIn;cP>6LpOY2J5k?)(68Ge9fF2RXh7r10t`wo=DZs z65xrs_w~Lefaf@{ogt2#Hu@v35n4bxZ>D!fO|MwKmkWe6OS*f*HVu0;4MP22{EfV!uFleiZ6fr&T*WWLA`z6p|}5MiZvY%H03hR z=UJ1wAijuTl&D~z7_4)2IKoneJXA})TBHX#ug6(gj}j%7ZuBeD!$r}xtBZ$gqj zQz1)vc7+e{EKQSF6E9YK%O@O7)!tUArkZtCSgmD=NxXbI6Wn_`5kS3h&ftR)ahe2_5S3N7x>x!DQTr(Q5~41q_I8!}Y4Ro1e|*0K zQ6B8 zGjqf&aELxOe0D)#u9?UMY z00_TS{u&XZzmD4DrbS!|l3NfTt2lNsR`xp-zs#bm;y~B(qZRvv`EhNfCSU$<(oUbQ z?H^su4w&CACj~|fdE7y(kv#VxtG=|MrC+icDbzCqa|FF238ctzo0+)+@eK9iC$&jD z&IqWXfNUVkR$2(4msXDaiiEy{V0e}ul+Z0f8`XZUg(rwCu?h&lassgYECtllc=i1< zO|7WA;#pZLZ%yeA5c^J%{k84#8=c=^sgUqBRu^rs9z>YV{bg6}9m$lA)`>6#&kCSpFIX z=A5Wa;Ur*znpF8FM7@-@ig)p=}TXH?H5y1Z@m7q&r%FSGDg+!xCZs; zSExrJ(DWFPwd^ff&!5NFlelLxK3oPTtpK-C>tQ;tbrDbcN~TGx+|o=`y*x#Rzw)+k zXeCWnx9s+rA-!$;_U#lQdL4GJWFS6!m~c(~;Y0abHJp?JTEII2pDAR z3YG^S#Mko2ArIbUV$wK!f)O23(EF!uMo>=;yQusOJf(k|_+yvwBS!x=wXC|DAUU5Z zsn+10;$??v&LZHm?jC$lyUv*$GXE!=M`S%A$ssWG+5cnhOu*x)u0&mX@7-0s@4I@F zdQtC-THR{x`@ZjzC0nv=*_MrM7GqVDu86bfGaRLcAUVt_xA#WxVG8xDl@)=%U zV7~X91YW)*BwsQ~m;ofcd#hWPWCMYjvA(ZMRcd!v-E;0e|2^m2bMLt>m*2d+-s$BZ+v)#DOH)fDAu_6^8kqnu%XyV*U(SPZ7%iBeNeJSeCsw|B`pn9= zzRqX(By@@NeEamdk=OhB{03BBp8LV{exqyYa& za3az2*&714L%(_XQ~S6PM_*Kx<;pMtU=6KNgc41S4J`yNF{tEPnu~dIJ~euIO@9r|h(sD@N{Q6;$Ybw7Xs{m z(W7wj69Hb{kz1cI8}vqtj(CJ(dx)6QSs@u7UnRh(|7&1J4zX-JkKp~}?O;Bo&WepL zlhd!_NN9>LutC+5s-VMCNpUF3>69rUtH)#<3T~Afi#ef8qbe2dfb`D6V^O#dEr)|Q ziZPYSAbdlQn|(eruD>KSs#K`>kAD=SDwR=qY3=$OLW4?$iT{XygR(hYQr^QWA4q6m z)2N4eQkT<4ZJ+1ZoK7k4A(W)Szm*Rk0@ocj&OEs9c`SzCLuwH#Bur9j=mJ#EMt;_J zR@|_$V$qd~ALC-Q&7cJgN&Fgr3l}IYT7yM`a#1}_YwT*RT}>0%E}2S*!)+76XDq)B zJ2Wm*%COtPFb5Dx!~@@+#y-UVf!G1~*7FkL2h%D9k)b=YLVYl3`IFf5XHuyIj1%(H z?_GK?=wI_wu#86);!bO$av{sAFxM|c+wi}~ymcdiKwZ>bVzqm8R=-hXHOn;;(or)W z_t!@~c8lGkhs8H6W|Wg51aQB1x~$X9}L8wP+=3y;J0|C23g!7C6y z_(bd>_7hoOn$A%VKQ{AP@MAxC^A)86I|@vxRH~LxD!v?klbnaH+_vdjz;X3g!{1iQ zH(#S^0BXqU?@1Hcg!CZWkQ!^7mT8+5|6CMc?#D;!6=)-Q}Eui2LhF|^&i$8H~89uY99{XfG!xb zTN_=8652C*a@)X>-cm=;(NSwh|8SYytQK-;lSXNh^JJECqqo{`;`5~fF!Bk_T6rMb zoA8XzEmdcBPqah~s8eF{ni{7obP8Wxd8E;=+-s|ut#-H7XLaGN6N4qeYPWRdGTIxP z9_=rS4z$$TDtGsX9Cg#_)YQmu&^aNXZ?S_b3t@BnayTW}L8)I;*ypvOtK656M#g7*x zK&%o+kY;2Y*^TT$4rV2}Z97PUpH6pb^gbfm@;xku@DVwtMEVd9`d*fA=J%P+eSADR zk;jr*IZ{%R&TaGQcWB5?ZvF6IC408a}lg9n<6%T0Qovfk2j2(R?9Rrk0;<6V}5hEL|)#z7ds-ddE-_~Jm6Jo{Z_fs6=Z+6ef`0U2Rw$XAJ1&tNpPM9_br;d!AYLSlaco|@enscaCIMeb)_@r@C@HU)ydhIIySOhE z8_w8=pZVa?>;Lhg-HkSdPAQVB)pAN8vspCd6DR)uPhWf)4P4soYMMhPj>!i>GcZlx=bie{t*3&0QtSyWH(dO&wpUPajyC_t`7G zTJ#q(Pjz{u!7EROLZkJiA3j7_>K6x^Y{4y)gO14UU;l^2ucPSc;n2W!x7}0QJX>Ys zaTqBh=E@U;`)VgIe)HaK$;E$Jx%9o8-@f=rWgt#bBmw3YGA+Y{MSfbV8yl4Fbo*4i zYOcT0)ce({%6-FeZ|jz2G3?l-W$w`}+al^{1NfL7J4u9TIfm@0s045`OjiL za&v+Jwb7fU;21eqFLcKrPVahrixMTp8o5eGlb9;dmGvsP&_0U-?Rz@zxi31nd*AMX zsBh%%Q8{>@TA>7#t29<6dXj-z97@_)mvyGf3S z`!hv ztv~0i=!m;Y!yQY2xh6n6JxTr>O5xw&A&?p;SHFKwg0)V@<+~Csty9w^6{qs(o-CjCc8%Dq3p}WQcE4__d ztBf*lO9E|FM+UN;%}ecWbg}!ki7@)J;wIA6Ws;F86;_kdDC5d>1|IrP>QvWUZOzRS ziC3sXNfPRHl9tKDBB`2&F?L$5;jWAtYWo(jOXg_3%NqB)Wh;}8%CJwd(r1je0?nUX zP2f@DEo2NCdl~5l(;q)Vq1Pca5<&N8g=s&XuBl1WenMLUqd`hU+h}MsSliy|E+H%9 z;Vstpfdsa)64=-;Hnsn?VIk1+oDG?r8z%IzVxku3suH%a>5U{F4Nct9D@92_u?nr2 zK$()dq+0;mQ^*KB)nl0>*Q;YgxrW_sZgHF{Ge-j<~f_saF5=5h^F zk}g9fQctejw{0I9tlin+!=GU@HaL9Vw+UJ*6AC2?F-+o=sx=fkEA{0@suHtpe)KW8 zOqKxZ|G2=$h$S*w1~{8mD9M$VRI$1tEQu){A%mf;#4KKU(%^U7n3X)y12}(t^*tg& zgxHvt^JM^Vbua@Gyz&tZsyr(hvE@jj}P82fW8z)0|4)3jKokFI0kny1(f&>&_b0+?gFC3 z=AI9gfFC50$BvyBn9T`Y9!+F0#W(20rPLGmTM<%CEn<`O)K2vyV%g=FgT=1}F9rhou?FmaO0r26;ddXEkJwKYov zzs1VA5y=_9Eu!sKwX->TJ0 zHjk+DsOAhgStu^#e=k8_JpwY)1pP?`OkpEz@Th!zn4E1Eg%QUOruIC&Si9687jj4( zX08arU5mB3=^ATU_raFyg%Tb?awURYxw%HCHqsGEO*IAipuZ6q!BwPscVvccAM%&5(MJSW8@3UQra@? z(>e2~>N$FpKS7Kl#jOEgkzk-CFnk;=gjQ`=;(d`T>atd{lJ#)ePzw>1Nnho{wcQpO zXE`sBiYT~`3;OS*+~PIa%8lYzCCZg;*oqf@quyHbCfrIMm}SnJKm$~AlU`5bRXiAU z;!1cQ-EG55K3ZX`Cn5MeVFvo1zJSEg|Mi?J=n4vTd93~{A~fewRm+93e17_rj1*BZIQekPZFFrmOdT#p@i*boUEq7XIFke#ZRpy$x#>{A?5hsDg z#%QydE7QyDu9Ytlcy#i1AgM#E?*S$=BSEANNuF`1^H|M!p;jvl<+0iq5TS219w+VK zVb972b9q#;HUh3cq<`aL7NVGVv&mRJS+k)7Yz-F4$=#=*$^?FUcobhcFa z87@i*d3;BrEi`s(Un#E5wG9O3PYyf3qmB>dN?PhOT1$33TQyN>L?7$@%7I2#)54uk zj&(o%)w{M<@WfKapp|NAu9z0L9{%Qt#Hf}eX6~9ukLPS6l}UQ|*?Dhy#|*owoR1#@ z(lsIO3kZ#EJ4aC}CXY3pLsTS_M=Q>0hXfPsE(yiuYHJn~z2bT+=wm^6_#vF+Q7h$? zSZ&i;95hOyzh3?lPr@VRQtS_6g@D4}lp3^J@rSR$ZpneGgPZs=z0_GkN%epZrhy!O zN?L&Ri6FHw`^JZ95IN$)G+Dk;Vq^2(_|c0PKnWy^)ntYIP>L*F)(loJUlGZM9o)go zI%Zu7mtW)i!PzAStT~THWntDqP*@C!|1`bl)co|LyVLI09d#9>SxfoM-_1_lJL@2m_ZmrEsWK6dmzxh_QrT6HN*WG!bJw4mkY&F$(j6|#V z4~6`lJ1V2&Jq;#XQ|~x7*)uuS>#R;EjG@EJU-LC*D=p@9uCZ)#ejaG$2^9D8i3?q*+?F>EP2$j!qqY;xX6v<=94&5JttD=tQcPs?AdB zH(W7~tbw)AfGLtm!7Ly9EsH5CM$HJQ@2_FXM>p~qEx7MJ;JzOLZJI<9kX5!n@3(;; zB$CGto);+nlm@WMInM|k*+4194)ke=;47>kpdpcS7wHmGK0ql%QQ86hu*`&z%pM=Cz;8PI(zGo*=6A}dYRUuwA(bU=7n5r zW`3PawgNIa37%~Ll1Vw%lohpgJKD02wl+r=7X$k=56C3Nq!?wCC6mVPvQ@L0Y#dR3 zZZe7fC&A=o<=&^aSM451O1Km*7V#q8i#53|HP+JZgRM7!=jKoXF>g1ECE?CUa3pk5?gyQ6)EO z)gruwBV>zja6h`EfFwZnGhkeNfMt@}btZu{+VC8pQJFe=5ltawND@V!129@PbQO$l zPS5-_2(?n5h$auz9X_*AvCtEt;pz^qfW!CH&oxx<>hw7~Z|qACI9N1EL->|UR}8ks zz~ZUJ#My0MTTDtdYLST1GEyDG1(Re`Zd+63c*Z2$j3y)&nYSq);iIImhQ`-vl+BHgN8GxJ%eWQSant|)a!-UN6`5Q+8jZX5wtXdf*xP} z{4NBAX(q75i=c6Z)5k}jd8zV`j6c9yhc%ftZ8QI3Eoa70@}a5FAkTh-cE zK6hf!@vJHe;HRk;z)xl@lO3xxpilHZdT=xRNTdRhL?&kOhY`0NeyWH+cT6P5b9RV7 zN1mPY20CY;CQXCha2jZmVV!>zfPc20LlmSG@{js6@XwVzB>XgsKR!~TvTLml2BXm5 zEZ--S0r>gTC%})(?qJaeuum6|^NTF|#E^RQmJ3J}7K&ofmME+k1ygMz`Qnwx+LiFy zmGIgXw#+cIUT(M%X6Hb4X+#5S?+L7xpxStaEyW+m1JcsSU2M*gi!E?f{M`)x>dIs4 ztQK+@Xi-?=8oodxi?i>E!y-%y1KJvA|6}nY{EzV$v1$Zay#$@28x-*S=j6pBT|5@* z-hcl*Oef003OIcD8My%X(A?Th=hkjISG?&QRC|UGtHkglY0?XDuyzv;7VBnRDwK2D zP}i0{&>JdHLrq3Xi~s%>G_EacyOtVXtk`{O`e!FYMf@Nu?&_2sb6e% z{>%{Xjw~z!ZmgJD@K|fN)?~)hrsKyBA4OYwjt==eT?bl=+}Jr1t=T^SxN&DDG}hB- zX1Q_PQxniYa;!+0!iSb0_cd3gEv8DA9N{S61xCnIEIHO7Rh!AN`g{o-B0(R|@;q5! z6FHuZ__$$!{B(rewD6L+2E9(n1D!WK1sF2tmvaHfaQU80XZhq^17%p`{)vVA2A#qA zC--#TG?H~Pr>(h(Ow~wAuK|-AM^kkgeYNLX1&Wl*Eph-lTv{q=y7}uPra)@z-CcbT zUsnfs{LZh{0g4Rx+qZ-(rm9N>N+Wpkt)LgZy^$khEJwyzjufAj%mR)SpCRWy7e~IG z*z@$_jwco($z4zHg2!iF^;5~3nQE)EekuiznEJ?1PP9}V`{%ol{N&!2?6Ftx-}{vb zS91P=5pZ;;<{yBgjb`-&^lid<#e*d~XJI{9Rp<0G&}*4l{vjM@KEZ>v7A-;F=7UMT z%B0}_idG0ooZxf+Lm*S>q-qnxQF9CV$6S2k2p}>`zmsV+FEd5CH{u{D)#B_D<$|+u86yQyI3KjNWN6` z)0%;hT;;9yg?cijJXjnGBe+boZ8Fk#QEpb zLy0wVsYXm{1GR2*%&pd1wWL@hlPGAB#Hg3ss;4T0z13bmPI_{%gcagg{Rlr!*a0{N zki^-5MUqEX&pSyH@#oQ}vJ$0J7kHoWT4Dh>pwo?7cg?ZfYHie${?d;%<*>b#1Ky6Y7=@vuj8_FKN)Z3 zcPNgcrR}?b>~^mH9^VG~bP$a9=`(IH%*)sOSMsb35k}wj`DCQYl(oMvo6Y{UIQ$j2 z8~yw)EXO8{+ZLR@ccgK5chW{PrFC=njvK3zr8F0#_*}lFJm+a!thZr`Sap+g;N~vp z)00~b=~P%@jCF>?Z9z5K({gM)X2}fgy0xkPo?CWx2RH%=tx>~8$$TL{IeDl?sATy5 zuDu;2TX~F9Jbk>=YE88PIr~>Xz{TtIR#l|8G^95$Cy$&{ZxL+!6nYD9rZ+JxIK8ru z5G!pOi<2SIuP?vPFj5*j0kfp>pG)-`mGGly1Z-kHEx_j-c02R{19oc$?LPCR##V=wCV2pB@63|LKmyQHn6j}O)X14x~qCnP49DHu)RWTO0fkTS2 znTu}w^3>GHsemavUImy#;~lwceCSwzsXE-9$^wo2=ZP)BrhrNwXirV`n>DeaT&}kq z@Iha?dN86u1y%D+u9Dh`3SUP<)}%>fo6F+UO{FEdk$7oSE~VF3)U~6(&$KE^!X{&| ztW4t_UZEZF@_^P53y1aQxJ#uki?BrUd%Oj-P#CF0QfEwHMj|_p&@_^P8Y*=w6x!rp ze7Se+c8+gW`#$ID9(gs3P@nA1+Q1@(?Lk_^&g!}Qws_hqonnfD@i>y_NVEo;cQln? z%6P7^WbkmeGca*tqHeLfqJ(+MnCJ+GTf>^E8DqL4j3qL+9o;t&5z@4PFOiC1h*iXh zViSk!ML;oqU3=>qZkbA$D*AWd9-X?a%VtZpm5$ExB}zD|c7W$@2hR;N=d5t0V-%=> z8B=6=Lf!k!Y{|cWEQ%1Hh$8Ktk^ARsclM+mjC=V0?c2UMnX!G72F9 zM+B5hg1MPgZIn_kbHHD#q#6l_Bhg5u8k&Rs^%fo~F{v1(L_j`)6F4kA#QA6m4DB#i z7EIajFlb8({}&!Sp8{6i2dq4Wzs`egodn~-!sd7jy5`$>lC@yI_leT~1`AejZY;7l{~ic4RjdwSS+s>Qx-U{lM=mJZcry>1!m#^}dRx9b-qW;V0wq73> zbYaa`(Y4`C?RaRiV!X~HfQw2AV7{ys!&S-AoZXn4Z7`L)ZP0+JF}Rxu({67m9FF+yLX~# zS6AF3p`F#+PE3~6#vDS5M1dGN9CM_;tZiq#8TZ`UH+(~j`ANCADO$Va(M3BWv9@w0 z+5@I^AAOe>)TB0*y6aD&F<@7>$sYYgk1nyFj6>?IX2 zyJQULY1MkvC0mTTR2HKyk#pM5V7E3zU8=whlPgQ42pBG_lcvxom;VUFLNH?NK@r2h zV!FO15ORv9i!akj3&smruTIeVt)TU7NI6mkt(ON~MWl!wv^`%hGU=t@ha0?z;b7>h z!0;@?4OYbb9y^6Q-%!nF-o!uMdQl&1yr@qPytMxC<-xlrf~LyR%4kPKSK5E;$k5>~ z=lqV!v5e`Jp|R0ng|9i<*Jswn`%;mXa`pV;_IXrs;z3JpOLd^LKCM$Hs#?lY(+wU+ z%}Av0ctBrK(}w;!)7qLfhWw=}$JC0#mIwy4Dt|C#ZSL$?8?7sgdgwo60O}__D@M{# z3&qadJ60i}KNpXcPXnt|Qqkt}Hiq;zWG&Bwv_M2b+%F*t%nw9s!u)3uzBZ5Y z&q})O;BbcQE83I~cW=7!x$ENTLoeNY6F8prwC`;iIM8HqcPusc zA858Ek8vJ~4`y@v3 zm}KA1%CRqu`jqv@cD#o9VKk*ls+aLNv{5NHs#GGBKXUTGRB35z(rR^DxQsy|Rxx6_ z#IB8uTwhyx>uZ=*Wbo-?D-GBcV*8toeG zt7UnSmjcjED`rqZb&+~_mjAo8!q%+@HzW2=c&G(jgR9Ng$`4BCe zXItT++ExCWSI(Oo*5A9nb=|xMNl#Nop3Te{=qLtyY%Y3K){X^wESr)xsw-!6&Ahd( zi@rV{=mFNuyt+Rtdwjf6_E>{1@5ylSVN;1d~7Hs+_ZNa`SmJ z8(5M~%~9h#KGkSw8RL$o0`4#tamQzaR_srK3R9uSEN1Q(LXQ$6g)@eFXk^o~dKvpw z^doPNg?c| z%}>5E(yjJ^H8c;gM;lr>507Dw)Y!_oJZGqw@FBt;Gwmlf{C@&|XvY`NIOd~e&Gbqh zy_5#WA`@%toBRb)AClJ>wxhTYaNGH}t!)r(HkLEHWziw(ZEky@ZOxPki<`X~9Qq;n zeFhWuuiQ+M0MsnM3gJjK`h(O`j}vOHeQG<@f@+`Vs`j}C*=LB3lY`Eg?A-s#s0hul zNpU&&&z|E%^!hh)3>eIAb0nfQxncd(s0diUpAiI(3wVzjf+H2h1%z|hA+2XMk5!GSeB7z$_C2 zCb7kijK{Ipj9>^RS?(&>&2Wj`M7j8}mjR{V7vJhFWk$uWhA-gx)Rfp&4fS;8*Y4l7 z<*rqUstfMlxfOoi&$|*$Q>*5#X|{S=`#S6AHplD+^6HyDKCo=wk3ae0w?Dz3dzamM z@!UGcjB6jg;2TGFHip_3UwB0^o&j3d3gS<>P{;#93JAGD&;>$H5OM&Ndfx`(SobEx zH&BJ{)QHqw1qh^CBCfKf#Z`sIRo0WZs?fMfXb?xi>b%E}F0!*|%Sx*M2YzCIkfi#5 zO3EKcUxDdB4DgB{N^36Hlp5oN-o(-v8zx z4C>XJm_iVSh#-VU15jZ4XE&fAjA9f9#u4An8RNAe;Q?_4F?8gHimu!ou%cdU#U3-O z4>LiK$uYoD&~?MZ{jRt--)>}2wTQ!`fa|{A5)9hw2HHVmBBJh+5A}ATd zGJ3Jdor$k>p(|Z#mxVwlc^H#!5ST|Ej&EM=n!MWEdDL)b*bICYQIThJnCc-5B=vm{ z3C|3u@SlK7n5qMFqZ}LaQDXFj=&MZ) z6fch>Q{28Vgi&)-b@Ov2Fls?v428?aaAb-A;inn4bH$vCR2ZeJnSp$kRnY3bq@t+L za*c@2CJCbDAQT0m2nf4D#07$Qf(qL~*ajk25V3%W44_4YO&p>@NCOfsfM+XHVYW(I z?QqA6CNZnpi0Jb{*mhQTMTIyt`gu-)XNYL{p;wdP2C?BFBO;;{9O5ISL_`TqVXo3Z z1AvB~2Gi!iKWj8qm0t|+R6dr3$`+YON$I2bb9@Az^xsznfz(SVxJEAUA&$HY7k@O$ z-v@q0n?2_Jdra->p-*?6J%3(#(JPIVb!U#QXTTfv#_hzJq$G!gQ}0g(ij>SF@Ab# zZE8n~N-0Ra3R16v2b_WioboW4CJ6W~sx3W?f&y~pAw-ugf}Vntx;)2~H-6*`02Ih` z65#ADpqm5`Ur|TQaPP6g;3+5!h^}nudlpJ=Rlbj|A1@|aU zUwi*paL+jSEClyJWT(dVKxFqUQHV$Rl-iGx=dT|kBsh05k{|R)V!=NCS)E+4sg+b( zEBN^j+!r@u+AMLXc$Fv?2%hj&qIwnnGmDtHE)vne(W<0e{$k7eK}kTyt2hwDqFQ8& zO4MahzY~7Gc^F=Uoh>JT;(|%Wt&mVzw2EX}r;DCZbF`c(e1>LtLm_}>9489~6(`5w za=>gT;AAy|25R${7$>9SJ5`+gSk4@;0A)0YV@9m92#7GC9ZeNJbldIFoEVFiMA=de z8mhah&#R^q)c~3lxaJ{v-bWx;8=giAVJwGGkIf>x0WZxWykbI^Uz7#4*^cb0EEURv z>=2pA@s(i^&i&oz)75@dh9J6Lh3M)NBpgx&yDwCe8da4l?Zdbi|8o%-wZ#C8#LU)m z*?e|_-gkRKTm$!$L zXeHH24wJv3-ywAx8ls->!IB_(1YAV_5teAxl5X-vvLBzLQ%hRmRSR&5UM&feN65qU z8?eNnmP~@@%%Oh+OBl6e5iGeCU&X2=DR|XVd=5AETf^in_#C5J(hf^@;}TvinMv{R zdnUsYK`og_Z6`NtUWFx+TC$X!0#<0(!4i{NG9Q+li%ZO?1g~A<v{-T(Zi}WTJ%4@B=i8nFG&!KymVj*Oc9Y)QN&Ok$OV9=2p zXXr=Ck1i#7|JGw$0^`VZAP(8&vhQNn8C&5oC%UPYBW&2<7yy+o59=hs@*3H(R zsZEcKR-)m{V~uN1Ms&3$K?h@SPK(eSxgarpLq}y#oew?fVmPfY)fVrWWv}k6>O@KV zG&)8%Y4-f4=1@zuA1-A`iss6*oskK>&C0Z?u}K-XrG9>skCP-FE7(j{w@H?o>Xb^K zi1Om_GN+xGt*ABI%yz|SG8#CW%)2v_6P+tXl2WR3Xr2v^R*{Fv_7cAvxVQNH>oVkb zvsXN!qN7Wgt!H}p_0@uksWf?*GhVD0ad*__??VaSNLr@_3T%Xs!yR*Q7qfi+ZSvF4 zZBF-hi(TaEyY}+|x3q(9MD57{*F=7O~m=g#- z@Duco)31}8>`#n03=bInHX4EJj+d*M(c> zRm(~!x1c#t6Exf9NegRjR=8M(ZJC0-aVcy|FA+uAvj1L)3IQKM8*ZUYzjkh7w|<3~ z5EYYxL7f zo;KPD92pWPZ-LtP66Hh_(fcS70rwFi;RE;O7$>VZMJW2@Bj5lu7Iol2&aF?RC?Y5Z z$@haGxYx2-X!JIcqm7_(FNIpAcRl)d`nR0IMORzxNuD;Vwbavgf}@SRBLB$-w^mNmJ;T?442I&UQ9a7`#&6BKxVCX(oRt!mZM zxve3%C}tQ=U;|c`W5T&}QU=MW54O%r*DakKuU~OhW9!0thc%jZ`!hi^&S^JubOU)S z*bCdUstgS_Mwlsy^xu#%EfHDYH-fCy5Y(qnqBssfT zvhrFGs0o>W)_(b}?Gs>4NVKrt@*le|`}AVOD-EqI*|KBTZrB?2qaTocpLt;t*&FCB-2Tk-$Hu z=_rFN!E#1307w8}%VAX51Ust}YNnQ`MX2!D0|*r+5iHc?M1yDpw*9dkMlUo?`>CBs zODU|TQkUvKfe)QVCclCcQ0_yPy&|0Q7;7s6HBl#Ab-8 z?SS~uELco}VM{PmQSOMQL^DD~k}Qj|iF2jeCi&dcNo;GhB#P9YBg6#FuZ9BX&kndHy0!Bxb;_>zH z|Ds3jG-y%t1rGpgPt?Tt<3ETO#aQR634xYOSkM{_dUsheJF{yXIIjO{$kAMa94Ukxn>n^yB@xu+e~)~kK%>*XNAb3x-5qr>-Hh=) z^66)WobL6=Z;Vo-tk=NdE?P|@1J-DC1yZQ|MjM!v{}sj>ACP8p4^dCFK15W59fw2Z zp>obO1Y6%uaH!|r1=j!~Y{Px=OTAWLy=QE=Bb&DV%ioZXoB$!MCm=|3y!*UdS!;zG z4l-J+HP|AJNpB`%?d+;(ut|bh26I>=$L0USTq!p7wgz6z%x?4=j7G+0M=7g0fit?w zlvGA9$iQiq?26*L1^`D@Cw?0H0hxro8KIWe1K~7+^o-TYz{a^3-W!8D@tv_4E&71( zE;yCdgZdwz?%qzpjpP||W26)|CIb_fG_@~nRy7RiZ-!XY<4TJgl4)oo>F;GLFi zo|U#;Q`zKgbqk)PTS>V1my@$w!igChyQW^Tyn)uStR#vur_t%Op3J0(N%q!Esjg`- z3#loUHj^(=V6i6!*n$d?KxpwL6)nD!;|L2ant*Aa{ZbZnJ=p0^LX1=~r*~;8YJnv6 z^d}T+MsKc)qz2IWr%^6Ya2S(=&o;`EiOF+lazv@6z^GI4*eTY6uwoeMJOy=*;;`C? znJ5lxHz5-tup31Y`wLOTsYk%QC=Ltk&6${F9W6#d^bW@kZ!2iMv!nq|j}OlfNL-2# zQ985}qKIqBlyBn7_KC}KK1-xN=&1^rT-n8Kt&8fNolTQw|4T(vV|7iF9CnG47)S?f zF|TBBr(zRp_Qocq+}1>{%w1I$5e09wLJ71~DfUETFf&c@&H|cXSv=@XIv9(?m4DXk zaXNXkBv{jJ{W}h@Iif)p?6gdI1)o(?;(QYh#J%fZGJBV#do^hH0p|XNAMJS z_sLzbG;a9hN%O=9Xs6Kk`MjN%%y(zX+#E_?We-;RlC5QC(l@odd3M?sXkFUUwxrp8 zt>{g-on?x^I$|DA8(2JLcVDB9<%|;Vb1*Ce`(4x|D>a>E6@{+ZP&cJgmV61PE3O!& z(NUtFyoBtd6;h``G&*}=Nj13(TuwV+iA61GBKMF^e2!HuNy4i{Tw+s8WO5hTOv|vu zu9l?WIc6I6RE_f!&#XsJ^F=UKj}yUz$^<5)AIlRAiu6bUnwQ=Z{G?bo5_Fmv*akmo;@r{S z(*aEm$67Z-JKRSsdK6mb+u$0P1$R7PVW9YCwKCuixX?crmUqGtb{kD#u9bMjrJ~>}%^@nYE+f#;z63&g)J7a;LAt%}a3N3nt;@IN9H}vaM%DlideD zyH+;2Zj|6D{-m21z2!E=j1qoZ`3#Aqz&MH($98U8V`zcW(SwW?|2>dt6v%IcQd zNip79-d0{cF)rCFa(OYgy7YwpT-)pC8goZi}o)aL-_5v|7ff2VHtekx^oJxMyT(GG}&~^!ab2 z6o)i&XI-E-xy&Y52KS5x8m+?NUrm_bele)0zE5@GwUBZMErr-e0YhjA32wjgf{6OQ zO&H+>t1OWpndH)aii&x?u}~n8p9jZ(EF1`6<^rOZ`i>?7tqM126C5Z-Vu33MV(2JP zVKk`4^w2s0JQO^e5!lb)V>t9+ijr&+r89CP+sK_pK~Mdw!)qk9;Cea|65S>v39e=B zl}fV=vAFejJL6ck5s=rxyxG{#^0YDRfZLQS?;G93C(K6c4 z|Hg_aM=Z~hSB&g{zXvUo$Wk(6BqvBNnWgd@5to3?9k6gTXa+S})*6H>PFSV<20DuF z_vX=SwYSstM3QLExhbd+DL_auc9=MZQVs}mCt-Ug@LGTj;Foyi&OikrzLMxY`a1jv zt?KK;=shHqH8Mg(5s_Nm1QJ&enI>HKXa!kYS4-55^&a}r75VqB(`jil#Goc#OWp|K zj4-lJ%bOgcWTv&c>wtX4XDGxIb_h;K-ghZ5T&ojhha^fAtsPl!bXX;qII><#Q?SS( z3-AiVr6@wu0=plYaoprl@)-RWQmY>%(DC);3*-;zKf>d~*ju-de2RR4exFDa>4Stl zG6b&9iN@*GORC?frd-vo>bQT{G(=uG7$4SeRA0D)vqvweJbnyk$kggepIM;f+J#2| z(IR;%et!ig|Fe=0K)71gGNXLj&PC}=?`6|kH8IAsReM((rm=i4q zmZRqQ6i#QeN$Hi}TC)6m7c>Ndd_eYjM4jjhcdnUq&6NhDsAD)QzN;6>5Aa<*sNUs^ zhFGE)A7QPF4=M?KL9wEJMC#L#yu-Z!m&C6IrWH=B9#Nw2J+`Ya@e$=B)kVSOG} z>vxnqid*H8;xVw}<45r^O+E{n@$qBo-+xIC(eJ|JpN!pKD?a|Idi*@~2Fkdue;glQ z2fzQ%`1lE;@cX}@T=ZYz@ssNLN6771KTl!(biiKFNdFCv`Id(uESrBgR2`}|I)}iX zoRKgJX(4TEIMC#zdQ9ux_OgGmKSk=V?}{i8v!(2mD*@ z6r>Fe)W$5Vji<48=0R=j!`lA^){YbY{TK8*a8`03^ctM7w;Yry9(5Y3K#JP}@W3x7 zbswiu9A{B{O6QN;JSLXG;f!|T+k&uj5MWV_4)q*1|7sh8hB0qVPxCX`H2V+ zVM2K@G#peo&V*}k8e`&w=>K?W#iRZcaTu(&4z7%!qXFx&+o9ReOw7OCL_#ZUw|iMY z1BNt6E{oM8G31<8&ygSVqJadoPCIx2k+V>G$wx{*ayU`)1nZ0pzmNi{ls-Hxph~BQ^%su2$&i-}A}=_ZNDXQL z>&fg6#Via#3z{d*noiT^6K4yQ^=qeA+TjGm3jTNR) zZEW>+Pa;z;-E ztVx()&kj9Gn8DSsV>!WsL4#x1i0;NYti4e6_rlvy2Y2ZmVDyLhDPVm+$gKKS-`%{+ z#UEOA!_w;OU5)eG7cFX?m-T4+SADlH1uOQL-CVQsx^wGRbjC*B3QSs!XJ$N8!!>&5 zfHR6_X3^j?69(t-3lRu1v}#SF#hPHfLUTJdMe_x2&=X$+vlP_}m5Gso4crGVe zh^9feTd)s-g$Ie4fGj7Mp=riG90*i0!wqPvl!q%fjy?S^uwNb<9t&qaAqg(Z>Rl5o zaoboVHPw6ZfdlzE;+xh78fMfro|_AVTNl-Onw)zXhJw8quv&rF8bkHjW)FG`yP^LZ z^OMsjl!;n;4r}5xHn**8N`JPkzca-1LxF%Gvp9o6{u#+`vI_c!^S-%g@h#^!iB?A_ ztXfQHq2TP2JrLy3J=#L-5SV|Eu$93E$r*yfFL2;AW3?C2P#+s9X`TXPK8d*_H5L9+Mf-VJ3@zBi}?MFUTJcdh&;g`{c*Pz5GicS=?8xKC-HC zv|7;`Y9U-hiEz@!RR!b5ti5$mTtToejC-))?gU-jB@iS83&Cx13GOToArOKFcSvw| zXL0x74vRZ1t_zRc`)=L)-e0fk`>JM7_4b@IHPiF!o^wXJOX^fQ{VyhBUiR;By3VvX z`0!{mP;u?_oKmiFBFL?a-h8Kj&)DL3xlm42dn%_vC8bZh?lVSPUIfYJ?prP?=k8F> zohOYd%d=YHapXSS*ADLxzA(5RMXAi%u$J?XGjLk$Jg`@D+>qcF2_)h4uNs~ZLm=wZ zkM6cS=gq<7kJ0&LW8fvmf5Q&{?Tb@dD2s%f%yEm;Qizd*n}{Lp+sv0pPN8yl?=Hq3 z@9Au7;YIseVd1DOO8BtEJr2DXd~fTn@Tc2|o`@&&vM4Gf!y-6Oi^-H1FaMa%;!8Lg zzVGo)lz-vfFzHM}G*Nrx#GoeilhW_WsJB3WOLfofJEe)K?SO!$@Wk8z@fvt+Jq5~{ z4ox<-zoc?XGD$^}zubODQ91Q=24VP)%NHgpb)7-P`8n$Frlb!%`!(SY(7ujarDFDRIC!Z*LwCF z+*Vm7&Q?Q^8dfU2UQAc4?VdYTG0;QT{6_xU^1-j)2ZR|!cv1TkYI+En+x5Yv2{#v` zqdNY0Q9>2`-f6zG4wDzyuFtZ96x>5@>BPqzH_Ya38cK?2gNirrXiMgf(xlpu7bDqF z+j@{QyuV$4VO~hMPH3Dm918Ivq5@;u->&)u8}QRF^U+&yYb!h2q@~taYq2+5C~r+> zU$0>`s`8f~aw@8vl-i!kXO~uS^o6B4y>-sHc>giNyGrKW-*;T!3CQMbnjuxlQgRh; zna;kxNmyBBCd$Rmt5jKF-zf_5v%23u|FW!ZmDpq&95ewH7|N%;=?(%FczI0v@~od7 z&Du6Kf0S*|U#r`6e{JP_X=cojf&u$la2>tydRQ|WkK^X}$|AKnkpFBBPO97TTZUIj zWrmR4Mo;0BS>waRE+nOR^JsfgPES?3nD*s3$x27~|E6HXQAU59jJEkhXBSqUQ;xL} z-0}%mtI6UgT888XgZTaz^2+=L&>@ejZ`_yV^1PQ5`jLY2>=kb*)n+X>eI+M^D#n^v zYXOH`#zI|>4_q*ly_SPXXhMA^WYyGYSkR)tGGkmr6+?`7t>ZnO<6C7JC|(Y>RwB&&F_Hf{LgGxGQj8Ww&3a04R`KU3+=Vi%O*6P-2EeQ3lOf29UK;+o7^X zb5-Zpp=&=Qzjh)qX53m<`-AxqZfY>U=PXthdp+@7Y+>S$!w$6slz^T@vx~wnUkzr2 zGTbdaEngP+ignjl=AX89C(-wmn~M9UT>MA=WC(g{o;H@(>Yt?-Wj28}jh2%d#23b& zViaW`%W7S;o-wyYKQi5GkOp2X=1qtUi*g=;8n)Vo+~Xga*Lm)L#Ghq}EeTh;Dp+G1@l>SH7Cp((&}hIVhLu|7YkG~v@bf9?mU4B#=g=F)`*gp#a?feP zOkDMv;>63##{Fkm`oq&qfKob_z1xE=uY_3q=nC)U%c!1b&Zw4U$LsZLhU-B_%&x!f zX-9VLLx$uyTehH(q(s2rzkw4pCWT_jpq8MJp)g^);f#{*Q|j@5(W=JD~S(THZ_xtbjMlqq?HBjR=~oZ)K?sRy@z! z(x=i-SLy<${}wO{h_@c(D|akk6)Fd;UJWt!GDaD(t|q%V&Pk`14oB%^t>(O7)NGVJ z0e+S1bFN?9By~94gKSUc(Dg+dRF=KR=lb-u?I{<$&*x6`D`je;)+|>n7X<>Ar|ea; z5??!Xd{|eQ?ImD1A0ff;bJogfs7uccjt9u|>ICFHus`lDy1sJ1Tk$TT{IkDHDrm9c zzaFvLDa-iZ&)=^DgzyuqB<10vCRA{-&V~NaD&;qRzw~YoBVvQ1f3qQ_A7tBC@wd44rnGmR`A^gc4Im?j5w<-**+ z1R7KWf78N6r>R^+h}Bk4vs`_-JrR#rC^mTVS|NXi-FW*R>94=&zbwAJ$PI0gdBJLu zVuu%qfUA-fM9{y+2{dV-w_~mzR_OlwBaj;g$CaNAUvOR9DFyp2q@eYsvdpy1vdqF@ zU}3Q72ls@Klms5SUA@!`=|VTj+*NB_=@n+Dh)jLL^Ej3<)*~=H_D2xMOod+GPAAtg z-ogm(#@kL2KU|Uz0!g&vRzY83_)ecCIg`4206}95eLQ7%bXA(~j8jg~jtQZzxUT_m zwQ2CX<+fcz(CC*4r~bSkVbP5fx(I6-(6U|8cYex5)#BFTo#Ux6vol}mr}w-l6W=CX z1i=Z7OE3?~HDu$ny>13YNH#rV6DDV?t^~l5FJd1t2L*?@GH%{8{@T?23BrbFg9I?n z2QPr8b?y)C7f;?RnfdR-u6^`&Khi75l z+fS;dZ%if74DtgI%ib2kkzYFoal>?ti4T3>TudV>9Ub+O_1;rdg;%FlCplA^(bJc= zqOd)~300iE79iS3meCp?$nij7%yus$F%;d|Kh(1#$MsCWh2l?s-^*{h8r&&0^M#(o z3t0%coh8#fBly}NJ%6V%8JVLZmAMew1E(N(MEp78PB*=Y3R z9dJjuCM3@7NUw5k_WRty-aWYI+UcxeOmgol_r3b)L#Gy5akriPf=ah4mc(+P;`Lcz z=@lT*z386gE)y;^_6Nx+Azi4LF~bE^0pj9>_koHNL4ekWTVoa5IJ7$3nf&&jYzJbh zGpEyoJZm%O8xaPs8-w0H=yxi>-arym!bvXF-++~ja8`;WaqQ-(Q5wQL;aIe}x5JOo zmRBDvjq#m5OpH2^=QfbstHV7^PN`)vPJDv$s926~NsTF0Ofeq)Fi7Nf@|=8RF&^8f zNM?*sIOiJ?*{-OJAm!dy$VqSa_kzNxg({2?RGdcg z{B=Z}M5y3HgA^ervc`mF{AL_mBK>mx8UAmYPLl|FpY21{wx?kXp zKfe$)8LZ=!{e401ZAJZW1u%aZ|38p#O?^m6WHR|^8}@<-Aw>!TKjVXz zKXWMSBrmC@)}UuApCL(?29ntd0^1mg#7cDBLy#_j;8pwJ zl8+t(2_JwE0hl^O#bKz+N^s8m4XDvy&%^A@a|la5Wdn_u!X%V_)ag5EG*=IvrBL_( z!Gs-`XSZF~Hu~SpO{_gE>Pz|@Svme6%m$ND6G&4QvdV9lSF*H|1={}RNrF!PJ|p@< zm~zKeWO&alVdCIj_OzNrVXm)={5?g~g)rIwl_A>Isv1q(`05qr`fcY932*3%1hSo} zPr5%=Q@lM`9O1GkiCZI=`s1uns8D*~UpZsUHKTz}LpH8akMAc8Hljb;#Blz{_#-cg zI+}~%3XY)gaK;t-X}4V!L2QoQ3c?^kIhA^vfD4Ws;r7JYltfzz<*h-DRUe^(<*fuS zJauYSW0P2lc6)q@84-IDgJ+QR@(w`J;?goTr*f3|{$E&T(e^646LVso7!HaMTMO{* z1f#(XkTR1Ne$MvV=D@I<8|x&350SM4vB}z*zgnil^+D&}8wxJ8iLI~`WMgDAMm`gY zYP|m%pX@ec36_OS=|u;cP}kuGgd3cDci~^59}-Sxr80m=UJ`FczPHf-%8$0Es1|2n z#$BfG{QWHX-ggb2?J5AFQOb*HKOQ@!r#YoFoD;IT(1!$m9Z5};4zouT?z|{SWGtCB z_H>Prn^JnI{QigXD2v&WPb>|6wBZ`M&)ybSxu9ZhHf8pTiC-`SROxQLO}-WSSp)@+ z`(()2nKS>{R=;|KOk(aM>>(1efX1eltzKl+{a=cxtYeE&J-L!8#`fbOLz=2c5Qnp1 zzm?D_&aSr#fuu{YQ_;ACm;~P8_X{$7l?oVUtXToRLlK%ySr{rT6@`}Osp zam%BI&5zG!fO$Q_Xj zWH`9qNOC|nwL5>eBR3fYAnN>aL=`wVgKs=|fqS)a8P8ER@FRQh>DPMZ(<#}=oU(T1 zI!Bzwne7-0gC0ukYUR)XMy{gPpb>ZKs&CZ_TlShjTCq+Qn7*+=2g+#G>g(uj74>xe1`RvK(M<%k0Gk|yH{DX#dt8Q+DO|6j=OuJ{`n zGD6IF;|l+OkW*0^Pe?k2)M{5+?@7$djP0gkSiRY3Mh_&59Ilt1YCC%t92?VS9U!sy zJ)QG=+(61%PRPm=Z>QOVS*I6O?lnuvveT47kF4t(!FqD4G+WTuijCan(Cl3@_%z#u z^Awj+&Iruh3X<^Z+LO#YT$~aGK=swj$H3YLF9^4IIq(BkRW;FK;-xA} zFV71CG{s+yQclz)ADC-7`OFNlec*x?Wg(9rXa^2_X08p5U&51IdLvnH##yg@7*k50 zB8n}BLKOWwv+B#^%n^9*=+J!wfok@$(+sljzKzkak?(QGAo~7f5OFbaa8zy7kL-?2 zFZx@Ac3|zonVS3eliaYqcXSzuaTB>vbaH1?v5Oe)1<`(Tss=wks@Q1~y`y(?bgeGW zn*2W;V>uxvv_Z9lLlj!6s8q9LASFr6yp%mG;;102$RI15^GTAsUXthl?DOnD(X`JL zP<|K^lxyaWL`)Jv?3;~b0r1Bu0S-}obCLncbSf`~vLFiqtm)cQFRAH+Y= z?;0UmIe+7$%Rr_2kpDGO9&=6>(^5@w=P7a{?-OFq6c)248E&FwQ&9^Rv1al{K{!HA zPGHeH8rESaby;}785Kfg8Cg=Q61tubn&zNlm%u@MTy{kMMGfKi)XVTTg`?yWB}6nO z$YD0{R3_xJ2r~6>LoqQWeNeS}I77bB66Af8W%;dQy}<2t`B~GuV(GvlTpCuS*Zpfb z(t!l&*{n$W1>736@2R8yV8DOLfG@$IDM1J;fy-eHEW&`OQ3d~RlBT2^?X%`2;UDY1ePF>{QgI3gs90S!pShgsiDh}Y9esG=g>fx6RyTVdVF8ynDF-TJ)j=< zQI3(|LKtGQijpzQ7ASwk_zGYnB&u0rxV>E*gqQ;oCt&*f@}tO4?-+LJ_EIn>QX=lS zi7|dQhf%x!`IQn;&qaK0#<^L^JI+5&sEB0vvMm(#JYQ)yKtlME9PQ%&lC+PzcrG>&INVY#d!RM${kCVTp1CAm7WmXJB->ePx2!pJO0)+` z{#WGcs?{pGF=(M5UV@vb_3&fNDs86v zv}n+`=DpBEvqx*jYn0|_I=U2qI-vU42vTt2|C>D*-dm4O{d3cyj6`tR>wV2JBb`cBHX+@Vd&1JBlH?J1$&XcO}cquFv`w5LIg1`xq%p!uBv$M%9=hCb;DZ)hcV;nun&9As!;-bElQ;53L!u z#+pMkwdtE^(*^@95}tE*@we}-eg~6AUXoFcZZKu(56&T*BHpykWu>zpRFA7hy4E-` zH9+-Fd@WV#Dz25xmb;8YT}jyHbRr+$8qfwax+g+1ZX&L|nwibgS8nd)mu5kWUR@c0N^L;!Kh0A#TzQT-mr}u)JyyBwJreR7p+t z$PgghrmEEz0_I|m`PC=a5`I2|3KvOFetP1h>2Drz@+K}OoSt&U z`=zewfIVG@k9+>Q$>Uhw^pD=On>)($Xxal)1Vlx14wP!>Eto`eRxQP%_?OhFxzxqg zWd2eqcNVaSr=Gfr!6&z+W<@i|6^Kk7(^xRY`Guu-hu)r^wsTk#BM5GZ|Frg5io8wx zx`ayCLr^Qa7E%8oGNyb!cD$hXZk792|Mp!XDz+}VOD zQ|^KyPqe+6Y2CmmgEc8=B{E6l>5?Stv?ui8tD>5#BHyA}x$IYmvv4Ln9r>DvspzrP zQ|p?+_l711Bflu@2A-VUPvnUi#WN%OMZ9x{*uJ6?j3M}>#~vZ^H{P0TwVg+6T=%8k z3|k*!@y?l4DBfJ>w@w|%tRBwlH`o=QvW%AYLuU`VWY%}h`6l_BTEtfu=hIadKMb8l zn%=X0UD@#?%`^WynkP~vgplLFi-95%Cw}hMLT~9nwq3=!;B>Bc#dHt<)XPKkR=;KQ z#E{YT-0IaMtmxYw4RdxPRmPTTxEQX9;c6XCgdzzipF#Ubkrm%0Zspp)dXo|E3 z?i=bZ=WllwK6WIR*4kWOojXft8?qoFMnb?hqquJrX)lZHCm(Zke~M$|TNA$28bA%^ zTNA&~8pw9TkGf5J%@|@f6MH7OIvIhSU!BxOoinI0C57*plCH67L&%3d`F2<0XLstm zv(?pOe#*qVHvL$JCm4out_en7;Uw#e>6Me}n7)9UxmAl4K^qfipPIrAz92aG#kPa* zRe&|j@6rtZtTi}do*(&oSbFp*42sU9WrcC0kw4Y=(I9l99i}qm+Es{_yDV*F%Lj5cb1cO1Xr1 zHrPf^yyE#=Ve*XHb0yQIopU}WhSm0w+fI4JH3VI^uB{=e1G9WNn9J2FTl}%K?65&L(j&aiE7&)7Ufb*k*$IYNaDeU^K+OnX z19Tut*GNZTa(dT@VCO%XNI)+{p%+%ri@2~Z!(?`1me;#{alr;iz_cz!EO1`uhzHa~ z9JGiCbU+2%1|};&FK|I#WMD8WuMjaT+H=@G`gT}tz@~Y+8U<{C0OUjkP(m1yfepdQ zucaSBc9tPK%#a=8|BwI!NEitSl+SOS*%3nIIJ7U(Y|{^!{bcT4f2dVoqHUOme~1B{ zLIv_bEZ9J#rYJRs`jDLgPzw(D2_8%#bHoO*0QyZj6$3~WXB^B@TgF}|RY|Q%_oCbn zkY&k)t8{+I&MT-$KwfxYiul!Nm&LszIKb>b`8Okh4X}YN zog+lAA+bTt@L=CJ;1z7(DGJ~=C>gbDBp$LO3(c8Dsh2S=+Tyfe4rgN)~qK7iyw%?-;pbcIS25kB~zH z8=(Eev^azj6X*a3FhT{aBLgH60k^@)Ke|R-Ar@L~hi;`kJ>d?M;Cfg0@f$xsZ@#EDv?$x!WmW|j8iW`pA86U zL0Vi390eA<;yt>#}=|90Cgb--Lrreae&X5U4sftU&z_L81U85de~?fS7N|uXt00SWs2Uw!cd2;`6JtP+ogH9}ny{ z>1Y_7eAGGef&_SN(g(=SSE!2)-^ZAy_w6{~3{)T;gb^O-@v4iRBez{61RyVLFqku0 zNQ`G+hch`i0z;k>q>BU8>l{ghSdjmN48(Q)oF3{z4f4VQi{SvHhcj7e24-3*a&HQ2*qqiQS*Foy_l5=>+_7 zbvLr~!{FnkN(S-Xt5JQ0r0~BwjTR*verem(D*?KM=(7$KAB(gcYST7T?r+$d(--7vbEJfWy2*pl8-;NV1&(JE%x}M2cpD^ zN{{{ZpTe)bwOs74K}|BIxoV~vxiKUD92i$|5a849;C?X)8=y5{m02f*LgJ?KYp#AJ zHfd5jI2V)?HtnuHVIOA<|DDQ4FE-G56nE!Pg`;mEaiLG?b~_19iS+ z_N?Q)PkfGwE0$z-{QOZuzu2|sDKYfbp@m#s&7Nn#7;=m7w9;lbJN5(Kym7S-DyFI1LAhnxnN)pf}%6eAMMay3ZZIFAIrS z*=Y%~Vm6OrPkgMRFNdaih(~o+VA$HS=G;$8?K!oJoBWlv(5c0;kG&CObHPv5P-8!` zRO3h|AynpoA7?j56c>;Bl`}D zg13qHX1~IYgcXmrQr&xX@e84gE^9AkRt}b$yuL%I2-ZdjR_4ba7~{|3xTKiU+xae| zLkQq9sLcp$%x0UB|6<8z zyMA`iwlwxu#%4Pi+wmtEj9>Udf&TYp62*PBj9N5TTsEe+4Cg`fyN`?DYlF{upVulo zoAV{h6#Qi`Kji0Z*UJp);xc|=Y|Q@z)K3KGeF8RKc`GCetjg5L5WekgmD0x*!QWgm z`o$>5K8SxyU_RtsWr2=^S@Zn|vTjbUQ3B&3%Wz!1O3s4%FUGSLaPa4hozz+eA%?)Z*rMBd0^niwRi8bllsqc!sUA>km1zP z9}+9)1S=NFtcS~#AD4&1`n5fISReLt?ZzxQ67@i77687uT|-Ge^NC!AOQeViVoGDH zzwHHH*CvWha-l<3)eV+y5(jU#omcT&7~S5kn>Dpj9b8APyNpET=FS_q@=MNUHwJUE zE1iPq!2}^69sNfW<~hKp_ua#NxVqOuf_xSn7t)J=*uB2b1KKR|Ean$&JS>xDuyn7y zJu*BW4n|ZYVPHy!LN|3`EQr#h!PH(*y3Y-LDD3ug^7Wx`9E7unYsze&OtIB)O4-rh zb@5m$o7Q(cryzuD>SXOxeQaM~kgUXyALVvSElF?QofzT@d?f$0Bu{5uIK!OpNPDZ> zsNI6-`IF@tx5@##_r?&-WFa_4Lc9m0u3}hc)K$c8z%1y$&YK{Kqk? zCOrvEQ`~5&%gig!p`SnO!*p9zyNTbGl4lBm+vENSaUKUSeLUn1_M|o1$bBOWS)FPw z<7Pp>)9@t8Lj$6=J%{MrN$c)skSoyaj9jdfL`tqWxlJpFA|BA1)L=#OLniAbl1Db! zyL37vw0H6SlT8vn8aHeBdwNXv1+x=k#bJ|4K&X%7jG%*)h&fCBxZ!|^ zEcGo#_PYe}P3omr-h}q@-$23oqtHr9cGpjmYZl=HOawJCvE{GZ1CMfqZEu}jq%l?J zf_hBc0HtLH9scIyTP*v?b(3a3K|*?mgYcdF; zdgMYsiH%G7z9{xs?v1erX~hqPA#3sO`T%Pwk>^nTrjtsIp4VKSTen-n#0I@MU!q^DC}f=x#^9a*>98R&;W6^9VsW-j5VpLO;_7~D_!WDE_GNj*>T^Kf zjH(rF>+b@878v8oQ}A{|gGgtg<1RHvAt?2dcspULle_@&sS&e;xZ)lB=Pv3c^_8Ne*pCw%~@J%%Mj+})Aps{vKEl!WW(T;bm-&! zzw9@p`^l~AsO^V%F9 zo~_?IRXaBfb>^-!KS?}Ld;f(|t^7H@VgH6g@85Xk!(nfVe&Yi*z^gC9k!9Oo6!a-) z?EWx6exb{Z*Psd7NTnU=&0t-sp$(cM7S!>tv0qe2_T(Ul;AF|zVhluPZld{1vGe&n z$|FpRgXLH_AoU6`1Q-D<>o+&@G)gpPNoZ7_8*GtYKuhkAfh)o*^ou4dADTRMsw;3$ zA{cx)vb51eIi5nt;U@)(^Q8HyHdoJxp7AHKsTv!Rt!$B)v%f?I)SPWxy?q0}LUDup z4NPObnn>`nX8exi!Y3BsV*Ite@DA4ftboEMg24hwf`9gHvXX3bJL|BToRDjp4=O#~ znt41Ic`T&KJKT5A`D;ULo*CX%`}^pFevGRGpSfQoQLSb{U8Tm-m~S99wL4)E#z)e3 z76M1-imLaj7jJi+hQ=h>S=ej#nVFwMsFFbboX05SThs*$WZCr{*Sbbx2WE4G7-M?+ zr52TQ2p3U#0ctCx z#t`{%6N4?S8{_s@HKF%BoVtoo^7H4X_fqGG$bC3fo5`)RohMENeA!4oKQ)Np8HsV& ztR)oMd*CNMrLRWvTz_WO%LM$4gU5&c5|8l5?Xdk_r+H&+h{Y%0*DhZ zk3DOeo%igURPN21kg%>lolqNdDkh18m79X~0H*`$XNL1KR=@(E*cS@&VcAvZ38%86aYiu2?4W3cf~O5vWcL#B~+N@M@|m3r;ZUpqci zS!+qE+p1$Od*4(ITi#1RI)n6Q;3!==*nq8X!LfdC)zwigu_;p0QWoS(eLh)^q7GZo z2(_7d_bI^;sfGUf{eEnZC@i>%QI0;rJjR3`PT!|KA>Jc46*{Rxp1u5y{KcrDBkvcB zH(fo(F+BC3UA?w@hiRB6Frpd^*Hb4{amwC#HW#2EP~kxjLyVIt8)rk<(qJjZE$p{$ zZw-l@WlW5JVrB51l6juAHu#nKmARI<9@3*q%os>km09XWC4TeE%)A~+n)UQJT^^83 zTNK%FG34hyFMZ~TVZWSY4e<4*HdK9?d?3|i2CV8%z%b^8)0+b>g|FyokIhXHp6p8i zu73oMmY0?+myg-r!cYBzMP=#D)(Y9<7o_m3ZPwUcK*O zAcnpAm3c>Ct~OaiO2?VMa7chlA%iuDe`Ag7ypE@T^o^)kuP(2CcIm4O88(=VD#r2=I)M4Lu_i&^3!=Wmx`$@|p|S)N5T-XAweWdj^%Z@j7bJ6kg$~m>uLDp=@k7hY60i4|Dt@gS2Skl`ziGBmppQRjUZ3-t1V`LoKBIQ-&hhb(4 z=x>zJR?Cs94$fsfr*q&hJtyPu$GM6z?l5Fd8PV6bD$a&l*aQ?F|opZGlUr8Jy zy({va^&~Q9#8kS)+v+)fxjjR!RJ4=!; zs4rfO^`)4q)W6WEn1k+FJ6B=T??|*;!1g}pK4*GG)^m-6XYa-493k{KX2^9~vEVg9 zv7mBmK_N+zwt#j3|0coS&x&(TqTR9Ly4(fP(vLF%!THhQ_SMBk0t9>X`Szw-b4Zg` zOEcu&;k1IP=_~O+eU3>^Wn|>N4X&ff1$H-9w|wwrYWCSV!#dPocq%dL54qVM+wIO{ z{a5}_dmaqWjOZsuhax|5^d5a~}TD|FQO{<`|LY`VCkaBX1KHs_kYXK{3~y*PAP7N81D ze@bzGjUnAmS`3JuN|-7wH;^V(cwM?G z94V+(ym(F+V+r`QN&={CU~??aIi;OYixV-gPm~uCo7bYNj+=u~Sm0Xd6dEeSUtSCIWU|QDi zlU&4enL!`2x_68no4U8EEnDrD1r=lCEm-0M%N8e@+vMHaC5XapGP=E$lXEuzAdWQQ z*x!!@@lo?SLRz!q?BR?ITEhw}LGk6I5u*|NE5T)9To^?CB^)*CkNKN4?z?;txqn88*bH!8-=?F&Db`LX zQKGN4u&LnGFF2jtfodRPt45hG7RoI+nvV^NBxt&CnGW+#d%LV86~``QePt_HBF51B4};Wrcws6(g%RVFfxY$nPE>(G)ag17nSyNgQbv!8j*!>4{ z0FY73<+BkULQdpha5vt4OMGeoGFH3Eu_CfJoB?Gw0Z!V{Z^yuAxsRWSarDJp&lMFN z3~Njjj1{K83`_+nRvJBwPi>9#18j#5vRwV50aj|`io(k-!%4S#&k;Lk0D%5lO?Pe1 zNH`u_g)X;GUlO4O+hZj~$Hh%zx>kGr#oyt<66aE_vIpyWv+JhW2(6CB7N_fC{=Ld( z+p#46{-s!3*Exrgv4^1cLs;!&F0W7eN`7I)q5Rc+>N)hG&Ws%mt%taN`RR3{c zVg24$?eSw#7fe;+S<{zGEa~ck zOlOWqyuuA1@^0x+i%S!-r14*T@hr6b42Dh+n zv#afN;ameFd&&CcLDy!^Lirz1-Q4awkFCywSmWA~+gtCK#`gI~=Dx=#dAEQ{A1^=3 zaTU^uBjs{&T7SE!N7;3PqX1Kw{)+sAjQ9JUrQ?RR>RGjirYnVJ&BMrPs@tq2{Y3q~ z*%>wEM=FW75u@YgY$T`w7RXn6pLA+*YWm zNk!}DvS1w5s@mC-!lkYj51>QW(1%a_`P?e?w$K5r9s3ydaAJ9X=Yl=G21d#%DH@B0{d22V$FR%=-Qi4w_KRYx1SXYcj0E#6JO=t2y(TRVDTGSHpM? z=M7?v9>eNH+56V_i7A$;EIjPB-{*Ps-Kti~wd+U<=5+tEvFTiiV0c7dJK3TByTr8v zKUOCFvR3OzIeOc+7=ZZ*Fb;66aL1d!D4E5$dO&ih4-eE6>}&}4E=vmd(@t+Mzk}Ie zW*Wx95V};-2e*uVAHp%ZTG8_0-$oZ`2t(+2fcagsJw6EDxb}LP#(fNl&B-P~uH7Nt zR7pX!$q(wQQD6_suflRMZia>tUIgl(mm2ez1&NItq2hFVgU|#zd!T4y7%^R_n`Gy- zGF~vskCPL0od~+72|IErD1u#M5Mp(XkQ`LJNBhR`QoF`tqxA3hroj(}w#%@bLJm~} z>i}7*st%0GF8IlbXGVe#=n36Y?}7uQgpoFIpY*R3KPDP6XbdBw8=pIdaN=BtX zH;v1so=A@Hk7o1A0(3a7AYwv*I&`I!itzRn)?4q%2QXfLt)>ZE;&+&Q5o+VlIX_(C zR%joD{kYx|b<^o!H#_!G`p~hE(%qnHu{Hz0&vPAAir$ ze=fx~!*MIL&P02VR-EAqVf}MricpxTPpiQ~|caGJ8IC$AG0Ab!}__}iI zp=Z_`&W0bZo+wZc{XOia<6$SD;udedRWr{iG2UQ@L0e&mmDn{A*}#HJn{-qY#fh+< zXUOFG@#%2>e)dfD$Y@JD*1y1?+bGX9D!}PqQe^iW#AzNku5d-2C+&B4*c372@V^(H zC$n2*co|L&*z)$MXiP{O+eo7<)}_++nbBfQFEWfR51sRtHn71Q(6>XaSjsMaXg+kR z@TSy0=^+Gc*cg?p zg4RuYCpa@$1Vj41!N&EQ=n%p%^8t~sd;TxdOy4u*$7WGl`184@Yzp0$p&6A{MTmB~`r?0YcQELX2~>bc7Y+D_EHcrnSo%V{Wk zWp?nM2|c*;+Q{V564=po)aV7{tb9QMZTHeMQwg6Bk|s=fp+N_K%BzUEXt|8z6(NYZ zWc`w|B59{1LbTcOx1!82Hxi$B2$Zwl6kijj7ZbdsiZQ4)7FhXdq;>v%KTn=B9rSIb zErdcVR~zB%xwBOPoc=NCtj>=U1r1Z5-c&4=lz}(c-165bR$QtS5AR1yl%Y|Y|6o!W zDi_EO)xAq4Vw}z~*Go`}h?7MjeM@UbXRh=;@+Z{mka!}v!6+PQxzd=4luwb0(e|6U z@~<-VIDV-brjK(-LuoX+ZyElZnGp#wM`EULBI)g;8JBh92(y)135RGP6@F{N?~S4< zkyjHBKUC9*$?+!8e51ktneST;9zoP^Q(Qv&A`+QC8dend2o7SEI&fOjvFR~P)a)$V z{*lzS!U={e+S=dx{#C^Cyu{l5~eoA zy5f2EB7Ffz1^^S!YVgX*Q?tcsse6OaulD5kCz2t(NEvsOKGgKfm9><{Oq%Q+wTWS= zOKmjr$*vlt^t*3e-ke#woWhU8;>x##ZqdhK39ih}4%~Y%1EI~6eJcvx?$|yGVTFlG zjd5^sr5p3(KurMkqvE1uIGgzB+HKPmzd>;r+ndRO7sZLUub*vUA8Rt0y>%=*eVb5E zL+o_WUR6S@`{TM)Iev~7lG;&Fk*4I;%D(30?2Vvv$cfogC4L)ey`yPTO8=mrL~ZX{ z1DSW|+ZmeChRR;T$i0Z|fsDxzVG96%f$Os`w^})Rq5rLcQr&8WmC;*S4E`gzu@<#j zhnX_+|C@BSWF9x@OwAN>4U*tp%+VrD#kuWl`}GFX1dlybKFl%{n0?GMuECaISTJ$r zRPcjWJmX+P3CGG?Xu2nrYW$VO?7v%em)aAyEijp_4Xf|J_m2KsHaGvdBNs!ko39Do zfk+uW~C0<{WqIqII_0 zLX3JGA&ekWV376({{mKJ4>?qH0l~3b>rNbu?ARj;MO{ES>-K@lFJRw8neTXO>k+af zlXj9Qq3Flo1Egt}B@-Ew456e1U9Z7NOpZhRpu)Uiq$}ksoVUv_LrOWg5+VPYyMX9p z@zammms1h{f6;apP;qqYnvNg|?k>R{f;)s@39gMpaM$3PKyVKh+=4c)jk{~(?ru#( z<2L;Nf9^T=%-lP3=B$~u-g@fety-(0tGf2LH@m+r&|myRVVtn>gT|*|6s>J{EPXgG zhi2wz!MgsqC|*ORd$JXO(aHzxjAUDZ$AQC#s%|JvUlLS+5}1bH9ytEm(_bUQ`O<%7 z9Ff((wW`~LU6*nw{o$Lg!%t)dZ#T58NU!^t(hlFTDP&P{sq8$P*ctHvlJm&=C)i+rdyOf}LZOpJ z5?@MLT`EJI#omsKQ&mvFrrDWLu+LZi6V}r%AddLwaqy z4?5%hJgNX1?Qfdcf`dOLHa;CON7G$W2LldjHI@4O1@NXSv!dW#m z647E1NVBn>gILFLCn#P|rLbB_CXtOl&oDyv6ekD0x$W$+TBbXxx)bwLVroPAAuCq; z#PQG9U#t}49Gl>6?iwQjs__@*hydU^5eToh%f&&s(8ZLtUE&v-ye?3Mb?u`dGmBvZv~)?Zk*Iv-A7Y;_2@W6X4kSG=5B;I`UMDOe|T$IJM$ zv3X%7pRn34d&<%Ls=U*>f>1x0cg?K=p5P^m&id6UUNb7Bo%{>&_^kGxHH+Vm;}~>5 zmBXFFX^St2-me7LGp%Uw=ioENFpq+&y<&B?%BLCytkm&q?IN|olBHU?fXT|q&G~)k z5zO7yQ%F!aBb{taA=x!r5*T9lmzZc}4t7}x(f^ci( zN-xN}(5~TJJN4HqApv87blCx)WcH|+-lk_GI|n-VW7zc>SIs~{TqKHTo>b+$16FA0&crU9AYbnd<}P2}iT-GVK2l|6 zpdA!>wJCZSbhj=GK@1o5=MXcyeAgYl2h6|P@2;(kDi78LRB2tsZ%;+u@k?{>I*o0- zcON-g=r~$%!~&T+I*e_sW%NL~xxT-}G_p?YOJk^-@gV#C5%E*f$S4XQd83#c=mRxB z+mGk_;1((R+z14B+hJ-$Jb1p{PJd|P9C^{$xmkr&KZM}==>=k;DAZMqlKMNbPz(x# zL&hz(!`=(aaZG_wY7O(fS9%nr9BbZt2+Q9+8w(4<|G*G(OBOHed-$4>)*(^5@WKZW z4gxeI+5eD3zy7kj%iV_tB^dJ*dxPMus=Lo?hs?v2K@Tmbg2U(hSu|;+Ot5g5BucO; zX~%cA=b_A+up}StkK4!TzRR2E*x+h`*kh&J#o)&u4;%TidR%QUX#IRpFl*e`wuF(; zk7Tkng?`!$krEdW$)D~g?UzxVxn8bPh23Q~vcV`>q6$Z4tx0Mu!Xo9`r8DspUu#Hh z7x4>mQ(#y0R;}uESS8q7Y&N|8ioeoniy(D#8cYbUt~;)Nz{sgUGeuX%OAcn6DK4Y*QDG?MNw&1IU)*i#Oe}}QgZyEw zkSKGsCi(ixHjg92!)zW&gWx_bp-dy8U7$fbvj?>$*>Es?3~Kl~g(X(%XB*ne1{~)u<+br2i5=;LdI2wZ8b$<&Pre76 zz9cG47#|GvVXCyJxh(B&O_)OtY5Dedykn5IlhsCJTK9EVvdh(np8|gK#8oPPb)f#C z1*uTu##^yJ2#QUjZdbH~z15Uyz2?TECF(fM$DfvO0dhc12)W`H9fK+#Khn2d- z5+O-j-|A;q?pQtfsj`TL1mz|UD-EgR!9qR4(QC)vXincW=w<}D`90reAED^KW6>)G zy)yluz_*D%o8ym;>+KFDWV}Azr1z9%FfA zfsR2BIGb-#%0;?>V@g!m+#JgPKvyu4$kb_e5IVPa;kq~~xz)AOzhgzpP4!FsPe9Fl zZnNYijwm(?LfsiK3ozG-D0OK$#x3Y>k0er6Y8ce5!;5Nb=I)rG5bDSD?zXsVH!ME} zXwpBR60LHeEF&yaB+YO^pGTHAl^gFFKLNNu8>zl+qIE2~kh%r!uN7pbN|U?s4kMPy zM=~wmHcrC`t+(y2WC&f${r_sWmeA2Zkd*`=8VZl|HtrKI!y@f&rFeaEQ(M9Et9$wO z&Xa%H;dZx@ybn34zF_&by?g`bNw&s)eAPc%R+vYzIr?E^Y7G>_R!D*vJv+5g5i+#$ zx@H-}mRDjC73?bh9J=rpHGN)|Kd@7Ai)&1sTK;`P`|n#CJ~PfYY*Q-%o#gcwT8ueJ z?C~LI^9DVSW=nj5#03tUIDG(zl5peYns{nTQVH45lnY`|$TuX%Jsh-j%0cg(VY>gP zaDm2FFIjQc$DNfB+XkUG5hre>%`CO%` z9vR|_sZOT6{r?un?u~YRR3RJZ*H637!nMAM+V=Q%8_TlIUZE~*jxMzMqemVY?w43& z`Wy4ILSCWfD7NJwrZkWX8ED7(wy!-N2 z=WB=)e@Vh!>GNoa(2GL}^Fz;@vWw4AUNQjf%pmhMtvPDZcdh74fQCNX*MijFUZ<`3 zOMH68>;8^VWliW);6G|eI*#UAjc{uxpYZ*p~iD6@9b;~U0@zaNw&*rtqd!kmiCvBPo6EhLs)razEU z<_&99{ueBnR2>;tU>%4^el}+LPy)${T=JN4N`#>b%=fMLB}YpTm0R|Oa*c?UUdP?^ z8Js!y&l`kf`lv{=aIe1hkpuX6i z$Cq!>`ZO0o)au`a()b6l*YKz~Tz?NNPz|-kf6ZXzbN{gXKZSl0)^9eI2!cELaC)=f zqXjzBM~EAeApIY$lD=!tho_JFp&AJKgfJe27Wp^Fs5Kfy#1=MLmEU_2{_g@H0jd#K z2>6{;z<(cfl{y)|Wezm`(2AKW77_F*kBw){hSOvrw=qw8PnGIPmDup4HJ+c*Ex(2b zy>vv(TJWRnp`5HNRVKLsa31xC*gs+U68bUM)Cx0T?;)BTuY?)Pk5sj zK3@B8A|$Ptrod{TNZYA6@gE`HG7#KC^zUJzbVK)*tRdl6yOSmbu(o%#Tj!&ibIqfc zbEWTa#8*U*o6zA}U&eavHnR-FLAuh_{s$gUg~hz37PLgjLePNHq5;z?ql&F4cElH zX}y8H%}5X`-|;|wAAGs>k}7}KF-zSu!{n*jCKqzv+*4DcIV#ZbDFz6!_663p9Ef$BUkgSj-8O&N;t1#a7CS2>+ZwbHrf+ISi{(=AM zJKU?A%rUur5!Xzg8GZBg>KUyPi07`)uFq4_?$dqd?$t07XNLa9z?E>r=-dpkPA#5O z-h%_6-{WlLw6%c8{XkNYWGi&~_RiY!skm6y>)PTD#fPI;5yPa*dTYO? z%X)Ki$4B?My!2z`3DtBd-xj(VoQDi7Uj^o5YgYSUPmR<;;_lcSRE zBdAK966moWbR-z%Cq{~A2#=(>-_j;fHq)EpATE#dsHTc(HvK!Mmwi;R9Rt(q<1Y*J zvf30cD>5`|D_TPivYx5;OvO8~iyoUqOb-lT^US?!McBOgw5V|bq73eNR`wHQ@Qg{Z$cBf^exjy-j=j$?Ut8)k&sgZ2(+yq6P z^C<+aGOtThF!F1vCYS=?9D++~N+_(b_7Yv^fw$!G0r2#A1`mL7H$Q*D+D{tbjSmSd z@DNkH!J7T*%|tGhSpMdG2-)HzC%{M@)N)@QWnBSCJtUbmrs9#rc9*sFNS#dukDk}@ z_0AF5(XR%fmDd|f6EO2khc9`=3fd$3X+&tNt!%cm%;Dq3UkM;+fl#*Td&{Zqfu{mA z3Z|Xc61B7Q?@MsTZUxA`y#}K{bTu?zyjt;mY2Wg}u7$hzn(R#K=_e0k<}6)+1M+kf%dZ_9;Ily#(vCC6)~eTc zL&x|t0={;Ex_3#(y6`&_TzlX{{myQ~*F6O{o9>>BT=PktR5z;j#=F%CNbthT+s4q) zF6Jpftgty6Vb}f#*H7qd$?dYVr$67$zg>Caf6jZ?(3Wsf`&S~p-h&^|8!q3|@@Ga! z*KqdSY(^>mFq|(q<;o9StZGB%9WdLh8sqmPYwH_!Xw`FRMd6J?R9O3ty%(@((%?8R z8kA|iyCHeivaulaybQ7DOZ~48q`Q!YR;4CC*W=gsJ+%VNA6x2(D&Qhd3{F;r0Pf{4 zz^QJE71m>8Wk~18OXsFwM^^~EE97RrwhY?AOg?P)LUh>fqqRHL5PJ3f`$aU7U&~>( zdl)b4jFV=U4aHn}FWp4v)C9`0ggMp% zxaUu$PwcZ2 znqUj>5s;F7uwr3@Fp-jRsdb4R-a>YOe_Z8!Wcy~36No?GWzm=`;W zW{(uqijLes-jj~~AkHzC2tAYx6^-XO*U9sKEc;Xej>@z!H?TAxtF<;?tc46U2p-SP z9?#Xfng%Rin>RUp*PFALeW*@%Nl52fjA~en@|ca9w@4B)N#a_7FNZ#^LLcoyV zpni;LBb)frPqg~N*3@lDm5K3ViFrF=Z`qnIkyd{0u3WAN8Yb^G$nAKF4=+%D9Z7gy zPhbl?;?}rKVe*ydJag+Q0#F%HrlW zVep)hRekhr>Qh2hvp{)m8JlUgug~mb7h|17qfA`+ys2 zy3DO>TVUGHO$~PnONd!rIb#5WU5he)}m=F!4d<6;*I1;R`-uHb8v;D zi~x#PWTthBJe3U--i+Ji9h9oIq_5iK;Vs;tx_SqYu72s`orC@v8kpD5&L6`!wS1|^ zKe`n7W6!J8dGcdtxH`>YjqY%4z&*jhEhhW@~e+R?3%I`wIk~BHjlsA9kOTixt*U4G<$QfW!kXx zo}bz2uZ#@Q{b70VdW{$rXg%+BMvQ>9HnoWw5VP`HArIcWsVYU5XN+mDG^g@H%yPAP zicK@Mn(I@q6m}Y#MjB>FR?*zf=U6#4*KC3-At+C#N-cHGp%`4B)cy zAvJkASt}iU{Jv2j7s%O2Dy3nrCKzE6j9E*h41C52TJF3dSEu&67vzi4$6tb%zY|l}1mhi|Mu2e#w`Dh3i7{i=&-h z!<=1Mn2Z(^I1_xmH*bFt)ma*=TbdFrEmMc%^vw~bXGOZToO9gS&dvZ&=1#9}TjT91 zp)`>)CY?Ytm&*D35YqbUO-5JUo~WK%Pux3O-XM+0bv02>JFO3 zS4nSA#vx`8b~Degomn;Wv7J^77U7ZZv-HWBe;OFZ_oW})ne)ica81au%7-OUwk@5X5Kp>3lw)uK3JVaXI@DypR}>=3&zr9~y& z)86{C2kF|WrnrX|^`^{x3GfBl0|J0FE9tG{kY?Oc&bHa(ux9=;jz0Ar&|vWl_{)$* zg(+;N>IsMc4A3yjIQt;I#V1AF7g)6O*yvGSHRzJJ=LiSnt@KpgpA1RQ=|4HGQ?@s} zp`}_e>h2kHsHi!2h$HNhTl7(%m;2o4 zsizt%@=12QtMpr|En#!gQ68y2zVPYy%dpRKncWZh#U<6vjXz5+4w=(Wp_btD`i9q zoq)LL4Si9%%L0S%&VpXrcS3X7%y%`o5cAN-%gF-q`okVY)8+LBZg9tFST%&}PN5pY zJaW&Wyj^w@-z=2J}7~^%=HqRmVd|wITbfdqd5AWVjCI#X_3lKw9y6I>mV+m{z7LYFzwmgf z*2#F5&dGr=f9u*&1IILJ%a+~ib#u|U&gJJxF{aAX zhBZo^TmEKTEF9$ds`Xh5Pq+fCOEi)f`_qOqTI$?_D>`APVuFNdBpXaZB>NP8HrIWX zYn(d(o?egLBf2Nx{Xv%tUT?KPvFp%W3ad4AszE!fTo!KXY5|kMzOY>edJQQcU6?*6 zt!ZJ=n_S+nGQ0QrUYl>I@*!vT&R?U5gpaM0q=k=d3VFYL4OP6RZ2iGzjPXdgyU7H4 zVbIgIW(~qH=##ugK#&fOZ^6`O^Wtn)yTn`R6?A`2+u==-p(2kF=$-ys*z2uZaqdVi zqxgpG!`$=X7$>EC?}SvqY;t8;RZN9PNQ_Mz7$jj`<5(v^3%4;m8|14zh2D7rBC^k@ zU5Zf72o%M9JGiC-+MruCAI%sQ=x@b0$P;uMzfVe(lHj5qXJ+bn$ zdv2^szH`gg2hmO1&K^60_By4B5a(t&|jCqx(`I!L#pa`%sQ4SE<3xDnKM=t5j z%3LUfxO#Ql7qv;6>6nJ8U%W(k0IX4W!SL}monm7SL-XImCyjW?2lt%lr$wkbFt!FE zLtS)#*srC62v$4mp?cov8o_<;C&ofo>=|CxT$FN=QX5iBKT_ERhSx?o-Oo{0gaUZS zKCNKl(LlQOK*U#HC{KEPK;-CaVnRQHp+9yrx>XH>)cs0~JT%q75ee5!+PbT2Ak5>H zRe#&EW8`oZhTqD8C}=%~d}MUrNL7y71z7Pep|vfdo2SvYoePw4zcw~eEbtCMP>VqFeuDj040GeB9p99Ozgz|JF>Vp??j zr{}lE5!tTmxMFp}Cf+QUi#Tt--!~=wv2>HGZ$9e09nI49Q?+;s=}|5If6~=)?bT!| zII1+`*g0MJsA=9bGvp`uyE42=&+0wnBLPa-DrtrocU4dMFA4HAY}Am^o@qlAa`LXe zzEfzdxAz-z^3J~CQz(VE_a`nH8ST$^eOu4uub@>!fs76mq43>PA>ZiaUxD+#0z}u~r#7MuEpPUfSI;7*=^^770zugw^96!taVnHBN?Ze{eK_FJ|!vgi`!(1N=jm@S| zCRe8>%6SZxDt0R>_STC3T!PApb!ZWL0sb6{8butwin;~jKS(0MB>hDaO6-Vj*GnJK zOR(f$3Buhxl^~$iN5N(bqDhkQiTmTKCmQmfX?}l|G9HoeMR7$Wu|68tx>t>aAo1)L zY&2XEHli%$O-R)mfoTw=4wFF4$6C`V^5uKex3Tq}*(Gd~@LG-QgB)SvZN#j27Xj2b z;+kFRo`A|X0!LITj9k1snu4JGJYO;>5Hy$;V$dY z+^sj#)zntUOEhCeH!VloBA;m6|OcWOhB4)wKbSAy#)y z3w*%H=lV_m1qgtVl?(p_b}y@`dPQ80LOk+8?C|6G+8kx5Ivp>Mh-)UN6Px}5{LfVD|n@>7f3iCzQ3YZdhwSj(Hih9`tLk4 zS&>*4xo`{rMTkvPj{Bz~ABDTYUr;&}-{W+hs@|i_8uFF(p&C|%c&7hnSqOaMBBf42 z!;(h~%@2^6jIc<-l;_N`l;Ro*$tMJJQKgvuX~w#xZZDg8)N8HSCjKqtXY^fR|KqvS zj73U&Mn?P8duGr4zd_E01K1N}AuO~|n7I4{P-DosPzldoXGAPeAuNYAUl4vYDS5e1 zdFLGK(^x1Xqef0s9E~+C9eR*!aVsRBS+IZ3<&%*=HVN}c5mB7nwfz5J4z&`Z(l`Ct z29OF7IdLc}&<+kr@F&yLVQ-t{q{+p{h5p}}EXu&dU*-R#LUq}xVj8PytXiwkg{n4* zoS?Xu6Mo}(KNeqIwB(rA&5~3Vr|9?BLccfIu~nePA!Z1Xfa%mCAov#D8tPuak`Md&Q*$y;e<%)s$tr)S@Z zG6{bem9*p5RA;T#x_0fU_p^|w3&=9c$;#w2iA|@vYY+T2^)P_QMlNC{tQPqy`G1CT zr6>h}pn~!@$NNl<>I8WrA(P@Qbp;cm&mAJtAnS9_Ur7tuTE`ebQlnaNxpfozv!OBvgmMctq zu<+vC>Y-U%w0vd^_%DS*(+RnaPHGxa2ZPFb{KZVtF587=B>%mcU`{kyaN3}xkR{bD3rm=nqP)Gd#<lTBR0FwYqI^1iWCO*Lw~)!82W(1RBXJO0B|~$PMP$^c znxcB1U_CGxEZkx*Jr+y@7Bmc~(aqJMi;#ahL(tTT@4B6fD$yven^wWjdODBX% z8fxdP{8Bp$rCFB_?LC#D+$}QA{tVFlTp&XJXkz2%w0pHRDtVHzb;2G>)6#O{JGg9+ zAie9kL8~aFeQVdJNOY_@e@|6)r7_gT8i$S+Fyu!>Gnknhw~F=zc+~%;+sb(Be4{|v z;5Wq!9XM_3zNcrB^_xI>%qqB=$_?$ts@<75mDXzfc-UeVA6L|OG&U9bz-`$%%tNa^ zQao}oVkkS`CN*#>qxKzVqEOxK$ zAbMSsBzV*Dl|naf*I-pRl*OBP^^cC5<8v}}&F}l&U_%3hTE969;w_i9D@MvFaN8cr_>xG?+^E~D< zMf%+GV#3_yV*K9QqVAQI$WR}{FFCUp7-+Hpn4L_1XVTC87zMzkD-F;y^=ER74B*O6 z&aA85B=XQ_iI=kZ=l_~aE{?~06HT59%Jp&n`fd&-$>@WyV^_Z?{(6eo{7w5F^DERz zGua!y+=y()_@#c=q5Et54VLCew+iav&pedDd744n{l@S}fBau3h#yyYJwff&J+C_E zPTnm)*$-n!q|x?*kE#cpQEq_=MZxUz4IcTvyR|pJhv`g37|uVBxZ0xWe_+w?WGZV5 z^Z12stVrl}FsASKt<7C8SW+{>RFe$w8);=RWMr@1_2>hgYYtP1+?ALC$+y>z7Migl zD8ZX=NJ6VWs@`%EknQ{~?{k-H_i=xv7#IXQkJ2+#*bX%`-7d;5I>U}C5Y+!+@BYwI zk#i=!^2hUmw@lIX;m?GSOxRFT9R~m)-LvphpVv?i-s~Tbzfq<)3Hn0s8+Q2q)umUj z@7NrK@J`sc&HU)eR(f1O$mv;RkG8OT5VgoQM1&=q(sz}m@sDz6*CzP^2+^B%9> zh@{u}m2Z^6+W*vJ`73EX>3i*tfxd1i*J&bzr9YZMv;!+bV!Im15@;r&*$(5U^wTl2 zguQk2u!kve3CM-^{+Yneks=-J`rkHW`LY&fA|LE(K9B%2jW52I_(n~SRo?OgMEm}K zm_7FEjCXO4t@sPmCC64XuH4p5DYbf}0$R^no-aJHQFG%-R76gd@gu*fZxhhgTfqek znR#g0$QU^~*LcrU1}x_VrRMxi)*4;4!1b^TRj~&(B~jcdxylp<0B4U?zUBxHFxiNE z0>@<>$7K+QdjN;K8;4t>M9M;gxclIntIG$k+jylTF|4jCTYSDV_VYfKByZA-o-l4VZtt#Hm6w$K{H)V z!m?LZv6rT!#goLZypTM&MG9L-wz~5T)pe$AdP;EO3metiJXqMn@m#0$T>sIXzvq42 zTKiOeqiLYe3?uJvW=C^$n0w!IO69rCeXL$ z;kn<<=2pF=ldYjTtE{Llp_pL)-Y3ABkZ0*qLg1)~L;aEjww+7e#Qb<+@AD#3$hp|L zCS31}KOkAEotw`|)J^prEY~i!V;pcHQzI!rb>n z#tz868-R##gyL<);`u)XMl62T0Ag_)VsQ&%aXn(9?^8}t0->UM9gDrnC+u_27KH4QYZmn5A;SH|emx3nFay*{#0 zk40mLVvU6^ZB9oknF&_3$%`&9F{2U1Pfr%26G*RRjgC6&R=(vQvWf~3DK22?d6X5N zK6=uNyTa0Nzm>UqYKI0#QMqgSXo^wQPxdv*K2|dq!_+8caQ)u)EWcjjZ%qCfLWhCr9XS#-F-}N&hVG+JVfOH%YuFZ!rj^f`U>R^8;<40myRu*8cA-NBbGu*vFnPoaVu9@)+*75j#Y;f%xb!TIV{=}|c=!RMjE zbWJeZt;{XQ^SDtC_GH|9RF+WhZ=(&vm5^6SP^c6et9k8wH#tp7Tgh$AN*7FdJtX z@cmD7Jc#~~EKdbY%GgTXpw7~?TF67+`BTr!?A#nuosZI1^g-(d*M!fbhsT%mPpKa~ zSnz9fKP`O$Q1#q%&p5KMX`3S^m@%Q*8|BWNCaJLahCnX}45FbIN0~lQ&TVyVt-J)M z(lnz8M2kFGrWS1m-%0O0JOI95bpN$yMEUcy!+#)WG6$H8oNIk*91(>e`R7M!#v+F7 z?f|-J1b|02U^Y{)IO{Q*;beYzCCj!}0D(@HpG_iTj|JWh@4qJ8_|XhRqFa z*dr0~R5)vnQ53;Ml@SRo$PvRul)wkn@YM<0b<|lX9hjs=W`hHZ46hk{gEp=iBdunA z+Za|MyxV!TjM^34Hq1Um>_eaeNSk&0V-o^_+tDbeZ03iWAgK)Ynk(DdHN0AbaBchW zg)$ls6^LgmPYtiD3y?`CjxLbR!o>no+|BBG0)^>U8X9QEm%PzC=sYoT*>m2dbjvXC zyp)IVfF{;t@?kb+e8mJ{vb#3Ps84~~`=5QG+3v5H`1iE!m& zrSx<8ke$_xCE%?7v}FNiJslG%rIHG-hWvXYCfhFX3?srLS~%y?(M%4$*J z@T89L^5Op4fc#ybgA-Vx<%+0_NRj+-pH>kfb5v;@p1V2}eFX*S3sC&<>8+Bo?z1ZW zY~A!zokAl;z1C$^3b8PLv8H+Kml?SOlmvhVCHJjByyH6&^XKu#_HN9 z^?=Kw?$9S?i&v^P-pe!dpm=C{aaA5y@RWMNu&kqvfAz?FXc+aBboeggk$k}*{>IP7 z?d$#OToY{6&vLg=vC2;^L1^w8Es|rPtJd|0dDL%0k&O%G6~P5B^79qP(dZ}gDLq7Q z+@2Xx&T7HxtCg0|pFVz~bH-3g$-;qeqD1#`#Sjy#{05JS$?%FXgkBOJ=l2^SWRat+ ztSm+4$}eJJpQJq@!sid>C(kwU-oa zCsL_C)qx-DcEfT+Ans%czExEgC$i!&HTBmggCS*UAhaOu(IYB$IHaab=8)vmsse-- zdSV+_KEKK%i82|KxZCJxATu?~@gX_h?5|xJ_~;2wf-6>zlEIjzF4>%Nqu~@^^~#o8 zqdy&|hC5-&f`Rr5sb*D|!i|xllw*RjfxnCwQ(CKEA8R2k!b#PU#nSzDzmTa*7k^@i zN*H+`H&&mphVfH*7|aSJq6?oPuN9vPXT?u4;K{xa6WLTf^SQ5jxSiYFzd*>nDn|dM zwB)JUD@D^&HF!nt2%8=Lo6A;ORmug!lR1hSD$L_I*`%#4^|+4u^D7fgML?i*LC@n{z)W8I}l4D zDI%*z8+4#D_|{3IfTMtsiGEx6t7L(+{wZ~9H6I35mpu`h!p^s?$Ij>Yf|YMfNK%Du4-3({?pSmUKyC4s=qW) zKUEKyg8R~NQ_(PrzvJg4QUGVs6guwABo5?5Z0r=W@T$CQ3pA_LDSUhQA*n)V-_n)X zSFqEmO(7b4whOO8Y|MX$ee_XPFEY+yq*`}JG$v8gYIJ_F!JH)Vp5(H~cd>W4ZLw66 z84uuOOKF9Y3PZ8_-hx*9+q#fdPm%YVC$@7#zB3(a9@CzdXTV9W78jybMcn zXW2@{EZhnO+6k%nYXMnfOBm;nNv$PC)6d!*mlE!##F=WIBeR9Uh3d zvaHvPlF>(a6p8J<*K6!I-@XKD4fxr76CC_jum48M`fAZ&dJn zw1lQDe08X7YuO$BQgI~?-H7+J;F`09le|~DlGPioT|dk#4xKI1RdJv;YFK3KH0P$KxktQnGOq4NRUmb1#?QpMNTqbv@Onv z_n=89C;xT*> z^0L8=;)#B-T~dgot%SleCD`nw79NrTc;l+3<87rTgV%({Mu32ZvCN7IeV0JLjyU$G{%B3uWhcI z43Oez40(8lQ`vp=9$j0!LFjjK0_r+yOPZ&(o(+5_S3VOgY+&19h^o3E2 zRqjGKYH{uXbzM=@l%7#=qx1!ipgP;M28l*=(Ic9MVzF3MZct$XX;DxSdsKnG3L8Jv zDvfBDx)5sRA6J-P$e{2uCErYNdurFJRaNidH=dw*(drc0u1&2XsiSt0v5erXr+Q2A zXr#Ui1Z9^NA_rmKH7ox<+uqP4IBR`WbCu#blBUzLqyM!a*DcvCaB`q&;(7U@qlYf* z6U_) zYy|`RU%bN}!^HcM&bMB<&6T4sAnFiq^9tUP)EyLPzSm@WL5uK;zIkE&>LNtwkn{|V zzw6Zt5p(zUw|t}h-;m(acVGEtrEv2Y`MfE){L1#r`b#sN&K$sOo^bZyhsw5)r0si4dn?rff!`KVU3uwjMBv z&OgkQ?;9MIo!Y}7&geH+*H=T|R!1PL#t&q^iiD7>Gp~UvU;C%G$y?}`nF(5t4t}7L zooHBQ()5G!qlDI^Uyq+853JzYeo*RB=ka+`v*=_d3ma4vDjLi3(D11HTVJz=m_(NV*dfTu8jo`KQz1|s`U%B6>%hTxVJ`;OULAfe}U%?F|7_OZv zXeeIm72X^q_zFM8y9U-xZgly$(^xan&4ZYR!0W~9#ZKNJ15jof#tFIaM+FpgG*PO8 zAn6~Oag&{FKcolt*YUg92J5?wyNtI5*OR*9wwl%l)-|?=3COUiM598Z8R&NVe)pL4 zlN+J+lEjK)qkr_HJ7c5&imP70EFhnnkvF@KswQp!@xJ~ef7ONN1Kwr2=bO>83ENn6 zGNaU-{Zts<59=IrM5Ha=A|05>k>Bs4j|cPNn>Un;cl1$;XUc$_AZpd2x4fGAYj^78 zl1qJ`d^rOhTUq^xzn1042((QCFVG%@Wi-Qq%bIG$;gufrE^0Nf)e9bB#q#KkV+N%= z00|R}u|t z;h<)yD!wN`T>!oEjOPNmDNLMq^kIH=x8F>^C`9FQeeh~+ zVMkZx`gMKZ?@tsH5(;VsE$n{6Yitv??)%v~d+H1f}Rt z|5(~@@o`t$GIK9lsk2Q{^9d3-Sq<$*5jg-}h&t0P3k(Wpe|UWPp|a?9^;Y^pmW-po zl`AHQ@{$>qb9Hz;HD+m_3wvSRCPn<>sN|#gZ5o~`)osFzs_a5P!E(Pq1sd!f^R1tX zoAHn;x^d5B*S+0J0@-)r*7)1g)oX!+Azr9FSBU+(j%7vVh~*p6cJv7)-+1yo^GI8T zt_WKNsxPU*d;H4!@dfM~X5c7&9MbMmu9@WZ;djQ zaYOskMS1y|k@C{W&ztPD`hk_Up^bnxeCi2;w(WCZZ6mN?^1K;6Z&o$}0yZ`RgF*6F zTvVE0seY-A6|pmau@S&~J`2y>MgSls4^Y+guiV$vGM=m~uGqhI+$?`hf$H#5L=m|&dVqQ;iKd~E8eRRYu)0Ct{Sjh>I1qjy?0r3=Fy+Ppt} zdw66yGc;##q-=~`xzbB(0ZYGQDGc&}%ZQOP~`(b&4e zAhNV0kyt07l%ozo$JPe5Zgh^wruz9H>+`|o-kC3V5v3hbM1uaLoUU+!c1LeUjbE`- zlo<{7#&34~5@(kNX{l-&(FAFQuE+dRuArBil#SW1U-EoYRVxc&Mu)68ce?VmoDi+tW-0OSoq9t>8NC>oi0J>y~9vxBe{u4c8i0 zp6%q#Vjw%}7sy8@k{9w~ ziw`{LNVIirR?N5{mI4$@5q#&%WYUfv?1ZJ+eKlTk~@G10w(gibcs^`GSX^LhKo%Oa0 zH>*aCMXW(Z@3Ty;N@>69(+AO_AHGhwXZn#VSQRZaCT1V#OYA;mhKglc%HmFq6qEK8 z>rAnzN!CiUF&cX*6E21mc!GudrzMxsGZ58ymB`f4u{4&a#S8O-55E5-mr_K|E)WjW zZBe%$zbh13gM_!@*ZD*z-{73002H%VC=M)tqp5a%AS?@_ntj9lN@%P|IV%E?3;xM& zg+jlViNp1Py&a*k8EfsI^5WKnoA7_I^^VbzK2O;2#@5E(*tV^WHqOSj?MXJaZQFJ> zHa516iH&D||L6Viet6F5p1!K<>KfelnKRSXRdpz*q|(PDFAs4j&q3(9t!%$5*j2qX zlKmp=8{{^v+~}ZaR=H}icx*+a^;Vn_%L-7AlWUe1p#-_u5sN=c)Rj^|NlIXA{GtzgvD`4$xx+GH<9GM~zQcQ$Gt$sYW9zrBjMqB8IlAs4=rox%PT ztcWQ%Fh0YfDBC~dwwh629j#2}{Hi@`Pcoc^B>8{0Ae>nf)c(I)27AydqbzBnlLqiF zeMwueZ;)?FSt3~9g;RzOLQ{fLl)3M%El`GVlSmAIg(&;8o>Tw*+WgA?{_*hX1^)Peua65*x@-L z2A@Iuf>n-v9icujf6GW17;aKveh!|6 z=vw?B10yFWfhx2#Z?b$>qr6H)>^n3P&2%2g5Lo?Ic)_m2MSnp)0y{zAv*u1-9XEBr zA5h(&Y(ep7G?6v`kX+JFVuQRq&pr__0v*Uf9Yh~Yx6iFcZfbhulxFTU6GvtX$GWVc zzb27^G*~by49KtaDMQL>&4#w9Zic#OTO%DL7tlk2&0>>Zf{h>u5TmPie<9D>Ad`UFvK@?e#5=Oml*UFMd0#?0!N z28{GQ9V6SI?jIj7IRgW6v0x@5*TtoNP7M8xCinM$8-;izEXU5vwnB;!;mWU3TK>_ct_!0O=oll>N*-w^ z5@}a1+=_fqxxQcv%U2Pz)2q7~Q`dMFHTxsmJf0CpqT{N9j~WH+vWKKM$JJE^fL}nu`7()Z#A=jP@L*nLkFqW*W75(rF z(H%}WtQM3vG;zN0_1sS3e>eFr>J^j~4ERTsN0`I#9dwL3?jbQUF{baWVTmX9FiV3k zogV@i_BRyMq_U)Ohd=g0-v=-dHGf}1yDv9(Z>vG6UadR86>kqB`48g z)B%+k=lec@yNftGAyr=p{*!qH&Ay&&+DHmFlJKT4CROPu=o<1MgCGa-lSVk&BN8vm zqg&VPsCg~~0skMS%YOL%`xkNMRuNYwnO92(bLYh5-Aa2;Ldr*H`ffwYQ|aS-YZkBV zv+=^~hS`OpbtL1w^~3Nx8?VH2CYx9(kjWE45m=(#f6LxW)zpn}umUOjR{3vc;vl8* za>m@N6{*l=CF0G&eEE!!+sXX#Rn)yg`88C-6X)C|w<=Zj# zuLX;PVCin@JPzjiG1RI=i@b$z$^UhWpn&wO=xUzP*Dj&Ztf5keiR8alByvwJ0eElgR{YzIs zs+UlgSb72doi;ffwz6vP93_b-6;X|H$_Y-fS5%9yrR-eKll_9}~NZ(^uZYfe?? zS?MHw?^TMdE%;h!$u_2rD|zFQmIH%J0)Z#@?Kj5b zn&>o+6w;rcpDxYyP54*JE1xmU=TlJ1o##xqHz_g92na>QZ)_Al0eKF*$tHx|gPA>rLAazqO~fU| zmF;XSuq`$iquNv4_%!*6xtR+us+VvEhrSFs;QFmsJe_xL7TC>fS}-2Pd@^-TWX zd916O)t=^R zPin$GV7gy1H&^!t*l?ot{`%%rj-2wbv|O=U`#+AGot}Cb6&;0G7-`@h8XH)^*>SdJIY>M0h(yT+V;npVx@Gk;&ZL7Q=bIAGQ| zj^TIhM&KQ(>1XfzzN`kH&8K)}Po{`z%fkKadvBxG^d+wS@pOOO&*>Z5qYJ1qM{PCt zbwSB<4GojbGd~mK#;+irJwE%{HwXP{=(|j_fH_Cps8HUmBp0#L)DFZ~7|-?MQHbZ#eF&V5@e=_?7ELwp+P{)*stX0LFn9Bd(wXY&XM1_e zYVP-R0X;u}cI~`ZIVyn8hC266#bc~F*BpaGj#|2^2taha?`rs?dh#l)&%S zvNeezLBBg1rEsO?aBcEfpS>=hUqdddS39L2QQLJd$>;Wd!|;1LOUKc+6IEC1R_kkt zp}YxKw&zy-s2aMFG243ee3f$p*@w}IV-H{(xYheLxGi_E%XhzRFMHFnM`B_r^&r}t zOxwWt2K|?K8+i>gQfCYvD}yFQ zQn+$bkVUAi1l@=SB9WB<^#y=BH1h zx7{|_pB|dX?tdClwNDDa-1E07vE$7HvzuAT+K0fsAE93LAaVat%`;tweV0AP1bR=2 z-liUXga#jZ%X$-pWvw&wTKhSUue8iDD%uBS3siCZnxwoXVc2Dgp zme7$h31*0GuHicgeW>*6dcco+Q;4-I-)1lz(|_l{YqZ=CKc8C-uBMez$M_Oo*S)7z zu;hA+k;6!TAUZ+!&Ld`6oB&lw>PBL3H1mn+*~;mKfzzy7KlMD)nOU4UXPSy?m-lAW zU!`+8p|Dc2-c);9))1euLHxe5I{Z~kb#50I=s3G%W_Z9sz;+Mw`f*ZbYj&Ji7NhHY zRoKUAGh3<8IWyoPGwO>#y{!``n03bi2w!_E@FQ$llbvgXYPoX6I>uh{Hc;2! zUV6JXPa(No^t(q2EW^mv1>GApm%Xb)MDp{X7~o{mCr~3PUU)HqRy50FuU1|RFWfe zdhvD3caq+Qnu>cp6VB^^>L+?Q#|3P09l>_7g~dIA-(5@)r*HD-U`B>I!g~~?dTnO) z&krAM;pUB74dk@YoAs@gUHP1rnslggH>taH6YoueYL9bfSX*>@xaex4CD;B5of1@- ze+;VD(|Fbjq42I0&a3)2UoDTC5PO)yCTD06KND<=$UGCxDqCSM3Jqh(vv96)JNIopO=I2EH z1ch?_SU@zi-mKOliY|OWT*^((JDS#X=-sgeMQ(rTtkx%OuEIGe@+h(8HuhPnDH||( zJd6AZl`GZx;v<;*cnf?1UmF1&2+e`@#4#0#`LO8Ag$6VAyNC~ld+?g^PsXO?)zVw-o54`~CO;0mHGCcY0b6bQ(&ZjaZS>_0>o zjG3F0IPDsI2G?Z{v5)=w8(r3PkmCEv-L$ z8~gqTmO&sE7hr!O*$wd+a-fm&9OZWE*f4Ehj{ym@WxcjZzE3=!Xn>V=_P z8+CIF&dT0@e?ix4NbS$PXYE21T&dhqJ5a=pwSKqUcZ^2iAXxr|ZVtlI$5?zqmYHkJ8}uoAof=59KE`c(1z|ACASXwRvy58K>0z zK@msOKTMn{4XcW%iX9%15x^#)m8X}NLru)h=g=|=&koOyh6z3ejvZDJ5DAVQt`#5) zo*KRuKnKnsku6*)Y^}uPAhsjv=(e*Z%r!@yhFL|Qfd{lJ2)?3b6o(tm_{(0rCXNScZU zNx>jV#||WEfT+`pAd}A3y~FzFO)Pp#S((wP9HrSe4M!VhT~$2pcudyQ0>cv-^Bf_d z@ULzbKe?#8UvfTj1@rSB>dr*bJUGs?r}(nk@LsA2)VSY>I7x^-EIyBS`QK1*pjnLRjfTNlYNcxM>r%n&TExOz ze@R&>Wc%kc_~&ot@$iRzfS)M{l+z3R5eWBE(qGs>fz3n=dY}mo{*73?#uPNKNxEy+WPmrW?8D%3!tJT&4?C_QAVn|E=@rm0OIt_`Syi3a_u(R`x6SpeY31F&Y3D2u}{Vw966GqRF_ffD$pF>vS3> zDFV%ua*=IIygUF`qpTcPW0Y$jzMq>bMyt(qgH?4oicuA%aDs_^L*j~b+zGogl4ePF zkC9|V_w4g0qh$7BUX_D}f5SgrnQ`628qyiv%bJn~P~|_Q;K?*`LpI6)=fuwN@wUv( zDU8^7OF9wm^Gzi`&oYkV$g}Pii^JfD>cDQF|?vI zHbL}YhR*=FroxS8QcsZDH^_kjD&z`1(iz!)Ih9P3?}Igi_eV64{wyP>3+QdALj0s{ z;C;3p#Zy(tA({EsT~Z|zQ$=B|6jjAABmQDO))?0sQx*8{Y`kNqoa&s!-uHK|58GZr zjbsDb$?@p$jtyyMUQkH`PkTdf7fx2{sI2su>|wEmv$ZEj&3W!EZ_>7coA71p`1&td zDNmU3Q+i{XQQi6}FV8qg6imxLBsEQGFY41hs zcj!?tYQsDNX_A*VAA)9nlH6}IiMKKf;7I=eqM(Ic^T49=pq+{cWFDf4glT3WB*|Q( z2*k>7CEy6+j1M)fXh+5z+t$$!#e)(L=u~H({h@?hppuy76~vxPf|ABhop^71D7H%? z5BY8hliq85P|Dd35D&6x%>R7mXF*M`-WoehC+Ctru)Ppl!6NO;&h{qg&!x|@9R+^j zzaUX>kK6x!$$kgR5*jC-%gH^M+4)V4T@c(mDq(SF)ShNFLE!|)ksy1hd=MP-M~Ck4 zXHwVC8qu0)C$gXGO(!7_!g)6(!QPB^mjQ`zrpp+2Lon*>EH-ltHuU zsG{bgu>U`yK(&xfDP!)x`GPe`b5R0bbPf()^kN+SW|xxXe(M08*(~9JBS<0(1=xHZ zC9y&tB{B@eRJ##DQk5j>jhpQ)|ZzxUF2f&f=2=&POsh{Xv8~6-w5$A z*C3+d-v_!37y9t8kfaz-)KrPR7O8(pddKnFM{!}@Xmo2REn9C{yR^?W2?vx&h!k3q z1eFA%W?+e0Xu)!Mcb@v#$F{;WUpzb(5LoD{j#%i1Su19KZNa1J5*UDiK1hf{b_)ae zeC+F!i*KA2=&;a#!rlUKg6hGddIQ>o2{;gw;06N|P^I%q0tSUi7g3Usl8Vg&iiCM) zRlsQ$e#GHP7q1003FGaZ2DmIFpp6P!nf*Y=IB^RTYZa5tTPF#!3q|c96g_c+&HsN2 zP|Rj()Z*mYI6Tr9U{_O7G+qjL(@BZrk=a}e=Xt48Hx4Z%5+-CB) zx&y)}a`oaX6W@2LP_j>iiU3caAPHY7`nUY-gM8n6lCGZahzsHU0Dy1YFs~4QU)sJP ztq3lJkj8&~{Z2w8#AuGXmm>9<1`zQVzRy|Q;Q$cFJ?8*$H}#*I*RgjaB%cjmtm6d0 zSgA8e0Jz#6)hl{=$ed0&FZ(i$>U{2*VsYeWY%?$*;*9rc^LTPH9i1OBECiK&5LFa5 zKMC|8Aqx8)1cPv7s}Tp7CntFj?{W=srg4vQ#lurIWvgbitbGKn5u2C1;F_1Tth-Yr zbcemFmo=@sm(D>^N5y)?HwjOd_LPGz)$31NTK1O@A7*dazSEODcT-!v#OGPL{R+Ep zv)c?8AuK=y;J^|wtkAa&y7xE?__rY6x(BI#zm?b6en=WrK@3WgJM>+uIItcBSRd@` z<9@W;v}=T2>Zq4uho}R%-tT@;WU&Y%ySgPo+rmdh4)8H>HaIZ=Np6Venf~86&I-@i zD_(lsC?hYLZQnk&5qhbL`x3ssNp+IzkjARi$TbAeg-WYCar1~eB@~W1B>QurB=#S) zChTfa#FEFxg(hw*_~Ekx$?bnAQUcgsGK*4fEl0oQQU)g}CJrSjqJu=?oq7Mh(lMj) zQW-erPOF1?*U{47CjT6_-f@jf-ZewtHKlr>%|YLsW1d-s~sag(6=$CilR(JU}e;|0WXudCF z6sXMu%#hv#JuyGLGiG~_Iqrd$l3zJ;24@KVTvTjhqjSXMGtB97lnxf-3ljn5y^^$X zk-gJhe|?Z{lOh4ZEG(1;D@4N(C#LUxnGk)WxlodVaw%Zg0EE}`G*{*v)?4#{_+DAi z4^w**rf&$_D9^@6BSj%ReeZ}Z%2=P24cFN5!ONrw`pEQMX=QQ2l~=plv^(2Oq+fox z&rfut{pAC3c!VKX1p~99E;t>;AV@0pMeB5bywbYTTol3kmbe6|Qrh({^9XZ+GKziy zd3`GtA1@RV_3rq%d{G+!P+|Op^^OpLdf5j5@`KckBr*bw_#sBUKV1O9$^(^1Iyx}x zQda@NlesHGV9NHbHZGjwre9Rv5U&f{)UhA#k68ER6H0<_aNgLj3+E?>ZZRK8CWZS- zF%e4jv!y*!p0v?FA$-sLk^--!+AE+cpyFh}9`%E|dLcalG`F8vi{I6-4rtz(lm*}~ zz9uU84Zb8sKO@zkD!@;$E8xL$l?CK;pee5D?o(L8|9Lmq9!VNxJmCwI;BeyyK2Or~cSy(jfje{Do=oE;Yvb zz_h4R1nmj96v&aoyEpkMqF5qvGPV%)GY>4e58-6y9{wx?81d&|4Cm*?G1YH&c{ zbi;nI=L(0VH=f-8%vysutiq@lO3y#t-aOjaJX(Doug&$NRYA;dGi-yGu>ApIw3;nW z8)WN6#4ZRQOyPy|iL*{-JcllhMZ?K>1LuIo*bf@_4q-#xj_z7|Jb9w+vM-q~o{mYR zrYmZF>=K&jOR(;MVl+Wt$`3R#a~axAEz2mD^3Gd#0JriawlgjpN0&;bp*Q^ThYw!r znc_(nN3q=rx8c7v6Y&&GVktTk+2AQU;q)(1CS#_f38^HqHIgY^1ix?DHbyo^>XL8w zx!L?eI;S^-5D!NVM`P*Yh!d0v0NY+N%3qk+(iL2Ofh>w5I$rgX)S6=7mQ@(M4`i`0bg z2(z4(poh~P%$Svs3uH9HvyE(l+RF9{x15!whteI?6Tz;RI3Zn%Vl+xWAx#MfF#H^ilF~H{9nDS2sXc9|L+~Uv*~pC==pe%pi;1e?AT6Pl%U%V*h83bxueQ0?!Ps z{M*nijwjfI4AK|(7q>;Ak05c|XX1NeQ5MrdFQivt7}Fon7D?7DgQ*YP7yIv+v*IYG z{RuG8$-l$iegIUA7>68^{zxyR=1ecRl=XprFpInox@QOgDQS|mC*2+__?q&I(x%v} zB*XrAefJK>1L6YH-KYq@6bmB;b1RaTfDpKo?LdGH{L{_f71}lWbmJR`dw|J}P~lAb zYn@)?c$ig{JNezqPvFNQ&xF#k>h1QPVTy3JN(j6;?w9UoXPjp&0A(w?B3nz9&;EA% z*nL1x^^SgDXh+DOMQG;<{1Y~52K*EJOR5h5HP!fyV@9^j)9M-54?0GULlNo=?h7u9 zaFHM3*Iidk#xc|ae{z}I_^(UVyfvCmCR_{!3hJ!jB0Nk52HGrarCoZM;6!BFlYlo? zgM+|4hjAs)D-=+H@H%qzNClr|LlB-x0#Di00Bb{Jp9EiEMi3t8f|g#K09R!~_|@G7 zc~;DkuUV%48;-XK33H;NNG#QH9%)&K z=XZ`tbWv=JG%3hP1E>-O*9o#R%^b*~e+a&vJKqj>FuUUNwGNdGg>n2R^YZ+E=D!}W zEKn&H2zr9wk7?_A5nFl?UJo2+skTE3m3(h;&Jx>L zJu#AH;;#jEz5Ri3Krm%f<%sbjiDyCT#8Tn(i-32Ser_5`(_0MM#8Rd7q(7`LXeN&c zLX`U7kzrvB4nq4w<4Ru-Ci+EYsU-SIc2R8v;Kz$_IAmz760mlIuB}&iDdfa*K#PN8 zgJKQH7s-qJfkDo3U)Zzcih-0bI5BQuNiv+YiAK8x4rp7cXNlhzsPwTuslSbgx%Y|Q zlQE#yz%7%AWrI5h;rGj*sPy*n-y=+l^n!H%8$#z|*|nb)cZI;p-3{`u%fBI_5<)r$ zq(MN46aNjJ%Vfvjgd`TV|7UZLF)60_SM;8eL3)XzR5<4q;fR9iCusltj5RHmF%X5y zoZP%${ft91OfS&$gd;6hFR*=#XN;#VnHTvef8PKZG*D_MRv)0L8pQ^D#}}o5eu(R$ z^hBa=GW?|v`YYpw=c<8lQe!Uyp!Y#-l9=j{ zkeJjZ;ARa~2wuqEU_#hNgwwwSznQe(LNUBW^p6@PtL8YW%1X z+xXK4!6FqVlIN86$c+r1Q@z$-TTVf&Vh=Dh(}R^RDxH!d7=B5MqEU7{aUWQ zh;x~7hdMU12(kzVY=0@%9_srPKiF#G0*I@M#fi3`wlt9p-`_EN18>ECL%xYich?D&j|gyA5(628=_TnQHnz3lxL36yJla}`t~Kq^cU0}qcjz}| z!%r0-T4=~F%LV+>n@XP`O%(tw8nG4`K>d?slNdWS&L;DRK@$-``!Wr}jUTwPm*fHa zN3$Mr0ip-`8&^G`lMCFV)xA1v>F;9<_-(hb6W*rmDr6hj>x)31>tO+@1cC5N7gxv-ZM*>2j6i*bU=Rfs{y+A6oODAp|`z8@%dF07`S+ z8>=q==}(sIld_vT`;%TuY_rDwMG6A1`n_Hv!dj*G@s&Moa5GLA*8ZWVieAoSFF{UWNuTrGx2=V|&kl?J=6GzuyJSWh9vK zqg)@_n{pr0W0&WK36pA!i%oMX^~k!$liY+nA>YNJ6FN~fNBpX7?JJ_CWV#*KV^+#K z@8g;^8ouE9e3w(l=9;s@k~={i*M!%a#&omxC0(99u$?-S7kN#C1n=lg>cXsV-wl7K zX%op~?Fxq99K}e85|ox|e8|my2J^QS%Dql%HX-&U*`3FbV1dqQo89&gzI8EyRula< zhZ(|m#7xxI=_mVD_dcrM+iX-5gzgue$BxrI8Y$~sYYR?;PSpUeJ-tB)f37za@U1H$ z&=vV=BdBlqnD_Vjvg8qcinyvfd!3PRZ?$is7_(k)T~$6*I^X+@^iTiLK(z!|ez|%k z*+pO3Zd=NxMpk=%w0=XdJ)MJawAnVENEoT2_;5!({x(ssAM-)mi&wXl3%RnR2xaqF zI$`Wmdm_?DZ~|;RoY1bKd;jfU`Of1HEo5RL=vCy~uTE~?n(={Q`}yzW=25Ig*)w(V zvNq3RGxEbqxVIOX`NSSF>P7pT)H@v**R#e%=ZW5&-f?tn2&+5^W!(EcYLteH!cW;w^p#6M<68s@iv6VTrZQ?kk^n9*xiiU`p=i7 zhmNmb_q;@xHl)rI0E}y7iHz_qt6oEG2K~C}7sVer?^TL-b9|R5LrIaSNO93&@**= z%8zaIURpF+e!L^8qZEy`KKx<$vAtNjDhf}xgk{PJRfolTbjKO~Er$z; zEJwL-)4a$uQ`v)#7;(;7-!KTM0$;K%W7z(Za!;$72KQ_^68&n}{C2-;mQ*o~I6~29 z6TuFVtI{$>TgmH8sL>n7PE3DE@37%dhRtX82lG{$NeMCAH0Jk^@Y`Q2-$6Lsk2SrR zoK&`$e)A8|$x}RoXf}wtt#qW7gGneGSFCR>JdwK_hWgywb9y2y=ObS87}}*s#;M`ublo_TulP66MFITZIrE0+s%M%W`Y}u2bGn|h0@#*Ij`F+9Pr+NFohhH|PT(7z!gE$7Lg6mZ*M|ilT!)VJPB-3qwn=Pf8?VM~0 zf3n%RUgC^1UpZV7jmJ2z#fUEJ88|u;1=A0;t-F3(LOzHd?yd>+htZMp9}=-eJamg{ z`xXtOJ1+(`Oja{5HZ;6n<1A)6Y{}cuJ?g47&6(q-i(3)J#`VFn{P6epKF>0P2h%~> zaAXZ%pQ^R4vs2AYgfZtz6T6p*~M}Q8<6ye@Ak!EuJ8c zTIw=f#bwPk{}Z4$SCJH8Uus_K7M$Du)0X|&6XHfuLw>!R?>P8zuYx4+hP#O$O1bYl zIH0TkWY*p=akT~QgQIyfThCbQZJq^zBEK0}>R)b`oY?_>CE!mIk<^Tw9c{c^=dGVr zj;wp41hHUDVeRB|!;+Fk{5p>(Z=oujv9Ntc7 zZtpv&f<=4UaaJC*>H$jOsY;X!*Jxq^1_@i{)+21NmRva^d>A1g1*0~7jNTK|K#Y?I? z@nX&4t}jJY1xc9^0@gIC*HN^*D(U7?HK#cY4hKJH+=|Cl_gA5;QIBy;&r@NJgjKrD zzu(H|48+;r5xm(HGdFvch;piA9%J}$?tB+ZrtChpaY)J09kpfkWE?ctGMy&(WE^nJ z%CWA4@5{iy&Gc!x%m1NUD$0_0(TJ97%V*Y;Edzd1ob{TtGjHj%> z(zjYzU3PiT33Pg+XJDmA(y}F1p0?!%krt+bV+I@ z)>~@+aiNp)wIn^`p>~^r?kBvM8DjjRELEJFj~RxSA(;GGCLQO^e}5vKdvG^rK6GHZ za{(V9&bmZ2hkUluz85X?XT_vp_Ofn9c9TWq^UZgP)Vnf0*eSnndTv!m)O5~vl4X(A z5IYS29EyhM2~*tQ{{dl#A2yn}HyzM?Th?Z7pvs8#gcLPIYcPqm!pl-8pw2*qw*sXd ziySp_Hi!=KW*ogY79jIWj0zfg;%$fxV#J8yG8DSxKzHvYK&3u;uQ;HwesOOx0D*<9 zDlPSp=4QCB`C8D?Y{zVpb{csjW_AB8&)00H#^*OF80S$k_s$oX4D5A%ToTr-1j@-g zc%;z&uCnA0Hcom|m=sYVt~hK#CQL|p`Rl?oL0 z!N)<&vPD7*=&9hJR5dh3C4CVqJ#FKOS|p7URtQz#QxeOsaOW<4sF|qR;72PYGQ@R5 zF+WTE`C8EKe?-~j!ag5fCSbr0D-61VVoiT=)|x9B|5R8=wqr@A?dcme2ige`u6zsA zlDUQA94q~fM@7tj#36%hf}CD;)ThqvM_xzfrmTg(LfEZPD|`{fj<124(dCS?zgln_}b zNDTsmy$ajknmYpC>Wl;2H;Ao9B8(t^1Rpq0TQj8bBE&0Tp>`1w% zspN+vg-CY|jlvI~3J%kM!F|~rrZ<8{#V$O|RKzJYNEIU8e?oLs$TkV&BIuSl9-a&m zAC?Sgb?VmxPyO9#l%sJGhw4KKCnL_sIjRNT4Pt!qrMS{iU_D3aiRdG&Cpm1N@^Tv5 zI4H$wVg%9yi}MIXglZfg=?Sa8Wy8`sMb}c<*J<^vt8i<=XtJ)9)d?I1GSnNsc2~ut zkzJw((k+|Zt0L8;Fg-0G;~lOGAO))9tnyo=l5J9KZSMLkAh!|iZa`%ecW!iY=`83T z3ZODBVtb@M^UyT99=a`x#_ zILa^EKI);=UbJ}H2Wz-@cDwfv+x5CL+FaHY-%$-2D7e=t5{?%&ny$p0a9o_qDpzzE z`(-)Q^aI-VZYLa91X?iYhmT!}BNA^HC;e;53DJb4W_n7BFerXDi$twtTbv-B-$ z_inTFt!nq~hNJjRCs)9y{LLtr%cuG6r~VJ0!Z$B{YW{iON_&sdphgRsr|h1GTZ<>1 z?cwXH-!ewJRraMuY^9HvN|Q(TJ-)ZW&+rl~q$lowtzbWT!e?W+ozE*y z_%LMH%pVA&O->MA6~r5+czmhI+K=9Q*mmEqFnx~)qK^uCWOtK~{`FMGgF8!XM@!eY zci2-Zo7J3WCR0sCZ;{21GZ9n|Ns)3KB?~et+v{DTlggVFGBNzKYI$WPwKeNx;w#|t z_H9Q?8<=$gQc^fAcyz|nh*pbwiFJcYI^nHk!?wVT0h@ax~t=<(l66k4> z9_*SajjiHPe3pw>x+BTOz-1$T;33nV1f|Fub$k7gbW2w}Yn2+FGWT&Z>205eaqfBP zvbQy1{oG7vdXXdxozn0%{tI`9w4E}_au;RKw^L=WVKOf2vmdX5HQg$tTI~KRZ1@{; z+pv#)yMFYyY=}Lr)8B+kKB%;H^C+DbjOXbP57~O)9~~Q-JKY$aM%C9E2|Fz-AFe|d z*>0fQK}+$NJD1b)zqJ|3-?EvuZlfl1Pj`leLkqQ$w<#H)L(Ix&Gf`*XfqNJFc)B(> z564jE3{C(;b`^aaJ5Y2I=?BGJv6l*<{hz__b$!gK#;C_-NdyMt52U&86IgfC7U6nV zp^K{x-2e%UHk~h83X9c6`!Mz2!*5e_S`(js+D(61SAj8{?zLx6p{z8L8iQ)RhYkSd zj&Y|dAuNtxkKZ{|Qoc9O^%jV4-slq?;*19j>eKc-pSR(CX^XrwK`8Z_RshI1M3CNA`sGT>bJ~ETq2lef*fwUkui@Qs89yCgS@t{QRs@m zOcNr5wKO}*$a-d$5`SDXPS%G>$|Jr>{K?q#ZDxH9-@I4jKOIF)P_wZaH8$ssd2{iFt=C7~8Jo`||_s zuIBa6E9sr>1Li|7i}nIQ{VRR7Aq5#CEd9nHIHDIg%{py{FiJOdY1@V;R02zmRxnq z&D;O{WGO7R7wwcNthbkADzWCiG}IpaABmgME9fta9*-+*yoBOt72mWkf2);0W<5q! z=)Bplef?rMzI*nqQ2%6l5-4~Lyh7ikvU=^xioJlukOuQL>ons<^9;9010GlNy*j;i zpf{JKnpG}c@)_cITe`|^|18Tdu>J! zN1vOeWTuWRO~u5a*@@%GSXb%U`NPkPc5e@QebE`_ZJFnv37HB~(3ah(7Ay&rddFC} zOt?(I!W0tszp28#3BCy$f`Xb*U?;9j0kDOD@y{fSYeBV`52QEz{(Wbor+VW0Qkm~t z`LsLT*{dkyC|8CkF5Y;+pv-!)$f0@R~ zb;Y`b)9NQH67`!8H>f37{A|F;MfDa}tM&>w*kNO9_mCPfc8~;53|USlMLU-ku0qEj z!g4Hb(_)WMpJVhI^2Y%uz*>&PADY5hAI#n(T4_mt{~v=3w3 zs}d(y_+G?LBQ~Dm!~$PrR4!MMWL4xR6+8;R`xKR?UwdP3Hr#m4_Ie%O{4O*jl%Ghb2O+sV3nuMqV}!HjGyHnveU znp-LoWeGXwCZ(yIpkE@~WS9u6#M4_?B}frb;VHw)%l05fQ(?|tktNS2NMklZ6{XOR zc+cnu@PrE{r;GMTu1VAWMq)rRqagb&J~@kpj_tUAm*(g5UFGlF)BriUS*82v_gluM z&{05Oiu@-6TW3mywC_CMRQijSo?S+ShbtFw6 z4gF4WQV5Oh&e|+Ov(8T}EwMbT3=SOReRB2bOS9ezJFMTY@oEx6AoF_dbJybpXd1!dZcqn;j zd8iqU=qf|Uj!fTEWg%1!XC*v$M8A?@}3P3qB#b($!ySG zp}I$U4?*mS+`u;N?=^X7@xxpect~_HZhZ%aIVa$0RU|Q*&VA{t8qaDPgujQ_ada@z ze|@QM*NV#irBxiF)elqafvxmmQGfZ+JUrDr#ML}Z*F2Q18*c=)`f=i6TKx#MoItft zYIRRW^%rB!Lr=}aSmR_Nk&--2gKDAhX1%axy`bh#)2aufs)th09`CRg0NN^>!c=p6 z#>iJ_gn!UPY;%6RW^i0DBw06DQIS7(Q73xw9bDZRN&PPJ*Hqv&{x2LTKZtwWh}61_ z^ZE?@G<(+VplyiiQ%N7MJ+-PM%BmwXGx)Q%;B>Q+vGaHQcl@Gc`~CG%{I1~E+0u5E zz;f$d@9#TnOnsdpVWvWZGL z5&m~TnDKNT_hHm;6+%m#)0nTRttVkAS2geL^meu)jA3?3SehYr<(~t&|NNy{z>VMy zkEWB1etL$HPj|b2nzE*U730wS7zzsukfJL|Is%20$&ryp$3aK%!>5f5_+wBj>-AvJ zh{}2P6^=^H+XJ_|6NBPv_Pn-Rms(S58Xtjnj{@e~cjiFfN1oFRv**x|&}4%7Fi#@w zv2wdTz+2JBB^d2hyrt!_lvtOVYdF8<_DJit?N}5DLsoxn}tazb@xHk$b+d z9o+9hplv7mec6lJa{`3bze+sO9jhMg@MmcNd#DMn-NxKR+@?h%9o`z`1*Z{T8ZG%Q zOMYla9Y?gP%=*LVvY<)Y3;X6xuAoYq;TbL7HefD3Bq2(g@^w8Dygi;W%2Jd3e4d(_ zrh$o>!l}&sEnznvtyM|E(H9d$_%_l@fqm)J?0e1S8dsU=crK@6 zYrDXBe1W&Ydh&%_Bls6TP+?WDe31^6MtvkC`vc#=OMxVLc2*V!%bEQ8U6pr2KjJV6 zUWmv-BLmAOfPpD{@>6Qx*~yQTyA|;B0x<~uf>i>2h|B^q9tG$Y@eydNLUa!lSerwz#BLb8g876So>`1+v=!`RkBtDaIUauO0J1qx0>0l=Cmt?_J1(klO_Co0cXPk; z1TtWC!c$=E#8Zu94+O%VAae#3)~Fsns#FnmWv87jzbxD=t(yM0?ri3rWc1SU^w$>9R5cy>Ms^G+QL=|=sIgaYcbfNNz z+LJ2(zNvB+d&Pt#ktvHlhc!AD>iOlroj_bKl$;`^n8X;Hv#Y79g=Q;uvGDN&7kjlu z6J@0R9|y+&0h&N%znhXi!s|tGi`yq-U~k{*?N`b8(&bxhhZHwU*GDg4&8wmv$@ZvE z{#IHYy;fQiy~+J9t&0hz+hZ1v;fP5*hMlnirF&v&X!mI`Nbgtael3o7^&_Q6jMDpz zvG$6`UE`rRoTN{Tb)OJVOPlp)OC*=al%zZ2WY1X1@i7@Ad&EPmJ?r5*9GhqkN>4CeCemy*Z}|O-syyjAB->Fjg6wk(igvUqVu1VbBtrjyOhnM`%t$K~6z2 zEjTU~2nw;hWKIy8m+&K|@&3lvEDt3T6`}IPn$YsZ z`cOrpDzqk1jhsx6m)sV)Su$_poF|#1ur6H(`nWz(!|@XRO6GRSJS@>2gF(q0M$bK1 z7w2Q4sze>b5(WmBSoO|`mzc}Yg=3PB=Ebc3}FrzOf2C()8F%GN?A%g zzswr9aSq7wKN*|L(&MwsGUIcZSReKoJ`ZKZ7x287jflI-M#sHuXJxtZAYvG;W7(v5 z4DY7I7ehyWd@0BBGFyCQ+3fi0vbphfWeegP%3SeHW#0IfvS7TnEEeC!^INt!-hfy} z<1bqpZ^CnBd>`9G+3NVAvUTwzWgFth%QnSNC+&xGaLzxH#RYVsVQ?@`Q`9Z#fkowC!3K`axDg2B4dS}6$s z`1{J=(cf3vg)7~E2T&FX0cE4IS=p-8D?5}%W$)KlDhHIq$}z%I%2{QRUN(cyDHoL` zdbzAzS8l0BHAS_m>1w8$rH)WXtGR`#>Lhha;WRa0wW+g}O0B5rOzK>9f%1}8RF~>i zgKA7&tS(hos;kv?>IQX_x<##3x2X+kle$kmq^w95s&Pa;uAWv~l~r0%&#Ud~6{>dw z{o@{SO@7B;q%ZJ2|G-+Z?OFdo8&6WX5BH#HxCi|#?m<6?d(c6GSMUpig#eXI z+=kwd+t3Ga8~S5N<;s#%<_G+=f1a+t3``hK|B*=xFk} z!@^kM2$jch8=8yT(DAqp{UUBdCkpQie-^$ZT%s}=ccNd$o#SC ze$6n+=+e@ccMk&D)9$GF@A^8CGHe=2@3i30l_U^ z5dSQA$$ziYT=L%nmvq~zJWt4#=gA9YMfS@fDhat>LOvt6abdeLjv~7mquWgyzRwn8 zq&0e&tcPtyr1{S!>tS25z*hQ^r9444#CPWRkaf%_8)Eyo7xpm#_v8a4* zEbg=)HT=e~Qy3>0QYNP4b+3o3PQ1@m*SpBK6r*dqYiF{^TU~qfvcGpxQe4fh7Of~& z*9q4NC7mR_ijt{hxz12&qjG_ZG6K&I*R^C(M!Rm_S(IF8xG4*Iv1mn^BoB}WC{qa2 z?kq~aoFNbED#N+1UUKv@u6OZ`^G%c|%6ZA+j>yyXQqa5j@?>Z7TfXUXA(i1I=~a{l zd67Jy3V*Mdi>Fquq^BD#P}I&q?E3k}T9} zMORs+mpf<5rp%^3y_77u)bgkSHeaKxvd4mBqSz zsj`xudy^xg-U-TT`M{k;StlP>Hps{1Q(Tly@>#7YTja|mIVYb}YUOj?db*3UjppcL zSGg=-?<&PwQ5x93l_uD3v$9V)1RFbonK%xMI!#x9Ho=ASE{0`MeVPqk}bEXgUH@*szc=!YBt$& znybG$lIAK+O(i?AP~EZW1a-2!M4hJ2P$lXy+kcum2cvAG6|>0}b)GtzG%i#X(#2kj z5phl3S9om0GRVz?V;G zMXgh}v%ji4)jd@9tIg!C9h%3gE$RvW8h=SWL%nHZpHx}%9<@WgrrvZ5Zi}4Z9-#Xl zdti<`P3<6CtAxF6q_-LJVY$&gOy1}o?#^+Klh3**()!YBsj2Qf_jGpwjiFvXKy#m= z%yv87g;aY!m122^JC7|8qr_TqJt|}|JWFmjQ9wRGKAh%WMDpwICGHjOV)rUHecSz# zd!u`^d#k%%u2kCHJJ{acjqbhf1Mb7_V{GGOOIUOFDW#UIt}hlkUm%WT`ct5puvYTE6JXC3|FxEcQ(DOrdX{ zBMZIG0X7SnhRs3Jv{6~0vz1#*0q6e$Ya8J?i;C0CW4=aSsV zd>eh6*{{iFXtsP?ef7Q_?i^pEZ!cLWMGmsZiI|-nU!E^dt0AX(=lBkgE{=DwVAMpE zVGAZ*g}%dbhVK~J_$hj`g6ic_e27kLSLcZ=ue?I=hkAK-|A22ukn}snR39N#nFr~;?MGr@Q?QAdN%keqPx#(kqfbF zl`r6*;^+s;{!%`=z59uj2K4 zL*4}S(Mi^@iei1Gx7@qjTOkkdu8|A8>&cH>P zsWb=0?m};!ce}TS=3#|*r+1HczuW0;_O^IWc+Ys-ycfL9@?m;*xEFb^d2hN4eS$aD zGuvnJ4Un(<(tH`dVZPzsR9}vA9@*5Gf1EJ?j!u|fA%y>`VG#enW{PtJL-7hBy?6wb z(NuD&OrkQSc*=Y##rehgMe~d17u%@JE}jk9Tq+Bwu*^lpONH9a3(X4^2dTu0WAq)P z)oFc_zLAO(jerx45oZ|_a$XT<88c2X7UaDBaEeL6DdrxWT<*omWdL$sD{|h?Am>fR zndLs5Rz52jQkB#o#x#si1Vs&kq38%bo9KC5ysuzn(V@GcS%>L4mT1B!&}5Bfoz9WqtUGE^qhBhWHZupp-zfUevM`oYfoDyQ5NwbqQsqK8%@vhPbb?XqAA2L z*QtVNO)r|!2d(c#Reu9j6V>!Vb$#e%+TM$H-W@r4?)MPw{{(8*$T?4=f|k3a6GUhF zpf-)1ylxlnj-0%<9Xegp>83_bzxH&vXx$5m1R~3w|9nb38l>6Ae-?JJJKCrY{zepA6<6Rb6u0`lANQ{ zJ?6Ic_C9sRl%6p__ur&%Vb9QQ-bsD9&88P=?``La+KH}cF@pCOTc;-HG1*0;{#tx3 z+NQ-x`yisBMc4!E*+p7>FW2ndKC(ML+i6d;Pte9|pRCP;eVP_8Stp3~(t6q{F4}4C z?9>N4>-FpNo19PXKkt*tJxuAIXXsh3>EL&E+Ar*D^nUeTr(SE+wV&wggt2m8)@yyU zSM_LLuCE{eo5$tX$5yS&#`d7eeNgXxc}^cRuc&)}?XkypQK$#?-eZ&d<^N=#tS-8J zFRUx--UEB=cU>`y$i82H(!OPH(d86B?6fD@X%Di~{$szO%QtHK2mJPs=1+FoXYALs zSY*Gc`$f_Z^tcGyYSZJ~if$j__2Rh2?Ym-NZ{OzEbly ziS{NbL+iIhdy>R^P_Z79XbckVLDD$A-KWK$-f_III>|ow5s$lQRS){4Z+%)k-Q0_M z$H|_taz{~jjO-Z`=j(0j^>>9@jCPX!IO{ax+=ka1dChiRMz$gyAfDrxp1(LLHM@$j+RslWgos zL64uwcsWkb&60W3wW97E$=v$$FAovui1!+fy$F27_#W$T)Sr91eUN=ZqPpSR7=SU&45~UX*7CADB z=nUr=K{Q(1|Co@2P)^{;C7PtgW5*QTPH8W6D-(0X{rneIW3%u}LFku7G=gX}Q7+LWqA5L|`9wCN*+g@R77)3J zyhK5w7|~*)r9>-wfaQq+B5C_#2+dxwuAjQ=7}b<%v1z`JAo&&*oV_)=8J+dp+nL z3(#6LpHxli&AFv;`AG4n#jb};QIr~NXWzco|Ey*aQNLDFb%9OIC5z=TWm;OCTnj+;( zHfgprS6U#sB(D^dV$x!1skBmBEv=I_NSmZBQmwR2YLJ?webOQ62;Ogyj!UPdR_VOd zE?tpsNSzLm$KN1~4RvHYM%p?YWA!qDN?-hBD$^V@91`(!^fJ$}5TsD?Qwcc| zj&jFxM+In&V?FH&L;CzZ20{Nlh7|lBLx21p!vOpqgB8EWkc!`9NW~?6{cDP*0At|HD-NIuv?c{pBJ98uCTr!eBHX%`iAhd zb+>hou+)0UdP!K0-)5-!|F~xcLz*Fk|6Zk$|6lXjQ*J-z7qHQ)s+iZ%q*%WQF*XeaP==E8)smH&!=+9c6w)OaCgZ}R(VU&$b);7mB z&$iIUf3o)j6zFZ+iFN!NAhahcH2&>yT`Vld{Xnu1o>r) zt&P^|Gx!}20l&au#4m7|thv@)L9{+$ogtX5v#buGAAXHvfVIf#qIK|DON0lk5o=V) zvM#oMN65A=w|-X`VQsQD2_vm=g`EG#+6|rG5Whw^pRB{sc`x|>;2#73 z82IsG0pSF5400FbKL)>=FxUJm@CD$twvU0Ijkb>xj)i=J7=#{fn+yF5#PN*gZ}5Nn zWL`{sx;UND94Fk4IJZuDU}F&8qa`l0=58O6c_LsSuOz^fVIF1UE+l4@<;nI~)j)&eVl9YC%>3pLBpO3={!6SfNQFQVr);2VK8x}+9Z z0qg+g(^&SJD_})lMtuDw@G9D_)v*Cs3#00gHgJ1amuS7>xD0ftszri#jfY4eVpvfTR-|I-#Kx8aiPWZFt)TNt-Td zBs5_x51RMGW)1^Gz#`yLMp#0tW@F5grLnf7;4e{r&wU_?ak7py7UMy-QsYoahC(tF z-aV8x6TSs(gk{#z7#C~y3C)$jwR&6jizsY07S=L%<^7TflZ8>pX+DXD}Nr z(AEO}Mewic$g|gsem>QYwGGrzvo!EE8k*n0%7(Cx{PrsNOO~(j3~R`}5+I@TEn_C+ zEm+wWNVdaAMgX%Ag&sqEd%-f2@OjAJM=ZJqejV>7hCd;u4bNxy}z2hP9Yw`9^`WU0=j~i`&f%?C| zJR`!GFYx>@Qmkgw)@zJ~_DW*{^#_9AkNQjW=uwL}P{F$ce9#T~i@^JU58*2(fgkrP z;MMF`hwt*+CwP^~bD2-qk#&AikI9>e&wx!Hg(M4j+HyayFXHX1u;*tGw|8(9H6Mdb zws1}JNZ4KqOK9!bhSNEo8xCt;Oy_3W5wI@Dc!ntsG{VLj;guS`1%GJ-zJ(oxV|6CC zrTKglt(L)qmcb7BD{=0XX%@dV&C;TZNO_Cl0r>cIwAyL;5b=TQPvYK^C9~u_dpcS8 z+q|=&=ec_PS%#YYl`X)vzi(Uc{U#P~aCei=2dLi2xu|4;BUq5m+?JRxhgq0d1^VH^01z-P?; z1#Ui>on85nN|M)4tH#fR|3O!O1c-q^%FG)=(R@6mh9J;??? zTBJPIa1f*ZjYyfHVH)~&2yJ2Q#>rxUeH^U{IUhC_n*Kt#UVMTyPr*9w5EZsh0jlHt+#R zhQfZ3Z$RgJ&~^>D75EykPUIbMCUnk(&Y93T6FO%?=S+hQZ4>ltLaVFLz>-Pm=Z88r!?U;#bIgyzTX}VsiJWcj)lUcf zmAAwb@L8M=xTc_G^5>A-55Xy*0Qfs-8v^~;fhT}8hb3eQHmslxD^6!>VTI`rbgp!o?`5>m8TO;! zvnPJ^do!)F>1AX}%{(XK9!= zH4?L78x^SkCM@b5M)MZb84s(-`vSPsbx$Z9&EXAkf=@NM8b&~pxW1o$lW zrgyN81-vF!yRscKrEuXy17cu{{P;&tAamzmu zY9}(B5KX&utOZs8S;MbTa}ip-&JseF%w~ysA*^Bna@}Wvc3=pZVFdZ-44g@k!HOeb zKX2e$sA;O;SSmt7$fLLb%@66=0IUU807;wq5k8Yz-h|B@G!LRy_d;7WPzK_BDyC!X z&w+2yu>n{MtiW3y*K<5q;%?^qJz)6>a31SND^W+DADj_PbvzHIsmS`ub$kUF0u}*b zOPsk``eWU{iJCJwHkuzYA0++*^KS`%0{ICYL%<^7Tfj%z-thJSBnKe*KIgioU$Tc9 zzJpwP81O5=XOUZG;T-fha@Wzwir2uRo`uD(;XKed1Dbyg{yCgpcc5?YLjEr65$)*z zS(b?R>-Y*V1S|rwe?82-5}I%es#xfZ@KjNfXA6YF_Cv5FU1V&2U? z5gWjN0A7Thh^Sv~zJyiKkWa6_=hG|A23y4uaVh%77At_?g`V%iTP=!C0p4p)Clq+S z%tI_s5x<$`<_BTP?*gCGV<|_TCdAUUsIv<7e-4lP3;gI_MAS)$z*XSi;0R21MXk(x zu`UOo6Q@_xI%qD1wo%|4`20&JTz(ru%_hc_-E8400!~{$hGyKE^wXmKzvFaM0S~SV2sg(n-f#BWhL)-@Zz=LUq}5{ z(e{V%^a_?(yc`WIC6NCX^;>~Y1FJ398T-xWoep(=%9hjbe(-~UaRzi`}6J~ zrtv+M_^3G(_QSl9`(|$E)fdy?JLTGW6ZTMNKE!sya`8R=OwBizwK(HE4!@qsdqlqi ztlisKsV_5c>2DrLZ*O4F+QlbgvR;k}`TVwD0d|&Z_9lu~jlj1Mml}b*huUzDGz#*w zke`M81IW)o{tn~|pzU3JMLT(wV`n@L+{NEDxAKTAxQ{h$$BBD0_P7mP-}rBQzNRQw zgc<%2edf6IIG?pG1L5@@@a{c~G?RxBXC6gQ7?0rXy~vC1<;;iT;YaX=R}eAy?Gj*x z9zD3#NyLpe`P@x)svxgI{}Hb&&lBH(K0hJePxvp4Vix9q8%Fv^tWE-Tp3!TvWEjpt zzvD5A_wgCogj;2kc4|9?Q`;fL%U@V{Eo|xf5;xpn9l59f%mzq8xeBMNsAYSCZ_*niGIpMeXv`(Yr z{@l-JcGD{8jOxg9I1u;@pVQ5|fd9nj2-E-Ov0DaV25dNkx1m)rpVLjB1HT7vuc1GG zMh~|`{$Kc8Mi$SEWhA~n1z3df27nXw+>&?IA!v1&PwvJ)@X6iuJF$a)EluR$^t{j9<%W-V~4#^Z#t7QVI?C!Mu?s-m}a5-~rFjCwC>ei!(< z`31y7=B+@xhR|S#20Jv&M9mJI^+vHo90kcqNUB6yaRb^KhXYsQy!>^Xm%olv*yA|g z{e;g-WC>K?=ta$U@WnyMKgU4Z7;Y=(gI}g0B+FPE;cm#Yfe&D3rVjTk_4vfZt^+f`)AxVt!oU3os8; zEfVI6@kyNDPxJYmMj`Rtmv|K4mEb{tgodloCh=~?y`sp&__FvA;X-ja$rmA_;=D}f zYkvEE;7~}Wh%1N>iQKm_kRaZQ4`40+0{kyVQ!}Ia2%|U#xQyGH$KorWgU(sN$-php zR-w%mG<*OYi5Y$lSjrKv-$9;%6es5M2F`sYxTP;;zMloV-naOz`C-g(Giv68SDEj3 zkhP^aIWsYL;ym~`#--WDB&>2i)@393bZE#!onP?%fT@`0U(CcRY=dn)$h(;7Jde)w z8u(Q3OHk)5a12^y1JkjZgSf4EGq1RL3*_Tb^JiG)?=x@w3nY(QCIZ*9y`kn1UQM!- z7Z3v(TcEQJ{6pw-I_B`(ET@_`(AyjEQ2f>YXcn0&9Tx={*0{;3V2!g=8)83AFkV_;kE&1>S@NUop;sS!&XRMgJdT7kI^az{CVI$;OoFks40QJ0p0?>6PN*P z>&)TI5dA@Y;lEj8(q?8TFq0()jN0%F@b{3P0pA2{K^<-Op6dK6X_%m`1@p$Kz)iqv zZ56=h0tMiUz?x3ZPWNi_&l1BgfmiYNKcIPoHahTwwV6kqPSkl&8w(_@=+$}fNAc zj{)Duw=@e}2mVjM%+BeAh2TGnm0h9PB+HHU;751z>HBT)lOcyy82d3=U}=_T8O2@D z@Da~|rIdMdGuFCBo0*Sa=D#+g{tq;E| z1_+3V2uK$x0TgLUFNPw7fQW#Al!z1oK{`m2rW6%vA|)WwL^_D{UPCB`Wb>W*-1~fg zlCOX07WuvvvD?Q^S#iIu${5p(x%B;(bYa;{iBW~l+iq*6phyIF*p_Ps?`w_h;%qR>|3K{CWa%D3|#LD^z zbn~#a$7uAO0YAom4}9)J>lYy6NjEX;%iwfyS7uJl49qbCn&prmha8PnYXEsSMt%{k zd5x?q#zL*BjMiS@6tvDj1d#1q3bMP?qfEp^ap>J0@gq1Dp4-Didw4$RMajr+W!s5; zy#>4V0#*T4#j+9k7vRUOguB5@nPp5QA@6W&AS{z$SC4n74IaQ7^1wt?Sz)v2r|?iAK`*sOAQG>8fy zCLl_cV6z`|>jv^x;J%=vs^3#E_P(_M>p7WZ=8df8pH=Og<0x zWD^1R-q8Z~s3D?_dr!e?`Vs#;;5cv(xDG@;x0YkQG9j-8)6jRXyIznHN$UpW4q!b* z=`Q3TTGvCa4K@kgH6w)n41A6Smx4>Y{p()KWd1f}{$1>dCSXnIH$g5B`w!p^_Z@31 zt{y^e;Ld2Fl5<{Iu1B^&w(g=DAB4OF`lgWGx{B5JFG5CT2Zx}-;Pr_=KfJjWsR>5= zb>=klHzs(<41I+8YFf!)8tQNyD#=o?6)e+GhXa_4d!LH*>S{D{+f;^%&{Rb9Dx#{~ zg+7QltoLYYFT=97N8@23T9rU`%M7xbq zpPM_ok;QfUmdOD_PO;~cd8(r=>A0cQ3B76=`WE3yf*&kt_cuEN9v0gA)h4%qhji2G zZ;W1XU@Sfra32h_qfDInJu^<;Vr+~o2AS`ehNEhH;wD zZUu~n_8FOu8)|zaZ#VY7itrPSUNLMwHCKcuoBJKl!Pu6VC&}ow0;~W{F=OxBfL>?4 zk(kz|(9Ga|W{*Q($)ox7j_rAyW1@tyePd+nB*w$SGW4aI-<^RhgfJRw16s{zfXi5? z@i|rVS+WKqN%I-=tV665V@$0R!_COWJhPjOEQX0;ngfLSo3b$W);KWEkl%*QM1fBj zim{r{@<`3)ke}uS@H5uDT7V_`it6Y)269e#yKS=6`VCi46FJQe5+*B}iaZ!+c2g4s z{*c{}LMQ$DZxiKJ8{;HSnq-Uj&yL`U23W z8wwlz7@A_RX=&&`1j`a&U9=W}+y-(}Se}OldOOKb=7(Gqt>1&G(BLZk6Xf=g2Z0Ts z84UI{v@lvx39UauK8f-8VKWX~gx0N)mqWe=)(78!9y8($^mV=M1c!i~!2__2g^YRe z16=VP$XhU;gWzRoK8Lq<=v5w;JD`6O@-oQN5tG%B`+zgS;^;M7>YDF=%v~KL+gHI% zca4nf3qX?&xw5-P(0t;ql##h5*aqwYZ>`+*LNDpA8f3)8f5Tlh*e?aoqqTsc%n!}? z7~8Y390hi9 zuY#Dh?Pl6^jB^0&3&R6q?o${8@<$Xw?uEntENm7-ehHRtj#UMfVc25RH!K&%$*`#j z58r~3&_9M*7ei!rzb`QY91TB{AtUkv^}(JCCW1|1<6iL> z(9MvwXnh;Rx^P}xu{(&!OK9xdxMDx(-I|6yXuG=`JJ**Dnj9c110yRK-h^AJP*ucD z*rTtV0Db{3gx;-y(3c43t8uLcauA#^{|P6{WNIYb>9Ge92f;+ z%#51p9|524sE`%*F%WyoMs8Rbxql#fy$Aa=$k>T?fABE$SW`y)eJ!9zjj~a-Y~+JL zRku5UYha&>oEe1taqHD05VgpMeZ)hcaVzI_^mW%1>&{pyKXQqigV<|47DV>S-G*Vv z3LoxYK4iNe(UzFIAFVd*88wQZ2kV=w6PZ{3_Uw@P8%;B?8hF)^FM>-AeF5mx4TTMU z3{5fEv^4Y|f@KM?E?NsfZUea~EYCv&y`5wz^FuC**6+boXmAz&337YLgTMyR3w|AVj~Q_W`nukBfQhKRnQ%w zyW;M=27ra(0dw~$_{2JjBFKSo*q?>XV#qJS(p~GSAbN$NH7pm#$*`#j58r~3Xq^Zd znQ8BbO(A$jWEkV;m1vEDA4En}fqoVA$X=hD1D)W_&2TqYcR>#uF%p_9XdMq?bp+zT zJ;AB)=B{H|@CC3TTK7UOgI=Y<3*hh24};IPkQ>1dM()E^eC1#{8h$22Myv#OmOU3t z1e?Idz2YyRyQXW=`ZkD2a$a1qJBa8>Xzbg#Vn68JYJlvu-F<uw(du?uXhzJ-zd2cp+|uup@Gy<_(W4?~YNWyIgt0($Ij z8<`+b3GEKx8rUGKZMTwKhwQEtR+ABbKQe@ygUERv3nKUAZsb4K+J}1XL$3M}Lx~yr z(Q3nKehOK@!*wtbT!^^`48tSc)+oqnX#ETx3PC>)`f`vsNkamRqx zzD5qkW!}}9Pz0J4lvnd8np6ll^QPln}hhQ57PldKW^5DD;d&p^j!vyg*QC$5NDxjY;5czA@d2b zm(W@zv_LaNb+tY_Y)To8xap1=p68e`_#cO6y^*c4(8qW_1Ga z90z?K_#A`rKbD!M$2l0Iy@RnGHNAX2FqiYt&qCG>FukmDxK=;N1>h$pl#b{2=_a0F zlA(SzWq1;re6TqWIfo&?j8Vm6)sk_ozVO@uBRpy7w-MW7ZiZwwF|RXl|o*95hGaZ5%W&!SaTo zt~gjPHxDuXx|qu;jNv3Q!Oi3eX4d*$zzIYN>kbaWN~OX+1P>)~tqN$3LH?YCzPzEX z?`BKtS>qG2Lqb%N(lQ3p04dYim!89$}5Qn}qqg~0nGLo48nW&(Y)}W--AAL)x1RV3nZFjRY38`m zt&Ol82z_HjeuAN{OKVXpOjU7LWFZ)a)@aPSD&~6v91W(!b2Y42Wv~EP$Fnh2Zh*Ir zrm~GUHB;xqTI{9;$cl9Q{WKl9nvQ%<$66TqKH@gWyNl(8#>{#OW?Ip`i=pOR$Lg#z zl*l59y9{51Wjhe}IJ#-_P`?KL6*VvgHPBR;#u#S>WN8d?crUUVwMbjefu*tk68d;p z)`I*B^m8%tgOHa%Un8_1?19~vVqTM*tAr+Jp2m8`nca#teHHU6;NI6loAFojTxPTw z`C!Z?8kTV(yyijQe3W1NjPh1i%Na|JXCnO48rkOCG2W9#LyCYt!sv;NCe++~wmHF7|b`2@Sx_key8rv+ zGXs6B`3#=+vlzdQatFUKv(C_bdY{OAyF3(WzC#g;G~dDqt?jZi zhX(t{nBSR^H;sS)SwndP@-gFIUWEJrvTM^2ECb8dU_0~6GiGcVC*3joC&7LWWVhF4 zj5!thLeOV7l$9~NlHd)@cPLs{ffD-XU|AK{x(fXc_$&=g1w_Wxq@lGr?2~ZC9f(pI zI1pE_0?Vh+*I33tj)7d-za9Gfke`AdS6>7pc@1)L$Zx>UA;T~U8Na0y7KYa5(2Rt) z@60dHz{7L!PzrJ>$Ysp8)V2N3kaL5%(5pJeTpD9;gH@i6nchJw#^#?7&70s>Fcqzj zYCbE!g!~nJZh$-rHY>sL(655L5Atm@Li5`fdbB1F%iyo!oRJ9`aWj9v4wcHdWX6+$ zwJ?A0)|wR4nz7zQ($$0pg3%y)!9%HxEwDu249q%XI^?tPxf$|7$k)+|jLJ9y%?0=| zpCxF3@9CuCdvN*iZJm7nm8K4OzffU*;h{W!*CGSo&nj+qhqcF0EW&rFx8n2L_?Cxt z&Njbpu@RqY#y1v4HNRu-y!aO6ZX=5yAg9=e&GQF*k2TZYiYiDHVQq-0NZj__p79jf zv1j|YX;pmdgl=?^DwC*Mzfm-0ZxmNGn)JOTGm~OftI=}j6-lPH1Sqro(iX6R9H?FJ2MQJuK@uSQdw#&NGi(`0yglyZ9tY2YSHV;;-O#q%wvJD*17L128Y}_E zf|bBpZCm$hZ^wa6!B${9unX7&Ol;e>YY)3Wm;_D+=Yy-jP2gVeM7#LT9qr5DP4I5J zZr!`u>4rW5hJgx<1f#%cutGgG;~_;A(I~eD}8TzAfNRa36RSJgH53`p$z_!4&X57&7$RU<8=GyFU1H zgZaP$U@@>H7zM zx!@vjMX%1?I`~(E8^A5#4sb7c5Io+iYug_F)8JL`uAw9_0*nOn_v#f>N)`iS!17>a zuol<=Y^qsWz6Evydw_kxLEs2*tY#TG8Jq(cn~}eo(3<1*TK|Y zz1#GXX@+40`oRF01Iz>F@723UudqU32`~mM2UY~Dg0&KK{KM*jjlpK%TVMw;9_$JB zCG$(jIdvWQ`$yJw=rPLo4yo6f|4(N&&Rp5jRWU1re~YZ|5e|M~ z`adICy1M^4bNnp|{U75@JalGx_h-Y1zsX1bCLjMl%>K7%#vF~a=>K!}FC9IkQ-6`H z@9w!N&%Z4_3Q6c!AO-%dV?iqPZygI$k$>Z8>AU}5 zUJjGA{%{@<`|x>S&cprH-G}{0HhcIy7d`AhS7es+B3bNfWjSw=UMI_W-7M$z9$v-g z>u4$+nYPwM>R?SnwQi&TBfV26kmbwndjxl(9OMtwR>^@{YKz*aHffFDx@KL|b~mk? z=G~-~Lc+RZ-62+0R28z-%j#u)zc%?GxH_Bl5+aAlr8VYTS;~xugSux)eV$vtqw1;O z^Ci&RIXsM5u27hc(J21kX7~yV=@Lw%^(XtOM`_Upu3jLqn zW{xoLJquNM*J*G`MQcUJGg~fP&sQ)jf`w!N$8mv#VS=nfy z6=gk6gYfT#4z-$DE$Bll-s(yt@Na}BS?^d0^pTZhCD9mbvh^8_wQgCr=o9O%b(h9l z53C0?!K4OF6n^2SPenikXp(qDJVKL2ZjqZl6OW0?!lvX~?$(Kazx9HQ+U)LE0xu^dYw zuFBOYlWTKrOYn4_Zn2$U&$et|TVGqNw6DFdy;a87(bv(6^>y}jw#xc?`FdI9e7$|W zt>=7we0{9)zF+(!tmh*dMYOhVL=1N8o73ZAlDfJXbs%O+QoJ&2cp5@%Clq$uKs#q1v zc~m)7j`OPWsys)j3aSD>rYfq6oKIC!mH2U0Sykrzs;a8WPpE3D8b_;Isun+~TB%lC zK($ltxS;BwI&dM?Np<4Fs;lbCMO1gyor|h>)H_^E^;W&Pxay<&a0&IUdY7M41Jyu& zT795C;Ahl_>O(H6MyL_|tV&Wz9HTx~A9E=+MvdXp>J#+|mr)bc1ddgos!zGBnye;s zIW(E$6CgrCP}^tFP79TuptezUAubJM|scP;1p%uBkSt4O~n8pnl-mYO~tR zb<|e1m0wZY)HbfGepEm5tLi896W3F_)Gn^C_NYDFK>e(K=7#DQ^$W+T1L^=bQis$b zZmf=|BiuwCQ^)u<^_%*QUsu=EHEybIs+;_VN>M4?Or@$+Zm#aCd)z`NZ)?;qLglio zG%HP4u}mvdR}Y`i??155eAs7x>@z8%L==UI0-^wgi(;Y}MQ|-$-5g&VUmFVe+WFd1 z(AUA&ft0V4uM=hS_4M_m?7jqF0zKkO^d(Xb6|JHvrz)Td=sjLY6{1|Kh$=$4RWVhJ z9#th&3Cg3MR!>u2RZ^9tC>5h(@b3VWp?s>WDoc;6=hSnQUp=p$rzg}4>II5cFRB;m zN%fL?NmnaVe+#1i7E(1;O)9Kfs+LqlwN-7YsA{j;Q!&+1b)@1dUd2-h)lGGyr&JHs zgPv9iDuJF+i7Jsws=lh+y=7D!LDw!CK>`E_7J|F`po50s?hu^d?(TsQ9D=*MyUPT3 zcOBf_ea?{gd(S<0t^4ErzO2=&cJ1D^<*C|D_jFek6JH5j;akicxFSJiNn{nFqFUVY z-%2>_is8B6*(JlXtIcb1WwxP9fJLM$ZO*vTRzNqiziLg+rBr~uPI?H_kyn~g)~+Zh z*}uw8E;3f6VAmYUPnh04a40U_DRNU5VUR~EEPnXrCCF6LW6q+YBsHU0T;8sztAJeh ztt>McIvrA^ZkqD|ueeOLWJX!6Ou=Fgt4^n^pt;beBKu`#s?GsvX0DDk3AgaL*_}g~ z$FVM4iN~qVD%bhYeChk~j7oj*VnNA~g*m9ax}wDYA$>xbVD>hQb6UY`%rsxN5Mvr2 z)B*msBF|Zv*PLgqKX^^`x5_4 zpesWVUzMgby1WaLbTq|&23oiUA6-^6AugzOwk}q4KSn-t_PwMSK(g>_JhiV5?~hd9 zq7AVh<;O=2vXUmx)j%10{`pu40E($*GQK#h(#b)a+#B4Xb zTlWg?@(7^%1qwAdJ@e+G{0#~;$T+*bW)YNnZ!7(QCPzAAzvGX?sNQf)EkB zzG-)av6}JAnxJ%n$Mcu5{+;OvKZKYRgXL0ZJ;OaJX-mYo8arvMwO{(2lyxSwpN)xh zsx4^viWD#u+Hc83>d+eGc_Lz%jvaNcBmCJFO?^*f3yFy|v@MAKoV0p6v>(7ks_TxH zl(`G0^MoS>V56yDp^{ZEhxQ{fk2K&c!1Z5}3oMQeZQHEGRg=&(Bz z01vADRAdXDi8Q4($nu7?x+k<>m5Fo-TDc{?$ia>x&=K~AYQQ4BKn56*kw!m3bweGq zW+L@y4LZ6ey{N&C(uGpDr1pP9`vsXu16!d}BuVX|jL&}*eM7zX+mp|)(xpW)C(0R(z({4}r?pdc+VVMVkkwiucna0lCF?^JER^70~;vAuTr7(pYM%X&i-_U#V;6C-Gh z%$1z#T#PqFVD{8c?h=Q8X!nj+cP-R2nCTL$eQ@rM8@!g{8LD*0QE-u_2fRF0R`)1t zdw9n>>{4-$-RV1pTx}(~4@RJCdv-cwc&!YDvqaJoG(n7#7sj~trD_=H`EADB#&cH5T zitAwQKL5#-W#}ekE<4fWyw;7Q=e#-KNPOw3Sc9eI3(#k8SBG9EMZWFy5hjM6}6*@rSOsJXCk1YP%Y)#$JZdD@@N>(_I(cJMoA ztQJI?71fA~Gf$m^2(nzCZ_JNEozW#$=oi)*WWNVqM65yl)OxVf*!aGLS9o_tXd6 zqT6dRHbjW~;TK$~%_~MCISf-$FUc5FfLH$QlX9}?lo{Met~%S2XZg>aN#0~RBvTTv ztiuj{jc-zBI9?LE6ZYB!s*|)2$?aJ-X6#-C7CjmoVuxn$z2xxr;kAJrQ=b2>-39`E!h366t983hL~qm`qaoR79368;5?Rkjq=;*Rx&Ln91Y!I zJr;Y7v|EEKZ5A7jFdysF%)wQsi?>IQH>b|Y&wphbSdads?IS(d_tRDvd#bBK@2-*6 zm1d*sj0o;SO6hdtt_{5wzH-FcRYzIUr}WQl9aa~43US1Y7$Gev)<@e6HW*qgh}O?Q z>gFI$(-5b4?X4w%j&t0Kq053$JDJCz4nXiGKDavahz=b0eC6}J{b=utPYpkSx}^sc zMu{UD{9)gSPa-x*ejkNTArPOl{ROBR5tGq>Zts^$Ef5#8Wq6;IN?I^zX5XAjVlt?1 zU!O`Qkl?Vb-z3Z!H?);-LD!^J{)cXx_P*|^_i3s5f~QHeJnnGIy-CoUiF1%>i?T`G zI!e>1dYQ6eh-e$-K4zK5WnjfVa+%I2{&9=R9>hzGIw*8e$x9kJXmHW^q>}yzG!SzU z%gZpVzEM+?FxJ_m4QZ!*;8YsUsI*Bu>r&FD z=gF|s4)8J!cJ$jWl1Ws}y!rvXL%UyBNP_ce}OGo?;zw&*%uYfJ3Er%_mEx#>^EsHIUEzp+2mK{1*h|iYHmerQ-4|*ek zIPx;WGV(IgGU^kdI1=xNTzJ5{tgps@{8Z&(fTr+Xf8&bmz+Ka~7=Ucs8K-Q?ElWOK)8-3c5{b?b_8^ z*cznvmcUkle5pl+Z>np2WonD~onNNm9!aSFH+cm=-|Qf21$i`Kd6rOM3vGzr8xd)} zQ9Bm+VfC;?<{S&rwKPUdySX-(Q!krSuhZw3*|Fk-ldJ-{nWEE2SrxfkfJiy1T$NVU zx2THmMbz@8ilvk#(}va=!&Q-4@e00~hC94+8%R&y>KpJ+-YV#n`@!W>q~vW1G(V;^ zqYWhh&B$S#C@WFJal<0F6zb9RSH8@TLYTt?ZG*PJLA zwzs{Re?e9hc_?JDZ5N=rxGvwGu_RO>y#V%alxR{D~=E4-suKr zE6-A5#iZg}*_Q!9xjixi`8Tt5C1OWTb^@A+_cS-3w+a?`{$v>G2Kfve2AOO*^{`&J zZ{Q3x75A%y$|edsXVKDsK7AQ`u@w%-SD+GO^XAHyi(n%b``C|$SoEy|Vcg{;_71Li zN7+GGfj<^CTArXNLR=D7{%w%)4$I}Au!WAJue8#zN^f^__GYH zC28P`%IXsjP)UhGltPw5#|Vb`Pk-v_-=s8z6sNbw$s(@RJhr+05p~O792CePuzhFW`)o&Q-yz}3W#30;M`<2dbB>Prvthl4 zIz%!{ae~_X13O}PUa$P-IHox-JC002z9Q=czBvawwo&xVrO5O<#PRg*J1L4(VGM>C zQ>j{opTcVT;i?jr6ey+@!Zc=q-V|Xz!OSm4=xy3jj0x!?a%RXH?+>S-TjDmpeU5qw zLWXX6X|gO2UCtiaNXdw`D$26nlF*udDAwo)l6t)*LVXlNOj!>~^|uApWvzN`XUv8e zf`qg#Z6h^9HQQfD&83*P@hj0Q9SWJq#kQrTaJ7QJLrNqaT9tZ~@~~E!8lp8f^_$9; z-x5VbH;ML?KB0B#>DwOJ8aYF-d;XXa+YsN-Td-xdZDkj{EOz2AH^ei@v%z{XdNF!e z$}3FhH#Q)&opsmBD^2*Dso!HL=Ul|ikN2&vQa~k_wMqrbP_by$B)f*sP)!@=%>|H9UN=yH}iyhqXaEo zz@^QbuZ(H7ROCJCT%pTG4)`LIaE?NYe>k$k_eE5msXBGa1b27L)6< ztju^8)15P6H6(Z@W$#Gae=%*+-O;xHuGqwD!~}OYoLPCogL`gmaoQt^)&lR)yu*gh zF`h65y2kR*iPE(9+WE+j706>08Ci=vgK%wJq?Bswr@M2vBBw-WGi4Nt^~qG52p zMSmMo_sy#pZ)F;J8;!3q^WIS(N&KTZLa@m3AI=SVDsf)KfZX5inatyXXn)ugK9VzF zqDtT)ix!E)=jE)6qlW*Sej`d1@UaqCRSfw@7%nlHWmtF=OA}8%TG-rI!*`qPACsb0 zR(cI{lh@z6z5!6(4zYEZxqdJY5nESa&M(*~>PM98WBtRP?!QZ{`K4o_A4T+x!!XjP zr>FldQBU6=^n^9^E#aM%)Pa5nisZ$FVQ!H>>IM8x4nG1xqX7$oQaB2dk_aIaarRg~ z+d_5kd-kO6{q#R(`+a5kt(X-Aw}KIBnCbf9ubYON=%03uFM!@^(cgFof9HbFs@PE(g#1Zzqkec53fd$Kb z*Kecn*6xJ1P^xAOrax9bAzZxS_4%FrJ|E=EkA4aB)t>-izdQ(2W=2Q1FfVjc;@(L!fKA}UrCiuNkN~T zav!|3y|8?`aE0jYKUsAP8ZPHF^92nXDRL1ulrM>`f3if~tDHx;b&t^cGc zEcuW~c}z-noc(Q4M0QaG%h86Z`_JqTi3*3@a7<~`eE1C#08#if@k26_mq5mV;O|fV zR$@EDvO90=1H_N8%m-SH`Ln-|e~M8cEersfauxc2i+#HcLNu2gN8aU$Gi6C+*kMKf^L?+-tF8TF|#KYH;gBvkjdB0y&Sqs3+V}~ zalq^zS|R%9HW;U@pE~dR@V>&l5&wWTN&Kt(19}~n364BA^c@T4aKu{c1kN2E8T2uB zoBDp0j5?&YlJ+y2YGV#5Z(fmKap<6P*Ko>oEr11Je&Y|@ z_Riz-=%X%we%v5#Y^GjDrP?IP)CQbk@*<4|+KlwTHh!|{h^XFotv*acIaY;b}ZJcXE&XHU9w6 zJ)!}K4dg~_)*^#nzPRb*gf9I7Kp#hq-rbOFztK~!lJ0z)JDh*rt=OD4OSO&C{PX)o zoV_Z&83T|izS^_NFZOYZm*#e8MqHgjjmoG_%RiRgUZx7>I$LB=)jZKtPQVgYJQI#r zt|Ojd>&M@lZ09$;Z|FMUJ47kAyei4IqUI4Us6TSQvvl~$3)k|EFm#1DvdgN+L!c6S z>$!?^>-|?Ic|8^Q{un#4e)u1{{Ythb{WVoYTcK3~Tfkb5?7un${VwAh!(w^(+;H@; z6>vOxe@$G{eAKM^Fz-C|9jPE4LUpx zQvw=~^>2!zYov-dy@wJD@HWIon5*K0rmexTQLd~s24|lYdpF8*!(#A)DdtV->@XDTJEbHb3}k!>QtphASIhR34s_xf+cEr_ z67E&LSIe}M4kZ#}WoVB=2YU%bzJLyoO`m+djeIXlC*#H{q37~fWUEO;Gx z-@tjqw2iD94b8(Jk=3Vq4f31lR%0FE$p*wY6ytYQ;rG#SL4T07k}62|pPHT^Q%h3S1T9B!&UjIA=prV83M8_pc+;Z%=M~6TR>*{7fIY4?d!~ z&|Hu$AImlJ_}aP82=4W+o+97?mk-?;=M!Q0N=_GGb)QDED{9sTePF+a)W2UC@Nl8c`ct-53Pj-&$>zeScz zFc(i!P>qgoWFwHe&BNI1aKz<{<=h^*qilsP$+~pr-1@#^E6q)9HSKmy^Nits)>E^c z0Q@s@&ESrUKV5Ds=1$NPS0Gkyx9@E8F8B%48&@DEV`OZ5_Y&!joq+IB1B(vTI5g85SZq%zdB_#EASQC(!y2J;>g>? zHs)W~ke3_G$l1md#XJ(lG#;o31X~yi$moEi_%{$2QEAp9CA4HpGXBO3Nc@EtAg{dd zo8B}*xZ4bbDZ4TVA>My<9zIpn6@Pvqr-0B`%?MEHl@TfVgY$X?@+)p;beMo$kYSmp zfn^xchMxkOZ{}Ry#1Z&)>TUH3ZpHZh&YJl2C@thL{w~NnK4-?1uUnvHqGFF)_$&4} zyQ#p>((9L!rbkd5{1eZ;+^#@(6B>%G>CZ3MnH>7$Z=?&P^x^FS-W$*bWz(!ZNEb5w zMaqIs_`S_y3TO=NTsosW-*3NT7pAl6f0S8Wzm;o*c+$5v7FatxBgXa_ z*e|n)2eYy4(^k}Yg-eR{;+~q}1k=Yf=WXIZWt3@brO>SQUmEYO^P+FI5ZVZ$gg2xt zg2&$EcIYhn-h9r`kZ2G?Z+=fe!|$Ia>+3FX&NuXTS}2QO+7tH+UsU>sYl*80aZeLR zmTA<%w+Y*r-G5?Ri_Cp~slXTdjVj3~mkNHbQhm!(p`Vuh4$e_y0NZ zzd6*Oq%p;hu@r>^^|FPSCrA?aK1n-%pyYG=N(duz!->>&M{zU@azt7>c< z{7-<7H~q?GR8EOkfiePHGeu{!z;AH(o$qQ^z;# zj`~%?uI*j}!8NQDMjWo7eGfV6PSmQ|orE3iJJMi9R%Y~Z%Ppvzq$Sjf zq(cvIOQ+GFFh>L=IEHMf$x#C@R&*M8ZvB zW~-=G9IU1&X|E`0X#VagplDp2mvLee;>}A=!ZORq#SUOuARjLY*DEcM=cZZD$jL#c z{ZYA3$~9|+xLN)LNWZ`A-HZ{nX1uehbu5b8#4ne{(GT^Am5*=Er$}K8~+qWkwc&Su~~G%bL0d zr}?4dNr!BP5Sc0Q(Ox4+;iUk<35dhX~AS#J=ZkZp3w(AJxpg9SYx!ib_hd zj(MJ~cFm6L-Q;FTgL_Y<(K)d$$!Mf;YkZDX zxw@`g9bO6jix?fClD75(!xzCA+;igIIv1Ld`n$Has$snvk*RXT80Wd_!Buo303hZ7 zso9`zBvn8M^+Li}r?wnlv8l&+lO%z`{9Dl7PfaUG>m(sRsbj*x5537+#NNqKzl!no zrTVWj=I7T)zflMtYM6p#?%_>jv1+>ytw^_Fc9v);F2Km*!Fv?NQ%^>CgSv*P?20&E zGwyLfiw2UGg8RgK|Hg8qVPrqY?4g~ziFWn&P`cAH$*|Mps-Xk3&g7AVQwPpbU7Xc! ztM9r(<<--)!+KdQPBqs2ag3YJd}mh(Dc5UK$(px>1O<-?_2cRQuEMDrwA4PqmJJ4= zptFm1#1SpZisDJqT=ohctG0o$6)I<_Ly{m`Nnb5u(HECfvKF=F zJKbuku`f@q^3vmh@zEAyas@|@&Z7sw%?%#6j+!50EnBpAxed>nwH$lUm7YaE*LKTW zj3$u}-t7nVXs-Hb&F{(_-Cu@NdSDi))TRNSdctBy$Y`w!te*3{#FMMeZl`EybNZMvvG~l*c}rL5L1No}wwv@?cKw zbs(N*MU+b^z?l*}9cR6=?<>pY)bKP+n6A z;mM^k1N79ofOz1t!t|H;p_?%;7e5vTO%I}$`U?C0Jx+qqG`z$G19_m)hcR@fzTR5r&nVbhIgBhc94Nk`OpTfg3V76H4zRG*N3AIsZ`E;nyx<#h8G zH@V$K&FYV?ibCUpYl%r;#2q11L!zzSl>!q7#ze|HeRzUVUE?IjsY^9bA_fIJre^9K)??C8{Rwx?~6{=m6N+)DQz+3C*`9H(qvhh z3~%5*y!qMm=4VVRgyId1E}R@3)d@r65|HK?A2#nRF>@&)%mdOJziZ;kZC(Gr0zj98 zu`^jWr_4Iz!l}j~sR;hZExV$$4A1?cAf9LU6LA44hE*PN@BP%)o}(<5A(PgdrL=`o zMY~&_(IuT7b7T|QiSsY&8ji+8!Eakq7ThBNb05rTsWI7)GbEJ>`GXFPc=UrOaIeRH z<0*k*$ggypdp$eDv61XgKaTp$jAd3TO;65|`OFYbt=EEgoHJ-OhNUb$$~?Eo+LlxA zTvdkTraRSeqgTBALqakvakOkn9%^mWhu}f?YBO6aD?(=Mr$+WI7A07RyS1v#1?r;W zb9dzY?wq`B*X^POLv2hIOouZU+KW|Ub`xHbj3#o4yi;0tXM^`zwG;XEUS9XI@Vs|w zORRjVO#-F>H63OO6hpi=>@!Bt=hH;-f_ymPG8!LLhc*Ov=3<8w*|ghU4mi&7xUXGw zcpuyaj+Dy|vGdaOltPhqz;-0mGzfp+a?_G9l5hjqXLDtsI}0jGelzEO%PMH=f^PJk zl}hXlYhOi5#Kw*fh6x16&q{V5ZS`vqP%n0+uRHfRo228t21jeOvi-sJ)XNN#)6D7W zxj2DMWf#fZx!cIGzt!^yV?m~3g1qs;z%?ZSShXAb!6~`PUe~=q5O}O&t<2t3LvA&= zQ0KoC-o#yg)!=2Lbt(7D1H3H1j&4?lEl+mSvFU?>zS7#+<1c-@QKUqih`y4}QkjoLhc3sj=JC3ARShI}I== zzsZV5Bhv~un`hqYWKsc}v5SR*R^g(i{W+eW$k)(c2+!<^aZj}ci@`CAez z$w3@e>MwbMT$=%lPrgQ;KSVQTSLi?UTV`MPJP=}}E^G?6fBGJ3L=bS}=Tfd_ogz{8 z!W}fl**auaBvdYXKU563WRo7hSXd%Jq6sxM$3q% z8l0r>$1luMSg20z+z|>?89AyRhv5iMoEJ~pPXpQpBGu^PrBt0;S{yVA#yOL$ut6`! zGeeItxWI<_1%?*VdCP1k@89dK)%UcbHiJ(Bqy0SU<#iX>kWFN!VcCIN!q`NEvx!R5 zJ4tYKFZ;S^LBiO)NfnTkJ7EOyxt1G-(xfn~9Q88HA1re_HyNum?tPGAJYi1I8cZxLJmbr-%m@FJK*;||3 zDQfqpWtmC9xW{=L+!_zs6)!;vRT_nGtQnqPZee9P2#JkkBrR3?lOJ_0 zV0k4?$CWc3;a^1vNZL(#rqmXRfWzbjQ%tz`dvPzPtc$=AZYhqvyOSbqRQrK>noO#T zt7dFgW+X~QMib3@9R(g1y>~|n`&0b&au$$qtBgm`Jb?Tece6CvluLD?FbuWnnz`cQ zhsSkxVrlYM?Z+s1)2Vf%BrAG!l#!G)H~pPD{Hg1ZvmUIWIk^y`vFn;8)?5LAhWwSN zOSi|$`A$oI>TyGJ+a$^3&a+^;+QeSr&F+{quu2KSngOVB4cLV%b^;~;N`*B#D4A(! zh8?WHzrX|-()xlc+SePbTUk47x*%}gNY;KeZ-S0D$bY|9-bX~IO8smgDWh$y zI6ZXU*4jXG|3VJ-{mylpx!V+#>t?P4DudS%10ofyBk~5JxFy}=ND|q{)F(~U9|OA+ zYM-rXIJLPRHet)aTReUk{`Kx->a%a}>m#QsD?>Ef&N@UExWL;Pl%p$`hqh}&i;3|`)_v`#Gs?$lK|Ty% znUl#)WMbRYOZ3hKPOt)xP?6FLrN+-tYh)Yk&&?)6x0YQVqOOYT=|61pGdx!DLG()k zDOr(YNAjv@r4Dp@E6ebaCO0j-^>-6boPfaKXe!Y66i(T4PsnyhrN~VPDy`9g$Mti< z@WEKF;mNQ?ePhK+6ywuW;hAc)`jm`DT+tUUZ(4;16b1Li+{(TTN{?A-!`c&kLsAnJ zt(BtNVV{RYGDZ$GIuza|o)9aZ4Mp3KeW~@W^dD90d{u-wBf5=QY1idF<&7trNBD$3 z$y`ObQVkar1DEia9EYC!UJpO(9=dW$br{B~?BkKygSgktQs=32{P>Jpc1!2t12fVi z7o7!soQj8JA!Po;Y$+%|G+{=*VD4<@wYdz%hkJWPGFfP{a;!KWDH4#Bx0V_I>Nw8} zml<>8t8%N}wF*RElA6QsbG9~L>1cJCpR%q%v}V$7=^$wBb!`lNw7{1lp z9P9$wZN#@`f4XVF!q3)Mj7t|M?{MikT&FSinIxtKEj4tTRvUlZHfzZ0J4!>9CbC{~ z1-KtpetJ;Ed;HsZkEe#B*+AhUnA~yQ6jL{e%fnS3k2-t|%o+H(tcD?*tm9^kZ%g`U z)Yn2}YdNXxJbnIaGZKzpyY4J(viP^aBC20?s%^=a`EUZE-%-=1^0v%fsu1S(SaDpmop z?b9yt%b3dy=OSx$Otv2@n?5O>%5fQSl9122mr`5^AyDJyWs(C12m%$O5D*3Tsd4Hb zI$y*KdvdN?^9@EIj+OcOR8-e8!O{_Ooc9Dp;;hWsiDG@uD7ZM;$|;_T^R67AgPG0{ zS=ib3CY!n`(G*LC#+6}$g?yu)miMRdFx-!f#S3?y(0Lt$c}EC=aUvF{tSRL@vSUZ- zl}1iqqg*D+aBJMskO~^=7uQ#_7OMT-rIR613_#jP+VS;k#_dIT@>YBM?3}u(MY(Xq zzS26=!ym0DmP2)BZGP$Xj$jvex3x#T8>ieu+9VT&s(~E-Y5!i?ikgfB%f_1i)@H9l ziy3mG4vV=wIUTz9=`1)ae!9q}>Z9ir*ey~#M3)+R8wZEu@=>52Z?M8}2otIbAf`SL zg}%|2dR`mUVi&b5QscrZjY6Pv$a9gfuhN3qz&4v+HlXZv>-JR#&WOfPeh2(0ot!wKxy?u2 z;A`K=AatwlYMZYT)|~z{BR+w1*%hwXmY(ef?VC5{ti3BGu~JGL9$VjWjZ{{?$Iot! znB{a)5)68-qPV||TC9(vkvWez*l`0ar({e14kWAkYX+d2>C}pjT&jo*KJZ^aM18 zB4>B+37KhJnA1GQE7yaRuJ9Z%)zz8%VIp4Bme#N1_DGa6WKmGz{wbf8WVmW?FVH_= zd}C>5iYb0lZYcjIBm;PJU#E9Gp*mlzQD$e7QatN$k^_OoJoq(&jA&$S=-_B?^uy{O zX`^qBjK~HcW+wheX%aJQ607DlwyZUu(G^r<9Ia!V1A_l&{qGU zpl7+**@-#WIG}t^c4&K!*H&DwmRVjM0z!2{EkG$2W~c%-u2&`t^Q$GcS4kEYXd`wG zPGTC17CI2JK$_9i+#rkT7l>@2}!1`*2mE)g>|C({UhW#G_PAHO`K;VCya{Y7Q zAHqQBng3A;eDxjb7F07-6WeQmtiXRrvb>V4uS(fiU$OY-EF0TDxBv2ec^*^DFrOfecE&!tu{FC=Kn;|I)zMG5H_suWg`z{}YWi@&A!Btp88Syru~h zVkm?8pCeEMufD#z{=WnMABFz_hN1*50k6RQ-#GqH=D!{FUp@ayj#nq33HsmEd`-&N zbo_53zNX-REU~-}Kjzm|`)`uHrq^p?{qy=YaiRJ2nn?f6px4y-ckW*|K|Osf|7#tp z>DB6g(&#@W6fkJUyr#;(I&|#6W(Cv}_SZc4ZvsHiK|2fD?tjMm>mYv}-T&%rP)uI2 z`=5dQI)eWV5a?L@Px;ze|3??FLH+x8)#Bx45;e1QG_q$Bwfx~|By410V`#)AZDeiY zXbR11PF8+?WW@ho^juQ|l7}J)8qj{k}0!@fbevUG{_? zo6g>G*UL*)j03tO%zXGM+W_t7k`R%bRx6^1z`iji7aPdM)AdWi(9lZ3SS0FLf{m_^ zS379=+4Fw;ax*3Il#m|`YDI^DAQ$KRBTF;)1*c#gMqPeh?}vw-m6nzUA8jU38?O)O z@rTdd!{s)r&Fahj{rbhz{dqzQ(aWm0#?w@VoE)Upvx&ET*~(@W1oCL~)Oi1VzB!e_ z@7=WAmbVm1L^%66#sv1!@_Jd>umNW(gblS+zO@Keo6y zJbOTPF79kESBvMyGEN}p=WcZzDcWVn#+#4+(%k)+Qs6n&lLmfJF!M;6@!|ShmsLuZ z4c&v?T;$_?z^50MH;Maht%FBF(%Mr`BtDD*|6lv4^n^ExL}hB~83eR-#vfG z9(d4{smal{?e7=F6c$kymdOPkV@P5Y51Sc}NJz2NVg4vI$}?KF9a^v(GX2OFCeDre znX*?%XCD7TA3Qb~O1H32_mI9F2I&Zwa+h zI?=7#7tuW03AIE+4TQLyJyYY7DuaeJN_nR7+2l2Pl0a-O`geiTB{!0pO->7JG#3zPhTSETY~0(P5mY#Kq2knKGJ}%1wbG zO~YKU>@yqoj$Y2r`1FPCAV$fy=(j;_z!0x?KD|KgMb`#%))MoNbLxX(x7PUnS>^uL zjwX8w=G71M>ZF*N0kt?<-2jn@J@g3OL~+}Ig@l3TMq?55*&Bx$W1+f*nP%-G=M;$> zWGXuXss+xGb}@y|KMGdFC)Q=58`vfLS4NzPS0R$Y_$M!Ubok!1vh0NL$f4o3<0(KCdbUKI`(m%<{} zAV#2&*b6s`BN6&(I)-+>YP;z+mC6F?5fE#zM|gs9QAFvNF)MS9e$vGBQ^*b{R+-2I zw@dX83BxY+;IZi7F<_F1S>JN_z*Wf)p3BaeqfhmO>Sa?-?H~#5-hNpu9tPTMQuAy$ z1@=G<=ZQ}Q9Bi}2V0zN)l&czzgx+TQ{?JxaY?3k2I>#Ny8xBIGdP1SH zM|DJIRqJ`%E)iA3tWuJ97>>a-PT*0=M-_McCUd?-dnz>?8)N~E-=|ZlGhrnR5koRULr3d7fdBi7Yec$D}>`J=Okm9%g6o-sTsBu z*7>CwAj&)%oymyMLM|;~8qG}cbJH()(R_#aOU_J7kxP9j_ZG^&g2||g7-f*M9;rdE zi~(hRW@8m>+2DDlFk7|oO(1LzdkS?(rBg(h8G*r0Zv4fD))nJ{Hcj>v>BQEv?alSq z_bn*MYIHt$oJ}XSL*Hd6RC04Q*tnIeL}oq9b~HJ{ zRh!yLTs@Qru_1bYN_)zTB_aJSC5GJ~l~AtWEV|GX6;y7}wUQIcxmT@pCk#%E;utLq zpHdzi|3WQa^|7A8NjKAnIH2u{=>jHEk&84NljSr z$fP49z5Yh0z5>RNN5X4aoJ@!O&|ub;Y!ZE0K8xW@79_%$Y&2LjI`9BI3k}f~r5mi9 zkoTW0_^v{5RG(b+%U_Za;)))65WeB=wcf_U_Eegw?V$EZZjW&2^7uCqL1hI!89n2f zbn9Qsk#;h@AI)3&Zx&Lt+9P!5o6sj_r3Xw!r$w~cL;};wRw|8-3H9N_7X{U1{?g+H zMAW6RER7~QPgbx$5QydZyZe50wj>j}SdQ2&4-+fjUuIMrm*UcmFczxbXU%F02b7;d8IhgcH}f4SXvo`PwZz?pdT1l-N;JR7V8=+ zXOqBYcc_N!VNz#X_NYt%@1 z7JTzu3I>OQ)%tTFu>yh~|NR10$h#yAj#%s=Tc|g_Km*;^H1QQW^_!aaMZ+Y<^w^`8JegH?shvtRTK<-UXp4Znz*PHH4LHroUE6{jZWc`LMmc?42%8`4m-rTrZ;oo0tQt1mf>^rWwp0=D zWb?Fl_g0lrn+N|A>>zI?CjamOA(}UnScF*qSN56jukK;IXty~_+Fy$bm`P=kd(BtlkPxZmYGt@Ce-Y*m!R)z6WW(jO0G`p1Pd++!maA zZpZnY=lNWLD7PyhhXaP^fx2${hc1*E>AZYyXV|)h%3j;sHjt|d$l$t9dMx-UV8CnW z)bo0X$!j0=WhJG3sRJT@`?65sjCC6~cH%q+n&tyP9MFO9X44?^;Xmxb#}fA^7)(CL zp-j&YPbL{j%aBOOZSK=$0@RSEOS^aFQ(O77jni?(^O01A=Y7SCKlo8C2HxjIDrO)6 z47#kV@Y$?`@SE{G#Uag|JS``jdS1koKsac8PBWaCiSCR8E1nX@UX*X!FE(d6c|5@x zTK8!u_t@N?5JyF~`?YISMxVoa9XiSwp9{`(pE@1LaYx07?&FIE_|P1r zcF*2qW8Wb74DqP&vav6bZoc(Vrc{7*`S={I)a{JQ$-aylzW9ecQXUS(#DJz(@EKmt z;Ms5GbQnM!Znl85R_>ztSv#+~uFKVO?Iz|X(6mq8@v-i+UcRy?oMZqe*uifgLF($#1MuPqFr?lk#7 z4rK0!YrCnbr5_Hqv|PkD`ef6c?hR%f4W?|os4fc-jXBZV91hl;YpwGx#&J4sulwG% zmp^^~`y{N!kNtF~r^Y`OComO<>a^Wc{^Y90kMHP3x;Wi_dO9d4yyB6qeSA6l7veY# zB0J;7*=+n`MaLH@^2og!xN(0hcv|yw_n4)N@>FLdj7#-<`!c$OmTEi)%^%8cg*w|&<-UTI$l9!N1Pm(*G-76uM_>3UC znTw>g`?gqfY)Xapg*cwuvnQG5OTMMH^jn?QXNb+VYAkJnNoA3&&xJV=DDx zhwIhyr{}`QxWqsZfi1*X%ZFuAE$wc^UhNb_;8m=nnj-I1bznGi@6ziKw_OZUxv7BT zvk846ig-i>9VpFgnkN{>(1w|VtYKC|EC{?J-_3=aYOeER%*D8>ovS=P8uF^8*a7vl zyPgGkiA2DQCz4kJ!S*Z%ayhL$4`9UhR@PpPAFX`7=RdzldEIt23WU44a@g`?ZV&uD zU;Q$cVqke1S$`VlEGO2|_pZWoD8+wOOrO^#^l=e-b+DmtE1hmn$&{#f#5s=dXeiJR zt1BPu9*b?cITzixQVMWsxw3bmF!f^WeR5q}KyF6KX?-gKxHLSg?DUWtc7cA@Y=6ny)d^ekySm4q?7Vb7j|0SFT4(Du4RK%Fatp zizwV4sK-lq<UsY5_kS^To`Gz>T^N6LX=}BmMy-FVR;{9TP}Lf>YP4$8)G85sg=i^Svt}rg+SIDO zN2#P%Vy9xnOza3D#Cm;vK0ME-`##UP&biL-lzXA=!~?b*c(v0Kg(D7LYNy4jzurbR zRb7$50`=8de;vH@;EN%vvz=779PQDWllzzGsBSh#hSr^LqM!@=lX$(OhFOkO$X8Wl zzlQa>X|q@a>|LC=Q7^!J>a5V)oWIj}n^vW874eAQP2X}q(0ChH9juW?{jYCWF)FvZTG)zht|);+mwa8 zX}++sj49{#?-VcoB>v=h&92UM5`3T5*-nvO%8KBdf_=D*XAL9)i=z3-G66(j&pm9l}~{Vg@%>0R?XOOcO0B<2eWPbq5q$=@HVy@&Ld{Y zP|IWCnz!X!FauusU4nRF$G%|Q`<7)fh~CY-0ws4qzxXqNDNvSZGsmi^N)n8haTv}~u`=y6IU%ajpW^hk5P{(

    4kioxS9l&Pf6shN{lH4|o0! zajmqRA8X3`h?;O>-f80Gce6AjPeRl!A$k zG(JTjcU1TizA8?8r}M$4B7d`0nL8>9buXVt4ScJ-I#(T-6DT$1*`kF1Y}C53Bhp&2 zpBs0pv1iD$*~9ZBn|~6+NXRho#K|@Xg!;^qoA;o9vi6DD7$$XxF{E-BfG;C0SDg%< z3Y_&%BE}Y=W2Xm?bf(=mf%~$p)S$ji3#Z0xJ7BP?f;HDrG7wiOYOiE~HZ6`^`j1p3 zaGH&uUhYCY50iHSVp1lg?>+aCXEC&sPw&;S!d_0ugi6)c0s#U!Tp&sA%xtvQP8?aR z*1-cZo9OJ*yTMt7UdkDmBYnt zV9ADRuC{1oXTiWqXC=J{N)~IwU5D2^ZkMsv8Q}sIG#?wWVjYKAbo?G$N`L8hU9hmv7LLp{C zoD7$4uaXEsKV%S#d*P?Yws^PwUc`II>nNXPHy8H)xRYvRC$hC)WhMIOiEW}%Gkaj7 z9>N@ZFCRT&C(>R{g4L?Q+QysM@|)XJRIzW(Kfw;(M?xz4ss38_QqVo0x*BNB-Q;P} z^1J@@_#E|mrPY`db6&2 z^7Mx23JX%Fa8IA`zdbkL+D(!nsU?u@8l3X0tRgUyR_of{{d#N(=@#aA&1BgvskkwLWgh>4mcYdeKEB!{1 zP@bPBM}?yslHXMMKXcz-U4qxG`#YE zedI+pcmZMht~q5wc4Nh$v^H+9KR-s=r$l$J06)Cy)Uq1-8WKa$+PGeEe|>M?rBSjS z(`FgW#p*s%cIb)m)T#Uv5!%Meq`fN8;SI<``1}`iOUm%Ef_0Y5SslSDw{y|75>~*n z8_hjG%Hwf~Xv?)dwsjeON0IF~#(8mC5-!*YDa0DLv#L#r%(?;cOrKQhfd}r@sXyc1 z=Q#gUtU4|s-8h30+0SvTy;r>n6wBbT;zB1r~kv~ZJ-n*NF0I1 z!Ry=obJExBvw-t^M|brJuU*FKgfToxF6o21T5>FPx8ovbiXJn=VY$3_bMlI-gK?5a zWf3ww+@}|`$~xiXu!@aU4k-(adB-@V}I@;G0dt#>(vZTF}X&a2}2=qnc@|K|niXxp2DJ&hkl40T%4vghQw znmIiydrwzH%;~5f<|h>{!$#f^pM2G%tXn*m$|V&RxJZPJtV^PJtS|8kI>#tb26?_t zj(rCbZdgHz>mOj|2g`Y}-W|@5570hRm9;IQY}!OpK(<@L z$X@O`qVL|ZVhCa>Na@0F!f}TH_+9$%g^Y;ZpBePEEt~Dqi=Sr!VproVaYQ>qlBJR% z)qvnSW81{%HVC!-p}TXe((msi^=1;`olb^RJPl!v*>;Oxabnb;oUhyd?(PmU4Y9tJ z1bURcr@CO+-=KIboFGjB&oX&xje`lzPxpzL(s$vyo`IQgiHdmQvjEn&Gp#?EJ(=4p z2G|!|RhL@2A6P2`i~a84WzW*%J`7ca5Q`|sT8pJLyGN%j;qo7qtm9uCB9|?_W^RUl zXs?2>47{>^KBg9kI>{o~`br~)cqBKPk?2jKl_aIm{yKt_qo?bJOY}q5Ix2-O)1$@R zGZE>iu=hGIntYk;L@Nv?AZ-G88wXq`J@nzt&|+S%Q;a+7zIDsDDGu_y?IIshWkpl* z;`QG(a&^BYtztxH_vpQ(_e5GSvzpoC7-_OZ1cYmR@NqRx)i zd#Hd4RfeMFNuVJAfV_H-k082AobdNOd@s3AZ}QF3ZoLk0tJdpQ6vgJiNInJFx0vsa zmc57ajjmBAFw`jBR_%~N{KkYdui~|N_uU5#OE=T*pIWH&R|jtHOnF#F$qRF*cqVOE zOFKF$o1oN^)f-_xWdpvc)$gP1_GUPtI0v->baj}UXP_j8I34;Gp-`AD#zAPntQ1T1 zR43a~Pq$5V^!&97aY?ixq2!mB(_8u z4lbhh#{=)ktdOL(NB+c21vog@Cf!44?!{OQ<>?Uw&$h16?L=s|R#k3F|52RURWe=6Cn99F?> z34*et_v7unbKCh>{#2!%QJtIk#Z+HGLhYLW2l#D!SqP)4ZXJxUI-aoJ)zqSQ{ikQ| z*7+>7$^4h|;mT3~ospl|eCk$di*GX{_3_`T21b-o6Zn6p4C8wceR!F@EzOAwXE-7> zM{W!ER^jea7uU3v)|%7ihI{=Ns;p^-0CUZ$0;HI#4hee&dmnJMFl~Z@uj~8MVDYE#mC?=(WzI6Df=lwUHf1~OH|tN zvde6#7VYr?EZ_Ef4xCEORdY2mIku?0yqr7FO9u@NtEbQ;vi{?ny`sO)?5Cmji57ep z1D#7epws(ii{!hrnKOCmM6mej zcU{Wg4lHrnF|cD>tF6<;S60}3e{*5=_!Sq8seekGP&TJd*TOH^Zj>H>hi^nA>;6Aw z;;+PxMXWB@cFs#A7zh{SHm9?LK2z@Y3DKh@=}XWds`F2Nd5SiqF+!}HuIR7j`s#WK zQjvni^_}ln+s(yAg9C-00VdjAzQx&m?Y6F)f0=H9f>ho&6NUi9PI1rG!o75mD!r1y zs0??yrVl6{k)`abILGF&-`0zBSa)j`Xu36GDm2NYE+Ww;YJy3Q&}O^QI!2|a@O3f5wKSI0#&uU zDp4MgoeCzfjGr|zx`Kugy9%0F7U8WD&Zx}|0ozQszw03trTc=@ufQCuOAVhl>aX6! zYEi<%>$_BsdJYDahUsOYV{JF#A$*Q{-r`ecalfraF{u)Crgg@KHs^1P>wkH=wi_af zI%+N_YxfE+3ocat&&Tm`VAQv!RN0JlwF`*E3l(52(@qSA`@(Qn09vw8U4tW^PWQg; zkkFhPfnr9qPlG&?_UpF{pA8st5xfjvlCpf~UXz*=Ughk*{%I=yAk)WY*h1sL{Nzzk zLB$X8n;q}CX#c^~SFHTW2|+qXBK#~q&z5s&!&+YwqYE4NKNp7-tl!W(;;O)p+n$(1 z;l3BJxA?C$UENK!(r-=cZu39nSYK*L^)6O|@x@3jpGJrollwoQBOZxDLm) zurkyZwT%(zMt*Hh*7q**`$n;*JkgLk?XRP381^Cudq+@@)9*;q!iyLk;a;(upO1Nw z;JN^nuCjgE(`=XNTF5r&3q57vbMDcy64w#e=t75iXrvWIPcnUS?o<(^w)Y?o#?j;) z(#p^_Dr^VqQy*5>W#&YEWu6ikX_n58L)_2=dL5=(*|7A#^3R|z3LyjHNnBf(`Su3u zj)H}4OghD*jQNN5#Az<*Uix+-u9z+a`8s&=d&<&MMpJR4DY~EAVGGxKw{ri~Z?K+r zOX0My8@CR*hU}n}0$96GpQCdFE2XzSVn)Gt)dQZ}B=Iidn41p_vmeYkydz@YLZwOdLcBYa@Net1(ap>9+M7+ED~|s~LJQ zL1+rBEpM=*|CN@kg)bMYdI+?3@0QyJtS=KLTA84b#a-p2%){)6-FJ5a*@Vd|hZyGA z;#fzQytkTAfuy<#Wq7nhcH!9+K(QkGym_Gysp8K&5bs{=16&dY*UUWC;vhTwd#UZJ zE$KQ<1BB3rNWMQdRRrAy6)bP!R~vbmc0Ws**8a1pe<*jClrG*q z4hlrfO~uJ5n>le!)=I-x48KJCMixrhE8Q=Yx~0m+kM#YGk6)|69-Wvv%i6kbZYtDM zD>>paIqlpkDi3C254={XH`m)IfrDI!Tz&*z5#dU)RA)koTULs9K;rwjDvza^FxjZ( ze-m2GM*Ss?OEWhWs88f1G1aVK(q8#P!6I);?yNbB=c2E}eGboD5CSxhLF zPFrkt)mLuhQ2X4UIx#dilwcpR_Oq&UKbesANrb5xmFx*+V}M;F&GBM|_%LFSA>sCs z%Juqfr{PlYG`~b>LgvxBcAk+cZ0GHo&q=*?oWF8a9-tFh(f+hQ0 zIWf-=at*ZB$$?c^Uc5~er3@vZ1$U0YaJdz5ttZ}#SvkmKLu;*5RJfuAJ9RrJ%^saY zg!+3`)v_cLSd&Op!)68pxgM0rCE6U611-+yHKx5#45Si zhO!jI+?VqH#XeO27yL9xvpEZv4ByR;IjHuP|2d~_vAsR90T9Mq*|BQ1;M!Jgu1aPp zvMEF~Sq4K4xF2x$1%893s~NT>&Aw7!!L9DO4%7xl95E0iRIlxEej_=(K^!^HK~9o; z=`GODd)N9y;;Ld-^Zx>=m~f0@ICPxhxK4nYo!G1zi_f;|PatW#%%qAY4(VdM;c^&0 zm9-X5*Xc{}s@pPrDQC^~?SxBEcCRq$@QA!WUX9N#a1(A>X55CTz z;RAr#Fp{|G}$-__NKYI>O}9 z)y)|&uQ?@s;@7<=S=uO7xokCpyGI)-XlV$!?#JwWZ)sYW=IH%>ZFD1d;eY#ar>_n3 zp)7%SA(Lt+?b^GY1TMq4u=s`VdEj*F#8JUufIe$>GQP0h?`Th{aMqOnT>g`DK}ZE| zKGZ3KE1C>=jE7hYaL-rAt3$-MNUCaPt`+f^kqoK(ZJ@Ky_#jXBI5$P3?+S#rkgAAX z>2&V{iym+5(i!_{smJFd&4}vL4Jl1iudeuOJ~toG-t+E46uOIUC?NhwlFt#s%o_zzv^ygGxFmt#Nl}*;)A=qqb%Y zE6Q!y#b_%17v4Ks0G#2kJ$Y`=x=dzSnEcY{dNfd=Dznjfnzzo_Zg&!MG{&rCz*Fmj zxw-V9TZqXc%Lc~LcbWn6VC3`jdxP$mSTN!L!_lAQJA=KBcCH|%H(>!SgYuK<2hDWo z*K2zZJOWA~hQ-Gwv}ZU1FL%~PIVylp_%I|{fyc-+%I!G}Trt5h&%O1?$Dhw<3?E#M zCfkZ=&L$hI9GW{GO60k)AzZLC;K$nms^cLk^y6jp1Fz%{XLGkRVbfpLIR0k@_(um_ z(FifflOK2O;D+#7g~>(9TAh1(w1clD;_YKt;$R_L8S#ap&aMR~&E>D=kdv{L0Y2f? zbqFy|qNq#r9Myf+5#<}U+-C2d)m!&@4bsjd1v1R4%i<2%)loL++*H(oRYkgJ^9XOj zP}li5WaMAjAQ5o^nHZ~oviq|~*oS_S0ceJ*X{1W=7^+bwWEky3=Dm~srb&pJ9LniQ!UWLFCXJ;?Tvx%5V4&7djFA`StP6HBA@u;buG-!>;!zF>-*dm-$P&FTNx%rcShAchqmM!MNcgh+U+h~TY=O3 z=zL!(Oc)*Hi)scJ6{@@arN*QbeKg--`D7Zh3}*hSKwpR%^O=cT%8;ts$8K7Lrm8GY zf&0U!FZIdp`(MM&fU6C60dh^jMPWb0hZFTjqNHPKy8$1XF}?d)VPWf?Q@`;N1@RT2 zi{h}9599?zlZ&IG1H8PnJTut8Lly6J?wh=A-y$Ita>mRBl4z{&H;S2 z-hdRayZ}*{vzIk7*{iG%&)A!SXBFJ6tyNqZ_vbnb3uc`+k?l(Lx$a-J@OH1QkoqwU zg+3h$F959{_3rs62UqIWWF#Ml8F2N}ZG9%)eM#5|1YZT<-G;%D6^m!VYkS)J{hg|L zwMzijt(vMJYhh9;Ff;x`xoxN~pGvIj6K#eKk~Cc`@wwB=CZ`LluJDSXT5_jup4wn% z{bV$L=e@k+<$uWm6QvR$3D?5T@yvj!*aiyZfsfmU&m#5DmXD&IXYJYJPZ}y+?N1st zFA7O5ynO_vvBE(q<+*aqOr@=2B4lN=Nd{Uvv~YbJeg%UbyDT9I!b@aM(hPl5hcl#Lnh+j_$!ZS5{cq}ZWk3e@jv;}Ki(k4Z zla6_b9cPVD*=?m0whBCVte*F;z!&V&7N#x`XYrA4j@Z*8Mrm{G`x;`Au68OO{TXyuZ5l!Vp+z^esgOU$M5k`*I zLv@|qJY_N+dCA63C++V;ze1+6er_10pOJ#ivP$3T9#r9?q9Zy!aPK#IJ9$-v8tR?% zwm_**<-K1Aa~I(mC-A6w(xbl}wv0_Ea)?Rru@HY3-fSLUpV#)KSeD{7oz$BiCjppy zUB2!{6;FU$@KWCf3VjKBW|P*l48MVqm8!t20GXFDPr^VuB$30ZKkYHQ2QdtiFsPK~ z**g|c8ue9quKx#!W$^hUeh3d$c!a39I!XH92B}E=qgy2OUW&cW8O4_L908qPxV6P* zSE9Z)OV3EwRy(`?STHf#@vr7@fb`oKDIMY+zy$S1zXR_N9q_?N(-&$so^l;!UB$|0 zlD%qkHxTw;)a%VoO_`&WD^ykbV%B>Rs!N}6oA+`~BvM%;q_P=?ivohfTs(d6+Q~@{ zk?(I`jqOy=yC8NQN3eJA2i9vckV_(VERJfvj!lidAGZYn@ZAhQ@q>3W#Jc1v zYy6So3Jdb29o4$OeiY2Udq3aL1JoyMw^!RqoIo$J0DBv<3sKXKZUM#7kQpuji~$xs zx$W#2(#AtAXT-=G`y&@+vH9ZLZyzeE&JFs`dXZZVVQ%>1TuG;zO4_D119lM@q>hWp zoP|Iz?Jg{cBM0KAFxa3Uoh$EH5LyX?tm|s5BQi=lep(_Qj;y%BDX+<#!wben$es9h zpL;S>-w@u4wO7(HASca+yY;gV_qV|%#}*y!)eXR)F3WXW&!HQ$UxGR!11Pm8+y+0f zG~HvmOva`e$1|j36s^Z=ByoiKHajL>Q8L}8M@~qg{v2oDBJS*yc9dUDls+E-+zG0F zoNag>q`Yu^w^IAw-r)Q(k7MBXD=gD3oqsR1_hv{xtx3((ou_KjsDIz4yZzm`G8DOc z!u|b3wL8|asbdk}GfA`lHEyV+QBif672QAm!6w&-9p$JK?fL6EVzT!vK$}Z*j}-Zc znv0ioCl3amUI$Hn3^j{Viy_9(q#w#sTg@=16YVnDrU+m?>-ov?AFg!~R>@kZWZjGQ z)l`tvoXaP*f(i-e^RrYOuVt${X(UX+n52r`>S)!al_u^^#9j#iVp>m?Vq!-!*VQSHJ;5H{mH4~}K3=1n9J%6<4#!IWU9WG5r5Uv38lj8l7*NI1>v-(f_ zsu$0RiskZHZ~>G%G*twz@TIl8AF| zZFz^*fA~JVU4IV0Gf4QoUR`8tt)y4&dpI9uORVX=!lKb1_9?CPHZM`@yfx5Wf;+%^eOVf05yj_K7TmNfVc6U(xFvaQKpSkm;<0_6P zZGp3w>bkZ^ywH;~nKtB;Z#@MaF4+m%C$?6qKL1PgB35jlP76%&sS7-7(H&6pOjh@> z0wnl`j&VIa`d+U(kiOGMk;N|r*&v%k2ykWm7*c|fWousMcW=!#MmsSHuPXTuMk;!3 z9UfV*+A|m^D|xRQs8I!ERI2aDHrn?K+ot^LL@}MrUi9k|*m|!p;YN!+hsqC1#~%64YwcOdjS@DAU~_lE+lE_=5Qi%xn43eV%86W;lr?T8?o zV_R^9C-%Ho4z`X$)v5Sozj=c_w?k<(&a#hPYm(i_EpkaCBQ+E$F?D%&pm!G$$Yfn zZ5sKtN;p0=bA$0HrMGLnrxStXImk$mmh2|&bO6M7t zmQxON$p{o$0ALs4zM>GvHTNp)Oe7p};m!o=InXo97Iyp@|l8=XvR;ZQ;#X@eXTj-n>9Z8V>xpn(({?u)Gs6axsm-bLAEw!C?{CGBKh zc^YU$p4k6#SaXQklR%5%Okn0{79U9@-9BC^KY7I`r!WHpc7!+c zjIM>nj_e7h$}U)Ng{-Shw}|_o1u>FDC`pL}cX?(1Md$A2lWQqJayF#Mt$X34 z^zP4Xm61d-15?s<*P=m>^jG|r%Q&Kp001q!-e2QJr>E9^0>tSf1Jx<{Fd#-vs8@zo z^m}VS9x!wr9_No50GC@vU@h^R9dd1wWmDNTp(?uxO&=C44xZoDbH>)O#T&z16#Emq|CRH~BbmreHzidw}_e@Y&B^?$z$DHOc zPw67rB=x?JA?H9T{D?A;ykGesD_|QI8mfg~KMOIAa?Y1&wnwDZ?;rjSZC~}vDUIx8 z(1Pfz9&m;yJfjwjI#ZEt9sf(^_q((!<)Y-!H*K;hdX=r{5pwUH8V}S zvdf9@=(b2nQt-wH6||x(XK_{f)u3K?7Sz%`!l$Fb(>p{Pw?k_@=d@GZAQK$M4!Rzp zWY|Df`z&Z-X@M6PZZbu~M=VnpGGuABJS#sL!@xlG!_t*N)dB`ed;c`W&Gh z?Q@PQ$9%1}Rf4B79kSak98<1LbMneI9J0rv*tkn2qt zq+++%;{ZYED9Myh^f(SU3sXW1!XwRtKK#alrH3xC6N2Z`V$P>@D-|7BaGK+BWcX`` zRmPkFuoLjGv2qsQBt>l=AS7RWGLn_>;Yt*g+eBJ1gEB<;gUaoYDUh6E&`yolXagJz zCJS^dy+v~afg~9_c)TfQvBxz$R$c>Rd09~($6uVbb;`|yc8ic7?{h!R-Qcqy`iODB zlwS~*P=MbR{vHC^=TlVS&!uIa7$$rM%hKB~SifUHXzH1?LX8^8R0Z?&7n(4?*A$DR2hD|S%0`ree`Q?WQB2%3;vV9u zImxzv%>NueEVOkZ&gTbj=EpH_{~oD4YUu^?jVMWJPC0voANyD*-jpK3Ah&$#3UmBa z`w2kTKu+`3%ws5Dm6OaLC7>NrpN}KR!SKryN9nWy24d2wtCb6>E#X&a)l=Y-HQ7C9 zm+QDI=zoXXtnbH^{BfX#Wy{(ZVd%y%7743os<*(R5#vevb~XvM{}8+It%zS{+`iQ_ zrylDjYkLmIj)$SnpyJx1@gq`0mM~sEvwJ-Pw z?s1K0Tc7RMW*-FVW}%xR0V8jFsoaGyhts)ailLiiKgW{5dQJ%6R_CF=b<~l4j%dlR z!}cgbqeM{KPuf+SH}j0)$ecIEFa+%z0a>rJJCTRURQR8T$}K&HGN>Kc1Uhk}*4y!% z=*;@tP+TG-eEMag8w%%Y>pGC3u+xMD@!_4FnK-$BAy5(>3I$C)SbIrXrk!Rm8xJ0V z_V%QK9QtjX&UKgS7*TU?A$Fw0U~6;Co~QeI>l4K|j+&WUK?}glv|684Wg?`&a4C;* z|&^tWu4pW*YF#N_4=`?nl@-utHb6TkDC&iJO;mGEw1E9K1xDxjoHz(&LOveFFfC@Y|>Ox zQU=f3=aMV-P;>2k*+76tvV20KUtC&~mTNK;xcgY18CNZB>wLEQOi4uz8K~mO8Aj#> zq4F5R@Sof67*7#TCVaMim&S(tuC>QUEiBZW)yU zS~;oMYWL>tfci~=M%1&y5_~qPMr}qw#ZcxewO1LzC>m(DU#@!ZkL$kNbony$PpBvR zWs_*xFg004pv<#iSrs*>W8~&Fzi8TaVB-|R>59J-hEu(@!<+F;#qcL4Nw>#drXuyzaE{Cp7%+UTWy{a%dycacpC(>YTKM7(#9!?)7Jc39C2s@=PDXj^?XnCZoI}5ECk3 z=s6gdP@zD&8@>0k;VX4Hv|-zRdJhS2$2Sxo=f@d@B#}7X@&isn_2UJWHss$<<3^n- z%MD~r)D7%!7a@Y~oKoLe)hGo7W0uU)6$&ad~v%EuylYTqn6PK-x!)p9o8nlQ2CEgjM zDIqLS%|YC0?`662N10=`4pI@KCGQt2!2qjnNjOdCeB$R6V6tF6Jf6~zJ@V$Q^BIXnbV%aFm2CZ5EjT=z{|(qRY> z*Vbp))KRsxGMGnr+M7wCR_)?y?n2$OQ#Ggz6~FnGdNNdx)!RZDGJvl$=bM}RLWP@k zAL+g>{F!W~Ec*Dgq%yD7^|`YD7?Z)+KX4f>_x0>hKKB(iHZMna{_E*ti-$_bN6j0n z#JIgRMZ=q~?xFm~K~K$W^AZGx|6A`G6qiicF8|MT%ID1I401kWD5qG9pR9n8f)M8? z#IT(6?1$&@tZt48y4b0!?fuj|4jS)PJK-_TUn=-*d7{g`?#Q#Rt9<!XT`RYgdd=g24JxLYgqx;d*EVg<7|T{{c5Yd#>-`4cD+JFSn@3Kp+UP(KP`))-6L z?k6XZ=|+ja`bp*_jWqeZ$j+joMbTH(H@x?G)bq z^RfLW`$0rq$aVVDxw{{@7c&iMHVc)Lr>cf(Um=!F{NV_zZhvy^t3dRvwfhD;@+E#@ z&QVqC^Weu13_~V_(8U#zc>WJ52V_P^O9o8vJXn13A-*sDrsh+pwqI{d-A86y@X6PQAzUvM=w zs#d?{A%**|&j{f6^D0(xsxejoZG)qm#4=cwM6fm%{f~dvG+~|dkA-jcUonB(C&j@O zuGy?uIQLq;WD!LttSWXfd)K;J`(8I|;p>8pRSWnk?N(62VgZM0w&dJ~?V#|ep5Z}% zPk@=N_Qo*AM_dSz_v@g)*k_z!t~aEv>YymN$w=jHl&x|BM~Cf=C|i)^8|Hx%ZR~Ls zdqeNGEd-RBZs%&J6kIiIm_{A(qUNpj?lf_>BP36K>wH_z@=q84<#*(pFLthG4pLfK#>qzI$E#YUaD_u#s!0Gq?J$(~hq6_n5sI^|jkLQ&aLH3T&Au z#rZ>hRd3fL^7bC?##$=yQ1-qU(`xHX*Ggb;8%D!|`VtAg$Z8nK2qcFqzAL)-a##AL zRJU5UpI9ZfRR53MgCcEAo?!j3!c**Lia5UwNxL&~QrIuKfceyxqu@s3^7KO{?2U2! zN8f0Cs}bh+2Zd88VZ@Dv;wPw}TAjDz&{Z>rXAmK^<%QTl0RSZo*E z*W{AD&idVU-0-xVEmHa?DSz+j{>_|?lEEUxkcB>WO!dVOtD*w`~c=oq>nT%ujOlzHb^D-fN$f)al(49%k)1jO0Ajk_w~Y!Jlfm3wAf__ zt9FXL)DdUA_KM7$Zw}AI?As35sl$t1$o^C;Hh5~)xK&=c%2-LzW1Ix{4-O0-xiLX} zAn9wa8JUl;`Qgm=g7%9Yr zTh_XSLi6yFFZxY8xhFPKIo2|*)=lGS*iy$0)6H?xO)F4A@Iw5VrnL_V143 z9~mC3vSQUwEnTwiyN_2H9q~InJx)i0$AeP5%_c`kzCQwgbneABi170F8dZ_B ziub;PmTY-&*@rm~11k~zkvum9tX&vPl`fpq8m`>OFD!qniq_e!eg8(Q_d=u|7?Gp> zWeTx%lLiCu$$4Kq&YTGw_o65N1saB`Uz~k*cWQ>DiZP&g!132oI0-C36S^}Wem?#`1$$14smQ_N7$T@!GB+dae+mB%-Xg&uK~@aj3<7`?Wy`ihqO zSbODqnuC9VO?fmF5zfAQz38hqMYhX~_(MY5kF9-#IsbrbLeGqOf!p;GNaM5S-wzI~ z=6y|9ty#aHY)wP2rUXh&Jew7>=NUKLXJRS!El{9yHmFbBOA`okDNC)}(ZAXM@wP-A zYsqH*@kRfM>6ZGqf@J>}*$^f&i^IWAG= zmWsbCf64sryVr!h*1tmkOwyg@2-Q!FM9HbQoyY-cvqNeawVn=Sm3(XDe)Xl+7?e5& z7*Gp-N|V^M*}SPEm0V_Llcxo@6KJc4`d`)~$7=r7WBUi5irqP&L zS$<%y=YU+-SkqYD?ylaNjyK($1wbWK{?4KIRMX!x5A39e$PYq(StRsGn8X4$cfXeGCH ziC|-WnelD0JJMk!k2TfDEKz92X}G2B`9%bL`wGWw@(X^K;&=u0Rzry_ucN}QS7PD- z(z`I`-VqBD5!GI=zAtCO{rJ0Ce*dNCcM=z0mS#VUd)!;SdFjCW<5+6%bFa+svH0?}STl_E9rIy8|hwjm>QrC}j z3$8+#0UsA{fb~3vZKmNvP^ujt@xEStHY35@(a@T6!u!xWwf>?j?IrhJto9q404Bu!-YA1j4f1Ysp+xuKU zqlL*88Ij<~WDRr*y^mvAaoNMDV!|X7VT`$C%;f&j^M1DB42`dG$+F3oGBIkPA2tPG zdRoo@sHIOOAHD`(+YvUo(@oe(=F0GrX6|DV<%~>S=JU)g7Lh5etP3Awkfi_5Yhsmm z9;o!p)GPJ!%FKVuT_OO%fz2POm!H5H*PFh9pM7PEV~}brV{QlR`c4emGe@1ga8v2R zK4C{^{wXh8T+qk8T>SSkCOSxdmCI@<`mWB=EdeeU)BZoH-OX`@8qb8%pwbgMfFH#L z-?^l^j9(gm4}2Np|Fr1F&?F16rd3k-&W2y%1M))#>4)#5SZd@MvK6kFJRYbB7jNXL z@nk9qVDB%wiz@sC?|myf{7mS2Y#UqMR`@vYl_Sw6TOAK$`Cik|ACE33uU&-rn|kSW zw=TQa#6O&$iXOQ92o}2XpL#`q%d1iPzN||k5?!5L*z3#RKZ5NRWSDlEp2kZKn%r~} zDSbjQSv9wBV_6nTP-ohG{?zQ!-%vq}%!lPm3fEHiHGVpOB-MW7x9w<=eI=h?P27c$&Dw{ zhyU01)8opTikYYHf<8TYD%NeRl(2YBLx8!_z;yT?I*UW6c4s%d+Wy$K3ltU8+GX?i zQ|`&YQv>e6`@B0&Z&renb}jB4*l!n{E@{mEqFQr2gnySd8cAd@qAyXoYd;a4R24R=mGlbRbVn06Cg+C}%)LW*0+ScAmHj{{Ale^X;h8lkN*IPIF zwfy%wA=7V8;g0K{B8BF+O=Yw!D@JA5C025`+I}V)HDBAjtkTT#;bGd4zTT+VWuvTu zz+sq+K;h;^R7y1$5tM#t&OG(yO4{4}$$Z{d!DdEQHp)EYyX)`&I6PSyA4o{`O#^X` z@}OHeo+iA>FBE63GfTRd&OoO9y3Ag}15y@Q`8kou@SPp>Zm>SwA5`xlbDdqk{=59; zn@my)T`h%{%u3y6iuEl{y=H81lQMH|-#FfKXU(#|W^y#M8!@`({-UhWN7!PL}*U7;VT&*Snbl<@Ie8x|8$H|~khJDR*~dE1pD zlrP3spqZkpa=&-^Wluzq=Ov!L<~=s1A8k4*{wDgB;)U^9Z`_#w*UxVfU0ZBl%zhNc=exsZ!#}vvrSFI$eL`f-oXB+)<}kGTP^L-=N%cMAmEy@(oaiS|e|YBetXMs_fE^zE7fA1v-US0^^pmeS;CXJW_VNv=1O3I^}2d_pE!l}S|%qmY|==+tv z*DSOkeKE2f3#`{XpT|CxmjPI1S?L?is=6}Vr+f%LFD=H{r)**LPv)RrPL+j zIpxXBDDNivVKd72kX4-K!1`9o0JN{r3NeFO6S^`5c0vN?$Yki%lDwS)=%{UKtJYES zBez+sn@(`;K=lCH5uwfIGE3>X&?5GOcqrMZqzmD*l-{wG1t6``(8hKdwaTbu3N?tW zYzL%aE09}M!c#ejk~G9gsChA*3b0{y_4UeEbS;dw-eToZ1JbdF@&NJVD5^@sY)hYk zr~HsU*lE6^%D!Nq!3Me$dkq=b1IWWJo?Ka_p;QhyCIwPTT5EQ|k7z4}m#?r=fROYA z6~G^o1IW`#-lZ9O_@rk#6L=hj^!0&n(%_xJoQ$$2g}%zd*irx?=I5Z6qsnHW6_ICx z#gk=nMe2by>w#732GS&@>{%D;rWB7Qm6euLBNOpL;Ky?V&y&P3)vEcx29{8}@RnW~ zDY??%k1`ZKQ-H5D&}j-ReB^fYvrt``W}&BBQd74kbpl%L_Gd`HDRMD-48m0x>Uf-8 zx`MR;UdfBNUPvqZpnF9pwRC8sSW0io%U66A?m%Mgz5oW9m+C5I1|?C#$XyacrRJ2T zu>ndKVuL{~nI|aARF@Nkq@0r>Hwbyecb4k%rb!GWl>~6r{M)Pn^Cc;?0lX!s<2CFI zz<{8reyE)TDl9U(tATnswC$;krFN9G?ux2^i3?m^gg&4ocnjs;w6v>p%Do-`#&G-$xkrrP0d^Xb|(Lp7Pb&IIpBuo}tG(1+#}E32E6@z6T7O%vR%fgE#3`obWmFIekOSLF)GXY6kkWJKPd^RH1z_B5ORHzg)+hGYUTgMCH)WR3prJKQL9u+ zP>Jhsk3HNGkZafifmY6rTtCVA4$m>1YGwAm`k=0>^vkI}=i@|5}|rEiXC_YC`Y095xqBPFWCxue9PGj{bV5~!W|0QGR|>G zcX$dXN=Z=~L!VGDijrD1w0J|AMaNIudNq`rVzdW`g?%L%u4_XJ*CbZ0oFm-Z346u$ zDm@*8cAt9+=i;fI#l1$METlY&zHxEoVXcT#Nn$7okR{csZ9vt{1yqq$*fP}&OMVMi z)VRh*|25hm-61cKe_p^5HbZ%L`U}@EAx${}7U^1~+plT|YnxN^y1f$?^VHnQ{<3O! z&|$U;*$mH5(p@mg)%jB(b-L0}4uq%7a19ggZiRdzxKEsC8-!~B?g1VjQkMu1s2o`e zPv{PUKdc{81|VEeZ*dRv)KYMQxXjbaJWX8K=0J~Ab={YQK=wNXE%vKE1^^!EX&6xc zhCX#_(ovEXPa=dX0eJ=jWh;8!lWN^1{Q@avTRLjhFGFcKYve*XpZUQ)v9!4a_T}kC zd73Dv?5!MFS;C?mR90kY1BLr^ulDMeOTDT1RI325koF8l&j5!fj-!{<3#bj!z=NL3 z39S)aLz;nP#S&Ov?tZ$U+4};{3jK60!!?Zovtg+-i6o0;4Y`BC^__l zxdA-g=aq4VgnPDhwBZ^G*Hz&PUzSKR+^a@kLCE8$%6AN#Bz)?c4|@+!c6Q4YJ2E#R zoLFm6owo{ofYNg6rK`eqU`R!1bx@rY+8tIT=hPD2DL77>b$eMuA3?aYh~-TZTdcn@ zH>8^+!xP`a=E5CdKhO?x4T?5^r~3BDi0LkENYAn-A!B^CQuJA}Yt}-akflzDg`Ob9 zap<2mgIT5ACU+>J{s5EYcb~Gylf0p(NBWSZj4n)>L4O7dcY=@yLYvJXF-pr;B*hHI zYy_#H_aPI6QFDh>E^&|y>PWm~13Jc&&hmU`xL+Hk`_S6SkTJ?qDI(SA&KKg4dI2f_ z(R5ScqC3x5E9+!e+ugO%GR3hX6@(fd<4%Alw65ulp$ws(MM_sV#|CN9RTvC)La6c4 zpGGALo~)3&_@NDqHmk%gg#pKk^zH=njI=28(W56}5q~kJ!Ml&?BBTxS+h8h@zhf~` zru$E1#uMyS|Bd%fzMw0%`_;Gi|Kl%iO!0x9CqA*o&SQVX-orYYrscGf*3{0|F4VSa zJGEKuO6{H6A8QY3k7z&8eyIIe`-%2b?FsG0*!I|L?EcvIVt*fdLjSD(1^rw4192_> zhWPf1Unx`uDCwz+esplO zGFlt0k2Xh_kFFVg-ROm*7mw~3ogKY=^qSF6jDB+T&qx2ZmR)jW$*1aD>etmjTK`1- zv-Lac|Gj>H{d@I?>%VLm4ZG25tY7}#+=7+{J$*!i{)Qj{^jL&F28&E-z@*` zirzw)Pl z{_IuH{>ii7dGf7q?t$)1!nfe{| zuh;M6_4hsgTIcCbr}Oj9 z`x)!Jy>qy8pmRxQb!W1(BKA=1+nsFeORG(6%XGWg- z7sj6Y!ZTZ+y7^~+{8Z*C_bKOR#iuyu|I9u4`;#x7{N2glocz_vU!45e(@&m!h_RC= zo__q~r%vAf^mQkfJn?r=Jovt7F!mQ?e>V1+u}_bEYV0G8iy!?qV~_2AY-huH^b?FddMm%* z^~eVv{p2G*efWikfAR1$4}bIFyC43_!*`DU*;mJ}e*WqQ2cI2$;4P0HaQ1&=|L6Aa z*uQz-clSN8?>qbM-S@ZqzOnD?j3uTMQ;A)PorxWZ?K+Q3L8hJahcUnQUG4il)4#5L zTf2|HzoX(ONZvPkl>c(iRzCBGL36r4ZGRAYC%@nQA8PCTNc=*^^dIU!(toV~9XqK1 zME`qsh#l5{sz0tjp+Bjg)SuFyW|y+d^q=X^=s(wgq5qOyuK$Dntp1$-kNQ99|E&K{ z{dxUY`v1~j(0{G}i~bw^xBCCq|5g7#`tS4?^_TSD>o4p7rZ4E7IODt>i|g??yCR;5 z8*wvk#qGEgcjF#A!j8t1@l-s`xjz%nvMbqD>@D#eyP91S?~CW-g?N!&%ibFAj}OEL z+1uhJb{)GuKE&Q0AC8a2%kc)gm3>Gr>lJ;Jy{y;Rzp(|@iJze{O^ctYFVSN0W%1>j z!R7M`ZCD%8muY2fTwAG+>npYU^hfthY4>a2(H`Iw@jdPP+JjtHJ*+*Vzg2sbORUGZ z%=(f3p#G5l1N|}m`})KBBYXDl*}rGso&$T{wCBxxX7D~Y7T{ph-hIhRE`s?2I)@!f1`Yl&odGyE?mtS`2;X?;! zXWsm#1N-;wot}E*p5424Zr}EXOSWFT<)Y0OUa;wmvhUbSwRzXsP}^{+vur7wcU&HH zmo3%W#)h^DiS0KuEA7_ysp^&;Qyb4ORjbpbTD9G3$Lkx#J$rcW5N1sCCU`Agmp8Fx zXKl;&y;GHqb2E_1Q+9R5Wd7Mfo(i>N8+J{#H#K>T#4mt&5WO&xy&1D>l{VWpH+OiR z>2;poD$Q#UNNo7L&V zH5J-B)vnB3Hhm#4&0_U7{O>%@R@L4q!!zy5p-QD~)N2Q~P0dx?+Dxsa;yb1|#7=!tDAHK3yw zx6%$BkIS4EmX7l@OQs!zH2C9q;J9x&iI&x}TV5;~1j!=G|y}8 zfaK%av3a{yk|mDu5-kbgt-Hgncki9L(__3EJb6d!#ow}}8|Pz}G;1L}ZlB_4-#D*b z(wqUB)ax57oEqD$ol~OZOo>zE`OB6Hil|K0E-lrj=llBRuHDF6sLjtBjhW`0Bn&|g zHUAt=OnQCuq1vVyQGydU|KH4$4(+MTv=7cSd9dPdn%gAiZdR0Lh549XpV#7b?L2lK zAG_hTo!X`A+iq=rkh6}hlR1XSF>CAFT0vrNV{K!l|H#~-+Cfeyt!-16moA&098VYlM;fWh-vz5afrF^&?S37GwxOcCpvup3voOif( zxW*CMYR%2^VV5e0rb~0vhXBF6J_n60Tbc-Eh!P<&!I||#m+{B(3OhJcJ17$b4@J{1 zk0xBkOVPC2MWQ=+Yw(`CsJ8JiFCp&P!)=`tSG96@TG9vG2Hd@9A&nHO@HvIX=ls`V zOj9u);s0~(%e$jj1kp|6p5a(qE@`D5ZwOkOs&A*CwSo4p;;k93_*K5;Ub<;nL5a+mp8a+W)3NiSBp1-p4wM8yPD*JPus=2j@89* z+Q+t4W~M7MGdz{EO0`sJC-}Q^*{mQgAsn~K@oeJ~n!je}cJjJxns-`in_Ny`HhXEU z%4I4~o1T`~7cj;-bZqBTo0aC~YIALkgIM3h3-jh1ZKJVSy!ijM&D!jxd=f=}mDx+7 z?j{Z{U`Mo8+E}Yj^CGc2;F2SbOQVD0acGWHWBUN-wnRNMmz}Guotyd^JHW+aym4sH z3>V(qcHdNish;JOERe8S#HM*evY=fT1$lk=Z?xaioIhaJ!xZ?xrYQ?s&?<*)$5eY8 z7BS(U2j1Fj$BJk3Ofh(E2iE~{m?(j~M13;Y^VyoXOUFCk+{U%$OikR=)1rF|IubRY={ec3K$jshd!a)0B>!FU zzZr%=lO6as0cMdWhm`0>yu#i+oo_OUxvKgTG$TfNi{eVfcbAshS4=k#%UXt#;1w=W zx#T{y9c+SqoEvIYlglwae9l#s_Rc2P88Eh6Bvvny(m_zAwyDN8aT-zqR$y&byHFDk z##yU&VLQg7L8x{|jA>TwZ1J*dXV1qplS_LcjQpg>1^wKinZr_@axAm6OXms$#(;^o zVQ$|cMANRRL@7QEB-3c$&{R|`pYLb}`8NojG!c`Q$eRl?6VTiZk~SLZ`HrU5v(}vT z>N>O3Y_@I46Vj+*oxUqwPS8bix-N>zmM@ZWf~PhP&CLnXHh&-`IMi!oczTwDww43A zRzb;e^KK5xHqo^ODG=rCW(siRwAEdn;q$WJlBDhOOrJyZt&%Lo|L^H^*gKk7S|WkN z?bIcmt87(UQs)iLX&%}n?ipTWleiTpxr$4@h}2ij%Q>~XGiyO(LebZP7Da4c^SGrN zFC}=7jf&6Fbq?UO!9nHmxpU?;<*Aj&%5PlRD(@NZly{Fe%ez)|$~%`;$~%^~ z%G;NB%G;K9%5PZOEMM}PW_jytI^~Pc?3A~h;g>Huqgmd3MyGt?SgU-&Sf{+H(J61N zcgp84Iac1l@Ab98^6P8I$}N7c8*P=(8|{=|$KU5xJLT6_I^}c9o$}g|PWkNNLV3+_ zv%Gq^Q(iUPDxWpfDKB4kth}swth}^YDc1)&|aQ5DGUhCww+}7;w)`gjlzdJkaPbar} zyAxaEyS?f7boy9ox4YHZZEiJoYd+hZns%m*X*O+dwRY=UW4o>C*mNbfjW1i;v8Q7R zz12!+_h`4XUCk}W&CZT3ZEM@Uwsvc~zEeE8;oCNDZL{5b_f5@f+HKP}f8--R|4 z?K`LL&>0VMTPU_+`_z0~zis+D)@03Qlc}qz!uZs#yIyPJB@)F;<8OJZKd7f+;FMq( zYc~7Y-JRdDzvjy%abFMq^x*D1#iiFgI9x|Kjb*recTK+K0djud$J#XhU}6w!d% zJr#S`;+wJZytWPXeE56-){@`;Aohbic*^=Y*b7KQEAkrxl5iTn2w_n_#^l*&0o6*r z>fFli;_)GN=2w%c+<=|4xy8|PQ~m5>TQ8&xUT59CCr)T99&DcQPh{4v9bdU-w6W@} z)sqv2e4jCvudO<3EQp)NI>WLIe#b05o-oX~9@ikw?+uPq8Y(3%+cA@+A<=)9eUx3v z9^^2V*rt{{;Ac5F;?Z}!^TJ)}42OXSS_Kgr$mIK(=_GwO$u3S7+?38%Ox`=8t!VP+ z4=3)O7+)!-WX);?nQ0o0RclCa_3C9YLpS5G*qNGsNy314DQ4Uok6U^y!DE_!P7s=i z$AynE#!Z8p`0OszuD^%*o#$GWBHQY>k|_>DE88cY5cUgfYtr}F*17=L$?$+LGW_G6 z-?h?twl!^uho8x^i&MPFoxDVn=iQYrvWv$^;E_A~*jE1j$(=cPKX;eMtB6*i#FFEk zr(0DBSxKK=Y*-$D7L6tKMmjZSW!+(x9U5kQ4)5fEiuYYP4y6cuHO))16~khF-^3vu zUfyUGcteerm*YJ^xDMe)Dp}9?OZ;^G+;g>5@`2@gBh(IeVk_t<`Le(hv)fT5*U!eC~hi$En`+4pBtMYwIb-Ous zq)|WGY#eDOE5k>IN=GY0M=GqK+xcX!??}>q{Z&a;rxS5Q*K@WjxWeLG@pg`_ zGug40KWK5<9}tg>?*amzZg~PjUV%%G!pMjqMvfVHbGkDGhQ>HfjpoQ}&Zr+*QagIa zk|Ss61A|8gibu5pTfdGC=;_yArJDmTTeq&6S&LZUWaB>sR5Rf}AK~}fXk*P;0vTox z65)uLoOFtw<0kl@7E4$~I}tBj)F%ieXPLQ#zT3~(M#3->db}^YS`x@kF2Zy!ymdCn zHnV?V*MbZkW+N>x?IhShUs5k-tsyRmCLf&OqUgaV_%*(AHB5rRK?*~SAaqqakx0kV zdSU|x?!>_Mg6$Mci#!Fk^Xzr(pV+%O)|nvmVt#B*e(&wKXZGwl=oR!zS?ur5bbiDB zl-&nq_|VPTnquu{o?9K!-+S9_{_Z`{&bjQLRXZFfW2=hhs?UGyW4xU`UQw@9L_2Tp z{F;4QwX?Gg8%8LzleFQ0HZ~kFDYQ#s7+-Sz3&%hzD0_D^Bo{kF6Qe z`54E}8PSRZu5QN+b2O_b(q5mL5nNW|I&mLc&BSN1wzZPB?{Wq*;Tab)P6W8HdhV+t zkXot>TFLutt1cvro?U9C$5*(T+c$2o70>_h!1Ee^Hk*nTRtq{XMjH*F3E&LD7`u&l zy3g0_RKiGU{E~X{oC9OIv4qPBC2l(!GdWS8-rSek_och4ySl5os`q~NUia&_izgwWhcK2vfOZIpv4jK$0|R22@R%{iVGm)L zu!%4XMA#u1mKcv25B9(qVUQd#5o5!zu`M$(#(=@UCf=Nzwe<2N*Xzn!yYilM&-u>x zoqM|;93K*6N?=S+O5aGw^u{mgra?6Vqk1lpt`RW|1F8fOSSKMd*c?2Mbnw@Q5JJ?D zMQ)oChTKMy)Ppnk2Gdb}1H$$7oetbS-vHUwz=A z^av5~FMJ!A`waswtPjAhRsqa$P(WA3~%tJSmyo)bOuA2TzIHFTq)n zn1biQrsvb(__LK&>4>@=yF}WuJQ_trgCO}UrCL&p3yAYvR#D>S-fBTLt;KT5P}=Y|MLeHY7%H9;xQeHrjHb6DOP+Jm+w{zmcz0f$QHOWyx_Ijt4pbh) z2g+(!(2Xy{*_jNHA$$h+4XM|8{x88%9q`mQUddC_%SdYi+BTc5<|vA^Yb|8+l9J;# zYSa;rRnzG0Em)SCSv@DbR=W9f=>i~*E7it6B%k82bUbJ*2niB%)aiU1qVOBv7m&H0 zrfmcn%;n+Q`e>j~;9{1iXd%NIW12y!0$JwAG6MV-xlsljIuB@)e&^7aP2_0I(}5L! z|F6NIfe7bOio8uggHc)_1TGvPjxk3JaKOPTLmcMY*Y$u5LqdH5Bj?f^q@PI7h`(xo zPEuq=>h;_Kr0f7NwMzKcg6Qjx`LGiSyoBJFekT2e`1|y+q1(2F2@(5y;8!+#1CeNH zu7~1x!^sD<2E(<-a^|)j-g}1=eUq8=jelY3`*!#^d?fvS4?fkq`I7V_K%$J~{U=3= zV;>;4*Yn^LXX6N?NzH3DTVW6r!H%lL`>Gv2w&Sa^V(>0<(U_TEw!<|q)UnC@+`ce3#Vz1 z<;t#q8yNCmz$R+C79KNAR&?t<_Z1z|%-`5;D9NQ&OzqH(4FfL*Ks6wX1?#%`_fV6* z3%;&Pl`)qTg}RjrwHzlyGt617w9(kpvW!KU4EDkhBiA*sacXpZH=Ue#Fq`hlOs|K7A(>teoEyXg{uO@zkWr;AeMNc*eZ>cQA(kA)SR7hw~?{wqKU^`+e@5vnZ9hJfA9e za-7Z?8LhSA!EiQRVLG0GG+V<+M2SX@B1cSYD}CnZ@wyoBij9!Humq$0Q#*Y1qVCd2 zE+<|W;i{@3C?`H^$vwG?!s7QjtwS)=rIt(-p zH3A5vO=9vXgc2R8G`F2|NO3WY*8sgXjcnUF=Vh4oCfEO;+QNdOAj|1C+AE$CUM>kX zR|VhxZ|McNSAzI&jGYR~Am7(Yv`i%_(xRDf-HCJ4EC#={(veI&3goGl=0|Pf@(^DC zz{R^^K!@NjWM72LI4}Ho{$uCPX|2H2+Yo76rlomT8I7-4x_1y#?c6!2?G+f$_tWtV zfLCoxXQZ>^BHHcl_WNN?m-L;TaJXr1R;!_#tR)*8y|8!72;G+%?<^K(L2+vM>D}Xo zh~F7ZgY|v;zlV^szu_R{uA{8EsXJiR)>*?bkiCx_v~OmI2P)vLms z+BPErOntyi&8!$)t8$esnH(PVy(#z^MX;Rrb9$2aC&3I?e0 z`On(n8Wq;s4*H(9w6J=J#=UAzX%w8~ zd(0;)H@%$Ws@Gd9nu0BFsRv2c?_H9wmy+GoH$RqsE`0~i-U)EzcT|X2(NluVY3~{m$$y-SXebF zi~hih97^f!c}(FA(fL>f0n1YLYNS4SLAoJz#{QMpyk=ve+1yy!87E6S7~32699XC6 zG_5^rEvUK^FHH(#I^1C`O`-WCF?4umI(r%!f;F)=sRxjClLrBW^ZEd=4A@Cc8~!dT zwhvsgk%ydW9iYSJl24G0nz|8JTrjbZGH`sT+3erRonUm)4zC7!>^atwRRoV9g{Vxc zh0hplc-~TEqqw;rntF46SWsHyHA+^wZc>{#SkGeWGEB=DDT=N8NUPW(C5hoXvg4W+ zn`5dV2Rvj${rfRgWzcEQHCFVMS$c|UGR>g8?@_!9*7lM2q^5&6HX z4j9utw(q{qMNYHmVLP~2S2cmgmbCUcO9$Xe@K99)9)KD-Er5Axu)11EYIWvaTuZeV(dDGv^v=)Op|#kXnkn?Hk$ z`8KdL26?N9 zW;kX(m{z@?gB^j?mG~EV-S5=FfJst_LC^Rv402B%#<4cBV_9wiqiF3Zt@uW&3XuYW z)2w_xVMvz-w8WzSGi_CKEqyvQTApIPp^IJb7?jHx#+9hi!&drSR= z;cnB!tlIAGG_925ijlntF?Js6;qqQkDh>CBvv5k2b^@w^q5@XQFs&D$cxJh>E+F+Z z63>&CK;g2xnvfaeU7(4{IQmSLKoEjo`Zx^nZj#8PTNWq%bS zh(aET+FAgd*ah{vq&7%tolLwh1X8>{(4si1Rl(W95Qvx<$cHc@ijF72k@(z`1sH%` zKVXW2#R84r&XNUcY|FCX|MDseZrAD|Y7ziyMSm|uP7+}j$28I@)j94~MMWs~cVw*N zOx3qY%g4-Bw})R;7zg=6S?^KDCmH9h%>cWtL4_-x56}k|nK%Cibl8h&-*+A6EEm)( zl@)1a%3=Bwfj>`#G=SuZ$kGJ4n$sEWnDi11>zRlK8x>b6cD!u87UE#BA;V-CiW26m z!ab1k?_|DJ%7hBgQk8ZhRDaP@n=62Qrqf&K)?x#j^--7EnoJ>MGVkyfFlB+9FPa=F z6xg@eXN{#6X#Kwj^cLS?)Du}9EIJvo$TEwQX_@lT(ZtKGso+|lWyz$_pG+FO9rSU_ zwyCY63~jekhU(v)0Wqe>34y#J8GpYk=a<|5qEW+D#+av>_ZI_8*K)DJylmDao5Rov zLY=#Xa)l^bP}@nh_YbB23%N_B91z7#sWfK2Qaz(e8%8GMZn*PYfeJHI1ydfIDjR{X z!)fK4FtgO0))5fRQswQAjfvQx&iZ`{;wGtPJfE365I(?Gs+<78Iv@*Wp5xIs4V&pE z_Y;GW-wAX^70iAceWX>}Wo@j7StHbajp^uDn?;lKEpN;6uG(aE71OPJAjiu&&~DD}m)wV2@T=90GN?F{ zk_Wv+I%ZQrT9s;J+wy(KSWxDX`$@~Ai^Cy3tZnYsTCH8Wd(>7L%vyLUmx+%La?+1D zCS8U{^K}xgAr2>yFo6LSyV$MK(2QLjJ=j!L0a#HJW^IG{JG@8~;59mL$57YHc^u|B z0wPS4g8xXx#qos_BR#4=Y-CSlw2e?b1WvWb7nz0l^ zU8YR4Ai4t}bcLKryuft5$ntXcTQ#lb+z-Vpytu>_)5?hNycI0&XVUZO_d@1C6Riop zo-dbaW-y>(lF)@9prX5Wiou?W@mZA58kkcOKf%*uO{6a30nQZFfDqj<2->*ouDkyA zuQC7MGBZZVezjp!WZ#u3hp!JKTtDFXE-f5W}XLM7>NWYv=O8q4sd<16o zF3U-ZPu4uScv!d8xhgx{B=KsW6wxF?X?IbvAE>UNo0EN;M4bm5x#p5nCA|AN$# zmLQI=2>rU(saTapW9`D)QRIqPg>k0DNpS2`9!)ECimn&D z`U6u{5QZwormv_4`2FVUCuMED@=j`M*d&(s9`#?@A!(WqMGyfO8CSAF z%7tG_@z{~hiMj34Ah(z7ojXS-sXGhNqr^Rp!;=tQpRa$2dU6b;jxbrkRF$NT6AuT- ziE&)PA&_22zaL7915>zaw`1(L41u=?0LV~z4)}uWsJudz!1DS@*?S-xTyo(TD6lBKk zbRIxfEa$RHNEtPCgcM}_E~b|EIhR^SD>KOG)Y5?15!=0eYwP2y!Sx`*jq@(ovH{T^ zihQufO!4JKsG^{%q_cw8befeyJZVR)r0s~6)9na%)`fY+p2Vm%myPR1d5XsI=@N52 zcKTr3s{_%qwY-fr=HH28R6(BEsLE00x?HY<7?!bC7>3l{uKOq&hWRrYxxIrFbBt+K z*jr`(g+MdS9Y<+EMXp=ej(sRPrRSKIED=R9j9z7N#l-Q&=~~Q>z~YiL5RqD2;(1Bp zaW2PoFNzedoSr@R`1;u~#&)97OHL@9bn<=+Vvz$(#yKUR2D#4s*L0bGb-?`3!7Cn= zi7ZzF2wP5?6>4im|Go7{u8du#hK%_m=C3k82yDF^-z$jRux(pf&8M1_&f7$riEl?g zknWPU$GHoe*Aqk*h~r#8+f#dzbbYwf-x-e!*9)(-z|f!CHV_<#42JO}7U#Wyc`G1o zBCpp@EJzJc&{~kUCxiFxO~g=}A>KxYvy#|Kr);$&Ij-wKVO@)$CWlyV)zSvJfua1^PL4p^q6cPy`z4d5HgHEtRfC`*G`j)UW~uB@A` zSv1(PQ6d#{!{vs~+@h)d5fnmARj^U1@CQmvZtP`1h*}zX#0}F*{WsD-1CC>m|(pHHeut6%HNWsFq}}Ht4ue)UlRxpFyF6ow6NL$FOwt(ZfTn zU;~UV)h%vn5M+l!S{!2fdPiCCu-zjW*VZ+oWS_4%Z^=72*jcuflCNn`7>W2s8pyMM zlD-4}n5^aPOLvR)yaRU^)exoEHqY*ym85G6Ya46h@wGv(+l{Zqr{VHs&P{!@K2Npv zIVKKYDc9ynHsNpUCu_x=-+g8g@@)}W(Q0TZQP;KF*<4k(02H}_79ij3HUx?&Cf63I z{nWz30$cnvvVEM*%MsOe$_RS2QmO9Qno*9q=meOu%`8_|)W9$cI5C4faD#Im$zjU; z9A$F3+^kfZ!QosBq;?*^ncv8zTk|df~ka1cW zIcaM>re7~ZiaA#e`z^2f5WEmNa<&9y!qpA!i+x))>XzqsC`L5s2Df=6b68yD*xhnu zy$-5oCD9Frx3)e}cStL`k>j{@n*%8AX@JsNx(faekWJ#7%(B22R)HODjnjvX1&6SYEyj?&RU> zQp3_#3{~^F=B(WD>uSIs&%3z(D%(H`{5G;%S-=t=Tg1JYiiJZR&(po!fFHtSrjijBR*eT)1GfW)npVRmX^WHIy4II%fMq4K3gH+a`Ph5a=L7 z1Ij*WkYCb#^KLEcGmkHus%24I@jSyqVi#c$GwerRn04f^d&7})7B-69MRsf`Mwxmj ziB!;+?;z@LL9D(ziE(keW@(ja^a#}?{$Go%R0Q>RAYB{Rtwz5rG*csADm9W^t`Wp> zV`*>C_ZtW8v+ZsdH}L7k&8^`yiKtt%<@g?#G{A%hkM`#r+YKXfPTbvvwDCY?74^_? zR7)RSn_T*$^M-(VUqdx&Q-F()L)0}8&!QsS$<{zyS@#z_xp1+>v<4#@E*9&vpWlcb zQ;V2iFqrnN!0fkK1ySVZYVy0_mow7S(l1NzmEJZU{sL2W!;qbS`~KUXdWv0s>&3S| z@dO(*o9tEZy!Ot=9%FaD=fOMP^UO2swfEkA@4Mg4mb=|7%bviU`QDr3t%X|izB>$!3963SV6&*pl=wDeNK0T8%eMF9aJ zp<`<25Q_uDSuPsR2Fzg`KmDp|LMnypk&8=LJxgtb+WWDIxEI+t@!S?Fal7srTq$N{ zQA38HJG`##=?W?6vYwg!#PDij5Qj1~pf>k4J!3fV<6G~_WD0TmcP*(N`7YEA0vzqK zbdU6ybo;ou_qsQgFNFJLbv!O3MJbOYsr;Hd?<}t*Ye^WETZLRsFY7N;cTWbrd#wJF zV>NVrIBV^nm;-S7RAkRd-V9t@vnE75Q}45RKD~Ss#Q%Oc9PT5>gDg>PGY7H1%uKxu zq*pcUqGHCXM|qGpoh|OI0URMdJirm6pz38cFBep%P^xEOa<-8N#ivL>x9cWBm($w2 zoA7n6E0#mC4f?eq>ov@gf!4oGOqllc zBEKi+h{ZP>7om1N;ASKw`G7zF2X}YV260kBtUjm(vHd+MyhI(q?N-BR9i*4MN}o0z5b%Bjxi2=F&33;hJhdP zigB2e-R#?x!*x$#{mZHrp|OX^9!~-l=_*uFJ`vR~=;5}ZUFyd{gTpn%rd;K$K>ZAH8T{a)z%R5%=GHIpz zFs4I=V}I7uY#osU0YzutMf38erl(EY8f#kMYFQ@iF9L z_@xUxc$a6o?t^Be6nt5O^fl$zT2ZlRYru?tLGJf`CEBRVs=o|@W=EJ=SkrjGG3V({ zlfRXI0`+QL^yA%pUhWvYZIsKhy1u+lX-%%(V(-y@oa6f7f+GF$w~v$VdBfpRi>C0=tXDR8(9P9KbFo5C8Ya)dv{+g?Zct?rk*U2$ZW{5SsCI+c*#R?XZSx`=M6aHnA0rJ836ctd0rQT1oe04#u%& z^}9)ca=9e2XvLO_w(qyB)+;Ia6MJO;1@%55+ehZ!yLS~uWDl+t=AW%Q(VvU8zN~O^ zXqM`sTwB;g2V6labASEnRjn8rq}pbtR%iZIvDxt|NOMogXG|sOA*CofJ#IAF-2G*_W9cEV` zYc1YeXbd6#hFMIbGN!y?IcnD7LE2?_X}St4dddq@AC%JmxRjN**0|AfUC!lNvniLV zRauP-G$<5{J-K(w+#M(PefL&eJ<1O8d_g|O^rzbeM#ud09{^kv9&8mSd)yC1FMS=J zJ4i2qp3PO{VC5{{G8L#X=6?))QxACXxY+;xIHpcyXpxpOlvcgIxZg9QVDfj!m-U*r0^!+1s^Rl95V)tyz(y^73)4FEfGnhK& z5o581iVc0gQpZR!o!W(aCYJ{gf2|OSPV^_>fz3!Ra>XrLniZPZ;C}Gwe7*`p1WQY$ z+w8JIE%G4|$r4Q~qKH}Xzhd>Jk+dc4N#{TVUzRS7tF^pi^g0(0#=^?{#@f#QnVkz4 z@};B+1Ymnx&8w#;_;{8c+4?e5m-gKTr<-qcTW4FtXWPSP_y0d%Um6_Qb)EO_yYIbw z-+JHv`t`oAXmmHwjRr8-IGByY8O~57XSmIf6jzZFO_7qK5=F{d&4`YorKHF#DN@Rb z%TlB$iDOwyoRYP~wrn}JoF7@rNjZrvOSBZHoT~f_bMEU#qZ@$IRnr*s3{ZDD%XiNC z&Up{GYMjoB>GC;oLjx7n*?W;y0GygfAlaw?#v!#;xUnvZQ1Qyp9K8enU{SB1CMnv& z>Ux-_W!~IT)(mo;1$~$3>L*+9>Lp#<;60qze=Ph|_`cvL7^u7Fo6cxs!-<+rXAlGq zV2iwyKS>)WB-u%`Ebjmt^TzIoH}4f^#zoHqbCuBwg^(O? zB{}pjNe*(RY4eR3qvE2~F(zfE2sY;h>DBqxUi*94rq(j{O z)v}m04LG{1X%(r8;3zn5(m%Dtbkb5ydCT+~4TV!nms|BdlUT1J(qciRO1`w)b3;Wl zgBN9ljC;k$>W*XRdWv_yPjvb_V5RwUHg4nm6L;0jO1r|X&DGUgYvbJR?ycvu+09!w zk42LQB9M?h4=y)^?O9@=)RU`SOYChl2?CU8jw@XrF9rbzWQx&)w}N6-rGF0+3z1Fu#aY6rbXka+{_3ZQ#AlB zI5?_kA{YrXVvdDWq*>X8(KJ+QzJ zAn!kFl8CSmZ>fKs^jW!s%xxZsUSvHtQr&n z{#+I_iBFM!TXbAiGatago_L27*bV~wCFyB;v5r^0* z>q^0Ji+vAbFH3Ib(!ZeD_^Gf9A)YDcREKEhnZe-goZl@Iq9vV{e*rrDJAj4TJm&%W z)qv$U8h)Vb%xCi!`9M17z=1zIPJUX-D)^pBa)I#V!lPo&2I-S&j-=bDnnI#`R&_Mw zK%9tV1klYXr(VRir^sMqZ@av{981}qd+M5Ikx#lPn@jtIq4@JW|51GXHQ~>}TMjw5 zkYux-x76$vdWzyKIY(2})2u2_cOEne5^g-k2QX&-?}uOhooJsr%M;D)Q^X?hm;Fs} z3@D|Z;$12z`dmYE731M0%Q2Oj>&iCKNrSlt*?gGjO3SbeWpvtgboGv|Ve3gZ+mDhi zV2;_Agv_3vkp-{fcRF>iJ_k!CegDc_JeSzM1NU~cm5tm4;b|4cbn|YiuVk50YLNS$ z(=005K8|BXrJ+(?6}tsoP`}UQ;);iZjhftClEGuUTg}G-L|rKnz$Fq2FLzFbQnXtZ zg(TMFKKh43C#kPo;*7wJi(6;<7njboFS0Wi4|%~8NeE|I>V&d=$k?7KR2WU@Nr6AJ z*$FX#D;NP;nO+ayBo(?(ri$3;yaVHB$fb#?!esF^Ntw9r%1jwW;cI$KJ*OBMG9 zuW1Kn)_`}STBzW}eqc+fpg6=}sJ1+f7BjMG(?P~cn@r9+Rthg6FAqDH{=LprMRiJ7 z9h>Iw@wLw?5`DRv)yOwhCL21(EG((={}FFYgYy8nvl{KH;(05QP_2$v#z!jr2OP;+ z6Jl~*3eR1VxjKKDL~94FtFz+%C=5s8n@sOC1^?w}TGVcdOFeT*R?=9KB_yhDh@&*| zP5pzsj*rS*P}8WI^}lL*DNkccW2xog^xjz0gAikbxp9aK>jnIY%B74--{9CURSk{) zMob+*q{sxk!azQMEc~&+0I}4BWk8_0J)_<#WzxPb%bTOkg<9Gy`!q9;glj&mf1z7y zwmHH%J+lpd5LxHATRBS@eMddQd=L5Miz@)iBtbZ!s-7b-~v^4ce{!Lo?9HZ@Q{EVfX$RBH}-VyRE=E zfG&IF{FyTuCdBb(W^-P44Wuii$ zua}BqHUm)9Je!-q5i`cbRcz|25tex8cnBwu%7{^o)gk0KuQJV2zoePu(;1l&kbnJG zmiEVB-d6ToV$X4jYPjz}V%D@U(^XYc2-TbmhO3mFTQd&!ds)tbkv%Tu+zP*zWUxG8 z6=XbN1rM^?+2L5Iv`g(cK8sxUEER-{XD=R!Kn{4`vr5kqWDq6e1?EBOVRf0G<@it; zCS!+YWS$+6cxRJ0Pg^@#FjPuQDpbT=8dr3>hEPNhYQibXCd1)XP!58WfYUQ0DyC@s z^}*m=&P}$OCLwmcVohkQR?Dw-G^-`K*TJUfCk!;d@72 zQK^!|WIqyq2L5Rz>tm)=99o1HAt=0vmQ+oNr<>PEUIEN#XACjcOBvE<5XPWQU zOWI#~qTo?8h;{qIhHzTA$|dOR z&MhmQo@iMsJYjKh<>G<_aZQ@!-6wJSwDxpFo}$Ik6YxJ92VF-qgFAn!YmIv{#z>a~ zJ+odAQ(cGU4An>}S=jsYrgysqpHvV@dXcIdXt3sccjFAVL-;L;C} zC(FjoB?FuF2;zSS7i}(A+PT0EJXN!TD&X$5s{4`%$U(De*Fg{qx93$7*(&))iaHVx z2zXA?0t@`N2?LIRL=}=K`LeLPmpi+@;x8M8*q1L~HjpG4JAz=?l=^?XQtrL{IM`B3Lov!{Z1m0? zs3L?URuUKXK*}7^H>~~(rBb6*y2K*g!hy~L{QN~=6uo;KVkZMCp{d(&t>&>S|K0Is(ANuA;xIO_q!C+BMN$1Ho3>T-0 z#fwFF)oZod$6Q^LHC=Yf4usBEPAhQCj+{M}BdU4+3LI+Ta@wGgt&?x)5N8t!i%Qb@ z%}?)7!Nb=p+BD#&bnyh-hSVD1Vk;`(t+(PAU zfH|%DE)k`_l?!!?-nJToeU%9=KT_Qoc#=O}Ph+(o>XW+4q-&uJ9tF^Hx~vqHFce3F zj#l7!rfZggO-6Q1hV>jG4>FPO*#HH&yU!yrrJ}Y9QI5nIs-d!@NK4phHgT!y z;*3En*+5(x!6K`S6T)?8<&}P<(?Xz`%B7JnTZXK(?`y4fJnXerJ0iV@5XlY|Pt=~p z;9(L3`I_+8$v$|dy|w3dhOL%ZmWwj%1{R+dPbktZWU&+_IqIZacengC~H12_*U%MS2S5QD7*5d)tULinv%Xk!#R8*qJ8%Lx63!>Z)_o zaQAJ^cC0I!jw@0^S;4pJx?Yxw`Y)5GIz%^RS?=9c-&%HY*j=xRv>j@srv{)&ZNoJr z2!2)H5sQ&36KWbh-gc8IjoRyfBmD2gQ*q{PY0ug)i~(L>9}GL4)F5?yp44!@6W% zBCeh*IvombO`)nkiqmCONfmSVlmc9MLtrYofYP_<-eVWSoKA0;O~b*Z?5>l}WL)e< z+rB0FJcARx{xguo-xoSOCaX4^vK9m~iE*XUl3NQ?s}^oy+BrE%bT$$S$299SrLzIH z1l#&5bIeoEfmVz|)ZE5g17JM5{@s~4MYWeT<#2oL2u(3d0+h%%l(?d6!fZJa7%_QR=lz+%iuaAD=Mm( zm7-#%Zu#G|eAQ6?i5l}sbW>glPM$c)=R5E5dSECyz4CT(JDu*SX3gB%>LHoRhSBS+ z3agTo>;2p|j>TC-M~Xv@SyT0-L1BS3eF#R#jB2>IW^KF(f8Hiq0U`i&lrFN--6nl% zEul&ihJET8%_I!kxXlhf&F8l_%J8~@sbdj?z1lKKB`wl|p=8&ys$S6HXBa5Vwx{R? zJE`XnlRV9zBy+oXPb13bA$Ep<&S0J=8Pej#O>Onz9IPYFCzI9hzwlvLVGqUH1?D|< zDw*B&!qwYudosN2t2azXr!5w2=oZ;EZ6$C731sI-!e0o#m)u1M&`WpEU#ZBQP6hT| z>F@1TN`i2@a{A}hxMn=U6WVLXi@lZ6;kUf{1^;`TT*ERihO(ya;XalTr)xEBs$+m6 zL(1vcqsJh0P%X;Ai0h)hg}z>`wwukjONQ;@ya`qlA|^nwOi^EgunSIkPj?90dNz!C z8sz`SMBn?nq{mt5_UnJ0oIDc-V25}Va67L8^={qY-CP=jeuG%Z4OhGc-_%`KN7v-nNu?6CztiUY}%9s*UFoDF+VCkfRMIF6nonv zfvHNm1~7J@TZ(bc1LN}XT&|MKjhW;)24-cNVzNRNEo~$^bQ|Jm4ALmpb;&GSwg~RTDyoiH zc0@^OB+~=<p@9n zYASX*o~10M8W+*IYFU>mt6~8bP*Ul8RA;Cf@{WkTQkIp;zZ^qkJV-m9h(*K8lx)c_ z4~FG8G;!h7?M0EQ){ath&FogK(46EPe=7Vh;hSK8Mw3o@u`J|r<+b8)*emxI^TY?a zwj&*2vu>RUY4q6aHIn?Re>ZVd%W@2vXU&{kN9=@V4yQu6B2$0lGH-i!%Z(|aibkp#VBr6F`qPfsae+~QH^r-0GBJHJ&boc)w9AB zXZ#jfUMoj8u8(U~6$IFC`qG%T)b(xyf0-vYJdv@sA zg`6w%AujPp?Fv%?*jv8m>Wq}q84Y9I0mOiVVy;p;6=179S_1o9baW-w2gN8XJ!3F} z4V8u55}U987_7`6!db)#_iJ6)=G?YHQ4rwF3jRiUJodx1YugZUEzSs??=23-qiB9^ z-IO#kPPzpG?jcV+qKR-0yCwv=r>SOGRHFdsGkJ7xx7+pkd_@+ft0u|$nTlB9z)uY{ z*VFD@QY+1_t7coS?u+=NA~oFiD$;w}?SAKiW+}U!DhQ_L=j$OZ)W++gxK(S9r=1%U z~X?) zi)KAU3+|@zO!fV55pB`6=&g2mr=vM~P-xu3q*#v3(iU$}ZzVJ@ZyR)`&5)onSQ$;z;EGn+Rg5S@}pui`s%zFRnNONAKQEiG-y z64mVte`3e$|5x}=!oNzo!{R;7k!4edn>NXuzdc^R;dlBG~W*n6o>KmLK8q6Zr! z<#)vz_%{5$_azbJB*Vb-=LsrCn%1=ip&Kk$7!ME`ux zlWjxNr0RPrIVnGmxHYgrHvU}rqa>S@bY^FJ!BSAIDz<4V5@QXeaXimIW8TaFY|=(Q zpUgi#QFIjT!pfhbyo>(LZ{9@cB5?uAx>6oxT}ix5h6A+Jl{>bsUPbX+(n~Q<*$-UB z)Nk9|yb}zQMb4*Xrb;p@tPa~IcA7n`rVNAEV`K1%{~4kYlFaOA@ah~>gIumw@qMW_ z4{KMaHRL1Yy?W!2E;76IHwM~DQOs7i#B7s~ha>3+pN2bl+7^-hBys3PTiUX5YG7fv zV(|w<)IW0n{TG<8*i6Y^%4)hn;f!-|#{V7SPkzQNE^~0UA)IYC%r45@@iUEPMfr)D znU+Oze7LY?!b!H<@8ks!8x1vsS3H9Hl_nnoaw9{+%*#(aap%RbsFQ!3vB^f;H(hL8 z0Oe91gH;)?zXn#}-%ckgRQ6bo#y0P(FEcn&5YN>p%!21A90 znD01irhez8OP4a9COZ}jN--c8+KFPtHI=-((SgW)tBa@F{x?%??{nYZEf&qCX1#9O z+zc`nnb9$IKhYj?*_(7IP=-|X_y^s?UtUvEgOtG_g~iNp+vDr0I%t|d~_tLjXcvP5|2!b-vc1?h-3 zr{J#dNvsG~?KD!?5a9Puk(p5mE;edX5{k zzpomjWu`23NM>9`jj^-+sOdeX>*_;b)gI#abyKsxBL`g_AXRE$x7w!UueBdDJ=|Dd zm%EYTm`c2yqM8M(t$?onSonTYjYvZ*(%TDq?FI$gU=Y#}B&pFX7R!zDiDCR=g`u%{ zF~eCndsv6zQ|V4WYF*3{7VfP`*KQ7F&)+bpN)kEg`Y)KN5Zl z7&k~d8vuzkvRPWL*Qw>Y0S%5Jk%;qC<^vNRhm23i!5cA$KpPhg%UtdaUoqV;qIBll zh}{Qw;9S$JXYUIO>sQ*EY!eSD1h-6YYd3yq6_)PWB-#xDkcfMGe2rDGMt_mm`r@P$ zDUa%Pe+f`{{%0m0hiT=6FK}ehkF2YH3VntzyJMixu%7_WEv8Ue zMr@tS{1_zXsp0T(gsfM-L~`!aVD>APP6`n1eI%xiyhClpVM;u7xNA+=y!>>30P#a5 zk(}6c_ALS-k_kYahLJWAs=U7%K z*>;$()ym~?5I5soE?k_MH9x=nB(&35$cP@9FG;rejU>$Sr=Q+NF&~I^`7{fDn@=O( zuzbl0C0{kN>Gia#8MGFg)OYXR-hL?S>q^1&`n-RmAK0STb`8bnoe)0g%%6b==RCA( z(hI>S|7Ru3>a4AXDW|@;FFQ3(EsgqJAJKyg}ZV0X}eAX`oMAem? zrYnXzZZ;E-_Y>j2B~MZ5Cv#r5_p-(1R+#1Kk-E4EGVZxlIG!bP&z8 z#09Od`-QCsTKoe4S)>|N#>n`}+4WPIUym$JfuGCs5gvK0e%Kyx`=Qir#kRIvEx1i} zT9e=&GzPr8$MGXgrFx8zUNN2SffLR4vL`su!x@wFRIBOT&Kd04EZ4@dIbi2+Gu(@& z8rA{$TGb@STfbo)OgalPfqBVS{q=^UR69<%+7??IFTqxdJ39{+`UO=rlr1oe!ToO{ z+1mr2^WJqS=faq$-pxq1s7T0ks@Z(UmfW2rHvWn58hE5ug55ZSs@IEH#>^B7(P(1> zNBH>V9?Z&^OXD7SB*5WQd`>{9_d#4_6g@G@ld?+Jty+1>wla1~w^!>5=h7*^fY>Ux zG6T)Jb@h=40-C=+YA_s+B9V4QEXk(kVyUM)p}{0h1HbdFIVFh5(j#RJ{Md^x@_dq) z)bhV8)B#8Ige$^>!XtcQ$Yy@!;hQ(}%Md0omXDf2b7?7m*Fz8G8`(I{ulM^}UR&7m zk-GByS#(N^!=oYo+O@lVrC9G9hBUXunm3<==u00@A9}yu`HvEQq72Q zP9{3di15bAW@kXdEjUIel@ilSCPHS8Cr_;$HhFcL5F(}&<9qOVOiY5zbehRHu9OB0 zyPRYf#3Eh6;L(-*i+Nc!zqqopp7tOAHi=U&L_Sq*IjrT=3Uee=kwm3la(kcZ+^{Is zl}lQrc!l@fxB-!U0BG%R6ArGIR8Y2)Sru3IYPtRX?(T57f2y<7>Gk#*88w(}k1xDKRZ46&$O^ydmDFG{SwPIz4ta2L} zCN^R@R0+M?^mfv^vzF1FanADJ)|k8q&SU;O3j7;fovzx91Vxg6RK0og>ow;I@B{v? zZ(`Fiy@u|zb*FFn-mf*3cDvv2e}Z?+YSv`_&rg%yaST!3b>aR*9-kAQ*c&|6UkWvH zBb6feE-#b(0}qheJ@=4H+xwNx{i|0AavbvTTi;43{E{ahdMHiOCn5KZlX_n#kbY%l z;i)+@gR~fh@HFvU?GrwoXGu=5+U42h?&+OdyvCAGa%(5)Au)DLIfdR3H zj0Iv5YZeJ12MELpkYyl1AsZQ_fbbu3gyj&2?SK5A!xpC%NJ5J6AC6dOzB;<9X9o99 zSNHVRbX8`4`F+3d`h9Kw@sVb8-K}$6OJSL529#0{>gUq`lKwJ1sfx%O?0QixNy_{r z%KQ|{#cDNMKJ3-rm6e@R{CDfXH*KEg`fWXRT{qt^o1Ycx?lZdmC!c+BZS7jvkNLHP z1Dk{Mmp&2|bl=mnf5@>R(Z2E(ftkcSj-R9+X&{{y`ErW_Pt#~)zq+5z()sPy_TC;{ zURzw-+M>*LA%%CV@q1~s1D|Os!kRX5O$s{?(Fz=Dh(9PE`X93O8ef&eJwW)4*R}~$ zl_lAd^ZclHO#ZN#e#eb?lX)jaee4342?aAbh^++q3}ubqr&)s^v-+Lyd{U7~)Zpkp zp%s9$0CVhY+n77P9=HEFOtqY=;*{C2#P{Y$()ZE{SgAhR%`FdBR_4o^HlHJ;RGN1p zL2)_XUR|xt*Zx1)WyXHpW5HwQoVzvm*JN|o6w0^6*SW{nDJonOWL@8Vi3{`RQvCV( z&)?{JdSJnLA;L9)y@==ub!(V{<>mKf=%<_vPI=iA7&<@r*0+RRt8%;cGrm4Y%BR_) z{b84$dQv~u-_bYv1zor6{k~o1J|)M9_wsh8J)T4U{Dehp0DEEt&|Mj&G*2>doMAyp zzy8nRVOxIy?LS-0FvE7aGpzJqd&bdq&=&=lZgI|1tC{MNRg;-!H}*wArV+Udc|`-z zTKw}b`8M)x-4A}(;kbo?5BP~2C5{ptA>aNs23W(U7W0U< z=*xy<3x1W01VjeFZ?J;rgTNA$?uEC?5o$2+#IossM6?EosYe^P096An#98h_VAl#- z;d97l=K=~cOVBk0)$S!hrhEzDlpExiDa+S@c5OKKfk+uZ1dR3le_gwspRgu(;_}q9|M0? zl6^SN1^T1>dMfZ!#r_AE{0BJ6V2ev8DFr6X#>G{6IhQd&tD+Q2Q1&po&9#`FacLHs z$kE?dm-i_1ybzrdf;J722-@pT{*D_d~Ypxme|91TFSvA3ci!_L;5#iRq7 zTK8VC)G~85_@IJT?KGf0%wqpCtpH5;KJZ;oaz^Yp8pgS$;t_6-%-kV5_~kLH-903B z_@gNwdFK4TAji1Gyxo!&yv$E@Ui|eP+)K8I)0vacLK--NZnW`bGegih=G_tQ@cXIB z;ER81IRtJK=OI(A5MR|F8?y8dXTu0)gT-Nw^)gK6l)B=1@MQlu_cGw3F>gpx36_2Z zIj_*gaAs8Dy^Bf?m`mWy3J;d}d&-e@IYV09n^c*1jkF7{mM^JLU+REnTNBuNOCjmn z6T_tL{JgweQ;3;CVlZ2!w68aO1I-bJcDVUzLsh21O5AQ+sy$x?I9~_YUv~*wqwW<$ zb!;tAvN0HBzap$=8k8Zdk$l1SO{7E3fdw7w5j9TtX(x ziuM1sO;kt>+wU_E#B$5mDe*H6eS2QWLGgi%zQ%oz0dcO_YL#&fHe9*;`roI_0)@1W zSLm^0yT$5W!`?rA`r`IE4p-VG7IA}+&9=j$YuhKl~Ml;mds2s?0lvAjkC(8wL$Ej>={JpIQvdZDlbcDerk!324e&{FlOpK;l$O@^Otg zJ~t}^w=HV<^rFsm1Fd&DkGX1S{0T8BtT1n>&E}a6Vh#>$J1a*;Lv^c77ZmE9qW~WP z$k0>+l9f6Q3G5T+0#Xy~0qrtK_g*uSCb##TboS`(lkrad$u8Ca6aJjD;-i{)MCZSt zF0O4|LBHi%TppQs*@=8t;eL~mcjGcr&9VvbWd+$bfu^?^3`bJX1ksM`yPvs`4}yXWd)PJAFGBq_1RotnIDGdqefn&VqW zh~0=P^eur63VRMybNqVqKg3z&rv8t#y72ZF7k`H<4pr1Uf1GNG?W*V@1$CHdpcQV! z(d2#$?Vpk6gse#qOV3GX*%sX)=dda2PD~Gp5s2@+aN)v7 zoAOD-u|Bt?LM0&L)V{Ak3{(sampI&fF&iQphAveJ6WH;?W>PN{plak2O%E2hS8wVa zrlFb@2WIA}RB}B-%^04dUsX`gHgo~6jI>7Z=Ob>aVfERN;rya>TY7SL=~Cl#)bqEj z9#*%cM)B;~Mz&CB>}4{I?e+Cuukq%qk6(T0p+>c}*4o-S-#C9C|6(>Sb3h+6!MHf{7_Y|4~iHgb%nk zVjmbcl@GPjaaA>32WJfkA!D289A#(CGpbo7Dw9C90yU21NQJ0Fdc%2krLqqAzxf!Y zbD7$z9>hu3@)V#G;QN$2YBX=wtCa*a9&-$h`z+Bp%YmN%42vl|LZcAbB7^6Q7!^hD z15MEvN9N5OLQFexg2}4Nwj_z!zTaV;`@~kK#!ylK)K~$Mw33C#8B*gx}Mr)*M-q#<(TAdZ-2Z>*BByqn6VEfb=(Ggir_h zhH0(Tlm)J55Gtv9%8BCIz%Re!;j9I{&!_c`S}eS%9gx^eks$OZbt6;% z>JANbB~>Z4=vBIxH<_Fl5mGNTI6-j9Qw4LBhD<|tea%65iGu=f zc~0&eK|StPU`NP^0uXaWg_nZ`HHnez&&hL}zNLcNGlf_w4jiJ3i16F1rf$J7UiWlF zwKlRK3$CuMRWRdr3{f3$PnW6|Hnl>9s!qqo*CA#^(V)R7!N8j1_RGX3?AAG?Xw8o} ztVK0OL1@2%mg9CPRHj;$XKc?*Vs}`6{~kF;XYBCpMt{kI zR_qYf&oX{V{S%GtOZ_4L@(LGRhTCUlfZj7CFO(x%GPa{3TntsXj&)MC`R+BkY zb8T~rx{n>D?u|3led>-KKF63zuLm%r)H0$qN5{(>1~p=~!OhcduC5f?CihjoZU#){ zTFH-c9E}^K>1CKsT@KkJ{%D7oP(yeP{eOiBLqV})gPzxZGnW- z%F4WSQhJN@@NWBBWAV%r7oNCvYti1@Tl6h!5k}FXd~%Q_165s|uP#fa<<-?k7azSp z=jiN~PO9)DL2TWxR)f_Pwh1#V1{-rwJpy2p4BCuOGlSbEl)Sxr2DaXlP&4~Oe#+mf2Y;S>5-i@F1$>A9$^*m5E}+gKI!=)su)>P9r0 zEA@F$w<3zM%r~a0^B<^chN}>RI8;#-4Vxh$md@lH{tYn^a@*GoO#|vax?;iLl78C( zc^j7%zUAI?iVC%ok6}gMa0&dcAjwm;v<~osM_?3lRCT<-wGhB!xa_*BnGeveG@7XQ zx9LQ^fcqfnM0!ut!hU8sv%MWM*KLRG`&DGzow;jHZ^}%k)_B{7@o)WaWOH3e%76>a ziS^%&`LQ>2ha>eEaeb>IH@~cOgMx=B%sHSZxY?k z6ADih42x+7ZI~daFtxY|aO0mVxNy8U;TaV*hIwwmgp>?+lZVuLS*}*q7T*UHMe4P| z<-w67r`6N<=22WeVCELc;piRnOM;5f7E_cFf1ZYEQdg=@;!vXS-KrVNsr~(rn%bHvE1nCo zCbuvug#7FSb>a320)1Pz&U)Bj`_YE3S2ovlR>Vx-su%|1Fo790ALNRrKGy-bz*Cn@&ug|Fs}g|26zFCI%|ZE6()m$axy%8^42EN*_4&};4p(;`n0B?&>a$E4tml1Wzx7J*l~#KaO`->_MhQiL8-{Z zJAbEYDOnvUDn5mM-v#XRis5ie1627Wc3}lz;wfcKOl#Qnkcl)^hFbtX%~1t26%_&M zf<(!h@v8@eFv3V(E`F{lkTH-m-w`5?3gma#b!!epW#qM?3^tLGfjUb`_Md^}K=%PvNeS7%;SL;TXlj-U9x^K>!c~v^vYDwDViMHnD+QYVl?K6V-$*2*}cNO zOAb|Wax+r{KERKW370QeS(<}4!2=arQ^oBAFw^>IE zAyrfWSw#8C=A_i?{z*D*L`*_i=H48)L975^4wTESy?)q%3f=k3OtgVb|sNCDd zA*HUINxQXwl-6VE>B>)vDrhrFS|rcGL`zcD)_%WVZj}#T%tQ5ysqE=P-imO1u+=do zBs_G&{M*UZO!r{*=FDZt} zfw!W`e~4Vmeu3Y>vK1V&WT#+fRKYuRDmRx<)or(wmlO`?HENiv+&Jk(5iLV5qx_1G zdgp$evU*L<-SWJaX+S8>eRkpFHK#e^!*g3#MdV^M(nGW@9Tw`DQ?I~_s$yj58dpD` z;owV@6z5h$M_uU^84g3m^PwE`F9sGnafW?PW8#|%$0!w%HjVk&r-c`KUC z#hJ+@8%B8xxQ)6;UsMdjPaLzgB!Zf(LL4#T$HE`9N4|lPPO03JuBKJg%VhqzPNd_d zx%?afSS_7|qCke*!&M`y(_l)j0esZh8Y=NDS_-sn9wGKv$4RV0YQv zSZHsMi(*8CswPO)cC6sLx#9ENZD8A2q$STG zl4NuhRZ4)nhdzaME-V9aBlvUNrxa-FI{$7bXS?F}njs}2zZ)R+oCRZjDebbZ@7jBt zE3Ku*(#pyasZbak864i939lp^6Nelg11tJzr-|yP4DFPjurDIVFqw}8i3re`QA%XD zjPneHp+OcHd2f*|GSOohW07zSxy9#dM99h?8z!1B>YQpVQP792qM$Yiea#i)N218$hZfvPY7goxX$+! z4?y4}VyE-rhrJS!RF;lO=cSt>hIirN{WH=7-Fz&WKJg`Kzt-)7{litwu z9PrprB;bIJtUrl#%?3UWXl5o=(&E?ne)OLLxmv*|`Kq6iBgA#~2VJ71On#(};2uX< zPIkFo+x8yNR7w>nQYV-NN|eChw?Rn6Pk>sHjU2F1*%s`P$BucBVvUQ{QNST96%}NN zS`<>t`3-DfOAC_%1ms9T*C1sYGHlxcnYM3L70b4CYJDMlnO3o-=AB1kia(tT$MX(; zE`3j8QY_U)yrTpY#TTnSU{M~&JNyy-qtG2AeOXW@ExaF)vm}wrp}!a+9TqcyWt#E07o1TJ zD`QD0^f4{ts3~^^jys7D=50r#H}>8H%Z|Jd;!*=-a*uY3vaYBq%w{VATe>!on`c(P zPvNtKAolAeS6eB8Lf`QtZmMa)-;F@Kj(|~Gl17pJpQTlpMX4pNh{~+RcB907#SEH^ z2_JHx91v}#vZF&d)D9~dMyaVO${P{O7*T}I z&=#$(_VO-Bxs~FM*-wjnS529%wgave=8%IkvgH?@&xuyClU!BA)cua-I9$9l4~wdR zc^BHI?)CKLyXbEEZi zgv$+Q#KtgGB%?7VOWkooHB8U7IXg>n!d=#!>>eDB#TZd-kCU~BlCb(jH3ef4k0t}7 z{}I}O&*W?q6cd?Xl#>IvNS$Sh2nSYR$u(6?Xb<+`L5rDyOO2u$^S6lesKreU-}RJZ ziTj~)5*b_(aMy`AYG1Kv8tGQ3`~JbPJjfnfRnVSczzyoYhXw(S{{k~hCCofpt6hdB z1st5R7IDB8JEeluv$jqy8iq=d3S|APo@hnxa#TzmV9IdXwMt(9Tj}q(M++pA-|n=3 zs#%K-by3dcYIR8pYvBxFKk)vioOn2;bjEdlQ)!h+r1Q+Xu0~Wq4&Bad7F7;7bfc9A zAkS}<2NmbJ)K;yy-I}YG=ge;}Exn1Fx@HjDS?5dRa9hIxer9>y2l2ut-wIQ6vs9<8 zrlu;yoSz@Z!2U`4&uJtl#TqtS-ri=OrZG{O%g|wqJBi|iQ}3KF&{CRX#$w^h4+}Tb z2c2W{QH^I)#2H0=?7z#_$7R0&EC(vqZ$amaA3r^hjGa{>iEmE$-Yf490z>XEhbU9G4T_!mb8#Dz#u9S`H~Xvh0O{ z1x$|3t58K)XcNY@$xqYDdBOLvDWW>-t%mHn4J1j8Za&`#mX;b#-!C;v_f1UPRYgCV zGMb+C@@juXXESp#dZTWeM)RruF)qrzG-=&$zEsRw`m1uxEf;^o_6s5=YQ6Lc{>AX* z(#dLd%WH^M0*&}gr|gU6@;6lL4V~>6WHz^#Bhi&yhLDuG5btLqNDZ+{k)8hgG!NS3 zh#qygb!wJyQBJq4mK5CuO?ygB1@4 z>hR}YeTKSkweS^>d-gJrRef|5Z1Np1Wd&EVA`LlRDPJ>+3ux@K@uUI7Is#%!T{7Ny&zqY zo)nW%u5RpvYhk_qh^*~tLGZ|Fe3ApvM=m}7_#dp0E`V#jVbSzEQurB-TY+Ur700y*PZn>kel zku0`RQH~n8xhle;t4;CPEEQ`fKlsXb9aEM0m9n91y#oB`sepehIUvMDcZi03?xZZb zh#*x#3yF+%#jzkqx(GW;W*@S?4}DFwLJ9+!o2JD~=D+2Z)^BnXrg=<9-Bs?Mr94Q( zYT0bs!O)XVOOFf20*X&R-1P6aD?g3`vZ(%H(2?3b+I<}oJ{gWjIrV&rR9@R}Iu_gXs9mcIT^ z96NnqYKZ>Oq7%n9&1Qk<8m-j=5X^=HQ|j<>=4}*ax~m*;a{c2I8V7s&bvyhugWY1@ z(Pb<6J^m&hEK-!~C>$u&82N)FBrtD>%^dTti88)x3RXx|B-$v{RfVZ zv%4e!u1m14m&ml<9Wxe=LmvnJU20a+Q4#urY`yqi{?fmL9jw?d+P`jat6_6dekG31 zg?NucbQ5mb(7tZTN%4qg0hzyggTMMOr2i;Y#H-Uh#)?!b9pp;KuYT~NsV(D9<`Pyo-@aPwGucmE zt1H{`f+gqbO1rM_V04+Ov0>e`f)5b}p7m`5dfcX5;(}?Y0G+)Yd9YsGd6Ql`QB6Q& zizz6_uSm`c0$&?)tNcj%F<*nuC3i(IM)*(yWF=aN8jUt-PpzUi?DHFze!vf0 z&8XZ2Q8s(TJTl3)4zcufg=lYB>wSQsryqw}ZM8pn9C5o&?803U+U8(lS4PKtO0Hnk z<65ZBKWqHcHT@O7aMAx#m%IG2E4H9}Nj6nggWRF|Dt65fu**xLkt@gt){D$nP~;F8 z#tzYA{+%9~zD;!7vG{|{%&oJY9-ljnvPVK#@{l0TgCb%rhe}j380bo zZ~|7&25g?k)|`}BHzGE2H!$Jz9t(?#Yp?OSQ`Td zeeeSG*aqKFLGob_N@82jW6ITkc@3M$SHr&|R8tJaWVA>c4C^)G${CT4k%_KnYo>w? z0;qo~mwTHk!{)lL#T#3i-}GRDRI6YKpD=?poM2OLTHL1@&XW0gnlTeoiaWx~Z6y^} zOft*}V2~uPZ!-qmgA~qP`*v6N<5+hKV<|SQIoa6{%g!)^CZfTwnf{H_R`Bc~K&It@ z%!_#gaNO+{!Vngd4X(6B(3h+4OSbFUN;MN$+$}<`lccfiAEhpr`VKC7?ls=ll5yXV+xPA_Jb!{u_hTyi-}Eh%v66{)32 zN|d}JK~t1u8It@U8x{l!5Nw!~2^cbDKhTtU*>8sYA_=4}NU~+=!4Gmo*4f99z3AHR z>6*smAAkJ+_g~-tRbmCT_0<9_ukP)r)Kn>@--7grACHHTtmhEX1JhDUKj~llFzxLu5+jG2wc#X4EDp*V92haNYIh^77jHnslR>KLU&UdN-UGxH6C3 z1rb;0QG1X#CJGwl7JgOSH-nPPX-v82vG|vB7`ie&W$sgAOp93p=B>>#|4&>Bp#6)M z_cag%uXqMf#Wrr1D_UAmLZpNZ*-s%7SvpJ^Zj?+Ky5^f+iTO+Ajps5vhIn$A`Ab!& zno8_=zT(f~`3k}#!ZO$4cyp>%)W=a#8qfNEu{d8DAD^C|9zFeA?bYyUw1xhxO22n~ zpd;Mv4gbb*0V66aelv6s7HK~3=wpGcM4Dy$WheSqTo0_T*x}cK8zNtS7%B>Z(D?LJ zs3}xUCZ_aUK2ZJS_-C7~G((lj6o-Dlr`ut9Yb686b~iePXhxTHz1NlZeXimEo#XcT zxO@CLaq@S{3ytaN?d4Qz+fg_QqwV(g!TS2iNo~7!1GJCK?#$on?*{lix{cdY9J;7Z zc6-IESBCYw_vif}W#Ad^<=gzgJ=0qNtbHf43r!m}GP7;aob+s+;8%(DqUZUn)BYSc zQHheyGGEM%kT9*ude+N8Oso|1f{ko; zRacn_bqZ9C`D;6~J}jT!>E#QAc8p6eNv}vBl3wl1%Yj zAIoX%qkvV@)Lxn~oD+Uw8w7U>1kZ`j2F9Ctj2ryi#<-V6)VW`+R-eo!Oa@h1CES(T zo{OAhlz|C1oF=BSAxNH;bz+Wjv_>-2;rG?{)X0UHA0I!RB*Y1b1B+@dH(Wk-a&hah zG}z7X4Q|USG4~CKN`_yO<$O`frczek8v2{Q?hZTPx{mVd0Mm%WeD8+E{o@80n?%JD zsBznSC?=wR%LCzm4>EKMVFB6zY+?68lA|3><6QZAm);2zOa zB_qrjwq2TPwMy&b(YXBA@WQIjotB}vRe#RE;`5eJG;9H z*^+|bEOB=8L`JK--E#f_{tq5?FvowB4p{5$wz)2);kmkBvw~yb!O$^l=n@>uGbVi) zssxF-k_AssBp^wCYFzXuC?tOgV5EU%u`I?44mpZgft6WMs3;Ts^ncq5I+jXR8Ibl# z8s&G<47GHP+6xTj7}AQ=F}PLHS@hn7Lln#KJgS6NP!F`^WLTjxDI_`2=x)6H`?w~P z<{C2D@us4ZyW*I-zS7Dqa?muhGV=@`?Hj9lMm&xmyO`&OZrGwfFg61sFXr<$=~=U0LjRdJx}#i^-N^n zUePF1v0*8ZV}}c^9QHG{q>9YSb`z0oHr`MqSZn`>^eygBXGL#A!Y&qZ&9dmTGNlQqbr7Mfpp+vT{+P#jFGj{;{W!C zn*H_P@B%F#{z_z6b*AW3I#csg4r0121HGIl{M@p1h9e{+j%NOxM}gn$ZTZ-fJ}9Ov z53L1-v`MLC-F?q{tc|3c#MpZOojX;@IUh10Pz8-&|67aIGmUf*~+$qRJJ`;EKKL~R zx)zDKS4$-g2!#s`n2t0JW1@Np&0_2|bX+HjSyOdGt@$dQ=Hd8kT{R3@mT9(vsa#Hw z`FM*^ies@KNZ;mXU~}!^w$m)*38gGeq@rk|kj+j^SF7c6FcI8{Bd_4GE*k5Hi9II4 z>#Q(?R)~qLiztUHzZg)rxxw9a*j$8vjHD~nT6-LFqgRk(kulnVu;V%)k3 zv$v6=hn_|ba4d>iot zS4`d7Mqa1}IV{DyK*h6vL&7nGK!HaX3mp#jSb{WiQ%hqLODijhW_GU8NJzp3IyYTH zH>&EN-jy%9b*C<)P0vSMpQ`txZ+pb!;SMYK4oM~pFk5q6-4Etms883wTmhg0TW|s; z3_Rr+_%+k3>83XUzQK?mxX2i9*eHx_6ihZ<;CfjVDf#iYc6a|%;o-#un!W?^uAmm) zHN8h(&)*B)3$cH2QDBj&%)-L#tj^;oy;{oWbxH3(=1^G|hFs#&2%#|lqiC2|9O?Dy zr(iptUh$!m(kx(m(5>nm7?nBXst5|7kC@(e!EiS!%zuY}TlfB2Rb1cyAb zblXW=c8acN;1B$+u;=H7`-0$b>DP?@PwzSAy1lZkjg8e+yfnYHg=Z!wv4ndMa&_jf z_Z(NALJMN}dUf2UueH~c$_Kt)%s?-r0Y44B3Eiide9E-5B~1n@wZ_T~n4OE}#0);R zUwdS@O&-C&C59gh>^qiRcaU5EpP_Rv3}tsE!Bk>>`oFlYZkvLK&vr{5vIOe|VedEG z6EQ$|0)>_5&nx_JCg#K3=uXy4Tb*30l#Q^bcu~}J8KuqVPEVV6?%!|joS#>km1kAU zdI`=R$IUHSm~O*SKR?hFR!lZ7gf-}{xP@3!Xv0@>_*i84H~7oPs8hBKcRB#Ma-_>T z4}RBx6G6M|${sN+?CL}>*f7dY1Hg{NYGmt}e*jh1=3{Cq>ZA>~#a*V+VirTD>6|2k z1ILotL{7If=DzjEmRPDrkUw@AE&3W^>b$2>^)!-OA=dNTVuJInRc6b$+=`U+hVP&;O6GgvD2$OcFSYUYD|k9*OhyyxYeZ! z*RHab31N$r!eAJAU^lcOKhg&LXPtja90jn&ie}ULdQ)WTLD6tGK^hCrJ;8{@0+6JH zJHpIir_=coS0yJ2{jBNf+|~hy+mXDV&E=Z*>}fV@i#0`_LW-tpR8N5$3CSb>{H)F`b1gWKkpy^pY+$#A8_21lP0)E zMjbLYHI~dJb`05VF=x!}5hs0ed86Ay4(I!Bmqaa-c)0WK9y(}x3woslaL{U#sMn_bZ z!^fGBY9Do$cYRZtc~?>cWHM_hE(8|$a`u~*99E#Bn;(IJt3nDaYY}E$74d_0yWIod zm;QlAJU)NgBk5cql(klcr00U;ozu?g+#EToAJmVJhvTTD5Po1Gu8ybnY-IdsgJ-^# zL#Q^wBol}Dk>DHJi~%umc~r;GW)g~}&MJ>_UgLi_C8|EAci~Qkp1i;`a|f>IX>LT; zVV>X(IQt84yS`Qkz7Tjiu4&wO=%(hVsv|mYFb%w9QpY0mzh!#U6(mDi+C9pn+6E$- zafsDweosuYk(fF1dmOc8q;ZaU4!A9SByi8=>T|X8)x+BE>SnU$&aGu@wKZw2??!qY zP$QbrFX{GNM$kL)J~@QifBvqQR7dwKs;!YeFHtJEdILTl5L|C~9^5D|cHra+G8s}u zSL6Dt#MMn^>-M2ZF-YprUN)fEDv7rkU*l2ks)%HZip#kQFGh z#SBD$&2Q58xIdbSyMHf*1h?L`nqPX?Y<}WhmHg>LFDd8q52c4g;B@7`o;U6V1@YRt z-emCT>yj9vjm5JGN1CID_^~V8E3G@{0an#FiH%h^N^@^xDmnKNJa_W`8k}_tZUO~C zl1EsCsyi?tT9*`UA96D&+MB;1R*kT&UNcYXAn`p@(Zu$fqpW6_Tn_2Yds$REYNb)C znRH=PdnXkN0v=*;K&G3DOmzz(YH2d1b|&xYTG|A_2{@*)A(Y}Q?q9`~)N!fFz0r~M zfzRy1trE$DgOZtM+|=rtgI zT69>lrXl*|bnLHXzH2C^YXy~p$ZT43iJYr3OVt_6m@=IdWMA*NX$3)~k+g}h$;8S* zXgaoP*qQOr&}t^&u-AtSz!=T`Anxs`a}RVN^22a%nvL&SVd!~#8J!<7+p8;ig^e#we!R1Hc(`-6ySp>JxHvbr zvr=#I0B@&LUKdM$cid;m=N7=zm)5|9b)Wx=WPX?|yUj8qOf22v@ltn1c?^;oTpj@i z+`n@V&c$Q#o5{VTg5@ufn&Ix{sIxL}xIZnJ)x=)s*)IKQ?)?1xF*_AW!w{q_7o0Qj zo4!xAG^VL-|PsgVxi#?eXm9vOI_9^;nXBHB$*a?Nn|?)=C^Qfu)p zut1nWWjjmoEC{h_TH~%A6G_Z%iH|#MGfui}F>qG~3Xx?E(`}g3gpX17Q6zd>k@ESF zzh{leal1WnPHgbG7E?3Tu@Kf85LZlsIf}KgVR9(9&T)D=UCd_h3qt7AKvx-6($D`) z%2i}x38oO^7KFbM@(4cOe_NzlmI4rXo~dyJ>=#7*9y8(zf3y&z{5|O|_v){UJ8=2o z`;D^?A2hZ>2jm-wApSytu8J;jTvyB7Lk_c9^t9B8lY1S*~q>k@Q#xw~EAEdy;^s!RZ&o{8_6 zOYF5cYxa}@^Xb#4pXC^UM@B{mCW+V%scxf8+@`DjjOa`;*7%?D^HcnN|9iyJc))-{ z(e@M>1j`5mf^CRR`$903Pg0dAiaV|ZK{^PgLB>HG5U6AfQ9%cX4OyHG_k7IEQOY9= zz(an=0tV>rj`~5&KSZQsk#h)@j?~UhO|_eAnYB)5raf~b%DUDG&%u;G%Ai*p!wo+ZNus=*Wj z?iqq94Yrv7ylP<^2gGrMZyKh_aeDBdCqdpPGRqfiIhCy(%<6I9lt?{6Z|=Dws$7Jj z&0{Pb+dlKR(?&I6E90qTH{ISc&os=Tg@6Ac9uwY0eQ`!Qh63wG%l!Vcz76WswawgE)#K^{*Q zX5nnwMK5rr`y@z`1?E3MCbrZ_cWo;}{wVkm_=xsK-BArgi>5@IE1a8qp6IenFlE1P zb0CC~Tg-)Wx>US_NRXg7#r%VeQA^tDR5ElY)4-Fnq|Z_NNAWZ}rX(fg z(JEB06QiG^7+$kDa6bFQgOCGWSuq#vk7EY|`x9s#IwkOHJX+Ch3o_Fz3Q)J`XzuwG zvbP@gm{tFQpG|kZYqH}t3LeT%PkU+Z_r0-9hIr(P^ z+Vv*fSXZlJ6VbQ0XRCu<6y_nY+os2kw+wgumqCNtZfiR3u5N%D^0=|H-JEOsIG4P5 zzKQ&;R+J72GduyWTw{Lz%wct6s*|p2wI*S9{rv$^;=OI%+{bshMSQnl@p%CEcaAzo zXJ<3}qqi=8hS(*DPWV`Tx~!Ffza)w?+*I8*-`{1g)@oY6%ri=qHgM@8nW6n0;EGe^ z&I8F2let3yP=!%Sh9#gxSnR35ESQ6nj%@(bmO%p33tF|g#?5gkU{Ys%3|3(R6dFrWLqWPmjg=fKtx#1~RBsH*Jd(8L5h*8y zMRR!U9o$V2Lu|072bidRc3qJnB~l*R55rMIati z(XJEv1>JVYfn0tyK|$<0?ck2-y$)C%Wi9lYRZJULU?9VACn~T80$50zCGdxaZgP|E zOq$-_aS3HkFD{WW4{O`9}NseT&N5Dp-s_7I%1xo0E50yReNcIpFfy2{d+iXx+F@5 z8J(j81$xt7F%OFwogevLk^xcY)!ct=)~=mB2(yt@mVXM(bHJO<$fl!?XL1P-q{0%N zwrUy#h<|S&H=SI9@}XG$gbn+R_{2*|L#tDV%g2A0i%sscxOlKM;KuuAY-{cd?*Eii zI&AH?R#$6h^C$EC4DV0hot~Sk@sP4sDU~*Aw{%ur`(Ljr(gSWSA-k9bcDS5ogt9@b z=DyCv*&hJB+7EFrXrM`!H}E{M?y5k|=(bVUOO7iC`FUWL?}~DXY12hah;Eln-L6H3 z+YCWIvMK~Gg$lK}J0li6 z8X1D^MxVXbfPrKCk$32K#u%_JtYfh>Rl%BLoQ1%-ud~<2e#tEL?vY?oFTpYBgM7-! zsK2wjD{nA`KF^|L_&{ewNy<~uXj8=D z8*z6M5V;_ogNXo`3Q5O*!BM%jE+9(q2RLBVxH6<+)(4Tp0@*NPI@QkV zG8OnofA_@%F+k3=B4B6U0t=^Uls%dS2*U*)-3DoZsrGdC7-FRyP_3%l3l<9QI$Au; zW^^polFenVV`|*v_?O&s1w9T?p=W!Yg0+du`Mj!bM(JF-RN6G$&`qT_*S1%-_xGnZ zr*4g72ST48Q0@)j(@6HR%l+A}cwe(%H^^E41XyB~lrtta5)kX7HCBIte}0KuGY}M# zRvs`~PUv`o|6E+ipMK5rRLfMyJ{FNFPsh_--`4oY_8$L8a!tE%gy}}cuC2O|aV@O- zraG?2jEb~3XKBT=U^!`1OoJsdnRdWf+wuK&b9G^LbF(emcBNf;c8vK9{%Y~ri(*=X z31t3x%VWREAGxE84Sc)&<700z|5GMO6k$08nUH}zWR&|~JRO^EyPiz{SV(kBdD$^F z$Nu?NYkg(qb>^ejY&m@BYX;XE!1g@;#LgVVg>-DFyEVQ)jeE9Dsm$-%UE#YHPxlmB z-5uW^pPnAF;q*(@$GIQ8c_@kVrP0@|* zCHFz9l7cX;gJ0q2Dx4FyDo|jN&osG+a6H(B;OKdv9~s)m-E>d9ZA|Dk2JV5-}S|x5F>u z-fBg9EbPx#d9kqAZeNTys$3Ko_b=~UK7M?Wkisx~k$txP8Fn(oz;5Ac`(qc_EnQ96 zjQS}*stv_Av^7`W_{w{TL;S$cdJZV2D|IlD_J~SD(+kZ>5EH_N{G}x_W`?^uZhA-H z#WJjb5ag^VuQ0O`r*^pXZR$+@N8OUszM;w7BKavpb`uGH4jC2%-^r#qs6)i{3{li7 zn_kiRCk{$H?-B0m5j2lo>>y_rk(x`j8donATX9iQ6>Qrl(rPEI)f8p7L}|6U>ttEB zSlrz@*f=;jncbcJA*r$3ciQy#x0OaZiTf7CA3Cm^BKu#!x+B=I3MIjSpGp-rb<3)W zl|BV*y?p2MbvVH_ElEopBNyVeKfRR#Tg^}n10^?`z*C6KLk^-W;;W0MH$@E8Zl^8T zzvpss$THHm9mPX*h0DyN+E{lZMn6vINH2=o=)E0pW@E#H{Gxf~G_6sLy%+bN>>nR{ zcF4k1s_8YKWobuC?!#&QYvk)a!HjGhQ-{UUo-gbzUB2PpmaduPcQ76+z{Q&Aew^oq zwE`j{(2r>(6_0pM!Sr@*Yo0nAL=40mj?JSEkw?{+G~+IRvp@%2@dA(uSW-5vbW+Ey zY?h(I3>Ol_p=+oix8SU5*-FYcJ&)geF~vILBGZsnc}g>0uDda0nCF^#*B7@Ku`S9k zF{HvH4H_d@;NF&+Qih+U0W9D|JR^ZaRGI)+N@(~k6l*u?CF?N{hnM(s3KgYrNh4ZyEqgup&t4P4s zAbyH;%f!qab3Bnpat|FW0yJ|y-g{xjOn0IWVoI8o7P%C;1*{ZdYrb4=&CJcUxW2aR zbh`C$<-y9v#$IdhcDB2oat<=t-OI{xmp{yimdon(y{?07>vfG>9K^Z(JN+iVnEdWp z*bl1KD(1cbAfh^F{`-KnC$9L8<~-tl|I5Nna*)lPNRSN~v$#SP#kQ2UcMR?ow50A~ zuCXQncGC8c1qxf(Rz0Srx4Bz24&COzEy|}Xzy!zyY7Xk~yJHeeBO9ThFX@sb0IQ=zD)AeWg zMtW(~D~w;gwc|e$S$$i74=@noVY`OpC&XdyhHb>e_sC3Qi(|eVGonD`@O3ky({;F- zPL!y@Bb-SFM&n7*MN1n1uEvh>(ajqL8rgo3y z0zU`A$|sAT8D^x(EUyzW4qmcaQ<|DA=(ZfZ;9wIgNI!M4Ejv8;E~E^t)};V?xQW$9OlhA3oa>y{9mV-! zb@pCQlNM9YiWU^Hh3Tft85Q+!092nOw8Dj)tYZG`)H!o2{3cJhvULEK9kJ@7pqt3g zs)%xU6D*|=crFJEKDP~K8kw|^Bm(2cLFZ2tQ>(|aLu`?aYEh?@LNd^!)@o8tv=KQp zD2$qJ&35p3V>Odc^WS^l!(3sxeb}{B514I;%Yms@xIpEYC-84M>TSeX{Yzmpr$}>V z=3YgX7Z>lP3R+=o?B4l<(+8KA_vR9bd)Dmiz1~8M+vD?|+n(=lI_@P5M+e)l&j5`O zCJe)ZXG`>x0I*C$n?Yg3ulfC$1>^w~b0WfErsD5+?FYNN?{Lfv0+}PB)JF`*{DZ!y~9OF2zqs_d3-lg$MHa8|I!SFL=?McY6B9)^clkZ|{xQ zfBeHg{)tb#A<1(6jry~dbEFnB%DpgJU%F-u1_WqBdl0`4AwbmQPaW->|4|D3z7JC8 z6_Z$@BFOKMQDujQGhyj|Mii1&;<@&8Etn3Xw62d4+|t!4f*;64_6frk!+p0q8Tuxf zCc3K&Viwsv$-tb?k3~<*rkjc#Ul;@Z-=YozmJVe?41SV^%OSnR{k)>;2Ie7+j2xFJ zjsvk63nAQ^L!TQKx+5!2Wc`}1BT*``UN?|?VUrl=<3n3@6xtRCM!S)Z+S{1?UCEBQ zx0d>tY<;YKnX{5lJS%*n7zQDiV(dPi-g)xma&ByF?{e?9^SZ5ebsY(G(^XNcPng6_ z{YZyTkCbmXG^X|K_w8pAv|hb5+}5<|#o!=EtNAo6yN(HSp=c6Nu}WTsM6~{3B)^Pp z!##k3h2nDWY7w~kkY$izhu5D_YNAZfa5 z=yq~n^!>`3e(FEuLgs)MG7(TCVOhpJ?o)Mpf%PKl{~PZpaN~;M3(~{ROnxje9eGXQ z9N)cr_+VMW?b=-C_cP%4n_w){bRJ0Uw)DVhX2!Jfvq$4nW1-O}E^0Jhc)?v>?n<~P z=!KC!`m4Q?!@kmh!`xP&2Gt?onaH;a5Ygk~sSR+&4O}NK#%DU`+~RD+rN7SHXT;78 z>TJ569k@&5j+2LW3I-TKH<~nQz4N;^H?mYQXwEalPCa69i^9=|4>G@;%Pn}T99B?u z#nLEoOppJnaT&>~4pQk#gzUMZDN~%#&(W)y%vT|xhZu8=D_~vOr&@(Nvo?w@xH;0h zbE@BuG4GyqEWIW@=uE1)TxNg1-7YHAbL*=o_wIe@V!QM9KG;|0Rxdi8i`6-0Uk%Tj zkKK?9ccC%hg%ZS2BMn&nmqGZ|4`f@{=lJ^YZE3hpd!gU9JZ#7A;*uXyzv#;Uj{%#E zu#Iist@GPm&6Jy9HZRgUcq1k^u~lmN1hagN+l)zO;F8ThG5a731O2O0T-;c|MH8B;o))(hSW}tR*N|x=62In#By!0y(#($I?%7jUQj$KmGrV zeOZts$9Y~=W*wPTSy^{gcUM>6=XB5X^vv|!N6)p#?2TDqu?sAq#e%!QViyPiBz8^k z7A;b;Ny;HvmPMPk!-`PI5u{|Brfpd^t#H_;WQsI{_M5|@2g`b}!z6=2`gox;tB>i~ zSpe+C>`u@0peHl`{Lk-Xpi$ee{uc-+uR#pL{f97>}gs z>D!NPKRNCF_{T8jdraCN80G8NDliiYiUbcuChqXaI-}ldezz0Vj3)7 z*zkN^y+K~B=;(W>*|n)D4_CpbOQwaqu+BM87HnCrPB$}|YT$Xx)#VeV;IT3qOo2!Hf zoG%2w-L^4)t{~N@En6L?HP*OV$V*$<%9`$^KdfWX68#4^edGlzdAtA zDMDG87A|of?xog7h3+jZ(7=&py1TWpQKZG=ImAhPM&hf5!(ac{qDsR>9kDos0iW41 z=!uzZfl(=Bul)_=Shy`&j!Yp8v=FOGk2o0|#zl6VeyJR z+=#Em#bV~(otrm5lKIGc~%R13OO0ZQw3FVy*T24oT{qr7S|(`M=XWwbmi&SX4S zA_mXON|GFvLDhBGleH_dYe{uJRc?hivEqe!SIpSO888#MqUOTXhC!I~8+?usC~F$E zWC9SfKrXGRGrEms%=!CuEsqpnU_%bEgsFs-EQV%Eg-XbMI?&A9Fq4D0GPPPSZS5P- ziJ88xWK{`bCoGuIFLjnPA!sCc$R8#w&I2^qw(x@RE}sn8*!l3w@4oxS+i&l@e(&zx zo!&}%MV5E+vkSA!%R2|Bwzqextx~Jgd9?HB36nq{kIz>oCIb&{{-^_Z0=xJA)6Nr) zw&W)D-d7F2U#Hc(qLE5K6%bh*!PgM0o@+3>mm1{P0~F~tdpqMw+DLVoyDKL54ky$PKb>B5BOMEJOi|jWF?37}8WK<5N)nojTE!@(yq8iilH8 z8oMC7aeUtRcLYr+F>9CZYeB{=>xIIwtz;N?o}Afxg216PC}F%w4L+C`>d*gy6>J*$ z#H)S4;}j zxO{cgZ87Am9C)pzf!jKvEhd8O`k34*l5d-@&|l>(@yfyV*w zQ-)15g|6ZL3DuK{lVsH;oUKGQ=Uo(d(AOP<#m_wsv^}d!okX$>;HaD+xooQjW&!aU z2OPNRH=ehAwRgC^Y(l#_z1PATaU^-gaOXMmIE#VZS9BsvIyM}INM##jEQq#P2mx`H z2?BM2#s^2S&>6ZW`@b_GG*yB|TnayB5|S6DIG!o3gkeDv;+Pibq~aZAB*xs*wNcY? zVwnfc`lC(M|GnwID@xm%rD@1ErC5w?jFesb3b?Ul=+`nBaX35u+otcPHB-5e)g_Ba zNJOpqYgK9fAzWn>VUg7A+L@e5}r{iE}M=hxgxAbDZuW zn6|^0nMUxl(=M=Io7)Pc)W*ypGaRrx_oJkySYon0C9Lwwa&9(-8?&>ZQm=!-gh;Sh zE)ziBqq02z7>52B>G!0(4t@Q{M#-pOXHmXsxSc>OM0CO66+ZTs5X#oMX0u5}v&s3b zYAp2n)q2KIrw#X)Tx6=8Aok-B=Q$Uz!JO*l_4S>8-ulpJ{IphRysI|!5l43<=l=#Nc%8F z!p|-V=6MU$D=dcOq2iQkqUBbr6{hkenH6LqF;z;tYj8SuX?0axyUrHscxvv2n1>ot zmr3;e7tBiSxf$NY_YDVAEX$&{QR&eC-0Q7HsrjVC?SC-4_njoG5wNq|@7J@1C|nk) zC&=!XsQ5|YO9J?T@G}7vmZ{*n0$nc1YJEE4As@3azj<>a(jLtPItgW-$YKPe0S^P( z%TW&F>1%hatDMx$yk?5@+L!;i>3?X!aIZSMz5~{}dE!<r-St7tpSyK)y?i!M&`^E)0nAq@tg&zoil3;2B7IUm|Up5ZK znYCWe5;@O~iB;E~!*h=_I@qIV>3ED=Ukpr?N(XFiS^}~ef{Qq;i>ZMw(`PGZm{j}=>fPySChv5WjMS`adA8VC3~09~mfM(E z&@p5MEU(g~Pp*hmTwV`W(m3WccdRO7?XBTZN0v~a>k$YvCim)Lo;|9? zK&Ngok)!{fbnUg71U)C*6J8hI>~CJbbN|_!ubu96_UGq?pxN|<3ssj$%v;SWEv)6w38vHXsyz}g9LcK1$_Us+`^2@K}FY_Gek>0p@^UYy$ZbUUX@%d5o z^Z2;XqiVnadpb6QOD9^~CVrrI><82*>!@c$(W5-EteRTU26k2rP1FIRXQCEw_2adF z5m3#V5yhNtFQC}BAq^Fv=2O6pWU8Se7zi>FZQcIT-FK{)lgoaWDYU!4EJ;Y?SYu-N z85YFkL%>2OCbSw>IIckPG^;?^?Y2`A1PJHcAYvCHwg4g&Ajj5{m;G|Nyohx}Jw=g; zQK=CYi-Ld?%)pO_b98m4-ReSL*kiJMO}O1(mzh`6AX}j;)J`4l>>q4@YU}TA{r=Vu zx1=A|#NRvo=HYh_e{d*$cV9%(R_$m3Fz_OaC;!6S}CPDY56lhjya&uGApswfkD^ob9()#Q&Qb^$pA1u{!1 z>h=SQikPCdgQFOj>8TXreQL6KzS|Y&Dy}^TifLd6nrL=-J7AdoJDE%&m#gIS2Wi_- zLMKI(ly2YFZGdhA^tJ^H4x|Ok&?FY281Apk%`Ioc`Hw+SUoW>!NQ><|8Q!7xHIGjl z5R=)GTwbO)GBK+o7N&Z3NW&=dh85@|+rg{N6G@sUA?k)%v$7XH6zkhyqrAowur2o2 zc`>84sdsV5^lz57ZDrk)#dLdbJqN3Oh`TJXt`Ie*-kj<0+LBT2Uyp=js^Om}9idI0 zyF@jmCI~^=ja|!v0Xz|sj=3>MFoMSu@I$ZxfAV?J7N;;;tDP2il3(*f>^Iz_-t$Ek zUN1&+j>XRvS821IefDBinm&X$DiBns-k~pvdim*Bi6bOa0RKG66BmRX-f02tIPT6Y zv=^3_yP67B@*qF%;i^ zi#M9aAZMmHpdnD1)}Bh4P=pHphu043u(>}QWi@7W|Gs8r15+Z<`s)6@Ky6=~D!|S@ zi(hkC?do=fHN>-Ag9_LH^(6@zVV$qCF*8$}lZEsg`;%)~o|hB&O=1gO;lvqZk9$y% z8dd`*a~tXhukW)cogy#3pgP#hR;rFv3+mIL8;1&+VoS7hplB8_n&ZGq;>=`B&Yg_@ z!RdN)U(u<0q18}XToo3YE{q#9=iusnPwkv%Yn_?sPWi>nU25^LYzr=c`^e>s99^un72p4y?}6fS1vNPl(#YGIDqC<>sV~12Vor&t5ARpN0*>}E%Bc0Pz zcL-Z@c6J7%OldI-i|kr`%&?SznQT`K6q&hOQx2bQ2}uFs5ijkJlZnXO$K2}Y#O38) zvsCJ#bb3jko~NgJLMkQnpxv!c+Y?i6>~iC_6k%U={ldvP!Y zE2EvG-h;)Y=WV9I?jzS0wpiN({akbDGo8+X*9ka1mh0$MQFptS{2tPG^W4`-$+Mgsf0vtK1PTuoKRfo6YHz=k2a7<3ePDpr*vr?2mG`KdTJZ z$%UCMc5Z$Q6dAU}jHq_GSRbp^4(cPvW}YpKQwSqstqH4fEv&#)MG6o)r+LK5Ha9)6 zUTmHN`wdqEZjh#*xXgB=Ww__Ud_+2io_CSw7h(6ttR(@6NcF3QG;~*N0}$q?<$^Sj zBwdZtxH1>`M1`<5LTs%yCGHZqYc18ojUmgu@REN4?DmJGwq4YE>vm%h*ZhIQ^a zWc6}+xr6F<7|zkC&i;69i5Z2txz6yG4`qKoVb^+p+b}U(d~6~nl7SD!#*VmA-e#x& zV1+vnY|$I+7C52qYo6OR{oAD-M_NtG;-yGSh|}y$V|y=>%6kxRLL8)s8c}aEjxCrn zO}}qTB)@aR{awm*Bh{?|Z`fZX-PLL4Ph8=7t-d^8YM4<=Ze zRix`_=;LsksR0^F5gmZk_>8!zl%9~q|ING$rq>O{p_-|DGi|f;JBLPc!&K3v-gO7d`=Y2hnPo}y*<;v zGqvGL>j6^+)je^o#M#vEEd0w7do(KSv65Ym5T?p0s?yl>7b&yU#m*@c)fakMsW=C5 zjEDV%qljdOGmw=UhS#%uD=Uo!ISd=jk!-Z`)lRm`ywS#ZBFOwCAM>b6eJsX!d=aDW zgC{aJA2SorojrRtwnXNQY-c&#_!FlqOUuYgc?M#~#;WT$SoNs4YATrh z6`M`4P$&SlCLrf=KAT+wYwsN;e2|&r^`Ix9jt42Hk1`Xt&m67th7Hz2ZsO{DV6(#S z{o2b^G+*XT+_#YR?#o~KN2dRE3;(`j>8eG&t_FdY?oJtMD(Y6(T5Asp}?^?a?Q2-#E+tSqiCtoQpXx@opo+D`zSje2n= zvc5?GFqrH)%$p>PKZ97{gD?FzYX~tfO-)_APMkYfiL@?84-NN}=;y>hOJ`x0J2qwt zW(W6t&^78BNgw<5nj@>k4r{lF1HLK)^$kvm_|Q^`cGHljBUs(L?hChvyEjUE969d$NZ%K^p~OP@C}D>f^sT zbK+8hIa(Sbj}mh@q0~Rv#rv<4ECC=(QOPRKh|@7r*cr9}2o8ps%OlKm)=teUXG zVp4X0x=ZydYAha{zOaAc%9Vq1K8`mIPIQeP;Y=r3GDgr*wo6A@p#(;%geT|Gf3TR< z{~GjVm5)#^08c=$zs#ywcD2r?I8|;Ttff@uFTYNlYc{sGUF>*e%K0y}%+!K-^)}l~ zD+G}dVAL4)g!#H8N;1;^BOq8(utuNLEMiIfc`4J8m`7Rh03r^7smO$(>scz)4A-k! zQiU32w6;}?;0!l3v97k+4kDe;%QJ`Em;82eHbc%ob4gh3$0@Nf#s2Lt@0rc-3SvXp zYc}_UhJ-d|t0=+I4ZZG35Zy@mPJ&gAT+PMF$fKO}2!8PXed^4aIw(;Misos}OhRu` zWdJ6;aOhKI+b2g zMU2zaf{@-lyM6Y;g>>BQ>S_JS^{k_Lh~q-lWHo;5&rE<_!lXvaeb^7)r_XE{z}zqa zyMto8YN}QM^VCPOy1*yaI+?C1m$Pn~tYdl}TNe4aXePThlrYQ#Q(xy55mjGaT9O($ z#Wj`UCPtD|3$qyyRF{a14W_~hUc`J9Ew)XBjr(cOOMjkLl(n7aaQn)MfTc9%$za{m z#%!727YxB;maf6<+;#5DoUYCmo$PGjv^it!Ds`OIy@Q>Dix*c}TyR8EHjx@S;zkH3 zL)f7yn<&68jfm5i)JZO#pJOBcdVsQvmeg^)E}V@HG;5Cu4|HWd7FgblO0U$ zyy1R|S;^-eB@w|Ch)HUo`*{1DWho-F6#Dmxyo{LR<1a7fV7;nYFcM>1M#!)i#X=Sv zPF7yZaTIc|yUX`+vyz6*WFIwkTDO^pt3slgBw=|Qpy0Tt)DUh8pB66nr#fqL`C_o;hwbDSX26M-0C08(DJFMopEONc+i;K;o1bH za{c6eUQ$PilHNq3WVE&8`5$8qI*{)1{=fGD+>h8JhKA@FiU^qf2n}}ojSMm{3%PGX zOI1}Y%CZbKWKn-l+_5<~t16o^0ICmxjnT4Zl_6j<{SA?dMj9BN;$Tz}*@e)oyh{@D zBJ%aAso6&3uB%$mFqt-#ROC5^VtmLesmPGGB30E4tZw1ZG##ia*4a}rtUjd>n9X5; zwS2G)kY+6hy1h-y>e^;G55rz5xdO_xX0gyfk%&eU4PpopENh}UH7<`z)|+K`oFQBLXHQ+EKDJ! zU(y|o+7)HB0p{7ISJY-vG}UzRbH0r^&z{4F!e~=codrD5Wf3j#ACzhA!T2B`l9Se5 zKzzKrMPPV#i^%ir6H#~feo=Q6g&2{y=$9R@GahoDW)~}Tl2cQOTD+4io>s$3HmsD5A$dL zhj{^iEX3TG^5tyS@;t-T5lS2BV<3*ncY80AY4#>_8|>YF*Yt07sdu9Q|;KdN1(zA4KXuN>Gm}rq|dC8w(4Omr6;|B-#IT%3k@lsoSC=w-LD!K?#*wgLV1u><$kVTFEcGzS*mv9g7czPiQZte>X-gssu zcYgQbeyB5N&}s2Sh6Q^!$815FSnHIaAvcwHWCk@h5BsS4j9@{X0fhtR^-PU}8FrzKW80o~dL25hV7}a5};U~g(m~L7W4uu=SJDhv)!Q$NPta0@hUU@l_E`YI0Rp}e}xY-t`iXeO_a^KeAR?BENy^T29Y^8D|6HL z0eY6`^z5lqm(HI3A~j@%=(c;tG}$M_@g{sqI_y2!6)y5g#|JZeTfLQTVX?9}GeaYH zE^p8EdNk;EDP*BCtv@EOPuLT_Bg4Y#u=#ajBaZe;8Md`2TgAS@zcpcwCp?-Lks?1d z{jV4qAGj6OS2HG>Q|K!RIW_eV+P2HDo1&jW@g9rRC*$q^UB&)avPkZJK5#^|9K| zews{Yve~Z1eFD7F%nXO(QI8(e^T#yeFq}K;YoD}KW8aTEz8u@T1J+C;y~tbki;71a zH*YkNBFZX~4SgZ_{B)qBUEv+T>1uJshh(YHH=s-P!85ndYT%%4Q@9wo(wzwu)uMV3ZteV7!SP~Tq(Tp2(K z`Hd7hMZLQQjZIr8BvqQVmD7%x5#zuX>(jEOFHCVvnjf zQ(+jmKt;5xOMujGeIlh&ECIZl&S4K^g3}PUb~&*#)h$1XGk?rh{HMZ_a6z~#yvWzQ z{+#)A?kV$PPBP8S+~zSqabUaDNv^8|*~yba6HP8lBfx5pUu|{Bt*a2#U>pqvz8jH2vaR3u42v|nXVEaHc+q{7r1KT5wvS_qR+wGtJ)Vc3DAJ7v zpbwMs3Cxvkx%YEz@5`#9;|!BdjuI?z3ISr*L|UL??m5$W7tIx3&9M`p5Ngyxdhyg~ zC&STB0_7gWtIR=I+Ho{>ch}U_K5Du?SK#ETG< zCtUAvaJ@Ii*E{ih{wIr5zRm1FUD#kUc!eXa+cOucr+2)~s-MlK(=#P2&tkqAQ?FKM zgqg{aLnCMZXgg1Kd%P#BI0aAIy_0hH>5y`FMz*VOS?>7)b+@N2myaR3l+=wfobm#R zVj_8b&q(E_6QG-Hz+*KHZ1couxgGv3lkS@x1I@@`RJgOrT6b zvRLE|RTXiC3huhLp%;WhT+b2ZAkgEY?$@-c)h_9pu5qoSVwK&hDoZbhQ^=VeE&C2- zuH4!;adt6>GG|`=hlcZF2;S8u9^7cH0XWeo1dmiqaJbR>ruG>ZrNqCun*pO|S{PH) z?8x$#>!eJ|y)&F^1|`Cm^UZQ9= z0^W^Uy?tylHtx2s4Nfday%A2_k6ZdEy0-@$;GGPy zxOpQC&G)|aHp4r+Sv}p{z7{$VIf7I*C)`O1W?grj^54xn6#q+R>wsZ&_5I5>KYpWk zsTZ2e5sQuoIMb1`?XhoJ_)%Nzp8~;Zne-0T0oNq!?q))XL1v3_bP{0RrM&X z?N-37I&sgeYPxEG)r5PWL4*bH5@dp#S)yQM@jnyDwNc5?IM)r!b^{F#MK0u=4GdF< zQ@wk_*50yRX=}ZeLtBfx)%Z@&YF=4SwEh+4_QquP-uUg(GYaT^-AJcPs;-xaqLh-{ zeQ61VI6hulub!#a>tKn0uGJ6C0nc`Pb38J0Dr%V@1 zo}9pYTBx&dL&mtbSFqismhiqHr_tmHYO4gFa12%m*+^&dwq(wfb+|2>;lZJFjP~^) z$fVOFgfe{1#{z5(&dy-})~$?1u*ZYQ_A@fO4@U;(yzsm{1p^QlGbH3vRrdyR0=RC$ z3b)}FcC8Qj@W&9{elIx%N698P(jt*ZIFmI+nofe3b8i_l5zSgHUxr^ejRE|m=FK@VL* z%}VCE(gl;qLvh|O6l%rdyfB0aP%T1{0Do$GBiX6672Bf+XL6{tc{@u*NDvW}<24J@ ztq>3i9E7!Qyz)j?p3b0ecsVaY9pDigWG!z9NwP3MO?IUU@C{YK#SfHsl`kk?legsd z!N#w&zIgw$d!KH-`K~frD`rzJGxlyQU0K@OyT3RG+NZW!_wOmn)%#aZTb$Z8V?94| zSg7uu)u!Q zvy_`xQYM6zntf?Aa&R_Uea#G>3UL-$Yg;L;e(8yvMR@wPbaFBE#5{tJ^k0fZgu&gd z2-drorV;}Z{UGU8Dk!5#_r|{LIN04h)1Dm%?PY;wDGC$5UuD%J85OUO4+c9kPoo9H ztv*fCW0rXge0$;HyI?~k%hx9NUuY#mKMy0_N;_NkM8yQk$xdN3LT^wo{d zcAvvH({OzO4i znuc#By&TLID9pd0%3+@&?yrPXzEP*TULMx+1;DI~Z0jlwOpMuc$fpz>w>F~XNCLDz zfkIvWi~9SBgA=fsTec16F^=1dK8lu_x+Qg*nN(0oydy^kgv+#eYkDd}aV{t82!Lp7 z3yl#Mx%E0Cuc$F-vkC4-6RvJD>ZXw=3``|h3xsYZmJ4;~h`$S`=PP51_?9SHN(qjo zIk?F5*hvgfZ}f-Ho()NBSj~*>wbB4dxHmjX^4{2%W3E*uch%kDe4uADENgz()n{GZ znG%_Z>cmf{*Ufe`Z0RDbjQe_aq`0WH(_${@by)m$Qj3xuuP;d~cpXhIHYchhrICpV z#C+dz(AAr}H*eiSiW!-duAMq4M#RY?zUhwbNBd#p5*gLTz*pR6g7&wQt_ zeT3I3j33N^GUSD~GUfQ6kP8MK&ayGspoL_Ca%=_MZ>kx!lJ;#mg{<52s&GtB>v@YI z%SxGmy>m%7X=gXVZ)aU%=4^jV@)(SvU)MCej!2p@2`aW??BEUC0rjyx|3M-lF_V`q$Bw%W1{v3dta}+=_`9~V%DiPquW{diXqYUcgyltVEL|~e` zGFKqI6#wuq;V%7q!0%hiIq*=Il&cb()XKAqi)#}r6EidAD+l8To110q>wYR#-rKpn zbKyeS9txid;|C{NldrtU3=l>g!>4uIYk=cv zjH>mz^%UTSYZ4mrp@Qu#k8%Ht@RBDJYLek$d+0iR#FoB|$SML4z6w$jx))g6 zdc-W8`W_P%T92t%QPb9v6FfWV`j_|I@Vip+>+orJHTIF0{(Wwgry`1*PPFDkd$QGF z50SNTU(2p_GCe<1{(I6J-q{*ADK)$(K@|jt)%s{%Q8L4ErQ&70Q|jIW*guJqJ7Zdd z_^)FGd*=b~YyOMdBU!Nx|AQ@DLxE78FUz%Uu~vMr!MDGns$1{Q&wo-=d27SQOWFCl zR@!*Wr_8p#nZcg%odtNTjxE;k_Z*eSZsl3i%Yo56#6kJYE8SB5j15@c#cHpx9YuURhFBl}(A> z#wO#Hbw08l$7X9`d0}nMZ0pTt(JY>(Mu%0CBb}ZD%R@WuAMH*z?LzIaKjhe3U4&D5 z$8xDE8vaCu8`&`t2q&`eXnm-H3Qct@*SM)lLEN9??T^97gUoE2P=O~hCQXMr(ri-5 zr35i&$`pylOxD&@v-tCwc1-YM{D=8`(x5N5cVndh-r<9U`#`cgWR)Tyj_a~}1m)9d z!&nU6oEyiBa&_9`#no%q@FISkIXsoOk?LHZd-(^d^Et^- z@)^thwH2}QIr#Y6f=#tDF*Qv^dOmM)4B9XacwpQ)_nalLp%X{V=9qyd%yn%Jshl0` zq)lNPslBYEyOL8GW75=J2_1rD=9NJt#U0`ptYu>=gkfm_@bM%(XIr|V#lstElwU%M zr9|CL5xseol5#=0tUM{J?u!?fm-jg##p3?#!NIN@s6Sb@jp8a$pIx>p77fuG9-y70agfY7QUi;>2h zOq|r)W?dlJ^bp`b&s`H*C3(1Fi%yV~``}f~_@4c^lDKOHJIelvq*L+8> zGFM$)-QL}mo}&bR!%w=Wn1`FcAvaYNQqCFPo-sI2LF}C>&&;GU0l@N+)X0gys6VDX z*e-hN-`M+8yUN{c_x3Lz-i67zxjC+iIRS5hQZ+@r3UwMO%WE@-XqXElpGg_ovLB)- zXdEJ4?6R$cZ2n&5ebspvY`tKvY<_Ijcy%X>t#OV*5>MIQ z%{k^?K5Kj5gePB^kZXY@h0_|us$%Wz*|X~rAp!$FL`1FwI{OvIHH>o}SBth^U|w17 zM<^pn{9zEw0o1$#y76C>Kj=$mdLwcvR(&65z-&h8G`7M}$GUP#&(~3(eAF9D4Y}~z zBQR#KSOHaC$!W8*u<*>#mnIpw-)|IcDAhsPC zmKA`C2D$NZMF~=F)}5Lf3Wkp2gkvL8hl2@&i~`9Ndntq07AI@k${9r9)C9!x(!c6(egSw_$pW@1-|<>j4}GE= z{?NvTU#``BwN&yKbiLsp@5>tO`0u{sTDPe*VHJS4IZ#IHA8hw+&L>6)4`!-w-hMJ0 z&_YFP?7e<@!!`-( za(pwibz%FtN4Z=jpIIF6aK_*x0ha zeEf|cySM%M^+C#$PDWuMDDPh3?vr?MAh^@R*q!~DDNU%<(cI89;jQj*cbXDCYl7S7 zrAs=B2%clocHXcY!hDDIBQHQZ%UB`C#gza7A>~CDX`e zpW@~FAcb6&nbR}VHa;*fUDCHw*h!n2^Pz(#AT$RDlp!2&rK~vq1bnhF5Q&^sUX)DY zsqGhDFjv-x*30EyIP%oimg%52YBtU5Ph7fWQblQ)jh}%pkH(H29&6B{-eu~dsZMRA zlMak>(6KTHvdU zAt$F-?b;J+&940xXs9i;ywg?CDay)8@vHPt9bpaElT5s))+od8x)wKdRWrv{@K!t| zv|#K~mQ&52UaG-kRL);}ySop|8Yov^zR^y1~RJbZG+mMT9kNU(3|BRLzp<$JMB`UUGRU#r^r% zOK40y^@$8A$5(Bx$7u+VG*#2DP}}m0ncAg#9;KRDL}uW9k-#@wJcu9^Ljc!O^hav(FZMb)Snxtue-GO@D0?%2NK>w3+pJ#H)7 zV9azh6Vll_lVo*{u}1aw$eE`|=Zj@tdmcQ7Y@3sNl~qxbgs%H)Skl6rnbgFiIGnMa zAdqQlNqV5^*ipZ<4v(ABmSfGh3{NrJ8m$^)Uzo#7{OZ)Xku1v0$B2w0O-Gz~8k)5I z*rKLx6VC6uaPvu$o&Mt_-!h`Kl;>pTZDDG3xN>d#^2X(B*DR)KSu1;j5u!W6TN@+- zshSw~duftxjmBYZ!|dwmAt*W~nG<^RJ+bcxnjabwc6XU)d3*#6r3C@9P|HR!wBn-X zrok7ZHV&LA=YVw6OMc*^#D;$g%j_mQ4##`x^tOC$i!5N0~ZL ziC`Wl%oAe&Bw*3=NkZ$wg_j>NceTLW#fI&DT-w1z<jT@CQDw zUz#eR(sCqcxy*!hMf&Jb@+X# z?>C2W6*JacxpwW!mFE1!M5dWJS@t~{JRj-3d)$3Ip`Y=jTDn^KpjQ{gv)amPh0WdwvZh)aYRPE5r% zJbgD|i;>@{s!ouuo0c&dnUefjx1$IESJkHi2vbVBe?4;kpd&&OC$N_VJ z42FzbmU8C8hXD+kaBFi9U%wXWFJL6+3(RGzJ%?1H5N;l*6cQ zBc~Ez1|kH}leC;94gjzJIoOJvGNeo;J>7suH*YLo(MFetwaRi{n{HohFE4v3&NXe% z+dGm5I^J~G-Q(ALv+*EJIK1O-Z&AC~q#}E6`&+7OMeR5d=Mh1EkNvhrTurKz`h@Cg zDS|IqzT>~?S?NqxjcRMnShg*)eDv{~x|}G~4n%O*$~Cf{k)0t1o1N6A8hFKGAJ1FK zJdOW;8e$!}lE8JD=OBwA6H{M}Q?4o;3(+ih9nWAsJzt5K8Mz|#i)pN@wliHF9p@-D zUO+R1B1A35yNp(Um2ergK$C7OugFaLlS<{~7p~ksxOH&lN+m!TS4M`i*~QA@V+*XE zx%MtQXmm52!v|mx)P(GrZ->ANn4c^+lzkBm$u<+W$*Up^_UR_;Y z4kingN?@lHIJd(`p30@}Xx-Y=+l77|mkW5LgA(`vu^m`}N zp9@{+mDMh}a>*PB&b9(T-dQs=`MB++LTtBeZ-Zkv9bMBP7PAEk+}BORX)joe{3?~i z$cyKxAi9nz$!3|M@532I%QZDO?>DjY^5VO?vGq?Z$$O7XhgcAk^5K9Z*Y=0G2A?rO z24SX231=uOf6mX+qFjq%6o|=6RG1l$7#e3fR#{=z=0=b!=c~-1)eN!E6^lbGEcG^l zN;aFcGNYVVo&djjd1F|)b9sOHeES?&kvp}qu}0(0OmVVUt={qBF5G$U{?)5%ch(+X zRyo#rFerU<@LHVS+0lqk+icGigA7+sZ|-=?|1Q<)nm?xqfMX=Z)3^zWwscue|X_MxH=s zJYO!~$=o?{0?nQ^`u|A&@CmbTsU#Oix8N7!j$xnDWZ$4!Z`AuT@7$hVey&5!(XDl^ zg@lgV?y?|=f$%Z4LxDu`6|hFLaw>_dM226c6*3zIsbae}(ssd;jgI-Q?vCaU0<2>kZC~;TSr9Hn#7%R}Yh}3(hRPBU zs#~7zaIHL3EK%JZD+!%vn4F|CqDNhvrf=+$XI>q>oup4u z4B@P*S>$!!u`Yl?dKoah$@S8%+(TfxRC7j0&subXxoto(@KOVGnNWan8S^bdmYBQc zBh64XI3dde*W(2Ga;emMJ;GDZxwLG9np-+HUGi0V;E#|5_n*Sda7?SR3?4{WE4E4L zwPNuuvYChBx>*`r3~W+Hk*NDZhkqtmQd(hb5?3IvM&X|Htd$PPrN!dV1y7&3pG&F0OBH`z!v5OEdV-^8$Hzc5%n93VMFw0NkhA4cUuY!#&^M^www?J5mM6#3@Y^x6 zqgB@_r7Yj1MIKZsE{3VJj%ef}B0S3|ZDI@jiRSz1Ajm|~BZe%wt<;h2y2J@7BGsC$ z+9pKNj!787*fK#;I{gST^7^4tO4y~hzR^j`0PuRf8yC^?|v*Gbc#9) z4D1rz4Bg==O+*iTLfgz;;~+t~#2S7caeg;;W#7AGSQ8cnh@df7w?l;ac@|p+=X&)f zJi*P7Sb&cy-^}NCN~LdeLr~YEIx>+>i0=Bx8S=QnnOux+GD;0%X>L~O^3+M6LX-ut zU9F9H$IPkx+Vb9&<+U{)F93ebJp|aB%Sm&qg(g8Cnac zvGjMT&lj|mpA3ZISvAXon1zgGXNCXjdK%!A!JM|_qnjR6hew5sO5fJB8^V9!HS2*< znY5@qH>(cKF&V4g)6~p=K!i)1QXB^xWsR0n9lAQZnG#nZfH#dYX0I&9ycf$w(gj$afdCOeyQA`5L8cdTgXjsTk!e@tK3H zC)lRLhZ$yBt|=p}tCja`5oVoAe#pr*1q zHbS)&=(@papqc<`z~h1LxvE7*EK|Z9#}lp_x)7B6W$Xu%$D!7#fc+ncF@LB$t=v&w zllje8?%sZ8Hwc=`Be{{St>)DH`25n6(KJraZ#KKR`V(TRb~Rxj#nI{k@cAQf5MH=Y z`#FX9dtz8k=dw)A=LxmPvV52mQ6m>LxldTmUPAb}41Vs0nxSpizj@K}MGA=*T;h5B zi~vvLdPCs@!L@v(6H=duRYTP=8$IXQVOZ5>=aD|+%cdHQ4kOD5P|DR!Ps?z}mi;`K z#;kydLn$#s5f+H2W;FP7m~E=maa6`4W;p|5QC4#&ND+Iz9f#c=gB>{w#|>mpwAJHj z^?^-A1IjIjNcs??cL3YVeC3zmgRA0~q&@r$76-Nv`Lhnhnd!{*I}m6WxGIr?Va%&> zInRR;n>j>7+1nI^X^`#|D(l~UK{ z0fdtpTv*GrWRgl35@@rU1`4NfUq`whIS(~vbHwwu#vO-HH%_)8eW3hQ`94ISW#uf$ zxUwOZ%lX>Hi~QWy`g)T$kBGF{?2}Y?1rT>dCci-B7)hNRVE(Hg_S$z!Pz(J6Q?k-8 z`Be`#m`zh@p2v(DNVza8K-1cp3H6@?l5r73M97ZQDFSQ}1T zDww?6)V%;eAoN+@;8uXQTpDO#0Zh|hP1$CptOlN=*T>na5$e8!f2ZM4fhWru0x}YD z5u%n-X?kukLizc#QxKfE#X>usowS5DlH{Vrhd+iG{rgG;vB=*4W9-XgeZ{N zch{@Cs_%39nESrO88*-1C6c-*niOS8mL=1aEX%emWi8vZB3nK-al9MYan|q}&aNE? z!P-C!!+^8d014t8yFM1!Ab$oyHbH`Q4r~_tXYyWk_e{?WWu(b&LEY0N>#Og5-|xGA zpK(=JZ`jq#%~=?R@wv%yStXwXZ+noch$mA(6SD_eOx>~g(ve_MHyOT@AM%d?_a^oz zVop}V?{Uw?P_w<+EK1GT6A27Lj6%$RcSc%1t~Snrvy_oE=pe$XRz#v!a^Ad*<#&|J z^_)LY&TyE7_`y%nCyTDF+<+G}BUo%kCFmz%Rj6Ru%%p42)uk0vAF96e_;zc5NhQ^m(q1Mgz3xhk^j4^Qn)t**{qpPjIQ`}4ya2Um5-L1;2kXE?1F zjVHUtr1i%JWV++ys-ERObwb=udBNe8lNWk`g7*vn-oEausvSt(f<2IfPdv?_mtXLjHuUoRch^6LnOC4HRGh}s>gj08%Mcu^Dj)cU{3mk(IGsLFB-w?ZS+W5IqF{X@u-hXgf_jXMU z8uJUMPR-2E``zhjf34Hm^>>f-;Vd6iDUPY(At8>MS&!^&ne2jF>2(4i=7{=7Mlw}6 zs|o``XrPqRx24?T8?otb;=8&hY49*`PhyWC>3>cSiR?I*Wl0pkVjU98H_!N-1VP0L zLvE+pdrTMEb^-m-#>UR(=I+kU^}bJ-z(34)fNFl2zsPrh4zGVvA+t+b&(@cw>fMVM zvy+|9d^Ue5&p+&H9_z>Cg^xHE5gH31pPoHb1V4ziM*+lDihP_TVb%kcjxxAW$*WkD zHtdj68by@>2x@inZlQDm#5dEJh|21Qr zSNOC$@b@nDYn@KD*zcc6!mxFsb*$tu^kU=7n}p)(B9A5C&;tzC*yjy5`Tf+DjWV>= zL7`pRs)5FIFsHdPsC?f|?r$$}Uo)%jalsxt1;Vc2v?66D2yZBFSB{niidcP_F3p2r z>z{<7@H|A_j1`3yOe+PU^20nhtFvimp{dS_AR%m|(7_=*5esKNgi#=^`MUvOmJ=DH zc_F>AvY+oYdQNAQ$#d^@E<9TGOrhLjHR*&M!>E=TSz~Idy0NshQeAlfQTgG#Wp2fz zNh+~CdKpd!9b3tfChP0U%T2(mJaeXVH+rqb$kiQ&5V;b57H}%3V6y>=Wy@ux2@oSC z<<+Vnau{)>%GCEEf6|-Dq1R9xW(~EQB3=b92$9IEd>M;i3|5>h}-|NV{8H0qgF| zVWwPr&$Zl684|ykPs`Li;?#-k6M5E#QKhi+6TbL%0~62QD3(*#mUatUoCao~kh;H; zaC<5QLb;5UOO|KFe7Ik6xZfqsne4P4o*C5IdzX7lofBri(=p4vo=I~S%Hgii%*@`k z$8$94_dr(4Vb1pfJ*lljR)6`3l4`kr8)S5f`=?9Xe?rrLY9bdO0Pk#Gt=`-F?m527 z^{5I@D4``BlQ`-ri%-h))a~}crEm0wKusRZX-%AiGx^l6<+&$q>ce{S2-}i$g zDfopW#(P3CXrIz@bk$?dlqC4RHaSL;u>Tw-}`Ubg?lXz-YVsqLR^@*=mNV z>nsx&#s2jz9fLx3)Irp=aj;buPN!l9k+6GrLc5S}nq|te>t11<$=fgKB@5Rvz~at&PFmlXOBCpgJ)>Im2^d#1H{nV)wJdpC+Q5TddSkMCZ0tFo^C}d&O!^kI< z71Hg1!n_?7;u!}icfwC!Lm6{u1HuIJkQ#{s66!v3RKAT32~OdG4lo^j3ike1_(bI*cn=PrxwbLW8W z+*|~UhuRVkav9^wE;?`vIZ?zha;l9DGzx1r;@CMDqinUDwQ*YWU6985$RGcKe}^fbA(zE|RVQ9;T+=_h8FH^lduR0r4sk zY#n4h%1NEegi=-|A*M7d`dBHdAN&k*DTsR;_5!^clfxvlgn(EfGlwd01ra7NT~d1N z7P~#OdQt#C_Cnx-Fa$)Qv5;N@$WkGHY_@!8BOfl6g)P0B30UA-ajeg`%r*Gux?W(c zDO`5jcvjbJo*g`0ygIlU@}dl_q7j}L3_^wQlVb5ycgREnoCpQ6al|as6!`@F^4qdwkVqBqey&^RAgY7aUg`jL!B~v%Z0||MC z`)^`-ZiYENE;M&GIK^S4VlG;?BAiy(9ym$q^hVjnnJ9pk`ojm!DU9Z3L&MOb-L?3M*nb@C}91l%(-@?j> zFY5u3>!^KFf9kyJmnTinD3Ezj_;=V*q3=0@J0F`zE<(gNk*T>W!vZWwBs5_#bOk#- zulrwYmg;=5!@{yzlrb`0pE&B%C;G_64hc+4x9X6jX*L4NOpjowPRZJaEes4iQhSMVI}Chv!#OK>W>Vm; ziA2C5CVE;m^n3?1o=P)LLJJ};eRzwwb{zYjji{*jFs(}0bV;Z%E)|YaTLb}hqm;uS zEwoK+svrp~NF3LoZTn!UB(R4P(}uJ)#y9Ri4od^!{YVC|kBa@iR(^jkzR; zyM5I?OvcT|!{_>rIgtm+fil`Sehh~i@Y=1b5s!Ixv1sM(Li}bSHi3JS2n5_)AZy&u zP^Nw$5;l$_!Y;m$2gZDG|+Wzfj$rU-;JQ?V@#mHf6k zh+wYHt;Ud9>d*7Ko0flD*}6xRmwDZIc+i&Yb@9=g)^mHd5PQI~_Ud}}Y_D2O)4eNa z&TMXW_qs>=kB$MrBl17A4E|`Bb~irSE|VvdN2%m^vU^Dbq)9Y%EbJXQt8XnYFALx< z>l6vMOx+`Yh5L(`){uu^aDh_lL;+bs5xXWn2#|mdvaJ=9n<+#K*cb8li%C*O%n7mv zrlev8DxQ-a$5DYSUk#*N1;Gru)9cO8%uEFcMV?bW+eD$%jr2UxRksggFM2rf%<86s z+=+`;6V8D;&<={3CryTdgNTs5>nYX7$Jn`xi^~$ zfBlx(T+kFPnohs!NTuiQIk)XeWz%hh=4zlgrJ0J=Em);aIYc-Xu4m((q|SnU0g^=} z;%q5kwj1(bQH1O1^r=(T79`b(;<`EzyF8fb+7_VkN_lowp@RkGt&}{g|MyqMe>MJ% z!tt6%wKQPo+Vd>l-Q3(BY#+{u9%@gYOns&g{y@nn?F8Dn?PWo-V6FC7dN1ksBPu7bnAWLG?_o9Mu zk|+sX0;^NS*o^(63cX9OTL6gf{0rRuwjTUP-}i~D?JmwaP=7YEyCtKx&r0#m z;M!7Ub93!V`NHM1d$T(`XFB!z^nlm^qyk&U&&$Br1OIXFf9;vZ8SsNMz!-oZ48WHM zz(~QDQ&7IVcV>_pMmjjNce#w@nmpJBsv9O=y`z5XU;FD353R^N$S6))?4gZ5SY|(* z6lbWipfEBvJ^@VE%5Yd11xDy<}52XjO{h-Cp=VyXm51Clx=xWN2IX;#8o!UJE53C8fB zDWr#uvwD|c*Xj55wir^gEK=}KR8DMdk<DI`g^bch&mJVSC6hm3W4!etII$?uo(jOdE4wh+tWqWV|M$bivY=LTFJPWWuMlkd0l>QL+^)!ecTGJOKuT&-l1eI@n(@mbPC6Y!^=`}eNTVpb3@8V!&Us-z{!bC8cYc)43mE3@_G=(la1hjq~ z3&9vm9oW5p2fR1b-|AJFm66bT1c&sUH}pf3#K)fPf7>I4l-UKuU2M(HYD$uYg`98a zuk-k9LuaF>)Ccv2db>^ayj-95kJPA#m1(_kWvrnZh7HU5BiH!AsT7?_<$i^)DYgB+ z7k?nj(%peqGUpS^fu^bMZM5h`kDm{sf2ETO%j)H^Y6E=?h+3jo{*(#ErW3{)<3*i8Inmqd&CWh^_W7NiXFN{GW6wPHppI8{J(ImJ z!|VwfT~?ArW2GM3ASHgib!No4v@u+Rvf>=uezMW&Ou$_A)d3A0#)??a)!Y&OS&s?f z)_ThED}@9mu-rmOV&Sg@4X|1dZC1@Z^`l=@(HB;R3Q{iX!gXZKyIh<5;fMDbA22>_yrHAFn~#0`)%u6l z?}BNwYu4-RndhHpGwbV2X(Kzkz1?E12L$zpCK-nOno4O}Yx@)yBh%+N`^F>6rK$1g z#`4Dc@~}NJ-$*m!&EC(YzT2{Zd>uu1)Pp?kMSgZ}ZX39cV@BArohFh5V1>mZF(=Aq z$S}b_6{blXi%`#>f;=^SYy#OP98(tZYV6vTG-$^lYRJ$*cn|wmP!!qUm9a z0+W7kZ^c*G!=n&kOr`xbm**8)ak;)$Gs~M*NIKBeB*ak8%4!n8pwNt|!n92~-z+lU zfj|VAA0x<8zcQt_pEc4#?IA{_!^^T zbam+}Nb!_~&)o?mN#olpaB|T(sywOlxg_9D;w7#!o+TV8Uz&Oh#ra0|@owU-!V@P4 z>H_rA$ECJmELGE{LSRd)^V?@;ws&{g5_`ZU9qvCoHiv!C&^8Gvy1#Bw@vWy+IQf)R zVTzf}gqyl0iCGD8oTp{@7I*I;{|(Sl@19ndyQ!Xa8Jz)gb9;Rqu6rtOL{d|XNnIx^ zM6M-V8OJ^om!t=YAJYA8#mZrq3&UE?Ul{+}__>PNb&dXtvTRBYx1YG!JoDUcb2Chu z%_m?OK5_HWr(d}C^mETGKCyUI4u`Xp!#d3b&|KGJs<{WASSO>-NN(oRq}A-`Rdy5g z`-zdZjR#692QnW4-WHA+Fo}{62n5^}7mx{5kcR}n9Nlo4s9Oc~IY6d>&`gmT>Gi(Q zA!gCZvnu7Bk`2UYMLoNIbVaPZkEKd+aHq%}jx7rmdoOYjr%_;0D=9$`oHcjKi7%9$ zP0(pbxD6>0YbNK=B1DK9B%Z{`lP*C*#;H>&=KV6d&+-?_Gxv>$m0f#iP`dNv`uy`9 zQh&Z`muy0wy!H4qA9zF=w&cm=L3%bZD{-(7dVh`Cgm;;o2ONf#y0AOG5v%*%N234} zy{mx#o>H!!ADtns0(a6PvSDo&w2M%)NjzyLI43Rth9`X_X~HP*1G4}Mo&(dKIh88t zQ8!B-@z1+`y;efS0!!-I@uExa(yd0oP{WO;`^wU|^Rv?{z7@^)^z19+Q12@WsgRn{ z!EY`V98RHaW|SvNv6HHFuu^M-QhMHtpNuvET@T>j9U+e_@7eh-dE2h=|3X+Vo{kBg)yBB$Xn`NO>?Sz5(tq? ze9v|uv;c(lu-Z4XXdN>I+y--N1TzN+%G7rq8-d%v@=Ipyg1`+mo=}!9MdESK>vX)c z>aHSczK^(?|z{z4qMSOb|K~X7^>evv+3ObUiPbHb*-l9Tj7Y%VCpytPT~m^#MKr8|nUfr@WZ9UrXE28=&qNNp8*j zWeYeIDv0W^xd^E619%%)g(Hw4R~BhbXdgTZ3T&GPH?Nbxi=g{WGmM~+3T5o7FZ5Y- zL1)%Xy^)Sx2tb>$OH8vDQ#XhTp#}B56N`R}3Wl0O=WkeS^7EdsG(k}E!i>6If(H=| zQ!`sxUChj6`Qi-er;It$Ql6MGP?a1qp!|@9jhv@KPXzp_!HkZ=)6Z&~=(LST>H+8N z_WJop9$DXAS?TxJw{~{s*5}^k1{{;U7XHMc8=a?7u&^;9+m$17S0`%UL$wYs*;0SR zsf6Uj5QzDwMw^FNs-pX>I3?qMh}l=!H_a%4(l6NredhWDj&R`(9-J?b6nThYo82)Cmy*Qu3aP`70=_}zf=0_|@ z69NF1ltJoBeaj=N+>FJfGwjOLXy)fR^GCy2(i`BCP;#!Bf7^xjp|+oW&W|{UWMfWO z-D$W#(3>8>m^_dE>JzU2m|&$qyUmYEe-{*+OS%KDX!;c-L7JFxm-<8y_9GF(@0t7s zoglbZvR(WOS7}@bz5eqrljQkK!gw{4cF4#muKJboj)AeIP*`cejKR`2TwPk~!3lo4 zVMRZ`Ba>6~-FVz6_^zY%c~|Mg`ZwLq*Dl+pP2Dnh$_lYheV%X!C}IXs+C0f; zYN*G8jzUekAg$u)A5MqXvOZEQ3t zcOZt9eHN+F4#2~u)z-0-uHV=g&igp%|3_^ml;)G|Py{}AWmM=2g`Asx(5d=VfVcwV z5CE^KJZF#kNVpd7mx%llb{%2+nDZEFIxfrCgch+G*J!3o7t~#gG140k_}ACg9-2zx zj?+sE4m2q*mWAb4iqpN#3?>`5GureCZt4Mzz$~s2xf-MqR3OyiTwx;>5wh@7in5!D zgpnqzq3#xAmPLu{j=5+wBBJ>O?{mh^pz_?-)_bq+v}b0VUZGZ-Z|(G2t=)l{;@#A@D|4|cSIu=t9qIZsc&MkhJ^vx2 z&|-)O){CUj60zgk*@`QsnhJVU6%^G?T+{Fx_f^yjEl0+Fl6XF#LcvfAaz51+eA)mXLo@o8S z554H&As5i+U8Tg08OrvMNLnu2jj`>#;KwJK*R3cpf#hPau>~G&wrfbvE5!IP`Ej+{ zp2{E7GOjX?YRb=JCv5q+3O`0eXuD~LTZqUw36&#oxW_}s5(R8wWiUKLNlZuJnyF*V z6|MP-2gWCiPaA(zVfQx%XD`0}ne(5VzyHRYufEo8)oRmr!b+u1wONdP_vHO+_g}gH z{rlF>Ujz5mZyUUQ{{EY<*-h7N+ONHN|NPrHy!rTAsHJ=`XsbkhC%35w(mu#Hj{(I| z(e4Yqd*p76nuFKl3G<=e8vX(4eox5C$TY1Tu-LXH-RtOnjky5P*sT3~Aik>bmh5St z`xD|`>|y?q>1U?7`t^=asx@U$fD60~WHnuu`2r9p7_!%}AR;W!aI0Hr&8C!_>*Kgl0wQyZo&{7|EAVQ^$rKOVQEnRpp`P;Z& zFkyoxSQH;rOeY+y@Mhec+w1Pck!6h#YI zz4U({xO=(@_blLI|`W!Mx)Cf}$Db&*?$g(6t@Ry(pWGVY=GR zTS?pGkpzG2Rb0K$z|@Tx>aBH3#3JS1_J`IoDV#l06K-aUe3z8wh5Cg$K|96=jSm?g zF+OhmhKhaPKUjR`dVQm^@z6uNZ@hH#rKg|Xon3A%udnZZ?8C2r_};zU3!nPvr(Stw z_w*+|vCESvD()5!wf{Y^?fKAu@(6dt$EZS^Q5Isn>U;f2Z5Z2sM+KrfJI6a}E)^7}FpFRds^B+ny3n1H z>VWV+i)Yl`il`}j3h8-8mpLi(+dSxd|4HaQ9nvBS5Idi>mCZvc5Zh=6IGUcX!DuSJ zLO__>gv&HG%j)1s_H0Jb8-JF@7R<^qAa84r(YXno$2{rZ@M-&H=UMB(_R!! z6CtLJ>4_6@n2BnlS8&u2oR0c}N8mp_mj^Q-%w#yU`~pp>K-t zJ|o`yiKpVr7d#+e_@xL56;f|7DXM2xL4HrY;VipOrTv#v^=5X_^G{00=5*p3i zKfcF!+IZ9W`^GnoR|o5V_ix{=`HjZi%=7L}&(Gg&b-Q=5R8h;_Z`{0j_uB9L&fT3W zSMI+0@~vBUzw)K8z4gx5KKaS$Zge~;bL`q5Fd{z8o*jUZ<|sjOm^-0+NJM(rW4|*f zH|EX+m-{4J>8N%1fTVovgb#4(NYblot(D_hH?7s5LZ+~-3(WgN(&*lV>_J!%O!Z&aIP~&n+fQO)rBj zdzH$nvd>elS@FYi8Hge;5r>gk5IRK=*e-Ezmab7D5j3WoAiD%Wxu=p?`@7UK(&1b_KODrCt_!5USJT z`}hX5Esj+rtKa~}jyf;cW<<_%p2vl{9`wfsQ8s@`*AAT!RF@iadPE})!+v#g)$^fl z<)OvjkCKMc-p~td_ASt;2BAOcg2YF67UFW^qx4UoO+kNlt_4V8L1;AUd<6$ERk|4ol z01_mJJ2~VGN19EVv6Yb}N2+)!r4%Q&ER`%(v_+}dE|*IxOVJPgi4&zt6KGX!c1AP0T=+;hI~o^!rqZSmlK=#jE}M=8w>fA)V! z{UFgto=%0|&V_JnWlD~Y>fA6=blePo>Q^Ur4KWXrSSGDdQyp-naI zr&*J!_8;DuB%amBeIj&e*+1aq@?%%m?09D>zdhsP+~C#%Bx+(iUbJU(a%~DPy27M4 zane!oOR({ez{a^$FV))&%^J!ldOrD5t(Iq*Opp&woI4nw{U85n+lf@#c%W}3oitNg z%r&(lOYcp=&)de6hA@z8*&oZ&t_XJKrT=?2wa$GPb0LZ2uEURdzm8{_)Y$|4vTa?E z(K{l9fd8-NQlr|9s>YU56M!4k%!E_%Y2M7IQvMRayh3e80 zio+1Cojr@LUbt}BxPP>%`RF^^e~k9QW5nYf&quj48H96#rNkTSwPahmGSDbYPGXm% zWZRtE!fs?q6LGM{1o7Fr@B`=GUCU=ODt1Fu&rPkM&0ItbdNa+aX=GdO%yMCr+GS`^M$GX~1m#tYiD3#mV48$87Ysj6eP5L<7 zEF>BjisxF%{nw9yiDeUY2Jq&R*+*-GR%(md@ZynVGzbcI(8t_x)p%cb47bOIL3q1d6_Ys<- z@_L3~V4eJX09HI*@ZXe8>Kf*KMdi&~jq>z@k6oq{H0XEMW4&-Ok^N;Q=DsN$Lsu=} zp27`IQp@}6M29|`s>6vst-6FNd1P75wdLieNTuRte9T++JE77?!SIOL3=TRk-s41k z;<7;UvT7W<2=DZT$gWoL#1hWtO{y7&^>r;s#Fk&3z=e$T4eQbHa3r%qs_XyzfRFO2mDHKkO;xwt zyuPN@=F9Vgfi}@^_UGobv)8X{aViW8TH!t5?fkyt<1 zcIQ>!4&f-wu;kDrO{SJ1e?r6Bxv?Q%y z0JMfQ5VP#>0DY*Mg@l5e4NJ^uzUKyR!f-HFpU|rq~q6k&eD~5)N(bfH%oX;mB+OVMK$hkV&-{Tq5vtDTc}gfDT7| zxs7vKD~%OC=HceMCFZ^~^{^S}VB#b3x$$D|K9o7q zFQKgUDJ`Q@gpo$Jd>VL}7*Uhtrk7BDvGY&=nd99RRyx?AM#y473k#)42_R&xJhxXg z>8jx#Ly5lFw8=QF8?g#rcY|N4ToC%sm=f`+77v!#eT@Zzj%Tgzn7fL z3gE^))t7%7a#;Jy(#56K)%L>7)vIkdo9$A+-)H;@oyO&PtmcIhi~ZfGmcYTwwo~_1SMXNqv;cF8(&VJb_t4^^xOL-(@YmK-sTncz zObg^Q^Nz_4d3M?(59?QWIym0Eo>VLU0q7LJu^_s6R=$ zsmy5i@$2f;-np>1N!Rz5;=JE}b?4=sy}hm{ zeAEN?lV|*#2K1rO)8Sg|vHHW|HTT%q#K=u!rF3jNI))(Epqv&o5db#BU**3J#@klo z-m55WHEj3vGV?|BGKwi!8JndHQ<5@7zNq0Q_s?UaSkMj4Agq>KMQ7za{PAmgY{$4L zr9oc?CdVE1arSlGy?BTt8Xz#%Tw0!#X`Z`Jn0Xjc_7P2#%sa(1= zfhL|CG>>+uoxqLvGxgHK2|_(5&fCMwQI+BoMB`hUr`fkshn zJ^Uub9BBYPAm6aeh`9xIRZ+k+lsB(WG=qtdT8>#0Cg-c|w&DgsQgi%i>RRd+SoN{u z29NG++^N;Ni?<%!x_!GledC7NHBZ!hNG2!J2lqY;U3O`0ZlWHjE5E&~Z3naiXfml2DCC?kk0V56KUxEr@S2`R(*gZE$+_yihVWkh7GhQbeuge!S-@k9{k&hR z<@3}jms_-Tv~y&CpXGSn#T*at$+C$!nYr4~0IVJkxSvOAAwgx5gp95-kGknurG_A1 zpUt0grCiret!=i9SVyHc$C}Q)d|@r;14UgQYL#kk2H4ywpWJ)!@>JlG&Z#L!4HiHQa=H^Dh78Ub#b$q*ZIQQ+VYv{>5ciu)YMkL!qJbG5nrC~_ve?n z9<{6GD5=`+*AkDk4D63VJ+tx{cr83+eE2&4vHi9+vI!~aHPf{;`9>#gVQ;q6nGyo^ zs>zgU`8RH&h|F>KYJQcV33XHwa(WV|-@MjDU!xM|8RT$)ru;wDQO>n=+Fh8$ zwB2GPZZdabQ)fz{$#U@}-zFE#TWQ-YQ(2~fkcWqO-?b*(5V&7 z#^(V|xmHCs~iT=DB+$t5r zQiw{M-6$w&eh$Y5(F?imU!Fd_=bm;g@Q8)9!DmFcZoo5eGgs)Oak!D=8h6Up2g$*9 ziN8@>k`lG*N2>nKiInAe(=6{^zh2&1-qA}-);q`)ToHt&#jI_$x-0X9cO;Nc-bwEDc?(XAaoz~m!$BVaDZr{B5c;?{( z(6PrEuiy#scx+kS^FEDlu^TMb52fM`^bp2-BNG1@9PX~Ik1*;1N`n(VQi>hB?^+sc zqPA|AGFfVyHgSMc8G2}#I=IoYp9ONuZFi!JdgyIND%{_+7li+!J#YCuV`p@AlSRuh zVAR5##dVs{<`z>uEmH(%nm;4aYqj7Dk;U6KE1iG=*(3mA5$Lc9zt8e!ZNLT5pjXZe$0j6maut0O4zL zZ+^|w;)U6_)b+pPZ+In}6dk{2>uxJY8YO9kn%yF<)`&2z4J(}cdxtuJc+YJDooUtU zeWm2sADr4db>>We?%vY9+qe6G3@-LBo=9HD=2Avl#fMGNcVnPViux2bgZ-r&WjP+;{x-tWQba_F7CA6FD-O zm!_xLQ^ZB3T%yY{JkdW+t)U_thP38` zdB9sMcnK5INHs>4`a??|?|$-zYr)4m&c@*ExO{DTQ~}wqu8!TLj1@tK_MAIDGI+A} zFGnPByqR^Rmb%W$;5v3f7FaTr1*#-!;UBlb%PnmpBlOqRs^_Ff?e%b2A~F20h+dW0 zmJe@$0)6ly!8EyhKB~a`Q7vvNQb^P@er%D@_Hq+>MyehUI*m%5lS;ARc2PcO8Ac&) z1h~+suOxge&@5ZR>+}B{k-W+4n0mI2b>{dDDJc<-pEa?a6=vC{qj{YFnV{buaQD** z=Xg_1{NC4hI~V<}&ZfV3YC2PGbHKluLR5)-KeKY`)JvI{PImUOe}=kUdBDG z9o{jHnx*zdxW*XBc%S*=zD5iDh3~e(TrGpS&78%lr!KYX45=+OB_@~s9K0t=MWxjO zf~@$QKKI!1o>+F)qhYLxV7^36HD%*4Aw+h98`Y>fSOg?&*q&t@;hJcNRyzY>ScCT^ z2teniZJR7&?n)3clBRNk{Q(})lmhT)L*m%QqDZ6w>_BYE`ki!{!am zvYO$Edeh%0v8D^>RlsiP+H%+wR8D${nYAey4 zAid76ue){kIjrO)ZZhW1(ZTzf8@-=nujhn2#yI&S3XU%Bx8)8F+j14qIt?yNnXFBy zuu>z8PJs%=W%Q@uwx>`1Qk2 zerM})#x*ChoCJ1<2z>$5hPsJ&ZEvOQ*L?+%vOf6te6{-Tih%PYAVu0krQUQ0Io^Xz zrUd_1a50s1Z2oPc`KfSI8e;ogYBTkA>ch&~?-P5q)y3}gyT;U%00<>6zkTnX$fr`` zop;|AZ@lusR~|o(Mf_}HJceBr0iPR3ScCmLkD>F9>4F|gXAG9cnD@S1a8a3~BWig{FkH_^m2 zoiADdVl0i=v}_uIVVVU;u0)ULnViX)ujX=Y;8Js0$C#x`j_J?BS0bgY^SU&E zFe0llsEQ5Aj0{L#{nV9j-sT?C7HhPeE3mHr^p^fbTUMi4! z-AuN6|04BEI2YyAWa@(IL2LQd(%I9eOL;A$`TksK?wP{JGfF$dR>6bHL_4`u9Nxm0 zG^IZlspQbAnd2pJ@>PVUnIHt1pqW7>Zjo7XPFptf5LqDXXMS4?1XBG}hD|G{m$KpX zTFbVrhzOab>R#Oz>_5u_{1qF0Uze&!yS{s~jXOJ-wj+ixlWKY2=U9l5rW*`P&Lf*s zc{Ay1OP>Ab;HN(Zl-5aIRL?%a>e|+sGg{RwnT#!H3nxDN;qJ#VfH78^8LN;DAD{F! z_=clT{}~O%1fe;{zowZ{OD+8}NXZM9w9}@#e`sr-hlplCzy#K~nDcR8J-!(*?uAO9 ztp)M!U(WkB&1QHI_^cpIoiS0h`&r!D#&lB+Y8#IGB^D}0QQbir7yw5e=W-7$AkCyR z{TE48q{;2_6~%B)PX-OgM8WL#)|OL~Wf=qmXK>UIbKaq@_QAtGyR>mMcz47es=5dc zp)7LDAD(KFdSYES*HLV#{neD2g}iefz|yqlyUEv#$S%$VqMiwRYccAH8&vN)-U5Wo zMckbMAJB;EuXNP|=c4|4)!~5UL>P`dfM(hoy?|kE1&C@hj(;Uba@RNO-_mT!O-KG~ zz;Bw01sZo8HDD&>E%oxvAshKW5D9;n>H|`{t)BGCVt2+mb>rGKOLV*EtaD@U{=oZ_ zp21=1Yxt<+6L-fXQjfX7{7{PjBm=wFE9*;{01a&to5EE$1+)#`!h2ht5}w%Rs{P5<%?vSL^j^X| z_6c<|uWFVH5kr37aaF+3IabSx@-}z8YeT0Q#!q!KOH=LCY-(3k=lab_ba{Il3D#_` zqSfbgOC6%{{eG!u^mKo~vn68QO8G2ku`#60c?L9f5gwHU-L(A>!X*}or9ZS`(2oVC6SYT$voK@*R zEVfbB&U1gYC;eTp-!!%93vDLWu|Mpj)?*92E*ogQRX{N`t+}j_WGTzF-U&+ph$CTS zL^$vx3b{XMi7*qHSzz2P>fVF!?O2ZdBkHSbJV3wFv2#RN{`I7ndN>38vr)%qAL7x? zq?0^+0n!8Fvk;XybGvRB9^Qo?BAI9BD#lGT2Jnu^jRW#~9CSYjXZjs{Q=xZH3b!_O0pqqtci z*(GyJ?M2MbwTq_=&gZUQy*dZHY%ZHhot-;-Lhs$!ZncDW?H4MCjbI0JhDXw%3Ta0? zOPxqnglm~cP4|&Ymo5QUz0}wGgd0F$w=EY58U_sus~vOQ2t`5h>zee3UKLoCd-D*n*q| zFo>qHiHVI2OG+(Lt)F`b{$&9U8b*4h`FLN|u^roYWURC>A?&aBipXK7u!88z!x=MHbfUsT2bO$|hwCxW^njPCyR|E&CB}I4qegove+CCq6Sh-k$$Q4(-rg z|HMOwMD7*1^@$MwKk@LhE+5eme{?YX&! z8k{0dL#*{g*kTUpgr@5l(VT0wARW+1GvVtR=MJP_F0Lfa+5kqCkGcu&{#n9Jmr~bL z4^-#>-77aqx>_ZD8FXZ`Np`PXAv&97LTr$Y6Wr}6XHC59So6!6U{AZQl*kS}ZZt47 zveyap_0ZqmQKElUNS}G`txR!$83~ud(ZtjU$^pvYWvz?T%FF6hU~bQV^+v&t0{ZY{ zZmMAC(j0aj$h43izvBRtt$WO>@nDh%8(?}bgy`8$e*)*1y-R&oj(g?k!5BjY_A(j2q z0Bt`SWV3@u+xp}Sd+)DIj_X7u@&yN?1qVVUNf;cee48T~E9HkHf7{;~PUgo184qfs zWWNt_NsR-y6I&;(2`3qQ=$n7szGbu#io3Wc3zi_dCaUVbWJ+_0R>W38;(%C|RZ4dJ zw5K6>nZgXxrap(B(%S7gA9Yt6oRf?RmbXUN2o=DFiQj%DnbQ48p<9b_wM4lV79a;v zCm`6hHDVM6*C?Zun|@%@Dx{XWuWp@mjnoH0%pxVeZlh#QK5KK^y`Ee&+)ZM~F#j-M zS^qET{_3XYQ>T+1pWB<&tJ^Q<98thO19OkK^yOZ~hub+!pZB`f)`C4BqHnX0K>W)p% zNvwLlZFh3YaW805PV;CkqZPNcnoyM82tG!uw`V=Aqi6_=4B@AfnKIM0$|}n%wK@GK zj=7*(0fL3u0?y2SMWYLtmXiIHj=u=p#aNJ9#=!u`UB}cNgGEK^=_-=wz)Oq}K&A7d zYQZ({DQ<7sVz{|LarpnDrZ$1cS5woeE2$gG>eo{{W@Rd$nQQeRgPACkF}Jt2ObF6| zBCLTqI3fR!?q82}laJ~N$NKC?jn4M{YG+$ zo%apPU_fy_U5bcfnNjt&dV__!@?A}G2WM2P{w3wQ&@1UQgb@#iC6GgLxZhurnD zs-d6LH@cT7&+;hh4%gFmAKky-EzhUsb-g>)Ztr$?-?OP{%!7|@tR=&X>%%-YDvl5B z)gq%o@8NCsVVD>ptdku1^)8=&4SrW#cba!qWbJ557{7E5Qrr8e@3xWABQm+S{;Vdv zA!TIMTT3CDUTfM8_^y=64O1I@_fsYK)leTUBdgpq=V#3PgP6Gv#w-Jl2nhgaq7DGy z4UY)@Pc#} z_FXfhkw(&t_QhIkS+Zr>l5KgnWw4Dg#_ZsaAz&P~ra%dygt%lQgd`*-i9-k}E+Lfg zfI1tcDa}h$N>Y-Lgbz*gc$CNEHSe5zN17Qewq#4TY+u25kmZ>{bMCqS^Z%XmUyvo; zQB-A+XgXan(!AOT1hQ2T6{%PqiL9RPcGR|vi42b ztC?mS_l9NbQB>-;B2$(zI>UrfgYk)4YmKDc2J1pb1sNCQwXkxUr6M&hl2+q+6|;7; zd7V&HlY&v?z};U}Q`4)|y5T@1T(rm?;YlT|a8y`yrC}?`v`fL<86IOqpuxp^!59+O zzODf0EGw$)kmc@Mm4>1BFjlq4u(CxjfG6xdtsQxn*zb+U^IJD<%Cjh$AzNGX3{i(5 zgZaUt5HC3r9{2i7XQ(whOX`@8#ayMD#==i0h69-s6~L5h-Cmy<5Cc1Luw26ZE+0!T zVpOhj4Z0$>*bHK3jIMxLBYR<`a(RhzI~163juJW(+f=V%HSKZWmYIa?)~#&~Mq$5` zwZ8TM3yl#1D>ksO?^vILdpivoSJN^>x>IiG=%;`$A}+^xNd!*VCpZ^I0yBG*b)mVO%M%U<0BE^Uj_}`F~8ZEM< zmU7XNa46!#*$SLZ2t3J}yjsC2De>FT^(J`fFsFls0Jxf%EC{#@tkr^bKEPPI_WQ|)){kQn8Nw0s!Svk2?iTia>&Y+2#4pIV+}&ivPs1{V`GhE##~3MJB(qJ z#etPw4QSY{%C=*t!uG|a3fkH}V*!^DW?QVrDyr20n&eurIvRE@%VO5v7LYOQR&Wzw zKFrU?>rmL_u#Q!EHy2<94fE?Rg42xL&4!u10z=JoXB?{nPKOSRcis}e5BgY{{_A}H z9;uqnemn#lBV~+Wm|}tcBS};ySiiXhvAXpB+NyK~JEYWr)o}%~9_p6Wt%KFUfq{yi zK%k=18Y6RTLxF~KyzB$(Da-G$UF@-VhT{BY2CR+`=c2rFA;T|HFxc-hEGRIz#}BMd zD<=ZCx}DKDoQAon6zzM=WALm*_b6e%i;yS+mnhnA^glO}&+ir#7TA3TcpuFbib#qE z4En#`TL%LU>3Wqm^+!ZWfL)C^EBDr}8t4a#Zv)VL7XY1-8g1LOEw{C5aIkjynrL)r zE#Z4;+mUTp+qSLC*ZO>Gmv7zHMuj?Hdx_ey@?XX75+%#u_*lT*W@{Ylivu~f1{Ot1 zyi}@;X`2a+K}?w3Lyt8Wc^F2(axxc=0>6qhWQu1Pmr=bNMuTt?O#!!yaeH{BiE~vl z5{t>r5-J*~8~A9N01NbXz)9OmOMFXnEz|7F-&o6XGT9YR=|I7dI7bl?U++ubu>%$) zL6T9P_wy>RaSFLuXWTj+=w(=f=LwcnB*vC8rP*#jmt+y8kY(hd|6x|7osXK%LpBT2+H4T3iC-GjHRDlAQ3|`485{y)%Ix&T2Fkmj8VI?x8=_mo4 z445MB(v2{V`8ALJvNviK7}XSxbO~Be(30XM{Rz6ZD-pzVX`EdI4`@Y3MX8)m5>Xb| zWLRFBrwEP_*I_)5lPn`xyB&YD`f=h&518Z2!78<`J6+e@yr`|5OFeZdMO!uFBxj)@FVW=l>!A*|6tS@b31GqhZGcd2YZZMD+ zHki3#Sr$YovRHQQ%2}>;zDd;9tx()AfhSy64<1Hx;7iPJ@%yu$YLhW>)&m>Qi;RY% z7%bOi0w+4-r3Js}3Mq!AqWx6A6;>1R`Cwl!&N`?1@wlFA05ch`T5FJ<-L?K$ENxU6 zKHq@I>TFfj0Er5~Ul|~B2r@7@JUr00c=13}YwN(W#>VhKc-rgD<}ue0hKm%z%Z;0|f_g0t^Z`$1dPPZBtcK)AR~~;#f+_aWu=rP*wX( z!LH`!Ln^FFZVh1d8ttynyL&cn?A%(D+pxBm^!YN;?8?=L+~}d)L%Ab4+`To|n_ay+ z+nd|!rm$AQSf2D_g-W=^T9(`F)vUgJO)Ckq8xQ0fZy#5Z-d0c~;{_+na)JwP*Vx`f ziQD@KY`p>VPYv8F>*z2P9GWRug~ruO-MpgV>Wbmv;g7}e81OQod`r1$9``Fi01^Q~ zZReOQuT;8tIeSYw#W9O`k>0N{GD)Cfw2Ss%7U0??5tbj94NAdP4f9k2I~$8FbK!nX zA-EXuv28rDCKFo|O>En?ttYm<`Tkz)zVF$yyMOHM>OQx+Z&mfZ{ke6ztIw^98POHF zOs3~sD?1ggzI+1RzxR=S3nmX{c#!ZlzuXs8D{yhN0?GHQdC}1|8#kP+D>FmVEk|h)oYMeGPY0% zkSaVTMj*wu}znaa#dv$D@tLJKO;0B{{#=$bg$y)FmfXU2W z;M4z>n?`u~V^IC)JW%#-mrhehHKdSLoOlBe9ck*5iMoxhm#ezYC`pb4l1(qFk;Rjc z`Ci;CCNJe2nPq$XHIyAofL)l9m`soabObed;Q%`jZLj9B~he^!fzGd7OVYeTA6ej63E3uSMLP*0U0KdEzB z_*er(zqhLm?->!5&IoJ=KA>x@tj})h578vjDd%aUxt#;qnZfy|?7OpQNpOw9`~`yP zg5Gy&XI<@-STRz@$W2$k<$c>$_!ma`l0^ha02$fS$St|UmUF4|XO?_-=H?7J$nY<6Z28GJx&QU)&DH@dXe6_TW!g5R{(iR!OqNIavG zSPT(pUAGBlY6?4)Bd%*!tjXKx{?>eS90`Mp6p?pAmBITLNsF78i+TkOEuuhVBqiU| zQDXjEmP{!_5KT!Nvx2jNo?3fK%6bF;hf2Jd{m~rLQ(!ah%B8Ckvly~X@6vUzYldld zB3DrVz{EKMtP#jdL@|b1@bNsEJZRTr0HVsddo+pIXew_^<`dEMW`*s+GW(0x->F9B@j;n^pL4{HR36~H; ztJ@9jCb7j*H7P|6ctouRSkw$WemvxX;0GGzn6zqwd8(auJ{13|ADLMH*DufRbQpgm zkSOjk6u*s(yQIk87>b*g@4{02r7$B$EM3_@?a+Q0e!aEfluDMh!IWgCrp_9d3!OB6 ziX=YR9>NK?h?&_M3*0UB0DEWWEy(Xl$;_}(qYHocRWN7@3OOSlRA=lAbAa*`h)o5i z+agT*QiBj!R>SDXFRs4sR0%`{sbr||b*Fc;<;Si#+TE&3hPxp}>GZf4i1?4<{9Z4E7)&u>d=F*_ncU~PCzz*?he|_>{!``HGRDll{8)n)*qs|oQNAV>|3Jg7 zMs4`bPg$UI#u(!P2=Z(fuIE~Di&NMLoh7JgGp!Vo%bSH=maN0FE1+8dBpW%-^tI41 zOj!NF`IVSKgV<-8UVye8Ywl1+f-&Mn{NvszeEZU~rExEj&%H(xBEls%Q@FX2OlJxBzc^~nFjotV|wqpo|VrJctL zq0r$7(vhXSE;enBU-`xmE-bShsYs4;>((_)9HMXMR;1cKt>D4ZT=0*F-Tq<|S$IY> zcqt0}bp66hVUS|U4WbI#7|&Qp!M1`5drc75TAdQeJm9b(dZ12N!kkCY2NdS?vJ9(Y zno$SEuRd&Tn*J1bdTe?qU=T1T*W4x|LZ3%yXlDkyyR5|&dN<9As*7a0VXLU9Ah!ba z1-166VZ}Qdh!=G8<#DLzM)0n|RHCMuu^qSP?No~A0KLH{`pO`y(t{{{5*uGS!hH&d z(3qpym;txl6aZe%SZ7(l@03)@(dcmSYFkb?KCNofk8kDPmVa@z^oAeTHa7Z;=SU2q zDSnofHC1fYTTZlo#K!LEZtYg$+N|YadqImJ*@~DfH@db|R<5_&YDP=nSw-X@T5~KZ zHZ10YmxT?ME&eeG$;+PiX-y4MUo32G(T|%`HwG75fh_myC3G0qw%DM#5|pYrPyr{(Hd3J*g? z)%&}(uph0AO6ofZXx8aqJ6dL`5>m!n8M9&X%k7H3Rf*$#XFoG4iP=v-@O=iaLL3*M z*D^T4AxNe=1q)A)l8)FfygsG5iUpZ-xP2j>^+y?9b_ReYq9{0Cn~!a1l$c9|L6#_q z(-1GYpAj@Ti&~5=h}NU*NJ&ROz#mb!14-G+3QiW(sL1Q_fT;KA%_{>PUD=>rIpoN0 z9^TB;rybL7synM00e>s4SlGflrh@9K!K1%%fxR<}4Y8ir34ii9Z0+Ydft(1jbx3M zMKb?P<_gNzokv*@>hpZYAooUUci1+*0V!=7H?g~HFSk&OvT_>@2^5} z2)CS>P+^hI-gcY%RSH^MaSlczRES$KJ2-%eZ7B+5HP{+7CtQ8);X~iFv z9|-V-6~Zd~@M_AWfyRPLCyWj*f2&v`2i(c+tMJ2X=hY{n=S!k9n~A9$=XV^iuxTM< zaWTHD{MEV-$iw~1M^A&}PQ@*Pw^4lwKF~(LL5FcN%wkyC{jnGoujWvm%xKBn(A-}n z^|zE8-_A<<*PrXB{JZ?UIJMgC)fitB?G}ftjFq^#5ZZGj@@h#8%?jNMa8MLAt%+B@ zCUF{gf!S}r*k6o4Zo@&R6~TEs=IWJ_My`IS&Ex7;2z#?BWhFy!{fY|`X5$rYjvD#O zOnl52qi56^D&O@|Ra|str-B2m;%u1%PCzt5OXZ_#T{XrZu0I7l=%;zkH$J4xU2i3s z_aB=W{*|3x+S}UOSy+haR;pE$QA!WjP|yna{gcviWrw4RQ^z+rUepp&>sv5+aL+D7 zl7urPRvg=KGGZ>%2~MI^>Lw%ouK6(Di#hpz!0Fob~xIvz14mYNap5MF6`^6z^- zUp^&rJZW=B$0E*~eMKdSn^^$6>5tkUE%0tmvtbX5XE$++HfNRPr8wVZh85xAuaRjY zMXX<6THC@DgRr$boD2=2$G1cCLZw9XD5;ATlMiEfOes9FV2 zfj9B+%4`x-#{g6Rnze2kHXDM$rE$g;T71_<2bHK@(`cK_(ut*eX6*p`Pz9hb-#M#_ zG?x!U#JCnDn*kjQ+gI2ovv^C)FQf_a6l0>PhFVa@0%#!=4<;@6l`!Bv&Zx>qYA;;Ti z_<|BVLXpD{r8enXSnw4dE#Z+3sJEOECe)+tjD#!v*wGdM_60~7QX>N+n%Hm@8>uZG zEa#w9`ft1lKReK0CqG1X{x)O0z;dySFD`{iVP8oh?~JMw*Yr8k6GJJN4mz03cxE}3 zkr`yhxI|2SO{%1b8D5Yg+ug%=WepK=-_sh0O=j3Csu5Y=1EU;OZqVZ_W053uz&9Py z%#A9gO%-LW3bEc~hjK^}Y0k?Zp@^=9<)WYK9!~qCzSKkTmr-W4b_w}7DeNCn+@3?d zPggSV;IE5b8)3R#w7+TiJqWa|01gt2j4%Y{zgn{#Kp>1F^6B3H>sP zVuD6GO2$i3K`(sqpQ##8beV`bJLJi`5z_H!019;71D7Ovx9?y1dzd*XEx-$-IPaC>ce{snq(;qp z#katp-eXC1dUyyR+*{#%Xv>n&J&3G%*kJq`C_VBj3~ty|iKV`UtaC%vw>` zE`Mz(H+TG#;!h&emA^I}+TZV;Bt%d@NKKgKyKC4!?-*_AKobn1xP>wzAI0!lnGPNg8l3TwP& z7h+&jOcvjE@0(D4Ccf!l&ko&Ql=CoC_ZqZt51@_`Dy$Az7HAP)v~2`#q>Yb{Kk2$m zj(n&i;{n>=Mu7UFFi*2a5TkoTLy}X32|R4Pqn5kHRD<64*Vh$kd>`Q3OVFsz{$4>m=Hexm zu$%nvz^(cSUKX|)4J^tU1vv%$<*xpo5!JIADfXlU>6EL7-aKY@9%kQS@r9QVvHKks zk%tc_EBT;R4Se~~)-8IU8%ECPnd-qFqioNqqHDj5BArOqsx;ZLK~GQwX~pZPC0fz8 zYsN!yluN)8!}pxR+lZC9hSw*TxbfKRZR~5 zb@;^O(632`((XEFrx}USl`JrP`omo#@D-87s|F{A7N3VRJ+?rk(H8Xby;nrCVKV}X zcAiIFKO1HZUN=zl&1k~jzYCSUlgXCD^zjjA`W*+#X1km|(TAd7JqV z0TO4Xz0co^yX(U#?o3$sO_@fTj4B_iT2 zyrx8#^mvdC^1k&vzQZ`^)IHlXmY0Krw=zqjU3EX>aY8@=pYBj+yOq!LeEsTNf&lcV zxV3oa7rR7GPI{NGzgPCh%Dm6vX2_6x%v`rM`_zZc!`ns;%aHRU#`7k<^U&?oBmtvE zai9r1BYz9P^H_pqFZK1w$G$h_`WW!VSX}65CrMI{5aKZi^=TGg8pLbXG?vv3={>uAU z_T!oUml-(r>s)MIUYj5eoUmum1fKbjeGZrV^LrAzeMjq+XWN7k``eK7mlZfZJ%^1* zu7+CouI%DJ?@vrOJula{H>gHE?Jw7_{li11Ufpw2KXvK?JZ=4+wp*SLKKa~0&zE8H z*#a9*@&Tj@oSf)FaTEA&kl+-4zQ)5tAgI+;2!&Muo&sXyUcWZIX(=J z^Kito7|JBQsyX3PUvGB^af$c#nRpkJPz;&acoPABq({bA@8RR`;_x5h?0DL8f`gn0>DHLqC!H&Hr{UQ;Ib2GlQwDYQD1>$Wc#A>Q`= z!7D0$4CvFXz6*RVWCS4iQx|_Wpw*qFd|ibLIbh7uhuq}y$3dTzSKmC^-Ug_sQxM=6 znL}p~^o{l!-47p|OzebA43mT%Yr5kveh&8-8P(L7Sh2q!-PM`xubZ6Wu@`Vm5@A`e z?Da|z;Nycb2n5_Yb5@=Qdk^y2>V7>c{l`DToa3Vma=5%d0&=}uRveEH5>_;N%{cIL z#x5ILP-|LfS=clfe>zR@iB;~!PJN6W=KNTiL|W=%arZfjS2-MX2gPM#1()Qy^x!WEILRU@dw`Y@Ip>612?T5A5 z|F`Gn;E<|w;W^#&P3TfCGd1qq{l5(Ez^T(xW&ZIjQ!xBa62`>uH+8%dxW&bpDS$sI z3+hR~O;EVtarS9_y}QNf`41V9Tq|xp_Z?QFb~2}hxo7d_TxO(81SG%q1|C1}R;Jw+ zhK+jO6&DX`{NB4=qGyjg&l=ar$;_^5BFG_o1}UK$Ve2UET}L=etfIT%Q*HOQK;)PfmA?r^cf{MR{(Ljy}ff-V4Z`b-RW-rX-I(d zNWhi!sEnuRFo%eT>9cME^vmGq4dW&H^HPP%?W#y|+!9KdvfuSZ@9x$HBATa(>BaNH z=ag#32E7s3o8AsL|<>Jy%k3>;Qcr5IMK5KI~X**R-DxqEM( zA5btBH(w1EsR^xx9;i~tg_%D)4({i6fX zN^?rkalxO^X=%*8zW4(#SHESb>*Mj=@c!iI^=!=$=rks9ar#sd%(-JN9*3v59Osz@J4KJjqsr~jRP1^}Qh`6LtA#Jz}BPhL+z4v2C{g^sQfbIJWosPxUS8@{tJS2m+6c6z?P3-NtF zG~h|YnT$1~bT7W;;lp1}?PSepqv7Bej#Ex&DBzWw_DlbZA2ZEBTfK45D!BFxTa|ly zB>mybWni5g>aj&m#5XB{$o1>t`hj<~*Z;jfn%n;A>Y?YXfBMPgbDL((ui18P5@U`1 zT$|T-2SLOBb1vqYpefFV@VNQ&1StlQ5YNl)HCTrnp;pJ_%|BhQBlI2HXpY)!nP{Mk z(lYW&$>V%O2ioRkeFRA;AnAY`N!zx}hPRqHVC@Xs~_k?SVc zGvrrx+o7ZCtn33Oqbw$?jK%BgQ%~!GcSNf73%fWDhjZsjBp%BI5KFC(TTiUkDQ#3s z?>Ve=CnNmQfHtm{hH`sy(D@dSpcrTEeYXLzZbJWc^w!OkJ#Up2_uG0{=Q(|06V%W=>_q@{~Uwzu=!>YfpTQuSdm(ge^Q8`%!%g|Hm zcY}D>5V8k0`D(b=l|~l~6^``=->U+w4bPIZ*y@WCy$7bnjriN9c4)K8+W+_=VE@k7ovSh2Gcc+YrPor}jlAlwdK zwMx1B>gQM7mK-a8HJ5XmR#Z~S8ujgP*NlFo&$VnmElpOX4hk6^+>6J}+*%`iXnWQd zDDytU=#0<{xEU2}@Kj#!sKv74l|`3T+m}kbC090-jd%7p{i`&zCbrIUFACW$uQ!;ap46Z= z*0*rw;p*A0Y0Fhyk#xgN1-*XKXdZ1~<+qfifk$8hTM%pi_jC3Lo4*7p@LmNDP#W_M z3`_{EiJk8%4BAq!OM|Du2cLprkCuNh?8NGag~^}129op@gHk9WYwhUcs;2Kspg8S0 zMBCGczWq07&>s6C-tRWGp^^8el=JwL94a^Oj29zl}F{Gf) zAyd;XRVqXyR+xUQaGnyRiCkqZQc#!k(s`CAJ(JrGb28p?ds@UT=fRUDRGJUL{W!+6 z3&*?{`^mi%p-~Bso?O;mDaO2*U~%$I|D{(<^~tFAOLZY&9uG5TYIlLT5w+h#voxoz zf1(oyxWNEh)@hAtG+$R#FPC+wVcdZ@)&lA4D^p$t1rB%f1FRY8%Ns?JK;XZY_R1Xw zO{2@Abhu)y2qZ8uHqS5b@eu$S<_GrsSUiZ)oLy2)z(0RO7dV`kJOrJLX{7ub0e^tNw!MNq_T1Q^&f^kRFSlyg`G zu={cF8yLy%?Yk}w(a@7uEFiamfNjci{w11WkO2(LNU4-pcm)j}g;%0uw6F6m177Wv zuwCRkm~*(7?Jt%tz8Ra{7ecx68Sria&0^U05?9^fzx>LH_W{RKnf(n6aY53KCSI*U z4}E32*5WH`k*B}v?RkKhIevQ;7<*V?bAZwf*!-b=rUI`MFkb&JXjVwk@1ZW%xR%#x zD-xaTkuSV4b|8tL%6Q&u-P_MReIy&8?`e_v`IA>SiX*@G{eEqUpUN>FM+A>ikM#u6 z^V)wCY-jSs2Lr1{&e=MaZg|0F1V#3Kdxvqh*ljDR@q?^~_o#&UG7Xqeup_JC&h6Un z@XxQDplpPNoO+76-SKm#cKAPpkVae!s`XCmjT;jsD(%F#~XJUwpF*AYu)LQNw*$ns-zc&g%>st33cc0bn$6fK$#qSryh@O`8uvpvw5i z;vsMTHy^CNsmD_F6BEn>*J`#VWvi5<^Mu@8+JKtSq{%iF+76;c1zmdQ#;o)W+KIDO z_9&<95!>vtET#&9V0Rfh^61xsjh7H1vMbx`MiC;srIXf1U9zv<=06lpr4;=wgf%qK zy^R(6rRsX%=lSY=C-hCLwH6f4U0c^4JNs!ZWFbZ8i1CT8`E)KKG*MH#D&eIh6qq2k zrY%{S+@3RmM6R`kP9YyyKt$@Q0l?N4YPHN5Bb8x+np zJZq#gNxk}|T~lz?wb)9FRE6ZGF1oZf^6k{nPE1Y$UYcLpUxHrpTXi-HI{#j%8K^&& zR+YRiXe=zvFD?8y5xPgXm%IP{lI*4Ft8=c}RJyhh{sLSrbULBDCuqyAv$W}7qRp! zIVR5Tid~at(ZoiWxFny&^VN$#jOugiR3?`lXF0{_idY|bwPa7m&*Yf)3b@Wq%hx(iN zoBEsloBo>+?~tW)>fFAVWAt2J%AP;M&?{u@ku;m002E=J>vh10LT9+z|8y~>Hp`A4ZuPC|F-`>*8m`9VPX1zY2|;dft8E( z|Fb+R2h0DW9_Lrd|I(kAmr>l(#>LEuQQXGJ#Z1)9#NO15QP#}vmx~247l4DA^S@`9 zh?%&UnAw>5`4M3MhdDhm&$6I=RMj4yrhM(aa>!Mf6Vg0c64LJUC57>Xaes?5R z!|uXshMNP!b)r)ENzI?{d8-cgz`faEyLo(`<-OR>`Xg5}>^$R;-hdK4`X?ULv`z18 zDW*%d%L{>jr5{*sIf7u8kMirRe04C<oqOQlKB*3nLqSAgk@FXa+!mF9pP%01MDR&D=L{GipL0Hl|_v1 zQg?RddA!H$8LS{VPsRS*Ow)}oQ=#ibGuI%>mheB={`&Vh=WMKJMp5VYl#`+c%x-y<#h(DXGhDr`HDa|6q8msJStTbMJ=N^W}$9Bemx zxY-*+ix57|gWXdP?gQ!D66J;d-Nlq-B){fkPUFGn0(lwKL{~%C&jGgkF{+FG91Vq; ztDG7Q%%`bWA$7<}|3bb`w)xLCo97yGYvACg>8HrCKb2!uUrSd#!slfFCt~?$WoPqH zuFv+1PRUF0OSi~0)3Z16y+MODH9}z?YhviEKu&YUPG1fk56(x_R_4cZzX8}!e@i?_ zDm$XTHwHbXAH>l~4S^Y-;FM$kjJ|cD!J3k!hA++HU~ffK30Vrk6mTl8DyA!?D~ji< z%DnSITfUu7_ZxttJ*SXlU50YK!A9{d;Q4lP@2#4@ja`Q7p>a&l?vj6)Tk} zMLw`UD|=pfDE$2E>*V05V~CCw2wREuri(u41eF`#hH=9r@V#oxe_iF%X$@=%CS;x2 zW(k7w0-btyGRUTq;I$ooeI4hn^2Yh}zcl)IMZ17Xdta)}*d8~SP5v((6>+6SEdPhX zUzyLxxoL0E|9)2FxQqH4MoQ*wpa(6r@8<={@1BlS1*mUTrXGb*M5?_WsnF*DqU3I; zW&-v;c8!)YWlb7g+&G!@d(Ez+X6+f#3bWp4&8UH{0iBC*qG*38_ilBN;ojcu6Sv=d zF_ypA^{e$}7qwBuRUF;n(Ct!ikT2yyC+`O%C18r;qcbSD>+7rG<6{%S`+4e_@hSK% z5b#9=`+_r^3D9$gh083xuhHO&{k;;dyk$#)sO-2dwJL2rMy^wz#UZb-awdAqDK zPFbK|rc{;)+Y)_&lM$Z$4p7qZD*hW3@0UQCx-_?`3;TA{U;;^-06-n}tg z-ePC9cz88?9=mdrkCD5r4#LAy!9w(X-1+qWfpDC7RElN`g@VRP&;}U=QbtXWfx>U0)79rXGcDL%78EcizR+Rjy@5~u#yEfFDP>n zdWkam?1ZrfIPhoTo#MyD+M7O;YJ+XWpL6UeiJBJvILS*_&lO!@c|vv@cn@uzkehjFI}4y;$u=WvMZf7R~XsE;iew5R4QMK z+{^}i6-1#e25V(4+6G*`XvAe!{0jb>uSJmFef5@xI0T_mhp5lQhP%>1QfRJiF#8Mj z&w(=IZk+?Cckjf7D~>wV{beM|uqnD|{xlHTA0}N;H}uvJXtZ_Jaypp_Qq()hcdA8W z(gL~Pt~BDhzoUi9j7FkV5R%jbsO~iS+Q_ZKHHPkjargm^LUg+WMJ9Neo9c{(T_%56 zj1qW}l@LzGfRW!aj`#&EaThQVMp3PJtRQhbWQ%Wrff1X2>+`M*a&tO=EvU?;Zkf1ft8#V0 z+cnp7b_RFx%f}oa!qi5WWS#?U`Wy%LUZO(mlDZtVo0eMyW=No$gB+$Kx0@fvX#Yq% zS}yKffU2w?;VAPe+xRdI_?p_N&`&PPZFMv$9(IU0y4D0L%z!Gju2y5Thg!Q8e{$p5 z*fkChb~jZv_I{`u48`Jq)!?p4@u0yG9f-zedmU}HO4=dedk7WWu6To&q@VIK7#U@Yec=w(4Kd%c7%7YM@;6p~{u08c>SK*we#mdcdU5HB2aLTiIsQ z$u{c`_SG%9Gf1bmt9q+da&B@x3W63d$o*^T*&S&tzGFox7T5wRLRjU;cPF$*q@)S7 z`KRK(M?~j0)wB@YH zYk2h#GZmNDuRQUn&{uYMFS`@i40tP?MM6%RE&!RVKPokiq3wO(x*igDMzuPl_>=g^ zJcW*S7OiUl8DXGc43PPY(>s7ulFu|dQ$Pi1A~b$dAIYZx2jUZTvd!XkZ=isfo>cg| zk_PSWMoAf1P23gYj%}}w>Ve#7hmc3s74D8&?@wR{Fa^e+{4MYbbw{ih&a|Jf_ZD~v z-BrBy5o^US;}Lqrx$QhjgJ$X_16BaTV054} zV3dRvSSzqmNNggDl86aOc_lpps^hOREVNN}{d%iPH++HKFptO=lpqJ1p$#iATD@k ztsIz)5Ozp=Lx2V-4B^}|D2HfY%RG~1%4mvlhB>hB(Dh39rU5a42SA2i=3eDq)Lvs@ zDkG(NrBKdb2ksr#-cq0nG!+^qsyrzju?sQ?4TJ(hU4^j)asgL>TEH|Q4)7SbXmJ7% zh1(JBg#iNc(f~1lq-oJq;Z)gF(NxJ)K@0L|s%SD+dds9CIO-!zNRskfWSG(vg&771 zNKAsy%4AJs|`91#qA4JXT&yC zZ^^Bj;(9|RrxGQn|Ang~@&5n|X@gyOS=1xE)$jKem=W0y2k^B3bKQNVsvwyu{1y69 zoE{D3QItLb*{Gb0Ds+ zLNkL^T2OOxx`9Y@x&ua<3&lcvkX0p>E@1;J$)eIk18@v_VHjp4@Qop!O72DCCsi2Kf{kbzH%ju&1nd5LM)C zFsjfB@CJD_m>Mb9M)VnB^qsm(yank%Khaz9Lc9g;Kt7R2(N1C|z$wE#?~pPPPsvWO zh46qo(ORies0H_cHu0xYr6S{9xkL-T8NsM_B9qkxv^%ss7?L}73o`FnyG3m?{!|QQ zgo-tek>xy{d<6w?04U!}+KU66gDFOlr^pn>7Q!ZEizp#gMWu!AgFXN<3)AEQ74g|3 zZ|lPqB_&ByVJL&*4T+BmuoJQ8Q0l=Egya-a5|uizt;8%KZ=4hL6Q>mU#hzJ4sjw&A zl^*iigKyYJb*Sz0+XIa}2@cp2v#8_p&jeRuZ*&rMn9!SY`KLM_r6cM>+I zEOH+SZUw-lvoL>eAXLltvp_hf<4&K(`j{c zzpiUPCt*N#I&U5h5cj^E7}E)tiNf6+*?RU4PUrhKI@5DCxJEe;?H> z=P?k1S$gmKi#3zb@6iR4r+}btfrD=zCl3aJ11$IM{#!yX97i^~ z{%-++^%_S%5B-mI2X8+e5BZPstFxH8UY6V9uwOQrgmx45FgfA(3d8n@VI1sX#RnWA z#dbEZ3X?hL*}#{G=a?NBJiNZWTfE+{VN+l+YxT=ps{_5kMGqBp^L5)?$Exo%{aj-U zx1MgXb=PTb)TJy>>R{HPsrZm*>^;%cJ4Cvh)C)J+AdGLM^VNg!ouhud48qQ>$dd<`{13nH%pYMIaaxUJ93986{AZ(zz#XC~`qhyX8& z$jK`@Mj4<$fmX(^`P|VQHK?~EP;J8{tF)w#U(>6IiC^$QL1o`h~p?7Gtg9-1>;X=Xf9TNt3`X)7iUoqsnfzHe1UIrt-=;>KP36Q7^*4_tNM5}Ur=>G2&ME+6hK(O07o zhHmxlU3q)pbeiK@1V?Q%c@TZT8}u0I7D<~MS<;;vyhMC3m0oJx%n40heur2F+YQ^z zx!WN61Q)c!{@a4<%&v6>CC5v%>D^v=dD5x51qVx?`nQqtf@_}8wBuT2-GYgT5$kq+ zV7Fm@H}|HoQ_dGJmZ=ymSr97|=I0I_C!Tswiv!^s>|*zT1B`BDCnr)BV{k(?B171{ z1B5P&M$du+f-c-r&%MKsb!d*BiK8K%9roql)M&R&J4>$0rJ7Du>u`^KKZe`3?V6!3 zf(=YQIF8<-wn^sWLvN7sL2k`I8Xoi2%PCIScQnAgh+#8~;0B{_-dnKGf-0_{o$$n+ zdCmePjHm`3vBV!>q^PW3ZUyub_;Y|8SLnP5Q{8n}JefFCJ-5|R`TfZfp&?z)Zz-!lN*0>20U!OA~N${A&qZ|U!H`b zi&N36?4?EbNXplO3C|w4;=Ip8L|ulrc&cNM;A%`2daV2NO2iuEB3z4ZoHC_`U&nH zHZ?s*Dv(5aP2R9wxUF-1OY9TzIdJD~qemQ0DGhCY8*~HZGtg6_-vqV%ILk)`S;F&b!STBE<&~wFQ!-k$ z=N~oN&Amk^X>gN0QGd@O5e5|+kCw0bEcMTks<1D;g-oU-oz0fnBsFkvj?kR?@~ZYj z`=~~(5HGj+D{XL+Dm6=&oSYg?7G;|#I;d?;3pPtq@G{vY(v{8FEo$*r<2H|=msxRj zy5ljCUt#eH7(SL#_HrEMJz-6QT%O>YY<_H4uIw^D75}@gxQRDy)3Y{3JKHTYll1$( zEWtxbII*(Ela7I&tB^V4uUb`7ofMCU{%-gC%}m~uE_05@^WNt1D&Hkby60NDL)`ZN zVCN z?sKC9+0Cj8YWf)DSLg93+O=>QZhp|5ig0Fw(cuO>+?6P2FL!4j3P9ZLgSVu8Yz7yo zf9Q+7+`0WmS~o|CEiP0)za3E+FsqzvZiJDi+400 z4@yFEg!nK$@V(uUNB8?vY5c+rOt*7f-}m0XK{+ehc6wM6T~=VMCt^rb%>ciPUS{M` zhf?Ye`OP~dhq(KiQ}&#eze`Fh=b7KvB|p!|732PtM*}4Q*G#m6SI20c9QlR735Pe2 z&C0!PxhT09$JdYF&sU;tPA}c(fPAK*ay}Z&n&fM_g49=>RWb$ol)bWq+q%W%B=8M= z1$jQ$S^y|{9e?=WbQl4;y@}ai8b#6aX@WM61oR=a05($%o0B4m6iwarC5xIWsS2m` zHTy|L8NEJWetu%~hEfC`BZ6D>MVKuAV&9U$lLE zx~bz2-$wRl6BU`2Zby+FUN_a0OckB(bo9UP1i(j6sN^e`BSE>%#uKzO5FXD5lx3f_Xcl7Ko{7Y>e zoYw2S1xk~4Jr^n#C0P4X&Kk{~ulAz!>!n6WTe5u&0|c#R&y_&5@OSc;Em=6_6hNQ- zHEUBQZiTe%toFhV>O!DX(6)BLPG$x?}>7B(#7`N~~T!2&}pFl(+&G=|Ix z=%z-WyN2^w(W&h%?|x{61YdEtuo~PRbFwO;z?mF*FoQHnm9Ut-=i+xVqZyNBhf?u8 z9Qd)O##vq|nPRVwC>tw3hBv7lWcSryW9_R*TH`8P0z%)XQV5k|+LS*8{OnsbKH6M0 zV>pJBGQ;3oREE>O3=Fzy7&dhsMAh~N$+sg@lWU*8=%=Hiytn->ehrNoBH%IDZksl0 zuEF1jev6YSA08$_f%z8np^!sFjrfBGlF;Hz7aqktLRVs&BuXkZ{^nIuFFfOA_e|WvJQ2yjuf_o<`i8CHEX^jZ5BiaxAn{9vf}Xlh}SvQnkfURaU>6AMZZyPTal9%~Z>(nmV5Nu$o4mO0LB#s#1PYWoVX~AxTQzj~I`n@f>Vk8+}mjaN?oe$=m{7-3w>-_njGY z<&GkTp2J``y$9PaNLlAx$o)P>)j#&*Fqw$pgPoB~^yzxdbnT{3wzIHdUb5C2O{X%L zq&CN(98GDivH|F1y;tMFS*It+W}`3AT0}fjgCwXhDaj;oOnaybilnlw0fm#~=}i{o zBGDv6nu^-IQF=KEiP@^~DUimM&c#vzM_w?b#q-8xc)B>~Zkhu{#nGpSYlV$U^WGLH65%7YSoR7Tw%4}^1U>W771N0>*Fw`lX21eJA}9b zvu^u>mhUf$r~HZ$Z8QV}!ml8=0U0+CHhiseO5}^*)_6g=BSAju)&N-R7H@j-?m{1T z^zY8$oI_MbB>-S$M!T+trP26G#R+o;lYqDA_e&hFGH-2X8Sh9!l8Vw9IVA;}w`QRz z=>j_7epoKM)AYU*g=>X53VZs7qDqCliF9giJ|ncC9LEHuRGNzQEz~69r)T_yz_z0a zmvhXDN5Ck`3i`CyV9}i!6jOJ`%DyfWU|m2uT(VopVdgz+jxelI#IQ$85{*;}OSQU1 z8Jf2Hju*{k`%<+9Lz;MpQzbqlUn|OsUlgyHI|UAmQ<1`dOdG}t$|ChQF0qw zQ6|370otJ&GgCJF;2kDfu9&ePc7VIJ;dihCe3k6lnH#`M(Dv0mSG~xk>aabSxGgv)g;0Hd!VE*jL>!@8k_$T>y zX)RvNbF=YA-NE3PAJhI}L$}?*QB?h*iBXWL#||6RPxKiDwBZJb%1buiJ!Pd71>`p< z9Bhw(Exi`3*fzbEGh^Gd;U1GBNDweMX=3G6dts!KQrjbEqh?Gazc$L@CR~lsRVa5^ zpn^hiG37AsIidsFrWy6( z#d1%PA{5&`!Mdi=A57NoEbk)D?x9jZ1H;!dhBZO^cz>5`SyGgQ=;oHoJ( z^W_}6bdBUJP&sPmNk01vK`|GOrC#dI3#mjk1E-rx*15>#|4eg#M=_v6o?>T=FrmIj zp}LitP|U9PDecc~NhJRkYw6M8Io#6-TaJmk+&{o9RxD&Ye{>DosA>Sywh*Ws){{SJ zGzIaN08@)dFl!jW)+O$iA@!*8iQVInBVC`?fJqBA`VEjSmqeT$SH7~arRn}{%5TC9 zsRk8k3rpe!ic*98^rr^7_}}9hPWD^ztiH(oyczMi9hDIBzMfEl=Y8#;vkF6~Z5GI$n}jEngh7u`ed@cYzR zF6h`uPx|*?3q>dUbBQJTuxX`~bd9sQiO21`sw#s(T{@VFamwejwc2+!9p7qRky`n) z#K$l)GnJPpQ9Th=*W#V$J>I?s!W zK$A%?=l$*S<>G1sh+VVHrF?1f;XzXCBBz^#Xx(7pikD;{28k_>R^D=CX1?y!B@1z( zMZ57p{5*fXK0SoHC^d>kL`wC+v6J1n+Jo0rKLtOuu53Mv)D_Hf=GmN;SHxVMn%`ob zFYK_IrYh={1Yqdc{!MAoHJeH8k7IU5_YV!AZ`gvF0s|IOvQfm##Je8Gn$d{>N4L1; z+c0)zqxxWa_)ImW27!Cug3-coD>z$_Mrm~YQs#o`s9B+n*t2*oY>*yu5|oJidRZu_ z`8B{^#hn%oOmZ_cwTiYeK@Fp6l9H_ij0fYjT(Wk#Eald+Fh-4biE@%dAZ*7}@o5y9 zdtXJ9MUw%;zekT1QB+`nHEPREOA7b})cxWGH#>Pq)D|^Z()*Z;si=lt%R}T#8Y$;R z?Sb5MmrK3=#gWLiv1^`JOT(YbreE8RuxKDOdM}pCghKL7?o;yiV`iszHiJcMp<1v( z)4-Y7Pm|-P+CPhdyV26qrAD`W1XiqE7MJ(@!ojH~P3xfSG-G>!7p==Zf@&dOWzA{+ zGvw4_nCzY`m@>PD&@V#CzT$GN8##5!7`1bGa!hIjrI|*Z@rhB#5;ex%S}&^yRE!%3 z)iwz6Dm%_slHEzt+b&?Z!k-y6FRA{O}2^u+@%>O zuo1LAUR7VEkQhY;Oh(F5w-HxXUOjJJf4`?}lqm&it>5pHbo97st;ni|5kl0isc7mr zK+a7I+sfF9%2XsfYS%C}Rq<@LTz!>Cb#)fB*&N$ne9i59t;4!<4Xo|kHcCmYYqMIF zaA96fsV58ep59;}H%q$>D*a8@@lEajV~@E7T>I=b^`jgiba+}k1tVLMawYt}!%!OU z(wjvQNTM$-)7qxteSMlI9Xe#@@XbLm-nK$qCD5vA)+%8cWUV-k$b^BcN#Zcw-;+l| z*rhwbtv}rXU-r%+4F&oS)K()bk4Jz@5lC;nru%_wdXnOxDF;Xo-=@6A*vT)Ar!T#N zqSF(Py_F{OUDjydN6aANRXbgHGQtlp*;QP#(&Jpr;-fP4O0+{Cj_4{3!;Wg%2bbz! zH&ebexscWz1#pz>RKJcq<=DMBDMd48`!GV(NO1crU6*1h?AX~TC@q%u6Kl|l!oK5` zl;@T=jSBJAb%&9woyEI5f@N5QYQ=AxbFN4$k=kMtr5+xnNc0QSG^FTq`CoHtUO1mI zm$6sW+&%YWzfG3)5gje8dWA7;M5Sz-(r`yLjUD?@2Qve8*AZP(oR(JX3A=WYW(^nU z7hWni!%XUMs}7Z0L5Fh|nuY|;<|g?>h4AdMDNql2nT3nBmKdPd<;GTwY$9`z7?!*j zla`#w(hc&9R;bBp`I-xIkpKAEaNRxfRPr^q5e?q{jn|UJfs1x6bwQ5MR<7%0=5egs z0gpP&DXu>SUlytKn;U6Yd{QK^p)UnvJpF2d^!6Co591sV^16DR&mfWG_4IBjGj3|< zpG9C)WUjPb^IiF6v|x3&F!GElmLZ+AJbBUT*0!?22t|{fwIH72YPfrk9`zFSdzg&zy!_TEY3r6JmW2-jVMh9(y?& zmM@ItrYj8>de=*2yw4NI^F`p0?jIRGYQ&3DX}Prqu1KNPkxF)Bul*YRR6@Tb9K<)j zfq6h#es&W65oC+115jC%93eaN(LJYm3BJ%`UFwU_M!XVuv)`k9M~hVj4VS7b>N9V> z)d*20)AYQ$(u8tq?c0LNzL8akyPTzc+lDIk1lgO?5snty)i0~%ZKiaX*qw%|I)~03 z8>F!1gVasdbSdf0*=((jriG(3EWI!{7g~%n$HN6kC*$*Cvk#iKsHLo_7Wc3b(PAiY z(GM8W6XfN9WVyA4SsFPbn4o03e{usMi1}Wg+!5T4Gw2Zmm!-&9Xsu>lclbQr7vnnW zWpfJqiUVBd;nE+{sn|W2lQMJ3L7=~z(1hj;96Sv6ZshqL$8KW4$p)&!5eA#Nw-p&A?vS{O~_tOZ+-*}>%@uxfdnT`BGQz)BLM4;%G~;s(~qNfRT&fYTsWCbJK~f3Hb=rX@S%H^F<%|P;Zx} zT7Ot%i%6p|cO2zuj){O0mGn_c4Y>OtPcH4Ul`;dvU(^baUT0-Vs&MKD{BmSGcfnJ< zbPdCPDa(VKf`!dMNia5~OwbI59+{%E?SNte+f`0#n}#0a(<-G(2TIivax{Lyo1njd zhh?rj6fw`57Y)z1yplScy@VzeAI~0O+>k|-j#0T2uqT(;^eM+C(7*vYz#Te@lF8(mu)Ue6Xy z%)tsd+j^Q?zzF$Nb4|^BQbJzi5N#1wncS>D+8-rVg-r!*RxA1^ebKqw87o>ioy)k* z#UHArQyEuKL+_3E{`yl7!Lsz%9JiujMvQq@1W9v;@l)0!EBe)XfUY=_?uukS-KiJ_Y1bFl$gWz$H=idA} zzt{wwnRUSNI&mO)4!HLE#=f}du*)tqbBOZ^5 zft~p_SRoFmfOR3Zc`ZrmOS+IDZAT)tcRZUAYex%=ikP!x&z8A{!J>c_Q)}Mt5*AFK z@@t{vN@^rbTJzWmURmIbQT0N;s)C-Sx@1XtMKQ+sZJe$*tq<0QS|4?)NuAh>Fb;Ag zaTF*GBw@-yn66*56hqbLM)x_d|5GET_u};2}t>pp8{ACY*d&3=~n|*oQ^;BFGl!LZ2Zh$>7W%L@S$^i=$m!a3R$r=3frw_W1~AXI61%)B{Uuhq|C zrUBrqr{H%u(*i8we5=3 z_CBpo&n%emWE3TVxlZDwjUgqoJ-Pq)7hcY~%g?3N`JdIh>JFfOYex0kQu47lLB~M= z?Mj^UKArt#>}JI>&6HmBGA1wG(4*Ppt;W}@iQdQia(D#JML6`?`{MpI`d1-7w%pX|JgRybxsy10zEfzbWVUQ=RsMc0*J1V;1e$CF z1@m!6qY+0?yuZk~Ts>L4#E>E|v%t8$ZsvmJ{y>M4h2pWc*ayDND!nRDB@Pqv1uNBx z)h~Dx1*qekC9}({5jZ_f6f`rtbhH}w?oA&Q3#gk}c~q(+8m>CxVwtYx*k;8GKAp5p;VA}%PGCSCSeHM<<2w= zgE3vqj?JF4MFV?v9M@h7B!r=QyJUDb8FkBc4sDtH|)gqaztmB>G5_l)MeRQ`sFi z##>1i(CBJyoC5j>>u>3e=pTHPeYsBkB1`Ewr064; zU}3#Za2?wVZN*eP%Q;1mjFhsyIv1JmR0rwgs6p?ochcii(|a3o;D?UX9ME6KQGc3B zOo8YW8(Ogxi@cy!&fNxPBh0M{QUlzM8Nq1-)+BhmI@WXU3cB?GsY^`6&W@PQm6v~G zjFsGidvx*@;+Lk}ACG&%H5bZ{DPS!(t;&uil(_X_J-C6(ENQ9);oL@x!&F51YRXaP zeAW4$F&`3sO`?j;7)`a(%bCeVct%-#@*H!X_CL4bhU7w=fQmTp(Kchudx-8eWe72| z!@dm)Dt4TCOAxU@Qezt4w8K7zs>{vD#8yvKU&=8+gNQ*N1%&?#0(R%uWgFsE;=X;ZvA*&ZFG^^iyIf&G<2y!Fs8#?+s`$x)Z0U(m zDUi#;9`)=Z8*l{p$9vfYx#a}CobU*h7oH}MtF=vK?0&zG7v9>@W%VFC3e2n}fQ<%h zgG>vXKefjBO?9)G`fj;5FD@#K!>EYm4>hQZ{=j&w-Kgir%0-V+-enFKThe0*D^XncC@*)J;{;_;fZlDJ$!NW5 z+jR>&NjSUktgvW#0omLVfJFRa&N6WRfc@pN+#5G|-yFU&-Sdb#Kmpk{+>01v?L;Aj zNf;L-ISU6b#Dx!sDZG@0+^y4}V*_1(4D5?MPzU!>HmWoflcG&2JYHCvQR<~vjRi8C z_pCNm{`Wx8wrt~Qcl>Ll#rA9S%2dihgo7on{-EXi^XL?Og-rUCWNrQ~q55sT*SrpS z)-mAeAOWtBc0E^4=#^QRb6FH1X0jRMm0Z^-`iAX-n% zefBC?AB3N5L@_k~Z>J%+-mKeKoKsq(^yP6K~cj6w$1BpazwHDAfc93%^_|A%-|NP@3!fu<# zx7=_wwDJI&nuU!w)BXba1}yObQzDvspmF3Tn#7a7W3))&~k? znK`u`ZnHYUVgJmVog`1MMTGElWW4~2dhf0!Gv)Fq-bxmH`IkN!ruqIIYNGTVXWGOpP#uB#tZD50b=JIzQrl~*JZ~q|IEbY z^nG&!JP|*!P9$h%_$rQB&8;nR=}eT=N-+e45b1q@BmgYSYe|a^jMAoW~re zea{~M`Q+1XQ{xhdSqGwWQ<8%Ht|c7-IsU{Xk5xUThrRn7lvtjH%#t)HNr8?i!xN_@ z9GM8DznYba%K+$AaNK28<;4x2K@`VYuHVW?>MRZ`p;e-lN=~G?Qx|iT?m?<(Rlx*i zCnk*PYp}k+LxaJCgH>O+G2mf*!PaZAHXYS9Bs8T3Cc$9jYcmDUrhVpRIg`?aKuos1 zi_XEeC!-zaqkB#5Pu_rRiD|3R=DlV)*%%xxl_zuNfUB+CEsPT@xFu6Ii{$x*MT|3b zrG~xk%oJH{jHgeoYnnHviLKB->p4I)X}Y(!QyzNn>z{b1c3tn&16FsEu%#sc)M_eo zd3j69Zeu6io1tNN8wlq2M^v80KanJJz%)D1JnnmrdjCcqyL)5Ld;)lwQruSJKy3mb z%ibc+b|wH<*7PfeWJ&*~Eum0Snz+AUtY*nE8SltgKH5o%npmvqisR$>Nhk!Vq=l&5 z>zS8hl86K82Aa~~bN^6e4qGhr!3!hy^ZP7qKALK)KN7YZ&r0X)HaOc}+6A0D6?#}b#_Dus^JLXxTi7t16yiAe zZBN9;z{CXpJH~Z!y+V(IaZ{@jtg)e=16WCr&o-7b`cm6kT&V+hre3XgO(Nyb)>sWpVMN&T255%S2;Pp8)j+9;ZfzT!bbsXkiGK8PHBFrxGbd zyOF$qbOA;+7p_LC3x#yK{_L-rwb#;?%Ev4iSHl)}t*%@RU|rk1(s7yyuciMSbJ=IB zrS)R*L+C%`Z@oC4Rn}a9(zb4UpUzx9qL7_v`%PO0&n!ijVm)5|m_^Cx>h8YAlNpzL z^&W15Y#7C|w)cc0CHuh(*6smYn{Ur4)yOn#ro5T7D{x}a>bI|y>r{Prv)0x7 zdd*CMAzube+B?lHg!fspIU|1b_rgZQlwYMuCRLHO)0Reeycum}@~4U7njiL2+JxL# zs@{rmFSr~%UOBeURZ7k8iO8~}nIEO^-iHtMUbtwu=$o5|>DPMyr7jCm0+KYze3v^R zopZcRWMZkgLh`hey%t}8%$Rf@73^Lk=EMR4B2)e2R0dQLbvZSlgj^4V|K z#Enr2B_Jd*u!#jCk%{Mqwj*^H2BEBp1N8X;Sq`_}!Q?%JE7&w)(#RMME#@&3>cjpJES>?eQ@);^v%~S5es+c5o+$ydOLY1hC?0>v zSm2yNy=J!y`eIQOp{~s`R_H#lU1Uvk>&L!rwYPj=rU@lv#gl#ca~{)(nA1A@Jx+6U z_W1SEms@>c?U~s>7t}Zym#F@QF=CJg$!C%$G~AcRDJVsrKs!YE-pL$%VN3PBtlz(v z*{l1z_n1)rUPyoYOBYQEqfC$o z1Dpp+VNsSd6gG&AUw1?O)f+WXbYyny>kFoPpy25st?V(~~}MG!H*t{+OsBO{u0OqQnl;f8&DMiR>A2{_0Z($NQIMeES|HdI72oFJEhmZo?uzv$xYG zSe-lM7inPcGYe0D(iv_n3|2#&aC4|8?rtM+9}TLLcS@*-v+JtLsHpycb<&9KH^&zC8!me&&aNc3>HfW&)_C`?gGZ+oE+v=~h9 zTy$>E^relnESRxna&oF3V9{da%*gKiwh^wxjXnyW0@LBcM6&)U z|3a;=mWIm{)liO%XOm&vonKnyF(YF}x*toKeMgE*t?m(eLe>X+y?5Cjc_?Rod)O-I z(@YAwR;V214(TPmbtjNmdNnA6mxt)~yr!>~S)xkQu-kWe-v*qo6tc7nIswml^jlsq z=@7#cg39-xP(=8~rD|Za>|pF~`ve#EQtb>tfV+FYBfV2q&5bUbvCqbpQm_F-){F(3 zveVvgSTShUl1Gd5Qr z{e=dnGJh^LELB4>r~4VMUV?g!xwxY4SMr5;qt-ac^8JZIa2CtY8H?R@ZMe|S<*&1bq8I`8P|7GrTUM~Ask zK4&O4>pn7}HoOs^dEb!&^-W1wS!DQF2huR!@U{Q;mqp#-BidXb4Yt*3V2`G7=^+LB z2Hm%#LWnPyTL`Z9J&9#)y?*my7t88B^xL^b0O3E^QX?Cp)AQ5X)aJeKx`#?VPkpun ztuBHCr!WxELKDgbJe)eQ`vv&Lj&rZ;FK^T1Pr#L@EwkAzVJu*O2N-_LFM!IJxCkKE zM^*jud}DOy7ovvzNG0;2;oajbqS3QA)Dz;T_C#g&q zcJ`JG@}c%#l$(F9MtNhF6Yndk)RPdVhMQ7De*(2B^wfsz4uRLZnfA&3aC%QjqGGyT zhm(R={rputAN7IR<#!V&2_bRp4|fly8IzcFMv+#+Iptcb>Z+Nq`Um9Ww;c_IL-aru zlaTFt=!8B0D2iiKtk&_}3xhYvgi*+S8g6aY-;RA-YOcsyQ~>3*+Vh%i<1XudPjJkv z%nrV71q*_1dKTS)xSN>nbzZSQ-a?(qPuf7-J@x`;J6I!Gl0CCu7DYnfaF%R6tnjDA zeN)Jb@S>B3&w?n#?_%=DE~-3S@f8Z%LZnK zcrS2AIIqD z;MSJ8Oz<8giYN*mhn`~v_$vWB;zULBET$~3N)Idx{Fqsl!loET6y{T81&RX;bHET~*GqBviSOTN;v+wyK7#uAx zV41xX+aAS%IcB@L(`s&sM$C20=JZcefS?r!R&}7_F>*m4{QmM$GT?sG_v3uF1t~53 zP!<%r@!y5TxN$+k4RriaSqT{tLfbLbNBp?jERk-p-`)z{dP=0{O=#tl+)b^R*ppi| z*QSZ;M#eCFbVj2BSz+AvbN_|RY61>ImrFspkFGZHDF&N(zNV^pa(>lOwttw#=6A_8#hr>)L>7bjsAT7@(5}q&n08s|{Xr0<}uEPhOz;epx2zExX;7Z`<08w$TNatL{&G15siTvyA83pezmSKbJ;2Ac9BN} zNDtd8jp)%ld=m2C!utewGki++M@f!rL7qe??OU^d5nT1?s(o*{1Hk`~hYe`$C5xyn zJ3@l+#$Q0~;VS%qCL@{{GYC5M=&>nyBsaaIjgY@YJBa;6T7>_EgKi~=Od)=x*K%)q z=`(Y(Ux<4&_4Rf6{O<7D%C_k;;*%(yr&vd9raRU!o40{XI~6R~&V$3HHw}f}8^*^H zN99S64;;w2lv5?$`ijOszX#LK+OjrGSUPr*%}m~}VkN_a0WD&hziGn@orz{AjWoUM zgwNCMDaL7^#_aDQDKv_3!QTI$l zQ+$sMti08M>g(m>^5_BAljsrX3qvu(fpc*FFDp<@Fmb?Lk#x07Iu38PcCEh#4d*t@ zmlnc&;L>DfljSQZ_6QIynaK^UqJ1CN?F|2CG|?w)mdG2H7_c^~3g!Oa+3JiEIs|pZ zvn!OY*yp_VY|Qp`$N*K@GWR1?q@Vo=$u0)DkY{D`- zakaGv=jZpy5SSIV;*{21Sv>3>e1YZJ-8K}j zR92$2YDIP!uEHEvf@o2|ERt+$kg|?8zK~G}L!`w3f>6Y#Q@ZszciTSl^gKGz$C zIku(@U3tr*?FwC(<%F&ToKtWZEuTtbYOGp4HgZ#$Npmjul2ZhwpcWX8ra*EeF*?yh zKjW}HlXheEs3{&dQ!T#k7wAH0(vj*){`(tthUf$B@^11s$D0qcdUUS?LsL2Z4D%Cn zsC*VuZfGKSIr~=Z4mVodVM%XK&)a%=e@%QD?mQ9euxi23J`DzQmM-X=K&hACtL69V zEEi#EKAZENn}NunzD3z=cN(3jmRX-w0cN3|EwD^3_vtSUD1oK^fuT_U9S*4}Mt`s#^P7Mn zyubv6_geGhQ=BMN_O-E5|A?6c0-1T;VlGmlM?7HhHZdPfkS^?}`_Fg&F%a4f$IPxv z-Mc&-jc>_a@nAnO8r&yS_a`8=5)k6p#k$D1)o!fTGST%gEi>#qC^3--L75cDWEh*` zlU2;O-cD`zCFVAmMqdF-8`M``>YJr8cLquGIeRlJ#>O&{YzdH^)k5dcCU+|G_jGI9 zJyH(LPqYEjHF`2oJh~&*4Pb3vaekY5glzjYZ_)M?Sz>gyQCqU`=*CWdf;u_Uw?kBj zT#FDzauIGi8SGv2)?*Pf=bu}_AsOm+V=ACuAp-@a!j2C0F^CbxZXAVj*7&>T!?yL# zyd)oK;{_WPh>U^fNa*cCe+zKhItgkIHpR>@?xYGKUVZguj!f(#|Dl-_tZmcg30ZgH>+V46l$ZDZ4T7?Vplhf?$F0KL}*X z!{OrP&4S{uK=R@ss?09`2@hgQ@u!gYnqKO%FAB2?%>D7qk^fH=ZXe`4dF zZ%waU7=NFKudC~BuN|VD>z*e~tJ+5&D<3Ov0!SfJ80p!0T0BmN>D5Jn7bDBt;(Qt) zTKzt!AO>C58QsFBSG6r&`sIg_VXz~ulnWzcr!v#d$xbneiMyUM z@8$1Isi&VoaXaN@u3aWY9ee~f-xFo`y1|1SGb0hHfLLM5lyyDv_0zBHiH2|0t-cjb zKYynid_aaM$vPf`axA*Qb8{2(?Xcggp#@83 z>|TU|rlp?IuhIhcwKci*R^8T#LL(#+y-x{|k1to~#=JG&v8ttJzn(UVd~@7+OzqdN z&6VVML;)5LC(vSUU-oeyL@~$DCm&cGf7{=zG=d%n^+!l;z5^0Zb_$s0!4I<7PEcn4 z%@u~W>R~gxUi9|mllX)^$=q#y*VU?B1C_@|Ij;n~3=X=h{TtlH4R~=^`}kX{{PuHu zqXe*`rkQ!)_;o&x62JBjscHD{l}OVp(d~~Oikb_iq>68l*U$2T*f+F;Ha`{F<11Uo z8$G1w?C~zg2EUk5yU8-)nna4VjzIJL0^bGYER2*9zasUuK5$ zM$04Ks1V@Y5Z(w}98WC{J274yzRH2=^ehgFd%1|;i$5AY8Z%!!*HFVC*HGOHR6Q#r zUA(dxan2I+i$%u?Vx)YTn^}s9cP8Vh<%LRYB~!9q_0Jw%bSIym+k6*31>>#w1)%V(kCU9aX3Q`|?8$`LXs+a7XyXpEg*GyQHm&)2g;v)JbCl za-r%o*rgy$Rcso!pZWZkL3a2`>={`V>Sq}OK6gorW_7U5a%wPH70(;P0G(45db&_L z&)doY+ld~M-E8dnNJyCcGN~oH-&gf_oytS6AJ=vTN@&46}yd-Ysk;w8-!-9Ttv;L<6whv zP4g1ejB=9~W16LBq^1;2QT}B{LlYWnpXwH);^doPKyIB*>!T}koRHTjgW*^``8|C9 z{()A~X0cWh0r=;Eykn}tcs-Lehij-%l65CL`@g%xvu*P&(bG(MxeIBnDT1tx8rsk?{ot2AAOQ^o^7qlBcl*hikB}hGGnk z0e?!8k=Y=5HiP5;*$^Y&%qI#U6SatpHxfHh;qcWEeIyqJ(BO~6{P_M~lz!F6l>Y|^ zFX7( z;ddmJil~p?eRz;tBt17zB>pTUr@^7z;>%3yGhJD^w(=p z(I)`uIfB3(u+NJykCoNB1Lz)5jY=!TbJ_!97);w8G}1YbvLwSLljMeUtX0q};UyIrBZQgIrz5M@~ zzEU6{7790`Qw;yfq>T^>fQWQdfP@wa?vx4-5eb31AEO{uC2eqbiYFHkG(`1?95mck zsZd6kA(W&ep)NWgS)|IJ1ip))P^cO|6ryN{QdwhEOg>01cXRzT)ch0ZJ&sbCAG*kB zh+sX&sA3)!rzb4g+!nDO(n(jyqA1l?$RhYxaioMAp&<5fyrfC7{LQiW>|D^%7_V}a zPLZXbvQ!wrx%W`$&o+>Bq?oK!zjLbtaZiFIBH8Dz|qH2@fkQ+}+6NgHr ztY_^f)@9S8qe(gPo8ZmPOS`!uso{0?hl~2cp~#5aI(JP~u;S80wr4!q4y`O9o91er z=oDb7GIRA9UDUYfeZrX$l-#UXoG<#CKaTNQ^OZtm`rIQ9(0kg!O|8p-y|d6tGQtrQ z6A`n!V5M~&S5DBpGLM~w_6kmMjZ*B+AbLGWaXnXaW<{G#@HXk`tZB6bz&2Y(=DI)Uer0qEo!Lz$AeZ4!v_CHGxX*o>19xEanSTo?So~WhBwC%ywx>Jv7G?=oFnA7pYi6 zF)t%f^K{6+3(o4=0*KxpLN$B&8HIJ*Rr0Pfm5N5Y7h4(#PhCm^P%qaBmcZL+BNoe0 zsjx&Wwb9Dj73_3KYw~x{I9vvFwpXnxmvh;S z2Nx~~OILxmUeo11u~+nDEmneP@S{1aKJ{+fCu;~-t3%LBys9NnjURRw<(J1Fw!8qh z07tSWFO!kV#mP!V7U-oMd@rTNe6bX2h03Gc=vlwz_7iO+B4w)s%sROsY8F+Lg17S7 zvWs{l!G%cZ{=?)$Xrg?n@d1>>-4AgG4-M6mf`o+Gsy&fNqU3xHkz|qGVv!Jy)Pq!H zIta9|2r8l1{Q0~70(*@z#-1w1!S}t8{E*k;Arh8+lcD&b{P7CV_=E!a*3OEmx>joi zld`OW_yR@C6qHb?@c|Q&-SMi~lK4F+PaY$?HsL)${Bj9NR(v-_pTj8k@b2_)X3AN zbi0P_GD9Ep@+u+BLBk>k%BXau?nPN}G|4*S@H7+fsq4)}nb4@mVHWBN?3DwL`-QAR zXsM~l(|s(?q|Umk)9hq^t&`VBU*haNb!E(a-h~&D)@sM>wEgEOj2(H{Xh|q&DyA}( zl%u1ylQ1Z#A++$RsbZ*Nged!BQR>as8mbmex~M~9!j_cdXd(Ahs4G$A;ndW0k;k9C@TnCeJmUV;;9>3%Zm1j;IhZm%i_@$)SKvYP2!UEG!!nqOAu8f zT4l1XqzXY=91-ZaQ$$W2dH+Jt1RWc`zf*i4SamY6|CuE(tmav`tFN#4sArYcb9&G z)Wnv5xhmnh-rYA1av?oDt+JXPmMyaSSO3`bVlz4$ysi<5t7mw+a@7Nz3(vp|Zyi4W z(%p?qQwojCVHG1hJAO4iINM@1J@Ut>ymwmiqN;EDb9K!>dq@A6<)SkzTl9k2;5hAz zMKc)n#8%ABr5;J{FU&<$-*oZn*ub<~ol;;n<;7-1cCP+0!v%M2Hs?iWQ1;d;M|d{t zXWG$_>>sZDE7zz8T35t6CS)#-)iy*fr#dD7YzS9X{o}Az4+yTF-f6tLdsbIhgJXPG z*%L8<`bCkU8NREiYs-Fjdt|oog|Pt{8W&UDJMIDB z*n{cRVyyYt>R9hI`h|4=G;a!Fa`g3HvoZjW!*N|CPXP>FT-dQw7! z3kAj6{dtTC5()*yIi+%aR#uzS3ZS^CjBVuX46eAS2wU;5B_eux-A++nBI|C;4k_YTtwv8W)r%wjkQSQTr8B{LWABe^7A+>4? zh@W7_LCm{$#>`dgS)10&0rVP)oY;&>fu{^U{|Xo4Qb2EpW%9{Mn{?CC63)4IP-&et z**b7*OwE6N0)RYgpnG_G5UyKcciAu<9`enpJLb$Fa=EzHUG$=kB5e-NCx&~bzp)xz zzE}s1pkl3YcxoOqGj^~pKeYh3Lig-jwfFAi%_dS35)!g8JH@YCE;zXKn;APqWD$-z z`+*m%$&TLgE9r{5^5scoSjywBLJ!&dVJ#O)mk`_rRvr@$B4U(hk?2G}!)+0bGG*&z zgbJsdeend|{Ht|1Ldg4allU2^d@_z6?w7msX9NWI_oj`!#5P}5@uEE`%;KAL4yIbQPECdf0+}+*X-Q8)V(Qf=B zdmq{N-TU2p?mOd+@f(v_vwKumcduSlty)$8y@a@y*)_tq1z$Zqb#$~m`4L|aI270P=PYz>otHnDNNP!TWW#WK1qzjGU2c(i zHiJBZ)Z8VTs5cy7Mw(8&5vT-ulge=PX5=}gxO6@G&Xpya>gM9cLeGCh0eM`GyMnan zhFNFt>)3Z`uXXbrE%#|nt?j2yPE2NWqWG6}Cc(8gA8A8yRZBKyzQXdUo@zOuUN(r8 zw1$)xziklv)on0kiGP#3cTjgFPTMBf_OBwb(B|dd(>mH9< z_4cv(K$UwA+qD*-**6(lm+o1*#h15%e2YbY~u|_?XbZ1 zYmA_*M9zN6WD*oUuii!&%y`T#FbzN@$hOEX1;ModB!bDlHqftLDIWxZqYGU8CSpIurXDI3!QFb-{D}+@nJcIWKaPKSmE> z<2noc8D2+;^7hsicd{{O&@+jB&3mn~+ne9XCy{$({?UAO>LpY>Cl=Td#9C-?VN zzE$wr>7h4etNi*5AD>k?sIe(0WPNtGmAVFT9hlxm*E2niFo@=UMH$6izfokRmae|* z(RKZrV>aX}qz8r6ke6o7)t2LI!s&VT32-9ze8Tke#^fWhT{7@ThqGUZ4qIhVB}c;( zS~HDHB(RoKEp`4{cV)66yDUw(nM?kzZ-ub=u%-%g5xx1P(%sg;-1KK9?F8zi`{n@*si+LOcac5R1P+#4s{{@M351gQF-T;zZnoI&3s~2C zWbpiWfLf8Y<7?unf}BUEDN<7E?{VpXVp3P<7|-|?4^4NDTQ_Aze`<3AheA>%Ia+tA zJJb?c;pFRN zxL^F2pzrIbtrAiuj}x*YuB83Jjlu3A)}m`8AA+=hvKjSgO6cO?;-<0RWi!=BjtYuL zB1WVzm67dBO0e&?#9i(|+6F4lr72tDmq~X0mk`-$ZmkU0!@%4ZAefApHdn&{DK?o0 zs77$tMow;QdIQyAmE=Ie@Fjggt@Nc;g|rUW=Y(d5k@o^}1gyp3csD zm_K=0uvGGX-E?AFP1WUWHTD>e;Ago}pf}w+POi@1MW_GH^!0oMG&1X+_rt)QC2nM# zHsIEM*1dj5<&r;j-fp&5ZM6Leq!MCEKH`z&(CwDLHo~2t=5_5~EM2yEQ!2eb=i_?f zdiH#Z5pJU~Q{gtYb#&l(J{_JxKStl&Y~>|3{I2rr){k3h8})Y@4#PiWrFC^K27ly{ z_jj3a;t;+g#AxG8#kMJuDZp3OP-v`ju-;7=>KlLz91kGI^cB?<5vcShnlR`z)OZ{| zO8eB(SuY+NGbl5ZwH4o~%`O+=Sw66NSy0TElrA;_IxYr>u^IH*9_DuC>DOx>T2uTv zWZTSFWf@j__PdwjmZ)okYVj-uw3xn%eeLbTjHJCU!>_&wj(067(ZkesJ}E*jz9~Je zzKdS3u(alOH$7@QD|yOM+KF;-)Xrb<9OQU84@ge!fWm8k!PT*5%X$A)I!T~+nCY@2x&;;m3-=iX zu;?Dk-JpCuvm4{AqOF1(Qb<{@6cCq))1f#1Mz_w@^+=g5(Pm2_z~GE}sAK}|xe-O* zd}w~NQ0945cwbm;X{&HKuqH$)29|5gk7dy~BIn~Gx3%gUS!`W8iIw5ws{Ik|s9H-2 z!x@qqOX-ny_4#Oa&HZlSQX$>83Q+E%;lET)0keaboIS?uu+vN^S*ewM$x0pxj#L+v zxG1H`z2K3bDKjmyiscr_rZnrv9ZJUK98GpVqc+`ZzL6Zn#f`WL0{5S|6eO&0v*pi+ zP$y2+*<=&9x?K!KdaUTiOx52+9138c$;TMcKK}TD-J%xx0L!JQqw1=9)JG^uV0wZg z0krVsAF!|7S3BzSJA{T^T*zPY6*?k2BDqkmmS=UQc!Cp4%huVMd3WS=LNZ3ZOq@UW zB_G&McJ#n!bjX?8E+lso7Z+81k0+Y8XG(VzY#;1Uz zVmgUkSAaK3=A7~aoEIF1d?ZJ9CW|~%paMp(-d-ayR!`@06iTN)qoZLPXpkxlTx#msjOPN|_~_5DXHi?JStI062b-sPy`BxD6^7H`S2-cd7s zrsUL{MNPzVQNQEcA*cKO=U!r%&BUs3=`y4|unRq=XeA1PO>z6 z!Y@UkBCsEO-cX79pwk6PStf=~6w#<74FCFI0SRng@z7a$Q(FP0+65LZYQStmt?xXs zgIj@j?L^f_ZW*8iC2ruHyHto4Q3wX`#$@ZjRBu=$A@>^Q)rpZ!Z@b^&#}w;PLf!FF zp&Q@Pcz9GFl>5kEu@P44a?EvSgVH~<)pet|5glzpoB5`Jh#!#o{dGuY)AZRI@Ji=b zeXxV?U!4co4S1|NgIMR*3EAFHVVx|hAu}o}y4~h)4l>r#h!vT!=DIG>+ zL0X(N4!k0wRi;!U7=`J#6|WKmaV+%NR*)bA)mYw0(H+?&-Iqw?0TXXRHl#U`BwiDF zApS!8_DhQFK5*b1My%>*v+Rgv`!}z#0wRpoq*|@y7pxzXt(B6k>lv5JjO#{?>UNoy z%YHc#T<#irp*Zep%}tM_SSE3}{vytLo@~-vALhMRhFp8iWn7K-DzlB>C|P8DK32z^ z4_~3b+s|x&_c;IS`DGl@&Z%9z6mg=JaCMyX?m|=>`;&J~gorfm%T)tEfF)t}H4Xwl z%fzRnCx3(l3lye5srD*PoWnUiye7b1;sugl?PTTS@F`6?e)6EO?49#G7@D2aXwTal zJ^=c)eb7`58)MZ}4XE?H;+spXIc0lfQJvc#X=F&n#9KD*edz$GOs|JA@A=tWD=+f2 zP?>y;jtPP%CkEE3y(!vE3DD}hz?vA2XW!&ElsK)tKDJfyV~yIq(cGZIuU^B}tImrSp?xVq zZ%bM>#ajZr=pL~U>3|q9fY|y{vL?P;|Sf3nowyxyplGO&!AeguZJVkxR z{?PboOVPxHkEJ?2Sz~;p#MEStg$0< zpx;-+Xr!6M^l2ms>$o+tRs6BJBoLsk?^T4Yp22K+l+1QL^3%gamajT5T|;TSq|kJ3 zhUG6Y>0;OCI>sNLA`JPm)yD^F87;0OH2Jb;yFS-4DqcrO@ntJS&ug7~(CXUu0!!Um zG0O=%is3+Jh=kUi*`M4h2^s1(dOcdyqp^Xr-@LTkK*lJvi#x|&q1CQwPh|)B&nj0F zT-I?uV4kN(^;@u<;(lz?n`hPG=AfiuF5I0|BnLTIF3Nz^SfR1OkNaQ0J2DUa5gVFw zni_w2!P14}?_gWry!|Wt=De0Jl>a2*+@wB@lvzC=P9q=gPrZUMOwxTto;ATGF}{nB z?BD{+#S@U4CNwto(bV*NHOs&v?#?mNUt>#q%D=;5dG^-QhT{(?O|`$X&=1^V?EnxR z%3w5TdAOGSQ?1QQ;?q)Xh4!9p{sR5p^9$%NjJ3PHu=gyt_h7U4fWG%2H`eiSU+?=R z!@w=}*PJ~~xZRTc=n`uibJuE5z3xS}{-x2fr&niZ`n=ww%aqBN*;nAuYMN^>SN{%< zx8R{poP~aV+p?x)QE(GaA*~}~nj^^i2qpiN{YVyzf;=N<2SMsIbLTm02l*(0+;nVO zYTCIaW9;6#CDC!mkhOI4B-?CAaN5%BL*5Y4m-^mT8mrBR@O^r>PjQ0OaUw8uevG0U z^i0BJ7S0x>0--maInHcVz)fQ&aUYl)yYW?83P-hw{Rb2WHJMp~?OQI6Vupcx9uAhY zpUVX?J)_Jj>Bln+=#8mH^A2ZCayy6f#XRci59Onr`(;5dl^7ffe96&*->#6G60w(U z_qp(myJyMFA}O+b9{tD`F!LXc!c0r(&+G#Cm?B*HQ8$Y|C6@G`=Q9!cCcJFhg}~qomTc|*&`~2DNuu@~8-)JzIl@~W@zh--u}|?2ZjOyj z^CN!x{sW9kk>QFPi)6+(!Cj0vC>o4VRR&}c{*#QbV*!t*z~?(cEmFN z;TwhxZ|H-D^_jI%)H>pS_cyFbC@lIEE4C+-guZZ_25xVD|4+l=MUn4l?~VmIF1(WO zoCYEBBDVWRg7B^U_cvi6DV4zyZp>tSCmusM+6H)lvWpq6@nGkc1AWe>t?E!Sny7YbPAM*H-;I8`> z&f5U9X{uA?>`j1N+c~3OizFj1eTe&lR)-_;8J2<#)BA4ZFF{e574K9#Ery;#ANr}E z9fxcyT--BA9$E1z&O&SF^EEsHSF`ieMc_$PJqDmJLl1tDYI|czz-t@EPH0__ACcil ztal}knj}}g>1ctv-`@;=hbsK&gW6Q?{DlWwcmj1QQvk`=kMS+62&|_p1(IJTBT}#k zR)nt=4t%ygYlPpWK3zlrJ||IM_nUzrM12zNx3Pk!rr6!&AAJz6nUjzbyD{y^W&8>m zk#~H~xuH#j)2|y{Fh~504cN6sp7%QH_2~h4suPLv6$q-`qjrb@RGXl)ZfiT9mDhly zi8;;wTB2?~5Jm&`6Y^<5M%UwUGHOQvh>;fxxT)ZVL>NN3vA!t@;j;40_nrRY37a0I z*CFnP!rplaf_ix84dZ;gTi#JzfvX!ZzlrRI@r0zc$pA{2?V+FgJ6=4^PF(wfG(0}^ zFWT%w-+TiH%ah(2Wm>U~d+hdK+dgsNuk*jOPUQ9g>`ihvTyIn#UxBWSUnshMe>79u za5p2o7SgTNu&y(dZ=9|SpZ&jn_ESbTEvrQNDex8h4*mQ-w7mKzMF7J)mPwz(l6n3z zsx9exjAzMe$|ROO<#=k(ac+N);#VuhiE*MOvyaH_`VTP4nI6=V<&tF)$&0= z0JD}m7<1fA8ti@eaS^gfYZgp8A-o=?nc=xr5|Hlp*;n*)Wt68PF|vmU5vK%%?{}l`{Ad#t zKn%@nK<1w978T5N+_J6jKf5!Cd9IrndU>Spizfr}#RhDI;Jg*2>k;B56a1r9z4x~L z+uQyeuy#R7=I7#Jo}c(z>WOkhd~jYt`+4>8ybrD3EyzA_;%5hsHBg2&B zmQReg**!K|X)sYn7a>Oz&dYp4!dpV|kq_aX-kGx4A-XB?v5@px^`bq$Er8@qT@Rri zh+rATuj6eh1=)42ooO~Gv$D*vM2e`sX{O(C5322U&b@B3q>-s#6H|ib_~x}jb#uF~ zS$dkn+OrL+FWY&KkYO#1({-<#HdGBOxd%FY(@DoUr zjAfP#6>g;Vw?gmK5fz%jR7-Sr1tK#v1JkjhZN@YN5#J(Me>4*^!`z)x%!Hx)NS`S< z?4U4Y6I<;>`UTG-Ka==Slt=dGTrzy}*p*~nA@a~!iz&N<`uM5kc$@Bitq;I$?_%Wg z-diB!>;(KhSrwwXsTE|4*{1Seo80ql1J`ZJjaWNE00KX9hTnMbDxiD<h=a9nNt%5TEkyyt$&{}4~qbx+7zH<+*u3~Hrxc0Q1* z?VjKCfT$iAs-ZS}EX;P75xQJg6o=^W9Up~_s2Z%yIyx+63Yck0ceHCcTN6j$fyYC@ljtgs1WwvIE;mccV#qM8;@ zW*@3i$cy~HiI5`n_1{2~;^1_4yoD8KWc2q#AF2@}>J+BM(loC`Ml8KrNPw7KQLK&c zKLRXTr%$g#racd;^X`vur@gKt4!!JYOY0^r@ct0?JtHIjzldh#>OuW(s zvtDsp_WzqGDLV3Y;r|e`$PYcjBiqw%fKSobmk=sK-LdX*iT=$4$p_xB6y24ptxOoW z*=L`cglGASXUQjl!Dq_b_2FmTC-6mk;&bc6nXv=|kH_NVaFnjgRaJH(LF@5BJS`&Q zV>xiu&BvmA+jbKOusttQc8*tpQx*i=NU`Hv zc_pn+4M1!|wlK4foISOW}Vu?ziUc0F1zHcOc__MHAjm) zYFM~qB?#7@bHVuqOp=k37Bbx6{V}gZM*%7&MP5FYyc{>rBF?5-&3kHA$xKOI7f@9| zB01TmD zZncuI54X$R>x6ALARrY#!ERdr?R0kr;nNi;KSY2}!OIXma#<9lV!&EClW#jqq^f#W z>3RLd&^#%^1aj7Sz-o#wqkU3)vjkjzw;qKey>c*Za@$jMfE?D`;_b>g12N$wT!W(Q z2m`5d!h56A`yy_VK;8jxvHqw&fS~6$yx{<$0U($8(i#xcLEW(F!dO{+RoZ6T{4$y$ zLN`i|6~e4{5ym4-ZBKbWF0Y+grUM_|;=#tSyxCO2cP`sNXycnZsr7ChWt=R5=dA9# zY>DsL%czms!btmQBz!F$yfY)lQRZut&fl%i?BzN-e9aAHkT@q)RN$iBdwk^nj!HZH z7Wg%ML;OC@2z#7u#y*3HZk!PNZMd$RKF5s!kOYVr!uY_His%DH>aw{OOuy#b*t*rkEOD(Xmd%!Urd$ey*5riCOSAvm#ju=9XLx!_CYMbqb_d3z~t zxj|fVI< z3V`KD#BS&dvO@>Jw;>_^d3;gcVQj|*z-)Wj)3$+W#ynQ7(E5i7Gcj=e94P+{WVoft z)WZ5R@&w%~TAwGX?c25uzH9hZD$8`k*d}*k!^@azUQaE*yUqf`1vvcSk;JFHcxKuF zk)UoOGgUp$a1HBbz?Y9%KN@L)G*MR(&%<}2U&ML3AVqwY^(aq)BeKbS zMmF2#!@n9)No3@`gIdpgAm;WK&~RjT?}2jijMxNvH0rLv-DrmJbG*|`dIfgOc#AvVz|1Hz2{H^f45_O)UOm31CQ@kO~ ze+n=Qm2(thq{L|cX$q8oDf&sl&|vv_Dcg?Z?ay0hFg`$GRFAe@!M&A^Q2V)^Q zbS;xvrVvS~2&DVS8{d$OT|cuGa!pw7-`wKkn)Jh7iP=V~Pj;|@8kd87JMZgCTtrIO z_4~4XJH8+wwYL1G2g1BkL;AlGO(xp&MF0)3ur;(x_1rbSC&Ii)`T6rQk~;m6trD_Y z2YADUv6HgMmHp|_j3}?4kuUg=NhaFB18LeQiLm<$=UCCr7eOBI*>N`d;|1`aQ7IbvK`?MZBqHqA+Py1!JD<6kEs%_dMYqn|1*_pv-Dv6O( zYm?*LEy(iLwMn!s6YZz^dtFQdbT0%)@{xE8rCY;>ISpxo_Ttm^H#c4m)k|qc8Qi7p zt7TV^GRCM)`$-+FRpWokNFt+N7Xbc}{C{ag^)#;H#r~sEv&bde4@D@Mq%v>E;pUHU z8u$GRk(+hB1DcYS?s9#PLRDRPWo+IQ?c}~4kMHv9yZA%3IcZckS4#2^=UN@MnX1nT zN{1+z#<`M*^ccsh2Jg#ZTChNx9hR@lgOqDI$huzX!hyJb=U=y=Y&gFvhjt_A0RC%{ zZxT&-Vby;W<~2k&hK*KVFw{Sd#5w;rutH1pme>2UY&W9bd#bhnIV!c+-t|K2Z~qqc zxdeM~b@R4IzGt`Ez+fwX)2~Mq;=U!=Ky6Rel4ONKIyl{}eh~q(yWlf`)V3=<0S{yc zpRsCXUM5kzt>rE_PeQs6JMfu9y2mF^56Kv+8I4=qvR|URdq;jx0QU=xARcG77X`AC zGfospT`XomwfL%9(6+{d|JJ5F1KzZA(ZJ^-wIaWe?R^S85}$0M@7;t`<4ax4ipd;8 zREv%2&5)wG40<&RADZYk4;0qQ&H=`N}5IDN+dSANx1MUM{O4dl;}3 zxB&!^X8iPMy&EEo3i1ux-JUKv&6}iEU-g@%Q>|8iL{@b*WQ(39P$%d=LMb`zFo91I zv%+H1kWuv+*X-@v5~Ax5FDxSmWmh9mJzSVq5BQi!9(lsA+_V^|bgNRs#Mug0RERjHXNzE}Cr zCw-fg468K|GW2qLuawfWQOkoA=i~KLDl>t&&I49UsLJXL5n}grC##=#$z=@ z$FB}YoM5pQYNK`fo4b5zceFDFEXv&PN(K+}H{mllhbdjOZ1d%>&kry&R!)>C<$P$i zl|&}BM3oowrAW+$$z(&bm=u)J#j@x4KYkMzn=B~6!NklaF;o^+78Mm^RTdS|V2u+K z(IArv4TO(jBz{LjqA&bLCe&BqTcl!%Oes8D8(P{(g2|Ih5^zovOte_>nmt5s_9@sk z{cQtxoVLLJ9oPIg+v>@!SLP0PW5HNHO63Dd#z8qvu}ujw!vtWU_)%AuQdBLnUFWFR zYQ>BGs@cYeb-ZXe^-;Dl?lO$Bq;26S-0R{a1&aQbFYWPXt4a=et$p%{D;^X!VEl`l3N^0eu{mE z1-`&l5eNE^O%YkWND~e(WEl%}^_45#*H;ta!K>q&r{uQGM%mh}xeF)0}f;moLhRB?!NK~@{8J&4lm;6uDVCT{6iC2{F z-U!ppI@WAS}Z_X00PFWgCPmv*Sr+X>{5FGa6fc6fN7 zpgH*-f|eS-s4Pm=)+%dB*Vbk|vK)Oiy=WbCCu$LR;JgiqBJy<(&8(9GQMFOyv)YJ->hR=yAS4i`nWQACF1sq zyDM83HTd4SGAge&y*xl-^Q@a!gH7HPh~{T0wxQ|Rncbu%F|D-OFALl>-?s*7s&SSd z`nW&HgCgcLF1adaYZjgLKucJV};bvGvUrBqWcseGp>#Szrn96v2zx zHT`sv*mFFwb)W5}Oc{>Vy8u}(v=CVs9OTEB`~67bvttS&vBw(-^gIxTNJjf$>RNEW zQ6hYX?a_`+Qo_Vy4{tWHpHD?%V4LR}yVB%i?VuY+RpL|p2%C~3?BmFSe`=4|(h0N; zB;EL2K91r}z+c|IpAv1~YLw>q`zgsKSGYhqv~Ah5An8<9WVr zJ63_)H0Wx~mXd^$=Y3@Ku>Tx{WT{uYQ4ho^+b8MGKX#!lnFlw$#(PSTDNb z!QGjr|H7#@ppv_M?O4V3rV5bgk!F0G^D|AQx_X-6l(=>S{RLG*{oUPJTk`|ml$56s zTyWP!X@E0OKgGG?blxP8eY_k6Rc0K)a%ca;ZKF8c^2*os$*WHdxHj|Mo`sE^9JmP_>Pl5Sm~S0-Ut zdiE~qR@SLm?e?h3X`f)Kd0MWXe2v{{cWSUAaPVr>V4i zPPYArZIiBZ9lQ}*vhu!6Kn6B=PjS~Z7jhU z6L*#-TXm=)p7|)>>GV4r++kC2ndL!~c-_vSW)_h9XIb_kH?Beq45p^jM3xAwGUYA` zx*qy2t=O%ULfa24f~*#jq2kz!mjy*uqMmtf-cpQFIQv=@HFE8E(Q6?n4@@NgLge9n2?n+hkOe)6n`?w_pVg;!SF1(SX?h`;89*7 zuvluPIZY^7(}x{s$ZE_QCsk^|LhO83M;rIn!gGNNkoja(y7C z;AkdGC%NZHW1jea5KC|(6HWA{Nko&8)xp@WDwgi$Tlf?@-aF--l*rbp z6+}hHzdc3=Q@<|i?5G$_n&O|cp})IJN$KOn~|?;&w6#O zcCA&{*dO^aWhax5$2c!FigHh0u0UOn$cQS7%p~Pq}WV(J|TC5}luO)8)q<*q; znI&q{q{N)27`CAFMhK8ssQR!gA9m3~HLk=u+CG}aH{Op#!~z)k!e%Wzl8ok<*J%-Q z+T%o-$0O#IK4ealU%3``E!Sq-_n-t=A2~ycpMHN3u@-TyTLQjl41qKDer72y9syT1 z^lZHYN-doBx-ig6)6p3;Vh^{fpY}R1BzRV?gm3E7#xt&juj(G(7}jGqw_X4RA%r${ z+eXTPhi@+qk@)V9LB&+&s!N*9%`Pqrm}xtUeoH#TTox;Xpi{=O=xE^f_E^$PcfXAC z?qPF;(H$s>pSKJ(T7KOazj)R7SP}fRE4SUS##084YJa&uKtmgk4b9I9*M1psjJu5n ze$Fy*q(n$ED@xT4VXT;TbHIK2mkNt(Ja|+k(B_iPvW7_vCs)d7{`@KGY2j))=W@OP z_G9v$tFZF^2TzN6PSO^|*0Uk4J1(D|#^y@K6baLi05<;g^MdyTy9y6Ht{*-tUk}A~v`;v-rgnM1reU}WC zn@uMoIq;U#`q@hBYRI%#`D*JZx9P$qdOXP4^=me6OPMGoWs2oD0khx~#%|f9O^Ssp z)|B+V86ijQMSL}v8@ChP^^jH{n-eW>AXB?8fp;;t_M_)fi$;kR7Hu`qoJDPMM1|ck zepK6-MRhSk#bkVXuefT_!e3TC#c^mawTdg>s*hR%x#Tt`p0<`JLIq~L_F`YSezqx_ zYi%{MUdL)>urF<_WKF>b*C!i-*Sk*j6nySl;gNw5(ro9376NC_`K?<4|FmmU2>;X& zDEHe@p-B8rbvRdoULK07a;HQ=t#YOOGo3szD?v7}erqX`G-OCKe42JVrf>0@nRR=`AE`j zX#08s6Jc-FzAfkW$DZ^OfM+b4Rbc9Y3Y6Iq!b&s+_&nd%t?_8Ny`9yZg{bWRGHBhv z%!{vsVH4hlvhLe!_vsiORortkk?}ND#K4^uro5wklf&RPLP=yjVtRuBbPs~F<8Hwi z^L6cP-jv})T!S|4_T2OWc|$}XuTr6j0tNh0J3}_3H?<)ckhc%i54aCpBSFz!{TtgL zcnDc4T!z1VxBo#P?lZoJiBrhF$-|rUp#2SZ2(?u(q1)@a!2Kg{G@IgPBJa0aeDdAw z82+;{sxp=Ndc@d(5jW*OdQG&azS;EH_;qJSwuk$-SH>WD{o@niG zwNb*my05K$!FRiv;2slClc1;G=x{l|Mc; zZF+cE9Tg~mG(LLw>LIcpo6CFpoVY(^Q}BRiq!xvTnHSrOm|M7q0KR&Yit|I1UwwC> z-G>%vZzBHJ8G)A@N+5Fx5&-K7>gnCnhrMo?O@)~If&nk(owfI$Y9!R(SleN0BhLr+ zi(VRK-7-x+B$;`?j6i%ZYsR&Ct)Wnll+bNm0o4Xq-%aq*=t#8_6>#beF>s4GiXK}* zpJFkdAE86)teAIa97^prE#!%$Hg&wS)blca!{#}sMZ*!npF75tG>*d@pGpw z8q-4UCau8I_5B3;eT=yP+X{LaW#M;&zaxhB?DAkUX#H8CD|--r?4E@%!T+u~rZt+o zQXy@jK+Z~;lD!B64~URiZu4F@n2E}tPe})UB-43sg8R}q&2p~Msf zUl2d+cn4_Peg`67vyrRV(Y%P-H{*HKiJLqX2asM zZG7gpwu12VqxAO^G`~Vcb~Eb`fhOEp@@TBnEh|m51nz2PXag-bl%O+qR>ZmL+g=l0 z*lb6*iaY1UynOe_jEE=!?^%U0SVgWm@&(Y+2-Bdk17{5ZwK#g8`qaYD?|UJSh7aCM z-t9Q;0v6FxX#3K=w~F>{%Bc*P`_j)C?c~lTGw_~WG~ddy4qhbtfaSecaMt*jE8K7V z7>_g_q$-ID&bWV*M>Q`7{`0bfhMwl^X^b=b2SxyEi5!5gL<#`Kk4KQAjy6X@kHSGl z?_+SkOt>L4F}=1$fJltrXk1 zRL(sj*>=o{RXg)3LaspT&jxm4hYTQSdmX;1*-&=#as}#acN1eW_`3`&i0iJYBV9YlqC^!x7<|6w3wtI>w!R88PCX zXP|f07yK?RP4B!75-T)Z)INRve8O{A{#;rV_egW5gz z$3LVAGojO=0U45VN4SFG+99P5^D?8vjsrlX+RbOjumT5>TtyWKY!86@Mao@v4xFrv z{G*f9hFcE=Zo;ZVNpEU_tQ*kVpx1*^HcT2|Y=Vp{@NEKqpLK*Q2~@G8T!C?w=x2ZQ zc3RmC5$h*R_wPGUpWb5EsK~#erC7FT2RI0C(ae^Ju~{mNYFa$Achhkq6+QE-|Jln( zB-up&{nX@g=NoINfuFCcD11wXI3#vcjNT2Tyt$xwnbY>s_P?++jbGxm3#8~H+N|d9jU|%K@W9<;9VIws*Cf`uA`*E&F0@(#PnLWA49^S&tx# zR^eJ*^j#4qnhvTKB%?2ZUSIr~k3(?!x}%kEkZJB=Hb! zlTzw`6?%rrd4@&ayu&*oXJ3-Gs!3chW0*Am3tZc#3Ko5;pS$a*a>Hv63`2m1pWyEa z(n`n;8<=Qb#)lc&SMPa&Lh2j?Q)A&UKC4TGwhn2<3Hg38h>P z4aY@C2T$#c9JJho6D=oq6`Qnm6Q#*r1JToLR3U)?dQPl-9$t7hK7MAt@xg5lEYT63qbIga{65>cKemEr z$P-kOCag-SR}jmPI+&MiH8r_^bnwXqc`>=%gHTwTs1xb4AhX5fq1G`~mCJh#o^K@t zVygSLleb#ObX6``i+9^;_gG2Wwe>H9r3JMvxEisY+Oa~?kIgO5HvQFETrLC}7+u;J z!r^HWb7Q2I`!Thb1555-Pk5^+LW2Im^^sXCw`O_NoE|))fr1%_Y)w16Y(eHtHEyiv z5?M@HJA>4$03m_e^BdmXa{*5NvjseES=>Vp%_XqOQE~ggZNhhU`y5$(a}0?k-G=Gk zxMjD3#4$cEdAnsl+L?B9DGa4IOt*ckV+cJ#G`#m;X!~d?wWzO$wv64A7mxV@@-yFi zytY?*NYclsTfx$N2};O`ox30v$WKe%*AG>$1B*O8Hz<{@B350J$##l2Xq9}TD|WG$ zwq=i123ALsi}F1;7?qErRs!fL{s~F`ig~}dZZIn)&B7$9jBU}EwR&!_DqF>@{;(7| znEwFTQ|CVMJ9KTEP$>u7n=QiC3s^lm*3Lgk-d{ zDbVA^-iSpO;6`DpPhKy;B=qi@MeV9Dc(kjq&<$7OBVIhW^JVC{Iy)1{tMOeI?-!mX zcg{0({jWHZGH}kVXi`+yp)M(Vud0SnOdB*C-}T?c4{`%e?;t@mAbA)yB^UWIPe04f zjIidY?duI#JJJS~c!SK`iU~z^GwNsE3tsUD>72)upNG$Bpke)Nnr{d(;V_Bc9Be1W zi#{%?_ZTJ?b>Vm$93xDqTz6FgF`IvYbc#q^I2z!NE3SgkywQ*_CF$D8u=L)AGlcaf z_H0QTo|9KXey9Wft@sI56ELVl*Vbnk$UWX9ZB`7c0P42vh+JBkxXvI-+roR>IZHcJu?k<$g8XR?T%=g@jwU zrgUd@&08yLIm3Y$P;X68+$jsl5e zt*hp=tVlks>)PjRiY2}9>ElR9HrK+qfP(FiYm(PGrob)=e_kHc!(@`SK49{FwbPHO z;)ZP7?Ty!Tdi zMN(nY9gh|bZm)RXJ|P7A8|ZVoz2!wBd`&t-$0XZIj4*?j5cx>z2TjreLkJRj-y?`m zCdjUzUh~0U{1tuW#yiA+%4x+03gUm1VrWiS?JR^+`VCj#N^7RNQa^n(9Hdhikq)m7 zZ_q0@vCX64TV3V=&6o_ukN)l7)_w=n%2>9wOfP@pS5J*h~lYunLMx&+o|_XdSoMy z4J*;ZzvcdeeR+V7pHD!22kRDMhZ6`z&;@&ORsO9H0zc`4-ghX+PLc}sQTs%@QX~MX za0N;U9A1Zbg(Rkj#z*)nA?OL2(Q^kO#|fyKH08+t<$h-e1CopS!A_jrAG5Ka0-4PteJ-3g#!qoh$bsCg~X zrxs_AX&e>BEYR=ak0)enhuDC8^*REKv(4A%7R|I~^oPBn!g);yP5Szx@1Nf^%yx&; zhB*ic2Q?jL{Pigl`)ipu48D^3RUZfi^m4b-I$<`1J6ih~U=w}mHwf97_+KHt3Fy$b zW59trg$4(}bp&jb>r>&t1_y+6bZ?-8{(Ol}GZ3d66fb2uIo0Ke)+?Vd^EDJ+b zq@G#P&5oz??gwKBV#h_py-G?kAIK#k;~%TVYH*@0fLiWwX*g2H+!6?Zj1xaOhCbu} zzqSQFs3UiuF}JH#H~vaUTPV>TiMJ`!A5`*b#4~2g8c=8%s1>Q)P}B|qst@@d#u`V& zFO`{C7TyCI0DlJo(0~BoJQ2tRWhg@AsR4Ot8Pq+d2s`nsW;cUzF%50SHMCU~byQ|z-wR(zVlY&~GE%~VgI1Abe2b(? z9)@2_Gl|3cx>V4TF%TlTcaoQDfpE#qo=1m9Ie3_rpGv(ntdYfaa-R&)V@;^;Wnw1h zo}NpK)N2<^4YJLM%LtdN@eI=K?Hi*eu2XjE(LJ!5tGEW^4pnMSSuUm(9P+V6UQNrS zl{;F0|32eNXCK6!8>*EUnluaZfTE2+56uxO=Vr^)!g12r3z{-ic$67HYA#pznQ0gKe73CYDx*!F=#6q8`-p3GBw_AE(K6MgEfW~Y7{7Ph`s@Z z3i*g&_z?x_`zwtZw??y%&4tec#e%k)_D!0ilIvflc=MwVcCKI^JJL4j?KlolPhUfK z2)=xHSZSbLp3Q34F4MEX=rwqvRt$c3%7-&K$XXhxKBjj-(^r-wt?p-LTPzE%SoI9{ z3?~85g$=wWk?JeQx?xe^?B_X-j$G=#MP=E>$$hE2R9l5tIMqG_@PEoRSzHF>1CrcPZ5GPZE)c3+gAAFA@O^-fh){wa> zh=q~IsSAY>lQ$*L)`unwRDC8GDie<~+@VUSPvN%-=}DhyUr9~K{gG<_wUDI#=8N#T zkphhzx^yRVg_skXmJsFwY+X1?+)B5bv>Nl+6BT_TAE$2jxe0IbGIe9d&rfg@u|^YD zl@aPCgi8gjhbb^edU}(dzX%muX8O^+i8Lk>R zTrP)!$*>uP9c{7U5{Wr94UU)Zx=~SF;E>d*k-(__otF*eeODlPmidydgx>H0!Q=l& z)LQ_>@qAswNeBdjLvRZo+}#p1xGwJQ1a}DT5ZocSJBzzJK^BL_Wf!-_`S|^xs`snf z-syABy|=oiwsxjwx=#yLIdQE%CB~gL7^@71FNYHg_>nVTxkY!tk+ddWbbs*uR`R?) z;joe#|H*y_EhWea!005wLe+}P{s(v+m{G z-LU9Cgw1ZeQj)`@i+1O!kUX)8R#`M`Hptx^9_Zwp^X+=Q1 zHxad+2DO5?ouhI2ST8BL84{f$r>DW5H71)xQq#^KCV)o~c>>_)v$_Wk&|Xz%lrJ|cd0sn&hmgWCBnTi*r}M{<_iJ7L>3rSIUbpfm6}A4oU} zn6zm#6%;a!sBE`Tq|VBGtD22qA1~1fe#BFz%}Y_f#5MI&o6Z1HCzY=Ll~d<9@YsJD z&-%D!lFUk{&mmL~DwKiA%oolIHT+&!uj;O)Wq%eweZG#9ws{C?d^|#r_bq+?8W?2f z-+0H`EWocX<(DIA4d@lgfwnz>-3%U&gepWfF_NA zBhI{NTwS}#3(Iu`1vHC06b02;P96<0Rdv#fD#T{;wT(hFSH3>3vdnaCo{TSc@=Qb$ zV!M&QfPGe?a;pF2cF7GAl5mpJ!+esEwH-GypmyJNwpSDPEw}kGghTo3zZIcq-H=FT z=~_E5n-+h`Zb!NQ54!2vOaom`!$%}T@mn?01?(hSC#6Aw3pUa|Z7=xLmF!IaQlKXh z0vS+}@I_Z=yd-d1h5WpBNm-W$=hVn|gQmibg79%ld4_H6#NrrxxLJIypK}46!#yr- zxe3L3nGsoXA^>tJG$&JXso6$5ovFEJwGb4(kv~P=gN^!Bi8lSrv(QI{gC!+O*ZMC0 z-qPo+fA(IzmJZZdpDKuUt%tu=iHWpWM@fdsN`0$hW4oEhcKVdxn+N$^#5v>c;&C04 zlVhWW5dn5LK>$m8nFWB7y;6h;Gz z_17gdaK81LOt+&x|1I#DTmi=-eCMKd8cPHxd$4F*+%e=%sLsE~{;{Yed*_u59nxQ2oWG zvZAVDkRM7|k*eu%(`1jCS=qI~TL<#WP|V@VQDlJf30GBh>#*q9RcbBhR%Ab`wkNtK z_}2SI-Z($1J*q*~9yM+W7OZY-PMj;}POgrxDmjk9E7?^XC&(wrRnEuEw?zxBw>WMZ z&}KLH_IA*6*Gkt)ThFPPfsLM}frWvEo-NoAY^-Mtwud!T*PhjfX|JDb-4-m!-~KY zeY{A#NL|Vpwi&HWx}es}8hv8P*j#1urOQ1-g=h}lbffxs!`|`&p=Ye%ur>or5}r<8 zhnyRbd&IuJ1SoNv!lQa~a;YFd@oD6}n=V>H(DC=!c$1T0A3&Ei?HUHk5#PdVy$LcgkD-MdyZN zdY;wElv%q&?v&WoiOIyS)gd8in)TdPkwm+7)iv;l+v(ux-lZul?$q~^6ZRffb4lpl z@>qMkC%i9AQ{ybvTCjI>ns}OcDd}D*pue%J@QZe&yCre$?Y!R*?#CyzcQM=fuSnd(TJANmAl*4Uir!x0RL~X0P z4LRqw_wLhI+T$7>Xd9!KlxJ#ZF0KCr<^)vzww_lWV|2H(&-AFeFWNlxc2&;U&)8ch z+WQ_mbx&Qds#6#`a`ahRSK52qe^lStU!Er9ErN-tlHF@~1{^!Oz0lw00;KUwA$62= zmSodxcsO*-&3jLIZ&>ZiU(yIncKSH9&CO<>Ogi#=U3I!njcy?PJpJ4q1n#|0^sn^q zn45d8d&NGOei?lkow|8pc~Z?LdNOz@5#YJ+zL|EnzhyaR`DK5_a>?TMvTXn{I9=O% z?R^cJn?5@-I9NLZLtY07Hes+wfuQGrm+uGqulldT7U3fEWT%3gBF7@zC^uwRWX_?F z1!N_ z!aFVAS$Ys1SI;*snK)y6&}vy=X<{MD$&&$_zTHJ{|4d-Nb>tZLHEubXpVG9C&of*BfmZ`sg2&-YW-t z+U(pkX?8x>s_p6Iyc4`zJHciA9JzMdo3Kd)E8lE4tXgHzB(rsU{HPOTXaD+_pL{I< zNx-NbMw1l`MSFXYiUbP~cgv3u5S(G0nIK8G%&|O*mD#0UX;-A;r{Zs!rb3`aXy3sW z!$NPHrU|7cbv5`IEhBjP!gOJ39G#i#L4T3-7&pKXVX>xxJxxQ?Rzpogt?vXLsc)!X zv(iFs$Y>)o1CH>IJu}*t&&Uv`$op1xVUk=_24{3HLIKvn zUdf6@ZBY#sARq*XD_JR`RXcxd$v;(Er&Y?{7bq9viyVu$UzM#wf`9pTa9vPRNQLBuav4+xLSv3t7C)0mq7a~MA!N%ozj*^ z`d0}uRr$9v0A`npy$HAMiN!qVL$y|keb3wc4{d3N70%S8=u-Fy3P&&9w;`NQOO zZ>5GgKd02d5B)!7-D02M*8f3b%ne#nqekKAjY#fp4WW~}*~xqgRZ<%klP{FMuVJ17 zuHCLRKVcOsA5yD@M`mH`_^j%1-Xul;tk{2s>D<` zCtq{fS-0tC3x2by)ZqcDyBe1 z5SbdDYhs&Vq8mDmYQNQD9LOKU(|#V!RE-7O$wPj+8NO~-7+|2lsEKSIs59p8@}}Ks zf7P8Bau<>C2{_vzU|Gk~t0GlLcl@+*btX=B!V~4UVuI_w!n48?)qdd{HSqmW_R)rI zgt<<3(D$yFVF2z{=F$7&?``OyQv2)%Rp=_fE!jiUyMG(=1T_lNqu-HD1p=n>QqiY- z{Wdg0dP&GOvwD@b9rhH;ScTEjLhV{JXDeghE5qu&JN^pjtTYcjaU!BlUD z=QdNj^J(8t7RoO&?O?Zl*nAb&WPj_wmus{hdf-y!DnL5RTERj(+rN;#@~QrGwkzDV z`BtLx<-&WLvg*f5e{Bw8eUSOWYA-`C!-Dq@tJ(!GgVnnQLAxEDsKyuv-#j}XD8m~V zTp4Qa0&#Z%u{n~W*u z=E_)Evb3(AnU`#;Pqw6jf5-^xS~*@8H)&j;lxvPMXOBcUz(=)@MKGX?P4O3x6ZktU zglG`N?asQghGoZ$n{owgkXxE^Dv@iM`fLFV7qH1f-Tr1#ph}l8MPrdU;@ngKJBit7 z=Jo>59{S1_z?j~E_?bVGQ-^bhv-q08qJRePhG%pzBITBkF~4_qL~Bp`caM#bjgUSV zvvF{vS+K6?26J>`DFLnH&?pYY)^pBG!l305v$nT}m;V>(Gx{_7nT__0i@BcYTm@-wr)SZ23JG#z$gsX?>`_p%auScKQAXw(} zTG4HJg#`PkB^zP*0n(D2CIivek_k3RJdvDn-X`G$&=`pX#|>U%{B(+9sp5Z>SA0%F zXF`fkR1R2saz?BH?QeVjAEW!HYEq(H}M=Z|r@n__Z{0FCdNacfuLf4&Fuu7t)TKtY(|pi_SX64U(uYLP|-mzv$1c79n*2L;bvWPTRvJLNWGmQ zB`!NjdAx;rdyeSs5iBIwM@njh9#TP~u&KI&iU#wFdL=9%FC?vd#3lx}izb{|tBO%dg6yd3jaZ63vR+o9`qZ2A@ zu&OZmO8{sRGSt-!Kd05K-P~|ya%1%R=LTbKaqyJFwm({UVkxTAd??LG63lASS8GJs z-nZ~dlt)k-VAK*n1)!oHT86zd`mr#VeaoX8f>)IMsTA9Bpx9#wz>1#(uV($*ws zVEO|)GCU@KGoixmkBW@u@Y|xo8`q(!Fz;VHkBF@1i2R87RXi}lR-25 z4U4)osHqYtsa!YAx6Cw>&gs|8H_T@K>F#`VTv1%yjJRBkDacVbhPJPxZ`=Il=qsw| zL-odA_|N!nGiC()EoV>)ZY~}$=I|t=@R_eV+B@4(3vOsT%{kE}iR5@E&0wA|?1kuk z0?EYCnfwjP4a!}wd7>ZD<~-B2I)eT71)VdZgt1pB!+V5oi5m^)858F#A00kyMQn&~ zd9iq~{88b^=9Ej-i8?AY;zuuL_*%IqT{;#|9W3)s@Up`*w=X&-~y&c$_{l4;azSDVJkhznx^W3f+4)wLVDBa65x z9+Td}nZQXfa^v4d42BMbVq6&>|JWj;soyEsQAAq(B~xICW1E1|_fD7#C4qBo2Jv)B z+{3w6!nBtV6m2?5xQvwkhXT3lT)gjG0)6{?geQLN=VDM8uvY1$mx#liuqS;bHiLVUN{koCF>{YgPbC<|E+ zWosp~z>EJ?@j@9EDmI$CUTc}OYFWyOb4-18{Y~WYqG2rGqnA|Ht^NeWFj=2mwg!(} zq6PZdeEr36{~>VVV)_%y^45x)JJ$yBB8d_ND|vQfBcQqfq@^%eZPYoX-JsrtR#PL6 z16@#yvQarX?wl9{S~)-4yz`_eQ_XAR5A2pt0(9uIhS@@+L0h$^sN)-2%xo$Lgx5xwQr9$a8Q^ z+E;b)q->*oo|kj!m;%mujdf13%1e4NMOa;|G^lHOo9QwwTUe2i=^vq)2gR^YgVl1^ zt9|~GRw6A<7+MDJM-@#}K6aGwThB$8J@z%m%uFYzyBXI!WOxZ)3BK(~%s<>w1ZZcp zd+#%ug)>KOW*fwmZnO2W^7PJheKZM}6L_*Y)F|{}I5oY^ZAOq|W9&8!%m8`RE$h-* zp|5h)FS${DL^7{hIttUs(WW-=!-$x4CgozhlB-L=?9>$@SF5Rbx=!rV+lR3hm^qaD zgT$)cYmqm`f<;0LCa-jbgn?*=CP}6_Wom@xOkI-uHLaM0K({dtk%DKl0 z#*e+SX``**=e<^PjHcj2gUmBnbuB%vIva5dbAJ{~N$rodLWrHY#h%ksIxyEtNcZj> zupfJwfzO%hX9a#5=zI=<9WHn0S1=|-!4i?Q&*O&OdGjYoB4&XUu5QJ`Ltb7cj=(j0 zG^=69)4I4)R8k+xHRVR z79C}HUTmr@SA4pKIe{Q0JpuR1V7i zFut^HEb}`NRj!L2fjRBXr%rdD&;)UI_@KB-00>HsswvCsRJTwzU98ekyY zv(NTB)h_c5?u}=rx}fzHV&fOMjkFzfqbkK1exHPSWwB5&2qJcL-_O?!(vqrM@hV(o zMDtU1Aj;iRTHQ(Or>Pp5>Qeo}cf~6lb)(Sfu5{-BJq&AS)5v)rwSM|2$bMSiw^PI#i1dqp%ov(Khj8uk^ZMkNysF14g zfz8ghNK<3SeNw-cEWpsvig#NZSJy~@hktrw&qR+)&p1`*m@nt~iP{<(QHl%WfZWg7 zVc0jyIECOv-k*FWe;j0JY(>pa+P^xZ3sCVo^6-@q`^a;7cCSD35Wo_lQ7i7%vP)M- zve`PF>V$_ydyk4hsp`uK51#3#$*aF4XQ~6Y7pPx+$y&E7AEMoXKK=|n-jhJ8plems z&bCf%(;WL_N)0a{r~BeXN#y+JE4%3(aoO1Y&t0l*0>Ao@3H4hb} z*7<}sIcR6Z9P1$DeNEtjH=g%>s9~x4Gi$~De$1cihH?tqEYXfRieqSo!%!M6w-@!R zoNEZ*tN6M?IT2`hZAJ&uG|{%tJl!}U=v38KN;-R8JRikjgv|~nBCRMc*Mdm90v~!T z#j8E*I}O96itHC0w=JJtey-=)b2%nA-t$<0fUyHQt6y?F0~^K(Q__h@RpS=LrbZzJ z8K921dGT8oi{p5e8I~gS-?sZ^hU5+ zcV0VS)DrwMZuO2Z@=C5n$jw(^Mjb3dDf`+#{far8W zIFM($;lt?FCob^8^{hj;>1?N7*s;|R5i&TFKnhh|Z{DCMD8Gt-lIq?+$roK$^`P}N zGjVtKH*NS&OiU7$$S;_fbVcFehoucu{LrjLEhG%lP;w-4r1afsX+*+G;FK1$a`8Nrt#kAJ6cE{Phyii|^rwUJZQE!IWpDDTyxrn*mRTQ$gdUvLe2Q{rEa$wmq&S ze&^fg?R}(O(fPCrb=;g8Fl-yo#gbH@n5D6Apwt~r z`&Zj9U6T(X>wWfa(sy08xzGBCSR3a4Up6o|jQp`;5!a7Hf9ZO}J!{>CoFm`jZHWYP z9H;!Fe2QGh75*Y@>K?40m-;Nv^tPg}nqNP==hRW}>+Nrs0Q{p5Rv-chN^-1A0M+aV z!aQa*yz=Wp_%Bl0-!BT`lfKh`F93~$3^b_AHOd(P(ogHi0QNIJQ_#Jz+cIv$*C3Br9N*g&L+K3 zIroVHFt=jiml-K2N;h2!7{YK>>h>S9c|$k+8vDww{~^T|_PFxQH_dM}*;B3aO>>QV zL!@uokIgZ`zzl{fMD6Mc%J6N43)dg}8G@pMi@TVTj`F1BAvTwY*sU~i=lE|7`yl$@ zl_;~u4(8_;!npI`yRti}E$QkZ%A{Xhq(-D~e9o4&peWN@C+o=$rI!*Z;j51-7Ik*5 zHX(ToM#t<=I{kC$2$g({KM6&3ffO;RL&)S-`AN);PS3=7G0+1+0K2qnpWB;u{3k0x z^^RF%9@EWHf@I6Jx|H%bWu?e%>v7i0s>I?i=Hr3_`QK}^+_8j|-cKJ`YoLEXz+d9ydkn+f_I7Fmvjg0-* z4{!`Na0C!-qa9`M0;h8iUHysjinlZ=7|}r8-4l+HBQ% zoP~&8i5B~nyL)ba%@ehd#y;r$Cq_WGN}J!b8|Z&1^9^-RzXfDp+TY9H#QhhhR~=UH zLhzQEyXnOXfwjI-RBs6O>n%y@Ey*|MEy-QVwFo@)s@KEFEq?`4iuPdsX|L|U;b_UTgchI+ZCf1Y^Kp2lyo|`8+n1>Z( zdLb7Abp4`g;z|-^$xakDmN`Z$CWtM<&mAFejy3*6BB~dYqxLqrHeFLyR(ajD+@2l4 zBUHYsLVUyZ6fGX(O=?nO%EjBqt9eGutBCDq&Q5z(J9C_QCv94ho-5S%p)^#wG?bmF zV76jglf9FKTOB9vAuaHM7b%_*fSZ}`rJEdcX9_aC<15WHDYf6vw--j%4Tfk*M%tRF zO&5eJ6cO?#TU(M^m*P3*S~F!9N0w6HH;@2a8OtHEj0QmE8}`iVmB7!LvN+tS^tQ}^ zCA!jDhn}4FvvB-o2yb4>rUOM#~E-`t0yo#L%kGPi;3sEuL0I;^hPVCFZ80QtGg( zo?jBgi5_z4|8Dv(zyEts>!&-0`NIpff??Gd1*w=6tx)YanP+?eL|UzkL%A$*c?VJl zsbkd1&Q~yM@BoFzl~2|y0cqbi%*X9~o44mqU$iF%R5Bw>DNXlmq(jnsR9xwtl!gOJ zcf!wM$74rA?R?kvdVHNaJYgFpnK2ophJ%C>4Jl_S=s!Cz&VFm+&Y3CgZf&%U@S*=@)HG=I#i4BHxk3P#i0hQlW!;AQpPnt zaN{ua@)a+mQpm7J*5RbH$caBL~zy5uOiyD5okSdhtV4 z3*;nX1bEckqS2Tp>H5Uvv4+;lxMnF-5D6h~nP}{tKS99Am;r4r!eN@oxXmR~N5)Il z0Y^rCIh_*x^a_J@p2nn-nKTMw3SDV&CM8jGT8svGj@7h-w3sYdQSp3YSpk?b1MI~( z7EuP`<{_HBF57e4b7@x%+Zw<0q6TY>pAID8ru3=RcNn?yk#^=IDwa@YC{{EhEb+2= z3uIjy(v2I!+sOS?btka~aqHk4ZX*43WxuPjDkz~C2mitELo{NGzE~aLKGvhG?!D8@ zT-NO3ZlKf5>>ezR$Q+PojH~%)i=uw0QR`mOl1v>1#=Ka^Gr%!0lh}516ga(7aHKtN z-)81cW`L5DRq#rBsQO^HC=Mjjo#MfEmYN6PD&?N??!=(`|gg_A{))g5hnUI@m1(D?4xy%aYij4ATtrDVGV|DfCh_1^!>Yxt8$l)uE3-39k) zkHxk{+BC@pDN+CZ$8osafBkWDF~)N)NNqE=mCVXKp?lB-*D~8S`wr%qy+AuTV~IA# z^&Q)4W*we%p|bIry|3&NJjp^u<8ymP!B%ZnBNK~zlooCF{I|G=pD|b#aCR6uTsZz{ zI0Jl92feEofbZPw0R#0eAlb}+mPvdE$&xMWQ@uXYUhQ%q5o+{bXmZj zPc}vpS-@JhEZ|qNN_b&SF&Gka&My8yvb$(6bgt!$*mQ5Bfoj zf{-3rXqff)OE6i2Xn4@1A&nu&h`+Q~gnS~m43Xm(Ues~8lI6e=2CN)rtBPy-r!!gb zaOL zI$7gXR<_%UI)jjIPB*%znn6F*m-?kW#sFs;@r9vNbJ1g%F|7BKbKskg5HTz5?vq_` zBobvXCS7IH6|FjkFLufmEzPf&k01R%`02gy%O-1#Ff8Hi9_$?~|MV>CNRa=-uqTtk zOk@g|`AuUKAjhOJ4m_8ye>+o7Bu*3c1bh{{fO94%IB&J4G4&au()B z%5vZtPwA%hkDE+@jl5kY{s{cJDt@B5?V|367ySDAM?OVhPvQ7E-Uq`QoPu6Ayyw2# zAKt;x9fg=ifgy)^$8nE8{>Pu0Olr#e66rSWsq0CYU2%-oF=g(O1@kC=+F0)VXEc}r z_CX|JnzBUkh3QuR4~t<|+@9ZE?nrK})n;mUzh&yKEMsDW95*Q=$H35$&W#bbGPrnH z@54@X?dXG>&}p(?r=L*fUw`FS3JUP7gYoi%1GIb6+>s^{G3{ICMEW<`O}QkbtcVZ2 z;sk8UDLv`mGCwOMiOIR3u_*`eS|&M4w(f@;O{h%2po(~HCmB#F++VXQ+*5BSMGVl9 zkt?K&XR&*xK>||L(8X1R{v|*H+>|atDo8D*Z@$Zxf2u3=`b!OhV=DU}LG!=f$p5&Y z)>--;KV8qdD z+}xmYz8KU)R^c~cUe=~2GN?agkW2ZZE&1fieX;0tz9?8s{!&i9&!3Q1fiQuSi;)I> zTeG-DaK1QGIF13RjG)vD<()PA`StB!+-yMO_E`9jxzdDM*ENlaA~sVd+O7UPr zm-nOO<2T3U;qY+T?lu-?g5KahGkH zBBh4BhK-c;m3*%b;mEoEIvXN_#IxxP2uInP?tAA`jT%qq!@L$WjYC0$2n+dSD|jSc zSO&0uFRT$YqGSWozSHHPh~cE11yRmZVh|v02!`NaaT-s|MX<4`#i^E1OnF{?xhdG3 zY=y|3@6!!rmXtSumd~B%4-0$pN*a8A9nx;$l|^aBKG3lq(G3({%~KoBs?ImeH?Rs@ z%&LZq`G@dc8*l$JAV=#=Te>1X-)GvKtoh9lM^T+6uItI@xF;%)*K(=4IX1kEGU=T$ z_vQ(>zuce1*jQ*vo|qsZNTHO@X8!!;|~1NHXbh=Ctu@x-eNBfwjHC-bM8nl!FXUPVT%-#2rXQ!hO8eGPE8)_f>D^f-HgynoEhmmx;ftxt`cY8<6!Q1v@CKDzhjv zgspWWQqGG(dsZi2+ChS*6X%5Wr1ZY9IiI={r^>$0o^5IhpwU>^Mv(}SfiJ5bNQ8`i zP|+h0D`znI=_(;x&Y-QLH_Um>?N$bVtR|I=}p%BmUhi~nDx|Bd56`TwuCpYZ<% zCa9v9u2{}6{pbIU@ojKrUqQ+Dg>ChQ|5tbD4QmN-Z zL9joUilvcv(sYK7Kxd)60%y49s?FzF*P-(P1s>C^Vh;h=aJwDUB=0U?j8a@=+d?os zUX`ePnSH0sRbI~-Z_tb8MZOIGWW!?k`;zyKhMNYri}YE{aiTO$dE`KEz!pL=RvPs! zPC8OrG>(mih9KQ!M)r#e9ve3e6HUydC|+G~OyQIfl~<^lt;?~K3tEAR#z6J=LYk(~ z(;ZX&=~MVX_kYOsDDlpNiM-4!9Y~_44Y=0 zWn8BghI5US_>b1-Q^AGs78?YcMkVb0n=7K~?%5a^zKgjVZI`6a1%iP)=I~c+L@cZp z{eo=daaj$5aak%hQi5j0&mT-i?xgg>HXh%;%WT?3*&W1thV8URd5B#+vhKulODYw{ zn(P&HG*85sq&P}ucxH8vvfUvzk}8rUB2IILT0Gtz|A_I*8FgDE_kw_x}#F zA7_<`SYGvB1pY8kn2n>Gva-!e$b9;NfKBOIh*Vg{ox_T_p*J^&{vJ}-`26`_WhJD74}Ns3cc;jUN;Q%?Zou!UzBXVyPmiBBA2!#Q&BO0O zn~$1%54_|E3WqskuhZ(KU+DK8-{SuJTTd1{IrvmX;4(;RbSi|UM~ZIPy9th`^H)J%St zXy{lguKD?GRshm?L{2+BnfgZtlBR5dW7vI=2~!|bNY!ru`f7%edYbb&ewQAqs-cY` z)F?BwNwhRb4zz^a{_eF$iP2iGmNMwYeyQ%q>+audf=1_B;U$SBoTZ!IwfAEdfEF@< zfT7Rn6AX^C#`2V8%mnZjUu#mESj$oC9CXl*-i_{t=?3pcG8ET}y$&uXXw)3|qf^y; zqZ_D4(uS)Z;|sc|rP8ElBuRlEkJ*4hK4@NSHrX!S8u>|F6Kv{?O_Mx5Z@s|R4%V90 zCbLpjzL4p>+yhpPPK|U}7&&c;0_^ZtK381?U2PC|HbLxavulN3o0Y~_@0sgs-ZKMhK2_H`e0I4g z2=q)QhlcjVkA=HBZ)yU7z6}3t!S5Sa+&{%$c+}Q91-a^MnkLU}yw|l8!C)*~vt1|P zRV`X-M~uTDg{!X1{aD?c``*4j7tS6Cd+)x)^1)rl9bvqJ|5zjNioRJ3_Hhb8Qfq*o zRk3EZj(1oAsXs+#u3R%YLD8+`Hzs>W+5mo^)98kfs+OI1CmoyoSQ+*~gI=I&Jpc+g zIEIDQ)+l4QOzrgU1$m5k39>4=VtNO})QP<$4|#0f3{h=L+KdHP8Rq!oj6?p=wObO%`*f)9=gdkP#f>)9Sk?x~lgtj(_@ZTUN+&_&d;;Z$U*=&zDr|+X6YunGM-lX`M&a}w=suz~CcQgrOk#k4Dy=Pf z@f+^|S}>fgh!-vO*w(8w$;{Pb;IGyP|9QM%8c$~LazF75vXn)9ToBKlEu#}3N8(_{eHjcE&!g%s3?#O*aZG#nE98Hm1>neX|N(vXW{+0Hunbx z?WS*0o<1jL{U3Fj>TN-RDJMOHOzjK$9HvW`Ny!x{z+>t)MGuUY`aRZvOHN-j!A>76 z9r#dPnzI1vmjw$Ox_fqf-Rj(?M8uJffYKrZHo{BW5E-FiU^8k+6V_lC{j3u~OWt0F z@tffUEdSyMV_h}AEfg4y$+pJz#KtO=me8HJdNcF)tn@VmJK z)YGotP(Rt%q5k*K^@G`&wHc8JcY-j-roe~#yZz34RDbb}^Om@%D9rDf4 zA^Xk!p`9MPn35y~KebmYAJ?|?@{0VH%TeWkYhjZNHs z{#CcV79+hK>e#iKQ~QqcYeMc7H~3gJGKY>I9#DfP)fo2xAvAG`?TKWH@W~ zOUA1eS?spWCmLSmEPPnMHAqH#h+>g?bYfA8hHJ;&l#Z&p#_K})>Vw)*yzRo0k-73I z<7)rZinkgrLBEBu2<*SdCPoezjinZ}7a(5K;pnX+jzHs)UlHT( zC2ajY33dV&|8t}8s4X7YQmQ6ITUDU!UfX&<<$TA6M$y|D99H0sDrIMpOcaXUbd|O$ zD&>Kg%Db>N^_5gmyJ4-4&4U6$q_Fystiy#cjN5l2X6bbNL7Uh+0BzXs@314rp*t}` zD2Y^1iOm9^!pCXjtnsn+jF{NDF}=IR-xhW}Z2e5J4Z#O&4C1WQS52!w)Em}kUZ;Ov zTyeD%rv^Q9d6O)jub(xqBS&&QlJEpk+^3>G$F82UtireDdlP5AY_V7+{Qi`={W~lZ zD?ln!H1oFQudZ_&odx1FR*u6M`dH}d?SNt6R*q1J z2%Nk`a1nZ4ako|VtSa@=T;M2IYUV!r7O+^NCsSTFXwlj}C~>W_b+PfoQ?PT;s$^an z|39;&jESBW8tCR{zqpzp^4_$iT@)02)eQAd2zN4H5~8i-KN}=xEPT5dl7m($WwDi5 zbtag-t)eV6y*QKoHlsP;hyx}OY|i^)Vl@}rgSoR-yxwy!Pcmb{m^35;Rw{N>o_oKS zvgM+RhXEr`GSOXe2?i$?n|yCm*@-Mp?12<>$e7SoxRo?0G8;=BIKU90)?vg@JklWl-=)EyQ^O zz-HZ?f4(oBmZ13!*ZbbtUXYFn(vJKDPAvQR0#%<{pGzO3U&_MTw+!*cwjRvH;}v{f zbD3XuNLifJI?X`bG@6zs^oPM(kn(nB$7E1Fg8`_j$wsP3^$v$Gb2$*@^Vil!>hy${9DWK12YTwaTOm}O= z*73&p0pL7wEiu}ETu-m+EDk!&T0k!DKlRxwKPqi6y-7F5 z01e2gm}&JR#jmc%vHs+I`}jvM-WvNd?{_bsVcEZo^nj0kEx`tQEge&9l@aPIo45Qn z&WuBj+`}eK6`6^BSCFLr`E${^`~BF^w+l4``_=j0X#A8p(lnZSVkNL$;;cUdlPd=`)Ac@ATt+ z+rsL8H`XLo_rIQw^XOz$f)k5@=gRu7{Zi1jL$n~hU`33a{R#3Ub@xI~f(7Ket%hJJ zXe?Kan*DsXEq9>uZ;jyT#cZqUxcYD}sw>KC#iSDjMh7jbqKT^TK75VrBgDy9!82S-3?n$8W`Nhg>nVzK~Adz z{wJLXy$3PJmVbQ6yUHIZ;@6c)H!u!Xzqb&i9j@XYS^m5@i6*xEd36%u!e#n!D-p}N zd&f^XOnB)VMnOvy>9ZcsF@xp;Vh1~3>&_^Ic}0e*fXhZ&EmId+7Z>5O&Y%)fJ&O-r zfb1^hcwyO`GnHNAehM%k%dG57vd{*=W>$T^uI-$cx8ly@M7?4Gg5A$tai={~OeI57 zlOqu-MBe-EvHRE8*Y_Ufo}VA1Ry-4=!MRGKMv4%TsJaN-JbCuqy!4IS0Fa-k$Ld4n zUoJ*9GSF@Uqfecx8o;T#5#D+D9nXFnMGs;vMV~5R1Obd zuUTZBzr=F=3+kg`-6v+Kc+WZr&!79?vsi#49f^nftErgPyA9Sn+`1PI4m=44ik1#7 zr{JO%B7`UJna=z$J9nP7i?cYl$`fT2%>0^bvH_CzGm$3@&p3jy-KDPH@(Z$<+vJnX zs=62KF>{-aSI)P8xP&K*0-^s8xj;t0CeF^Wo{&1tcIp}1cM|TgruxsLb435xzR#NO z??<1AF0#GTn&rQ&)LV1?*MJ57o8WkP{@dsT@wv1gBJ4Iy@ZW1cZY>T7irrckkd!^v zia?yQ&$=Lxs1%sfn|qZ`)4}EoO0PMq`4TwlE>y){b8hq1uxKu5zR{LuE^fXRJZdg$ zz8l zvuAmm)x6DPXmgr(dQ8df^Pv?TGo3 z=V040^HtB`wv*-?o}+DN%(pxz+RmBpdQP|X@haMO@xR#n9;hg;G~ZiA)3k(CkPsw< zFoY072yqB8#9;_=3_6BD)7==>CBzT{U0v1nr)j#I?g~Q)YZ}J!c}y>BSeJ2J*XQ#% zj&VFb$GF6CT!&#D4&!rNm-YBO#C06wa2%h*u#REO`|elO&?1>QXZM}+&YAH$zwh3@ zb?>cP_x`@`-nv#50tuda_mW@^j&*Gjl7i>ooe)xj7rRVCdhp6SNxUz3?cM7_R&eUw z8~O}|-tyKPY+xd6dV>v4hZFUcTgzmK4JQk^Axk(-C~)ug?wR;us)=P1Hz_ISGYsi914fKg@Mq9a4+ac zc%!gA)F18>c7?Wvw+MSfJKotO90=_WZxarO_Jwx}M?(k0dkj4k8Vv8(+45#q=verW zFcKOL9}!N4M#IO2vC!%83E_O`Z1|*bF*F`NBU}kx3ZH{|CZL{cq3hub!c^!+__8n^ zx)r`EvYP3gQ=&yn3{Sf9wdAfzk<-#Zr)rtun_`BR)0GH1A9S|1xQB>&+L9i#Sg4iu zB#Fh^vYr%iskX8wT`bqCda}d{&C!!9R%&ay`b3+ywx>X>)0*EQVuL1zbHpai(^Dk6 zv_SV+QPDcWXNwC?PQN6_G@Q)yy8~vTu)HkplR1X81Jk$b}DYSj*I$U zfxa{F?w~lR-R#*#=l7ny^d7wDfX+#McZlvSymM4M_7>?mtgpnx;kV47N8d_%XTaFK z!F?6iVs&%RQEDAML;796c>1lBo)NnK>CPrBS;Smp{tqF{Z<#5=GB=q|iHZ4)Wr&%L zXU${*dk>pL?qQSJh2&oL0XB`?$7Zk(k%epy`zX1e&1at=53t{6zfBg!t%`ezq{pv} z|2oNt_r|x8%=jP0|A=I9AmmG2HdjruIUCnNtlaC|yW~~wJ?@93n;YgP$oILc+!Q$j zt+5jmzQ4+m`^W;akgO*6kUG*tYDhEr7HJ^=jcg?A$R_f05+?sbJ|-u~zcY#CUzsH4 zUh)}pAM*giFd0lfW1-J4GK-jchG#MvfeAAOOfS>NtYZ3^Z!@nj|C9L{^J?7p;=acO zOg@vJfhDb}n+chEP2XZVOz)ZAW4cW5oBo!0$MhZ3KQQY|drkY8Z<-F8K4dnSerWn3 zv&r;h(@&W9@a?}o(<#%>nfFcSP2VFpaUHvO8}X8Nt^KbXI#PqQ&Q;_r{Y zpZOp0Kaan~>@vrjbD7iT$IXv3ljbMPi<#e=pEH*-pVBuKn9s~Fnk!h7d8N6UHJfY9 z4J>DV!`#efnw@4Zn{D=+JK3kq-!yMzpEGYV53tXhzhmCXR?_zXSciG9`KN5HdDJ}0 zwwh0x$5^lVocSF4mU-Mf&UTo8X}-dKgFY|CzGME4d6EsAr_8t5-UO0xFZ*6XO2Px| zcM=vQJj(t>CLB)qQCt>%UMDUu;a?KQ;+7*$+PT_TW$Hxf=A*$=04>< zHPJV|f@CM?BvL@%a$U{=G5|IM6*KX?i20@7NKzyvlK#?(NLC~_QV=PMltjuRUV9`G zjC4lU*-uB-M>a(^M+PF>BfC~8E5ctt8d)A$wc=RB8d)8wk2FS{5otwu#UL;&0r-9m z`TkqN0N-x{>px>YBXPj-WMbmJ!hMCrbI)_n6Eoy~7@rpP9Wj|&=CLBH;dLR31_SezB4Hn15 zF*AP)F+R>r((uiw^tiORH1c3vdR#imz~?z1ip!77ClBLWPg!wgab+YM-*I{*Zdu&d zNDjUMl8aAtJO)>k#H3)qQcdJA>5#+n200@4%Uk6g@@{#bd{7>gkAWVRN9EJLzK*~T8NjbcE<10+N=vWUD5@*w#ukPPrv?~#Yd`ydaKzXQo4{~fshCGuU6N621~9P%N^qvRh! za>+*^kCA^O$Dw^EK=Sdgdp<$N$iIQL{BMv#^6wx|kzax=CfCVtpzSxv@5s~S7RWOU z%OsE`Od@#3Qhdt$tKb=vNf|!nUCv}NkB}FbN0~>-i}*fc1^7xES%H5AZzb~@(@4I~ zG%-!&Wqjhh3g2F=W+X-;Rz_u1Qp5O}0I@OOV7iF|JZqS&0pI#f@|VoFm<{ArW)riC zyoPTtt_44Ph`i1mW)71#nWM~)NHa6U{De4}e`fxfxR{?ZBSb*{y1_@}6UkCwDIh97 zTj#MnZ7C+L_&i;krPNYNe3o)cIq~D$Gy!~iuHDjTd4q&3Z(80YZ^77JB2&oy68be9 zsRPgr=ml&9^cmPjg3`3tFA>XkN1ebN?bo3vBfBkh+CNk^pP(h2FLbOz)c;DU5n zx++adH)SH5;pPM5RfT)99ll1t<=dAYnww#uvJdbv?{$`XiI4$7VKI(fakN!~0E z$lK*zkaw?qKt3!VRVw8nc|<-XkICodi}Drunmi>>D=dgb;gnP*10-9?Qwo)0WvNoG zRDjr&I;DaB)wKAgcmbx!*SNL7SQhyCU&Zfgko%D3$;k4B$nyJ<<*CT>2ax4y$ntb# zc?PolA!KpQ1BAac@2=Lg!j54F7 z*7Azw6|&k=Z>cA*SOkke-$zdFN?s4+lmvZ$4`FRl;rM~6z8NfOJ zK8#=RACxcq2c>e~DyhO}m9NeMe^2_4NtM3U^36XCh4_cD4x6tYQRi!v8hlR0tb@j- zCZD7v&4T`xTt2Uo;`7oFq4eVdfr+aYc6ZB`0q zAw_%xcLVP&twiegZI`zCcFl_&zP%J}CasJ@+U+}VSJ>w}EFJV6{XDcKYRjcT-_YH_ z%Y{}-$9yA*Vc#jlsBes-Em>PdLvMK~(3YlIrPIFi(plfdx$tI$9MZV&$`_$6Q(N7Z zqt#27eAf^YzA5RtZ~8Xe@UwT|mfsQ!+2rT$8j1c?IoY3a2h#l6a;86TF6Q>NoZ~ON z8z@EoQ90jVEHCyiofmLSDe<3H%KT?B-x7cMU7^%pF&}rZzU6>b{&9Jkzw&ONSpApe zm44d{s{C~`pvPAO>irWKZ}eYRocD#@5WwC9dzN9T5d3ALptW*vMs+6MvhcXmcql^UB()mR> z6=5)1fca?7)8NJJr0vAzH6m7&xL92ac;t11Hq-z)7_ta7L{RoKtOq z3phv8If(Wp^r2ek?^hcFm+3sFHo^R3^t0*;98#6QRayrg^93eVEpQX(p6FZ~Ge*%m zv0h_NiOvPIU36|#yV{8wZa1qN+LLC+ndXPFqhqf|+Edj2_H=b?dzQMRJr~n<`^Y%TlVrGt{ zbEYvj#?Fq%^PQhTD;!{zN<)c3E4%?bzS2O;_uq|2#^_!k0fwi8} z_Vu)lGv#T1us6@L_D!CZ?VCMS?E@Z1`*zQo_FXtO)Gxs`c-FS>^)$EZbHf+;AER9! zQTO9BHoiP2kI`nl);a!o=6a|fj^gvq^*R33<1xa3-$%z{26O#ntgod0G3p~@eIo5c zS`W=bk3k=JIs$2JYcz?DaobwWORux7Sqrv_T4$R_TSwEW&+?wtQrZIAdR)H%?`Yn( z4s8>zH(=e-)~#*EH3ju)z1je-(~LDi+eU4BTc5Uz&i`#&w7ux-wrRRA+^HR=pf=jJ zM?2cKUmI#Wq>WHN+;&7e)plGP!!;TB%eE8Rc`SQUyEwCEqHCV$8im$n)PZZMGujpJ zU}zHC6$(}x^;{Hl*LBe~+B|Esw#z!caBW7{Ua{*hy5=(0UAL{X zXj^Gnn#bsqsBL+=+Ye*d+kVuuv3&?_hpu^|cGcHD;@Q%E3bCzy%(Juoyk}4QMbG~B zE1pB`*APeAr##2or(^lXK(cWz;iX2=a~!^ zdTs`bTS;(f)ZSXn!Sb1SQm_J%60D@4w%3{-w6$gh>oCj>HbiZ(wIJBkS`>7(mIRd< z`vbdbEerZ+ds>$VHR`ikR|UK1+!F;|Q?^=z;nvl`4fL8@>w}Tj#$Z47UDSWHI)hv3 zoD$97Dg}46dV{-LgTZ~Roxy{YkFD#2$67Z9hg&xXM_UJir|G(&b$jqE)&u?5x+^%| zx;J=<`eQo&tp|b=t%rlx5l4eJXrI%*YaI&SY8?rgT2F-%TgO7l)OWX@52a!Li=oWc zE1{g$YoUC)25g-QEspx;*6Gla=)B}*L#19zXc@g9@ur5V=skruJG91|7h3Br3^jX; zLn7@*@6wQm-jC3^+glOppmlgFL)~r`H$S zL+5uqriJ!Xo1<~sZf{rUkT)DU;@uEBPTTH{gid(-LnrB&d$)$pcz1-((d+W=4qfo> z3tjde3|*x@VaDfzpY$FJ-K2A+cUU9dQO)ck_)_ zDurIxs4jJR6v9TK&vjaty5c%b*dlDJ%NBMDdxZVMA>oK{TsR?|6wV0egbTuD;i~Ic zR3OKsa8o3r*)^<-m?Wl%>B0pu3)@HAM03((^o(!@y3c>_Zs7Jy|0VmN)nFSnAPaFH zGzIrT@5g=62XG%Wjkt(N77+;~19w9o!rjn^aX0i!xEq>{yP=QZZs?=98=8x|p^xEi z=;OE>nvc7oPvCB70q%xAiMyeNU~?m6F&PDU8h1mBaX0iC+zovecSB3aFUcq5Ir1xz zQrr{$D(;Csk9(po;GXC*+!Os8?uow0WHDK!0{28$;GXD8+!Ose?uo9#J<*qNPqY&E zL|?`|(JJN}%-h7uyu-Xh?6@cDz&+7g+!K8TcSGyI(tkkyg87j7kksRz=&QIV`Wo(u zzHVA?`W9)#FX=Uz4w*hA&0yC<#A&*0`h>W^ey5->>Hh_G&T^ISB*lCuzm8weZ{jzD z4Dj3eUHo4D0DqW2$`7GTyI7aS#ko>JGF;iNJXfKs*tOJE?y8`|kMO7XG5$Q3-^^b` zeTBaUdIV&O3gr#^B=F`bgEuL7e##gY#^`Zi59Nvp`o9?1L%Cu`t|S0cRse_Sp7{b` zM?G+e^5Y)lM-uLn-wW5}1}0H9Bm)=T13pn6qyP(c0-q=YQpxv09zX`9Ap;h{_#X$} z(*5uUVa)#x7)D3^AsqEg+yj3YM*a%P!ZFTfl3|P=!O_jZaeWj=G?#guX(W%~h&~P@ z>LK||07kR`ce$TrHozzr;wV04d}WgEX@8md0dt5H5ynztDVrS+=TXx_=h3;sPw>~B zL(UQBlrH=Y=MaC3iu2T5ahaTB&hxss5}g;F7hTDaGDlo#yv2D1B_`#nrEZp z%Hb_{iYuSzoMU_{pJ7P0F0RF>mw?W@Q(UEdAzvI7IA>8*qV-}+ZWFaYyumN!%cG(e z@fC(t&K0$U=XjegY8hV#;)9eqqApig@eQh#Zvt^qQCBOa5Gs({5VfAy<_h%qb)IwW zgjRIv;w;leZREpzSX~Xe{7zAwEjRfMGt$IIq5?JQb@#iL&5@O^Dk!@(DlP}Vg9_Ai z$5p%5IM?yJXN2bK;`iMyuC;(>{vgUAKj;#TbdM_l;W52-Dy|M!H$Qx*xO(|f*GB#{ z^5u%Fk6tkq*A~|{*G{L?wa2yJb!fJpm>Q2b%Vzn+pXJA0$93T^>Eb#;`RzK1+#PeB zah*esT|mxUru=YSg+7_Y>)q$NDG=Hs&``Tz=EwPQWQv5xIn}EMX|JlC*!$~fPYX#x zijXd33AsXnb4VzHawUA8P$n#Qo)=aLR$;aCnotj|vj~lXQ;-C&5EMFvb;5dKldu_h zSs)Au+l5`iUg3anSU4&SL5s(rm3hJlTG=(>lrSco7cL5Wp~fr1HJ(MQ#Vf*`7PJ$% zB4NsTQJ5B4(c(NRa!_{>w9&@XNkcZj>ied0mBP#olo#ban+V0q%O zIBIZpt9V*GD~^ko_(5^Pd0xB@wl~U$#anI@^ztQlqC450=Fa5%fiuJW4tI__-@O<( zH|SmhQpz86FGB|QgWy_P7J?4t}eE7es4{``Oz5_TwQ;)chyHCJbPrA>z&w*TUUj`0S+2OwGo^;=Y zR*yQbz=FZdhovOSQz=DCm$IZ>sX!`{N+hsaX*thItALMZ>9t8#X|+`E+5;RI=li8b z;7Jj9vOQpN73z8zw_&AJ-K1^?&oTgfcJWQ>cJLIMx=XOCdx2x+&LZ`IQVN~~?Ap0k zJiL$N>P6uA6-bXjzH(?mSfwSFs#EGTU*Tar77yo1^<;Rm(F@jj@~8*&6ncvJCeKoJ z+Eb2F0e()=eVv%?!Lv~Ydst_g$40%G$Hs>-XPu|P)8ujSh3b^yxv1~bR7sUQEcEFH z+7h=za!T}{R|-m<{0?V|v`$**OaZPWO6#Rf)F(Mdq|L&4X@K7$ZI^b56VhIOpL9Sv zEFG1G_+!$DbPA4)N#~)ii_#V88nswyN}85g=M}d}w#b~EDrd;qGArlFg>tdHR4$h* zT%ueFu*r3DgWM#$WJTC4`(%wzl`Gs1K2PqF^Pv7p@j4#CXL6k9=~FrGOd*9nnZsLV zp3NDSNBK(mG(MeUJe$M2=(9O;xnR|w&yjENh4Vh6<51Sf<;q&HwI)}9KBWWN13VoB zz8+EnV2$HS2l&Q_vuO6o9RX$wWgD+4-J)hZwPQT3!;dOQj?g=q?i4+PR)z5MEBrv}pH?0RkMYg?URkPS}y-AtCVay4*{WW1vxct^wHy-Xag zc};jP6OVT>W?b_o;9ZOb?_w6KRO4e=fT-I82=-12{17a zt^;n&gIl-bWz*fk?tw57ko-AF(_s(jcscVfkpsy8eAqi6Tzq%10e4Ry~Y{0XZ9bXLlMnE6L*BDp}Xr2Rc9(d*;@JE35MfW_Q*RydR%2)6*XeGcGQ)h@tZBj3)u#GaPG%z~nr* zc{{2tG5$N&-$wnV;m4}ES^rXDM4V0YFuBaadTZ63^>mm~9_zh!oQjhSO-urCI4=h*0c`Jb65FU^?? zugA=RG4q{una)cq_MtKev$f8YoFogj?CJKb(`+LX!#i*IM=r3*wu`GF>K3f_+rX`>mI$0Gv!a{ z_GX3hR(c+KUEu$$C-iosUxI6}LVeaVM!7HYKXd)~e0id6p2r{0;CKu^?_6KtPw6<^ zhPi$+)>mF_ne~yeK5>gt_OfxTPxsOG6Z#n2PwLlchx6GXpZ$U{_TaPZz#Tg+m!tEJ z=C#vvP=3L>!%pj~GW^+1Jx_E^;2;3-33UE5>GPACm!O9rSUDrj|X#bhDSx3w5 z>#yFH*>zX!T$XQ??T+aa!?vuGMhJYUAuY3O9>cC`fD<)I27nJW(C0NUel;+DH3bHM z12rWrxADEE%mC#9*jEjVa}6CQ=>MAfmU-=s`o@|@0NmTuNC24UqV`r3jEQ#|Sf_*9 zUd?*ICL`S3GGl`^0|4-MHLy0Eu|K%xn!QGQ4(L9s=CD5ZL_yb-HL#wm88WUJ)@?PX zbl*k&N6nZ%r$qDDz0mXo&fby8I!U!t?Hb5Pq0nh|+0Th4_paHr7VZa7J z1kexI3fN&_H((#&AYc%13@{8B1)Mg{brvuVxD*pk#QeSvxB<9Dh{Xg*j0uwgX@E>U zKMgH8fPBDWz!E@dOt=iN5>N$j0M;1!*8-XWBESO(06GBOfL_2xKp$WWU>jg3U=LtF z;1J*l;5gs};3VLTeqEMxfD1-=IVOzezpD2shG&g(u9)MKfSdRSz{vtL0PJ-^%4|sc zXF)n3D<;eZ6vTu@fRfpews}DrU^!qF!1~99bj)rGfhP-A>*vSzMDwT5K|P?+2bK+^krffo>r2|EGn$OpDb+f6&Mo9#*V6bf_Do^H>w=h_SGMfMVVnSHr^m07Y| z?W^te_C~wYF4?^xL3^itoqfH1lYO&&z`otS%f8oszIAyOR~j%-JsqtH?8Sn4QuRM_`ADjhaQouk3ge%7f?bzoy=ooYya|}C19j6^<0pnQal4HVg-EqTltJYMTSesm%R-1{) zsm-rlj95}zida^=5>Zv_s9j?Y)~+?Ac_v2V&9$N-9*}^eskQ^sR~ph?+Y9Kf-3ZbL zvZZ!g?M^tp2eH5Q5X=b=&ig$EVtkLmg5P6E#P2aA;rAFg{2oIxevcsqzsHb@-(yI_ z?=dXGFEKpGWphuHhq!0B3i1^9Cg&nAa1z%_R&YKpL|*1Pxwna(TgSaiYPt8ge7Q{2n2q0% zFE`0ZPce{g0IWI}GUtLd=YkdImgsqN%Z&UqZF$V^RYqtvusY_P_4@DJM)IW10}`-x z*t%`KARBFcC|hjXY&&gxZ2N78Y)4Rz+fLX{+RoU{*)BlpW!qJVO+tx2!y0vaq?VXu z&xNtN55L1f@CzI)et{#7E9Q!ciCe*~B=Ou!Ts29+uW=-CuX9Z>4g%*U4|86wjbw8g z_YIQ6b#s43^0+~6kmPee^dKE}U_aS`KBV*F(+RS0^qQClt6)=7_I{svP%)$tDc)Kk0$@l;bT z)$xAN`!UaVXxZOm{P!PWJ>8h@)>~z?tsHA^!}uyp*W>qM{6&mEkMTF5o{wn@e?K0- z23uQ>SET2E67#%@t$h~jd=uke!MK1ee-W?Fg=J~{>A24@Oju?+(14++Cc@f$&x$M=GMHy&ET1~9$|bsXxk_${ErG$*qV^%g8ujG9m# z_crF-9{(-S2jc$@^dmHdxk%&8r+9P#^S_4qw_$t<>Qt=r6U_6d>8GGGO+NgJaq5cV`J5B!z+DdaW7SwC86pQhXsE*6UoNr>fO!G6hu!bLC{3ASChetCo{|YR7 z3H9$xDx_D%)0{U<3dGIvKc~Gx)0w9D6QF-#0v554(LChS_>UlkL;Wiw1$5lku%5?I z=V4h1bwBDy;vdJOZ_r+%n*9{xXHfqF_4}pC0Yb>=0OD)1ui!jeVJh~54#xNy}DSuCyz$~P3&@9!o2GFN5! zBIx*wsE1L1fO;B_g2lx>h~szzbDl+g74?nyQ^<`e${Cshb~KFo1Ju)~Ptj4v{J+3D zf1#()Qp~;Bsv;UEpVBa?}_Wu8+?cC#Ztk(a(?&C9!X&f3tLOJZ3?Q9$?DTj!V zG@-IF*m9^rQ7E$IREZLj@U=UrEk%-uEs4@enk2hW$(Cc~kQ|=*tsr^n*0t8U*1hiMfQW6@%CZ@0A+vfdbJdXkFTAlHI#y7^4ze1j~yi&c=2K0c+n5ie5Q zqKj2G9H{8UyClaQBnK;*`K_7HpNol5{f%dYyVY7|*LdPKOzpAju>&!$4a*Vp+OQmv zuMNu)|Jtw|>#;U0$5_;cvOiAyr{lQ-eIG<_Sq`&Y?u!3NrpmFbsFrxAC3|l(vdt2g zD}!MlAaAGE1ec>|`2^N)J(p)x8jN9GkHKakyaZm&ir(XxcwMyTc3n}Ww=;8YCo?RN z#_mn>--gm0mnEqCL*f=@h+PGKZP+yYlI$d#tI$*ncd-V4v24zI`8l>bum6tpc18R` z=C>=t%R^qO3?J=fT^QL%UC0AR(3B(RY+|jQm$3QJ`#b9;Wb{vIG;KyY!aP61N9j(5o&pGBcoi7O!Z4DQ)ymZAa6k>wb1T{>UAml z^>z4_mFtG@TlrNq4Qa_w@P68`gMKwd?n2#G;WEpXp?2ySlI^~uSbc?M^`Nws58>@J zd0zUEHTT|0d?xYshVvP|q#2H%T>RwX zCl^1t_{k+2x$+jYl4Z~lcBAEc@v|3s6Y?h7UnTyGXgIo_enzWcl!4FBsCxyJepF;n ze|{z`ZO`}0hb_o*HcR*&(LW`6n~?8_TC%#u#b~x+S(=_!2w$~)K(geI9RoU zlA_&xRJRnh*5LmjntZK9KR0U3999gcDPnPR^)%ifhR>*0_>1n4qXUxT@!WMZB8DT0 zt&e?k>TYNKHstKnNoQLdt30BVN0jo2QXV6fN0cPLOM7}@A0SsD&Vxl8J!WszQL|L1 zc-sr@1y6Y|3lY?0l#} z?;PEHsDVv3rPI+&r?vOk96LU+>oM6OI4VY$81L*SdIoa#ljuQf|Fll)!RDx^jb{^O z_^_|!U@3e8{i0~7<$PIs*xTLTGOR@1c49mb%eVEUBHX0s7{P8l+^lCKVF^lKqtUaILGA1B3Z``Vq9&J{x?;UBM*I1sinV9&FT!*xox? z8*i%O=`AFxqtQI1JA~*ke%8VQ_&NL%KYQTEa70*$zL)N1#prG12jH8Wmrrmyeoc3M z;nm#xtxn0ls5>{y0yNbqwL44Pi1-i2G6Ob=@3UNohezNcM|rR;KqC)Jv3#0ZXQT}0|_zFCOjm=|m3&wgJmaQXu;)rI&m8`d` zbkYn~NFQG4C=Zsez%#IedPgZI=wPEX!9wcp)2j5o;y(F)I1{etesU~l(utf&ALM>% zD)$DQ)4jvG1N0Z*xh(P)otlGYQBrFMeSP@}zg7D~?!Cjlj_CW++P<{5FRh)bR)xcK zc8))ymf?=E0)6M)9oz(0a-Z-TJ(|f0dOCMvAL75JBUh=(RcdmTn%IA+sD=}DtvDwd z4d3I*hH8~|MAMOIoFHN+qg||prp#(b&CgmoJKOnYHF18#+(5pA9^J>9x)1v=q8}I$ zM@6`z&e35gP1HMlixx^Ai(H!amqt^H**F_^jk2t_Jvs|V*Thq;<}>M|xsBkTpU*pY9E z<}ux_WhxK?; z;~2MRG@q0vZV@Ry6?Ce#Gh8{1XMO&BF)>GbP_R|2FBq;3;4gYXml>)c1&ynhgl45D!{C-Lz*#fPK9gSLfzsnt&R zSJ6IZ%ZBh)a*_JGn!2m0+m6{%fyf_#lW5N>#_l4{kB>6qZ?elQVyv5?c}3@lkke?m z7W>wCdrgc!Ltaj9kC7kXOzk{0h2wO_55HzDU!c3zu#?&uMbTVk4B9z|*|Lgz#WBG~ ztKXwY#-D4J+MP(8Aco(C8kgtD^P?n3KSbK=UuU=4g-w4l;VJTDZ%Rvv!Bg5LqbX`( zd;<~qn$~XDsXr{HQ-3gw6Z9ck)q!4DqF=4ls^|kEa0~in>P4_Vw5Nvf8NK@!&JDh# z4OWi7!2dSv`!dRX^&G&?b6xRM^i`0bPT9!kBYF-H8!=pEjW!VLJ8|^fbW# z5&u14XY}9Tp_An33FK<-DmHbRzdEBgO}iF=+CCP*Tg!hQ%VS*ke%dQO^@>FRUi|T2CA7RV06fT$QU=l_p$5+pZLYqoF-t2SdWYYh6T-kl9pv zlG5XF0Gj)CvJRHOfzm`RvA>ttR)WVwn;Bl!?u@(;?p3YmtQc;?XP)%IL_Al92gwhU z!{wIUDQ!T67r^PVNvp01q!EYcG0vMu**&*OPTa*Fx`fh4iSQVrcNKhyIW`jy)#Wp7 zneK?<$2pCz4p-aKdsB1Uo}+_TQu8y9Gn8h|M9J1q9wVMdge5o7(}{2dSD7b2_P()} zW&-oJJgiE4=5wt+QAx{sV$gwjKERmngaff3gZ-t*BN+XgrHQL*hD6VzuMf-8&dHMf zLwI;Nz777YvBTzmjegvc(luzV#OGo(H`4ME#QbgP<8|@*wE29Zp-*DeFSNUW2@2mw zep&DS!+M1;AYTqA>5~bm(ib705GWjp>{u2~NAKz`L0*El#qeu1Un6hF#_Ih+g?i@M z3_b*h!wX>mx5EjdS5h=dH1(hngE7bp;c|G1laqR0y@$G|(aeRnQ)>Zo4$Q*l2jnWS z0_=?bQ@9CDKiC0H8tplR#z(UT&sEXn!NKTVn+MaLH;{8-dF)$Zvlsq~zCH3upOPh8BU@n*o&l+MNfa@Q~9a>&al4PYJD7i7=%1rNnjy^#JJ*RSM9*2ACh z&<}lM*E{U%B`aG^?_@5$$ff1Ev@n;Jh*{`=MZQF|*UiOqF4q#9aILn)^CJ8oh2^=5 znRz20=sly@dMnU8AX?8lJ&^%|j`%-Gv}a>EiGkss_XPYH`t*IhVrW~~ z1WH3b3|ivnGx#Ci$p7A#vN4C5$yJh7EIXl}js9I}%s%wRVFsh{WNPheyt?AEvdfTg z2i%`LLr)t+y1 z!*B%h2zq)Wr7qX~;_`s{YpK>=fK4UzrLg%Lxi0dnseEW#>or946LdL*e)&yd7s%e> zyV#OXyssd;lJ^q&7O)Y-o8K;J@05J?|~HcJj)MjlPAA#i}(-Ix_IbF2o8sk;Yx8>J19m!{@`WaztHxH6hg(KLfSxmFtb z9dHeLb_cujZn5nrt9waGN1@M6t=3$;<>Dciypc<(G<%WVu3Z|s`;9yB_5pNz;!tGn z9K2OTtPPf5vqzM4Bd*=SuZWzFUKGiSn2%X7JYv9$`R5`nkeIyqSA*)MnO}76YeoDsZfWAZWYFLc;6eIr9XOlzL z5T!LKS$jckO7l`2LTih$nq>1vDz>em^gUsDGJi+BZAwvfTafqa`Aw}nCDL=DobV2v zpu@jfZkFtC3H51>Wn%DisMA(~EX`ig>rbsVVzgEaV^}NHGq*N)>x`d8Jb7q_pEI(F zyHK~YyRPW(fGxxFmM4Qz(B9Jq{g8*F?45t{io@@*c_FF@0Xww$R>h2N}|s_X2w^Ghd1R zThg2NWFNJrZW-r6dOs~#tr;7vP%aG?qF1fMDvi>ySp*NGuP$1>JmiSc0$OzvHicv5 z$M2eIv$gj^G2AW&o#A3y82Fy3XC}r+_I+O(Z!M*qV!UtpO!7hNbC5qp*}$Kue))RG zcAjMKsIO1KZS(cy_7VR9%L)EG-POq6n+fN@Kn%vfC>7Wy?<=3-f%x~)9j=lFQr>-|l4CSG-`spjq1 zJ&$+5$|XI0t}l@6)$r@kLfaQ}D$LS;q*5<}Q~ZX~_$#gFcGRr~tEc20mI=`u$J=aJ z2mjT)?}(v|kAK=5t#Lu~J)UP_lMu~e)iS@RCH|Mj@NI&+Vtl)(Pp4bWtH|#oA4k3e z`AIvYzKox>91X7&qhg{x>5Gfel!F7J$UWIBMj3fuW;Se|+Zx6VUqShsDypV^wk;3vl zcmjPBG0_~)m!bcRw$;a;zJ!mu$Y9e8IdCzN#vev|D$sIQdJ1+YN^6nxu_+1nQEMCa zZX7GZ3u!H59TBC-%>mc)C!lN9KWMp|!#`m;jJB=9e?REvTOVd1ZHotB$+dz{;CgIs zgMHB)K+Y7?cFJcOGdaGTx`XkCO`;R}99nX@TQ5ZK0JYx5CJX&u>Q+IBw$IjmFPnMj=VRu7#^%fA~0-f1=h3WM)WwCw+J8aSzv>h};zYAuNeT;yz@bTDiz~ zySdHPUvzPXm8knHGUMeFz4#e8AC1cu)7^T(LtRFBBP>aq+hdalSyNF}WX2`z2pi$y zX5=35dTa{dZb}D2r~d;ahxn}J@Ncj!{@;c#z}eW45B&wy+Rq$5jE1rB=}Wj2vS!0; zuv`v5hfDBQ6ZtqcUEu9#$gwf@F}WylEB55oFh=GoF?lsS23aG)5oCH4jX*>G46zJ| zu+Iz(zC~u0MXzJC2)dj$8NJK2Z$ic~a8aEA$%@f;$g|*iwCV~hnMDEXBA|r=ELbp4AgZwMRa~jz-jW$QGQHvFqa5?QI z^o&kSY4{Fx$&JBXYLzjCO<`I1vUZ%L-a8u&uN0$VV(?G67)?2tA^MlX=dtgJO>Z$y zV$%xVLFu)~_ai@u<$Lf1`X=aCi;3pQ{o!TUe1?1-Y>egun!q4SPjixa1?U1`6C%D!m(fbA2MehqdjKk&z z^xM(bMc#<~K5-x#!OL(ntVP`%N-u^j@PmCY1P({@0Hv3}q13969{=H^uon!t?l4#Z z4^DpycBk%I$v-G{bLl5!+7Pb7b3f?D zy$>^iw#5UmpE&V$Urs46ni3p>I_)VdkD2fQAe0=S#ffzavy09kQ9Ge7(r zY>WT5;R|p!He?Tf0k!sv-eEMv(5KDeQpgMsufcLT{2VU9TTSHS*mQxnqah>3*vG8g z#I4wqg~Aw_tHflX@EBw!2S3k9Q|E(V# z*_l;YQB~Q|UDcWSh{A<+31opy=PRk`j>15uc7jmDj1=y2FW3`xL37)Q)(GcKoow?@ zi$hlVSIoSiu5tZl5a4%5WT+#Md(@)cc!`%VxRd`+13qW{9|nwTyEzx$Uh73<#2p5sJ+u{b;z0db-UZVzF(7W{Qehka3+fgiY%Gz_ zJ!`R`zeud9>;}ml7efxaolCPi>WKs@JU#F*8e1mEA!G1xdCJ0tc!qYueHg?gVlHvo z@t48fKhcOioy@F@=@b5>SR8Mtt|48vfQ;ymH)I8T3%+9YLb-;xjZC$YQcOop}c6$6)n&qDl3V4sVvtK_kx1- z9{RC!Svu$qdrBk7onci;h7L#_--$W$y~zvf0C(d|&L{B@<5Dbsz%jQHpgHWwePwAy zh&o&H>zpMsI?d$2M|n~zea9|@jjJb*iu;P>LL4vB{E(n}R&cLAFf?f-v%GfKdoY_z zh!5D)JeNQ4>`zc)B1oHDxklKZF}0qF$Hz*q8{ydqGs3h7w}+UWA{dQm*<(1s7>TH% z`h|AqXi96(YZMuP{hMYMIf4&tmg)M3Ww;5&SthdT`m$7TALt3jF1zjDv7jZCON<1xmj|M?yyaHkD^s$PLC6@&o`0d*a`j@23W}`Pf*E2jm?El67AsFW zs2Dw>(3YN3&WYBZI?j?PUloTI=+~Z)Q6XC;Q9)sP(E-^|Ns_jU$>{@SrxCcpv?_Tk z_S9d5SGa#V==#d*0XoUEwHO})vm%fAW#4Io#6sE^GHWRry>OwV)A12GXnirS+gQ-G zk9V6juGvUEBL10Vaad0X^?(af$=qbn(b}59Z0J;gi792$&MS8Xd$E)$Z$p}vU#RR?|4Y)z z-FO=vfL>T0#3!<3tP+*NUif<@mC6{!x<{V-EEf3FyC1b4FnY&G@rv$*dY>B}b~wJU zDYoe~VLN$$7apd>QVabN;?XF~kEH+8_I?+!v#BX*w;oen9gj}n8t6To3I5W3IG(<5R@;(97r!elRQ z1+LI@NUak(rrIc|#Blp0vnhZ=Wzra6Y9I?qg>Yg++WE^UyTs8J* z3YIYkRPWg9HwG%HWxBDUzBg-9SIvbyT@-gu%458U>z9{T=uJsFboR)kJvfJizhH+d z+gWI_dTVwk8Je_o4L((w6*1zgtoENxnG`7ve(7s1Pud5Ir1UB zCnkn|?D+&;wWOe4)jNxO#?76KH(AFSopQ*NOKv?I_p!yg)j6$GprC9rMzaAYeD_}n z*Ph5awD;yVLOl`)*0=7;)8XDYsn_8>7auKOSH&?J%`@1=XA*lFX4nv7GLlh?2>wo? zGXPU6LM(Ep{c3w;3+4=ZwS=@Wy@HeR4ppV3TnhyyU*G9_QR|OwdJ;5#0CoUU3&C<8 z?-02KGF_}R#>l#x`->$awf|#Qarm9F!9(A#OOuDKDT@4nNED{i0M z6!V%J;JB*#bhOD~27_xI^)NbpRXeFNs{j4j=@fTPcs#&4L-kL=mrE`iGYX9e4L`e3 zvHO=Y?d-p9p>o7F@_Z1-6 zv_zOZh{{9jo8bX#$+}|pqm$yh6^x5Sc5>JT3cR#V49kO{wLj2=%QL{sZta(u4EJ)D zEBK{a^)_t&a+G{$Rm`#W%0~Ey;lU6cUuAjRYh&}kKf|~56H!{nBy0hR7q9v^J3%v* zgV=IxLQ>?}h2n*1={lB*?B6>?E1vMY{dEXlT5BEQER4>cEVOJM=>oi%L`0ida+L z{v8sMPr;kB7YT=}hvBy3KJZlF68ptWcYjwx)yqpBaqdVT297U0s~$<;;EBn+xajsu zS%8s~(&k!uRK3p;F?Za1#jjKQ^I>CSawAUqo3o2TpTDw+ef)HG%%-0|((fwIisGWe zp1HeCZ#xaMZM4@DTDr>jytk>sj8MHJbdk4rPR6cL9iuL}TZd_3Tp@_xrFk${l`t9( zTwun88aLWMNH;aoy$d1luCO>p3_sjVxBZM?bF^Ky&7J^9L)}gLQb|%_=^Q#5t1AvB zdb#9Q3{riHEGcT_!&}gr25V_Fq|-1pSr1q>6B*XO0^-dcH$z_%HAaFLZ(c@{csL;&Dn*L)#7de#|U}l`$x*o}}KVir? z-9%`*UaElQ;B_3$s>ssW?r5#DIx#dJRFp_=T$UCg1>0`H%u+c4dn7!+2wXjSm|39b zo^-VM&P-+Xsb7@(y1+iI$jB_^)=sLP4p)?3YVjwB)`Er(qo{52wsAtJs5I)psVIMw zpe_CLh^GB~k+E}P|A?G%W5Z@BJ!JB$Kt0xIiq(fMNzzK8S5t2-Rr-k3p}e(!h==7- z%H}>0U?7|dF(L5OWt{tJq1~zH#s>m~y7GhArFRvE>F>}ExPoKU#ZbjC?#Et%X&OCe zuCQ6ba1P?u#bf(%)W7TI7I5D`YYUYDn;kN~>)rx(b-{QN%^UK*8*?L=&3TQ9orOq* zSJW$+3O3$9R^#5C+j*CVSwmn6R~@3I3%`N~*NAqC8Wp_1L(Jwhllcr2ZQR3d3*w1O z6Fj~n-r_u?7QqNHXS+AKbX$C}g5N)qf|5Z!9P6tv| z5Y~=y3z&6)4lGti3Z4%%d>^uYUyoxd7$qE*a?H-4$BKF@Xu91$ZoCP^8;=&8%YrcdOwURRB{k% z$YugBRVBqlu#>KUD@n(nrq=Z#-pXGWKim7WYVfc@L-@Jr@2svy-e^C;S>Uw{DS$fS zxV*TZ*>nu)**ew#$$x3uWM?l`XW%`cbaH?4vFN%@d#V%$Y-SI>dHuR^-H}CVYJx=Kjl-! zr~IaVz5C0CS>dDm<$QJXi}%n6lW%%!5?D<2$*H=$HMnVYt!n(+iV{>7YXmkdP`r{i@RGXO1UK6{Wx-R zpK7gU{F9}@dq2?nS>KlZ-qygr>~mT{SY3`*Yol=RXeFeLb}DmYHR&EXp{NgrXEFDZSir`sMWoAhC+@vY_WZmAzu~Zb8_&hedLb2_Np>;G;l_K znY^%#Q`+qz@8bj9uBdmA_en4OY!dspw6~_LE6!LS=Vjq~cB(vReQIpadT+CilPgiF z9y!k-tZqhe>uel34-nGE<9T-aknaW0!)84i9x8|=L zxQ|O>0l2Qk=BLvony#$A7@Z5JW=!nz;xG6MB{ODO91MihCtv~R49gl)7vH!zYVCNP zc$a2(Cf6qW9>bmcq$3@q_n64WO(T|i&lZyqyr!t89iH8ZI&0<^jRBI4WNh0@6-GKK zwPatz*@SW(%tr1|wm>yhbHi|t4(A?E{X!&$$pCpJueb_ZI` z!vi-{Tem-{t#t5qu7@V9hMKFJG^{5!^j@%b;UrKX+@zIHnZ&qSQ#_BHw)WFm~pXG+o zBpT%8IRmVa+n**WYVGCbYojjIZ7tnHQZV$DAJ`Lsuj`kx@Zppxif<4phDoCIEbBM3MnHGKoM9Y-p5i z6;gSWrVhHI=wcgoX#}^9tRl(FNascDDdj`26PqHW51gGp+BeERd;=m~F*fh0cC6CA zUqMMTDbS>>f`YODvv>&7XaFN2P>+QLD zKh&qN*}9hR{IBt68DY#e&jECu4x&;|wlkZz>7 z|0`W~c;8Reei#E602=>jh5j{_mY<(NcWqaNoDvkD(Ynf)hi-q1UM+lWkfhA(QEobE81xW zMkqpR`b#K^X$Frgl50kfE13atyH#v|s1@(m|euvR98V3)gqSo^xO+>E}KnbAlZ39NZ zM(N;>{0>sl?)jl6VqSM)sY1aBNV>vo_$jFTh5l-^zOup|Z#TUB=>j{g;aLiKSV6}U z0FNA09SNPR!7%JwTES%4e}CaI>~&s&tJB9G;$yjp%p~A+9;}M}<)JV0dspNIQP4o= z0-C*+_bEj4h1?se)eZs9pX&w88-)G^)f>$1)&humw@Zf&{%Qvr?fa7rN`#LOJHX(t zPE5bu4XJbwq%1nwF7aRg?HyKGl;SZ`FABLUAS8vt)y5AcTV$i@vKL09 zb@P^>Ix8n&Qk@m-hO}A}=~V&MJIhrOl?L=PlF7o*#{h=Y4ZfYQ7FW2)F3x9Ein}Xp zHJ?|9A8QWCR-eWV%~mhxO%|)TLz0a3R3qSTlcb!^Lh)oR;h(_T303g9=YeO?#O|7I zy2IV>{OjwJcNq~K6cHU`6|1m6T>?JCE8Fx>c;WPVO~l-IG6l)4N@n>i!~s7&zlJ(v zW`C>4dtVEW+2h0b&C+2Q_wuWPSnQgtKtk*iYX+tlT=2aFBA-A}%+MpCz4%%c|6^^+ z{DoI{iFCrp5}oW`7X|&GzfOLGxIX2#W!VnOL%JRoeLl`YGZSM~+(Tx`3Gr5ciS&|a zX~JQRzuwYgV#x^xUVDlCl3|t7lVaFDV;8VG1lIMff-cbNxM%rWMO#2Yr&q}p3i>~% z;~q3cmseH0^o#zVN}4dE@Xri zmr#LQu;`oni~!grV3-#$Yzi5{hL5x17Cdqfow!H95dU<6i8K)`q9d&TloI^o8cOwm zpmsn|w<2H|7Ba#BA9vlMs&++C-zRY70T00AGE{aSsklRUofR;Q3K>y{k8{E$z2Ri14~7V3-~<;tU^$gG<=XCFtxC zdU1=edQMO`EpXr)GQtNRC!k${{S?c-N8qp^aFiM{VFwRD#w9G~5|s7`&A3HiKPRxC z5-@}&s!KpzosP4=+ZH_V4;c}HkCVV9OyL%+at+nIM`*nwuirCj;kGTFr<3L#*lCu$uJSDVjyhBhzqeU zXz8xM&}N-3S`3!dFV4uJC>bj&H7`;xQ!dLtD`+c$SA=K&=T!z?HobuV$R6b{^BqIo zz>z;y=5W^0mP1n}aaPxsS5;UiMD73EW<67S}KthV9x064B+-o(p*>7UnZvzT5UIRP)8`Crp;}*uHYaD;ueO9O~J8mdlSkE?6Uzq;i8rrY0MJ8r} zNxAz48S~Dy9F{UE^ZGZnkG!Um%4&g)Olk#%MMaK%$3q@7R*gy0j$?|k{J;&4x2}Y< zxrln1!hGZTEz~oQ#{l(GbIeSyVEb%5K>pFp>M{A)lw**_ z=|vUZ)oQ)KfW`D1CNFw@0z@Hkr zlt8F;UCxV~iaKcLe%ux4cU@lW9BhZ*aQ%pEP{TWH899*-P}2PvY|zI$T$(vR2S}QJ z)E21a9gdA0OGjwyew-HQ=N%p{-;kT%xc$hkP}6@SgDNP>N6+elE6BH#8x5`0M3$1S zN8IbWEb+IKu1ACG;w-7sM^sl8SrR6VpjYLazI`XLUb`$Q*GHf1^EPPVM*{9*xu{}B z^6yf)XkvF?gGNNmPA@2Wb;uE!zn zay^Oh#>wtVJ%!0fJ}9vZVT>rU=f{9-RI-_0e}wB)xSnf&#O+kMo_~J?@sh=x3wcEJQpB4deuVUr&z>uO z#PCwio*j|Qz5cm+~U6OfF_?UqA&MiJOb8pMaH91pz zOYW+?K52i;T3meVIWzV$b!v83<*w9T5>d7(k9n+JTs|}L()TfSY4%v*zSMpaakgoX z`KaAo{v5cnfv@3hgjma74Y-)Tq`jcM?0quzh~!?vHHm2^TS5Mg6E%Ft@(AZ%%rcf~ zHd$f3RC}^~*YK#~p3btNtwCFhxEOpwdKd9%>>SdzsIK>^TVBVy$iCFMsC=q^V)1D2 z?B6oGu5(%UxafTHeh>5-<1xstr(H?8uy}%fPxe~xGT3gsUVXlVcoKMz`&jWd=XK~o zjCM>kU@O{pkY+E=WSmkv&T!aj-O+TUVNc1VoKiYYbJ%R%-Eg#KZ_ebL(mKv^*mm3D za>Qki$t0UnI95aOC;S^gVG25#Lt7H?sf%w4cA0|=15S_rClW0ZPZ9wJUKkV^oG2j7 zKP*7ZU#_>H*Rc1X7p0fgpFE({zcj$i-z?zN|1`kB-ymS$e?I`(A2}ewKOtZnv>3D) zycnz)!sJHFJZt@!{Yl&2zW`>8@i;+|eeapOjPUlI=NrT47lh)kM;dH>7Vf;YQfJ#l z^lDaY1%U+wwnE{uINLP92h9DF+EJy$gvK$TnWm)B97EGExW)2-73%j6hO3!+5edIB z7h_iF5&Kg{7jjqqUI*Oi#va9V^LE{vhCx9?kvi{~;F9rq*TiW3Rg`O&7iR5;)bD@# zqEZ<;VJI`E`o2nunWvN&-uQNmw3E9e&$v=tp@D`^!s^>5HhFKpoq#ier)0F@tNcRd z9Ww4XbotnyBS7F)oLA4LHD2Bu+WYTCjY|VXoBHaO?18{54Ei~4B2xS5kz@BhlaEVW zDc_}~e4$6-2Ax&pA&{rwB=pIB&QCRi(XlRi#3f@$6F9;mHmxcJ%zzIFH4(1d)}cf4*NOn|n9 zS(l}s!-x549#IKpsQIl{P|(k#O(Zma!>BrYPDRyz5mP#UL3qBHuwV;uy>R~OnxOfr zuHA)hBGSed1AZ`2{vmqYHIF$S>tDoC5_RLcaU3R+$8i$1i!_;}_d^*L2w!U^m)~~U zzhk{hw9-D5BFjSF7TXErM3m+yn-e=Ac8+J0la?m~By5Q7cI*~7%CnbdGD)kGWhQKm z?ie~Uumg0)n?AIso6-M4U;2bQ9RmR_^f3E+K;GJGVUL7P0K4nBg_)BQBnT_o|GdE% z4o>)|F4Q;=;{b_T5PF1KJ&Yw*qycpkKl_9xm`{veJu(1XKWqg&$`Aqrg4@t!^%qZk zr=blxK5z2JF5_z{5F31)_7DMm$Yok&bT__^JLYznQiQ}8l7e5ThY7Wmq2Dn{>ChKX zv4H}z9B}KxxPQGnyRL0eR|`v%c02h(SnIajK^dR=Jo!#I=4r}f z*=4{*l8MmjMsVOQD~{zP-@uXYHq%=H4Y3>u00IUveUyFRYNzlnWBy=$(0`)Wj%IIX z>sUHpXNP5f2z>|*(thrJ?&(K#H*`0woY9`sQhGnC+^8U|1DS!$1I|9wKGe1SI?FmL z7Z2U%HdM~98ZR25A0U`d5xMEGZ_cc%Gk~3p#7_Tg2$6B8>1>XotNBM1!K< zW5&o#O!*T9#te+DfIiQDNwXpbg>9-(VZKA@Mg|Qvb4+EGrmS#*@ zA#sl2)~{Y6`yR?(4jVCC1kqjz8)#rCRkEM@Qk06gF;o``4qgSaRU9|JWYcKlzKG1zl!1GXYC&>Ung zET}|PHZ>fUBo~EEHVcZMnnF(&;w+xW@*fEZQ!WzRWU1gkkBA@v~|t0@UqAsY!0;C{t>60d;R}(##WL4gvRB zfrlKPkJ1+uhOp@Y{1Gbfh~C&F_Z!m@VQyDQL$vZi%n<^X&?p4zrcmnGh~sp7hv^7C zDXnOeOw5~#c@Ns7KJUAuzB)wtQKN=P(n0k7E)2!wL=rLj}dgX*gQTnC$#vbBL zWN03Fu1ZmQxEgT(ZA?R0@bxrfsSwvCNeejQnkV&l{5cL?oZx{a`g0Vs(<^5gQK5@j zw7Is4AAnE{QocW|F#>R7`yK>obB-z9 zen}PH_Bvu3q3Bgh)Gpf~(ryOb4T#H_8t%C(DmOh`bt&h3t_E_C?Y{jjv+E8))9^iJ zC^V%B?^+=hf{jaBBGkqf;of0Z{mw)98yXEUV130<(=#%{SQ8j2;p=GQRfCR`uCb{X z>934a4u>B#;lYm{)fFte4&ONRj{!4)I;dgEWhn+hfT-3&cBM^kGemU`;UgEeG^lqb zYM~eVL|~&EG#GIi&)06_Y1?j{mJFO1gp%!N*YWQcKO$WcksgX@Up)4o%E{1wTPQqh=zhK_=s!TLu;?Yo1|l(v ziwQCos+sF~ufh{iolz?7F$etapc#qrPjC)zowSkarWTAV|}7xWDB#a?lD3icOsrF;u-3Rd|gRKlgNFZ65l1Q6BO7pFF1ZrGb(v zD@uxb94k`$%Gw3V31K0

    Varo>65NW26(%|^EhnsK9Swi zSfJgv`Uesj$W1LoItHpYa38-^C$E*Vvb6}82tOhb&UZ(jcJ?eNU4|8|smO{{Ks3_K zrO1j%uQ(jup~#9lxM{*G2wA2PCa>5`+KE3}&Y;+gY*cDZJ0`curXdNe0~z)i_A2QG@D>@H(6W*qh7^Zw5ymEr)V_V0B}D92yRZ>O z%=#?PgE3Xx*XR)nFmO{^LT(<$k=Nv^!PIPy{J!ADLrcXu znq}{cT_ju%Fel&{B6~r}mh;9jiE1WQMXnTEGCaq!fI3`bUQ)Nnd`xKETGO>^_y(^^ zESdR->{T*r!(D@`9#b*AgnVB5I8oGK;$s9~+uanh)_<}4^xLC=draG$w!XVQWu5Zk z`V#LV>51Y!$7_Yh9Ishst@yJ3$?ZMQYqiTShea8c2}s+!o)3TkbqaByKhx;mTKWKM0jU~uW4+|Y_>ZEyNWRBew&j!A7p zWORZzK8v9vpnn`bIEE^DpKdtmUh@A+a(=4}q7p_%pZu|(>T4%C##UrBU0-`lf8nO| z)B$dhs6H*?U1S8_ikAG#MZ1};z?J>;3w_WBCuZ6pWC|gh2#if0rMV_%9l4dezlD3> z&SU8KNwVh>W9ky4_Yx4h&KSSWh}dkRZ#_-CktMfa9fn`(^4JtctHm9^_26Fdy@QeV z+gG&B5RX#^a^WQ2JB8Eu$Aq~<{Uo*kn<2y3Upb+_=(6N5Zq zjENzE!h(gxVA9wax;}q(^uoWC4z{QtCKa+?mu13lHWkZ&4)0G7zbbl!zTLMcL=6Z_ zZ_x_&x~_(0OlA$Vw{K?+>r+1n8v^ezt=(_9!Cf{ak$qL{7-TyJ>0UFoUnaZQ84=L7 zh%~)ME!f?|N-f~ayY3sI>9=Sb;p?^#SAEe*jPNSUz}v{rY%^@tKwW6443?A`-?6jkugbkfinN zOn%x*oG2S^2GxCT#RMNFc$eu70K=$D6Xm1aW4`i_C?~Tf`v&^9bL(rJW4l{XoZ9+8^&kBr$Xz|qG=T)f{)rs!d|?nuYFjwGtfNH+L( zn{V#725Ib-1_<()sJb-qrpu(zXhB1UWSfniIJMaZ>HHW>Lx!Aj-r)q0QclhAkNOlv z{hU1uAS$_D;u*)z-~e46mvVUSj*UG!dAPj!1!u~LuhibS<-JHV0mz)c!AcG&oNWFF zFq#N%*ch?o8>gOpx_ZB#q-oe#ypS0GnDA}@hPAGF9k;dyCojK|)4r2L5ft)z<)DWC zJO6Ro@h^Qx5}{X(5Az<}1Y&vSZf(b1ed`~Gk}i}yMtH*kBks1GG+ct-Y4%qTV{>Gn z@YeR>XVpJYN`zi0!H$RLe1FtXT`)pbzu(IE|95h_TDA@ju|fd!9HEy(u;%|iq_@ZQ zNJMk2pcOGzuLi-{uxxh8wU^&ScH--SwyY_8t;_=U8j}DNqE*OG9!6*i3?u%M-087B zyfI!IANg^^3{UARWO~`Mcm`S}F=^a#VgyF6G;IS=2~#Q?b!=Dt{+_+4 z{Jm%@l#*>#G@gL6qFv||=5mpckiB-wPup_k{^&`Kj%}){%lFw^{uAqk-8Oa01Qx4p z8>CFa#EDJ)AhL>;JiCx4oOPBc3AH?{5N9h+rbr9EY*AGA{>|z>P2ch}y4EB7pT$;)w$V1Os%(KpuZhNEN*vqTt{;2Sza>a-#1o3B2 z=D~Lo^#%*vbpoT&vqJggGVRiTVX~(itM);6gNBr9WsY4Zj=4q_ZlBi>SQBOLPv@yL{Sf1ix86)cXc5%(Eo!Y~ zllpdW3pCZ4oUvI_)ab7ay8Bu)v@B_}wEaw1zkhFv?Gd034XbY5nT$TP7>vUN?5#-Y>p!*F5@Peb}V&@tI%pGTptx*9m5Li ziELcd4|EDc76$%m=U$#ny~osOc;-Hp+Jc)CW(+*%n^j9ueD01fpA(CPdEO6Fc!>Cd z6SDUHOziRKF{-#gXSu<32r@zHiP$bu=)BWo+TFp&7{e11%mf2EzV%}2c{djPERb|jS5dgR_h0* z%Vk0B%p&b@R_TuB*xzyA5yp-haaeJA44gPfWtaB~!%R}tfpP?jG>S*fkFmgl*k>@U zHb=Kjv;pTAyeVunD3@y1%-z6>ZRt{=&q?f9RD4g5c$eF8^}}ki=JQ61o4Y#addKW_ z!6oyzceBI4wGwkktZZz}St{1LjTI5HqGmJg`sq7KG>r+(mg7VR+u<$i(>rIYp+iOtTLb=gWBihp-oq8G<>-e$;aSk}{o49bi+ zvrInjm>d6O`Z&nv#z&OcstLcMnL+I!k) z1I-=3_;98hZR9Vt^%{Npm*BP!r?~Gj`P#2uinY$#a?b|vn>shkWM@mB3G1gGl(15$ zJa4M4jKU-EqO}~KKSQ6bhptv`g};7UaA?tfx;0Yp8>CW)i%}I zXIx|kkAz@wsF$@T5t=3zr~q|ly(>(GX6jE%<2VdA8N+gW5*fon3)FTTsB11=@1=96 zv&jxeeVrod;)JJhx}&8J%l(K!!`g_??6V8Tic%`rAGZ9}1PH&yZRUQl1{TeE#QFO3 z;Xg#r5#s-b5)2PwLE%daB&EQ?mJ=L8OpGSP4|kLepF;%k>)a`ssA%VWH^9sONb)>B z$~s^_=CtW@xEkv3f4<)RNQfPV(V&ZhI+S2Mj!<4$y;99Sz{CBJS|ko5|F9=Unpi7s^Fs-qX)~WF;pT2?lsZ zu}IwbqYW5mv0ySug$s7DeF-M;fbpy8U0kfcpptUh3f}OlmmGLB)ZI`w44`*P=fkZ> z@3^6N3dhRnfJR?w8W;WO99afw_~hW#4Za9W{6@J{zsku7tcd7@-pTP5Uggw7k*#}r zLQm}ELZ=wvWcz8)fUc(IQOpanGRCz!rxe8nVx=70hadMGT`+(9vxW8t<}Z9dc6>j% zwJ%g(U77#@3~4QOPH10_9K#RH6_Ocyct6!2g0}E}6h1LMKC{i+F&zTk4@f5T!-3C+ z+yFJsg-RpA1a1q)13f3!k_4)IK3@&zpX3RjcBa-7{<9y60a%g!L>S{s{E`{9Xsd8R z4=Ij{%-L-|vhwd42=xHEo{^SHPAO?_`YU~EjEq4qczkEGx!cGB;WOWR$XF6qQpn0N8b28!w`uR1DpY zy$&m^G?Y@4zFx4a&M=^7(bchZUaAk!7PY1zx&%+azQDoEWWMUh%@~ zb-ri1yp({8h(iflF*VBLa8Na4%j}D>gy%o`k!F7qy!YZKnAR6Tqq{2n`s0D-E5gO` z30*IG(;W6g<;c?IF(+RNr7N-F?7@5|piF_p!{s<~{c~9B6dUlo9?)%}3+C}V)|=g-O@g}6 z^KJazdQdpH7R-q+xC^6gp_&yQ#^_b&qQgm@^1;aUOMWxY)0ZJe(~l9k`@V<>)J^Un8phSAB`}A?Yqh ze19}|q9p!cf2k9x#uKj#KxIV+155Rj`vHx5iKl_g@)zvTdRX`My)w$OIA!wK^a6T! zoSewaIV56cD@YvvO}6LL22Y2_A7LHkI1oz z{LlWY?Z{>_lpLo&O!kr6Lx!dNM{JHm_>->^)eJ_;} zNrf>R)6{)N(XR_jiatJ8|sk*fy()Grkyo818^;P&n;B?qjdF^EuKPxU54{OOxQKMKQY078Q_cg^W$c=yCfTrYz%e(VA>%7S2V<5`F zOXPcddTTs;g@bEJPukaI(UG#vxv zR_mbTsNaElKF&-+S_2<;@}A-jmO9`*uy}^4_6^twI6Ed#~uphq^}CoK4<(?vm4Bh zT*{T1wp#G|Ip=*;gh%p;9H0Ia8~85Nd*Jmnl+?HG10nl<8Z(a8$Z4X#v1eXwU1Vj8 zn?Q`5J0rMxnchP&@)eHPzY*a%raGq-p* zet(JCyl8j!{`rlN^#rzk1B%b}Gz^T#zQ6oBJ{1duNWvEbX9pt@kFpQe`a+y|P&4xI_MrPiAh&yZ zhbRe-7|&xwORVqo(D3xW-*N%EN~wq!?nS@xU}gbP!9pyYaa$f{OkWYsP1#5TrDs|G z0ycw%m1)3_hB{EcF0(ZeH_muC`UlQTuMOZh<`c$6(u^=WWO~ON0OI(B*}q*15?KFF z!YYSx3u;Vy80y@8GOa1kar#gYRs3yJ-B58|Zo@EH=B{)vK%|Wqd~titWr@#{hE+f^ z`3xpRpq?RyjMgsev((V4*m!YZ?cKp;=q>_yU|ti|tm~obAa=<# zAi{L_I&+uaS~`0XPdkXiLnn`S`C#i|$>L4e975d^Ysoa|nsJZ#u~NdMTpULv%ToBj zDlZIW2dSuq4?O7Sj{Upa<6TUQR2LrMaUknOqJ_@^Ej4?Z-Zdoi%dakx2UGN#XNq-c z`jhB^C17%f+&$6H3mLVS+`z93pq$TDf z6OnsP*)qHSq80Q+B2D52W>gpgk16L10lfQXY zwIZ}m>SCg+=*>(~w!NbE z!RCD9><*M$RazB`nX}`xFP_D2e;2)=b2vZJ7_RRG63XECJ?E+G=lc!jPdT&*Q=S*u z7kRHnCoh`k4c~-Pd}!vy*WJq}@Lq{M`P~h>_txG;RU-L**;JQRL|srK#Yv*z$3uE$ z6Hze5MZ#L@Z%vN1WGjOKU&02mG8(|2E~UiYu4R;Sc2a!W`NNFYxQ+TpMgray!7zlz zea1w~LAQ1iYtbi^$HY|}z83eaeL{+TZr#aL5L7bKcZOqr*I~Q+`(V0wgi%t)nshdG zkf$9tGaKlYO1hQz3s)YE4VV$1(`zlEU`?Kc&W*haLPD|G^JUMAZ3>LxnNm$$XQUJ6 z+1ar3D2bPNUNwh6`CF7`mN*mS4>IfnD`e6-!-vcO!geI$9MCe0qE)dv$Hetv(YoK2{F;}F)=ao zu?q__@%(?+`0ha1%+k@wo>tgW&(TQG$iT+Xh*r|b+QiWm|64B)4=mJw4a+ryWSSGY z*A8Xq7O$4V1)PX{c`~>zRnQfrAW}7vK~}ld*hvgS5(Pj-YV-t-4ztyt{q>x&>*-!} zk6rkgZx;O3L{XT4T&2tA=LFgWy7H?}y2US_@S?XBOa*a6ZTD9erz;+Uy1)vpVIZ0y zA;Kdc72If*ZD8WYIDD>cu(-OZKqH70bi+YN*23koZbdKCZwc~l%pT(+gA8ol&tM^( zB^?}vQ(~@MNd_Gb@sA%OTjZ4g*M)JlH!_BWqQhsV|Gxf@g3rRt%*c%YACmvK(6i$I zCxvhQKY6Td|BXk_{=J(2QT~^DOpO1nEfW(v^Z!|oiG_vnzwy}V82(QlGaci%4gBwY zFw-%A+rs}-Tp8m;48%~hkCZ#mY>mHX?C~4`T97!w7F!@e6RkKu&ITm-t`TLvV*B~q zaY)n3Y&vVK^}=ieh0ms!_Z(Wa)=R9rbm9Zx%nUSPzSK&;Krej&=3EyDDVjQl5p0f9C0Nh6#9bBVJ z7A6lmWb#gCBUp~!N2m>J=<9MiJv=?G%lBoHb@>mt`{(WQad$A?{zxy^_k7%4 LE8O0vesO;S#W!R& literal 0 HcmV?d00001 From 73a9f3c70cefdafb443cdaacc68a972dab9e24c6 Mon Sep 17 00:00:00 2001 From: Yishuai Li Date: Sun, 16 Jun 2019 15:47:30 -0400 Subject: [PATCH 32/55] ignore .DS_Store --- .gitignore | 1 + 1 file changed, 1 insertion(+) diff --git a/.gitignore b/.gitignore index 9dd3bd4..749d3c1 100644 --- a/.gitignore +++ b/.gitignore @@ -14,3 +14,4 @@ do.sh *.pyc a.out __pycache__/** +.DS_Store From 7954cfd5a075aae49c0745cd021ca3d56b619b3d Mon Sep 17 00:00:00 2001 From: Yishuai Li Date: Mon, 1 Jul 2019 19:14:04 -0400 Subject: [PATCH 33/55] Marxism: add glossary --- ...72\346\234\254\346\246\202\345\277\265.md" | 144 ++++++++++++++++++ 1 file changed, 144 insertions(+) create mode 100644 "\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\237\272\346\234\254\346\246\202\345\277\265.md" diff --git "a/\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\237\272\346\234\254\346\246\202\345\277\265.md" "b/\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\237\272\346\234\254\346\246\202\345\277\265.md" new file mode 100644 index 0000000..f4122bf --- /dev/null +++ "b/\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\237\272\346\234\254\346\246\202\345\277\265.md" @@ -0,0 +1,144 @@ +# 《马克思主义基本原理概论》基本概念 +![](https://i.creativecommons.org/l/by-nc-sa/4.0/80x15.png) PB12000332 李弈帅 + +本文档以[知识共享 署名-非商业性使用-相同方式共享 4.0 国际 许可协议](https://creativecommons.org/licenses/by-nc-sa/4.0/)授权。 + +| 概念 | 定义 | +|---------------------- |---------------------------------------------------------------------------------------------------------------------------------------------- | +| 世界观 | 人们对整个世界的总体看法和根本观点 | +| 方法论 | 人们认识和改造世界所遵循的基本方法的学说和理论体系 | +| 哲学 | 系统化、理论化的世界观,又是方法论 | +| 物质 | 标志客观实在的哲学范畴 | +| 意识 | 物质世界的主观映像 | +| 运动 | 物质的存在方式和根本属性,标志一切事务和现象的变化及其过程的哲学范畴 | +| 静止 | 物质运动在一定条件下的稳定状态 | +| 时间 | 物质运动的持续性、顺序性 | +| 空间 | 物质运动的广延性、伸张性 | +| 实践 | 人类能动地改造世界的客观物质性活动 | +| 联系 | 事物内部各要素之间和事物之间的相互影响、相互制约和相互总用的关系 | +| 发展 | 前进的上升的运动,其实质是新事物的产生和旧事物的灭亡 | +| 新事物 | 合乎历史前进方向、具有远大前途的东西 | +| 旧事物 | 丧失历史必然性、日趋灭亡的东西 | +| 矛盾 | 反映事物内部和事物之间的对立统一关系的哲学范畴 | +| 和谐 | 包含着矛盾双方互相联系、互相依存的思想,强调平衡、协调、合作,体现包容万物、兼收并蓄的博大精神 | +| 根本矛盾 | 贯穿事物发展过程的始终,规定者事物的性质的矛盾 | +| 主要矛盾 | 矛盾体系中处于支配地位,对事物发展期决定作用的矛盾 | +| 次要矛盾 | 处于服从地位的矛盾 | +| 矛盾的主要方面 | 决定着矛盾的性质 | +| 质 | 一事物区别于其他事物的内在规定性 | +| 量 | 事物的规模、程度、速度等可以用数量关系表示的规定性 | +| 度 | 保持事物质的稳定性的数量界限 | +| 量变 | 事物数量的增减和次序的变动,保持事物的质的相对稳定的不显著变化 | +| 质变 | 事物性质的根本变化,事物由一种质态向另一种质态的飞跃 | +| 肯定因素 | 维持现成事物存在的因素 | +| 否定因素 | 促进现成事物灭亡的因素 | +| 否定之否定 | 事物辩证发展过程中经过第一次否定,使矛盾得到初步解决;而处于否定阶段的事物仍然具有片面性,还要经过再次否定,实现对立面的统一,使矛盾得到解决 | +| 客观辩证法 | 客观事物或客观存在的辩证法,客观事物以相互作用、相互联系的形式呈现出的各种物质形态的辩证运动和发展规律 | +| 主观辩证法 | 人类认识和思维运动的辩证法,以概念作为思维细胞的辩证思维运动和发展的规律 | +| 规律 | 事物运动发展中内在的、本质的、必然的、稳定的联系 | +| 自然规律 | 自然现象中固有的、本质的、必然的、稳定的联系 | +| 社会规律 | 通过人们的活动表现出来的社会生活过程诸现象间的本质的、必然的、稳定的联系 | +| 意识的能动作用 | 人的意识所特有的积极反映世界与改造世界的能力和活动 | +| 社会历史趋向 | 社会历史规律的决定作用 | +| 主体选择 | 历史主体在社会发展中的能动性和选择性 | +| 实践活动 | 以改造客观世界为目的、主题与客体之间通过一定的中介发生相互作用的过程 | +| 主体 | 具有思维能力、从事社会实践和认识活动的人 | +| 客体 | 实践和认识活动所指向的对象 | +| 中介 | 各种形式的工具、手段以及运用、操作这些工具的程序和方法 | +| 真理 | 人们对客观事物及其规律的正确认识 | +| 谬误 | 对客观事物及其规律的错误认识 | +| 逻辑证明 | 引用已知的正确判断作为前提,通过逻辑推理来论证待证判断是否正确的一种逻辑方法 | +| 价值 | 揭示外部客观世界对于满足人的需要和意义关系的范畴,具有特定属性的客体对于主体需要的意义 | +| 价值评价 | 一种关于价值现象的认识活动,判明客体对主体的利害、好坏、有无价值、价值大小 | +| 功能 | 在实践中起激励、制约和导向作用 | +| 价值观 | 人们对任何事的评价标准、评价原则和评价方法的观点体系 | +| 科学精神 | 要求必须坚持以科学的事实求是的精神,去认识世界和改造世界 | +| 人文精神 | 要求把人民的利益和人的发展看做一切认识和实践活动的出发点,贯彻“以人为本”的原则 | +| 自由 | 对必然的认识和对客观世界的改造 | +| 必然王国 | 人受物支配的社会状态 | +| 自由王国 | 人支配物的社会状态 | +| 生产力 | 人类在生产实践中形成的改造和影响自然、以使其适合社会需要的物质力量 | +| 生产关系 | 人们在物质生产过程中形成的不以人的意志为转移的经济关系 | +| 经济基础 | 由社会一定发展阶段的生产力所决定的生产关系的总和 | +| 上层建筑 | 建立在一定经济基础上的意识形态及相应制度、组织和设施 | +| 社会基本矛盾 | 贯穿社会发展过程始终,规定社会发展过程的基本性质和基本趋势,并对社会历史发展起根本的推动作用的矛盾 | +| 资本的原始积累 | 以暴力手段迫使劳动者与生产资料相分离,把生产资料和货币财富迅速集中到少数人手中的历史过程 | +| 自然经济 | 以生产使用价值为目的、自给自足的经济形式 | +| 商品经济 | 以创造价值为目的、为交换而生产的经济形式 | +| 简单商品经济 | 以个体劳动为基础,以换取自身需要的使用价值为目的市场对资源配置只是局部现象 | +| 发达商品经济 | 以社会化生产为基础,以满足他人需要、获得价值为目的,市场对资源配置覆盖全社会 | +| 商品经济 | 用来交换的劳动产品 | +| 劳动力价值 | 劳动力的生存和发展所必需的生活资料价值 | +| 劳动力使用价值 | 劳动者的劳动 | +| W:商品 | 用于交换的劳动产品 | +| G:货币 | 固定充当一般等价物的商品 | +| 所有制 | 事实上生产资料归谁所有、归谁支配,并凭借着中所有和支配,实现生产和获得剩余产品 | +| 劳动过程 | 物质资料的生产过程,即具体劳动制造商品、生产使用价值的过程 | +| 价值增值过程 | 超过一定点(即补偿劳动力价值)而延长了的价值形成过程,即剩余价值的生产过程 | +| 价值形成过程 | 具体劳动转移生产资料的旧价值,以及抽象劳动形成劳动力的新价值的过程 | +| m:剩余价值 | 由劳动者的剩余劳动时间所创造的,超过劳动力价值的那部分价值 | +| 资本 | 能够带来剩余价值的价值 | +| c:不变资本 | 表现为生产资料形态的资本,在生产过程中价值量不变 | +| v:可变资本 | 表现为劳动力形态的资本,在生产过程中价值量增大 | +| m':剩余价值率 | m/v:剩余劳动时间/必要劳动时间 | +| M:剩余价值量 | m'·v | +| 绝对剩余价值生产 | 在必要劳动时间不变的条件下,延长工作日时间长度(或提高劳动强度) | +| 相对剩余价值生产 | 在工作日时间长度不变的条件下,缩短必要劳动时间 | +| 超额剩余价值 | 企业个别劳动生产率的提高,商品个别价值低于社会价值的差额 | +| 劳动力 | 人的劳动能力,是人的体力和脑力的总和 | +| 劳动 | 劳动力的使用,是人的活动 | +| 工资 | 劳动力价值或价格的转化形式 | +| 名义工资 | 货币工资,不反映实际生活状况 | +| 实际工资 | 用货币工资购买的生活资料的数量,反映实际生活状况 | +| 资本的技术构成 | 由生产技术水平所决定的生产资料和劳动力之间的比率 | +| 资本的价值构成 | 不变资本与可变资本之间的比率 | +| c:v:资本有机构成 | 由资本的技术构成所决定并反映技术构成变化的资本价值构成 | +| 资本积聚 | 通过剩余价值的资本化,即依靠自身的资本积累,实现个别资本总量的增大 | +| 资本集中 | 通过把已有的分散的小资本联合起来,实现个别资本总量的增大 | +| 相对过剩人口 | 劳动力供给超过资本对其需求 | +| 无产阶级的相对贫困化 | 无产阶级的工资收入在国民收入中所占的比重下降 | +| 无产阶级的绝对贫困化 | 在资本主义制度下,无产阶级的经济生活状况的绝对恶化 | +| 资本的原始积累 | 资本主义生产方式完全确立之前,通过暴力手段迫使生产者与生产资料相分离,把生产资料和财富迅速集中到资本家手中的历史过程 | +| 货币资本循环 | 以货币资本为出发点和回归点的资本运动:G—W…P…W'—G' | +| 生产资本循环 | 以生产资本为出发点和回归点的资本运动:P…W'—G',G—W…P | +| 商品资本循环 | 以商品资本为出发点和回归点的资本运动:W'—G',G—W…P…W' | +| 资本周转 | 连续不断、周而复始的资本循环过程 | +| 周转时间 | 资本周转一次所需要的时间,包括生产时间和流通时间 | +| 周转次数 | 在一定时间(通常一年)内,资本循环的次数 | +| 固定资本 | 以机器、设备、厂房、工具等劳动资料形态存在的生产资本 | +| 流动资本 | 以原材料、燃料、辅助材料等劳动对象形态和劳动力形态存在的生产资本 | +| 有形磨损 | 由于使用或自然力的作用,而引起固定资本的价值损耗 | +| 无形磨损 | 由于科技进步和劳动生产率的提高,而造成固定资本的价值贬值 | +| 折旧 | 按固定资本的磨损程度,以货币形式逐渐提取折旧费用进行价值补偿的办法 | +| 折旧费 | 根据固定资本的磨损程度,以货币形式每年提取的资本价值 | +| 折旧率 | 每年提取的折旧费与固定资本原价值的比率 | +| M':年剩余价值率 | M/v:一年内生产的剩余价值总量与预付可变资本的比率 | +| 价值补偿 | 社会产品价值的各个组成部分,从商品形式转化为货币形式 | +| 实物补偿 | 社会产品的各个组成部分转化为货币后,又转化为所需要的商品 | +| 社会生产第I部类 | 生产生产资料的部类 | +| 社会生产第II部类 | 生产生活资料的部类 | +| W:社会总产品 | C+V+M:不变资本+可变资本+剩余价值 | +| k:成本价格 | c+v:生产商品所耗费的不变资本与可变资本之和 | +| p:利润 | m:剩余价值在观念上的转化形式 | +| p':利润率 | m/(c+v):剩余价值与全部预付资本的比率 | +| k+p_bar:生产价格 | 商品的成本价格与平均利润之和 | +| 商业资本 | 产业资本中商品资本的独立化形态 | +| h:商业利润 | 商业资本通过商品的购销差价,按照社会平均利润率的要求,从产业资本那里分割到的一部分剩余价值的转化形式 | +| 借贷资本 | 借贷资本所有者为取得利息而暂时贷给职能资本所有者使用的货币资本 | +| 利息 | 货币所有者通过借贷关系,从智能资本那里获得的平均利润中的一部分 | +| 利息率 | 利息与借贷资本的比率 | +| 银行 | 专门经营货币资本业务的企业 | +| 银行资本 | 经营银行业务所能支配的货币资本 | +| 银行利润 | 由贷款利息大于存款利息的差额,在扣除银行业务费用之后的余额 | +| 股份资本 | 股份公司通过发行股票的方式筹集的企业资本 | +| 股份公司 | 根据法定程序,通过发行股票机子联合经营的企业 | +| 股票 | 股份资本所有权的证书,是其持有者向股份公司投资入股并有权取得股息收入的凭证 | +| 股息 | 股票持有者根据股票面额所分得的一部分企业利润 | +| 股票价格 | 股息/银行存款利息率:股息收入的资本化 | +| 地租 | 土地所有权在经济上的实现形式,土地所有者凭借土地所有权所获取的经济收入 | +| 资本主义地租 | 农业资本家为取得土地使用权而交付给土地所有者的,有农业工人创造的超过平均利润之上的那部分剩余价值 | +| 级差地租 | 租种肥沃程度、位置优劣不同的土地,所缴纳的数量有等级差别的地租 | +| 级差地租I | 等量资本投在肥沃程度或地理位置不同的土地上所产生的不同生产率,而形成的超额利润 | +| 级差地租II | 对同一土地连续追加投资所产生的不同生产率,而形成的超额利润 | +| 绝对地租 | 租种任何土地都必须缴纳的地租,由农产品的价值高于其生产价格的差额,所形成的超额利润的转化形式 | +| 土地价格 | 地租/银行存款利息率:地租收入的资本化 | From 70005002e32bfaa3ffcad0f53a4a816476ae8db8 Mon Sep 17 00:00:00 2001 From: Yishuai Li Date: Mon, 1 Jul 2019 19:21:47 -0400 Subject: [PATCH 34/55] Marxism: add theories and corollaries --- ...06\345\217\212\346\216\250\350\256\272.md" | 83 +++++++++++++++++++ 1 file changed, 83 insertions(+) create mode 100644 "\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\256\232\347\220\206\345\217\212\346\216\250\350\256\272.md" diff --git "a/\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\256\232\347\220\206\345\217\212\346\216\250\350\256\272.md" "b/\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\256\232\347\220\206\345\217\212\346\216\250\350\256\272.md" new file mode 100644 index 0000000..0c9de17 --- /dev/null +++ "b/\351\251\254\345\205\213\346\200\235\344\270\273\344\271\211\345\237\272\346\234\254\345\216\237\347\220\206\346\246\202\350\256\272/notes/\345\256\232\347\220\206\345\217\212\346\216\250\350\256\272.md" @@ -0,0 +1,83 @@ +# 《马克思主义基本原理概论》定理及推论 +![](https://i.creativecommons.org/l/by-nc-sa/4.0/80x15.png) PB12000332 李弈帅 + +本文档以[知识共享 署名-非商业性使用-相同方式共享 4.0 国际 许可协议](https://creativecommons.org/licenses/by-nc-sa/4.0/)授权。 + +- 物质的唯一特性:客观实在性,即存在于人的意识之外并为人的意识所反映 +- 物质和运动是不可分割的 +- 物质世界的运动是绝对的,静止是相对的 +- 物质、运动、时间、空间具有内在的统一性 +- 包括自然界和人类社会在内的整个世界,其真正统一性在于它的物质性 +- 人类之所以只有通过自己的实践活动才能认识、改造世界,就在与客观世界是独立与人的意识之外,不以人的意志为转移的 +- 人类之所以能够通过自己的实践活动认识、改造世界,就在与客观世界的运动变化是有规律的,而规律是可以被人类认识和利用的 +- 事物的相互联系,包含相互作用,而相互作用导致事物的运动、变化和发展 +- 新生事物不可战胜:本质上优越于旧事物,具有强大生命力 +- 矛盾的斗争性是无条件的绝对的,矛盾的同一性是有条件的相对的 +- 无条件的绝对的斗争性与有条件的相对的同一性相结合,构成事物的矛盾运动,推动事物的发展 +- 和谐并非否认矛盾,也不意味着矛盾双方的绝对同一,和谐也是在不断解决矛盾中实现的 +- 量变是质变的必要准备,质变是量变的必然结果,量变和质变相互渗透 +- 否定是事物的自我否定 +- 否定是事物发展的环节 +- 否定是新旧事物联系的环节 +- 辩证否定的实质是扬弃 +- 否定之否定规律揭示了事物发展的前进性与曲折性的统一 +- 主观辩证法是客观辩证法的反映 +- 客观辩证法采取外部必然性形式 +- 主观辩证法采取观念的、逻辑的形式,也成为概念辩证法 +- 辩证思维与现代科学思维,二者相互联系,相互补充,有着方法论上的共同性 +- 规律的客观性,决定人们不能蔑视规律,更不能创造和消灭规律 +- 人们在客观规律面前,并非是完全消极被动、无所作为的 +- 自然规律与社会规律都具有不以人的意识为转移的客观性 +- 社会发展是有规律的,这是不依人的意志为转移的 +- 社会规律只有通过人的活动才能实现 +- 社会规律是依存于人的活动中客观形成的关系,这是社会规律起作用的机制不同于自然规律的特殊性 +- 实践活动过程在主体的自觉意识支配下,既能动地变革着客观世界,也能动地改造着自身的实践能力 +- 理性认识依赖于感性认识,感性认识有待于发展和深化为理性认识,二者相互渗透,相互包含 +- 实践是感性认识和理性认识辩证统一的基础 +- 认识发展的总过程:实践、认识、再实践、再认识 +- 真理的客观性:真理的内容是客观的,检验真理的标准是客观的 +- 真理的辩证性:真理是绝对性与相对性的辩证统一;真理与谬误的对立统一;实践检验真理的绝对性与相对性;真理与价值的辩证统一 +- 真理的内容是客观的,但形式是主观的 +- 实践是检验真理的唯一标准 +- 承认真理的客观性,也就等于承认了客观真理 +- 任何真理,既具有客观性,同时又具有绝对性和相对性 +- 真理发展的规律:真理与谬误相比较而存在,相斗争而发展 +- 真理与谬误的根本区别:主观是否与客观相符合、相一致 +- 真理一定是符合逻辑的,但符合逻辑的不一定是真理 +- 逻辑证明是实践标准的必要补充 +- 任何成功的实践都必然是真理尺度和价值尺度的统一 +- 价值的四个特性:客观性、主体性、社会历史性、多维性 +- 价值评价的3个特点:以主客体的价值关系为认识对象;评价结果与评价主体有直接联系,依主体的特点而转移;评价结果正确与否依赖于相关的知识性认识 +- 社会存在决定社会意识 +- 社会意识对社会存在具有能动的反作用 +- 生产力与生产关系不可分割、相互联系 +- 生产力决定生产关系 +- 生产关系对生产力具有能动的反作用 +- 生产力与生产关系的相互作用,表现为二者的矛盾运动 +- 经济基础决定上层建筑,上层建筑对经济基础具有能动的反作用 +- 经济基础与上层建筑的相互作用,构成二者的矛盾运动,其规律:上层建筑一定要适合经济基础状况 +- 生产力是社会基本矛盾运动中最基本的动力因素,是人类社会发展和进步的最终决定力量 +- 社会基本矛盾特别是生产力和生产关系的矛盾,是“一切历史冲突的根源”,决定着社会中其他矛盾的存在和发展 +- 在阶级社会中,两对基本矛盾发展到一定程度时,必然会通过阶级斗争表现出来 +- 阶级斗争对阶级社会发展的推动作用,突出地表现在社会形态的更替中 +- 社会革命的根源在于社会基本矛盾的尖锐化 +- 货币与资本的根本区别:货币只是商品交换的媒介,而资本能够带来剩余价值 +- 商品流通公式:W—G—W(商品—货币—商品) +- 资本流通公式:G—W—G'(货币—商品—货币)G' = G + ΔG +- 商品价值的构成:w = c + v + m 或 W = C + V + M,其中 c:旧价值;(v + m):新价值;(c + v):预付资本 +- 劳动不是商品,它既没有价值,也没有价格 +- 资本积聚与资本集中的联系:资本积聚为资本集中创造条件;资本集中也必然加速资本积聚 +- 社会总产品实现的要求:两大部类所有的生产资料和生活资料,必须全部得到价值补偿与实物补偿,即能够“全部卖出”和“全部买回” +- 社会资本简单再生产的条件: + + 基本条件:I (v + m) = IIc:在简单再生产中,社会生产的两大部类之间存在的相互依存、互为条件的内在关系 + + 推论:I (c + v + m) = Ic + IIc:在简单生产中,第I部类生产资料的生产同两大部类对生产资料的需求必须保持平衡 + + 推论:II (c + v + m) = I (v + m) + II (v + m):在简单再生产中,第II部类生活资料的生产同两大部类对生活资料的需求必须保持平衡 +- 社会资本扩大再生产的前提条件:I (c + v + m) > Ic + IIc:有可追加的生产资料“余额” + + 推论:I (v + m) > IIc, + II (c + v + m) > I (v + m / x) + II (v + m / x):有可追加的生活资料“余额” + + 推论:II (c + m – m / x) > I (v + m / x):有可追加的劳动力“余额”(现实中存在) +- 扩大再生产的实现条件: + + 基本实现条件:I (v + Δv + m / x) = II (c + Δc):在扩大再生产中,社会生产的两大部类之间存在相互依存、互为条件的内在关系 + + 推论:I (c + v + m) = I (c + Δc) + II(c + Δc):在扩大再生产中,第I部类生产资料的生产同两大部类对生产资料的需求必须保持平衡 + + 推论:II (c + v + m) = I (v + Δv + m / x) + II (v + Δv + m / x):在扩大再生产中,第II部类生活资料的生产同两大部类对生活资料的需求必须保持平衡 +- 社会总产品的实现,客观上要求两大部类之间和两大部类内部的各个部门之间保持一定的比例关系,包括总量上的比例和结构上的比例 From ce1c992a4a8d9919cdffea0f988586a40cff089b Mon Sep 17 00:00:00 2001 From: zhubingge <43376597+zhubingge@users.noreply.github.com> Date: Sat, 9 Nov 2019 15:36:09 +0800 Subject: [PATCH 35/55] Update README.md --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index e4ad47d..72d732f 100644 --- a/README.md +++ b/README.md @@ -91,5 +91,5 @@ course 欢迎大家的参与与贡献^_^ * 仅接受学生原创的或者获得授权的资源 * github 上不能直接上传大于 100mb 的文件。对于超过 100 mb 的文件,可以存在网盘,然后在 README 文件中贴上链接 -* 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改. +* 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改。 From 3610832dc368d9bf630c406879cfc0f5bae45d3a Mon Sep 17 00:00:00 2001 From: BwZhang <36587493+ForeverFancy@users.noreply.github.com> Date: Mon, 27 Jan 2020 05:32:46 -0600 Subject: [PATCH 36/55] Add NLP notes. --- ...345\244\215\344\271\240A4\347\272\270.docx" | Bin 0 -> 227186 bytes ...\345\244\215\344\271\240A4\347\272\270.pdf" | Bin 0 -> 676717 bytes 2 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 "\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206/notes/\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206\346\234\237\346\234\253\345\244\215\344\271\240A4\347\272\270.docx" create mode 100644 "\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206/notes/\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206\346\234\237\346\234\253\345\244\215\344\271\240A4\347\272\270.pdf" diff --git "a/\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206/notes/\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206\346\234\237\346\234\253\345\244\215\344\271\240A4\347\272\270.docx" "b/\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206/notes/\350\207\252\347\204\266\350\257\255\350\250\200\345\244\204\347\220\206\346\234\237\346\234\253\345\244\215\344\271\240A4\347\272\270.docx" new file mode 100644 index 0000000000000000000000000000000000000000..c878564256c67e7f72716bd910bdcdc173f9701c GIT binary patch literal 227186 zcmeEs(|2c06lH8%9ox3;PRF)w+qRwjV%tf_>Dabyd-BbihnY2h!pwcR>#lXrL)EF; z=hWV{6=Xoc(10L-pn!mYh=72+a@oUyfq=9ifPheepg^=l>};J)Y@PL#J?u@Kbm-k} ztO*OjKq&KpKz`!?|MUNF2AWc&tOgj7Lasx;AOThpDGv(L5lJFxM!4oM-PCt0$cv$@ zt6x468nRSU+L;H2b*5WhdBc)dh-upnMj&rz_W3w=Jft`y~uw=&~6_zt6pEiTz{$lJ1O0#R{}0^%htc}KO}#3y&vJkfPVhSDGibK^&srZAK%T*9 zW*uu45=u)-7Il5aa{23%cVz`c=hQ%Ad~QBz#?vWV++`*&e!bBsiaYAh&913hvY2O{AmJbkhk^^jWh>97>mf|zQ0!HBdG zkn5nb{G9H~lApn}xMV4*Afh;@0unGp}rfc@h6Y#fmC?bRwx&S(Y;oaL*8%6W$IPwTvfc=_Cqe*Yq4mVpz z=>DgT{onXFtKlghvjhV2-T?(d{z;0Poue^>v7M2N%}Q{VMBC^BmgC&Ogl{Xesupa?5_f z?Y<1Y<4k-7!7Hq~iKrwr6et8vXDzw-tfUPgTt!M`DH8`oJ*8=IX(i&6hHP&^i+ZPkUk_=c3lHYm6R>0UA}vwN;a&arn$^5*8u!ud74+-mIbSYv zoNfORvUbrQ*_~!vxVE_T4C5tsOCgRy|8%q&g?oLA03mm@$B+A)U-T2sQ{X+pi=-;d z_aTy}5)W;Rr@seiM~o7y{p@-??q*9<3zVbw2&X-kWY5!%@OdMKU-Q_Wsx)^aJVgTj zOh{n-dkd%^Z<|=|^aOcD^b4Mk5^Z3@U=FtE2FhNpib|ipS}EWFM_(Fer{j}bjC&bk zk31i;OJhcUP)&!$x+de_bGL-Zr}%ctThZaYec9jZGB!V-Y99yIC%ayaw#b$SMKw+o z;zuH<(~4Havf!;N7Cmj~(EhC&pkAnd3b;r??8T5fdNVXO_A||Spe4d;WyfAGtIMwY zaExr8J^btPe-Lt89j~4?ilYn;3xnJ`@Lr>Aw@vK)2w|@4=%WVY53jU?(|BnmI_Y47 zd!h_pkWcSjLDBj|fCY@vCaLUt3G|*tczrm!H)o#^UaUFa$F}=Gm%D*)7-8+4jjx># zaN(^A%K#C$W`)O7ejdnQv4xc#nXqo5fwNHVXB7falFuF_effb3RP*c&;i zB~RoP7YhXv(>nkg_x=O8 z7Y&WF4PFTc{7qq%kC#y-YKLFZ{UdjCncnt<7jlNmT6Bs}m?Nj(FO?R75KS`NF3UuN z9N!{;`1bvXq+lo2Wy!>_wkP4_W(>VUfxl@X6dpKg+kF~Yh^EN9AdvPxv&w_r`qUczEKVivXvict(%$H-H9#lNo|Cw!zzyB_Yj;nu=r|rI%R`(X0KzU=K1HH6l@<66iO2ijjA-S zn$oT>G-zO+{bf@#;wXr2;Y=Vrd8RP$pNRwm@jv&EK@a2zBWe+xXEu*Zp2B^X0VfyI?S}kvA-Hp|; za*%BPVk&gHa2;N?5YWgWp&$<~0Pa;z47!!kv$I=N^7u98iZ-^ez?msdB-SYa8cF;| zkD)Crn?%y_%^zMQUg6|hi5t(^N^b@8Hl+4_ZMr!_+~ed9niyimu;;3Bb+)IAI?h_g zi6>tzU{7$WdThVl-QTxq-{YGL>CsMP7r)ISKwP3-S2_PaImpSB7l@ z^B#e>549SQDMs2!ho%tIwe=3~d@rw!0^7qr!Ls+vT1cNqUxKqZUu5S1bX4=!?nzvz zU|a3BD_F=bpE2+@j)b8b*c$}9Vr^HA zGq^C%=@z#zkk4+R2X6PH{=;!(y$8I38(upT;2@aVBj3W%$jr~i3Jpd`_uAlYAGJlg zc{IC%diZY*j{!Fp7$a7FPVh;VK$!7M zC(pnRKN`k0c1Ybt3WLzGnB)68n{{;|{G|RrmqpyJJtv^gjb9=U3C2t>_vtNwqgI_>BIj7?Q@Sep@&6_tdKaL{oFQBS_t{6GzwEv1X=w1ko zHAlU4fc^AJ1(5s?`mb$vS$ekUId^)Y_R#2o4BnYhCVfS`Um%T~{70i4a$W0_&zn;A z_)34d()eYT^6JlM*fd4Cexmlk4;%S`jRkP*T-_gYi(PLy3l)K;phJiWQ3b9S5GKDX zD_jVQ3Tl(g)-kO4p!;^ioxI~nB6w2xi5Ltcf8MBx&Zca!a4>{52!Adh*?%#TC@Br|} zP2()amLW;t1jU{=EsIY$FU?}#Alth|dr7zx>P=Mx5~d6pHW9z6B4nzu;YDg)4+!g^ zT7mtx3S)MScicko!$rZvK2MR=?(pE)<-?aVw+p7YpS1vL9)hXiw5IG*aS+h}VOV8~ zU)49{LuxH12L&z@@bNEeQmJ;d$Hz=b(Ipzn%ORj&2>RDs3x{^*Qq1W^sl_UUKw+mk zZDJA5KgB?VG4jAMACi{W0Cd%Eo06wRr-w$LDAA`u9_fovJ=PmE4ed}T@6{|iZJb2G zZ2hF=`*XczIww{04`bd*g%|T)P;PqJ??x7MEZTkNl_tn6U0X;I%-vi+vGT7+-CJH$ zus(h?GyN`4)UCmxdX4;*9zqGF2kje2psIq;f_x7ByI@d~aQrKnq(%j!zfuM8z(pNW zDDDHeZLSsYU*KmeqHh&PCNdV5`Jl<<^NN||G;)CnVlk?jdrtum_Q+*u98x)ZujdIb1xm$%s7aO^~hI%~|}^Vl_>Z5Q%o zSP8ZHCL)@JbSiA^jFn>M>v;e$MtD%d`{I`h=yvT1Tl;Y#Sr|GJ1t^IxE+i3`=SDk2 zfIlb~z6Z9l)7>enHpm4*5hkMw>=!U?m0pfuIT8)x38JKW;XGUv!UZs{O5zOy2O{#L z5L^`A?1khvgaeA_J__q@AIjdJ!VD0v{vzYcCO3mOC-nEx;kHSn_xKG(ibVtqJ1|y- zj7`VIC{scq>(Fx0fce*}b0!i2!A)}Gxl(|alj4B%u2IIm1pyNQxo%ljl7RUeP~ifc z@n?~M4*jY_#l68`{=!c zSh6jh!w-PFKNa*`owR=Co-8^=LeO-@f96;fxBY2Gp|LIExs5=45^mvw4g@6yh{mHcbL+-EUqy@GN$92b`cwB4YXKmi&3N%uzN z@G4cE;~9OBhtp0#g}wQJPzR%@#V{zhNhAs4b}R3Fqwf)!daH@JXejXjmYy`sY-AsX zu@N^cXjM5x*=hH`X6DsScrW*^p2t6?b6tyMdyu(wps|63 z4c0a$oW6a#bl}P2*rLfe@StI^N~B?T7$+6DC2y}bNu(hz9w%+pw81l*Mi5JFwU?CD zB5$u)EnyiwHtIhrYT_Uv*ht!Dkffn<@3r-n%kE-;>kdx+^PzEc+wgO&%(29Tq?qpn zoaXSXHr8i7M{ehgbA{*qi|BjUGBu#bGO;t{YiuK<2n~7pT^DlN_Tr4+<6@_mp6P#E z_KxUp;EfNri*IC8$Gq-EDtnj714^q=$cTq{3wh`54R(W9gO;oXzZ+hefCnAoljdX4 z=0DyD9)@6IqJsg7>eOegUdb?6Z9%z>3n^CikPGc|7-Ct3`KhRT29ERe-lDspn>VNZ zBu~Y>CD}?KOF?gEr?zr!6BHvPUBexS{Afa3*bPL%5e)igoOmAaA>`Hx*!8c+a>fHb z{TKAe25I(D+C#G^7Z!*us`Q$5DUx&uQ`M8HmWtlQS!x!r8sV+dQLaxOqA{g(mE%~Zo z<&cE!kHHpW3?z$`>WO&dgHtk?&4Wgfd(5zVb9QmS*A-AokFAq{)%G>2Ln}M1@bCi7 zC4;VqqAC@Bq#5mMH6N^C6h)p*82##s)#Zf`9*>uOUPW_bshwHvgrxQ51rfUH z`xHKWX$5zjodG5#P3utU{r9|Ii+O-zHHkPyDyQgI8Vs;f`%Mo0S+kFT_Yo;33nLx%EsRo*;srv(@NNCIAY{E)(uN~R$&Hh*M`uH&2<+cP zaDNA{9#IMBFmJ?s;IIBQgvmkAy6>*v$6QOILzGOjC4AL{dk(Uvjd5rr^w@jr5;^Hv z%vk+;nAjy;)kzLvo{6I8_e}F>f>(lN*uY4n!Gzig1bvpOYqeHnVfh!LagB%%MIto- zU2TPjDoFT}!7ZlD21o}28T#BPI3?M4*FxuS*c+LANJyzepsn$gqq-xxs0xP#r5vtP z^b;|wxD3LRhJ}?_fQGR!+uk=D4i17XA_s{Fo=De&i#Qk?$L?T~*~#MI7-K#1K;={9sYmADtAzR&(dh|L+?J{7)1|0XB` zMPYL4ZiEcddEjtop|(CniB7{DBFDbtcJ8Je2lVpAYD2p=#1MY`@!_lP2SWHgz%6l{ z-Bj|{y~OUTi$q%UMj>p-)ZCKLRq_dk7L7+F@N3Z!W zPY8^Fy0CedxnPlZnG0-6)O2!L>kMOxRJw#3c*tdCANr&-eV_L{@>_{+?Mi9~5jN5k z=)|vr%k}S%uy5AS>EDZ;=_O;vtD=#?;@V{bSf2-4g2&}{pLwp%fIQB0dEDtv>O8zY z*Gmh{usB#qO1FB(FhI;+`B7DQ;Qml?<(57p8tsU~U2Obla2Gdi^mM)_0s4jlM&w}| zbH<63J_-Li_XOL>NqiHYFKv_6MRWR z+^!g5A_u%_M^(PQa@GMg?7XqEeWi?xtm>DAq+fZ`BCD3*WoNQ{06rWo;0no@MdbW3 z1$nnG1xOFn{okBc!W8z}??OXSg}P~3(uSU9!k%rR)_lhE2Ky3FNT2WQ2fUV)tNx~V z?r|xuZSa#NOiL^BekmL`0z;V-kI!z{e@8hC#Grh)p9J2z`A)o$@iSG~5VAIg`m2Ap z4k0An6!K6UC|n;^PXJuhfo~@ze^~HvYViC154ZJpS8zwhX#Vz)((NWAi0xg(;qK{9 z8l+3G#Gd$(u&(WeifVOp#`ktNJG`ci_RFU}uz0C(mxE3h-tS4hcAQ6Nm=b|5`JO?- zp>h}ZYf9Uk7E=J>g4L}xis+IH|GqUN?|yMCDbt~k6g%f7@KUO!kGUs+vCQ4U@!}-= zd--v$L3dCIT*Mf05J(H{YQlzit?};KQ*JypWBNe^x!k)V8sX8jLL2{~c#IA7tGM2&=)C?oIJFrr}|V;&}`p_g!iG^uf7 zg=mQ+6m+aKTocyC)A(+5g=n*voe|F%z7nkN)QE?H>nVeSJW=}1T*mQSFSg#_c8Q#3m)4C7SymIeeF${hUW&PaBd}T* z>dJSv((dK0B&2!$y$Z%#gF;uz_z@w%hE?MHC+dP-6}qiq^`gV5hW0F-M&@Z!bz%`Z zuDwu;Ni9XM950GtTPXo@mbez8 z=cns|z~+6HI~4aXBnjZz?pZDa<^=g}*=vFd;`d;VdH-Z$URE0mSP8B&Ku!!uWBZFd zc4PbWD!|*7>PZG+9!i1gfATgr{Z~r88Q(Nw&fY=T0N?v32@#D*$H{bq5VO;{*E{cLM9iVP#fvI<+Q!l)8NLlsv#jL?z_*&#WWDn3j-n_Y9*vj&)9j%lWo zCQ!3cKHbqLRRTBj9M=~~T02g2%@S8^rOt^PmdS;hF>jYZ13NbRO5S^Ol6&tKVgDj| z(y@VZs`ygdGSWho=tIA1;KY##HWis62bg%)NXRxpe1J-zHz)Cy23pA;XaA_UM5NvI zzlhU`4Mtk??t1!Sh+a1fTM75SM0kU{nJiyeDlPKYvQP}q4(Yc!tkbyag6;i#HN`aW zd*0PTadbMXq(X5Nf7GbgPN5yu!W*Gax@fPTZf6k26lzhI!U0&hiwV0Wu@*-1x;U7w zt%GPSjLZ)<1N$rRhC%W-!H-Ux3R09rMqIT9n#b3p1R?fE+Lg5lo4~Zqp1cMcTdX1r3Pqi5S zMJDOU(x8;>-C=o~kNrL6_hgo15$nP6yHhTj;N(T!t=okce)T|kotTunfORCwHkc6> zc}^XMrgfgj=sR+=hL({NAS)6X8S-&3C?Egu70uU4ZYw9_C3X5lPtB4kzf~N3sCGkn zlq8g#$IHS2mlVUmTYTpsIq&s*u(UP!P{o2S6}#_9wV}Q$q*{A0wCQi5ZkZ^?;afc6 z@$aSMT2ApQuB)%e))*{obw9CgM!+#Yg`mT}(->09*+o9*8TX z9KoRyMI4YLcz_geSa67GPI7@(@;*8W1PFayF~#?+SkC8$M01V}Pi=N&1ymT&A5ZHF zLjr~Q9A|a}W?Oi(soS>g)S6wG>EVp}bhYY3t*a7d#f*?|CU*>5_5d@XAYcV{e$xWZ zZS?}mOVs(HLXqMs&BU4DvjRZ&_cYkM9F}L=md1!WM`(nMj6!T&evw<^$;7(c?PKDD zG16kkD1MMvH>tecUbItdSiK^|)!f;g^j`cw9oidd41ujXX-hhx<6Kk2dPJ|Y7oE?? z`*48rUVbeY^m2OZyXMKAiOyik(kzRhTAc5S7je}FM&4AE(|SgpDNEyZFX2RL!H0)b zHh~x@isj=ZN10pj3%TrKt6%GlLHfJ*Wo-P4n7kpHLfY1PGDqY-wbuT>YzJ0eQu!^& z{S(;4Io#;Cejg(DCPuVD(%-Ct@_A5M1B~9jmUvJ(-ZwzfCTGA%T2vmC!>Yj5!q$io zOmuL@;^Z?0+UZq(&cuy3ygcm_mrx>F1W!lIBqTR=R|{QDpW18gq_%QV07${Ip;vWJ zJB(%^r#A}xz=S_7Zx(bPG+LD@oFhm&9n#?-`S+mk(dc66U2&7rWK1KY>^vq_t316&urG221a4}rQTpUew6 zof`xf?e=`pS3p^JabNg)R~R{+Yo!j0)=uBy2fKH@7(0f+A=68M|>uwP{?0L!F>PjAHEp((qHK5NAUYh6bvz$%{-bL98a9< ztj#jgA>j{qO0Z$GrV(5e&%)&-?G*PKksYky)#3|M!FNMsY_{ENwShOv6rzBZ5sgVP zylxaIUha@AVGb&BsUytUjJWiyP-6B6Gb@VsILT%i|0~)2fRuo`bXkh)vC1If52kE2 zGgq3y;O2!O=(B>%E@ZmL8Bz}t`9jZsrqK#bAT4#yn}ZV5pSP8@kygfTNOg)i_^FP^ z*V*x!Ls3!&##k;ej7L8K2SP5?QHeg{ZRD&bh-|31Vy|wG`o{gk6pSakrn7r8b;=)b zw=nro^gcTBxmL(q70cydUUmgXVcgtzMHF+O7_4x*E0N$%H{*MfAx>f?opbGUd_` z&4R5x-(KqKSQLWw!&3WtxmV=FzW&ith^*qhg7L$%Vl{-H;JBNncs6Jl?3p@B9bs=B z=3^<(M4FdVY)#dNCVLiIS5zy`DZUy* z`tD|JwvhsrKE*@e*+cHsZT4yh7W0&JY+9M-r+F|JL-N@~t(qUiv%w@y$-=At<60fn z$iXL5E;H5Wb_edPb6j&Dv$+UptC}Z~sLf7CI@TK>&GYAq{Z+>Or*cxn1mW_<`~GoG zO{?eCvj9b^!j|&b3Z8~jzN%c4I#$I7A;{f-{YSwco9c*GhH&6wO5a*X`#Bg5qrffk zxswitIhlCZ0V{}GQKv`Q`r#Cqu;S}vu5575=XJ)zC#y_nmXGugqk25H#H*tvCJArY zi`K&_O@SZ%k|ub<(8}!T%j~HbBc_wv#zQ8iP?@joiO<~>uJ|RfjgTw8VTw&6t zq0E?*?^IkYp;)2GfFlR4&QPe5flu13785$nsd3aoo+%FHxhra9A;&7mHOp%^_^(%v z6+hF>zN#J-o*RBa)GB-u*ufK5g$k40Za_p1mf?Q!*nsSQR%bD|U^&v1n@*KR4n*y; z9&ASXI@WWZM~W2_O&l>msv34f&>SH1)5qzj3|0%8L#m{gHR`mo$tb)^13HI_z3Ha8 z8(pKQhD}L2v@R~3-8?Dr6{X5ZJ$l_T+{GL#LzV;P|{2pqL5ZpeEChnk^W}$wNy4MYx8K2|SsLP%B(X^^G}!PCbXgQKda2?iV;ide+-w zWwn!UQWW)yy9;yHTgY_ZwD(OGeaX`7yDH2eyXdI6ikPb}NQtf-uWizoX0K5Q>6YpvL|Q__59@C?aEvVRRbIvh5F9Bt!=?7C0r@RN z?BiYlf0yji1craNOVXs`YI9!uD!C=%0KOd*GQ1x^}HYpBeGr-V=NkZ*k z=pH*3ER$avAYuXdTJM=y$yx;UHy>U0xDMc6SvY~!1owZPEH+5}jZg}R=_cW1>FwGP zaY$Yk#majY zZy@X*`U(sQ0ik=s=eNKss zwsnK^ZAtP0hRHmU+p~Ksk$How1-n`+YZZHLr3{E~S31-%-)1uRjjhG8LXPc03uO)p zIwH4*lEf5OD02884cDQSgP8n4hT|;*-Kw=kEsJTGVhd>j0-Xsbf~h#?&*#x%)-G1| zBLRWb$z;K1QNZG`@b}75Tn#D(6J3~clJhuXg)I}_4nz50%ahpHz`*M@uaoSYdM-HU z47HmTo{i{98x{ob5EMELifaQn*^U_I0B8WJiOyckzXT ztI89n*HgFZQyXe7U#!Z?%ou)Y4xhZ&NAp+|(U6Lm2h*g~l9-Zen(5J^_>d#V=By-o z6dWVMad5fA?JQ5Rwi|N}UMdHB$bdn1hUq>hqFsIxLiLtC7Lwk9@(nDAMH7z&@y$UD zsD&Q@N-0vig-!Lp@HaE*&(QGr-b z9?b;aA{N4^H1H?i@0ZR~kl*p5IxD|rHF{I%OFs94en(F&UgblAh4%(@P@IZnH+;$; zzfn?aPjgwD^>>We<3=k^HpfKhbhdjhDx~MSkY}uc*GtL*Tga~K`W>p+`aR~^M~jY~Mryk?q`3ntvtEQc zF5r6I&;+YSdb>5J*~z)Z5!C8fBWlhC-Fq9(s=CG+CRpL%wZzG;MSGiK<8cyrHBz+u z&!Ii40xyi3X0E->@3tp%YmffQr{GF*pDgrrF|j0-%Ou~SJ9-WMiqnQKQn;5pdKVG0 zKLer^C~VAsm-dqW7pte{w?IR*Kb3+)_=I`K#OHu5p(2hi>dfl@$n@r<`RXG5aSw@D z^=DzN)hDRw?Zn$_P;p3@qJq=k8p7Va2iJ8`*K9zzD?_H&1y(`;MF7i0C*i1+r>`L~ zX6X+kC+L=(E%U5HjFZa`A>ldg-3P~OOI>e_@(vH@w2Eit&Zf$VE#cU_rC0bhb=y?T zh7K&=tGJXc@jLFbI9mTZB^$~(Y5&@q-+lwxB;WN@;n)6MsvE9!KgYfm?sUU@ND9)( zYT%}F457|}Sj9t}{zIeYyt3{>xl?q}A;F;xN%*j3uLp}3p_8*)BEv1G`kB{ET5?<~ zhb%`oE%f)MXo))@0;FP%at*O@HAd+!cV2TFt*F?zVS>nDd5 zoY}4CEsZ#J&|51XaPKYuGUfR+9&+&S`Wp2da;O?h$8D>7k+y6MKX$}4Hc~}U>4Xc= zXLeW8n;5v7XQ`G)LWH%5xhyo8Ge&NkgR^HST?y;8vg;h&GC-midgIVJa~JyKMDJ02 zDQz#R$^qu(=*Zru0z{3w4UFBIVt|sNXNu+jdVW!yY(K7A*1J#^qS_(e!c42+|+%6cN@b=KoJX|o5)pDV%V$n)r@1gE^+cB_`xA~X7_L5wO<5clP zdgiK0g`2_05skgAST~~5XPHB2mF{cv;lbP-XjA>$g}J7gzxuD1R_?K7hBnWL{E}Kb(m8@3gv9}^H_22BD$JN#FzNv6+S(9yg=a8s zhrI!q)U-}KnzAoz$p@w;r^82LVbt_FNuX6)g= zPCx#BA4xaEFGcfXh4ca;(GS}~pVQ2}biF*qGCs)v_yp@! z$a2m^3=W?x9ML4?bDmsxGk-iCSxROJ6({Zf)1+Hc=KxEt^BL}0c^=A|d6=-YH&L(K zUrN85&W%k=Gt)@1Fk!ZDLcJL2jC6+&XozA;>i!c_p^T;vTCayf(k54C*=9@Vz?&PS zIz^aCQ1>RtBYDJu`{wrIGFDp&o^lC41J0SWOJ_=A1H##AR0_P#v{$@BncC@a>UwBfPJ0UBEigmRG#6}ihU}c zV|$Vst_K&H14m*rFt?O`z40H<+{)j)t%%b}yz7R$9NS6KTfFHTTQ5LN-WnGl0cxsR z@osAdfgWrYV!$me?RT<=eZIV-GhpSb$v!$tp^JlB3PKj`bT{h)gt8?p$j0f8K2`x? z_gxTY*dMRRO_zwbC@jj*8z!0`4}x`w=fW5zTG(SF3*0a~m2PgM#r?UMS#7{q>iSYD z=-R%F(*|SAmMl>Ea9>5^Jq~G;oA8jnAXo*gPv$>5lT_74<;mXdC6V4?h4R=B>O$n~ zRIaCT+*Eaj*FJkE^!r`5eYZ=*ve4ZV0>E~!SO+V1 zLnSeUxBxNGGgOa4LiP{0adubZZRsLGHZX^a42}7JkBaVs@B*>V)N%_%UQiiW%VF22 z#NV`0>dT@C32SOY`T)lZO7Q@@_rkp{p&C%vj1Qf*{9*k8;k?{Edj~LjCNwma0-$E? zVZ426I!1x)?hN*}+%uH{1s2kzz=D|yd@$ojR9S;!_IEeIw=vG0wv6`B`B=6X~YXyBc~H!1BQr%LvBXe)hBxMr+vke)iG!~6HW1q)R)S$zEvm_>K@(15y+xb z8%9@ql58_NSHnI$^_)pB+O8~xxw1(}K|dgyn4sg9`RI_DK(n(N?>boW5Iv*&{sS9E zst+8k_^qPgQn&bi3?-SnPP#TAsVU%zxlVm1W{BLhM4G++LW_@Dg`hMSPN_rbzLG;n zFY`4abAH*2!YPP;GFtMX*JWu1A=ij7kwBv=3=V9^1W@pCt;*sgViGG)NP3|+>Z;_+ z=#<6;B7_)72McxYH+juX*=thRQL*J)0Qd^Y;M6tDbYA}p+7L>D8ss8Xhm)V>!r6%D zSFN({EUjXu%NIj=yb|J+_{8^|C3SZk9nn$!?UZ~^4pfPj^TU?M?2UHs5tlAW$4rn~l8qCywAzFZ%!{=vHnAN=M%7JETgK^26tT zkpVXKGZ+>qr(MEasgj}q^!Y*YFsB8hV~;RMZq~)A**%_-DXvU@b)=;zj%($r7RIU| z@&U_z?}QX$c0AT9b2*U2u6nAA-y&v{S2+_TZM%eaq(c%`cV|8x4`yWjt60srVD_n!udI&=)J~T$+K5N( z?^%r~`u&Jrfouiny3mqRTKc35P#7;P!37IrNtxJH_hszp14pqUTo{`XD0mIV>_b?f z5jY(lVsE~RUz{0HSuzXb^qW*1TUx}mVgT^CDw4iUDr)p4I4`qK+rd8kr5x^bg~a8V z{fuDff@EpPX7$X*Rs7C{SBC#JC*59EDZ=n}Z%&YBh+KO4R!POKh6&sF^KLW8rFO7WHQkN31GB>G#N36?6Xo9R zR-If=&X#Z1stWCv*77k96%H@cZsDXyfL>vlWe{|uj5P&!Nl{@d*DL{3-Htm9;&IDk z(fKjR?9?(qT;GhJ;lA2%VSgj?3D}=q%VM`cxrZ~qMUwS5i_>Duxv7)HKv!wR=3`(GiMDp>u{thq}b3aUUd!xSVodP9<-uT-_Og)r5Y(1&qs1I|w zWWBO0X}rK~itkJZI`g=);=_YWdG{@0=VA5T)PLrjY=u9Ji6H4=rE|7{`HfxzE7D8R zESy_>##F2^4;@UR}UaFIx(`e3BK6$KwSpqVjv5&XLAi#3E>xHAqZ@=HJ)Epa?Wv`kaMoZBp0d5{&$Pw3tvlpDV6@{Ht2YqP!U{nI$30OFfQS@1 zAW_;W?Q~;AbaDhwRe#t4x1k~wW|KCL>tmUl(C-I@`MBh0chRo3`@1m<56|e>L7{eq zwR|o7n3=?fiXm>};!(YtuAr`o1|5w8g5ZBTA_-;EO)0C8r4={T3kHF}P&uEUxi%J= zH9~YAEDG3hsl-Hmc)>kXA#V)*<8({x!-ck38gic?bXyh*UBUA26je1@L@eWiJG}dv z#3mRj$>3Ba2u9fe&W_l7d%@8975%;kU=NGHb!8UhYhf*+nGqElS6F~#J%m<-vaTLg z?anMZs+K+pPj19~#fWcN%)sZyNhP za7xo%=8){H{)v&-G|r>ra0lNDLR>}xS|IaRKLtBTZ%Buis^|A($BR(_7C1%%t791v z_v{KwffIY`;u5yY9zpU}wd8N)ETd&nS;h%Qzrr;O_|qRmp@SGF$*or$=&mO)3u-t#Xs4}GJ{5bwlPAwxwWi{@ zMA8Ob>Vzz6vP8YTLHnWSCX-)qecazKTQmT15-+E)EB<7KE_qcVdmR8+d zE!K5ze`}Uw7&T<`uJU0v`?Nz8J*;g}QPH8Hp?Vu)uNMr=zQ|Mc(T5TCn6M&BK=&K( z^2+YQ#Sqr@4z_P@ZqNPWv$n8tXl|H+*OoK#=G^}V*KZT` zBWlp9bMeT2b%Q)$$V%{8sd2PgNV_aoD^ldm;n`pOEhJ5pVxzjIcJ zx^GHjGE6E+7ndzz<=1R#TeO`4z-gsDFBD&}w;ZkJZm_CYq2+s~8XjVYn1SfxMjaX^ zCFKKQnOS0$odNhZyP)D%U}N`xpW`6EvEJ?gfa>9&LH(XNwM-iDT&7ydjA#3cbdjpM zBZa}6?Bxa4COEBr{qcs07e2Xm5)2uyLEHK=lT5SSf6#vOv3k{E(*8Ll(%N~s^mtBx zE>gd^*KuTydTC#~x@+Cswp)4M=Mplnsa2CTK2}lFs%ht4g<<&74HlmY*o{)n0Fz?#XXZH z@`>fdF*w!imGirftev$Q0c5`>C)q2@=0M8~sFl)#U}>kumOa82{5&vg=kx1o>06bY zwFve`OtG%{c1Yi1T!T=L)A3&>hfGQjm+U5|R6j5~5{P-jp}1vk-)?Kyv+1ApL?tTy z3H*C!z~vRjYiBe(m3>4{;hU!g`oBLSz;b0jV$YGy&l^6&+<#k3r5IIXP?V(8AU11k z3an=e2JAPG-v-|4_{iMJC>^S=$w;MA^r*Baeyru0-zKIXtw*=~&2HP@uBxys<1igb zYvE=oS}kEOes1CRSs^BQpUf$$2t%{tIN_#t!VOhIyVkmhgJDf}j}zc$i%Z>!k}LQ7 zG~N*+!ATroDHn5tu~0i)F>0!9JMtcL zvA?Bl9hMbVN$0{jTbjB^I%c+uP*|0;JPF6N2e3JA36e=HYR18z%!cxC!dpBHIN7ib zjdm1IRZ3SIX{LQwI(<22^I7Q%SyIe-GP6f~zu6~ov}!VhH!i~khD350ZOvOC*)5m4 z0e`4OytU*+Gyp)bW9Q!Y77_aswj(MUQ61IQ{bgo#R#twOOQ*6nGDk(_XWbRc9+f4-G@Ms;Z5MeT z1zXc}D?CoCRRwl(pD!+0VR;*R+^j0?b9j|pQy1%WZ&H{vG&Cx`>2F}GgD)082>^@R>hiAf5*`%*GH2)G;LUusjH!t}Y zikwq-8Uuvezk=65M*Mik`4`+nm&d0Jg-dHxb%vSQju+r(W4FM4qy5SO_Z`F zi|A&>W8^#xrEnDOGHIma@Dx(No1RQqwi{1C633r&2RkoO^r$ca@?<#~lis=_Jr}P{!SG})yVuqH<_BNVA7 za*aN6cjXKAdZtWjF)Jn}Y|&Ng6>wLFuz4p0-8zSWI+IYU{{=Q=9wkR7k09t%VMm{a zd||O`>*&hailqujLM@p^^VHyzu8pB`Qgb|0Xt@othB69JVX!GBeFg1V`ln(5W=~MT z3Zl&Egq>ZTc2f@8H~VL=G`K&mS5}wTlrxcL#j8@&kbE(ZH?cnHW=`z4Yap~YAAOF> zx&EvyZLgEEnk=7>XL#FKpBo;fEUPX3BL;jv?V^PLto+;9&g*F$x`9?&=^W$IsinqQ z(t86VHh>?$O|DKvL{*na059^Ab2ejb1Kcqqrkn?ueEW?jE&3vuaX4#z{g6t?Lm&@U z)at0OfNI{<(WtEOYXj%$$EbIaX%cBVP9&o3Wi2+0Ybv@85VrpczDY%uos@T&k3Tdt z=$|xRi=4|d-zPYK93rg0DdHn=tuLwj!G6r2wJ%FVWT@g&U7sbt>xv2W4gx2bad7GT zD4FQ!9H5;S3lkp{aiQJNV-6~0)kl}kj~(a6hr7waA4|y*!KHf4r`rDM1g3V%?9^%M zl+qf~8%E6s#I6O zH76Z6Cx1Y6P#+Bo%IQ{{>nyFvP-bqdig`@#$-R&?$|p-KDFurn-Z~1a!UhvzBOB@< z`M12JqpM>8|FT=AcIl#jRi~ynxiV#3;FZ!io{h zUMgb?7#iE%#E7P2C$ewmCc&OoE(rmJCHd(1pkS8RLW!0%Ec%d3+loB(H8WYnllJ0s zh!&GEzdx^Q6W$Bw1`^?}D4BzG3;g0|aIUIrKVHn#)6`GjiNhg$|Z<4>pbG+@qm~Ah__>Bm{asH{oh^c4Ij+(I8~$7VCI5U z%jzIu_7swTa8!P~{w1z-!#GN?ke9A5{rpRHa4GH&ev9U%O{Pj&y_RN9n-#73%ix?R z>nm~@5uNPho}U*zaVVL}`Kyncu_e{8Jm)`^{F>*F+&6fazu7UPF8%8Z*A9_0#$UUJ zj`}OBb|H@BQ?6dL7@rqO*F_tLZ@2msoHxh1h_3y@KjfTRQWrQY&#J~rH`F$zSFK3# z+>NdQhl@0>j;hlbLVb_*8WGm6HzPeiHHonqfJ9CynktIgwD=+S-#1INKbmDoQf&$KuSCD1HJ< zsp>40XI!P5WL|?|-PZU0eczo?3Lqhsw+B);kR96Dgk&bKx^4J0oNU!sMq|Q^IQTY3RCzo8NmY0^uQ$S24CsRf)iaCIgI#z~bI|&)B-_0-u*9 z68%#js(0szf*zvcd-cpNvQF`u3p*C1PN9In7iyV)u6110IMP|VC=PZRJm?Lokp=|i zxI=WJQU_J5VO?39trTKxw}rV5U5l)GW{zaPi5s;F-7Nr&NH#5lO2*o-Zn6(%|8_7U z8!y7WV|4_^OY|rRUErR&a0*mHQlkk6u%)v`#+d+3t|RaYJ2m^bs#zt z>b+>}N^!GV*?UygX7|SyTN#P-^(?mHz=vu<=UA4cEp3$w(B=RK znGp@S5cu0`s^B_dM7%#qxFUhwtZUke9u!A1_q9@eJyN33YQJM~(AFn{8tdm&Dh!eL zwv#y(wcH@Y2Gk`rH8Gd3J1DCo`fjRk??8#i>U+=QeS6dLINT_0x|&?9cpZvA)FfD_ z`Q0d7=PK!S@VvYpbJrku=)x)SItwN*Q~}w;V)1QTS3qd^V-fOZNVIAoymb0;l+M&R z_n70q$!56_>lQO>c9ZG*0Da5$o+NO#vKwnF>cAhE;+i|m;pLy!VG$NV;o*~9!%Y`A z>}LaRiAP}s-M{6HmzvHb8ruu&K=ovRt@U57if#~V7?%wOd?%K=ed&(mIa~qGFEC#O zkHjaIcxkriRB_kK1LA*13srxEno@)2EQb1zB2(k+eBUAqR}8&{L6mbrIZAjW0z0z| zOZa!UmrEuj8}oO!laKEsG$+2spf|YydszW(I|~{rx;pl`ce`a!OdUmHZnsTJ{yI8i z7(l80x+PW00vX}9H?-4%ZmdZql!9KqFZa4_QTMtz{l-R z8q1RT*7)&0*G9Z_kF}C@Z9bv&@E(}(hsoj?<#Lxbm^yIV@3D;qK=p=C_GChf6wBzzwUFnz;9S?R}?_3_F!? z_UivhFclxV<8&c~)wuWxm%#Ur#i<}=>P5TuM1%xf>gQD76mor-^Yn!EP?GrM z83pk82EyA7p)x10!0#QMuEW6sv=&lnyVFEa#c7?;rd->n-_-fO#pZs;BMNPdAwi&< zuufwfavvE?W|ZosBlE`oI^Admm8qPW^-!o^bl^_D;B(4X=i9nAV=Wo#gwMu@P$1eG z&v-&4kEe*8ZUKZiC8GSEUYv66VH0r63s>gor(3QVd&w~In~NQ!0UqR2FZ@#W6zA=)Ul z2|!8)4!m#0H`g2seK$t4a|B=gkt(Q7N_RbExI5_px;Kq_&?Z*{N!A6`A-1OH0@cPz z6#^o@F-6k?$-0n4-deHLFvo_Ry!B)Z1dL3VrfZx3?LZE<4Exp%trrrmnzWDRL*cIQUsN-q9Hwj`xNA1UQ;LG9C^d;=F(WX>te^Pt=l zI=Hsl;8tzmr?5ZcVxU!?Tuhg2?S@+oCUL0zYuMY$VWDa~OFQ2~P?h&%e|{E<&&Z+Z zr%-RmHn6}M&ixpS81kjrjexaAQTiwSBQKAwFVHsK2U+n;TCqO}`+2?p>}qAMAFUPLY<;&gJ?U@F#K zjt?rF#21s5K_tF^92Hz{V@{ky7!>!Q@4$+^frJqkdf`~&;9>Ljud5GFbuD1u>Fi|w z3nS{D4>LlPaA#w9Ary`+_M%srB7(zm4DDp|)yRg1LK<7kSH{cgk#NTM2J0dWXFol! z{^C>mRA0h!lPtDs$YZ;1iv|e#!mAp2I*)2k}|)p&_46ig3iMi z=_blDqF3oWwT$5@FOPB;k^7g4cm>qeXzL&aFKL>FX_~0<(`^ZQvH;E0J3{7s&CL2O z3U`XA4D!+aF;rpkz4}89+4i));&IoggM};%hWuq})XUa=Th_{Akw7a)ND_Wt3uxfH zIZDN#T?^RT#564Ck4x&~|`MJd~2kH$sOVF7wJOHqR6>XB1kbX_flHkp->iE}UAp zDA~w3l#;KHj~1OwMM1q9UiDs5RoEMwUmlwaX+H zjlW$((4EGVDD93{Tyc`gTmP$n0)1>5P=Mc`>d?ltM&;}*C1+;X-P;)W&#sx=GR&$h z=HR%9gSoA2PZ!B2C%a5{zeL3Rd~no|JM-(n&{p&Q5avOce`$Yyf5nNJ8K6O({;sIx z`vBTRMCc8|zJf73r2b_zw_JcY*N*=cT@}QB~G-Om8OQWf*qXi zTPOK_EFbw{RG(`Rgx3AT66xz-?^vL?UmbI~AzIl~&a|YG4tY2vV(btkl#z!pRf3>| zf*`2m8Jm?iX8&V==lmQ!mPT0j4hm&0B;P=Ri#?njr{*pa@9D#Yln6x*BZ(%g@~}$X z3k(lPqHQ7gtkH$Y7pK4G0DOz8R^+gSBVW6;F-IX_^_`lNtwP4IV;J#%wzaM-2R&t{ zjiNAEu)T#mL>JTO>&n;}Q{d+#xHtJD`h_oe0GKmc)EjqP30$)fGKdlYPY7CwfV9pv zHPyW!YZpDzfF1c9u+Xdm-@@3LR-9;}(HC8PlK=E=&G47JebQfH$^QA^kGRRBTORIze|Rs}>04-mUf^?XUU zO_s44z!Csyf9ugb)ZgF58%jAIYUpkaN=Xf86B~;Ka4KPOc|~i$4o*+`teod?q_u(|WO#F#}_( zdaFMKmIDlI$o+#b6*uuW-EaJ@;aN3d?!Py?@J}jX0*YUyCY-V>O`y2Kwo({+PYSR~ zhz0+szwARKGF4#aqO}6$^SY|Cl-8d={aFW)fp`|hqx%EwJGF)2Px{=UPAt!T%^|WE zpcfGor)6Ard7nGqu^%VqWE_d8!j1V5XvWxq7Bkx`+_m4Hyt+rAsX1$^@lt>(#GFVd zKf~x+y6SH=30w_WsH7@nH9UyAJ%)2rd}YUc*lqmg{5Baqj?xtPk8J-zmz628KEuYQ zQnu@PHv>O*YOK5VC+hLfOPPVr0(34jPdNBbB>3>!1j&!^xmVxEC*m3D2 ziRTu~t12eF1ZSvYvN)tp*fTv4uX8Ct;} zy(epEexYOtm>f|WO#K~7OAGQ=ZZu+A+-zih>A^S(e1ww6ebNX|Hopip=6s|Iu7%;9 zyaWkraN$D&o9*fVqg>O8{Wo_^z)cyDEHuS>7GJf#iV=2evUgW3~qct-Ou2nKtli=7X75YE#zI!b~UmR6eA** z+KWLbGP<$9LK1wS<=wT<5bdqg@JMI@_}6_ur)2n`jpjXibK9>k_GT{Y6q37TEfYHy zl=`lC*pD1bab6s_UJ3l9M{*bHi`Lm<>=Vn9+v z*-$rH5!qQv5Ctzsnf3YtXtg~r3&<0(aVo5(6&@? z4_eOXB9r?g1eTUz$Bp5Mw9>i2+RXxUvZad$Ya_?dAIHM!fQnK&l7kNUuoFh>h+UHE zhRfZ$N!-|*(Du?%dJb)&j~?0}xkp$L+Et3Y^xq?VzDAS2#1z%PWlPh&*8+SQni19< zQKHQGZqOi+eZRbDnf+owA= z{4Y*g3{DZ;psMCJ;Y$<}_ws_l!RP0u>0R^|R%AhiLPd=>g=fC+D3IxYP*_mU)u)uZ z8k-bON`Moj5I^wfa4#alrW#1Nx7s3Y$UK)u{vtz9*pCDoeL?{ScJfsG8XDlGn(rge`SMKkpHCVgM>&45zAQ%K?z(^u)P~VRGq~d4R=^kUJ1% za?eu}SUkcg>deGehGIPC#Qyp_?kVMiqLdd_j$s}NGKC{`!*^+HEybz8=zquK$^IGRJ?-meRy2XY&!1|H=;N((_O;L)f((!< z|N42)3vlQ<^d7y;en)V=DfS53U@W=hgMilROKl;r*OiQ^ZlwnG}s2V zMm*+(hlsCWb$8sIvg!)^qKqxoAp*Ch^~SH#PT|C$Q#vV%*L1iuPQ=@s&|0%(=tkcT zZd=71A^4qFQS`jSt(vh!dUGnubwW4}8$Z2o173s#dN~lfKFs`Lj zR#KFXiU2?Q(+Akuu`oJK4!7`z72Gec?~%Tcp{?dZ&7|wP?|G3jQPUYSnegFe3kgWE zywG(|%&i^UtlU1cA(phcp=MLgK2RtGbW_uZ-9{gV8p)pO!p*euV+}Capgb;zT^@Hi z-mqwq>Yq-_-`e;yhV-t7c=lEa0$M5oo-7wS(UdhK&5G=RJqo!x>|~wo}nhENv`QOL53EC4hG#elOsT8;)#%io@tTR?(HP=AUW3y z+d&V<%D6WOyl$f)!g)y>s>Y^4*mB8&Pj8_q;^WathhLccmwk4QT!3gI?y%F3_cL!S zK{Egzk*qF($)H^kj*~6{`ah3w_Ne-k?%L+Wd+#tNqmNe5Mb`2~2Ek{eao}B*Wbh9y zttl8&ZBZP@j)D=2&m6t@y~31%oV@NT6mC;&6?4?^;sz%j(7V-ls9a+hd5c9jL(Ifrl4IIT7Z2tq?F7F4j-LT8-Eo)H3R4;i@3}iK~m#RwN9ZD~pT6n&% zRJ;6<0L%VK`det8T^)Mdz@0zEDRjA$SVXH0Tp0zb%3we+UG@UqbcUc~d z{(G`RPF?*h6*ayoD~Xvx5tD_tlg>PFWb#e}m)^k=V-LA(0en)z)qI5l=0pBG(-ycV zX-#u817y=Z%ap`^B-LK3m3c5|YqJ;k)l0Yqj%EWGcVKOwB7AuCi$|F@2uH=CKP>A` zumoAYiMw%#2+&;Q>7MfC7kPm6t*)17)WaRzY4cnj@(3s27iT6vepMF=ZXN&{WvqJK<9a8_JxuTi928~RClpQm4--U~sQm2ZOE~PL! z&%KvfJy2@+Kx@HpxQX$&)E$quefSTpx)wEUYV{f^C#Wu9{qWvMG=%L=D^H);x=5#r zv|CM^tYbJ?-! zLEW;5=|PTxyvr#RZDD%;e2i46l zBx&-K!vDi=Ko}XsXX09NpUZs(@M1{YA$yCEV^81(x`>LW5o`TOg$P&t^WguH3qoST zU?8Muds}*2Rlpw0VP5+eZUW??Cy*xcw6vxgpS0(7o6?{-A7MrE#9qG6Uwh>>r*VNR zy^^6K0KJtA`9jP1qnAh*x;8rug@?0V-X^|d?Nyq*KT`_CC*xA-kJ57r{YAuyt_AWi zjUS&JEo}rZS!jw2^b52PYe2q#&oq3_`0J{qm)C8eS%j=C1VeHTfg@eZ8cFx+i0h1; zRHp$+rWHOl?Bl{FYAJIpg$jXQ)TVY>9wUu#fHY7u0mEscB6NW#aMRyC+9QC14dL>k zqVJr|oP{E??|t$XE)u%nd7;|wWHm$4T7a52w9LC4spPu2pF=$9{c^B>dx{Fa1HcY$ znbSdscS7#&VJYeUoAwcVMR_|=rwn*&zaztN9qJj26)t>HEj;VLCKGFUc=0H1-wn(i zvntP!31e<{yEtUgz`RCdErwDb$S@)8DsRqVdJ;05+=*`Z(TV~G7+FcJCmM&rk6wPq z*{0eGToiH=ZAuZP+yR)ihlLT^=yJRb@J9N9z~su}z-ihN{abxn4?s=AU$A-NRU7>~d4pM+w^==a2Lo<(c8Npv4KtIF`I@;r&1p5M^2h+QY(Bv@GQ1k>`H57&F0O?S-ox>v6WN{vHC**3 z(HU(C!@W|^f(;9#z43xd(#ar%AjQ+u@M^pQyORYu3jQtUK2%fj%~B~5IC+ahAZ~Zd zUR(D#%voPjU3+Gwb}0464D(blRefu;H4-crz;P~m>CBoDTG5$VwkJJ{F4DdFO|OD; zy6)_Q0KQWPuJs_)%*kl3L4J1L9{yn_Wa#XNlESwN{ro)4Z|M5wWLdpE$f-5|m+K$h ztf#72Y5rk?HJzY_`BaSne(^!`z5poh7H?|x&@W1*+WJ02D<2h^I>>ps2&d&@%WgX@ za{J60D4PeBz5fIR8{`vgJy&HgLE*%^4b}i^meuI_5>5mgdS)57l`SmPj+Ebv|C{+b z{r*jH8vll>uV9&69g$T!>HZrHt&dTN_<0=+hF;u8wG1^I(LOal1Q~!(Z9u{jVkL`r z#DsK>g?nkZ-3P^8$dhN{duLsl_NRi6W_)Ql0<$uIk8&VYu%JSZxR%!4!i)qqhM9LY zt6- z9~8W0Or-}Vl`J?8ClDyOON=xbyt}$!YtH(V!P&Lre246p$O<8Y4&2{NV)+VLTU9f6 zZYTpMZe4z*wcj~bLs9}Dy3C*FK_HK@mmC+q&C4EFJQjgk4qpfP6|paYTM&^mD`ob|km-?CO; z2*R)r!mCp-!Hx6S`-1xh7+tGmKv`r?%d?-@*gD+-QGJo;$oO;PEZMhNYvZhcQcYy^v$9wJT_pVoA}D#MPskE9t1~L-2UB~D;P+XA+(t_k=;&K9tu!OD;dKHbnZ~H#=sI9G0{Tm#EHUAEjbqx#PfpiCfR3ANSIAaG{g%I1F;%x=d6~^FMK3}qzKMy-eLW;49^Ct zXPE*BN=Y)CgQRorPJt(N+h@^at1q&=kg$fDr zy1!A)>KOqrsrypbR>it;MR!hJ{gR#M*_Ry3;-YqsQhD)eDSC7$G^$;bJ@2U#epZ1G zK@#KQs@17wj(7#X3GY9dW!U(BBss1D9t+TvPlR1w5lEt|bZYMfI391uC3bWbNcT2% z81?u@a%6JiRg|k@>E0HRl>R!uLfH|-wQsbSQ@Cs>hyMfVYKN;xXGZ#SS{Hx#GniN} zU6_vqpjEJZ+`w^}%Wpi4ms3yW`ysdZ6!9Z@4izE2P0&0%D>ZfE+qKkX3 z0!@S9fO~DdsPeFUUy1V9KmWFJb#uZ@DzH`}SX>x)K>X%scDw$n$zIskWGQq)7-~RU zQC_52HTpdXg5@R}Mi-c36_pwYnb4jM%~2vRe-kJlft)SSPv6S!J5O(KtvUA|nj>`$ zl*mrorh2MJy>ojlo#$jKi{oUfeeQUS7wt3=?M9_s>0|}OCh1H*Et{}i`Ih4$M>_`) zHVkv3*fs5OvEtD!H^rH?$VgR+Q8oPzG^xkb11C|pW^>Fz^(;#cM|4hvfq~#dm6{Dn zFb^kvY(4!lOV$-GB5#t6Gbm)()LLVq!~FJ57uUFmzbe?og)OplxNU$c!3`kfO)U zk!RQ7;I3!@veQOKj>O3^d^4kL*PTARxG&;j$UY9p1~zjTpXt{zh*6l|Lk*=dd5b}c z{7J_pwlJ?jupiP&?aQ#Xg$PZli&|4ZDa!aO{VGWeKV`HxYf=|?6XzVa^cQN9)--wZvSnF}~ zpvbKzprR|B;w6mDr%x{lW0TlMY}iiYUZ(z0V7;B{*R3yBxhH?y;EpaV9@+zPXGBR% z!6kjlL}BsJvNyxr0c8q2Xv)qK$AweB1c1c~heZRWjwZzPcaWl!8!?*-s}q}->XE*` z$|d)@?M7ptlG(yA2N*D07y?-Gt7`{gdGX>ZI|msiZYFRE9QPjs!DMN3_}>WRrJ!hQ z#~|PZj~vce`du?aU;wOXn)E7%f*$VwVQT={UjS8HsIKz8c|#i5KoR9}#r$}ydvCA& z&hO+$R{n1M$gCvt73fauVEx1aZ7W_RnB1z+_yHz{*i9YS-0V_n`yp9!KOAJ~ij06( zYck=22&PGE+`#9&-%gqQx2evte5X*;CTXQ0wE5DxjE*ysHH z{#Z|LAe75M|GBLuP%k;YR&Wnw5c%Q*_@3IPj6UYLsY4`8mJ;<;S{8I*l4b&%e5j|W zH99bsDlV;>Vf*N1ANE6wAmfs+vulcWx!mYIJinU6I}U@^xvkl@6j+i;F$(PPyZGwg zl48ynd&$Idxm(r)YWQM`6=}cC+vBF4jK|%@V(IA{XQypcOdq(_imA%d3ULQr}v}BF8 zE6$BIJm>E{>9Zm}1x(@@gtx<@FfwnJpG34%?N6mgsuB?slVlDc;n%N5N_H{POF2Ko zW%35s;705x%~#VhS-^fmd|#9BQLf_~KhT-^ywcWl*+Mvv2twq?^F`9Oc34k$T3>x% zz%}RR!OP5TNR%;*qImP#^o~c>PxQNXPS*;Jg_sw4I%?h+oT0iD6v?C71vtqF!3)QT zMc`x6AGV&M9V{m{Kk;2V7aycHDa?juDC|y3BNh@C60*v3*Rslf+AiZiP7*8?e&9Tv=kJgTAOJ&w@~lo zS^U9-BYQzPiTE>E&=Yl)7u#2_j!U-rr|D##bU$hLWy5Yvq3=|lJYWG7_Gwkojg99` zoclIp(dLAth^D&ndVXoG{%_(day5yGz+XzNXlMWcYyb$r{}NyQf9!DoC;ip`WQqg) zrFi_U{r~pXl`Qj1arj@Rw9ws;efBS08BdHr-BvgkXCxAq$SZ`-jrSWf)eO@rL>_d! zYW=f2VvfnD)~Z4|^XNHBB5GN25XyhS@+zX+Ewk{Be=sIh`Yo&RiNxrQyE}TddibZS zJB^Lts%*Ema)NdaD8}f+G}1(iPMM1g@J;_$ZO$>Art7Cp zKPLh!(pBa-sE`VAFU2IyHJY7eo&?&Uj$6ohyr|{-EtL!W{ntOm0|COVEXqc6B6k(W z=!Ovj(q4>S{nsc}&RX-Hy`BP2yj=Q2##)2>{9!+51GW0090|P=2re7sS>k#uf&27S;x4 zCJeN8HfG`SvSP4MnE$y8Rzh4@5dZ)X{`XiE;+NADU;!SH_IC&9s3;}~P&0#l_ImODdk$$QuHgs(fJ*Y80w|$Kdl^ZI^NTO5{vA_?7p*YWv{OfI%P@X`DZ-3b7U0!?f z-CpE5$#J~)zNvoM=sn?>_1y8k;rY0j&4w=PD!)6_-_8)tE=xB)T{q7 z2o4=Kln}Ps+jEW=cF&f&j~CP4b~}JoY5uFiVm5=#W`eZi^$^YZylS-EdDC-If?OQ; z)LeY{-RR%+&b=;6YEvxC3Z@KPlLBUIf4@1RLtGC)@Yc~H) zuF&ah;e4DES}u}ET+;LXDrU9WB*&D<=5$_i*|0wGSvRE6P~VFOwft9uVxUkc3cFlq zAoMg&mK#So;ky9j#u_YugBC7S;(FV*&bSD4P`(D)+a zcbU~EDOcT!Ltuhuhz3KUEIn`7*8aA@w%lMMrQxm7?ohn$ev)m1GGa(k{@Wlz&}ak- z)l$#Lb){q~ZFS3*iNi&A(>2BA)U^c z(DF~$vwS2Ps}hk&v`oi!OXaF*Q8vM*K1uku{V_b>Pl8IyWGXe)4XeiJ%!;Kovs{`1 z<5-?&aY&TNV&XrZYBYFkfg}?b+6l4T1%lscv?In0ao;Doz7>kalH;BNhlSJv?p74; zCkbAM@pMocdiM7%8DfHE%Gkxa{eVSC_L!vX)F}ymD7dJRB#5eRzgQ4f&lQ8PvhqAD zP9?6@cG&d?ulRlWC{;ZHx+e)VB)!$~f-7oSUDdY`fTL!Kq%y=dM5)Zy>&np>Or%G2 z>@D%eV}}e@JT^g$Hl5G6r{lWgVoA%ko8+gF zd85@<&v^(@Z0twjZ7&pW>0y?yHUtibvfnt7OpdngHcz)*9b-b}dvjwaL|`^vs^HKd zC;Egk#%v5lz+{g_t)u=R)FET`z1Q{|eu8N0qUjWVNRTh-gL2)H?&tfX*72W?D+i5c zlbvdf_F2g{U!&QqOAPnDc&Yotu}#E*`C>l?w_Dv}o4t|QT7!wrUpw64{x0{2ERUyi zjt)Y^rhAX^1d{8{`L|yTXZw7;b1YY?xqiQ$;ITEoPW)Nn<(@1~)jd}(lkZPwGK=|; zb&)T*I~e71zF2WarB>f90pk;+4Nn-|ACAmMl4b9oFDZK*rb%`(*>lJ9I3+jJT`nYOgm}o~%6flX zluJehaDc^R7WaPHa=q?MfBSrxHF;jnR=w(e*|s?+eQYeF>v4apbpB2A=Eji?YF!x3c4xa6{ zA5JI@Gpv%5$7%o%=aYJWG+9Zj(-C%-K$B*S=kuC>zTMsV7@ybmprcCn*o&s;rLgpN z5W_{Q+Md2kj(0@Nf14Kwm%!@v_4GU8T-#kD%W;&HWi4^b%h%b2;W-3nAZRub2TvYIXbb^Ms9!YA(k#y>p$s>`fj-0qyMHI`;(;5;I}3;uk6;qcT6 zKG4Dy91CEbzbQ(OvX_v(eC`IqA9|+ol=LRnuqc#ERjWv8wz%cy)-$=^8;e;YForY) zf1F9ImaA506oTd-9!=AoI&(5+&~P$6ofgJM6j^U|G@FBqVxHYZf*jbU1uX!HMdNU( zEy!SX)qGx1_9BDLHs=?FX(sgTHSNck;j|)H9lrv*90<=# zt|_i8%lmei^o$HvaWa+8ByzC7kS#VPyiSbu`fZl$Oiyf|eGcO3$dM=^Rz*_5P=~xfDtzQB&Ac_`!l~WsA+0 zYV*8p6UkI$vgAAfn{vh@dSbd|MCX?YQndDPT$gpqyqZT&Gh8>^W)n#i*JOi`6M-5J zBUrXcp0Zi&^X*qH8$~!WxaLYyskF{~+36@$s_g4aPMEA#X%)b}+v}Ss(HFmR=K6Q3 zES@rvRU$i4mQ!L~8D9Pc>kg9Al5?d>;~>j^1VeT@g9V2sZ)?e}sr+KbPEK6E!?4B8o=Al^1QHX?sI4^pt;XNQ{rWx@%_BX>62K{ zh~)$)qfMm=HvCyqCKgW9Atnw=bA1v}YJcsmBu0~J>V%M`vI7Bs*AAr7KoH~%@5kkVIqb3wMFyVp z{ScDe*zUnwI)HRL0&cNnvO^Qjjk%}pIyxGy8SA|P zi;!i)%vd}TFxg0(TkcQ&C~jgslh^AlA(9hTK^rR<(l9CzAJNJXFKz+ONPY=@lT7FU#qtJJzA*6Z_i*yB+r^*)N{TQ$RR zf~|36ph8K}L`5Z0H5nEnjGVc!z}AvDmRgjVr%p-*q7&`QC^Ne$923-=kq-j1tZ( z?v4)3eev57YAW;TOt#tZ#Wi@N&@f|FnJ7ml7@zyVyMA?Otkks1q};{Zra4EY1H^ac zPcMLM!OB^~1B2QG44ha|GthxmAAvb~FWgz?QcKW&N-&h7Ew}HMUx4Zr2o(upQ40+T^;VAH48W7Y$<4qQe z4UL0D0r1ggLJ&v+2p~^+&CnXZap`@oX>I6BFp47(;DRC;s}gy3z=EA-@PSLyneqy?sV#mJyDF9|lGqn9Aox3MI!w}pvj7JHTfUj=Q&TX;O$Qgo zkO+WfyHaq`I=SIX=LBYU$MuHyhckwyH=FZ`pMaD&GP(o26kD-p!oqNp!V)3d_l3e9 zYxg^lJ&AuJ$d2QqtFK2TJ>wJmo7w&feaEjNOlI{qcJckZ8#U=V#2kSe0OOZwwTwY-tb>JrA`}c@p$)x9_|q6hpuU=u_5kAp9f8_IV^LEvv8 zN7E5#K$$zo`8wV7Uz?H#;ogtHG6As^JK&vz)1Fb=PKmxL4vXtEBTq!2)p}zD0fuIDS=}mp)`0Ub|40E521i&Cnv0nb)N#n@B zskhV3JL~+%K_&o*0H$ogejo5S?Z$vqu!kSm>*wpG7>+5O148}a$g z47lGQoDV2Eq|*22I{}`F15-(HFT8h*{g=WI4kx0{avgUMF3s?z;h8E9yvQbetht3>;p)JSjUgGRvTU-YCNj!;#;ARbG03V&p9KOjDxe=n2 zZ6M>B-c%o96W$u~im}RjK>UNIh|%CgIn6f~2u0QiDMzL*>CGJw)A#fBF0(p7z8nUO zQ-Tu<^8unWvOms(4KTvO0&yGa{FC!uh8ArR3^SA)i;;Pvu{Y&hoq%-c=70 z9th)0p_RG8lxY%1{*WYezsD5q2saQX^f4?G+|F<*{|AVFir8rX-jtkS21c6yfF}g? z`9TC#4LM`xJ@&8d$YKwQ=7ep^oaeo>eeGv6{bXV?osx$}r4~Pu$i?9GYO8VsFd3;0 zi+fB-J5DE5N#AP=f1jBZQO&b@`Bz)?pg#Jo(aNA2?#gNe>rk{aue6}Uw81TOf zx{0dS=RXiT$e(4BW$b@YwEg`Foiaz+KoRJB@HwVyi~6Ox~EMtP5IupHC*~r_{7FhIv>47I6V(HJ|I# z_*KZ6n(X9QT#6UVm1OoX9ebOuj0d$u_$eW;;D#DaU__R;>p3a^E`5sI+aSc5%=4)U zjyssxHQBkgI$h&|gIn95j0$M{n7Bqr}VO?iFZn3j}>crLI{5u@O_${s&`k8Qj(rd<(|R%*@Qp?8MB>%pA)UGcz-@9mmYfY|G4!nJH$*r{Dj* zt^KmQwI6Pkq`JDA>e0+}pL4p~$hSFLmD9<=Hgc8*#mVo8JWA8fk#2X=1!N3>^B>J> z`kCS-7a0@?vLCGEFRZA8AutaGTnwkRDO^NNG;?)}aEWtAYp9qP2&VB^A8YcSkXANo zYL{zGHfmN!z|16KXfuY$s1V7?$=P5#Og(~w}}C2npb4E(&pdff3Jf{i*N#k z+|CxsUot{kAh2q>^{DT;5ZAl#U<8jxNCVxtiu77Y^2p_#<@aWRgyKvTzu`vg~q05 z1)wUyWH6*QTIT?YY~qoD?vQ@rr?QaMR932Pm;%i9ppQ9M)DAb0)ReJ}=99YG_je!! z%*1W;wwe+mv?a{pkMamh7(yW0`{grpy2n^*4k9GmTcyV^t0tuR;fGimk5OPug%{@Q)<60s&7d=ZVN86OIF8sx&%#SR}%xj~_XyYlpiVX^G3cr^~{C!ab z`H<#i2YG8pqrh;uG{=@)Vc(7n>3g`~r=AQqu`HNII!h>g@mXRr;lUO}`ER#T@Qu7C z7uGg@vxrS~KfnGGayoNT_a2GitqKGah#{czxVc)BaJK!3#c!wUm(S)_Xu&YulSX^Du2`c#7L-6nbT*0-P0mpJ}ybU@3)gSqsaSd zn@0#Z%$P`Yh@7NCYY{*Gf+F{+CPYr=7PNxg*JdS=?;$YsG^szT#5Uv%(_PTGec;+sq>T~v}4Dp@BEfpnM*)FvK{0wR0 zfa@cn)KuP@bVCF&FHnPVeL@o5+k|}}C%Va&_B9+~nn1AAvnqH|&WXRaU75{g9WSUS zI$2Fl#pUy4!d-1}*N`_~8?SHQ9(JObcWynES9(n*#!7@P0~Z1YS)Q*YDGh3gNG(2N?o}?1KU^Cnf{GiuWXNziea%g@)A$V2B9{J z$h^h)Xa{wup5S2TNH_z|e#J__N8S0XK@F#IyRX~%_iapuH=(7)glI=Aa}W^wE^EfM zh2^N>)cZ&pF=v*MGQmG%Kacx#qrI`p)N3@KN8~K#6E1VzmLr^Qns2$8qk<8-ghuQ; za4eHMglt&lfz51w*r!ASN0d-ZTK3Tc6JFhAy2{*r^d^-P9vv%rE%Q0dKBp=Jb;yQQ zqF{nOn_U76CPSOIg-u|vKyc_26;#Hu9v_R7{got6ibG)Exb(|;S9{2Z{VUt}k9@&g zL1tk)&q&=`hm^vV=D(OReXn=)lrsd9g4LnK)C!)WZU08T;7@V3t%;R>bNg zYc)Jw)TOAh-!oJqd3H;j(~dvP_gR^kBeec#RHiYy770DeoUz8L8p*&;P$+Kcd^sYp za+tU_UJM<6$TQy@8xVRun@|rdOP=jx3@FN@Pfy;dDp|8y8>|l{%vwz(lrUNoEb_@M z15<#{x4|;YC@>PD;^-Qt%L5n7(-v+v>!zeL3vLSYN;>#QMCwaw7zsz$pHl@ zezU8G{GoApyVq)sxRw%HYU(5XoCK?Thpuj8LGP?EFY>l2UF0h40&W5g4BFja!uN)3zJ=f=oHVnKYoBMn z)zC=~zLWIr{KN44DHI`zo^ys1H|90f+rRj9|A$jy>jRrGM28Go3GWAEvPXF`BGgSb zf9nAa*Vx+~k=H7SsO-Wp*4v#9y~}a4;|~ZSsz7o9SuO^P7_Fak7hsR6hy&U1U`+cms2b!1myFyyIlvWl#XLDPE50eH|yNw5rpjM#GEDX${((Ctici7JmE z>g$`#cSXq>apNFmIS9!An|EuLKD)5z|Mu;_>;H*&WB-5Q-Eu)s6#O6Ft^35v0QBbn z5AQ~{^z9qj5*TDo6X@&wVE-5I2IYgf@;JKvCQ|XFQIXz(BP&;ksjC}>saw*9<>5io z1_cWV4u{^=CIALbChF_~cX_G0emC<(DUojB?%|${H12(OZY4}NehJL=o)Pk!{>WYh zosnTk1mlo;C;#&@);yRAU|%A=@$wO;-{q{Ln9EN^qm%>i200YE%tk$o9+w+3&n>Zw z5g=M*^5yRM@L;^n?ar}1$@MtOaSm z+^Up{xx!Qs;xN+6$_bK;5eY)xk0;G`Gc}!GGtJ&Jj%y^HM$@?>G#;OIn|2j=E44=H zW>WE+jXl%n-F};96$u6UoJLER|PD@wiM=F4nqfc3ZhW zS6puPzGw2;m6=cHtYPz4VE)g2CLnuRik<-TxCEBqa~ZU1$09Lk4nWW{3gb=a>T%|R z)xlz<+Ry!w*pO{%68l0kitpf0x+ew7czmWMpgG$ZE{#q%->UMeP&^?u9s~aEa%+^V zjYt#nej2;#{mQ#uIe>Bm{?luneCNA!bGo?_`FiKrt^ExDpZO*Qh!2RHAB^!9HjaWU zv6qOyX$MP)ZiX$%m~ewJI{AmIa&b>a@yAt!$mg?!BB2Nw#emcp2+=kN4d~~;^=5N| z`2X{u0qD@Rw76LB1pH8B<|>Q1$r6clWOlPh#A=qH8xiS^9yh(|Tz;Brz1Fm81A)o7 zT!Eyi&2&m}7`X8OHk=!r+q-xH|C6Cta-kRGZHxNfE2LP<6TY7Zy=^n~zj2uL6F@u2 zsb|d9ZF0SflDzEa_Jpqkr3mfkLdNu%ieDo@`_230@$u@_$>@}ut{=C+tn@3pRX#I? z%@5wJ(8GHD#r2(t=@Eo=KV5__!W)GkyY>mDZSqRD|T#7 z2@v^;D9+u!k{;TFtuQEWX>wf9MJ5z1aNT#JjGS%vIxjm~9lea#FfzH=(&qDj?b7f4 z^+jC=ZumY?%E0bAB9DhHEWcc9!M?ZGf z04WZWA?08=N_y&~7X1PM+Dy>xF$M5%UBh;{ssbuyrDp4#n$P1gr$agD4SF(dN>4qY z)Dm?eQ^2mqqHs_@AqAYILa((3n87V!Gl|o4z_h~?jzW@_*ZoU&$yTE|;^j5=9L`?> zukRns2PTuQ`j2?LT*gQ!VFV)Vn{EhitUOe%y9)1^L*YN9AiLFG(Y+(!Q{@O80-{uUmwcY* zcddRmK*RqEal@8i*&zW$?8>FpHjaT{3XIQN9AkO`kBgMBSo}ZES)3+GIYO@7OY@zr zmmmtSc0A}iLJCfO&ER18Hcdt{{zmr0dc8wWpA8~ir$ zAN5wP+nhuZ9(Quol=#z_5}mTt8|g$9btBw))LiL8sDAt7iN_CYkC^E0fBg z1MnX=f@x#Iu8A1&3Gr5G{K+;G{~z1bdn=J2I=zUeW^wOStYHTrCQbS|mO$2FW33ao zy#XJA^l!5lOY+PK(qaHvxZPjGip}iN$;PG?~Vf4BF-m{eW-9@>%Ss zfn}`gZ6wPp>> zPfO)EW1qLj%Vhi|JL#I7_7V?eCq9=mKQYq)HVi~+#lbDM#;|8ZvHgDnt#a7`+_%Fx zMq@i-!Ccdc_@^HO!w7#Cb+P!R;t7{Lmud3-$f+jMly@(jL^;Ayh|}W!3COPV%!A}5 z8tPz*k$2Ucm{L5nrrZ8c-6g(4)rbV#^3!<&+1HUJ;WOe^BS1WSfqtPwLZ`WJR)h

    ?9Kh38wUEpAd;1y03A%5xi{dv(6J1O zfQRy(+r`*-ZckiX-28p@=BZ7ffbDboirZFhAkgyR4TCmM^GD!XlCZ(A3e}3J zD*bj<46`I~T8-p#c};5VE=iZQHk0Cw_77wFJ&fQxtC`7^NHt23bCMQ<3@_JsG}WY~ zm%k&NC4J!3Hze~(tJhIg;>%GDxLPwnCVKpG#ehhXKU=J!VClLJf^0&VJ;3+xw<>J3 zn8dbOsmWce)KYStWt&@V>Ty)<2~Vg{uShZ zY@1hqxp;@Vqtd$6Px|u~?yIF}=Ze#!prp=|v)B+r(+R zDt)$CK>-1cIP2JHUDHNWe~tQkvM5a^o>-^^B%(MICuH*psnTmz+)TH$8@g(9R!UlG z1)QpWD1scr2V(tP0k`FrTf60|#0459J4Rp|&ezP9bbk)N!yk6}b>9p+q<4bzbeDzs zkgz;dzKN(CoeYT05V$he+LCX;ot^$*dI03#bs;52!yGRQ>3c;l0DFQ>&k9%mq6{fk_~>hgcLg%O7Er_uG;Yztk6om#5yZ>Ohqs z|I*16&EAJoj&d#u%j<-GRS+TV1rmHbaD2VY_#aHh7}3uqRRn!MMO=>EPA=Q3lHs7! ztjaK-$T+=pZ8P-Q{cb?80Tm35ob=)SH2E+4Mw1bE`aHM)Yw_D@I+xv2icX`IP1R7Q zrwVk0UJ8qmVH6e}HG~AT?Zyn7yT?u+ScPhHRF9LoddeqreE>ErBL@s+Mcrcw#^8n5 z7Ytm8Gyu?a@GKl|X2dDU3s>}3&Y?dU({!${5gIgQ@xoC-I?G5`HP^(Q55;Y;YXp z*I3uTL9FC;-^-x4yT}DeeT-6nVE$kTK;|W|1-7xxx7nDDKdA{fE5-^vUrV6Kjj*uG zwl^G7wkbLL_*{-mNzMr1`4W=doKVF7X%04x4mv}5W(1I4Q%J>GyX#zTv>&Dy^^Vo; z4pKfESC!sdO0k+e8+t=OE>^WdKM?%xoXj3RZfrxO*Qlbr>UxV?tk%*BO{g5+xwE!v zTg~(T^irsx?Ed?QVK(0wh%;l9f+itlO>dvYX;Y%JV3}1q^ke2m0vYQEYe4Ikj~vf5 zOQ^p}q2!}XJ^Jv$8{u|kHhMKwpoHe~WNjDY_h_ut!@0?;vP6hH7>r_fxXCM!NG}y- z>r1r3VzO-Jh3pt5>7(;v!hXx^jmQh6bWFLHLLw<$PjiVsU#Y3INTaYq_PPpwYC1tY zkBWti;is>fMW>P*W2o&S_woZ$MB^|mD~t=pJcy$%{??QTMRwDY0o(tCJ?3*g`fWUw zFVcJeZ$Yd2o0hyVUw|kszOZ_-9(_tJX{AfKD6G;cDAa&`rYN~X3vbaOzs>pyMgU5I!UX4d* zY4@CAL*T76pjJ+D;VyOIuP{&PUvIr%$;Wx#imA9MY$H+E|K_^G52lm#wtSxqUUmji zN~DZY_1le(`s@2_}z>Ui&%HieFmI=pIzagX#<;=4CQW%!`~EE zBfl353)$c>xt(TJplJ$FcyX)a{um5#F%zTLq#?tKA z-%*wxzrkOboGwX=6&g~8J~!XJF6u{1&9b1xtH!vpJsK!n5;Nmit~HAjRGBZGUzmCCg;|1rl;LwdWIlsA&i?QknG5EY8SJ-Jqe6sVV-HNFXrdvnNXVW`k9 zERx+~G_shZKa)#m@#1_!Vm)mWbY?PkOK66sxV5|+l>e<(Ue zuR~kw56CxiGUj%0om1IdE=hJMe*JKyT`aFT1r@`-<<5Egx9y#dqB&zKUCRrnjwqI)~r zpdp8)Nt-p2cR<70&s!IV2a{IadyM?gTY42$PTcCP<==drpM=HitT3~62=>+F^9!PP z<~9W(YR!=6bM8%EPk^`?rjD=UE1<#auVrf?_(-6D?|a*g?JjHjg?IDxA9au}{fNGo z&*!ABO1EA12`P#!-|J)>MW&2}LuRpoR&F_kLB9*2G7?8r*>x02lQ+9c;zHry$50q7 zBFIj!%I|hbxx2gDz;D^C2#F#7DNqCE6Q|yydg`EIW;}1B5Zt#r@JfokGd0jicD7Pm zTV@UN&@BFiH9IyVKelL_u2=R9SG(;z28{MYrb#@^|4uvL z>z8>tZmzpAbNPW6eY`#5-n|OoJO=5`SQE$#Sf}-;n43^|t*0igmNFHz_vOqV;JL zX}@U;0{L**Y&6sr+cnh%1KA3GQ#jYvz`yH@Zf<=BSQG|Vg5VMz*}C9Cn95R!LXJ#x zqC&CV<$j?sKsaj^WKo7RE~6XTGo4A4dSvG%V2y=)W6h=ZZEe(=`In zltcP)HR;XBbavj`?;8BK{d6$vrO%fBlm{i$^C1v@Q<(J&_0t+kb{<7@9)Ta>A1E4- z4HMRP_yGb_)J!qp>e^INoN?|i7xeVD62PE5r5MFGFr0dB%Gf_N9dxOpcAvqI0z;s= z1;I0cVjjZ39ud}5fK>9fAkQWZORz@(u&NwumT+Bfeu}0!SF_Od5ui7m~NX+M6U{q$Z5!r+f?vvy2m*;9Jj(f*4wK6aJ>( zHql5%m?BiiKObNO;fbh8pySdef{cG+jJnj2h~h64pgFvam*=JMKb*BKEhDlPvI?vp zk^-)^l9JAmP?_(wg6f4HfNJY=SVfKEyh8*X?q`4IKR~MV1x^bs<0@-NR`wo`qXWEV zpP10zs>JSu*?xMBLLL%U>2!{$uXbSY$F=#KHlVZE ziOy!m{spbX59Tb~tbH01{~|~J5ldD-L6cSI;VLCcR{7y4+V#{IHDZ+F;*N80&GGz<0eT8IE{@@M6vkQ zrx!4$iRxz8J*;cJp`hX^!7Js_!Fb#z)L)*9i?#ga_Gm$urSStonwR{Px*`O* zUQ2@16P=%bnYSO@Xt;aS<0Rr{_}EBV-8=>RD{G2QGvNkNzceLwcJbeM7>sb6SS+?l-R9Q zrGml_SzM5)S}YKQcsO6^9?TA?h0h(o5vS40 z(|>{^dK4VU!l%zb4uaA`rkfwybC&Q0r6**~J%e(BZ0OlzqFRIi!;eihkxoz$hl29gZB1ST;DIcFgRry(TNl6#gC^+&L&DkH=mJZbgK25mp#il zaB-Rp+Eoub^ZhShj!SeVEhCZN%V7%#gLdpn{&H0K$KrF%8@H_m8FwU!iegWCZ2On{ z*)+*21)wStiBSE6Sbt*#)EKtY_r|^`H2CFe{0*O_9;Ej{g4Rl6{ z_#BKEPElI${Zn59$S+gd=EURM>;NoG$tNV&w`1_%x9v-BQt94R_e^q6iSq77{`m2>hYhTn-G1d5VVDHHLDBrTkvN4SgKga& zPD~!-39kU0n*1w8Pj+mJdZGbtuNinXe9I7Ek~s(?GMbd3C0O(tvjhFxes2nIOi2-9 zz_f2X6|b`hF5gG~IVvQBF9snY5u9nB)RpORJIr0k23=6@?C`NdTq(*ivO5(jp}R!r zQ6C9)q4CdB$_P<%;BAv(qyl9JkuG2v?~23YWh;_OFa3z0+@6Rxx#%d_Ja@#yWu)E? zbADC91nsC_o3-!<&m=vB8r{UUZYldyTrvm0+<1&mqP_o}>mGr`=YpBecMFZiVYc-N zMWl?uu-XZ84cXzC5MZoQ}C>*G4WaHF`L@3Ji&3Q_ALcoX@J{oGG7UB-leC@qJFjzRu%2 z-s=8AE*46UrM^(s8KiM-U!&t{Iqt#NvjF784+m9?h0YH?Wt}N0O_J_Q9EdwN+lXhV z-yz=k`{EZT4ihHPwRqt*^5pDs2uxHn`&ROz=VC@cDi=wSoSEJwa+HQ$rJ{!SGjGF-b3Yu) z4O+6z^+|CGDBega2U|8CayxHL3^3rT%sJear?knp#nueY6Rr&@`FdX4do~BRWoWI+>gWL)TFYka>9fuW>k3Fq zt`&k7cd7~5t(kjrM!Q?`J?Gl+#W(b#xZv^5R+P7aKr3--J1P110gcsQFWRg3kOIK(mG zxcF`pUi_e~i2HK}ecSZiE@Fz~#@q$U$T$b^k$J~Zo3kzV>t^P8od8};M%EOCUpU$~ z^cH88OIi5y+U$ezjd*5WmQz!T7oKS2SbOd>K&}u%WtKgc0v;Dqcd(kE z6`&>%%(>6j8#|{U8pVL0K;~>5Abks>6>M|E?kKPEs3R|kz^6;()qt?OZMw8lqNF+A z14A4tvG_cy&~{iuIJS#bg>b0aa`uE;#)8MpImr><(R91zRjOKXpa7U#?GQ8lM67@@ z!n1R_pt-9XgNLhdjOP8|%ZjV?d6YUH31?b4Vjos+uDMZ&sM7mvLnvQJU`vmrPP zuAU&f8vD!!{0*)qd#l;6*o?T=NXQt16~gXQToPt+TN%Gz*RQvNIcEJj<(`itJ%w{a z+#d9o49j*Bm!unv5xHn=rY7hK7e6oTnPtzlY^Sl1NDO(krx!wO z0-;k+o@KCK4=EXYu#DbGsM_fh8uSbw1mNHJwHrJmbyZSC5OI0?II*(puc9|;nDkl~ z8JTROp^@-Pf;J0OF55k34F9cgo4q3D%E5<^lS{&8P_bwSQlop)B3l3-&X-n#l=hU{ zFs4AY=s7+5^%XM1pJt7*sfJ=6BT*VicDeE(sdF{PkSV=me-zIaGaSwMupukrl=9J@ z%aViXl=ZY@+g0!jaX<@ZP{| zz!Py-CI%}NB&2&-`h>MhEyLuxj5qvbyf7EH!BL`O1rul-KlZTp$apK+iz5`i?NJxg z3dnGYL=7h8N@@$ynW$sfnPJlTQ-aP$7nK(!afUNjb|{-&HNKvig7uazJh{Ir=z5-kAu33Qa64l zNlYRPOajA_0HRRh5kC@gt8FEPY{cfcNzBxTmI5<`e}*W(I0EZPy~>lV8biSK6}ai4 zS=R1U`-oFwJ+oq#hZH!NgUzg~-7|gLyxhvi&Yd@^f)EpY5HQXIfE1@ zLR!5hzFt=!PbJcG5w<$U?R<8@coTkx;Plzo2=njdAu71opzfV4cf|G5- z)Y@c-C?>_GgG@~%1PbVD&7j(;>F}>kPL}xwLL$&upA!y5eO8otp|_PYd~+k29C59Ac8?!k$XCV@J3uP{xG0F^b@-R0yo?(x)Eivj{mGTqx zm%Jj_$-AIp#^gHM8>uFch1i-drVwkQ=m zSKeSgQN%g5jVcI*fT_N|_<_U2EJ0RQDd;9u#WmB+Zh6^X(LhVV30p>m$EG92s%ZT! zug{gwI5{D*)tO+SKXph<71d^1pRbt~-63^A#Bacuv@b4~f8ss#PU9OZYj9yQytEs0 zmP6@8syb~ZmeH-(d)9~e>o@{SPr*88E_f9xO?TJ2n=MUk_h*(R9ow8HH~Et$N0(n0 zo}w>w^-%ZP&?op^;A|mNyithaop&g*Ykx&1EpC%3jbSiCOcBRtew1SfEJ3$uKloYR zE)a(s=7x0{6AE$)TUQjUPw@DfF$E?V?{p-BfB=pTl)Ary^kYHcwUzH}W3G=uqq^jh z%ZZUF&*kA&1IzvS4vv>%b)bMv+dB(zI{$qk+~)kC>ynMZba6%Lt97gU zmt7}iBC?44XE{`5xrm>0CI_5vMKG)Gu~=vRIl-Y$w{`xXJIvg&md@ z5gwGqEY%TA>>N#geR?(0Y%z*0w1k7Srj;PQQ!~kFR$#Tmv+Y-0UCf&YaRmbivsfv% zLb2Jd@5ZFll-&1aJx=%}qmKYS3cCgk1bX8#fLb{5nLVs8ss53t!{UdvOu}b2axtKt zdB3yAbb=p4ODhp8zMwF#v#l4E9uiwkfJTm&BrEs}wjGL$`Wvv=CrbJ^MGbh-#D{PWF8;a3jty0~UB9mR;;V zun|c1+}tDbzz}uUC^Lh0=IalSt7U_$rFw}`Z`*J8UM}+aoZ9R!C+T6Q$Tu#D#sNAg ziB5l;6^^?UD!Fyf#mJa188G7_LebVMqdmc-zT?&-9J_E>zF(j+3w!wNOmo4ttyn+G zCI<#3$pF8$LIKEv(l0aue+6-7tk-( zf97`wZSQ`R(&J&LS)GWjpx1`UUxnvz=1+GYtsB_?5!?)$>A@BtN}A32_O!Ny6jkT` z-YLM!V!eRr;g|NwQEfnWw1>#JjBw0+Qjc$LqVl6}i^?(BZCIZ?CE|&k(uG7tTgK$K zn1^6AE(DXsNk$A57!zrm^R6d`s~dC-m%Vd_T;X7jQl79*XLLf-Ag@Z4bm(Ytpj+(U zbhn)=Si_A_OK_Mz>+vng_B>v%J>Q8$yt?^z9`WE8ib2$@CrC!D5!wBzpIDQpIcfw} z7ZT`*ztc-9;n=8=o6LNGJgz7Pb3urP0Rv-JDyzVTn;fw!)D;?Wm@E=b&spCahrI81 zs+c2AjsBJSJkhCMK_G9?6AxEJY;deJ@t5iL#+vI=)@qYQGBHzZn5A_?oG}H-s=uhX zgm|fE{oZ=@xAeeDv81>N>bWMbh3D7cf*xDCc8CygiGh&e&4oeBhA2rHlVHUljBjrsWliiumjXLfB;v(XhvC zNv7)t25hLy{^;rO5%uH1h!~oQnJY_@gf#kY=0-k{Hc1*6A*;CZVke0(!cTBZTtGgu6Uooiy&M zTDc}@gt0yavCI~$iv}?A&RVODSQCPrKO7or8sIiAESl;1o@3kS5)}N55=4SiPJALQq@a2)PVWh>dt78G4gViPgI* zV%b5j@|sdp@{#)K?z;eYUq>vlfG$)-Wd<^0%5fvhj?o30b>PG3Qe;30h0qPtXhI-f zfk}$V^UAc3 z@qP$@4_(EfquRE#s!l1@XI^FQ?XcOTG1J@+PCaHjiz(7xTRxcx{lar;77_d5_oubH#|JbZ9(gN~U}k z&y2zBsz!U?pvTT6Bm2a;G5Blx@Qr1h$!W%=fR*JQFC3R5&xA2KNyr-wn#;jnRCIwc z+dT6*hl$nMx8DS*>@e__Yzg1>Q<)yz)p>e)piLiB1VP@b7kh7 zejiZ?fFzB55IK1MH8ydmqGgfpK0Ov92nXDG2TO6S>y2xeSZd6|6Gr+ zHy0J30>;87JgKm*dx6{0WWLf7Fx%CLg^vIQ)ch4<0NVQ4?pGTXkEy9?i9#p$83oTb zB6LI=;lQ-3GjeSBM)n;>Ku+TdV!_a^5NU!Eh61C9Q)ViIb03+_K}q-*_ajd}r+Q_8 zO48}Dmk5BRAfLq1Yd%0ml9i#e4!(+VK8()z@yE$CIR0*4r>EjV*D05jY6=zXbDralre+@hwlw1Mc(drM36CJF=l?+4LLWgm3?F+B`9e{P zBdsjS-5fts64WU5gCuE698;4eOGL?sB7twRr`vIC zHSo0$&gb=7tGL(2g6C$A)&8><>y` z6qy&oM%@qh+H=@5T zU$5d)ei;0WxCA)Kzjkv%b(b8Vo|8{~0xG0fQ5&&Im{{bIg>;d{F4#*a#?tZj-l*nk zqksswsWb(lE<0#bj1>$6SDE-=5R&JlVL{)=-#sBBVy{R8WWJGNkA&T(kvXI2v(|f? zQvLKRfo`o=B)S^>a&>AT8ZWCi)j+o&i5IpV`VC`xdVK*ZB($-B4VU#p)QpQURv+J= zDXw#mv!_#JpV>4o?ne6Pjny^>Q*Q5xZknlVN4I`L8{Mvpg+YUj-C$Pj_ zhNN!Je6)kc#dHwTtTC)03OWbLRL*&7v}|JTsmzei!+wQ5ZF?I<|Kp8@dCJuh52k42 z0S0(0%LO@Qi0auwAfBAu6zdjf6{*AFiTHJudPv=w5Rr0z#x4b3r6cyFR%+U2qx)SU z`=ndlEIjjw$Mab*@iL6I@8vY_U$fGmj>eHxT0MCN!ROZT7ILsFo=_H-4!&_nm87b5 zfr6*QKuj5D|CGa(vo_@>gKSr}>%4$}o4lvz~_1{AKLC4o&r~?xGE)qr1vhY==yP#OdlCYR973kvzua z#=6!cfG(;JtRJJzHk;IV9Hue%D3`TjvZ}=6@;5^OFan}{fzMw};AgQ_@PjFk6o(nu zEG*scNsf+6S2LX$(awmlcb6N{@+90Gcb7J5ea?|eq6Y!qJGEtPO`897@dc@v*4UV^ z!G}xLDm9s^(|*8u4Fop;GNc&6=EWnwo8r-611rtstsH>5@o5O+VX}Y?cJ)DmyMIi^ zsOA@m9kBz4r|pqYX;^t78||)kB7Bgn^_sSM%J%4kRp0Qu?<}X}unQroDR!)J&nM@m zfLv*Ym1)%_vd#49&787+y@&ZP;fAy-c$oYrJ&NF$eYkvv*}Cj0PX{}Zpmo+ZG!PzY zheqJ{gPQOugC~htAxA-?Zo)VM^=5pZrnvw`ji{_|yDDI&-pizJBYXV8QjiX;^YLOn zEPSyxh0##e&LSri`D&>2%KM|tRvVy4s_A^Nie~ZpP9**v)~@HP`Yklgh2%2!&Ee(t zaDCTvVOhZg;t-XIph4+M82|n_MLo)=^=h?d8_N1`Nw)jHd5ujc&4^dAVL(Rq!m*-V zEL>>f;xM7GJFg1C94dbk_4uos=D*{k$d~i3(Q3GRN#AUSk{-Bpbw%|)HZY0N*T!ol}JZ{DmtTKX z#4-_qvb^%N@U>(d5Qi6%*I}vb=@Bu;`--E_!W`tgR?=zHh_!YS><7=m}^Z&Hg6jvPZ9(-@2J!9pF3Gg#mHQ z2kh+xvggGwg}%ij8lMHCHjMY@e^3K(1=m?aJP!KMmR6JYLqGqD!7~MgBR6nZP9^BK zyKZ9fn~X-{~N3WpnA#dd_slXxT(c7P9h8K(}R zBY678s&+jgiYuPels%MuN`Wxa2Z(ytZ4w%3bGl zVghhn`!0{UAbk?_dy7%bqG}@75F>vgoDm%~M$e*+lL548Y|+fpMy+`Oakers*{Lr( zJI!RlVb=A6kwn1QR9|B(Xx~I!$jzUeQI)GiGlwttQzf1KCF9AQk7DIVDgYG z&)Z$&Nd!PDN%^o1b$&poc zR)qDzgdEZHjFZDT*#w_0`($N??+&7&QCJ7_OLF);1;T;u{6hz%=DKu=%0XBm&W+*- ztZy)h(#Cyd2HRl1!~$OO*x#8|R}@6Ezv*DQ_=k3~fVih0x8bvMT=8lf%55j)vz=B> zHfu$tE$>Q*NY@5&BL(&$A&?uffJ#MM>MQxinh|*y&Y4j+g>+v`!I7j4k9%l8EPn2H z7;ci6d%~LV+5RN5miaO_0*B0c5D)(m!(bvdB_=H$@n|<_@Iw>6;CD>WRzaTFx?flZ z3jQA#+aiuJpIv3PsA1Z&{Y=g|`?ao8;zjqvbu5Q%F%&+(D^KAFfgMANfVYAu35RE7 zefu_Zkp70^#eae&SRA^d3T{Vw2LPOj&S5~`RfD3#Kg|{AyDr- zkj@WQe)ih7U>o$=gi8KltyZbBRacjMLitx)uCK~8)oWnT)e8Ru;i-AoziwoRjTW)A zpT*4s6UAGXB!d;;&@wU-TAB6?3n%-D${&+^@6Pz`5q(NF_Sr%;;Ef{X18t=qek4FD zIK%EI0^$D*9*f`g3!NVps}H)rRxXQaoWT4wK^Cu*=P@r&%Y5H?Sr86x!~?1BMW(v! zF;a0OhgwF3tYT?#l^2~}hh50~esShoPxN_w-1d+QDreI|txjbb_j40Gu6(|qZ;5Cj zcoL71p@NCK+gwpd4D0bzU1EpmI}TJCNas;@4%9eF7f)i+ zNPZ(sNN%zr05RrK@t7^4H0p-#o@|NUT{-?xLE<-lKOrQcG7|Ic?m~D6TxH!S? z`0pR%vR(!3o2*3Sx8I!nzTUTPo^~b*?dyc`O5SYol|R2fdxH@z z>{rSCc+`4SWzzAbJTo*d74!GhvOvo}_#fPU!zPMe$25wA2UB0o*z*fhTZg>5LWfo= z)KdDnukgN5lD{)>bj4w9bmTHEYqYHsa`}KhE+0?ABKE~^?TJz%&fon79^c8DlV{}* ztN@2&x9{V;lQEw{Veu>*_mgJs9bFav3n#a`T@U#;y;GONIMP531(_W1XD$=$ezn$N zaysQ-w}PQYE0*wBQ1DMF)%ZAJL_&b>PQc{f_LZ2JUcOi4Z!jDPD8!E&>S7;*9lU^& z8(qy0V{n8e9OL(2NK2Ie4QcrgbSdBP4}9nZKcrjyhjaXQnB-I!9uMayby@&2Mm|cU zki2x-v;qF%PX90PR2~`s<5zHK>c8Lr37*RLe}Sj|L!`R=2c8;++w-sa|IhGL;jjM# zPeuJSE5G)_q`$VZ`p_-dmbFrvg!P6#6(2}VBWZ^yO>ORn+4*%X1~yPe3|IiE3|+3p z{T!q~ZmxGf?W`HQK7A=q`)%CeSR02(ws#?VQDw_dYOfDfkgzYsMJ``zg{<`}bH#<_ z=CbwnX_snYMfBBUWw;1LMUVEJ1_`47_(&C@SDB_HOpaA<*$S#0Ih-Tu)a6f!YF3fGvG>!9EUYbkr{`tu~xRrxqj{=6V%_3xsAC+-WlEeu!89uu3!eK=oe6f-pri%;L~*Y@0B_GE&2s zQeV4*`f!d_S)T)$u$rG>yFyGdioc3!lbqX$bE;nAHI{S8c6^T})kd$cxuEj|ivzV4 zncF#OU5TI@H4d6M7Kl`NCMlJ}cita0QSoB_;sC3ONDf)&Zb_7s3Rx%?NqP&RoyRhl zh=g<1ffm@}dqJR8gKn3$AlOI38<)zo^QPB(r3=sXB!iTbKmT3s z=~`&;Vry=#8VXCANz{}zF2a2s)xy20BHbicuDi2wxX6YESO61SsDC177G2_RL`^+p z<&4|n#QPClqB4MAx;|u+|3EAOdK>-yq12Js5vq!9o++BNx$;gCLW*)K1F#z6ZS_x9 zsfhmoVNE{%Z3B2)#`}tqJ7;D1>^hi5;>+PQ2;(~XsyNV|)WMS{P9Nif#YV_G&tjXF zb(uBoYpH``M!_~2;|t(c8}vQ^Npb3+hqHhME8y6~JOPe3h6bSY3S>_FjRl^2{){*HF;&WIjk-aW z#$e-QwG{cQ$p~`p1P)H&f1>2BA|K@rDIxO>FT5~8VUVjS&gNT*<^$gFE6#{TPD_Os zdij7mkieYI{muOR&$)>BurhAYLH`ETsGHsEz6AOYJAC|h!*0JLEJh^3&eLFn2Tw~uyGPp$Vs{c(zY)Q7%A2GCI zw5-E$u~#SZFmlJFLVV!_Lna$wo!GOYnLgx$D2#|&mbmP^&=pBocV#D!DXeL{0;L(K zZ$7@2((BDwG&1-tq3iRCXoF6 zD!;a%a|8wbhvmSoumIUad;B5TY9@|zPgl}8!^M`q<bS!QLR)ck|K%eA8`dH?O85 zu9*zd1@wuV+p(p!lsD)kSG0kwuK8j;3CE(7NuJAjFts~_uq+pyeZVaA-cP&-+D&w( z_kp#XsC%kqkv_#X!fVUwxG?tuV+nH>IS9+gfiaAky(}fcSXyW&{#<{k#=_xIN$E6s09_wg(^JimuJL+32V z&wqeB-eY>ZHvdqBq-knHIn)w*mS>(ya^gfIl3b){`|_EyIG8Qa7JqU_miRkj%bfnA z*W^%4v96&1dPujbyGs1ILZvk|ku6}9nbl=LL(3ttCbnp?W}76sf;}3%PFEqJ2urs{ zaQ=wUt5^S-b|!_#vW-U}15uwD{p5GXt2@p_-x2E721kfUXaQQXlVEgaXGm^&C^G#@ zGSY?)+5ndAk){ma@zZOZuNb1DQb`wDOXrGp>n~|ovs(R{jHV93dNO#P^#;$hv{g20 z0(EkbLu>5TPx7R+Sk*|JtLt+0&;lC@0R~gu_xD{_tV^}nEyTM9$u4@AB(d!l#*IdU zp3J73HK9jr#LrixUw)8{q=5xIzyf{5&wsJO&lrjw^fOq>OrT8v3=X2KRZL19kdf`l1Ytc zQs|F41Q>B!QQW#~F)Y2No7v=+m{`tDFDRVL!!0Q~K~1y2%U=ZA86Q8>{HOo)tK{9Q zx3`^sxA0P@>GOzqgN7qkC7m*3{pc4xa^TeZaB=8G38zjs%ZG;{e+bN$vdQhlHjGgM z-?RjHVoQiC#|?j@amCsn}9FU;KVcOnXZ zJq~hul^;CcmvPzl$Bm%)kSU}|0_vdrJszZso@^D(EH2h&Pp@07`g5MZzz=6Zob0xO z!fl7PU%vSZ8wH4*_(q99o^l}Z(vZ0RlO{khBRrv|jizN#D z!NsaTwV^XO^O3mR0Gmss4UFDm^CV3O@A?&9=UxkhDV09jn=pD`r0= zh5Ltny@IRpnBR1JMUFz()S9CjJIT1wxL5?U!D_ygrH*W*_U&xLxZxENYkMo@K!GRJNN!jL1eIkTnW{-Cc_u;btmR1c@n7E?lmm2DcM>7jm z#CT_q{zHLEp1@fk{a$BJFqv}4Ff{ORYEO^VWQ<|<^6L%KOK6$Gf374e9jlLP?TbkK zRE7wx2{vHUK7O7|I$_Dp@XQl|J1lQ9I3xNhuuLFxVageOn>wiQJ-)YetRebs@4nRUA4@5<#7hTGq$(7^}^HIk7hWI|YGfc?dx+Vs|9q_3x%L=qWR(+h@Q z-7iPAgs*xcUu%hoD?R6!n%GtG0rUIHk)kumzi?V*SUA@{!7(kmQ28 z8h6qp(=Uv$TQ%@2N0A4ysBuZx8&tO^a}gR88wHz@V<fkSP3-uwp{46e>tn ze+-Zq2xHkTXP2n_sd|HC%a7<`x3nX}pSP!p(nKcf;q#u(HUa9gxut-LnCzyUTz{rx zR1upTogUMrbYUx*|FTG~g+aNe|czUxio&_4F59w?AB((Vngm;?!S+@L@MU_28 zPd&~`B9@_FRjYyeMZws~uGET;f;?RQlGF8#fQOIBCt6IR;(YdbTBlZAw7pq#g`B^B z7AquxSl%;I-VN-!TKxWwQSbQ!CP(S~EKudv&c5p;L*j?_W7S1bIH_{%BQ^cQ$oe}9 zcXu|u40%Wd5*6kyKNH_^U(w2<|kZZ+aW@+qEPjBUs|E}7l|yOD+` zBk}RLWoo|s&)-4Hjfe>?hOKFrWX6#>Pp%EA7>%!>i-6FUb%c)6Rkn0*?80qwL^yTe zEiwz&83T&NufwVDG2A8PeAeg;9$UyfRWGi?Jj;r-*ONU<*$G_i%60@6Za5RphX^+( z459T&Zk{t{#BZk^W*0i=NIXjM8e)N$uD@SRw?T6^@eGrO>UkQz<;3Qb8`;gWGGAy< zEiURndVO~mNvcPEdbCLx%H0Gfn*T`Ns_NkDsXqNG^;XV+7Ulsg@c-Nk@s1mhoNaak z^Z41(7K7RPBtFkzFK4# z{jCl3MwJD8LfKdp?{$!#A#i`m)VugRBK^CAZ$(%WUArthbUZ&z2cAt>fh9C}K` z$zpt^7GL>`5_Q({ysiSs>6o9sd5y>abj%lEn`-3!6}2>NN~LmqpoGfUe4ZfB8*6NJg$vl&cIhzK(I9S$>NFzLZbuWd;cJ_0gf# zcJL|^?K)ge>X2HjY{uy&h1<73#mbiVcNOMCVP%`j>3gMg^as2smrd1uWQN`iCpQ>v zWC#!GGD#l;HC3nZ%Qnm7Y@rO$J}iq5Aso0raJ@SE$Q+@ot4TDeMYGvsB=;#eo}MIw z!D9u~u-`A4G!6j$0Uj+79j(r-Y&+Ydf1CQ%&% z097}EaiBlUmj=y{zB68!1M~||qbrq>p3FIb&qU}4<7!bOcYqL=!KkiE?`n$Wa(8o2 zf@x6UW|z>WKi~8KBA*y^VPa7u-;9qjTQO}lRh+00H`isXKsW=L{TN^$uq~K>eLPU|=v3G?O5)z7pKi|}fwDjZl3WP;TId%eWxs${3lAVgH&%-+|9 zTGpwpLMDuZ^_yMT2Z%!(U@d~&O|)MKPiJ#DlW~|2(oxVC4LUu}4(aZ?5L5aEiI~-t zfvR@4pjMvfU!C__+$u-}Lpz(2X_AV5M=%k`qej{2=P1_Dn*YmDsgf0JCV<5qIA~UiAI`_Dl5(6NeAfQ$=}+LeZkHIbAlNQ_#@j%`F(i)?4@|w6 z>s*Vk%yH|%>VonIt+N&LsKj3MZ6;*zfso3jWm;2AtVx&?e*(CbGLI>~=pB}to$-|f z^t&YVkAVC|O+p-8*VSACxk|C+T^WSaRLwU`z>&{r^J>%OsMJIbzd$iNYPiz;<65UZ zLF|`3KF{(k3yW2uSLhv${oakF!g}8IwU2gO*b4Jp?+qj{XNI{imtmiB z@~imQu#4l%M5m1h9q3FTIUl3yY#k}9$zi^`W}iy{A7UoS_zRfpD6TWjfZwfR$$Stv zjH*&S1QPUgKc~)~i)2p*q4F23*BMrFwi4>w38U}npk;9G8`4%8stIf)AkLeB=h;RllqW8cTQB3XialTzqZSzJw+j5IjN2@ z#E2oDV=64l|}?D(R2~^DOr>JvY?wu`diztJ`Ka_j?4= zq?PbGUE)O`-|z~NvzV2$Mk(LCu~3A_)vp~~DC@JEHUq}eIl-Ns$PG#=0|uD^sC4n^ z!Q}ILjpr&kLZ8Te0!(q~Km#wYhSdWjzkC#{@6`Wj&8m{YFSz&qm@73HTEknDhy6LK zyE3{A-#C~IrTahyf0w?;e%gaxXDjni9^$`0(U&_r>Tf;m5Y1C;{FYtVPd@`&973$x zJ|S7Zc++vxg+9;gEF{T_S8sRs+aZd5>->F=TIHuvdNXX$@hn9Ui;|u41$X5UQ|6DS z<`HC}EU3z*3m>W6J5W4MkOeAvU}-s7WuzR;fdsFEgpkAftlaJ$TkQL3 z=2hJx;&cv6A%gyc7Ry%us4|)gaiE2P1bDMHj%3f-RzrjAV*qiy5cgvx<(tgIVGI_d zGTy>p%w}LmT)+FdeW>`iSuJB`0R zn6)q4fhO?+vUa~qD(4)yeIDH!UmCQ$pX z9_6OP920$<i zFS5#g8#ZQnGCN!PLor)>{T~N@r3T8ambUUy3N&qLHS=+Suc^q=6Oia-wHNW*hG(}F zPZyTS-DS&eu&G=YB-gL z|8y`hWymd;cDWH1;F>HO4s1C?m+L$tiDuz_uF74Af0tr$X5@Ygl}{E>>khZzmm4ai-}s$E+$&9T+(kX2)xJN^!+)ZdjBRnd;R0+LS;5>l(EtE;WvQeJ#_7^uPyu<0P?gaf}uuBwTVvkm1#*9ohB%@4qOu)MQg&;rSxil6Ezl^R?{I zs}C(bvJk)K3F8R-<&JWhJU3i#ilBkEh4T!QS$C$tW5hlzA0>TXaMBGEPmph5nQ(q4 zeZw|agJ=>yTXV^Iswv-b0BK%$<=@v(14lbI%Q0yuL*9`co&YgKks<1- z41R6cMaX37=64GYs281uH`%z`eiHra+?J3P?2wC#oTMjF7SEJFvT)u2>>u?`Xx5Bcu;NFx@7C=;pa?>dsA9I&zvp8ZuLGxhhD zMI)h_k|c-mH8W#AJJzZYo*_c><1PaheI5nV+&Z_-fXCVIEQIVPz&@~rV+uE=IXh=UW=RVlxT0K1~TGOsqesj1fCN(#*$Zy(V$z+trZ$S&~&J-H&Q zXe)|}uS6rPl2-Wp49R;h8({hHr9g6h^5|&EL*nMlX$4lAJ=~n^8C7MRPx6@*1(OR= zNA!qmGfw1C!5lo6izk~P?gHi|1Bg{CC|?{NUe5I+otUQuh!p8z?bn z_CWpYQ;hP!`9kP7cdHk_m0|u|4K|G4y0w_n97IQGXQPLxci7@}gh723&h&ncc{c~~ z-Q698USGd!gFsPmYn1d@t);GrT`^-~#kTQTYE%a^CW8p*cERHCREOBd80{gz0N@L@ z{B}d~WsDe%LBE=-O=8Po@u{2giU-H;!_1BfaF4ejkz(?OCUe$NT=$hp;;O)sBrmyWa=eppzAn)gV~hYfh%o&U>bj zWfFyy%kn}}r+h)2T#=>$kr(HZY?l#|nc%ix)A;h{)-4lu%lDp*Ckt;(BS`!L*`hn+ z;A9tMQMSQrX%@vG?UVd@4(08aXN*7Zp}Z6jx;RAqHuqbn{x()0X$q3OupyX~R$6}K z{L3BXlFwn4ar1AproKh~ryHGO#o~!bet)W50oZpd?1BgN-07m9sJDAV69?h}WhXku zt-nS5Gbu=erVyONy@cG*V>!t(WQt>@jNR2|&>Y$Q7Vp0uFx7y<;m*}q2e zwp6BYL-dJ24s)-l0vEAz+pneE45`W;#`DFx(Zz=B>ui5YuV!mG?fxVo0^Ju1ueq$C zbjL0sURXKU+Y*bDd6Ydu8mLzv>wX-x%_@xQ{+rOel>dw&AxsY}pBGUm^R9Sdk7CSQ zda`z~<(jElVSFI(?2|@UzV-4n?fJN+D0>6#)yLOwHqQfR9M_Q2i#AScZ3P0h;B3ESo+_?eyaa;708&WwF-LxqI<-qrG-?~crz;NePb z8@NBgq_~r-9hv-LKnpAnW<&M17>fUsDQHQ(<>7pbd@Nkp3~b>{!P$z+74hjAu;;O* ztbDGH-*ba`d{=Q!}vmel*R2wyMfzqPdc3yhdiAiTDB_DtMmTLtG zd!c$E>ZwykzN7H7Je?b*TNr%?E{nPH^jh!wbT@`H|ls%|kEkMnQL~ zCerE}!uhWgsx{%b-<6G34@^kQE=a5d&RVudO3E{(=-BAVnOuJmmA?B@dC0-1@(_)* z-_;@Ffc>xd_7mAV+L42Lp=$Zij*ftDu>ea|h_tKaU7PUm$OvBrS1Qr&ey{zZR+z2g z_AhUxx8H&`z__i~+zLd(yP_SRla4O^yD2%YMO0Ja zx!Gjnn&OXW=w|Gi9Bzf5qQgXI9-7m9r*F^7S8djfHECx!DmiyY!)i_By%SOITjdnn zzHjfK50~bL#G4sNP%zVuyxGc@OirEoyPrLA>Cb>T6Mq!s^42cRY8KhwWf3#`S)rfDqQnTRzZ3wdW#|(E=r_ODDRjUqcK)B z`UKw|t*8JJ#=cNS2FRXR{w9mgM%KE`5ZKX0pv-aE>ENtTXpf;L#u7c%*{~v+k zORClt)s#V_GX7mc!W!|o@nJ`Uy9p80j=@hZ+ngt5Uy^V;NZC`S$N zgK&$qvJ&2>(F?1vYtN_)IF}1mDab)Fu~4$Qu$>C>g?LF@H?RWcafQ_Iu%h@I+pK7t zq?{*;zIo2sP9Y|j6{=d<`BWek(oZLlD0|th^e*;vuN6boLN4SBtb*U0e|2V+ekJ~i zRYRq+5}5)&EML|8wOcz)yhL;8Zzj2MkXC4DK7zZJ;J`n#LCg(?c*EjESyE%g+TSuI zV=hGswV}+Ai%|O@)s)*?1ttKQKfpIR4EER_OPpnC^pxJ z#wd7Oz}dUy?caXpJ9uoxV?DGPpLZ6Lc1o{7&qftY0#_<%;-CFA4#|H$e-{O6o_(rg zrM%57(iY1dR7A!jHJXUiY0j422NsD2Q68wDa~9;AOH$o)YWWZ;jjG?_*5$uZdBnq6 zi{ww*feZVZEa_KSsg0UOtF4B~qz(i9HNWqXdmscoru}}+Q5k|dvZzkF_CBtkOKx;uh_)jee<(10ZbXL1DveOraODIpeqt5I!lOcqYn-MDV~r+~U{1P#Fg&oOTnyJ}!6>&TQOcy&u083gYSxpe;*XvEDVNj3YqYQI71$b?Q@5w+aAAy-nP2{Br z{f}LKNoRQW!lZ1*%NZ+oi3)cpTe;;4&Ata_FFI!TU_H3~ME)Vej50>wEH`XQV@wmN+*{MIp!(@Jd-H|!SR6EA=K~V1jf#y;8cQsogJB3>+e$uNHoboyuNzI7oWi?UMWrm|3v!VHU3fFO^BhU zjs6Hglcq;R$L17D1a$KCzvqSFT`@%K)EfF0wR^gn?{k`Gq?!mK@znt7qJGdHF~)@= z_-r`e2gsJc^xh;gAgl)igiP@+j!==!Zs&2sU#|X~y z%4U_H@PmzFgm8UR2|kB=QHXFcG`N^@I*q{GC8M|6YKU@+OA+;E{M#Z^7Orx%zw-<_ zHC)_u!ltQZl$+SiqQ#P(nMKnk(IL9@3SXbK;$rXB_+C*}?IGmpJ-z#U*@1klFo9)P%Old8oBOsym) zpWxe>YQ;--#Z@gXwfEq&CoTMi=N>e`pO9=>pG(^YYdDzsk?68Ls65s6^!}Spx{Kq! zTOMXF<p{65bDTdvFXovlU+ceZySvrzIOIn7rvPryWb}bHGs_lKp)}16MYIGoh#8_ckASF%L zNkQUN2e6tM_qbO%wc<~)?z!?{~_!)3vPwE8ZjZIGD);zy>C6;C9wKQ)I5F0KSWe%NjQ05A*CwbI#0Q*Vs2_P z8JvQa$&E{naOG@B!Q!sb&^e9SLq*&Qil}o&MXw#6zYU**mhPT_i8|`UYRiKgvb2QY zE2yb#lIM3f`_H3#OVb@ENuct?Zw%A#?hdEA9DCw5F3s&D|dcvq0G1M5RZvNMekNEiWSUrmw&B(Sir~4m?q1 zq>yB8)Bt08eC#;`IN1vM$RyJAFjguJ{FP9PApx+=10>s~?WXbNYKkrE>IJ9W3WRQ{ z`3Z%GAsKW{Ji!sKaY>uVxa(_x1P zbgLb+W5a3LSL5(nl+6zA|LL>XcYo!-+-d>OlaE<7Z{ST$V0`%>Yw8yVNJ&bDD6+EZ z(lB-e`0~g#8QF-Rphn+qB)TP6{;W|lBt*KpLZ*_mSS$VT8vfZJxL4z_d%3`qa zd{^KrzY@wz)T2{q9}gJbUZuWSe`T#&sz=jXV;2%Kr=fyIjpvZCWh5T&#EP@9bX@f0Vxry1salah3a%IuE~!=L&1LM_$&98m^_J#HajeIXv%y{HRe-|)>t3zTvWod{avi`@v(wv|!ZVhcaM1=}T;zIcOS zsh3dIGwXxSyuxpZoAd7gH|HdbkNpLSOOCgQauE7i2AP3(Pn>*@PhMaryqlIQlJxeJ z6(SAe*)n%m8|kh8?&p+4YNYQijv)hj31dI?xhCMeWZu$2hgTF}lCV1DN-Zi%p0K4o z5N~1^F&P^t`$>f~)q`ZKs5nv6{87y&tC<>yue@DJ7?ESE*gorw89&L6RUu|U0o&^W z6T5sj1)?~+&23M)wcGsWJ8e-hpZmTVK(2Y&EB6DOTvQvE(AKc^y;8u&mUlLxdR+g8 zww;(AUo`Atcm|or?-sw`mWYPs0N)66spuikFoS%P(gfW`5wS??+^d1S<)=1L+bQTE zf}tI0z+2I)k`t`hmu961F?uZ%^D>kuTSRc)yBj{v4bFHOXZ9nS;ZCml02z|!>A0%r zKY0s2dP7*EoaA{^gMWu^Lx08M$|GCC*LcE~UV1;%xc%>yFvhvYTAeUX&JUxJF|zEd zFt;9furfcT8K%pBv)vf3;Qr*2EW}RlPP=;6tLVu6ZD>+xkL>eqvFb|&)&f6L{0Cnx zHOZX8;APU4;bsPC+MWW-@+q3$U8cA^sm~{LTmODV;ZR!s+Z!riP8ECSWqCK<(qreC z{P3>QQ zUx(tk4oT;<6qji?0l<+Ku=`nu31rKhcK#lgEb8B4HH`O6U5s`Ulffd*$qf{YgRhSD zBo?PrnpycFH2z^hi2VUl2Zk!EL>#u(l&!eQ>{!|L=4UFhJCR&p_1RQ+o4xvROjOvo z=0pC(!z133@h_TH?^0@5oru7kLL9r_)upB5PqcdLt$yC_q`bbJ$se>FiZ_NDU=Sf$rC8? zbe+IuN<$FF$L~5kc$zm*Vvznvk;5;kFJHYL!bc_045I7uytfKvCE zEU%kDEDDs0XZ@ct9xTg{V4Mx>vC@jOd&eXaI81NzazML3jk%o$bXk}Rdc(C9+;@g? zWzL1{J$^OurYZ^ORYMB=pCIMs%!3}8iu$>|DCSyH1Xf;O^d_q_f>U<7xN|wJ5X{AR#ox5@^PnHwNIXGxV!Y9F!)QWbi%o-&CTV+tTVIUyb>5O z5cQN>WNBf90Z^`Rk1#4;G7YC38-=FcPz6dLzSjE;b$X$*h3Z=F#p*I9*{C+y#4tTS z?z?MEq^3+<^q)?ZQw>hKOE*L7a4Ib3dE6HeR7~pL_}bt$qeRTt%C?>%+Y>BUNxb?i zT*~~(4e#k&ZoTL4m?kYQ%bP!+R_i$adpm^-lcu;B{8EU+=FFGL#1y+X&3U5B;W84> zo!62jaqEBmPy}!_nc7?JDP0~6E}CQa+Kp-~`Eg3ebSu%sYlHe2A%QZ!nOT@p)67h% z`uyns_cpN zvHv|w4ih4L!#<1#T}V@jW>j!P9!yRtYNGd{m+Gkb7Rq=^K`s(_ zhb!W_)eO)HBjK=bs+e;`ltdHM(_Kp$Wl7q? zzho3`q$RK%DpnirGy*Mh{&xJzFayHFGW!G;82bhVv@n;vON_(0$_T&Ht_0WiSV`&n zPcLq);g>0xw+-=%{riL*=#s#Nu6(VS{MfR{2iq*yC)?vo=Q*y_F zsHWGGpMxH4mxaYr=1>kg|%Np}lV z>dd@@r{i$8nm+)(>~ojkC)!m5eSRa>v%675rOVo{=UF@|fvYT|RTS$^`57zFHJO_% z6rcofr(jkq3p*{?fpHaHtZ2n4nxXjOyM&QQ_Sf^HM8FHwPqZ1=W0&d;g z2&(kDcSLgxe4{CbuL7i2)bISY?;rSut1$lo-bpzC#YYQmo=l~IK(>ZINM8nk`cj%l z*5GPm;(HZyW=>1ug)ECvl~Et-g`J^|KmBJmOsvIz)l0m?a{#O{l4c>k*djE;l%c5; zZBmIi+F27k=CshmSm!=&FPbk|ufz^e!AaMEKo;rew4z-oIXGdBp)B>Z(- ztB*Zd7fB#KI)|c0@#m^KoEAE|sFC#es__u71h@-H zW$pM5j5)rvHtj0Vk+IaH6Hp{KD=Ns;t1Q&MWvAEtI+ZT%T0LIqvf8u)<19)B3FawOY}mtDx}-J9lbJ0j*nMx-ljzL_G*2r zJo`u#(YHz5S8Du*HI0;#GW65aRfV||{f8i5cImi0@ohJuobT{Y9y-!El=^x@G;}3N z#VA-;~fnr6xu6?m~CApHCNpJ1c>{}?!{{tIkMgMI=Qk+8JrwH zfBu9ws6?v-0m0yx{h|)pAJ3t)Vv0{2)=rAAymiry? z;}F`mj@s?Do9{tkM2TLtkyfb@L7U&z_YXt-6jLIS@0(AV%hmILJEF)~{_EEn604ZdTxok0xu(K?kzs_(rIn29FLrvCRU;7Vfuq-7N0>P|XU zI+_sgKdjv^&+TyWJ{6@5Rt}j6KpNS+tI!8$?%R0WL6k2q#1=WxQV0LYdIl57YW;^0 z1Ce%qOp2$Zl&g*Y<}lvPMqeN9eT}i3VC>#mg^6PJzaEZDL+61TCg19G zsth!ZTI@LB5=*oD0C2x$SpClp33=jjt>F&cQY`}5Vr9AQB9h7+Tx>K=-6N3ppG3mt z;i_`YdL-^Fe0+KSXM9=u-x4uvND^|HkTb3B{_I07^hX2n1f41Nv z#)h@))~vSf-7?^Y0%D+ej1W(v;s{L_aZ7y8uW zJ|Nck0`?*+fop`GAODyfyqjn+OG^`=aokxFc)?HjCo~yPA!6OPhY2UMSa}{eUF`I4 z*_p^SPUkQ#3lcdgz=qqN^d|Y%DQQ4CrX4}NQk6#GXSk@|8iTog}T<@*0 zsCZXi;^VgY-pmoNp=*kBU0AL4UBj+Bqw90G+x2I7^?>pDFzdPOyNjw)aRe2r$W_d?Gy>LqwKoPG=Jlf23s!jbT)plL z;zR1>8P|W7#;g*=8DDOKUx;caUf{m=SoiZC7i_7tGDpH^?JwtgWPE%&4RVCtvdu`A zTso)m;U`9vrDz-|4IOxRem$uAX~y)S)YrlZQ>RjB`#$HYquo&v(f07qa*J{l9)qO_ zku>P6;HSq{|C?Nd0G5wx`I^qHhCghR1&sAb1Uyo=vrj1A%vB#;4=}j9!=3!!s&ntD`<{EN&iSyZ8g@_D-aXxGb@zID{d``vUv6#*bA*idKH!yi zhPwq=?JcRK2wOI0{$40jrr2Mr@Ms55J@R`hj3%(q!*Y{`;n-Nj6b1tDoc~U}P2u)P zOc!wz(1lku&~CJeL5`!7k+GAplECU~`)KEzs=a3{i_En5_pko_)vU4+JZMeG&7^M$ z9dx;)I8@diydM0~cCoYoMqnJW=QePq2z;o1aba2O?svGGGDs2hsf1-*`eT31c9$L< z{UL?NI`tnoWFET3A{|K@qv>Ee0v(BK@bYyQb%z#@XYy*F_AGlSm#xSfcwXM7VUuDr zvvpj>?r69KHujE>5k5cfoSrYS+SzA&{y1RzhoxQj8qy}jIb5hxpD5RAuxQ+hg{8F@ zRGug@8j5rR;!RMzx~+jt#)|=sJKrCeyOy;yE(JtRcPi2zL@&Rn-WVMSn3+Tiy>tz6 zW5tj;9n8f%o_6WYSLp0E8%EJj+>LHC&6If2%ifv^9H(iZVXZCXRt?Pe!JurV#OJ7N z?b%fZl=Tj~N>#g#BEjB`HWRHH@`EtKYr)q%DVxL88zK&~-!R^_CbzTtOgVdDE#FX+ z*0lvp(nhVr-KO?;dn~EIvZ?aopsZ?iN=$pdDRgqorSf#pbU@_eZl}=)v}#lIT@VcY!a5 z(w(1Z8es+Vg3QR_! z4DJ!PFOXAu2KCBx81+`Zs>RQ4ouo!xDw4e9e&=w$k_pD)oDJMrd-gE7N}jH@ysi8) z0q=r>|Me)TR(-6d()n@IQhZ@=1Y~g7N2-2gaMX%3_@sn?1RL6O-uEk);E_-hrWIB{ z4M!iH6HD%x*F(M6SQwsmc`c3UN_wXmh8j1!py?#oj%fL_>e+L-A=ta!;j$i=h4@5T zWm~9epgEnfdn_|JyZDf z7h-NJ8rbp4c_TWODLSbLBHGjT=SZdSD*}HHPpmN!Vol{gGugYq8En+3&i~IDCmgO&>V5zE@^~sgf=#=M!q)vgW4nWy!*kEB#rgUq z_;fl63uCd)bfM-iO6Ghri*ytjOI621s>R7JTfYKCtVb+%%b#?nP5IPyD_NxVwcDFP zE4P@Hm_9PASV<;)5rztu$q^5v*$w8OGaTHdcX~C!F!5m6S|+0HDtFBn!#t23r;1=8hCT={Dyk`=VUU&YO8bH$Tzw}Ut9=soSgU))4DHD zgeKpXAQ3Iir+zh82W3Z3y^{%y@h_ej@77>$rICWyCOuz1Ny&TfO2Ti+OKX6{K;b#a z7Bk64)OprOh{S7M#tY};gGGJ4^|D&${SL`BLA8IQc$wGv0D;N+i&tcsy#e?XhB-=L z&1n0hRBASErRcc#M=Y>OP$@&8Ak{%dCi>*-rD<`Q^g>`C5ku*(nIK3rB2R#3dMm z3^Fp*Qg6Gi3q!3j{A0A@vgraFt=4i+jaI@*MN~Q3)5Ru=Dz|5io&qGxP9lW;E&;U&W2^kOuB>NM030*^XZye$|r+4 zWX`GfDk{+%_K)z;NB|0%GOqzw z$Ls2W&Z>RC20X)B4*ucnd0109Jm{QvM12h2kny{J$sm}D#(IKKEOcNcuUsBv&oTZ! zoG&f&xOYB9MMq8OhWE9oyjmdkl|Bx|W8y-na{8EY5-AdA=cN-qYI9L`fT)%y~8A}dZE!DEoJtEh(`(f+B(ghfdNTxJjs zV0g>rg^~}*x)tc)&dAm7&IJ|GGPO!obl+#Ac0LE6MA$s9L-0|`(K($nkyZ2Ha(9-< z9LMl&igC&pTcAtTz^n5Xua2?MUAKQKsqc@UhLjNf-T>qaxghm_@OaQgz@rKQmq+?Y zruzlMYNX6~e&W)(6d@;1jZBS?5_!7H?msv9#F6eSX+BWqsKkX18taqR{e4(}?Xg}F) zm896{feTfB=k+Zd;$C}vG#xB9T9uW%MyuUjs+{uFOvjCB*84)=$4 zkBNyxUT&Myl%lL@1iIu*z5xkD41QhvUH!nwePm@B1a@M~R3=$`7_4o}o_&hwmSU-@ z=fdo4xyibT3~j}u(Q47rH=yt|>gTvFB@UUB|Bw)dopU%TzbgH=SR8gY!p+SvI0ANE z0#=RUwQ;`9iOh`*bx-kLz6Y)P!Iiq#-4TyJBnF;EpJg_id^KaA_4LkY!tFg#&`}Z` zqlz33#|N8xeJ=uc^`=(duAw9gqTlxXK|w{0V@X?)&a|^da@wIEbk)@NNxZAP`c{Qo;o$8a>h~>7Od>xorf{7%a-65_ zvh?6Gh1U$>NIym^7Sdk6&kQ#9?qN12V0@D-ABxLN zb=q;8iGnAnL3I9{Oy+)lWYYG|#86*fx83fmgbAS;?(VA&MV*TQZ;mV6TFTMajYef^ z9F_H2NqM|X7wi)Um`!|G%%6r~-z#xhziV)GWXKXjZ2z7=-g(I(l zI!eoE{I1m9hwDtPTk%z?P%Q|ZN6my};-k4X?u=weUwCJ#a}!DcJ@EzwdtLSj$whuP zhZA!4&SP()#8FHtAn~|ZN4b4q+rKawThWv1bpmWHFNz|zz%Hy zpsTLO1zk0foo?RoW_Wb=XY?z2HpXpA(3%iL70 zkOOcja(m=p(VNY=*rR)qP3d2cjV10(hr%T_-h8CYWjUh&gL>x<-22E~(oGn>QUE{! z(h(CR8s#7IhM&J?dhKXVfGyoDA5b%R4Q&HbJvBp`%%;Ur*YW})kJsDQ^FqQ~$%QTI z+b=GdW^y%ASJ@ij=<(?`dnHj10}e2cYVeq}6lO$IbY~abh(8}?7|2O7d==Om^QTgoL(EGo5)*b8sSVys2E`AU?WRI zsWD2*_c$z*`cLZ(4l6@X+vl*{$^TqO99K<4|G=XKW1;eeFinqs%^_MUz%N?1!zpb( zvdjlyfAPibC2+UErlXbouos8f)qeP@ki1YaYsXa`Xr?{L=yZ1CaXLEk?Iw&yJbXB& zn$9X>E7bIeYs>!;Q!d01pox6i_A1iQ`Q#2=_ydTPQV??K5r;RbbBKZdZoDXDyWsD~ z*#&3mrSh1Uf&wh>lGrh|)*bdo3tY80F1KOr;glAC50FGQYG=BSDG!}y)-=#m!ax&A zx7;IKGxKPF-Srkd#H@VPd3HtKVYy>%H*?d0<)z<-AbZS0Pn*0K?9g=|tqW|q;nM{F za8j3rm(dp#`ANjn@50$;8%=4V;xiQA%23j-B-a>0n+{+29do9uhx{ZBS6iJ9z8*6% zNB?f?t9veb12%wHOy!9|BzOJ1?g?-&))Uo2C5#GHvMIEIj)jpkS7j8o%k&{;3^KZ9rE&EhQp;ZylWs1>IctVn%yXGTp zSPFrj>(|S>x;JB&n&cDjgJem|3|cO>4KZ=wzNjwXs&9-NHL@>?6l+zKYN_9geB`#z z>h$7T+JfyN95nByKHw5@x%Zk0|MhdWqgzBZ5@_AV8^NSRh%d))64q>in!VaxbgYp)5Bb zhsVAA!_a`;dZqd67D5!M{FCj@TIby+sty*;;KpPb5#gC+7~YRyE;@&{1vj|cNpp2i z(+YuxmBK=YAYaKso`VQ9xhsi2y*sLKqA{H|=ZM8impDk^2!@zgA|0tmI=#dL$_6)B z_%>ZYlE3f$gTxR8Y;~FV-5*IzPfzue6@>uH`Dmk70qeWkzX%MUKg& z3BL*WH@>MQ(|dC=tCU-5Bkk=>q?YXp-J1Eja8arUblGF73CEa(4Umw}TJ>SgY%^D@ zR{|NbpbuYeqdcDeNF*|AQSsXLHw5Vym_15pUwcua&7~N#g|poCn1a*i0``$&y1PG@ z{Af%3aulg@3?cb2m>7Lc6RtS{DbrR~WNIE1xs8s7 zPdC0n9aI)Fm?d^x!9Iwqgz_Z-;4$8r`jl?)x!z({ZaMr;t*ysqgE?Fpox&ub26YX^ z=m8y-?>$Z;v&%Dr=>)@U(Qwm`t_0a{bmpttXYNa-Dm~%{p4%&kta=^H4Tq3h~>7>1db4&OgwnqzoYT^IDy}4ghrW=Q( zTDoCs33b9d%jye}11Foj40@G91n{vj1E!wFdGd;{DAMhcYBeJp{a$RZ7BoFe(iO2>9<); z>$ZR6A-d@9DOE1--dPng7@E`>oZu-Ba0p1r|u$qC+d%U3_u z^-ggE^i{8K9LXeUY$9zfXPMK7FI4GNO@7LMGQwPMiF-t$Uylw;<)LP#%vt}-93G5G zVe_xWk&KqY(Cnd#g9ei`^T3|b9~)^X0wbGu^*(yQBKkF6>kBSQf2`&2_?{wOA%+XQ z&5`?U#^yO?+@W|P#;cxqnA?CvuMxH0BeXmu!jmRKX6x81eH-1c47`9$1zE^!1- z1g2~qL7`K6Dj~aqUCwF9F~>u8#D=-F27-s~8m=mUj?Np5ckm03>)r2XO~HzO5?2Zj z5#z6$oL={&x|VpTO92VOi@~Y9);47YwMZWPePgARcSgF10sJ1{_(3}=;HiLW(q^+Q zcvSqmtF+m7um&GQ#?Zdg*KkW(_5DT2ZuV;s+8P#~eNrB^{SoB_a=V2ZG5CP%KrED=9h)3OJFk3lbpO@UDai9snriF{bHA2S1-!o66&$elXnbP}E6@pThjm&sjKhP31yIIl)(x-NL5b zsh}2IezSaG>ibKt*)Auwy5?ed>SwshV5o8ubi(EozO z@S`w3=yUbk(+8mp0_C5=9`zOtt|VubAIo8wz$y=HK2BO*2p5NCA-S2a$~nP-rRHA_ zw~YaUe2=P=TDRk$bKlQ)X!a2U`2^kUhc#V2YP;d$jX~Dr>K9`%J*p7+syct3jr9FV9RW)^Hrsk5{E>9+we#S} zMz@MM&SW+IgNF5PZ_xebw(Dgg=eHt-IR&QxQ?17X2!DwlOwLTK1486q%R{w_IkX6p)-oKNj83Hrfde#v!RGs+AGc>MNI43pKVzTZFUmYTLGN+~ zLy2dbn|!a3du$6Yaqt5Q<#z4We~ac%xC*1b10DVx%T%1j&0W;6?$zV^$)zk#QK%5% z1Ee&6MIm5dzJ0zGQEb~^d1+4!xbrkksWrjoI?NLEQPOI#EJy`}wFSiX>-(C|Rz^JS zuD`~t341ID_2!#kV-G6Wl&O`++8Yh+=R=VVe@%zujDCxV?h*BW8e0o^1-y-oe=Gm{ z(2%X5TRd>(D1FN+g2JVmEz)6jvAC|rC=h;nJcX0{Q6CiSL1%oiI)3U7WVT&x{{b$z z!EH+?&92~kNQkdGnVe{n!Rk#$PErZF?;2NTuNi_#&XYYz;Sl=g??&f!{8rtE(g zf31^hf0hog4=ZH3Quw}5&RaRvGd=v<$a20tg^o;_bt(rihR3X;^6&y#u)m)C#WOlW zeJ=v0*Q_;(QApvG-ErX@Lp=5Q;31%RT{<^cm%adU$7wM|Ht&8K{&KiNrw|DRZ#Q!) z%^HUF+`FljykGE9zZK;7WVd+`Pghr~(x}Kmg4wUl?yi!%h?5cJOs+-yIr8y`?M~Jr z&E?-Xy!}II!bVGfNGN|daidnPz3mWAtwZnZX%DeQ&0HOs_S;2sKv)|M;JD}_>yI^} zy}n9~|58gZ_$CDarn;FZcKsIOUvpG{@JhQ|o$+M6rpU{l zk>bl=_I;iZ3leL6RhZ z=6o?Fc^VG`1v>%Vfpn(>2E_7#{oz@G^Ez9@-BvKP!|a@DB%UD-VOCJ^y&EbHcZRg=JD8Jp-THLq7S+vrgYBSLFmj&kh?}en=dh1#@*nll-&crsU^kmcs96tMiT1 zkt64dgsF#AqZp0kFsezx@h@2j1~ZY-;DGCF5`&IZB*o=Xf?_*=7*TsA|K>Ew1S<=O zJ`muQV2wsvuHdzO0zhWKp$GXAvp zt>sd@xjpt-9iB>~m8Rl*TizZ}KIKnYrP0w0!6vKq{S0RXZMzGlQ}T}MYzLQWOj%Z~ z$ZwC#x26}v5>aN2bjtgmHA%~XjjG&c82z_}rv*Pw@?jF18AjN%o<{s((o1|$T?4;# z7WQ1y&Ef|GxNO&e+`R!)(Fts!GRw}^TdsR_?{ThSG90dZK}!5i8uFI3Gm=7u)Z9$y zN){U+`J@{tWcWpa!^b7N=S6_E_viA=Bq={6{aCh}YFu_o zc^GRSihU7|5CHItjdynL#j8jcw#E*EWu;u8yRD&TkHNkT-+xr{uq@>Hk6MIY6V*uE znD8&opz@i35$>d%*SiNA!k71?HXRmoj1x}d4D4&kl5Xg0;l_0v^*LclvB87=ejk~+ z6#AS#gub{xTTDG?i=~Qym5MJ@y`LZdX=Vz39;b46_qsMH@KJ;e>EfA^Ii!m0(s{}9k+my94QcBF6^MEF0RjZ65V1VkLo z>lfSJXdHC#rzGzS>2HpuD%eZI#!}FMDY2%?rvRdOs?2_5)NQ*X7Mu1VG z7Xqp6XE-u`i~LMrpDev=HhmI&_{OCEe*{7$a)g{CTP^aWhcW&DKxIklGDk!otNSxV zJ_?aKW~2{Ov|PG~wovF|nEGN$0&|}~%MsPP@+D zUZt_A`qqW#qWt|8M)cY7Mr0Ynzal07ii~Fp!zgx(J8=@-HPl1-I%&@Ct*Wpf&{pX` z$(<^r6d^sOaSF;he&w#0J8B76*QcjI#{-D$zdb2GiP`yc6Ifl*#DHEtWMpL27#O(T z3`NFPv(>d^JcVC6s>JhRgr1g}X8b(-f7FL{^cV?Kh)hhR4~-cq%#q&wt+YdWr=-ni z6@!zC_7iDqiv4y7i}>HGZo`C6*uK9iR9iwFUu)V>G~OQ%Mv$2FS7T`fVURHT2ct)N zwR*OzH&_`Wn4p~@3(z5n@7yci#W zH7NULv9ZXVgKfNRa z4t4k`<=3xYIXwIA7*z9rL&|l(-5jlLxeorjZ4Mi1rGt5OlrtBP&XQ3)bHx4*nV{xcu{b61pvzP`QF_gTG3M;-lN z>r}5caS!?cZ{Ga7{yUv2_y0ntN&u@e?>{=#>{l(g{~etwK;!?`sp3kK44twjkz>E3 z`G7|IegF5BO1>=SM=C$R&?_pckCLM1jD!WqJaV5yf6a)wv(0$ezKvChjSjeSZhEzK zab`W{8Cf;4^&NZ4G$n6#xw&yRb@34tO!AP1hqX@iyI2r^g8>mtELxX9VG0(T8g**6 zJH*mq6l}s|35;svByQ)#LMBBYm4Q~g_8%iHMP3OlfDL#ScPQ*dGlF!fdM8i&(?u~Q zYy){>{bq}{Hfwb5j5dVEGn?KVx{yi%Q{gEp<-2ppNUHVMtj06CJ}hIT2hoAwJaZ;Jy$IiMa0uH5lo;<4@8?hb9ZkT+)moaBP|RBkE@IHtyxHvtH^rWDh_&N z%jh2U^=u7A{Nmp#McsR?We<&GaHlnj9S%0^S>jnBga^x>C`NrY>dGZLJhxrOQans7 zs^UI-J@aVI-sX#STHHEKZYQBN10r6uLcTG1A4U`)t4}rK7T1}GvT6pGZ z#VY+xl&r#aYZ=FP7qWIayQ=%8wz-$fEPoaB1U(j{9BcQpGO%&{Y!RINo7&=R(w)b`w8rlyYl*x8{A9l;|yYBZl1a zG`;BWnW$HE#QWCH{H|0-_WbTT%f!*Mu7|dJL8rZhxg+7TKdw^n`Z@va>|URq*%XH^ zcT2yhW*~TeHMgqP!ERcnHbRNpoiZyjSu*|kQohzZ_+s@#LLcQ&VH33zqcuzZ?gF=x z&oAD3@wT$B^+#!Z-ak>>0}mf0MtcH4bgHRxK@l`!E;B7d7L=VCB*Q}4)O zz?uHhpC{f#wnv;a7xI1w&MdfQh;hEj;&E^pLX6k2B5N=8_+m%e*=?f)ya!aKOG!j} zO9QurD?TCL194+~p^Qs}?}7Pt3%f(JUFAFNgPe`6J4D!CnAN-4h;N$z1#mQ__SAPO zksE@*QVfi=(vgl_9+~2M!3&ljayA;c5ItYd_@qif;2sO9T6Pa;SCS9ynHFBI-UN%* z*lankcwD6SZ+|8LAyxLi@Im^InF(I|#-wX3CZvSqnY)eRSg|WSxjaeCsvjWVh{r?8 ze}shyM{6!zw$xn=G4RV{N>h0MrHw{iU)8;+oy8)ckeC9gz_<^8aUjT1VjwU} z?>JX$yD8R`I)X>qj_?i}u1$>20`}TI#hmj$d$)<_?cj8lGigd=b>(oy!g+DEw$r8O zGkUh&W1thqHT!UI_1^sXAz9mxP$Z4m7hzQ;?9ETF%as$H^z*1M`@T(vSrmmaDT*YX z_I#SeB|AUv&n(D(s=MMwJ^$q;5-eM8wd%s&UO>?$s^nUy$@Fqwi4B3){WvR~MFblY zvMyfN{SjoDwc&E)3W|65WW?qj3HC_5ZN+A-q!l(u7)x`F9$VclYAhJpjVG;-lTz3U z91EO1WFK4)gOupVQPYee)6){XjodQJd5apO$oeFZKGx8G$-F9M@c14L^tEKg?%Es_ z=@pgjq*WePYh`*7hx*Dubo}E(Bj$%~Jt}=b1eAu1nmELzc{=PR4}g3}A{)058okY> z%aSuzt5Z_b^Xc?sW04&_x=^ZuW&aILVCN)e3zW)g$fT3NLL)4P3>}0u8B*meG-40L znaV4rE_uB(y<{D>vMaf(ROhZG7d)jy8UuV{vdZ?#GC4@(CF4~QwUfsD1+D~H8L$e3hnn*P2QbC^W89Hd4y#^($ar!G7P3E^-1HEe@?c7e6tP9sfNDZ7aIp*qqH z_`J30r~8Psxe>OrKopu;(@sfS5#SBs-*-G(2v4simUyo z?%RQ+a1B4B@9r4gtUwpmD%m|_Gti&ix8AI~&n!bLzKX-%PWS7?#8@M)%uDuJQnhjC z8j!z6u&0mgR+jOKc)3&%S-&nhC!EfqbIUTC25GcD<}Mm?zzFh(24J7e(N1C;S~;Jk zga*TgDJ zDwRit(BfGc4OBI>{oP??jrHU}J$Rrx@=N}66C;MPwyAZeg1_e9T$L4BGiq+bnTbQ$ zPIu6B(ci55xbx}ZeOFxi>yAJ-(ig9KzV6|qs4kt5A+X_yLpeLonGhA4m|i`tQ!m-Q zzT*vx4<0mESzdhV3XAY+TlqJ`UkVN#&8ZO2M1K#-6<2H~gnMTc!6x{DyEvI+m3P{< zw4wIoUUF#op4_C=g)HJgi=7Z6`%7kWN!o7q?;pd70uc3jwX2Y$eps|~(+s_75<$ol z;1$aotgt_bF{jRdxZ-o2Jtx8JQfs!Of6uVr3)qZK#0{2*<(T9r_{v-%{cPi?#K=Hk zuu>zBWuVP{cN1T-TTd>%Fe#Q5CB5{h8VGUAmy0Sj9JfbWRbvIZ8b3AHdqZ3 z>FNT(l!+(g^1y>$9G$qgvN;phiQKNGnA^F!zu10fqg>nP2t$ZiBl52fII^~L8RI&) zk0lt)jO*b-Nl%{_8hRWs(Y0MfERqOkiA2!%nyPJ{1=jgWiSPv*KH+xscF=BFEhK=`-Iw{` zT@1RY1sE)6gP^YsL00(|G9yHDVhDmoj`<(H zWBCw0Z=mci(w2*PgZA|nlB{O)CERRh0~L)%Kyyn`g;=y3)uu{5sXzP4s}e3Hw&n1? zjw_BYYyn$|dgBUwdenWE1XkYI8+%;&DelZ$$MvB@@XB}N8 zdRE)N2}vklOdGuT*Ba#v1gtl>TJt4=N^uL+!VmaCoeOUxQX3@xhQiS_3=}^!s60kU zL2LNQ$HYNuaVehk3CA*{ndJ} zBk#Qj*vN}KV>%8*<@ZKs`AFkgMQ8l3+M$nuX=%W!MQD*dR0G^Ob7r;wmQRQ3Nhh!^ z9AWE~y8;XLtM-+GLPXxMbrzM@%yzFe&=kHl;*+RNO5AD=$uj!Ap?_(<)HyAE>S7(x zl{lr`Vs}`gfn4I$WtEVQ{cV=Kytd<^Pip4$iIv8SkV4rg)vcr{(D z3LJ;yFkVuCouFgWPI(DT)>)fwLr`!NFa*V5(n51p@p>ANw&Ib$us(Tc`FNyCq1pYp zn^?}TT!^3G`iarVM37*qvm(!t_&x?zPt>`KFG3L^9iyv5I~0PBGWtlkBWFRgR>dM$ zY87UXQujdvxei(j-5NADpa;jEU0)|K(*hfeQ}89!XI!>1VEr0OpS0fgyW{u6`i?O7 z$eeZE2uODVVOpjT2w7XDu_ew09#!iZ%B63WNyS_{J#u(>YtOp!Z*vsu64fdi-TqWA zONp!V3G*raT6f7uJQ6Qo(=;ffyMoXnGZE=(6~u=zw{UcoLUo;=4PG+uPV+-8m);ScwoyU-)f{!t6`xgzsju zw0{&w(wHkV<1?$FLtL0v7&Ug$!1<3&_@DA1O-S%hk_zMBnlc+^oK2tDw_YF1O_B)xHu``j9X98K)K66!SIEgr< z;?gA@?d4(Se9E}Eih^t6xIi-P)`Kj#u+Z>oHpF2@elg}rRRzhqxg+2S2U4O5qG`Lb zVLV*Zea(HplFoU#?;dX(zI9s*?&^mcDj5DThuq}G)n5**4P@AkZQ42E2Ezwz{-_YH zlr~mEq0jyeoRLt;Yi=gPu#w!@-lo-QAKO$p!K8#AY=5hhVgT!X-=Kz{hap*+iJ|dO zW1896)I_?;~xPLTpF%at*Ax(jP_zZwi1dAgHBDiGbQT zQ-OR^P*hZuF&R@~6625ldgcbpg+ldqq{EhW!|Kd1PuL|?Jw!#~`(NhXcVs|HANJ04 z1aA7Ent!I^p94q+TD^xgIqXJok`^l%tU!BB%XpiMW!@aX`H3n2_?ySpW?j3Fi-8lL zurvG(?eg=@9SfJ4m+o(1v+I>5?aMn6OMAQ9fg@|)eepspcP^wkIXr+?(zo-`yF)`X z9XTT10AO=J;@(0QoA(3c1wSB%G-V`($L%&w0!cCS0Mq#~|wf+I_YS&d#H#MiQWISCbbMzuo@EfkpKl-0N z)3bdV2?p*=$V$<^%%Gk)602yj>NVmlgI-DyxngDAL1^`!84>`|I*w~;U5&Sxy5m*1 zss5twT}ty{Xl$V0Xn`(KXIcd-hO#uvDEHCto-EHdqE(|GR5gH^t3i5A!tPO|pFwlD zmtJJBbFSk2Z0Z*&jKSC%J})KBrJhp*x&wiTDLS`dfuC6;JXc3VbR*-2tw#!sLXc;zYkHYvo6ageaA4_jtzR~6c-_5 zu?Zw;qAgOEls4U1aqel{E!>uFuKvkEI>+dk)#{u79mzdwWB^GM+S}!Gw5F2RR`!Vi zPl)l3nn7AM4ApV~>{YS#o*00+oD@c$ApaYD4vx)lr6a}n`3OzyCtWl1Yn(m^Qt|ZZB|>A%DqHXyJ^{S*j#QO;1IRABm-%Fcd+|I2&%3yB4qNSgabLmQKS_afTP39meFCv zlz>5(_x?aFWdJ#WOiQb;F{*5y*aE_=hNEAqK9J8Jm9A;q)vH3Q!8= zS3U?!9P{?m!ZD!;<8!Rijy>9{`Fpf43EzBW9FMCyDB!jsORnfka9zTu0>IR=y+O%MNiDU>gC-S zf)MC%cYYINsNI+)X*5GK5U>TCxW;a`DR~={!Kr7_RGsb)A6l~LTC^bL(@W+`)iBEz zWC*F;P9PM2Z3$220)Da(tS5VxjufPb3u|cUDEAoz>^%?MYSg%rA0*)q8OnV>{gsZH zhZ-Cj^;t3KMVvYw+E%>5(h2S<u~2ivr8!8NN7ldK-PCNxpf$1xM8zZ^*TeY({0yza@jq{Wk{Yw|4MV1 z*|k9xp^-&ENdTvgVci>#tf${!3`l-{vk|4&DEraDIZ z@?gki_2+}_ZTc7L89Uhptf_oTVxEIv%izlwyvl~Zd}J8U&rA=_r@spopQiIpKR$V# zp;R=8u&J^Z@jgecy*-|;U(_b#Fu)hjfBh+}N1nM9n&ais-DU33emi1D+L>o{0)%5z zVkLF1O7?dVXbAKP;~^9_d22XfHcG2^O}`-TU2u%MpQSfEA~(CacKm0&?)czApk3U7O(S`sC0y88~JRu6U-q> zScV`+`iaweo0moPwTbkO97RSLBxa45M7_{vZ2)uCI%8vTOkf828w*=)Rts&|1zs() z0KRlJykdz*ElDPZc%h)n`=OM$&9=XPI)Qh$Wh)1iAva9?8Oh!~(O}6>3EEL#-g#+* z`tcx__^|{fQ_nv4yQ9PCk+Vjt{fWlHofC)}&zmZ0tnS~hu^oNMVvCpUpbs}iUg`k{a2cJgysMu7u!5kwe2 zDP0~l0|Ic1-I|u2&Lo>ZHJMW@Wp(}PNK4F-@JE4irnj$s)`-Qf_>@}?yilGJZud*h z_UPeufcED2z-^@)gcWCL-0F=6<1rJYC8lZ ztB6E?51kfKpZ8WwtSeh%8|&8^Eoi|d_kG8RWW>bS?7ARj=6AlUNm8&~YyX=s z!ZOQgFy+nlxxpEh@#$Cis7oUHW)FS%2WQLRm#)m*n4|t+R_d&+{e?s+SaZR(t~-{? z-q^pGm&i`%%CIffe4$Mifi;LcnQiT4gnGH)JZ%560T|$xq>hPen&)u#DJo<43!}z_*Hm#y1S(37@fzo&=nHmMVDZ zDGj=ZGoK9x&*P&N+?W!!!Ud``&{$wMCG-lRzFaqy+4B1xPSqB@9yLVfquj70qOHe< zo2FqKC>}6Yk*2I}--2Wpa#tGFHQg!T@uN?)sBcd^1)7>I$bvA7Nc@69ZTU6Nyb9nN zTYdzeTMvBSoX?JCuGeQq<*vRi#pfxy%L{U&-|NKZiig}^X_;NKVP9PSmo4_X4H0t}XcS4Bqcf;Fl_%>4v`_Y4v(%fY;l`l)_k4nFXu$%^W!=zTefIKqaj<_? zqbRVVA@ni+pWWz{rzPmnje;E;#)Jjs`(g8E4L}}DorPlx&P*sbqCEvYT$zvXF|{O- z@Y+OMUN1FRULA1n6vWDVdbS$}F{x=7Y;QAPA1)NBR{_`(fU2sh!wBldD!x*Lg|V5L zk(i?2Z5Y36=jwL2U-_VYbGtbrYEf%*+!v=F{I6ADVjt8{1b>XS+S2-B&`PpGtVY#) z@1h5Zw1Y$Ona0z>$Y>`+B%;l%IZ}(DCa=eE*mA)-gH|5+abt`B9_~Ayv-#2Q#=e7TLPM^Km~rt&r!a1a>D5^4oDm zql0K#nyw0AezQ7`pyTk;tFys3AiBE+${3~-vE8965Xtpo3&QSg`%;RQLzjLy^&k=BC(IRRwS4oka=Pv<@dK_;_QIP* z+TX79AGjE3UVf_Dp0{?tC8vSMR*gFtKEfJRp^`-;5>-?DGr4MOyCS-eIq?vnr%?At zx0TAVQB~x12$5W{Bevx{ImG2V5n@JNbkEKlOLv{Xg1wp}mtNd8AJt6DW|pH{?XZm+ zp7HKclJtCr9~r#hHD*tawTGI0fcK4;?Ylnyu{XzI-|l>BxAJOAf75SBNaS;HE(;Cz zOIBDEV^!2wC82r;bfvPJIJUZUwq~Zz&77UR(;&b7V^ZGLMjQIKXI59Mbwq_ByMmm6 zO2^iopE$s5+%FAQl{=ai6BGy3J_Hh@kn%#pMYkY6fd_;UC3QhX?gaGPez3z&_Cxnj z-p{h%8EL)UlNqWdR@WF?O&Wi6dXQdk#sy->$e_3FROl<6+`vBzTu>Effh^27|W z^3)j;12K}*q2@VmU**H znXUP3Jr33WdJWRG_C(s7b0OmM@-rbiwfZe^wr4anFIB4BY~UyPAKScE{BTDHzP1JD z=%AoT-8lWx`XsVk-~~-{S>UdP(f36+%i;)61uL+9@qu@7(o1>%SFLko5i{L%=a)`hp z)e}DI5M9PV@+n9plDMc)xB3?MUtQ{1H`-!?|La%JlD)^69^D zIjli`DbbxqtG?JYJ7r`1WOi>_M!V5cq(0m5YvK=jALixdFPCtSLm3-U-Kk@ODl}SG_+J3en0H0_zY3nwEJX7j9n(=7#Fx~FAS+7 zVe1XD`*NLR2N#C!&E)Yq7B~S;MSHney(-3}_&>t)v}9@IooWTJJqFhFLS9^K55

    -(Y%jCeDLJ|t5M;QS z2&CM-KTTiP>zvU>)KM&2l7edkyj~L28&p{UIC!UnGw|u)yS~|>ZLpbOsVb%g|I*&_ zVd^5UHqb=0@OzhMY$Hz7y@xBX)Rk9AwPE%li-C7+tp$-+NtM=*ztwAKcz>RShc>+# z4l`9aF+?F9i=Nh#wlu@^CAgVXa9IXS5v&&kw$OcQBT11^n35z#p=6ZyVJ6=B-E_6Z zS;J|aHfnnFWI!tfUpam72xk}Mi}VS zjjL0y<&Pz#*pl}fW=^D+nG|_OPm<&GDB1nU5Wbq3r-*DFzSsp00NeZtm}5KSeKpet z$3-o04*jbf{~fvv$8VZNOq%vehaFU>pLjX64a}nwN^4>l2NC!Y%rsu|mdBGV1-Lx` zgd!HzoomZ=i-VQq-;abnSY~zIy7wn49o(xuMOrTC7JqmD9;ZnAhUGENYhD%y6NVa~ zG4O8#;!ZH?nm}H&fLI_cC`z(F;E}oX(#LS&Ay^PgKrW&y<4tyRdMTkLx}0i?hwWGr z(KClY0DSw%n>j?5F`IibGzcbtCEV>a>K1~%9 zHnPAL#`HP_7pWyZ9e%T)cI3j-8`;&t)9kTEUx-1q&)*-WzJbOd@+(jX>vXmwmD{PU zIap|~KKJ(vb)y^F!EUSqNvW9>k&2wphk@%SPmF1Z*i2Zb5B#dh_g{S5q1IA>=p^o- zd7`3_GUkqaCPneAEy{I42C=oan*lNQLV8(#toLhuvHG~}%QS8W;BcXcSukFnKx)Xr zmc1@OD|!4zLA84D^5+ZVxw7=IF1OFRqOPP)F=-!LEM}NLB|F89*OkJ)!J&8@OOEy5 z`EeN47G2T=sq?Ueny1Kx&pFHHuEtj8=R}!W_%vNol*qVLOkN);vJBk~YUIM@Qx1tj zUl%bLstjRjh6bwE%nz8-?_hKzG=Te9UqUPxPi$?)DtuD}Y} zcekpK#CzIBv#s8#O;G@jZ`x1lMu_%6>5r2$iPQ!ch8(9kXI)zJM~pl>4sGmv96_Yd z%e4@mB%#o-B7z7moNn$YX>RSwn^62LTh_G%?eM!OBRH2v z37*S>WE2xg-tQgLz_ zA^R!3A60&o@^Cq0KH5;4uiG zSeBUA7`i3UZJ{+)+|d{}2K=S*7-vpXI=p!3phvz{d9M0zNHNOHo|DQ^&aZt}O`&c$ z9`#8W`oiz$h0(fSXGWGY8mD`f`$FguNZ&rHJ$mR@x7Wy8W(~LSfo9x5%%3-sVmJH@ zckVLTj8F_d!Tepc@;q7MMN0)Uql3Zg`3*xl(rVT!d^%0_2!7*J1#wVOlWJUYMfDo7UPN z*^BMFlBG2iyT;SK(;`q+4%qx`3=9cs)IXeMlw<}r<%XGA^EtJ`K7TJZN{Y)e(oz1T zth*WiEJ~1fbq|uxMYG$4%jjV}R0;%f+X+4$ebYzp>+ZvU10ZIqofMp@>fE0O^Q5lk zLsBGY+C1)F%j?~POclu(G4mFjcGiDHabQojxj4_l z;(5_FxW<1KQZF_cdntb=wheemc`7((xF5qEPCbjvn z>`qXmGJw^&P7zs;2>aJu-C%6b#-9VzRK+UM zV^N^ymAg4F#)%E@Z3i=(w-K7#Ha8HsN#^73M2UwBgp=3QukT6me#aVf4eD(NezW5u z1q3c4Yg#v8*Y28Pug4T=PUF!Q=JGu+2r_u-YK*3Z95H0onJg{QD%1~}_tjL}&^}kT zI?=&;bNxG4BnLALQS;LXslw+owk!xbQA&O#Xy*k3CbIy+n9&dUO_va|Pqg z?vE%*R^UaDS21IS?#CtBmfl!P9u0JTABC~FszWOlO{BvIuTsz$D5Vcn`$uA@+zceg z9X+^TtC7|VLg^m2eA>`l(s`rp(EaQIv4xBrxCFkzz&Q42^H(z5CPLW}iich=56d65 z{tRH#E=M!Ie!m(+D%|gU)?*BCF6iK0@K;S9WcGRDk$o9*Uk_of{^f(rn=q=`EK4O( zDzM7!hhCEBCo-#6KL-Qef*n%OpF^g^y!1geir_3JW7RIW9F^dQ+cOa^lY9 zY)NLL3F9;Zn0MqVwHu(5V4%ZYaBi^0;v(oy`o;>Ab%Pn->aGS))z!4I5#PKRQ$Kmp z7rXFfDt#(?M}Q$x_B^gI%h+Y}s9vIEWmCgzi*mCq3O~Q9uJahpz38~goEHY`LK52M zX0yC29E%v3Oh!srs(pVuTj?$O>hESjwT$0hZe)2%)vW|dbx}QL>?I072c>H2;|W$- z{9Q9?=cG>iF_Fs*o9FjZ{{0)bCG3zwW*{csBe7PYnb=e%<@lt&OQa;Lr_VWtU$)>k zu`s0JCj@n3)_@-VR>)`qLDZnKYA#;ozU_Hk-bSc-%9z0&ksubgTUT2lKaS6iSj_K= zjHG?UfKh)9lfHB`P<6^j++mqJa*jR}V@K#!0-gGefD;{3d)3(1s zeQZjnTn_I~@_%kkR8(}NX{}t9%Ig95PGxj3UMvli>gLHI3u+pBx@a>2;^Cl!jQIYa zY#!LPf5LT<-P%1J^8Z}*ajHwM*8L3(?BDyptNP&lAF4k1K$oQdPt^ym2a)pspQ?{< zs{g0z15Ouf@N+otcsC#nkLN(S6IB9ZmU}{3wPB#4u$EtrEbf-Jsegj+l_n+gw`Yo# zRY^idc@I0&f=V4dCZ>V{8YX1hflsc5(C2DKfbSy@>g%ddjBk(rt8q75N5d;%%IAo$ zp+6S>->V5M%eZV>FhCzgs&8=-!o=Kv%!DErp(boZMyK^>8Z(CR{a?ZBM@Ok;Kc@b_ zMRZzEZU&HU-=?ZM`b*2ZX2{9$r(@E80YLIQLOU15B3}A%Ef^RWmzcJZo588M%H==i zN@w@XGZMAcHwmU}(`kjuWMh<2435kH4x_jCa+y=NTtb5b%#KxiM;pE< zz1nbF|7a}^&k5`k2vexTQ=Ud*bRuFCV=iopLk9#&v1ZM5wV@Plt^BiNH)TKH*|MME z%lMEx73q1u-A>UgLAV81ppJXkka)J&q>xDe%bd@%joJ)1Q029TIMPD>JJkO%Q?D`) zAyEG#SLbhtnUlB&c}qK)lf{L7xNi9zgR4U9xiD+8W7M)XNzBPK>wjH zyme4M#V^c|x`1$N&gCfQB-2PLc9IFbwIn~^vBpppkhwHlaQ?<_kG4MlWy?3I6-u1r zRv7RaX8Bxx4F-vnH1y!raw9f@mu0C*Er^hl)BY#xcb&UPzye-so!@OzEkeXG*7ep5 zOo6l2AcHZARm_nu<;-<&Q;paK5DX~_V8BM`_CRDDsvIlqKK#e!&jPOP=u89qH*kFE zm+Kgw4a#_vK@-XgGYF5sI`A#VM-_c>pXp3)dj+5D5_Uefsjo$(Zt(C=xSWI4)eQvM zzTjvZjd1u)g_>5%KS;`dn2Na%Fvyhbs{0}1)Ll{|MKwAc1V2M{SaNU3MR7uGi)e*> zF&hkleXQ+&qC&9B;?oPFkm zKLU}}ywN?ZOAZxYZp?_-6Upv0SP@}rzH$0>Ic!W2mMcoukp1lDy-~{2?=W(ShI+$g z1%nSI2mUhGe#ZL35(=wDy>8!w7Ol=}%EM^WfqkQI7+LkhJ26zE@Qlw8fIV=|W}dLW zKutF-R`XbuPvSYy)mfj~Tub9t{YXo0A+4`=xftcR_^0+>ld>u6b!ETF zuFR6VJpnJYibu4c^a7XLcmq3(1Os47TIe>={z(}4!MWLypm(-kkf5T`R(V3{)lwN$ z>o@;fpj4H>m9RTJv?l`{r6$~uoxa}5n*OaQVg=4D(Q#5QDDbPzrUvp=z5V#;;Gffe z%ayGXM%HHxj9%)9T^l057#+&os_@JK?5`xrhCnS$bttG1e~fiwso;x_32^&wH=?$X zRU@Z7CG4dIVYpX>Z$Y6_5?q1Xs)^DOn=7+Lo z{^9Ssh?t&zm;0{~{;dueh@`XA#^?18Dg%GeThzQ6r@)=scuZaGrbX0l4=eS3u|^qh z)LI&vPG8BmdcAD-<;M)Su?~AKH<+(2xQ-s|Cam(BZHy)}&@KixUCPZLz}{b+mVKji z(1-d$H#8tzbysX|erAE?>DhRuG#^}Vd$yTTc1&komhU)iqzYhFDlvY#6p(&@;4(el z+^hRKjB+IvA=7fXHaNf1WP=@Bk~3J+STsVL{6SDaO@;pYbRja<+fPm3v{^+zIZo~g z7@7`Kb)sR*8kRN920|@I74OTz*VnqEtbUHLU~F_onw)BQz@zow>TuuAe*tV|1x5p8 zQ66fHDqBPPVN@;kGkA`kK#dCWP(VEg-dpvRL&;vBdZi&%MMQSD`bt-5sHv&nvXloD zMMMhJ^Fa_CC|V_q#s_-t+5!I%1HK7d0sz8zYpBS7-(7&m0L@+H-0$o&RcXpC`A?6<*nR$# zy2~8MBv(xH8o6DXRCxdvY?GXc{ic95xm(#|b=3>0L(IUGW~4pI&(jYM%no!u^;^7~ zrwF~>L$MidC`W`5zMimbrI-VBTbe;YlFkW-ZM$VxA3|V&I`V6~ohM?gj}J%^Do~v_ zAaZ^pWpsx9EY1ySbHN%D~o59Lpe|3aIx8_eAefvV(&VJAbc*w*qfJbKE;E z6QsDW&YDHcs9LVVvx_yoH(hmSc#Qk2<*S$B!abB@o$Bsul!{0$8c`?}l^o{5V)euB z9#QOAnc(h?qsj>GO6B!SVa0vsOV9haCMYqQK)uCl#50+Tg9MUm%dbKD`na~5ydi*! zf*VsQ?!^5-!mJTnQB^J^KoImQ|MG^QLV-$0L4J1mkprH^oJ(GhmZDt(CeuLvWG%%8 zI4`HupqNse*smbyd42Nf!D>Mz5}c@A@z~FX^lkcgg_o4G-MbzW^WMDQCN+I*Y@90V zxZ6_%;Zx!jWo}Zq-d} z`#`M=w<+Af2_NPSh39HEpd~{scQ-q^T5ues`1%)nAX*NRu}kK>iPgA5A#OB>J~e@( zUJ#P*_R>%5*ZWNT$k$5=;PvWTYaHaFXnCexA?xfe)chM+E>U%c(o!nS>yA+@>_bFO z^W6tnX!qw9-=}4e(*84SBrW_I!JF(sa8eU4E&y9&z3t5Kj+WssJNub8!Lakn0}%nXc!;&X6R8pY z*J~BkkW8=X@}g$GZ8H6~PnmxEnT-un;3)P?ajIrWDvNf!>zBDW{@MW30Vmm)A? z)RFoFP9)R(cH>L*2E;2v9o>R{+?p*j{SJcsyX$vaY-3N%^Yi8;N*k)Icz&RhbKZf? z5Fl5nlf4N=z+sh!@|njxZZ?U(BETh`_z`h|nE#Rix7yS(9C@<6Yl0!PfIEs?&3fXK zcsCENV<|yTSzKG={pRUZum!zi(&2G%A%)LU)AqrE$xX5`+6R%RMAFnYOK$4sDO^ti zbkbMRF%C{$+1xTh@YQg(8W!I}Yju`WbjtMb-OynvK)xYwOklHWB{vaT9sUxA^$^tj zVdA4D2_f(_Izig5L?K298%(+L&0Z}opGi`Vh(Q!)VxJ}2rbCd}vm?=<|Jl-(UX(B| zdWBe{v-4SsP>%Lhj$j9q=a-J~$5qzEB@9`(2LgeCW-kMeLPXh$*%%`ZZDgIpGBRK# z2mE%09Foina&5m&NpZ%0dP@#~{@Bwa)_&!t$Zi`6YI&1k;M>xcSV7e?cdpREh`kpp zV(;B}C8_89DKZDg zD5KUFgUqPhBqc z;!j6xGm-m5y(j&eF}f%D>>*%$2N2>3v?~Qo>Eq!&>Iy)Jp-S*i80rZckRef zHJ4)!3VIXdWqv8zSyc36=Dt>s?Mt7Tqr?PpwU7_Im6m85Fn1;H>wh3zAV!n4c9S*BGy+k{l-lUkMkm})D&bXa`+dJK3pZIxK>n!b^!>oGT zt`JV{?qU84Z{EFc^X59YzLiJRd^|M5)y__q|AarRJntEopO5or{gwQU$bPkyJc&Un z)1qjLCjr`Wz+IzFO`t7nMKd2*6NS7`a2jv6kvK0X9CR|9=NMIl9i|i>=Fwlsu7cHd zPwh#`ixD{rj{b@%b!hBw+K*9>qO%}ty8Y*-H~_ZHNCI#PW@G$h?hWJ7;t{L^+1(9T zzyOMkbP;>;+m?$XNYmj-^TVVJ5m@=_NJ}&&ke;3{ zi34z*#<8GnH+{kKm~y{ZcypXdYR9_pBz02xQ&z3pd@(@uS)Bb!C_=k_Sjva4o{W zyf5PQ(GUWP($WnK9mQN@Hrl6Tp0 z6x@k&bI#QezS1`9Xf;JsA4f2Crv}8R>wu_?&?8dfz-_`CVn9>(MDI>+=OkU89}u;( zi_r=dZnv)cUf?*h*1NiihpqvT@+X3^EULisH|p~u(fIgFz^DCz!Cm> z3ggspghzPX{Pjh9-64$mOfAqm!O?*94ZX4_-LtqHLNv^0gdtSLfL^bo8Twj8@g z=m9KZ*?6C6*`qi-_ma=wfw1Kpjm^;4vI0V$sb5%(w1_erqpF}`B&&?r%$LMn@ZZt0 zXURlOuw3=WY{3aTat$6DOw-}$|BjIrv)pDzFblDg$XL}uH`g7($N%d0mw`ts!+&oj z1JPcsuYJqrajYu~Y%T}4F1(AOA@T-Sz<%EI6o}gpH`6M=N@k&V6c82btfQ#2XU1;N z6{-`RNY9C-O#q}xlBTo2WM~+o93Gm>v`{K5XcfIQBJc`xjhmP<8zJ7bW+9TJDvCs^ zd7wN_6PnL>Co8a`_UBP^JV4J(%RrZ8IU*{udYyPl`iwvb){%C%*9wZ!Leg=bWzRD~gnt=x>`EInt2^?>b z)xScARzP>U@r>w%m>93JZjU+iXsx4T=XEdMZuH6hApiX0((Dvf$4-LSp3hjE<{f)v zX7hTI{BV1t@tecA#m$u3_2Ix}`*A9UqK`7>2{w>0_kb%D*<6)w0_}c6j8BVaIY`<0 zCsz!cp4c+(1zu|}#Tn+QE+*7H)F{`mf{sW;NDv_@@J!j975m~|l9TGX&?-u{y{#~; z(TC}ZQ!_-Z)oMGvdlX|w1m0-lhhVGH2;U_Cuni}4E(!yYsJ4lQvjTr$Jb$^s>5%$1 zHxAuO>!eW!X1C?Sq&1JQp{lj~{;Fqab#Y$i&@Ceb?8$Q^!L8-CFOdx9Zg-(N{V;75 zsbp?Kq5d=ujT4>`>@(UHcRb$CXD95Iyi+gZP&OW`70hQz5F6m|pVFnv&ZCiBbcvsA zS@6zX;_co{ZuXGJSSd_Ppf*nrzm}SE2Ytq6u_(ob@vifKJR+I7GMaw6Q#edv3^x2* z^qVOLT#21}f9$yjHQ`ZUH~{!v*Sm>>*X}<=_KA@)BT-cF#oZA+Q`U>YBx}pLRgWl) zZhwO)lMgXL>}pNtk0tXE5qBqXpK8Q_NN6QlOsz+Ggv{mNGTzCqNXKy++NHhY)os^&HoF1BZ`zTt9berNt#AMw>OTZ|mg6 z2z48+xjkWwW(%QyI97Bxe0HwnXh{e~dQurLS1GW`Z~fg;Tnx7HPD^t6v7NXGX=6+^ z#qt$lhzl!-4?J}mH);l}07rl$Vpxqdp9eT;*FG+>_qO#AZjT-r=8Y_TnX`eq=O0aU z%dH(MMSN-lOve5W7J!r;empfA={5uU25g|a=A}?Xqh=f@bZMV7 z2Wi;$9Imn~UlL{VotQFXXtIna&kDqZrUaVVU+1ve@ z{FICty!7k)vx4SE`6wZL?qUCqhq@!z8O2ccC$GB~b*G4;&$0AK|8JM#>8etA%P?ij zR&1Y%sX)Rr^E77Tl-s;_)b_BrTiVHM{3gYRQE(*{BA9lIOt0%=dx z!6wRF_M4xEMlt-o-Z>}22D&sr_l4z8 zChMI4P)~}c#_phMqT;f$?I#^e%ioJ0W~!WK6N27sPDNHsLmYsnV zu|^C=p37PwMkR)ehlFJS-|E^<;&Eb~=;UI%n)GJ#JbnV|>Zf~KTnZfKZujKz+=6R~ ziuU~)4u(Uo7y1NFuksvcCvAEj=d6y=)npSmkC3cBt~=y0G%cuoS zYPw&nA3>i?Kc9z%`UD(0E}8+}+pqfEJ^jdhrc(Hz*i5WBi^Zt}=wE;hWjSfDq_Vecae7m#QejehguWDZVW6v6vqOMP)<5yjN)fl5#0dp~RYuV*D&)tCAlRx{bhsr4&UB9J=d z>HO9pzRLvKTH|H=x%qibkKbbmlMe~(WLJdv2^<^*K2|MAV}bY;q-d76={m2ly5TaN zW7jw zqHT<}!qldw%kA-0$-n)6w9;^`=Vl16fuUuCHQtX-p&Tv;PV|s<@J+{NlA~dqsfS-P z{%gAw>3wkUmsq-*XOqXj?lOp|&7Z5+yZsGaPg{KH=N*CP4j?@*+r8q5 z-em3A2y`lQY(3ZS%^;gBEb@Ha9&g8)(xxW#cZ$s5jOqz_oK3?mxPb>rauyXqO78b3 zv#a|`{Ded0U!S(T9Ud7 z>)Rixdj9=LtDr%_6Vx$|pa?AAH0E8_bqSfqg+gy9F0~eB-8aA?8ZjxK_Mx;iZn_3( zYQoG3fcj>Nl(ROmeI?_$ce(C&pIq&UqeA;xk z5DP<|B=kBd06iZICYMV*hfXxur`mqxESZB~9J^fBpR%Waw2b)mbFZDk{OzKFY==d}{XuX0T&-)BiD@s0l=xh*lTCUb`PH>;%|Cu%Ly^iwr zjpjRiQsA&CG>zcWU^Ha664-i;a=pDSfR3x~RV7dib7%g^XX07K|BuynP1mEgTR$k~ z@wDOM;7Ei@NXsGJ_hq~5#J4YSJNwn7sS5G}Y~M6m-|Mu5*hl8{wgszI0g|KM8XvSK zNitvdi9S7G>B;2Pz`qOL44|)u^fMv8%W8_QrD$5@{H(9>Iiy?77YPb9WWVCSOPy+j z&gChM`*vqNoy<)4wSbBoQJ9z)q4-CW^hsmQ!?F&OrR3t8RdNJ6`H1(pa5G4M!@$RB|oe?#MldQ}w#BHXM$mhB@ zUnKA6lGdtw&+8_tk}}GYwoR4o!=eVtfb==#eR9xx2z1Q{SVib-1~z1&tk0Qh9{f+J z?zcmxFaTu2NmVA5A>j}=a%wWh>6XBMnW?z}RR#hD7dDPOiZoqTou-*?Z8+_Q!Hm!? z={i)?zy&ncKsu`P-@T;#2XO;F>QP{%GVrw~P?i?g1YxZK7I{e8_lp_^1QVMv!qq~( zA(T_DddR-y(>i~%+9Bw%sw*T<{&Fdpyo8}4D@!$`M zlf^yw__lMjitclS0Uptl@YLeqwg0jsU?ihw+;<{y2DFB9=RTXD!wWNZD5r-4g&Xo- zUe4M4gQnAltm_OwYqnw9|KpB?I2tN&yJ;?L3ZH2#r#X%u4*mjhIxL<*7emhsY5|<} zSR+sW{ymvh#peTf9MrbSlQIq~3fq+_2Qi@IL1^YxbhM#CERH&N_9Y<9MkM%?s|PsQ z9{c{ZEu_h03PCNSdy#1!CTK-9F7lrh5IOdj>vQ|h$zyI`!(cu)E~iS1Bir`fCu_qz za{~69$jQXnVeJT;4q0Vy5KI{Uv--=gb*Pu1hmdPB1+?^qL44F`%k^L=d!+X_$&9~{ z;XmLDx^+OfU%#lXQ+1j=)E#)tmWIdk*T?dp<0AAwP6ic=EK`f8oNUAy-G^?b67#R< zdB(fM-UzP9cuc7ljXC-#zULtI6D*_>n?<{tN-|OFH@g<}fc$7Sg+wOpiQpBugV+(P zjp|+d*`9ErA+>>L$hPAbwVns53t#m#FSs8%ZIsCT*M9+FLT^TT!z{!2=pbPWhpwM1 z#3gGSi^zQn6;;|X#Ase14;(z`R5yr?fM7=c^XOVTz_bghRC`b~e-3{O6BYRj@vx_}Q=g}se0*MAq7pYRn@+)4u?K=SpSvw);@h=k+AW=(+mW!N9!EM~cai_N>i!eGsBcZ184Xi`t z+B6^7w9{U0VJqLGzY8^y$yw+cn}U3SBe;_`-+?W$z;`YI#rsV$`qP0c8%k zbzaiF`yanyM)*rt+n$7-67C`Y%VVW)+VzRP?z)&V9-2t1KUhz}0^5vdKObuR39vRS z*Q%h_SX6&QC{`Jfk!1%;Y5b}wb9*}z=AHsIWQHJe+y;l>5E>I2V+ac;{Xu>9V9}wj;#Mqc7#4v5>xo=|znn7+Y(7`p+(P#UF z&?5_cI|z;o0&thZS?#sx;)ew^M2O-}J$b?*2} zZoMsz#bqWlqv6OF z4RyOd*`Kd`ue?R%0I}klIF)?45usK_4+050Q(AG2%iiI-&vRti*yK`wzq@p01Q8cF z=om2+W{{n7ENPNqh()Bv%0A0`w;4XJn8fY)U2k3*UOc=l(ex+)YqvZ7y~`%>`m*XA zf@k2s=_R++u##nMHr*2?7t^+Rv-UqrM<5F9d;f8bYrK?t)BiMYZXD*H&9W zTl72Q-I0ICuQyN;1+8!9j1Kh(dC%3y>+QeYPtQl_`5o7mPm!y+Vc)9;Jt+{RCDzx` z_;x#f3}qEsq`7h@+CPpWa6j`Fh`>0K2WqL_N!)gwBe~lVa*gVD*Zq1)=es5VpE%hA zl7Bw_w>j2Fl{lS`+xv%+N+68v)WkUV6SQkP`%`fnxX^dg5#`V25<}bg@CK4%o<_Lf zV1kUeem%UVmm+i$@7{18p9IuM#)*QB`h&J1MzWMx@RTvLY%l?PKx9# z&ib2{^|Me2cpJz4<`sLq`%mz0Mb{ZYrcf&7W;^t}KNf65qY!3e_bHsp=VFVh_>GW+ z9fY7_40WPK6AJMDJK^-FXQfq%i!=g3=SS35SePd8p<2|~JJA_L6Mfjfz-*VPh`RoQ zPoJZWuX6MWpiTW2@cwjOZo3-Ajox^-0y*6Xq|9#ot?De9>0CtQH<_Txqq@kMS!sx5}k8@R*l*1dL z&pV-@ri1(-wcCZ3J@7El-|%20VfC9uc7nk54ups?=XZX<5EPKk zQbc=KiP^&knlLxiv_5lZ6N%U^@P`LTt~*Te>)+WF$jo?UIG2fRBcwv0rjN0yov6(( zUCrAAN}a}wZgqRO6O>xXKfD$sho4R9lGlgusKwD9_T6qbyx}w?2p=xE)$}lR2#42- zr$VBvtYsd%;NY?hJ5YgOiq9?nuPq>C3~tQ@f7&6yUmjiBY!@etBAkTkl}hBev6?+Z zT^-R4IZ30(tT1H6k{2E0IDt)H)mxQ>bf}efBQ-^0wNk<)TTaSs2UqPnhl89W>9mD| zoBZ|5%1kQ7oWLlm?;q zQza3w_}4b8f)t0QMfKi|{;;VUb5d7|ChuA4MfthQ!Hq4oTq{vg4d%2qn+ZE@FMpfp z20qkZ_YHQ(_`T@(QH(hrC@I}DvOI97V}o_@+K~57 z56K%JEYsm9*F@sEd@BcqRWR{WZ>V@le>mbSikXghk6 zP)QgoInif=6cOC?;xh3)sml`gAO3lQxBR_ zoDD~YS#tiL*&Bjb6fOzhSkS!A>khukg--HY_Gsw+RO>-a@*sYgY=fy%0-Xg7Wj_X) z{J)XjLAhxSzrZJF1)&IXSN>#ou9l6A?h!;ESyq!-R|hvj2lcSIeIF0nC4Cgy`2_$u zQP_mb;6&}UX7`wS_t8OY3%tr6k>)3TZ;L2~HJ-)iHK@(6ysB^)YXe5m0VQc#?ba!! z;ZKnPo+YOLi?g!|YwHWzJ?`#O+`Ui=#R*cpxVt+PcZcHc?(XjH9^9?CyW2_s-*!?xjsIHOG{GWUb&F97bOYqknoyj1v{OgU%D_d z>Pxf&BQ>jj6Nl+8L(Jx0$d7kZau;{H-@_{#zs&50SLL0>y5YU%UAD?KRx-%&=W@4Y zLfb1CVpFCy!*}1AnxZ*=Z^;rk=@s&i_?iRLK_D&8@5mzENjf-ubc)QL1ygwN(TODqjF?P3DPm5!2nF<&6Z1OiYvwpO$Kq;`lj?3#$7irVStGw5DeV-hF$pT zVbcqqSyr@~D38{Bq4bHppIu9+Z}>JJN|TlHzMqBf7PYW_JN)sYR$~6XT3!v!#u)4^ z9}`G-+%WeG@u2$Hr@ETiNfuq3Gz(OnslQ_DeVcxU+x;|%w53RPtP*g@`3G0WRUgf$ zOnW$j)A0yMT0ncQe%fqe%BV1**jKDaVoXyG&kx^;>TP}sM$**KJNC$={{X#NQ?!Fn zYDjnA;x;dOw4eSQF3p7M4aSmvBxU5ipQviGr0t>&OnWX;Lc7U(D2g_{v5XfUpo}cz znd1eDo6mH=EL02K=kD|e2kW6|e1L}b>a{!O@o+clyd<3>2 z6HrX9cgUt0YyrA8=bw!YSJnE?POCC*-PBbyVorgT(3XNf0BKe4!L`mu6pWY~UK6)z}nWgvDX>9)LQgYqt zPesKB=ysfFg;%&VL6mFnORZms-F zkXUH}Cr)xk&u`!iOJ3W9XIm<{0F@mGdO3?E2imY2+H~JmwM%9j^-`7}G$Poe5VR{) z7H50AmoyE&MHtO*i566bbQQqg1`n4!U9dZD8@e#{#To3hnzaQ6NPgu5sdu(_%BmJe z5Qb2@q039K>u88f%U;i8n}Hm3t>cfhu-R@Yzm?(B+8=5tfj?s1zHilR#Yoc5s(Of! zy5Ob*w{k@53$ETjw_ycF{vhFFD0rno3(@2ple{l7=4N|6tn74#TuLyYwCAHMU}9ik zf<6p}qU~b;IKq!|rug98YRezO!tjlWA+N{-yN&o7ng7{x)>LouZ%{m7t zfag40&%bD`>YoqNgA?$5DBuuB07~6Iz?Rma08yTW)KP-ZY1+kAb!HozP10Tg3WB0ks-eu0=QzTrN+bnxq4(4U(y7R7QX8L4SWL`gFhd3 zQhYw1Z;P|s=~={U97R8Oo_3>Ar% z)`?r7WY!Jv@|+*dpN6~Yp<{OatmAQ!Dx2}6_72gF-)6nV6STm(<(?nR70G~3pRcrV z+`1rB@Mg`Xorwjm`R-T@r1ZP&1uYV-)(;U_?m+_%K_^0Ivo(;6lK#(0doHi;O3Mb_ zog10!o~Bion~vGvLfgZgRiPj7=X7utcjkwBZ%^0H@H81Qpqbn2`dWx&MUF*WSpFLm zZd;-6u`w}Uzsi5(^?XCz6&_WUyZ8+XKzJXIwTg8lv;N6NMI3g~xyW-lpf8I`!&hsy zo@_W*Z;4~;8C7DhT!k(u-5GD~Ep7SqE??6SZ>4GT^%e-M^VlUqsZ)#Q?OxDv{96Oz zo7c&4u|Mi&BVCUL%w2M8%-bAj%2}p=THsUxBPy9#x z8C1L)jan4f?QTn6=fuL>Ue%63Q_8m)fxD}IGOtm;5$jx9r)hs(nI8SP$8^puPlw-{ zaLW+g%4T!DXG|ND%#!<}9%(_>q0snf4SJxneJ3Ycn>YEu53PJ_gz?`@q@Sike3;G^ z^-ejYTzk66Q25>BlfQdw^vUWn#)SsEUqUGWLX2i@MW(A`6O==Bx!ebbqS^1P#)89VuKpW5`5PwHKV*ytDsoEN(C{YHM1zfF! zaijkGNkYpAxFPC7C}^)dB9Y)RRa zd!z#LdkS*}rDWX}daQDZGE>c@-yg|+fo8ExampQo{t-XQu>H-khG;Rk^(Q+232o|i z=n~;#`hMqY`0eSg-e6g+k@rac=-A(D7S-Fbn@Y+7d z-6k%c7>sm0LRZG+C>rkev6PgQB1%+_XRe4?5bb*j(7HuSnzis1q$RRasL9bDl&4uN%!RdluXkxDk1HN_=?jHS3GK0SjZ+ zB#W97QfUIqKxlp&^m6wUOEfDcnQr_e+`P>Tm&PeH1#%m+SKte#*2E4vb92Q=xY-1*dLRQ9Rb+%0O^hmJ!j8YL zoP@jQ4A9?pbju3dD>dy#@l0V6pz$GZc)dHfHdV7^T#f?Nj>=n2wOSY(a(Ro$bKmuY z2mUz@gpYUMb~0s-xGMA^ELlkG+)5r_%x9mnC4vObFhsG2* zz7PAniIMtl!u>s=i;1bLq(6xG!ew9NkwZ-CC^9h5T&5k=Y_I6SWd^ipIK@<6Ky#?H zq)5q(MJvT&1>U6C#1ctCgsR?ii1dC+l%Laqf~i?E&3S>%g@Vq1aA%8)7pnJq*JUXS zj@ntH?NGb*=o@pi_&OBUtx&i7^EkYA!{@a3L%kI!0WyD)tKvXNsr;h`t3s0AVP@&3 ziLr{gS+1(%Mb&=P7hcB|{@n3GUtCSCG!`Q^%do`ID0o8_RWVY)(&0K?e4*4{ z2jbAC43K^Xa*a09*k^+wIm3!jYfqXp3o*y#b`z(`csOXFStxU}!(zI_evW*izbra0 zSIc-aX}V-O2`7&Ja<24CFvih2bz+iBvI4i^D;;7vsB?M&ZFme`H?qG4><=G`G#hyw z)yF$Ccc@IbejMcl^x6ErDM{9_D4kvT2!KXxg%4BQ)kc0gauItd&}z@*Y6gj}un
    ?nvo*y%?LPOA;$}Tm9vwPx3Ct3 zf$u=>T(2~Fkl`TKIft56Baz<4Sq4Z*TV{h`4-UK8fhY#%T~QN0zz<5xsuYof$^NJA zr`hn8?BO>qHS1rz*8_UbU_$i0qgfye=qtGkA$ck5rJmEt*a>x&zh2HVIwBFS7WwU> zA8;_NZ&t{R8TI8{q-F|48dc>AVxAf_oYZJZ%5d0D3w*w94^{j;5LAE7{(R0pd)@l< zRwJBL6{6*&05q_Se&Kc>6gv4&mJQ4W2O_-^vLl&%iO)W+_Vl~BWj*=tOHz-MJc2vXZF}n}9LsOp1 z1_x3rq1kGXudgQ~+_kw;k{R&0qq+5=;=H(GI@xgM>eA9?(VG&gjQ`z2>1!iml8hM> zEgh7$*Dv5@`D4b_VweY+o+SaS=rB1V-er^cRHBmQ)sg9-xlgzV>o$}Q-1%_B1* zPCT5j%5Z4B}wbh+E~|ZKXHZ7 zc1pbXLT2LIC2uYUy#b7hn9Y!Tulwj8b7C@~@)o;hcV02*325jvzCJ8FOviY*&n>5$ z985pL#)OUP#W5@@*f8H!G%P!XP4nejN;pYh?x#ue_@Vt(R~KNcsX$5e`${?cjK~yb z!ee}sklGvSrC(K)N#|;4>*CEgJ#Iky6Ck)&qu<|_i8>@1$Sy$8?5CIg;f`+#tG1j% zXKbIcG!eyf-k^wf(}t-viN9FhD-09-xY}(w7@1-_q`Mx#`t5M_o-ZwP`yDlC2uGLK z>=^2$>vuK2sU+~#=klFCfh7BNccpHek;lP$*I9Tr%DpGDjHKlYG*DgO#gf^%BVKN} zvU6&6w@7 zByuDL8Z)QkZXWXMP=|cJ%%ukbyD|GhkM&E#Nlp&DyTI61u02}D;8e|2i)^u&mJ5af zv3sx0nG4*!tu-7z*m}Qk>HoMI99QR#2g31#;KdGL%B^8EABQf8 z(=eLcE3ay^@CN0RdmyVvXF0nY-ScTD_!*Q1r$>VvBhPLEgkG{OlXUAF5KQ?!o8Qa5 z|54nGc zLS41Rb!=|S!jI<U(mh$iejoB{?e}{vHcPFeg0N#Qv2VEtmTLBhdm)hy|f&~BJB3IraKQB;l zbopIsNi9Ug#W2K+O+=W?mb@M}a1mJPiWK0{H-m=o*7ux%_Y4-KxMF`s&qb!!d0o=EB{2qEq|&&hqh{4Z3pj=g!)*jTyJf)Ykr zFqnV_={fI$r7bZVry5rk3wxuBWS5dgeaEH>0Bm-iPO-m*?EXS;hYu7F^&8G>Oap2) zluyX`bd7J_fq$f!TPfQ?&Sl;4r5C%Qe~~QhovM6#H~+^HGrXR20GJqfZHSz}BB9{o z6fg^~#hkGaTNTnW@YxPDc{&)6{4}J+-?_(1?8x7+W3OTL7pEeH9arpq3Kk{-B->Xp zIFOK*9xNJtFrFb(4h{G{v0v%$L5^m)q@3j*n81;Wir}l}Yd$w|4%dp4tLrtnked}4 zl)lo5LEcG+vKl&XZdLmZ3BFixl*dM#X@lGdVW`E$$?;i|jFwoyF4Law3@BjA@J>j{LLP|Q>0QV6j^d7&R~S|p^e z!_)m`q$kVz3;O>dm{%Rd3GvJ_tU>?7Nifp-?z&}BHLBbf(G>{Ik18v6qeILm;W~+t z`5>+(Wx^IAMU;LWu>vJfyx5yHddg$55m6OXFFnGUn&6CpRaJyuqCY}e!9j4K%2!+g zkd3wCF_%79fzI6v2ZgVK>CTNDqMqI$ZRwyrBp*p}U71n7}35#*|VqoMGT z2ae44ZAylV$nJ0{^9%oEM6-Zaa-d*`pu}O&CvVRB(D4ZOYsEn zb91520cV#u*uM(?KaUOpA#$>x^Ia296P{YO>)b>Syhw(^kw9&}>s_F)ekL5wBk)2a z+_h1UlOTf;-dkzEc+Fa$B^XR@Mc}{9ALqjQxBL|s$UKx0pRK+phN88B+*LmJYz(+k zsM1~vFiz5OHyH|lg@1CeB^4jbx>40#Y%g@yCI8H3iU5)@z-5#!_eD+=ZXpx%{xH)K*H|-)W9{Y_3e{ABpf;7iJzw8+ zhU_&3;ngUGdDhE{mjKHqtD%ffT;`!a2xjHOuZ0=HlpoC z=rkbI3UtAS4M`FX`v?M=@*dsu%}KCSv1BmhOqk#d=tl|k#J@k~LX%){LT>Wtsz~_U z9f9j9`)hQ4t=&1OCsaTBqPzA3RMv|(17Zq8(9T;{pDlv7Cw_(|gSbm`!)XNJ628GSCHMj@6_Y5#^{Mieh`wcYIJz|u(fd9pzr8z(ExSbmR1hf?59Aac1S=UMEJxhIvBnD#jVO_B2M!&j%EUXs-O6g;P0Ho zZ;iL93<`$n+mdJ|zqs}j&U_(J;EbxQ7<&V9gfv~Ug;Dv~*FdpQzh=|TS0D&#eulm} z(58O|Ctf*ab;N*I4b9&vh{tdn-s5u7dI&={HFI$;4Pwn>fb1dx;k+uWh@-P2pvr)q z8hx?Ooa0dOu9uVY@{3ko_yZenO(8*Po^sr*TCMbT5SCxpyN2>H^2=l2+r7wc-#T?- zN!>Iv&8dBVJNsXS#XsX``%>94@K_aq>yKKwc{{d7eT9ZVFqvL6k@Kv>YN1rJN}a8_vV7s| znJW6+Nhl+gmpRwwbkew{)8`cZ4*h~4&_435;n zOGGf|oTnMIkHPfjWWLvG19)0g%#NTcRziWP3-EM$C?O+aSB*oRnK5vDWMsMKq(4^~ z&lM$OUk*aFDlON-XMdsFw!-UdXH1J>i&UK~(jcd8UTxVB*a4EYbTG6y9mPmEITrU~ee?SDG&X;%}UOM8|N{Gs?L> zPsi#E?)FNH&}P_XhgwK*o$*Y_APOsj=eC_2vVtv>yk^2l%Wt;(pYuTgV=v;^V7V7E z5RX$Ygjl8tipO@UaX1xlZ+F;A^V7;^=FDSaC^Vz;qUV3I z6#Kr0Mx8HhVEZ|!SE9DdijxVHrz%iqwS)E%5aj!J#jGYvU2bE!)-V8CSRcDjgi^@g zm)DgQ%JX#c%ZtiZd7vtCAj~2RGdLcv(QmZ8gUsSCZx+~QKQMvEHBcdhf6RjR)*aM8 zR)bW<&%6?c7*0#;CmU@P@6E_p&c=Go|M_}{Yf>nT{Dj}OL*lA6Sff%N`rjht%y`Hb zQrpcttIFBkT%ak(_bfRX&Gqf0m|E`9!Ysc)f%6p3WLWwQ@t8FNdWSFYPoKT6ArKJY4^+9BKh?S640z6Jgz@s-hq{hJVNGW2<*ssJOQQOxX#(;rvd~N}<7W@VXeKJE0-8U#me*t~q%I|pS z`HR7pJ-Ul1N0J!V?+2KlPksDn$6V}x0ln*sW*yVpP#uD3ACWlzf;h735TcWOpmYHv z=zr7HN|h1`jl%yvKbMQ4P2Ugh`~WPc#{tZY=-@Qa7U{x3=3#-LBAtUX9u;aIbY*Zv17IZO*IRTnCy z&Zn-4raC|;P)wVijYle(pE4rd%)R;p`Q|`C9|3(<s3p~2gOLoGC z{U$I6o_IEr>IM$#i@+>D6Jl-rFc^4|h_!I)29kf(7L*elF(}k<((a0t+A|m@u;*Gm z8G7vB*LMGWhd&QteDzTQM_W#MX+QdZR}cEwn|h47gMs~f|KF+yfBZkH2mL@Fng3t) zpp|4^<^NChpu6t>Ru6i?=6q4^{Am7GzrVOw#3>Hpheqlbs3RP!QxHOn;E$Z`b5DnZ z)xO+ALwa%>3e5lq5r&s`hXGL_=ziI`nZDk{!&CnWYRYBPG6bh3-j|qRd)dmv<8UxR z*X_PqAPM#5-^*Fbb>9{iHn8fR{Qbp~!{g5& zREA;fv?JFpiuDxVeiT5^h{$gQY^5n4=o$yo{Whj}F?p!1$7US|r|wrhO>aylC07M~ zkD(Mr2ozfaa>m$LsAxGdkz66qmrC1UBGiR$U~QImCJ$D)DCQHk2HKs6X4`Mco*kKd z3i#s|Av2+%<-0Zb0;1^iT3fNA>Yj!73%@8&)oZBc!eDFj-seyh9wco4a;;ej|)t8S-B^&%c(Y*2CF&AwWVo?qtN zB|^RG$@;&WK|ob8(_3>+cKHp`PRZj(6Q(=BscO96-Osu|KSqLmvwzXhB>HD5KjUyG zo}hqcrV+{WFLk&<*0mB8d~4l%L-}*EW^t>0qX(>&3oU0MUfV}mO4v;LdC*#xBIm==2k*XQ{=El{PSU^U*YWOJZ~w6cPpg2d;_9+#^C@?YYRD^=^LXy#HYG# z+onr8{^QKt(Ak|Q-&9l>Fvord^rJx1p@@ET@BGRBi|WGvN7nP66K)o~AZij{e3XE) zb5L6rT*^e6*oWogBly&Aa&k8o-t`wg%_;3E)dz*tb+*AJHx`vhYOtUX`}CHl_a8F) z*_-{=(CIg5)X)3Rt9?RZrmgEs^sk?GT6H(BjJkdk@~O4;iFQwg1}5QD4f{f4klA(f zV${l%-N9xi&_-|UHxc%vLEUJNOUcG*L7 zk+)j@if4E{bC$MDDT-2D_)C%wzC5I|DA(K5guU$GPWMvbX6Z=^u4nueU5MmzPHz}oXy*H~$}@U)w9g%=u<0=U`d%|j*2WcEyd&*82_v{% zw#&#kuDB>Ez5+`s80+Q!&E}RC_zu=-nGsFWk9enW1pZ&nOwoWP?w6LsrKpv$yMm zXuTmMcZkl{dPa#(?kZ2rn0h7=E8o1pFP3wrhOiZz4~st=nmN<*V%{DXj`WGmn`)5K z6H+a(9_K&uFx2^|rQN2&Az(PrF|hz6X*o8+Wg`v|a|=SDLGbSosf8ir(G(^co3avH zDq==-2OInbUvZt)zFI2WkGn@HJ?e;z*AUsoGzc>a2dOqM+1NT=No)Z`93&cky*#)| zn~S&6M9T$?w&T3pUT$U*q>${>iR!TDM}9wI6#&1@=Pf!Sc%srcSZjAlCuL%So3DzuCd1l!8b&BK$jnNI(%%$UuKWl z6ANZd5FD$dDp(5XQsW-jMi%e%+W21{2}9l=*0K)~)#^QoCG~kaV|<@;r3?xsw-b8O z1;-fIMB?k;pP~oQ2i2M#ak4!2rd`e70+wf6sO=_|j}0`;eKwT4#FnJ}o+#mTLQ+i_ z$$_HiumKyOk-lkF1Xosbn;Q=CYrPq9Qwx>577A3G|< zo}VuTvp>hVNeh}M#}tlBiAP5{h`9LumgVt$oiw0gzXEE_>ARc?9un%0$-fxQ}MpL zGm@|Xm{HR|2VJZl%U)p4zTMD%aag6g^0@oi?e$Y{ey2EpD+hf7QJ{;MQHhq^FYD+E z23_$eT+OITE&fl>%l<9v&b7eKPP@;a;fqdemhLC0v@}kt0U4$*vwhpyjl!Ww8FBC; z37#1E(+o8-@rK`P#2(d_sFYIw@Rfu^t6PDG&Z_1VQX;bGCsO*uQzT7$bDznLAWE%E z$|J-7ff3r+8SaV0JgsYkSJ8aUHhkcxHpj7E@2RY2!j>+tu+J zzV=5EEyW-uC+rhK_Z8AMnDfcnKH+0$cPt6K-4r~V7aEw@+BeMTd%B&T;;zO-#v5f;>!k`7hcz1osqq-KtaHSXb)f_%J6eUUpD|rOogXK-Iy7LLLd<5Z z&V-7X=+R@{Wogn(t33e+FNmDMXC>qCx;VY6_vUz70li_YhLjb|t+h=DMu&TRD3@uH zoma!Lkail)f3z-j*_RTdiGVO8kOI-~(WMcM?ee_Ae)ZS}hYfvIJt{@odJ`31sZ#?x zdRSjf7%~bRv~JKbXxs^{hJu>1u@h(67JOp#f@38X2{=rnEY)TI8W_HIj9q%h1|~Ai_?jr1$)!RaC@P9H z{P`QV_-&pv!ZWbpYJxA3@!SCLo&gT8uuJAG4gK=~{_RU>41Xk}qPmC*Aqo!xfl@+_ zJg$&nCD;kLOGX{Vxrs)6#`u;X%XtQxs{OYyt_2y1FQGEG+A>-rYSF4gVE4GDiN}m|v z2jdgPr_{27)APQzY*H~}{VX>>qIuW8OX8NSLEhlLQ$+G5?FpbsdsrS?hHY8h{vgUl z!vZFa&21M5<;x$yibADw%pwLb&eYkXtz*q_Lh>_o8&l|bzUi!ctjVY~+c!mgMc`BC zpcly2Y_k8W00VN08a_JMD}Bt(%H7}TY(gR8lVV<6lwJOwEu+?)6cz)mfxnd=Px^1D z`W3a2Eo{|u$T?0}HGY3r<3Hp=I$HAW6@FBK!YLj(kz5_rhxHdK6$vmn_J>yMtV0iY z5b&oI<&KNUl@ni1XJ3Xc&vNGY*J16z_!ek;cRfLIOJpujX}(?@#PC6v%fo70-&)~x8U)(g{$g$LV#tyf=kD+ zP-&YLvyLzn>RMrfkzB3Ajk`O%5q3Ns-OuTL&xS1$@jRC`xb^8sapG||9L(?VZG~R2 zL|spM{5DLj(UUlf;E_k#`;A%a{vCG4qlb98&iwmD=eDs)NuhemRmwaLjkYXdpz&uLnde=vznXl_nD{M_6h&^*ifBA zH0d&ih!5UhDS@L7QP0wbzkfNE)k;O6nmf{$7aXQ^cbcnE`-*+5lal_ z9uKF>5Y+nTFiCE1t^mL5LbNm;_CETRCd^*h{_XWVq|d;>@a2GMHz&$F3<_Xw$mt2x znIvcthu&|OxhMPMQZa?=e&1;D_X^u@$!!@y2=%>{)h6h06TWDl^#_UxuVV?Ud=8B+ ziwO+-R*kdTCE5(v#aBC^{9#U8JH77S;7gufgYp1)qW_I_pX!the8^XE+O4-9z>m+H zYQu?TL}xQE>Po|WlnN5`oCCr7rZ}YKdER>&i?7n}FGI0`a4Pp!hS+apO>#^$)>E2p zQ4JA<)m*sATCN6l25JN{V|`ee{ZWB!lnZ}2*Pj&MjxUPs79KAeoH4b_;0Kc>5KEEU zaYVc@v%A8RPMYn`S&Dm$*}fV2-{G*{#xeX&P4aZtV1(gsN1hl+* zN7BV#fEsOkr56io$?*n&%euao5A_A?lcx2cWmXlBGiiABG5Tr&J{eyxcwFG2Y_AF z99*021O9#=KoC-h4YSeBm~|Z)Rpe|8iq| z%HTpMqrU}KC(0SLR64-#*G!G4&X*e;CCCj9zLV5>(deSkH&IbR_C!})qtcWF0UAoB z^m0g=!ntZ}DD~78jZX~&?sT(R2*=K^=KMj24OOHH8|Gf$;}dYJ>{Yux22~N&6N5 zq$Maq|F;^9iDGGN?;N)21Hp&?c4j}(KYVfi%GL_w4hFmPq_`Ds_^a?xegoDnM&(ok zvLv!9r&LR$8k* z4u*a^R+va9`Arv0O&IOh$QY%JiO*kZ0GEN2O5??D!7d2G@_SV&|yW@2>0 z0R0Vm(OFX~k738gn5f22nbkI}6d8>{^8sjj2zU{M7A0x#T$i>^4;PawAq0;DiPy&g zQGB+)kw#1rV#lX5ai}THpl!@roRx`za7{wn-p}OyNGl=NX68iCK8Dxl29X4M8ZW|g z)H!1I4re~9)VYaatuDn>BVxd_WSo}qr`gtgpn+!lxn;reA#q|5OibaEl#(7DJ-pM* z*pHZ+x;z-L0M#Tpt*ktY`f%ZnHrnN(A*f`pF~ni?XA4)^2UQV2g=3=p8~XC_He5 zIpX@Veq@D~Iz`b|MQPRmhT04+(;n?%GLsV^FVK3Uh^VB z_tA7ft!2Q=VJW0sLn;Ss9&X281QgH`lj=L*2fz z!g@TG9P%9hTrG)M)PA{*whB|$=0(cmRlaue7ml~GEZVU&{Y*=Ma#UcBO+pM#bQ*wgWRNNi!DRZJdxS^Y1iQfeIQ~c4 z(%;eG%N|A4ttjLAto}1x;+|}qR-E!AMnNo`F%_tB{at6WAb$9SMX@yM;3A5m)STIC zaaZ%A${<_&%gFhs=;ANV5Djwjh@lXR-Hc|D;}UI0YVJ!Ex5)C-56W4=b}&gO9v;H`DL90vJLFA(?{p;HFYGZF8LZLo7N=g?(N+&x^pC-)p-w63y8 zX-uz*@kz(Mv&9;%46_fghbi8q(S00S-*UxaYtT|#qsv+D-C0qiEBK1ZCoRp6%u<-G zb)cU!$#5uZYfIgoKF?TDyQ|+6JxNJ_MS2pJ&`h|ua( zp)7R5kS^sX%e#;^ONYx+ei6l+zriS(C&3!Rh?dwrlROG7uyb-D-)bS2<#QyY=C#9B zVaf7qX@qAYRMmeOfW12E>>fCQjt9p`(?#zS!V2GUDL*9=h~k!wNyrr#^@i@P0q8DKL`{tJ}dxf zN_P{Ds=g2M3QIAd=4r~XsW(>D5p&FMv{5|w7zZ=OW~IG!#T~c-kHnFrnxft& zUB}2{kTb3`i}ySlnxu)seiVo6H&r(YJD^j)AAS6SWq7Wu6=WW_Tz5KwHu`dhh3jC# zmFjMaQbPHt14j=%_9u=YvAEQ(1@CKg`xRrSZz5tbxs|PnN-8JD5sps!+k~#``!B|H z0c^XjNH=9NiMCn2J(Ni~V39F>t_iIfG=(x)Xrv09wwAJAnC0q>{&0Yk^q4^onm*t7 z&Exkc8mT0wY%Rr_q9cV~v!4iziBeN5Nl0&_dPsvY3HqwAA1q7R94K+X#RN`8rHVb; zsjrs%yxk_^FecWlw658Ss^;a&dvvEM)-+vl$vMvKsbu(Z@ysF)r`!$L8uH3Zh_6KU z1KLP=NkMZo24jYgfBQZ^n2$>`*(in8#oNe9RNIu4y0j7UtrrO-xC+gG zsL!-+Itf1G6tY_0KuP;tcz3*hdgXiem-|%$OwxIr$cy;zU9_%BEn}nN@VV*posoW- z&xuN+R9-0KM!op5>-?}?rR04avHo#*Gi`W@E|$0Ct3RT=Fbk>rXczJ@#PPP@bjo_r z@-0>$n<pipE;5aY2)^$om+I4TbILyNg|% z@RfVc@VMzA1(IA7uBXwEj=+wW$w4o+FAWy;g5|o!anLGd47F77fUy974$}THIiTCV zrz$OY?ZUy3a>~ma-_y8lmGD}dQLn$jiC-(ma{woG+j3$ryFx zp=DFR0{c0}zJQE9iY2hanfTT^Qha}YI7b^g#8vRiBUu?A;H%!aG{~j@`{B0N z;pwD->M=xsM)7G5#mbt}xOL7cf@ZNn*I4WMMmGZ)clU9yzyQgm8%FlqhnM5_GTY6R zW03kcW>=yCL$7Pba3icwN3*+<3##-Xv-5Pv@5h6bqPq9;MymyDc}V_X0PY~?F+B z?r8%2&yn+%&uM`^PMcJ@uH7vPd?_$$-fPo{_H%B}^W?X8GfHoH( zrk81}3!@Ra({9y6AZU>(eKg)2;l+J^(b3Rf3C{|(@;jV4*nD7u?J=Ab&a~ieQRLC$ zS1^yWR@Av^!|@`MhUVs2vG9?DI<D9%rYXl|WAT(VVdRbR z+F@N^7o9u0I}+}&KnZkaa;bRQclr$HonX7S<4d7#zF>tGGqjGtiihdEK@3F=GtpW) zU*?c7ph^g>9^*6;5wx2ac-1XYw{^J=vFb&624;fJu%nY!>!kqpqEi8RO$hO5PcoEL zsihEtt|8ya*`8>tvp)FF9~CIKG^B&bCphy?&cn5sQA(jP=N-?)9Ct(s;n6=Pp44+S zoF=tVioC@(Saj+CsUwb=Sg}QW<8e!G#517@rMzBi6bvygjqk5KFm-qgaqNTa#%hUg z>_YSsbYRG-5>iJ&-1;I^X;ZZp3#KWHM8(V=)V$$@xKShpd9yJaoykFAFUK~f_A=O5Sy6#GwON9HQVeNTSFO#$XP4| zMjSw%a`Hlq=kUg3M_&0()<1mJ5Ui!m^2KMf@W;cQ)J*hPZ+RKTb-djuSZq;4tp6OP z+U=80ZZUIE6hzmJ{pDC|7;rOd`vR}nUUt|cD2xp_6)i+{;lrNdvk2GFHt)ZHD(hkH zUB2e;Bu+M)W;^J=!tytXPyjTWIZJSP-N=!2G%=Uz6qmNgtvhhD9C{;9o8?{`jj2wu z5VGP{%-s*d-sAE7EXI|aKs=F6;lrqr!4_-!4#BALw7%1$8M#OrFAjG;Mx`R0Ssn~y z@L}pVU%)<7-P9R&e8&+q7hwmR#_8GqOMF0;Y;1| zvwK#2-XzTH73Ne3x;86pQ;3Im3^-z3Pn{xFC^Y3~N|QVK8gQNcK_eQ;llwGalhYQO z_7?v7vg}|t*8V{lA-%)RWT{#x&*39%)cd{9Y?ZbJ+ST&D=8sWG_}R+LH60>Ay} zB^H=|N2_=JFDYzq&cP~RT{O|ZU+OFpc}WBT`Nbpo0OpT)G-mgm0V#F0PKX-b7K~Wl zRv|YU5R~S*RO*Mkh{~#b(r&s`&jQ82K+#|De^mYMAGag6i6Te9CDEC3G#(cs(60?- z6MlK1opRzesEXMdq4KW-&B@y_noiGKRqGTJPT2yI&M=0YtL12|F{BK&e?)xvT+G$v{KM@Q1aL z*3U*`;aq;lpA+>4s>30x`ze7qn< zT~;FrPgx7qt%4`Ej$sAhfx=}ea)7lUjhu}B0{qdx3ygSeTZ{M7cmqi;=MWqg*fX#R z+JYq^yAn{_-U%o)~{7*f%I=@eA zZySJnz{O!c^4JR$6B->@63Tw@~b zb%b2cTxPTJeylHnxq?LCeb?-DMAP2To}KT8jLG6OTqs3YZS+9nYb?Fm=|USw9gbP; z?ufYRg`CpiWM$$VxjsG?XK%9@MhI~V$USiVx5e4aZeI$$HrUwQzz@E?F%7JG(oq4E zmC0EkHPgUNOP41>ft&COYm1VJo7E6PcxJ}mRa1j<r4+ygiyCTVkZPmM3!~v&2cyX| z?|tV!cSGmBPMSLa31!&EKY(B|EMFiD(s(-iCpQords{^G`9n?ZE>s$5dI#@$23+p} zNn!m!+tB4YP^s9ar*V3!b}1b`?KX(6RMg9Qy$S)Mpu)Leu~v`L=Kai;&T{0dotu?- zY(i&UKUaD)vM!o1GiefEQ4x?g(Qke7y5e41tFwbSm3lqR|OmS?$NE5|pjAQu(#ztv*8QO-#SQmYyKxPIzi)kK|nC ziZ=cgo*5%D1M3^X7=j*D$8k*ejD+Swj>}}b2d(swIbX4iw#@DV5lvyM1YeKW{lO?J z(Upz82kO4^#db;C{zx>PCp#|4u^dsHPLC_U*`zlN!0YiKqqrsq^;CK_L^@x1$Cae;N_n{IV`mGW*kb1jy9BF4$ve4CqMxn|jI(G$&;85oL4(~E&n3_d zxeDX}C~9c5srg5>nop)1jvE2V3fMpY9IE%#dYRAU#&kHH0QX#&VFa$&oh}z=ji3!WPp`NV@@D_JflZ3fjLmg8fxP3DLKNz4SSm zop*v9&pU|3c0i%$!|EaQF~(Om({hJjpnao|ypJ@BhrCG#N9!`*s44Pw*;*8)YlGGA zq^YAOTj=aWMW{>uVdVl5Tz-3wULgmjdEZ*Ev3_xht~jMfeCGS^-8VN^?%y2W))RCC z%DMD?ob%OmG>~&RTE&vvem8DA61p?|+{f7y8DXRc(8n3pUa9OGOD7#-QB(&^Z9CD| zpMOx#&%4;#s+o_{@=P#^_}U{;75OC(oXP~Ebb5UG&DMAVxC$VaYjonkp9DaNdYocvP%Wd#Grd5p1Bt(CHeEFP-*mym|+nCMQrK;S4GDf!+Jr|JP|7;5Zj-YDqyML zFzhj&Vz~DTxI)M<<=TroG=XWV3;EI2WC%;vS;794jhKnaya>DTf|{H_TjXd|qifx{ z{!FcEeNPG%wN%u}V9}~z7NVd!9|QL3-hFFTu(0d z908AvE;D3u%;ahatu{{E8ChCFwjMp-y08lQMYC}nfJA4!Sa371G)dmniPbKx^02$^ z#LC2y(QDgBWoR`XaRpb7()?I&Z50GW3>( zNGH@H?%x=$O-YIybbg;LeRt_!VTt@wNS(BXsm`FIr?UGpmX?7LKSq|lW|4nH9?ms~ z1)_s&a1}j~+s8x9E_Wj?=y_u+#JnSz0bZ!b6kW-%!ugq$MjS7SoQVY;;WhrEp$T?a^h}veYqi+NfA&3hj4f zR2)xvC+wVMZ`xw3A8Z8VY!tzyM~Dgc8?@#=D(vJrxnXr+M>!Vn(s+rEDuZv4b^^k# zKVW)%CteP>H9)Nw?wI!)diQ#EcK-h17ZZ{%60Rz$aClTEj=&&f)w8jN^1aQWo3s9F z@cU+u={_@8mMmttNL!HW;>i4F!CD~j`pXqHCeagP@{aOAj%bV;fWk1)ndC-= z!e$S}7f`3~f7Vo*P6e!PaCjTzkJAc!Jn$kr;ZJCy@`DCj?nU!n^k`W52`6t|6(Yk# zqfRC!6xh~By(0MzI zay75_rJ>pB=^J=gblE$qr_eygLKc@)R+;#!6Epd?a&L3M$tQ7T7^ssp!meEG^=fKc z+~pC+Y9qNmwduQUV_#_0F~}|}j%3CoMNd;03t{BZY$M4+4y*g>RYI;ygnHR{*j5Gt z0pq5-qYnCmM?*zrAp{+yfDvtu6;FaaU#Ks+^$|HoGe}L7FCcaat{}(+L@biJBZo&J^9n+^WlXkfdz4osDtihJ`AA7$*oSzIdevnlQ*%0RT@H$DIY6UpMD> zcc}ry2e3%`OQmn*P`f~956N&912vuFN0 zQdqIwASIM!v&jK8_P)uMOKkTRE|uILbs8(F<)_KdrEjJL`7y`~ZmQOA}r6G#Iic=^g?`EO%N>MjWwrWU?R2*_s`t^X{N*RE4OHmMoF; z>r3%B3ti3}TT0r?+b_nZ`=Aqsb?wGIH4)VguIt)D_PFz?c1$UnxTSNeR?U2xOUkIq zu794~(QwF>6;V=}DQ3*iJtF%s8=N|8lccj0QYeb?P#tqGN0QpzcqibsL>f`io)u?O z(^u{n7nKcd;9L%yrms@|MAp48`3zj3?r`d7tbk$4cAo68I&mONm?U2nPOc{@a>@MGTe*O-zX4BZ+bfDRRduGM_^K&K|)j=NWCG zYhnGPX%?rhwiQd9z?Day|GJmieHDAWfgbA030a=|88({diC)#^V#wS7IZ0m1xDN8R zS5n`!-c9k8@F;B3^!+Re)Ak(-LkV)1gNFBW8NlfjepF8!x(Mk>(da+K#+xw9yueDB z7{AD&*I52x4x8LZI92{sSoVZTU$X0W8K8e)@Am~t2>8a*Y&bPN)M?ZFEu-w=M<9gv zilMc!FUJW1EI5ZY^9G+>nEVS?JB-Y6%BoChq|w8Z4Ie>kute?Cz3Xe|*cZ^6aHh*-jR>akcp~M)jJUGf< zl^0G<=_iV2>e8Syad8VMBmINL#Ku_H;O+VNTRm8fejQGocdDEwbU55IS4;mx{ZHip zIkoK)nZ1d2WSOO&8R)HOf_jD#`7p=~)+@bd0_cUReU$VB=}Oi4BEy7}XTxu8=}7c~ zAx`l%QetZ|uVj|)&U12R@|&m<*LMcf8oL0KTF!%{gcgN(5v(u>uo_x~)e851iR%<(kyw+Y3(YKP7wP$`(>N_P-y#(%sCOz=to!}8XqG$CQ-!)|*80k#peep;CdaXk!nReZaq^$zM+KK26k7`RsqogMo>p~u zZBR1ywF1c9=;eIx1&3?r!0s!rxQ%Gt0K%LJMsXUFq)$H0jg1>7Q*3&Z-NWR_O!Vhsk?^Y6tr=)=3P!0e6be*@rF510 zOLe88m?%xTrxYbPau8Mj(qijd58Co5SQPsulyapbME{YxWXZrAW)kvw9SuqQXgv*Pup zG#H57r2Kh{+Tf5=TDd;`lKX;S=Cka=elq)aLr|9vD}48tvzPO!1Bcx?^MXoCkU`N;$}4-igxG*~sGE^j>eh z?Z6i%mdHxYX?6}Fc`N_^CPf1iGwPx%tV6>a9oXW2H*fff$;yKWc#k^AA!ndUmgpeE zZ_^kmHJSn4i1&Us@Al!d&FJXElD*wMh#hUgy(XWt>d zOJL^Wh{;n$6T>ug2388ALR9ma^`^2|l)ko`zEr3$H$|+5d;PqVm@N6jZt$B@Gf zwi$VrdC(DGwRSy-!q;P4`s-oN%U2539v%@7KGN3{-DpXjz6KY}?w%_NOhQrl6 z;t1c0AM6Dse@NYLM=))TYD36Ym0e|-rT|RF>`-d~9~5=Q4C&HBLCy=Fi3_c!)OD>S zTa#qrP>k&HqS;oMCQAP3I-fhyK{;zhG3&h^eP2kRsM+CT4_g0ksa%$1HTn(eFnpsR zI&F~ppD~d)AmD0hcud)_Uhfn-^cAep7jyzkVbK2jIqGFIOyTcy@15Ob`U4lQ`*Y1| zvk3-Fu8Uj`BYOZYD+3?Mt3t1d-4C zpN~f_{k}#lLh*@0NcR^cfxaaT{0O|B2l-$+^;uRFwV%#v=_}(;lZn1qvYz;P-+wK< zuZoOWuJ*icF6_P0u5;|Xz3TCs=__O^jh-!a=2sfte>yB*mYoUteUz>oaE_OaY`8CTmO2q3B z7NtSIlR}Z>0u+DR_Aj>F(mskhN(GrNj{H4ges_=Yo!d4qyWwNGd*s&I!2!Q7n%bVr zADixv9qi@h?8zL%NMl?i7zOyCYW;U1Onb39JpnjO{FCe)b$Q|>M;Y!^x;8_DRM*1; zexCx98L!z}b#`SdupYFB;ls>gVVbzMHgo`X{^@h?T*q<<8kv3P@W8aPy)h^BO_rnh z=!(7Ykm;9?YD(H)iw zIcy$wg~WBga=Fkke zYzPvufFEVQZ&;kK=b)Xac%zlfe@AAnTfs_L$|312*Y#iCA{l)j%ryLL$AO)GlDn8) zo)>j%2VL6o%%qf4lH;lc+FDeo_Ft*K0wI|MVy{2HOc!TO^?3bI^~`WXT1jICp2o*i^Z1dd}Be$O^ok(0aaKLf%gse&Qm%hBrYg>*E?J1$D*0 z3ma;CQXV!S@6Op*Uk-@2!QC;zhYoW|5(&_-1e#!&V0B( z{p=l#q+q+5WBNpO`Ft)JVjvU!kS`kOq$rm?RUhwUN*d@5L0?U#y6%hX@nzu<#$fzB zbm1J`?6$&b%)k#NaBUn5D};il9#Ut_o9ZHc_{Wz%ckv=S1+gDwXep5qtFoFQWN8Jk(x3$o!8^}6?r#-92BV5Wz5c55%!iXJrLoVtyUnKeY2 zPwAEgHo&k|cTv-7ZXz^Mks7>qBH>ut7G8B4!+JBQE3X&G$hF(f0RaAb<%&> zapI0-2UV~6J!;N2F~9Cz98NOXR^WKg(;WX-oX{~6fz*^nRAzC%F;MMA7oj8d6Y4sM zWB_UC_z77iZA#dyoDtJyX*8$geX|jVE^WHlz?PFvBuF(z6&|i!a5+(MiE4E9$7w7u z7T}^z!1AOkL|3|5n*=lYcQB1!13jDrj+O>(o_;W7EgSS*N{!lU zDY&tkY+G{N^vJqkgvMfr1R;7sKW%(7)9dqNS##Bj&IoxKQv1myRoN$YsA3XyQj1cd zA|<@}jwNN-AVTB+0rPwy2pleLY0lJ0@|7fsrlM1{AF*1N{tcTzde)N-8`LT4(=6t( z_;eY%M}{;MfUfCv#ReONDckSqiRJ@!9VR4#q^ye5Z&n_!dF2{|VAaXqEGea2B79i~ zwq+cY8C^#cLx#kc@xi*NK2y8V9*Efh#gF!;^6i@|u88N+q^U}TB$V5bA-W&%#JkL- zA8LAkl0U9MrP8iN$dn_p!xw7EJV8=0ye4Cnk*)U%HS~VW!2i~_E)cGKJOaafaL|9DnL}rV26lvplE?d3}R+AbHnP;wl zULxZe5Oosy-Me3-gc(vFDcANO0Tq2^(YP^u&jdjLcRS_<+)3xqo1TJqf??Jxi4r|A zF}}o;jNzL@EfE}h`)w}wI+Sz{Td<2iHAg##2ouFiYju+j(z6~Ef~)v{pdOj3t}O`| zCd~7`*zg*8{|c?lpR@pvfHm6L&_@@NDMeG3PQL zCvJCxdP^uaN1brT`Q~6%h#gl$U4Z^SE4L-$=M2DD?mO)27~ z-K^gCQJFrMZ))2?6qf;w17jdG#oc@J+Gfq`{+QB6vk9y!b-EAL)AB-na;TJJVz$+k z&Faq*HjfQNXbd+54sBgKa$#p_sJK+(`Ws848ob9yH);i&%lIAVvI+puDCjpNtv+*>|hHug4EVge8vcHt{hRHsv zb(>(T)afM(09(&A`Y_&#u)%wG~e-5zJBbw(R&n+h%oPLgo=b| z3@Tlfm?8yUIEfr@7N{#e*fSfB7@pFKw*rcPE3R!74ODqfki+;MJe`l&!E8I_@?J==s_JFkC%x`7WgcHmsmE*H$7|7EPw)IO zm3DlJ@ZRVZf;C&glBl(JWI|;r{!>tQ6%iap1zloDR`eYv&G;n{^H#_%mejOkDrD=Z z4x9j)e{>b{KJn45#s$#pMBis+pC^tTldkF>N(TJOj&xCq^`BfeR;9r_G@3rA$7u1A z>NT2yKbt6xZ@VKjyNqzv88QMboqw*>Y5S|Qjs{5J#~n<&V|5~JF1+24+OkbRpCL#! zh8NzcYW1DQdsHwN=g{dVxtLM{_AOGuDpYTiQZkI}^1w28x|Lk2Gh|u~(c^01#-MyO z5%C5ae0~QC+Bwz%Ygl!$fRN;n1Y2Q8TrPQ&-6S1$!!AJ`j!nX^gML^Tch%D^JgWrE9iB zLttn7o-DQ;7%@s%rQ86tPyu$TKF> z(vYcoMc+~49xZ}n!-pZ=b%$>n960*Cq$ClPc2#p%mcgHuZEA`u%Qof+W>)58pv@_# zv{5wf5HmSHm9JXNJvCpM{8u@l!l&4S<8Pry?>SdKZWz3i4g}_Uv$^DuVjD9-MYPp5;C}Yz zIAbO9&o^)J3QVPvFf`QU`W)JMUyP#xk?4d`)^)tNJ1>4CM%%>_tFdC$+CPzI1YCca zO?%=}>3+aG5H@mE#5tFR-T1};&elA02^A6(2o185{^>)H{TPnuY$$(Z5km(9Smb(- zv9qaCpT!f#sJh@ApjfC##9-BL9oA3~rmgerQ31TPU}^K`EHCO+uP1}g*#|~0 zF!Js$Qydzx6&l-3j5&6F=-XQYZpP;>f(g;)wSPp>Bf}ZM$xzRw6D(VWlLiH+ysg=2 z7j|NkOBRQIhypJ}IT_@1DNvVxk!pg99EZ&$)FV)Mr&FnU7Q`S7CBAw7iZgquM`g!g zO_`cSQpAFz%ke-l9#xJ9yH_2i9tjnQ!2FBB{Xh;oCNlju9c_B#*Ur5XyuhyL;_{tuWe6Dz;1N7gh& zpn++Ezoy1y*|_rl>v240OrBVPXd%TruETOQ2a9wruJ!_-#DXc``~Hm4jIPgoxC z%i|?5-FcIQ&38<`xb##k!G^%Z>-!6HQZF3owkqBt6-?5GLDFqSf_lzfU_qyX+FwNoIAoc^qqF}CC?66`f2oI9 zE-H=|OIh=;BhP`{NIeDws}Wl#wuPNj-XhPv&9DME?)CcF;^B+b6ya$pZjJEU-nM+!1LY^q2P(+OqET$F_IL>j&zR93~fW0Ch!x! zOyR8o37ndX?Uw1|`8m0odTXU{#7A0-laz5QtEe`l7eb>ddKlzQ<$q-|jpW7pQ7z19 zu8Ss%?-&*H>GX;#cB0G9SLjJ_>Uq?C(6!PsU8^qF2+@_nI72ke%t*6LG%MHWPMc8` z!J#wnL~F|UT4eMBQk#x*CNPpqawP6k<*1K!A`C1OB`-AajFTe9wb+$|E6LQI1%+GNTyML19B88? z7LNw$lY^RnF6{c0Ze;}Hj%=!k*N2j-q%*61x#S$(YY`IM!vV#P1uj>x+8zv}cOH>r zfvh_%k|((F1yLKbem^Sla23@SVkWZ(hB2I2z7o8c?~h=(_g@;yZBGMQVq#WjHv`qT zt70zLZEMo)D9~7cRssBo1|eXrz*9I1A&FU4?3~-yMI?aij7mqfS{KK}4|d&pQP4ap zzt#qV4GZ+&=y!i9d7r)c?Vc0y zh87L$8980Gs7w6$+bNL|Dp8PKuwQ6v=CNVN--=*TO?x*OU@Sk_#q^F31&<@9)0*lm zs}lWK9YIR|r^?SIfa<_Mafu%sfkSWJ8eH_gm3iTXEP=-C0YfXygnlW>lgN1*omvEc zOp`wTil%IwwRn_g&eSV>T?+u#@I+pSYlsiIR07Y0lR>hf-z8nno`_N@-;$tCD#NK+ zzexd{b+dp!e&pTVlAl)9j&gw%hNiFij}ynn+sWn)hDW3kWaA#{{^+H3X9^^y`NiyT z7OCKTP-cH5AS{hALiLy3%ExD6rruay2P0L$tr`4hLhsSTxQ5qpIT0HymeJ`m+15&1 z#@DGy2E5$EaQ!Wxt^|$u&Wk~RSKBqXT~iijHfY20JwEBn!Hb6 zkMg@K399+rV{VIun?Lo4#S{Cb*>NW%DA_caI}o)^X!Qk2(|NyqdGBYhR+~NfH-vGD zx+YlXS9jaOLPK*`zx@+Vmle%L>7R{`4{y^S7K1cd{9q~he7OE~JmR%qVCj#1oXyN; zt@oeA8>C}t#r}D1tGTcZdicp_3s-4#B)6SxOFtSXucHM_iq&I7N7X0ASpn?A2Vz@b zcY_p_+B(Zd%M%K_vA~%XvW!riB&zs)%J$m?V7sP`)nl_uW(Csst2y9Rw0wguq-^eN zkclCC?rDmJ0nPO*gJzEdPbu#=gmz|z{Wt>5$a<ⅇoAD*nF7par}e>Bhg|r+g|I# zHkoMXmP-s3@=K&aOme=r%?JGVJpgYTJZJq%QKZUJtS9Vlf%!Gu++w%dhsC&w2RY92 zb*WAE01v^R2G6%`!@A^{uOh>xS7pPYihyD*M!BDDx>6qU&)C;&tBfG?P{>9WD*z!XBD`l=f{qfv1 ztbx0A-c_W(Q&3)aVV+WI)qIxan%($wg(~l!Ut3Xfty!u>4 zkto*&jX;J!%3l@HCrT)hVm~6Rgi5Noluhel!wLI|Z`a{4{hEOxYp&gc?2J`dWJZYl zs{315_j%jKy7cdfWmw`ta7mFTwrN9Xzr=0(}2(gngaSzy>OrDOZojBYWQW=m#c3E_`q!6B; zV}##7m~q+*>o}q1C1Fc=<~r(kpgsuX)DHXeJyH1KN6NOiejjRSZA9B_D0U;R{(_Ir zwVb}C%aAN&5zaA=Y#bh4@vLsmm4YWc3Klw9gomd*7~HEwheeT_T6EcBG&MuBdcfyv zs-{RZ*Mif!8V_&m`=h6@pFX%7wi_f1brGi4?Wv;)eO_rkk87;>ni4rCXd2H>D!}Mr z1vZ}chf$Aexkg`M;!eT{cPqq}C%oV{@a&LI z^g^ikP~h`fWtZvYHVyH@M&F+zQ45;p5+ke*gJV zl*8aJ#{Ri7Ko|-|B2aS+j^_IyY2Sf1J#Midj^| zZC{4;V2qI1J6Oi!4=H$$6|`+599@1aU2^Syyq2!8m^c@^rz`@rz<@(viCFs)U;K)j z4Him_HR-JRwd*8`IQ}a~%`_c?G(=Ol1s(ms!e2Vla{8g{W^=%A80aI7n7NXx3Oxhh zsuGsS7pkskCtHp1_4W`Fea?!#T$&4{EXDK11y}XHP%OG#1T`^s zg?@1SP}8%>b=PSK9_El~aCmd#J{*K&cAIxP9zw+KWO_qdjVg^?d!#hs%?eT=*;8td z@W)m#)}(ptOR6a4eFp)Am^-Y85EVVj9mKL1>soNgNE*qo1=~`@Wymq zLAeV-rTN}@oes0J$;vFxr!J!|*JQ;_(WM$w$BfY=6BX_UAqo&~;l0gStHH7c$yMTh zN9D27D{9&lfUJmO{4vvE!<6?TWa9N;;=k$0qISdnR_hC<&L$U-HhC!AuE7mEw7+AT znaTa2*DIv*8KdgSp$6INr%oImUSAw2aMvPd5}U}(5P1A4>v<{v`{s;@Sc0kOG|h{$ zoMAHQAO@Fh@mCPh`+|bvN)u5m_a`c>{q{_A^PknygftJAqy9DbLnN-<{i<&)HTIiD zIAXn2e;8PsE@%Ip?H46{r(x)ZXWuZ|FwGWs#4pE{=bQP(iqn;@xG|`L1!;qY;TZ16 zWH*C@QWxs;n0I=|`R^Lb-}C_7cqz|>laIpRNnjx~knt!#V5Fb5RFYjM;Ylpb zua?lzU#ArIO__;`!7OF+zUDn?K{{OZVllyB%0%~43oladsw6KVqlz+V*7+(iQ35-? z#$)Kj{<5_)LBq%$weSg|Cp}x1O@|{?*AhP_l#U8!lAu94gRW6~=GFaHK9Zqs1HF)9 zgEHB=;k~E0mM#*jP{q(*o-8z~6l*QjW*>HHM$uFkkOM<<>eg8Jec!Dgq=utT#{h|1 zeMf)3m_}&w7Gjq;^=~#PgGqNKN<`HLMW3gZlN64_B^8Whdj73w$b8{K4>IvOGl430 zfjIe`(O|9jrDWzHi0G|82P=sZ;a@!&$v+IZ?{kgb!N;9%#@G5_PS}9tIy>kL;YP97 z4VfgW4aNAvQWWA}LK`R>6SAao$HYW3`FEYblJ;+{L!FtqB(H_>Wr_e3mwcqZ~* zYCzZoHts>$+LyLNsV|u0T$NBZ8RCTU3kD4ltk80BCAX%R*<2~bR%-$SSk_e0N5t1q z7nI8sbEa)X-+k*3=|3a6vKhvaQ70U7%DU{8NOybILR;Eng|y2U7v;K5AWKXlxv7_p z|1y@>`VzSuB?0^bhAdUUUMk+A!2;A@x8NJbk?u*Q3kU+-wtJ zz>|3zYW2zj%cY+K#2Eb?!wdt*iL#_O38$peug))CqZ5>q6B`Wz5lMu!#()bI@ zQl@{Wpshb++l}a14VP~TVO;0336gy_1%t~6CnO`LyE1g`h zmTI@&a?2we8rlAJzOPQ$zn(OkUf4K5p%C#cUI+os;Aj_qItUk(DF@s68 z2@Bb>6Sf;6D@>mTdfe5MmSuEYE{?(m7rsE*V7*T$&99POJ&fg(ieQgwXECUcr+NMq zHKVgtXJNs_3Gb3B2>gaEBO*_P>1tJjL0pZ8zxtsEoRM2i!LIR zRgA(~>F1e}RD@aGpha?DY(W83EmAlNwX5}DF*=!wIW-b-zMqOol%G_E86LCQoP<&a zWx{uc9x{&_kQpH}jE7|Ox}BTD0MF;kD7%(qszV_f>f7i}>@<4)(6@8##bvi7PU7Bk zt!{at%ApFDJR0z%N`>I*>ZacxH3Z6dT(;sW#$?U!W4k0?m3xuuYQ;vc}BmfQZ2>Pls|0?NPu;Fk90bQ*Vh z8EWH?w*UIlQq^$L_>-znLnPN=TSouBoFcIc(C|&lU8p#GL_eO%4$kvE*?M~F<_A!} zS0}4)M{0U~#yiZ-+q&qlE)SYKnAEEb=11rnxpE?_EMxoAs$GvIb1t)2pwmf$OUd9AM2M3MU8F0Z2H-ocvS9n1k2oHVVP%$N-#PIzg$ObEuc$nb9{!vS z-^W3i)o!GRUSK{O`%_Qfn5bq=DmeAAv>P}4z`-Y@@^Hw1q9u^YQ?UkSb40En%a~Bc zs|=Q^J}*{mN1?7-$nK%b$GpD$lt~vmgjD+uJ1uZ6TP#U~`zC!tezSoSWm)xR0$TCp z(F+lXDvKRIW72t?*_0hQMBQD>_V5=yM7HW3mN!*{%uspPr6M7^TEcQSR$INPan%*lqxn$i7K`sU0$HXRXJ!eKqD>p+ zkJVPQh{{{?Xby^KTLwc~>6HFd4th`c$YM(Ii3RJl`lS3Ou7p?HV#g^*EIwQm%?m5D zjN@*AtB%ZtBsQz9b_K0WDrWt5nBm5v3Jp2U*v;aiIt>|r+*#I&uqVP<;zW|%kF2y9 zEO(pKt{0Aqv_g2^Whwc@Ck}%qn}>veq3iIT$QnpRepx7x1uFR=7OK3!@7JaK@(Zry zM{+WWM@puC?x}xD7^l-PaXTUJ_PvBVFH0(;=x4X}wU7J|GzMOE&>P}SK8Ht}cUN)w z1v`Z8H)Q(8xHenyS4QfU?U_eLfXPfALV?$F)0gS1Bt`v&oZ<4^X<9>!F{*gpp5sIo zRj{4mbmQrI@5!87Uf;K=dyi}QhksiWtRV(z3TdZF$ZF5DGT;>lzkD28k{i$xBW$0CU_W^; zXEO-uY^rlO2WFtPh6I@7}3goBPwqVX#%qdXjh?iDI!V2m-jMFhN&=?$xEX<->^Nr;Z zXxvTKyKtfgeID3PnYIcB)bKQ7k{Fk*p<(=$$ChdhG+$77u*~4 zW1QBbqLz;*+azX;GesH1=uDgZoQ{QDXg*;spTBGqe*JlTEj?28rqz+M5UH`e%~Pi7 z`Wx}6_fCr-f?e#A*UYCQm_w_PXSWeS3!4i-3P~2u2g+3R2_3N0|e$5+hG1 z2?Uk8zi4%Rs2=q#4`QEJIc_Nvek-)QUg#bL#X2YRhRwvQE<1)PmgcU+XxK5FO!%Ja zhoB}I=g8bCzA|Xb6{;J3-V6QYd(ZRM!@{0I57^2p$A2G*U(6*vjoXeqgp1RY5_n|_ zr~yCl`=p0{lukzn(k(_wqb8bVw~?Rn!1`*NY|*#C?oAsf_HQcpC6!LQX#>rtG&z_= zx4iG>lDv{2E>H9FQMv!qE=z$J*uK6#L#|LDycO8GSb+RmU|WsZOZE>63L3D3FZ&XV2OpCUgno7mUsgnie7jH6iAFIuK?`SB-L; z3iBRt|NS2kDw5k8295^?l1%z_+Jf}Rf;1Zs-$Lv{>cRD+riMRzsvGkC_V!1QiC4(^ zLuRdGLFQI_3_<_xls$-Nn_GpZXfapFSmtvJWayLX-Q03w*%FcQKx2pdX`R48(7_kd zkUJUXv=^vj13~wcE99)FiN4NaZ^(ZKSddh&OJXq%z5Z)#g|T#u^LD*af)v1bVTge7 zcjx+r1$YQVgnZlptUdR!AC}kmffH9SJ3sjDVK1dKz^(9~N3%=I1Ap^_`E<5c8AvUcojPx9aA z>JHBQzIDj;eH+G^N*4lIt;b1p@bBX%rGOhztBt1V0VFO`{l7dT7&tv&#JKOzlk~6o zqSg6ssb5CDCI2^)_{~&k)OkeGo#L;hi5}72>?Ob z@ThdoGvCSFS9nf)OXvT2&wB!>=>LWaw|Ru&c3Ry_1s@lHu5zMKB5!UhTu&0jhr;sN zZGrr)4VUVQLO#!DiJa{n0uy$gkJSGEzI1uvt(V+9VakJzu7MWoUcJc!bEi`Q69Mnt zBK>}TG6jj&+Bh1xjCWi~#uAnAg)N6;F^#?A1tzh)9Yy;16=;^X)r7J_icZOu~hcy*SyYO60?1Ng788Ai$I(45kTa-1yg;*4Sw_W+UFzc z!h|ODpzY*%2r!4Si0RXz3(fhTx$X`wY36#KM_|KOnf1WrgGe6qK+T!G4Fy$Tu+TN7^jZ-R;aO#^VNZ4=W9wP9PKuoMzw3`ZiZ_$cG zITjzf|BYFBVO$&tM+|8eNZ;Rq8nE$?Y&WNn7I-jMq9+|S#RNcj^>%J}i(oXEzA-4v zkZpx%0v=7WJN=BmG;xO6Lu%iD-*paz%Y)><1MnOgA`s1>5LQBik?C=jSb*&x8#>cVy7@As&{{+-%V#0IcP$r z|2Iv^3kR+|Z|q{NkTKecYyME0#8QG4$-2uZbBHy)xB&Dg@8d{cRzKm)uY*W$k&2KQ zRU6^WQ&@pVev{fK|GBl<^AF=rgcf9}W2h{`7Fz9}MPyp^6(OA0!wkri+qNiWKQ*<; z?`ZnJ*%&`Z)ZDz^sh}HJ#l%ObuzkP2%6tYqQpC;y0&(?2N%t2@XcdQFC)^4urjtKZ=Z%r=J&-21_9 zhBCAv-kq?v0by!Y$A*vNViuM&MS^n*<^lbk2u*sPm`K|$bfvtnAksBiR{#h-;7@gZ z-Jq4Rp+K{K&&pSM-M^55;cWj;3H)$77ng8YNc1zu)5p|vtN9C>g)?*jm?x=Yx=B6S z|Mf&M&znW8LQV`Qf>N?LIA zjSrBO@l|DbA9nu67XLSrx=|z%tBCUM+R|r?&$=yvX^`c`5haE$4anjRNx#2i)8uyI z<*d#Q8*ZUfgw>%qo^#q&yyQBjhTpX2uK8{xHuL0crn|^J(Qa)vSlb`6u(b`+`>AL` zzxJx_6knKD;0SW@X*l~50EOpmnJNE&$uVf3M@=@`5mwL38k?fon3t(=&)kJ@Y|TLjtqmtKB8n83Q5 zc!J8RFXQZoj)A(CjhQigX9kuL0=@J9CJSfG#FhszWeV->+_!y-uNET3Xko4VGByNB zkDsuY@PV=zekbwr=5qCA-Rz{mtvKG{YvXoHX8M>iDP2rDETw!4n-$ssCMBj;H#!(C z9xX^{GE}CAxII@?0oK|DVMWCB|E2+X5qq?Wez@u&DBt)nCKA~yK3%kfaFLyW1ZbkD zb-Pcc9j_lxzh1B}x!xR#=UYZgd`yav*SJM_Fjy%7$;zod`!MM&3fKM5V6^c-8i+`U z5TuHgM7&i?hERZpaHiW^X*4hG4_fHF$RmXChqe^dStA&ys2^Fss(yCxme~ufTsAhF zV!@3Y*;tQRD$FKIE;P>O9<1BOYpk|ICQ6@3e7V7x^ihAqAJ?&pR=XW<^OIWTxH`CZ z`4?t_bz1am1?uAscpMt%1@dWB3tP8FF8jd%FGhyq_rg?e%xT(bii7?RgRuMRkosMa z<*v-v8C60$Ztx@*J`FbQmardqig_YYUZN2$c>NIOmjH;N?pm^@V<~^AG(hM{9x3f= z(S|184cXrk2OY{t<%%X)(g9eLhc}>5?=brGU$<_(E;w8&T^iysNfcjn4w!pU3-tu8 z$ta$cqU0q_fSSvW2}n#5T4)^-oQ+J$u6&OPnkuJX2fH_|{!k7}RCWKb;#@NiE8m_d zlsMFp6T+6%oNv+(9A{69lynwi`gM5)h3NVB3~5tJEvA+7%VO+VeW9w->V%zSoLEIh z2}QxTwB|s5PaJYgJU8qvx6QEk*E4wvl_SB7!3Wqnj&M5)oGZBWV~$1wDf zX|6(p@r^fhu}Zt$w|51K(te##Nnt_;lro3L@FGg&eh{Kh?W>~``9Q%7&3t+FPpIP1 zS=`Cf9|_Ir>=Y3_t-G;9T{|KBCFzvIw>CEy7+8al0h!^ihytqU((a_aR)<=Go znX9taq5!=p3ituV122KA@2Q&6{Q~kpP+W`&Y}`-)pBjsvO81_9xpiKE+tQE~7B!Ho zp@YlcLsjdhJ64C(XBhEZ>L&XsPXoe05V6m{&eRk@c87Q>I974xDFQLn&a_Va6a07n zs2)_2NUq{qFK_}NG70_-;w>-PzorX4_VEauM|6qN%l!Mv1_HCJ2n=Nb zvDit9&;s}r=wbdMyBwg$?gdGhCEEzH&;!#RAk=lF;(b*Sw@+A>t%cQgMB&Py z_O5G~3xB6y*dZ%=xn4``$$l@hMiHOruFIQ?)InM*pBZd|B#@oz1M8u4c-(az#r;|w zg)&Pg4Q0_->@~TRP?s`Bji09mqJx8_`dyVC*EC(u-a^(!tIM2lXw#Pv*g_iknhX95 zq~fM#ygM3JQ6M;CdgGs7<=$B;!)WHb;T)lEsJ!RT%@K7?J9RjyTayuSzxxt4het1= zFjQ#5G6^UxRzIk#=fN@-vo+`Y>&d%P)V% zn|&u2SaX)WBD$*y*gXrsDtJW-szOyFXr<(GCY5&39yXD++LKsy;a`sY`hDfqcE*-h zqBBF{kN`-6+}pXyiyKt~lOl4Zeqj}>%^~tGetGW{2IT+I64!?FaygkxI+luRV;7{1 z+lC4sU8f8)txI&1Rl_^gqYLrEYkuS%LtFEne5Fy&XR8(k4IqjiOH8Wxu{!*Nn!`B9 zFlc3(%?Iy$Lr;2$%8(SzNo*zqegYE*iR?Mgnq07b$Y z;#Duj*oEJq-I?ZgAs=&arbMX)ZiND2jEMn`sTcB^pI9pUg*2M`UM*EjcUh;H5weSy zgCyYX-hj*##F92d*Y+8L(d$~f?X2R9GGW-2;V{|1e;p!;vM1OiR){d~<5T}+vl4rC zQ4Y&T`NugSh_eq(_Tp15p{UGTeW#bry=2CKdje9`xdElh4yRv_Qh~ zC{?ES^uJ!Sili2mK?1>m?e?tHshn^=yH`6sm%9&X3#(sV>^0PO!lSej$U?7szq#-B zD(!p!3};Gfv)a*q-t^=RL}#$gWaSD&+R*`&Xd=7$;57z&n$@UVR7p3ix;`r&d@e6V>R_hlY254Dc! z8|u(uU-t5u{J6uh0=UbcM`myfBJ6?u4a@>Ax1yQ!6%oP`tYWgkwLtl*$P9P=x#DI&!eT*#I*}s?`t??Q$6~c6r>6IDk$uy$Hx{|G zy)^e_5uzk`VjNB*4vlE{nF>}PBXdsHw zyIjtwE+u>VGX}*hKyt2$aYX}+%_hkV%{aPf6lZC0fFw045Qj7+9wPy*^+7qh=Cpz| z$Xc3>%*@_3KBxoI!nP8l%Di2NIdY~zMiJ@`^Ccl4u9sdRU~W z<93ZIY_cD9F1-)>dwW6zZKQzVDRV4=ZI)JFSQdmb#85+%HM@?nAs2=FIt?i7yNwv+ z`sbBwJ`M+%Y?Yr?Ges?)@E#AUx_nf>PDd0Zx$`HwhjuFZ$6(MwXBW6jD>D~?D|Yxj z`COA}wfQ531`lMlDU(ODRw@UL&h;a$^cG2_;NQekhH)#bGB!foqfD$Cn%rE@NOW{m z6&S(!g|Rp3eb^zZJu1N!c=x=y1A%B;-oTo04aRdGtT+`z{$IyG2tFm|M} z$(Lx?YoUEXt{{jWj1XiDc>B#{9}qE>m)Tn+|AAw+RDdk+BX5H!R%sIw<3MHUwI;lC zO@>ZMTr|SzXdJP+gt75zInI8nRcWWh}B6XM_>Wy{$|u4 z`rv-@$IE^@rziig2P8u+8ln1=L(al@V^io)5%L$L4Z|Au+<$7kFY1$&I#0_^#TOg+ zcIUY=qiGW%M&te4Q+L~q>Aw?scH#wfpl6>Wde?9r{MyBg5H;wTn zmdMx@;RoHTE{`u5jO6pmtl!)It+|Y-{unq)uvBWw{XFb6kkO`3-LZ-~DfgF%!ZsQ^ zz@A-e0xjWI+-0Q6EwoouMg@5>2K^Ru&TRSaUZvZJWrRa&tdsJM)eyFnxESr?cTT{6 zEA};B1)rA)d&WQTXaP22UAb`vK2XMayU<15!yvgy+@}9NVh^Ju0h(1ue{vUC| zt*=CsH)ap;DiDX{dC=4JWgHFtNNZIXGTp5-0O^D07y?-Ip{8KLR6nG?_o*v#&7zMIN-{ z?U$tRNB^QLzn(89Chntc7_`HIhY^LVZn*#?i9Dd%p9q5eBYU6bf1C(Fn8flxTj;q1 z&fbtpR7L=~!q94+0kQgGIU)a@F6DQc@^3e)w_XOqbUor$xq(?C9Z`L?l6fL;yC1~w z{EzqoSii46l;^#ehX{N)r@W@il;=&T_egBkWz>?xyXb^Ztt^aQ@XYLlxBu6tqTYh3 z1cZh6wd35n$<$^hFEVZmgLf_fbB4uDsGY!Z!Jwk)HiYZ89ocM$*_08E`_}5x5AK7_ zls`L~^j~oF0Gg}@iy7R1k;kO(OTGl8#rJV?EMSIUd7>TV*!{zt_|j~ld&Zz5>QE0K zkA0)Z2C*gz?JyjO;02m$X8_g+>;xDewr84)YP#|&jAAw3p;Ltaf;aIX%W}pOKbZj6 zaPjF1lmV3byoq~60wIAJ?>zTsT~wYAIN?osha9w#!hwe8U}&IrPigZD_09o2AaHgd z{{?N*?KuN-I?YxfTBhXgQw>S!PTCD5^WGoJnfTFfBS}g18?Yhlpa~&*2wk5)JpT*P zfE{vQq}0QyKqa@ap2&IH*~`?XC&MUg;gbZ^=AV0R7JEZ_9adeE$wC@O{B0vISGV@j z#x=7jQ2ozJ@_zx?ZsPn~9FzX|s~N3A@Rx6O=#Ot&E#>o5WowJ=htg2bko;UDU8L1C z&DU1Ie~WnP6mN_ujvxAb#8#TqlJiHBO&lBrB@TdFZ8+&l+^4CQQd{TERXD@;HAvD*9~}2AJS;^TmP_^pm-wV_-}Q26_oN? z9Zy2m#e1Jhu)Q~QMf~rpZUb{XYzYOcOneFKxqW{xdLH)a2{5!CUIVbzo1a=wek$SPS_f7Zd-H#J+AMgu%B1vL!xA_z1QD3*jy#QMTM4Wp zzc+NQ=K-g6;f`UWDJLbrBQm9c2UFqFw;v~4$iLU1tXDgU?hJj=&QquQ{#tZGuf9UL z<3^h5e6F!1SAdk1$DD?ZvdLOzzFX4f`@`k468W2Y`-_->6Q=Z?|GWO>1V6qZ2mNf4 zqG?i&Y$;_I6&~D~7cEooSq|?9;=58LCrgCt0v$#(f^SA~U7`KRj?(9shSldc7vO$F z#Ztt73_c$JBwTYN8}LezDg;b5`N+6cfNmduwf(Rozf%dAT4|Zb1CO^LDKJEMIBFo9 z9>nVTH+~h&hrgtSVaw5juabnwM;eHziGE{@N`NK|0GA>TxseD2qaNlvj@$GdO zS#p*dPfclix-$H}*v^6T5gTPcvPvwhq;AqDP<>UxU3f^$<{o}(WXj4T?wOqnWcSh3 z7{iV*;d=zn>oa63ks)Pt-NO9O72ic9kr)>u$3*$7H73mDAK`;xIeAQd(fF{*@(;&i zFKJm(jW5Vg*5+vafxGFL{?r(``eh*5SHqLQ%eD#C2k- zWsLMu$@uW7A4ADK+La?Y1h#A%abPi|hay&{^~Q+}7{CY>mKYYg2}9;5fSsr(p}_#94q)<@ zdOAT|01?mtQE%E3(`b^E8%mRN8?$zsb%|jGSZCaF^|9)~Yf}&IxN?Yh71RJ2VFta3 z<-tHf^YHXN+7(OGd~KRi7~16J#lY@ohJa}%_`|p^z{Vbh&F;<(+yWJ`@cAEaougHG zq@X7uVCSM&Cak&_1BGQ-!7_;R`C^=?P<0Xl4Z@Ze!sFH#&cXvhFSi=W&^D{MD6q-3 zuEdNG?lR_w)mHFgB{pfkND7*fN~Rpq3LVYlUSVNGb9?%|Wp3ba3WWD4Q|Dhrvh^lO zg!N|fQDe`Wpz>bpsz`Q-aMp|*b!r@0!I_(P{Ly$mI7otf1O)agGU4w8`jd|Lj1cFQ z6b^4X$iyMVlVG3EMOOAhE95so5CR1moM?8G!>Zp0ir1wZhKk^6u{bz&#U6`*++1f%8nZ#__ah%2 z(Re#1l1u0tmqdtiTOxOl;1K#$hO984G)eB}+xPu3_2=OrzX5=zFSNkhW3L=KQE?&+ zi+ycE_?=DLTdLijiD4I{%fluOKAMh3T5?OGbaV4Q)v_-PmEki>H1`QkLv9=(%3`Os z)f;raR9I3>c%H z)e+*E!7B|JxKsJ!gO~{e{CCE;kv31xn9`r)nI@$fhDROMh+hx0$UCK3c6%Z8>}-nV z%+WzX%r0Yc+?Q?WGr6>L%$23~%T3?#9N7cG4yeP|QHNN)O(QCMwkGT`JQ!h`Qi9u~ z2M}+mjc>!+y((nlB;fAA?B*+spoB~}Y^lXB<S?ZI`-KZ^;D3 zHbvxPh64 z>o?X9IUxl7X}pv`m6}8Eu`^352mO~_x>=t%F^7%SH1b+pB+!Vu^HZk3fQ)d)-+Gtj z{vEy#J_K!wZtu@WUo4?2d9~(0J7-0>!dj{NL_sSxYfE}dW=h>PmgArz1@351MJnEw z_C02?Po?;)_qBZ9*we?vIy$9LpTBi0dYlfd6w%k^l1C+#HIz3Zp7IaPj(xY0`nwm! zw9`o3f%YWym%81}Y9-UaxN(Ln4m{g;5B9k)ttZ?q+Z*qW1UZ1`!TA1MD1L0PZE+`A zSTi6q;L2nGDp~kJTx+Q|9fs!NC4;1a0S2fBBYbwJI7neo0rtD}IOmOV;o5_K%i*tI zk#wOV){2_|_4Sx$sC;T+!^g*@VQ)9Mf0o@V=z6c%2(KcW#vEbslD)k&NotJj<-s@t zjo6+pW#+5dGgXw-`xqM@{yfi{%xP}8-du9dOo6Xz$V_{hy~3~a0GF3 z8a(B_hq64Na%1PQqkN`df^2@7M^n4hoXGMW`w)_#Jw>p=zvF0#+hbz?9PhOa;*rGOv*kGC2c&ZZJC=mD0^ zF97SMj)?ZSr)hNHZRHd_OI{6tg&i**!T!88NDOyRVwNk0gKaU|Z~W80dlVkkL-@}G zuYLS?;rzU43^}Nq&~(xiS=}f53~CQ+jGxm;4+QNMqZtR}ih5U8 z4J-1Nch-(peQ8)>=5aK+5dSQM@k;XcvbvQyc4Uayo(O6&70qSSlN}rDQCotM)l*w|e_TtxM7%wRYW)I|R&IAsU zhj{fVsU!HstrW~e(_ZhaSZD4rV|~f~B?&khnF=+k2~f!{*Go+g-_{O&%)86aW#AKB0kmQSqj9O-7hU8e z^Pf3|M7*o&!Au;A0H0g|IS?PUFgM~mY(zbn>|fiycbNio3c;Oo5T^?mVKhYg7CU!x z-oA$>cz6g3%8FT-@$4~=2(!8oKXjN!sxJaXAgn#^ zPCi-Z$!2anXqwpJjg-aT^%KA@ZW-&8R7dvUd6QStcr;*~kbeHG6~j zI~|J~jgSI`T$LvLvV*BAEI%AO$z~*uYj6Zqw^q9+8FXp^p7qxJCxi>zSba%o{lxUO zVv%(OOgix|DFk$`9D+ewjHUVPl72ga6pphIpieT+GCCST_ zcg;4q!ybvB0}Rt3tqU*M1(Ox{AO z_vOQVR$kOcmFJE2xZyO-ykXz3?l&)Z)%|u=cbKXhI*4t^f6+KCXtZJ!7ISRq^RQ?? zBLW>VN|ncN-ErAsbW#u&dn|X4v~1vI^L*2f+%HR4jS))h`+T;FCNQ|O=qHDO()oTn zZqzg@3D33d?d1M;*;;8K=Ki$l*1v4%M_8I}9GPe>>&zhAhbt^hjm&=|oNg3~+n21W zfP2+(-R=H4Ozam;GzI)lFobW{>0ToqVJj}f4Gxp%^Qi5Kfm6 z@rWxipdk>E5lo#oqBvGn^<=vUg3mife;~0DEOI@}-S;A>`q-xV(J6D?_uRXIhD*@o zfJ5AJ^_6YEA8`qVd_x%P};oT};y` z7iK8~ryQWthO{q%t#$ z8->XCc^BwALTb|sLvGtX*eQzGwp(NCOCrlP>PwqYTcZh5M7T#eZd=cH+nQP9w7}w0RF2D+kjRUm6@!H`Sq{hc}0DT(q(*kc{$EQ2C_tJUNFfXZ~1+F+yD*s z>P4k6vA^zmdR%q#`#Xre3-kiSJl|K#2YEODEdd~pv~sOyB&ZZOWr`?oRkAGvQ6DHY z0m|dLUC#*%1^@F-kkNT{tF2tb8~T#?YF(6D5Itkh`>p-!X_-`rHFS~!ujPtq(l@9G zu)8Lp^m6Y>4kjXa$Qtmk_m9!l5*T=%mPm8LoXtuRBLZ(8-RE<|ueF7AXLx?DpgN#) zNqL+zP~Tm)ZWDdpru7W4Tu}1A93&Y5X_mtYuLTDE$+P*c+Q{{sCOI5hI~E*tk?B7O zoF-6H^<7sDop%}Qdco?`4vUi%UPt>}@y##~F`#tv) zzvkFSp8~ncu|x5GmNS37-+e7`CHu?M19g5V)4vCv{<-ps{}S0>ujYF^*{lo|YR8jq~3jX)@|+2;DGPt{TP}9h50sKY$$H;ajmT>ea>- zze*$N#^2ESrE?Kg>V=R+NBec^5V|j-#a-iRFt8WP1=fKNP_XA3hnVdQVej_nZ;RvCq`wOjte

    m1DCmJCidFLTM_R&)*gwWPJ)R*!MWrT-e!>)z1>4;PyuUyP&yo1#5q{nAwbq51zVR zRIuaJyWj-a2NnKurp!ZCK1b2F?0(!!SFIHG^n!Ww7E09Wg_#7Kty!p#AGE1LLMqr(S@qSB8`H+{^tOS_*?73EXUfe-p0wB5QmmEwWP&@8f_*zBG7tF z{VJUzK9ms+s=dE6A&07&+ohIT%(Hk z1P1M0book|V-(z>_g%;zt@Hcn$ic%r99!dWi20Jxw|-u{Jm}0U3v*#OT3+9v8Edp~ zxM>`$MlXfjWp;vU$fy@P8Gzhb57+~&-3$xG;Kj$WxsN}NF+?(xXwjm=j5MrpS}${Y z73eFUDSs$uV5hnU6p!K*B?4vbDN=-lXlddtKn0z7TvTrG+O622*;TqEei+YV@=b1! zDgF_rRlI*%W5~C7O8pwQW7FMI7hc~I-=X$F;rsDxX0L-8co)d%bb?MS(A~4-12V+c zEaQtHrjdW_<6P3r;c=lnyNxN1??AmF&HL~$^&$oa9HhSt;rXP$tfX<TPtuh2xRe7k7@s!3tYV=!!)nMfMbx?Zk8tIF!qQ9`W;97=+A!Fo` z(V~Z9W+=0{#T7SsI(>5#uc88N9))BINUNi)HnLA%8jkOwHft8MH;w3MX zKOweZ$Y^h@b%FEzy%H!?#Q)OR-Cf%?((1(qe4Tv$n&|h3t2%2m78ZeW!L9hw_)VA( zFQEN&sYueN(Pac{GC9Ip=JCX=QN-LOgB+a5jq49zuDtv{nJEEEcR9fNOY&+hA?;f^ zW#0@sU71uMD6^T(Ww}{dWe%&g4Cdrmlx3C_JMW_~4fOQo%rZBgD05F~(9U3hl+3zf zkhvfQ=?7YK-=7*Ce2S6$eJo=W(U};w5CcDlFt>t}%{BtFn?9FlIu;jZ8nF0X8M1;f zE^8JD_PQuF`kLPhYa8XRG?CD^1DA@g#GYncR8Q$7qR(DNM>mpEFyVhbA%>>b3u4?jkNiXOY`p11`ObzC4R+t$wieH(y-*l`;hE zUCh@eo0zXbM6^1%i+i8&>lq9UHd?^p7ak3M>a)Z});dXWby(I}Z3AP}<+v|UPo0;YA*DRVvF zWGH6JDYhs`apNT3IdkM!nA~LWg2zhKc|Q{!m2iJ~UT8M6g8el@V@$bJGrU*#fM@!cb1bLQ4ea5`a7C)a1W)hcUIQlT7kD+>_ku z2D{adokk{bE`9}NgD=SpxSsR_`&c)~c#5jD`WP*Cp(KyTW$N7)Z>3J2rxNM&j{p55 z`y#&By-4I2#Y8WNHj7w`^mhFV`ptT5k>v%;W(!ki0eK?5rLxkZ7v-@O<<$y$15ZhM zJ^i#sC^8EvuD(R+UYJtwnpq7^@|F}N$2Bkjlk@ah$@vZ((AF}kZj)faC`@U$HMdz= zXH88F=6DQDl+@QPM#0gyOhLdopygaS_rlXifxa2|rOk71`z9GCHA~0t#{Vy6-vZUt znYNqn+nb#bb`o;uA|PUnk%kB%2@ygb5DSF9-KVlqJqm@N5w$@e8# z$)cRhG=U6E%HEZID*Lk-5;_J;o^M@U{ZPx zgcA;*-@ctl1&i!HujB9Af!nP{Guzv*8zMgiB^_^DLjl{2hs3R$N>>b?wIk_D;% z%4>YyS}VbS#^H0jckuV`^m?a;Z3%uR;R+>2xmuk`U2F_e7ttxN+Hwe(zc^{oOo0rNdT$qXS*GZ07sFJcM4MHZ zpH96w9ESWMvan;gv1xqfv>_7d4}!_^Q68_=i^jukwOTXupFD}aK1t8145RDp-AUiE zlvI>R*W2B!CZ_wKpY@I9C|sJKiKi3kQofwaEm&5hE;sZQE&^E{p_X=iEvS|2yFoXx z>{&V3NIXlx76Mce;Mrwha2cpt21=I!Tn&oV8`aOM@2PRT4{Ypvw(nja7VZPZeE`n_ zO<5bWp3SQgTxQ?PzIE68B1LH9fdk-qv=Kai11Hi|1kbMn zrvi@PJ7;d#H8&=={+rbN(TjVcc)1T7a|C!iw=c5A=@h^X*qKN*b|(1W%FyNtjEL@F zbGSr)O)?I-INZ}#)mk%BZIM5XrNV3E2rqevj1KO&C?E`#jhunk22u$IjZ@&A* z3XOYgY+|#;RQ1wP{4Pm0ulg_$AW2jE6`y=?@bd6*ggkm+ib88`XolTOxS3AnN?QHB zxT3Hy#bmLhWR;br#H!WG6y?KKV;1oXypY98n<)d7yhe^xE*(n?}cR6>q_WJ)WoaPE?uz<6bi6mU?-SK>z z9*Ymid$fOp{>w|m)avVKO+#RY&!d`}fUgd`75zs|C{TwFI0Akr5`&lBo`4-S!=Ji> zLD&89`i2HLhP*&`T*yJkKC?SHDw{uGgVs8KF#4JI=bM6I5WL2L+!i;mtfadujJjz; zU9)7lR0&aOVTa`|B*2@<4Nf9=|9K{V@y2YjBL3_7*$en3wAo=Pt8uL#&Dsq3OvFY1 z0KML!k-&K5!_(m8xHrs@kZYsY2UKdV$H-3RR)v3id?Bo|*S^qrkg|v!=nlJsu*Ngv z6%_hd&3c+O#u}$Eh^8}>O#6x3X)Ro)XR!(k(}o8J(@KrTH1moTX`7#UCT$@{qQWHQ z1~^nDQ~ zaszXX5V0Y@bl5wWD~%@<9*O*7>aG$t)7yP*)M>C;wO+`7H4VxX5cFY!<6{y|y@{jznFoFaBlRk=a~ErI)_HpuG7%Qq_RK_^Ym%R zD}@9>3ZDL$d5zwRJ#lWRoWKrlM((uN2LqHdxQ;$|(cjjLPO#r3Ow?XCS{CH4D9b6s z1mvO;Rf$Clv|2KgGl>BVDrDc3=(;zgbtx_ zUaJUoTVoXp>O2vm&A$wGocY&P@D(6XUUjh141|&q_-ZvV`$wKZd$f6z?U7bsidId@&&o=^}7q#U>JRH(DO#ijqSq0OrVp+{}f7 zGAPQEq&!ogftmwhUjdht#WWf(Na++L%gjFG&NP0IlG;Dy}VNX7$_Gf zZp0NkXIZ$jYO4?V9!6bgF(U&Q_gd7eIQ_z)(9g}YBG?KVn#2`dV;_&z3z&h@x?+Pk zDb}M!dpslD%6nBV&4X|}@RVOh= zV73aq^;C#cRajE-5K?5;awZ=ajW>O}k7E1*oPv z`jryz{B&l`M_0ku0Y@9zLr8&6I>q!wQ#Xdwj6;#Rp5sKqGn zNI5z@b*Ag=G_5E=&+I)JpY2ggpZyN*E64z@t< zOQcBhpl+%<6s)1}?LHn)zSUd5%Cq&@o}m9Z#tdxPRT%`Fq#(M&c!USn_GT$bZ*Vb2 zIIz9Ze@8Fr^6tPZG54YI^h)t$Qj&r2*o74+&~W(fB|H905D)0^v&3hnH$U z(?4Sprj$Sws0w@CV48PCgb5Y9+<*U@{~g%LxzYJ+*DFJlXa0D+IAaE!tWM#QI44)3 zA<>0T&Wp@zTi^Sr--WJ+_-qai3%5<1)&1Z*7ad_ENmF_j;SDZ;95Z;0LUp04Mp`nB z%tY$;eeZRjX6E61LZLPth1!JF&NNuk7iRJ8#1ojE^@Mt5@k+ZrD_x;@;t5lh>4!*N zIEUvFWcArd2?!r0G0$pDCotZlTXWVVK-Tn@(C}$IZA^^IOY;Nk3k^rm=ID*8#()!M z!IZ@Gvt-|P6u(fWD&lSB5RzTRAhceN1=2)ZSs8Q($lVDr)ygk@ZjSrtX95{Zw zF~}D~j%l>vYf|J4*_XT3(Z12)f7}9LEny!N*(Y>yY4i{`f>+d^N z6X0uxYC`Q%BZYT=LzJ>e$~Nj*jdT^eN~6{lR^;$XQeZ``$!#hrd8*>6hq$rveah7D zMTwnBxsCYJL5xnA(c4!{Eh4p5^J1AVk(h!|S_Tv=exMFE&!xP!AFnBZ*&(R1wF57?k<*3WsdGc2qE&1pw`i{i6J9CtV@~^+)`9+)%@Qp1+mmmD1 ztG(3NxtBbc0ii5!pigfP4o+wb^*Q(N+4ds;c`f+7B!*2X1w9xNeE#+ zI)l2{q$FixqvH#7#XD|ZkPD@Yg9h9S-o{HHwZbo4QRzU4ZYBd&;Zj;WP#_vYSExW^ zaQlh&7dJ(wx*Yx>HgpBu&%v*;n5nCm+2^U%)>GD?h34P*my#7Z&CM0{)G9ww@sKcI zJeR|wN0})fC+CzzEgT(4#@df&RU5H95ukp|TVEO|3FAVk4=pcK9AYMaLK7Gk;*b!O z6oWoc@1}<=b5aHA3!nH4qKse?Txw|xoOlPY9C)|1#c(uM4b%R`0SZZcQg?@TNx8yJgharAApQJCP)8&zBso6$h$cD0oCaIiMfn83dpS~Aqmg&7%e z?W(3#PA5!Jsk&kJV~WbLoO@hjGSL(o%d|@;Pb*?I+IWJL6a z#^V+S%-{FYAGIsYUBFa;-?=>x=H!z3W|-e-OQKU!sl<8}{_*tHN*a4#}u^%i3bKg=}v% z`h30QcHZzFqw7n|4+wBcM<3G?d@KTZ6QSxt0g9GXk zpuPDaFib#xT`hR=!MV4~YO5{3hx~(S8JX}@d1amc)WH`jmFq*y`*&})dhaKFJ1QlT z03?lKWM}dMkzteDRe^1Zj-WwPCJ<34_K4Gs(<7e7sSRH2^V1s50%C-Uduj4lXy<9I z;Y2txS>vwoVgu-_U*~6>WRxOi*r$>{jO(n@+SwGk;1@^>RH`y#MPUVxS7xd%skT_M zt6*979LMcZ>^rA`9@kErmkFcbgCvn6QqE@05)y3*vdJvZYe3V5eeIE=2z=yIP{`p9WeM&??_~PGGzdm#0uFr-JcP_46FI=tjXxUzH&7P1k@O+;zut&4 z*BZ!U%!p2}u-j$~0v@o>s!VWO4!tx_=@$ z8ef`tQZ$CF7}{Tqo0(`6&?k}!Y^KN?!)|fxYtRF_J0M=TPw?g#GLr}%f&4>hnHlhy z*F&w~1>gbued(wOU5o9*%gB@C0sDYG`ukvw3Vwy|XwX@W7nsWrRIYP-rvUq)L?k6} zc(J;l&q>y)W~xK_9I-Bik8NwRqR&?}3NSKM4rG28Wr~pw1OQTpeG({074@naok_H< z)nLB_)*y0yjmHnJp*R0fmp1~s8BO=E(Rjp^GXeH7ud#1o=b%0~MRe z%5XMUGBSqt(5x3TXs!0sHs4c+_E&=|s203I-60?43#SPytAJI+pboPoON0v=R+p}J zy9LFI7YmkawE{USC3{(lMpG@QejJB?7Ak9JHDj43(cH&O8Jm*?Wh`-0V$;sG0`|BY8$Dg5&l}zD@$k-hgCVdDo{N^kbC3*s zQ6_MKNn2qQ-6hH0B#I!F)&nL$72>-an_O^$Jd{miKL|&INh-Iui$W6I)G@cm*H5Ru zc-$QxIx(}};UP(f7racp=sbQL-8pp%S)S#gF^9GC3($dg`kCsX0ZSdLW3LI)o1AyY+vs$5ZRUYi?k6*Sldo1|0Qn40G2za8g&KUM|p(W)hMt&02bBXQGDsak8BKSQk7DeBTMivm%QMupb^7&jz`(5|{Hyv;{y0C0lC?+}-`Kvnv z8;y)(qwq#I@G!*V<%~6={Y=DQR%gg0wW7 zP`PN4&1GF}HJRx2PskSfvB6P^)`l1+WYl#MJ?ydBvkWVlM&>d`LnlrJz!E(y)LxE{ z8-5g&$bTG^z+>Nr4)P%`*5vwsVaK(JhUoX3mKSY=kpX+<`sl|k z+bfU`=7i_S4)ob4y8k>0&Z!pFgH&OzwT`s;62Y9ZdLbP!|#FoDuSDg z^Q%tZR$(uUk&%({Gz#_yEMm5e;9(&OLck1~eb0pvCl!ug^?+1J&k+XRR;`#iEqv(! zxL;lUw#?vFoz_2RNzo3*TL zb&=AjwAoh2VBu;JkJq!h=W&bwFgjG|#Wb{I#0A9hG`oJ5QvnkVKw@p8{34$t|0?3G zAo0?6(nGm9*=%m^(JX!JPMAJ_uWP`tT-(IK9XaQ<0|DoW@+O;qXJvl1J~;hR3B20S z)79E?`7GofOr!0TShu0YEKNl_Imy{gRYTycwW;&LO;^SGX7d1bcH~gJXo;n*Prvkp zPoKShC39@r+p1PxEgS{|Q)AirmAkmaMIa-Y{f^}S=gOA!!YzlDm(Mw6c?(Ojn{gJ?Ngg8=>#BN0ki#a^`q4!uum9iIsZC?l4f8b* z*s)4<=a5qPPZ}B8(cA1d2^-7C>ZiG(tIEXbwxS}VF`d0^Sz%$ie`Ui;yFGo8OrR2Q zxozol{dzPcJWrPS#8+I&2t8iWS#$$Qj3|33Pv ztxVsut#MmmcXRmGj@-%2bhShqINermX+k&8^y$l6-T{G5lera5(X^UZnk*|iqMy9# zuQqhO#XBg)#d&aDPl=^z{johk?@sD1LqlfYZD0Z(8f{llKc;qg4(|2=IBln(JV&G$ zq;WWUm;P~r#!-g{Y_4|DM4b_MfgIrh#d@O@PbGyubd@(kj!jOrjBSog^g1mg*f9FT zA9Sf-Gw0?v?4#6Opu2LasXL*y$lV22ZA~tO1%79Pv!yXz@1o>PU|sdv3%*J4i=7fYUA4sDdi-4AxvS_q zbXaGD7am;MQWXx_c0=xd0Te8NYPa9-(!9LK+c?Y|mhK+w%CiSMKx@i^-RbV#2j_Sn z%Q;*w&NFY{jN=`n0j?DCO{fOlGbfETjBIJ%7tL73lMp=fo-Gqc2d1aLI<~U-_t;-z z^Et%z-4cxCbMT*|d(7VoZ2e{TuAfHM)5>002;KTLm-h$i9?{j**9iqn&2&hvV|7_- zHJH4pz+P5XZ&;!;%j@Nn^4H|=%DS2w1c)P1kR3h*GW+{%m`(e4E%iwF_lKwHFs0_aJlXsXI~h}2j*X3sk$31YEXIXm z0Iq_b%==K5?UA4?afIR`%tXX*zm;3}Ze@Eagpyok`}kpi2aL$*zj9);1bw&HYofqq zlLLHL*-65v5&ELX=tuPRsoFIS)yK$#sgR!wE38f7%BmxMZH9i5LeV`(EZ+ODh4 zeVcrIDx^(Xw6^Elkj4Q*P#nz*hAg!c0~0D!lA~-B3Hck!5!3+=P14fuP81~U23tU z`W$N=)zx&uy(P8fM>|Vs9_m9`ch*BnKQJM4%}y+|WS)p1(a(}N6Et8= z2YQ(Oz%f=8?lkV<9Y}++ES;sL$zm7@hm2L^c3$t~3eP<#ao*|JVjFGSJ$?Pfd;w5{ zZ5mDrhs)2evhmQBOXn38t}RD~N4SvR=tM4iTaDH2X-X6sZ#y<@aA09{?=y}38zvuriv2#JX3430(L?(cu2AUGl$PrC zu7b+)a@T4{kh&kPB{>-xE|{F$>}r0L-u4I*OLVa${KV`qV|wMmd>Uq6-mFu3Tt6{* zBr*D*<%gOmAAKR<@%f?RrmBJ!9CLSfbztJIQJQ(EfM)1^6~w&|H?`t{oWeytvRvVI*{xAsYfCL1$5 za~#-&x+GI!ibv9ml?(+w!NQy?M#Yqza5;z_8}a;V6O+_?DAj=_qCdvj(4CS+R80QJ zq!GrHEm0CjDaUXL{T2zN8h7Q!p6L(JxgD$A=ul~Mb18V;qurMZQ}fd`xmczx9JZkw z?lw^I#|ZcMu`U;~D)Ny`+Y&ayLGl$bq$ftTl!pRVqyz-$btsYhI(0M9O|&Mr3^aiK z!z~5~%V{$H1o;3bCwNe>z5;f-d%>1*-?Yl+AX4Sk7Kz(W-HYa-6$HV-1sP=u>Q;2L z2Lm84H{aQ!iFQ#pu+Z+yq@Xf4%cCagX}OhJ`!tZDx2#Ngy6GsUF{92bEUv?appH-fpZb-6N` zP*~@wd8)>0Rn@5;p*-$`P*+o_hn}%gq=SU z2#6R$3@J_1G{z7@2!s$r3^B$u&7?6#3?cl;kBF2~$}n6Gr4+5U{?@AFFdoL`WigJo z*W*}g+i9(1TgUOby1IIuw#RY$H=TC8(~hS{lW*?cI|)CwbJkfb+(06&-_QF#@B2RQ z^D@RLRfL$-{v&~3T2)aYU882IYip(Ji2Dh*TiVgs+qizcR3`CC1cE1}PyTnBAu<>) z)y`0EG?p)vP+Ty4D{pRuBHeYup4%8Y$|+aL(=MIjys+g4y2CHUefe(|+$gUH4}!-( z`gB#01Nj)=8vqQ1Y|(f%@a$AiNDDMYI`>gqj39iz+hG0LCIN&H!%ZvCVwRw(o6Uph zG2V6od=%#<~jN#Q&9=-@CbQ`ykj>&r38sdEo`Tht|G-2phst9i|*E_yF`MbK7 zP7C-Iy8FJH+5Gj_U@Q6-5a2@Juy3L#NfG~m+ZB~CxipA)jXWmLj}1NkL`&k5r@N1g zhHhUk6N6$(p~j2epl8908039om>IRC_p(@*x~(OaN=?cAm4zx*NlCN5va;FlT<@%_ zYi2ah^XPu~#-+9{J+_oen1gvign6>AQJ#}x(8Uh#u)fH6zrB`N=4C{rVniKxFvDY?`^b$|M+Ec|4b72zQ7X#pH;YjA#mYfW4M&XFPK zp&HxE;4r#`F4al_Bl(q?$l~z%-s`t0&Q6N9xAXH?u3FxC?RHAOi4R{i&wO2X9S)?b zO^UWCm5k@-cA&S(pYW!~+qBwVZ{nt}wK2q0qEBY3;P2^)NFS33&&ZH7l;r+wV;rU? zBK5|)x`q`3!SGs3z@pI%lN&Vr)ZpacV~-8P($e8Ij@sHi!+Y)(Hdx%(xS-bnWcm{G zDCr+s**z7#QtcZmN@GS$zMn~bGBS5{E& zlBKr%P=B9Ni;bJn)99~21Rg`Tfbb3*;80K02d`<%OCC~TBB40=4+fBfAjP|-+AoK0 zlQ)tp(&S~4hd`QVMGx6?EyFZ;4QH$Fc~;{~YGSGvSta z(3wE)?mh=|4f^&AB_H6l*3<>|84?HGm+qe4$~eM!o-`k&rAs98YI8#^z-uiJ*~bP3 zwr^YSUA3w;+GUkD%HgYKU^O?I;aUr*v8=WH(sIRuU9kYGrP1;e3-c#-AU9j=+q$~8 z*)3){p8pg7>a{~)Xz$W|TF!gJe2$jx+3eZ6VZ--yyf<#h;4IoaWZY{mo&id8GmcgB zjW5dYO{$ldP!%DH<)0qUvS5tc!j$|1WmEc?ea{p87kL%z>)|pCNb*P<52i!zpa-28 z0TZFYbW*>LW*Ol3P!^b)!^=!GfKX#Yp~k= z9yp!PVFAeE5)~N1#VMqGE2Is~03G`B&4%(Ag_4N|kIM&4)<%K|ThSX{HxFP_Q_&#)nby}vF$S|nwdAogx?DzEU5$f+-1Pa9`AJ)ZV|?*8bc6hUOZV>P&RF8q zx}Me$vl=C?Y70N0t16$6e!@qdGlHQay+o0fSenhbABQWdtBdb1&RCmtKaR1S^uZWA zMZ02UO5LOzPq#?Z8%Y7g12Z!W{6JlFsPHx>Zu-q)_*wIhgc^`KdG{c+xfIv_P?W{hC(@GBG zI81&Fv#G~2m_15q|Bq%vrpMVXq2`?Mhv_M7-?5M3h2-x2h*ALeuX8FZq|27M*16J~ zmuAJY7U;pHwZQY(i{{AVbp8d1md|P2QpzRd>r~XV@?QOtIq3-ua`zWud2%>8O67(* z!ZO%V-P%>rajGX9CO5v}4`X&v+utYT6slKWeGk(t8(&s@P@(3Nr&HCZ%&E9s+xD8J z8Y8A8P^eO4(lxyW7zgJUMecqjS7Eoh0(qE-P=wGB)%ZXdsspz3?!#=>&yu~@X8ZUA zmSvvanf%SxTq#g4+r<%9*UCy~DqeyZ)T?&0T56vyfE*5Q8I@USNAIwYkbbv{M8H8Z zqLddC42DNlkuR$tKZHN3`G*U*#ErtE!jr;(7v2)Ggmk@X19bpQskl;~PGiXz&|(*S zeJ`ml^Onpkx|AvuMKegJW61DPynKP)6cBj=Pr?O#lu*F2bNxp&WTh&+GxUp16d~ z%@!3lqKh!c8f||K5HRZ7zs`-vjyf2r}#L4z<)lJ_+)r z#n2lf|DLp<2s@~EyNm}eO>mND|Jwa7@X@VWU@QH2%h@WyINAJy$Xiqw7kU=*(ImPx zZLjl8ja(eH)dc`?9F(DtJ|)D=4*v!cEpkP98xyl4Io9EAl)zkSe(oOncO?fk{=<8E z80ij>tGI{s{+(36LUECXvy#JP76sbdi714dhE1HZK@i+V$l(%Y&&FT(&Z$^W2B2$bEB3?6OmHKpk>SGtRo2+OJN@v066+g z-`QpaMkFy*1P`hw$%rYpL?L<~a*s-=NdeHfd9x8A5U7ert?1%cz~=U%Q}h8pg{Eqf zaiBFkM@cz=*EGGY6(@64Gd>fZJVn}g=l<3KDAM!0S62wH4NU020G|^S54_0ow3z=s z@aj0(90D7!yyh4zpgPW#4S%8vg6L+dKC8GwxB&+^l2Lm{mkl07Z=s7ur~x{VpbA%{ z)12Iwoft+xwW{_4XV8TSDc z?}nUQez1HFVo61KYPccwi;W^Vq>PLw&;j{3KxI6K$zn?df@1x_n*ghfG#mfHxNYj} zHhc#h#|7W~g^Xq!tk}rj7K1-#gN3U%Kl|D(kRK{Qmri_z&dVA=JyasUb7DLhCLvK{ z6_6M<2w>Ket9s;|dTXz?0ixG1z3xES2&Vwk_Qv_o4CZnG3u9)>%FrcB*Acx(lS0r= zr+vGjcG}n7@9QflJpS?>-Uvf3BsRLCf|nT@LX~hQpm3zoo7$uZhFPs3_l}G#uyD&1-FJB#L7X9cG)`R zy7Kak;;?75`-Y(s*z|G?_v7^XVTNZqQ3feay*X zlvBwhnoKFrF{Y}6I6F1g`<@(bDbw%zpPOAzY!?Gom2jVy*ST-^Aqk#Z!wQA%O#$A%ISaN0K|%LCff{gt|k}5lmt&M zOvqtYJ|Eo&emwgN5oF~oFCYO6KIjT`-+gKJv<>(|ec{GIXo_@h*w_bbggrKPmb4@0 z=>cjg8FRyMzJj;0hR5V;aX&ZuP~DDBE%|ey{M|NN4Us%wXu8r4N?Te!-kYy`s~NNc z3D~7$5?QRQQd2dFe*SjcKzf7E(AVq(tgjixj5QQqudCJ9)HwOMxiY70!G^3zDOu8l zu}m{(y5>+$$?D`%Ow%;jqsGj!C_|x7lg$hns7D$NL*^HJR?gu=GOocS3J{4^x&3HL zQUa^44J&dpkyg<+Mv$_2A?q*gwhS z`VI~ThU71SgWxK8tFJq|B#@IODGOhv;=KQSX?o&?Tn+}YoPs*L9E~1R*MbPRnb^~| zRL-sUWU+~AXhNaG^-RO2(Py{pXX-a??r0t)eb>Z4B1k$%7kc?YfL)Q~HTRu2#pFq1#J#UA^cz`78g+dA`vr z>t6$20&;g6;WbBeP@VXkX{_q%F(i3@81QIhmgEh$4+xm?#_|1aCt*u8{_FPF?qEX| z*!ij_sreIvJ1;@*AP-Xc%>a6zJ;Oqz&Ehe{v@TeL?u|7I2o9zPk3J~X>jjoaAH7d- z-#t}QI+&$MW~qM$L!2j_-$X-Z96UR%f0S~nBuXtw4Fpd0gUr1P=B8U}X>}jk#fnn` zt^waW`+c)pfN)f$L*Iof6y8rqyeC1Uxd~b#4zY@h1&@F-ATwyt;Sc|cPAZ)s2=LS1 zAUHMJgtWEDJF8s*Vb;nh@cQc@75XuAdIQJ#*-t#ue18bT(GaHBoKZ86MEeI@k0q9Z zPGGLpA>%t5d{gU0q-|$XHbfA*Mk)7$_b})hUS*lfX6#lAXNcJAQ1GUv*235 z=LscW?-!D=UVZd5d1HpVC%aEy?Uw74gXo&ot^x8Gde#?+G5ce&UGb4bHCPUYO-8iz zEI!%v)M)F@Gd=)oD2`u+p#9!RVm8nS7Hx<%KIL8pt2}GpQt46xrg&! zHNBGMmJOj3XrsPgo8 z2J*mDTlg5jaFIm3Jw+scF!_1SB#$(a(1pX=b#;ij-&_#SIJTTt0{Z8ZY z+RI>uDi$L*Ix|)z#Bo^c4|C*&jbWH~L64U)f4n1?G;d6nN#mNXe{smYmqvJBu&3}c z2Ad&ZGF;6rr5>vIKx8I7+F zT4Kyi?FN4XngnW(-|Iu~fmwIJ>r23pvk8O)&mG*fmDwqD_l%iVbSC;iJMigh(f>gs zEAc?IZ%5WqadH)XfYo!KJ4}8+kL+L`&O>hYV)aSDMn*ee${_nfL(F#U8dFz&#W8k z2VCjGwaTogSV61YFBB~^$Z<+LG46i-od4*4Al#MjJbK+-30&>Rn}AfNx4urz~fTXh7XH6o5L?Dm9U z2t`vcV zDbSUgz#Y^KUuIs9whob|kpt>LvsZ_XqpSF2G%~jN`Hv@fY$k*l#wCF^hZ-YIlc6DZ zTNSGC_qyt9I$P=ia>lt3#8Mwu8Et^vFb9vu{77?FjS$W?mOhz>*a ze^&qmT7Yv)7KU@!h0=VTP4kAQ4& z*sV+68N$9D8|c~72i|V!8;wug(NDg54h%!Zs!nYDxm*ql;2f(YdD1LP{v3Uz!|rfi zm?Ut>0xXtHbn}a`uE)e29AfgI2aWF5RD-kdb1^?r=x%rWM!SZW^)P!@F-R*KLs!|y za629UiO8tJLt?2PQ`O=}o7WnI%{+BB$AC2h!$5Ph`axBR%3#P*=X~!#FOK=<{Ja#V z-MpB@QKF24XXm7wUNS17PNbOpUiHwddlQOk_Y!9S1!!T78m!TK*Z$>fY*X(eFbNSQUiWAu0QhO7>(2Ab6? z&=qe~)&p&!iS7*@%;ViX-CGCIhc?-l$h>m-N35=vfr0MrNoX8CugNPId|nH3p3m$_8Txk?;$0Z6OHP7Nw77p(;IeK3@JkY`E|sa01hGV@?l z#mCfN5Se!nz{*^y}Wl&rO4f{a$3nbd68gN{RcifSnj~PpB_k_u( z$$NLiGkwN=_E6Z%TSvDsPmtf|J%T#Q4Am-XK1+*hO`cVz(0 zvg31pJfH4~r0PQJtfjgV*&|N3OzM=#L{7ep;5e~*AhVmzveotVWUN!@bXLn2tAqT2 z8T_HBXU@0Nf3m+{M1XPM2qp3^QTCt#qSc*?V#x-e+UvA_BY%K>=dZ*`GIie(vzx>0T^ z_`5w4aH9(!gEsPT54|6mL=$>HSocfxyM{nxE!dz*{_b+*8Hq$u5E-ojW=y}TOhzam zakzk@6+o@}XWg5_L$2Y@7e+?H%u_9!2Dc26F+Lm2x-@7E-K@a3_J*5B`ifHQ+Ji&1 z{`ZG!gJ&GL&?h&X`Up^vul3*6o&*JIoRiJr>t24d?6zY~7K>93+rnLWe0m9|+yMwrpa=w$R#nPKnN?vAGHYEA5uaF}0OU%z1ke`#(*Lpi_v2V$-ak06zUN#!RO-iY+Qm#iDV)!Q}Vt3{MFzyqA?7beO<)RAnHd#lt6d>tSg-P?pyE`aEB5{ ze*<)Hy><6sKhRl))Ii+e-sj6eqj&an@Ct)OazS*9eFT@MZ<=hb?>j{9W1O0wWO%5# zBDygUu3uJ>mlF`wV-+CqK&{18T&&mETdPVdD(ctT?STMMPb@NYnN8KtCwJx_D^@JZ zOw7fF^n|;#*%Ip)5P%j?+rir>rQxk5-sjPiJ+1V|uk{u6!)n5XSrVK!mud!Brid=GfEVe2v9 z3#ce`{DUYUsQcEBuCW6+pCKo4!4^gzV}voz*umJ%SYur-eLi>Rgm=63DetKD$B%F8 z$<4KPZQEwGtgcw?^;#L7om?yTpY){9JEJs<^Bxz2(+!_+exg_&py0Q`FyHe*r94G* zMVLA7GtV1Y(3C^_DmpPr*CHv>E~UH*sC!N+U680TohP7252kJ;>-J2)g@BH_3((qP z9TPzGN&cU;fhk)JBuvS7LR6#3Peo8rCMvVYPBvC*HvhFRFiFuH7gQxR5zf?w->Hlb7;^z3Ui{Kc%&^E zc5mx;2Uxp#){#4xd(~PZ;#u`a^if%e20C;*SumsJg+D+2;KAGb914aUY~&VvIr!^uAed@Yd>gONpI`fKbk&L!_N zm9ej2R52WkW=0!nlOM6(x4yVgz~^A?z|;~93O2{%f~NL%fx=NKl|J;4z-lrHifU>+ z0?)l=X#G4RBZFV&w0h^gDo%#M;uQHhMQcjuziGU(U?3Fzq=l+kB6)7=Mb`J^mUHLw z75e#s4N>33%$n)mi_^_IwS67kUM0C(7uxkwT6D06vc&iKV(u5 z2&iIxJlXbF>kt=$$H|`YmEno@U0bH&NJajV7j5KeYqz3iB4`jfR|idMvu2auUSI^0ci(*%Tsuy5 znx6YQZcm28GDV)ZmX*b;JvwV0AMn8uTbw{UjvN8P+1b>z>krv8xFwA*H;{4IbBqnv z2cDg5ZxMO;Ekr&CD*;a~&ChSy)UaM>U0+ht5^8+d<7w$0Z+fih$tUYt>h5h)y+>?$ z-t>y}`X9~-(^E3$L5%`mDB(`cCtvujLbM>4WK2^av3aYUk*2_YCV>F3drfvd!xa4~R7I&jjB;VN~ijYeH(@ zIUf2FC%ofIwIigDSJ$1Fex2ULLC5qMP{ehS09qRPv`= zZOPHo&|S;RA_8#xsNb_sUFPka+L538C1|rdEJJd0z_DjWEjeFp+kkHXNy`Y1(Y02qksys08Bd|4-SsKsQz9`|j`C zyE|#R^Jtp%m6kMwG}JVNG^7vuO4Bw?(=@~wLx>@S(D##4Yb_ln_S8;=S^*ISrXs`P zR2G+oEM(A8M4UN}!zjnaVR2a;uEQwfV@5|k9*>uSP6`1bs5d285;0Kv~tVEW$v*YC%jJ5t*N&Z5q zWHH@3&d`w3Q5IV{Q?Xc@L|7$d@VHC`jU#20&PtM#g6hIDBU3LZDJxs}Sdjc(61|?d zFjl=wVISW;I^#LC)B4QY?HUTx{9Tq^U5P#7fIBA|m&2S)xzf`N{`$=Q4+-+}%FE}WEOT^5rAc3I)eV&$++!@o zhwU!Or08j!_cXmnWWdz8x@KBEF{@uiuj|u!FjEdQGkiN$nu}F|pxX@QeY<)$4-%4z zM>amwb7vPYfJwJ<5BlqFE4j%(w5RjXZ3+-@5bQ49&V#=2y5y`-H!|Am}(98&S%;EM%>MJwUwh9Otd*_bARYQ-K$?lGB|AePDlQzA+l zhUrCh-BN<&3Ued%4(=5Z3CU&hPLOLIXSf}ux&0qIL>csjP}O_R@Y)!6*MFO?yvoEE zF7!6%4C#x8K*Pmh1d|No_rvM4uZD;alLt3+I|STu!6$s`McCqGm^ zQ74B(@E=98CYXj|Jg^I&NlNdPQ{gBR!pn<4)a9I?am@rK&*kf;$E*0By_4Qi@E_&1 zzCf9Y;O~>*8TpWa`Wm0tWPn_6gV$s3fPI}VkJmM?bN<=fUgvc+j`3Q1oKV&{N>MWe z5gmc#3^fe*MEl_$zbOzfL%|CfFk>kJ@m`z5-OvxoV^*iT;YdFaIF|jNKKC(|^|B+^ z?DDVHk@z+Lwu!cxh~fln3mMR1G-)7v)`fTYw^vf*{O;`xpjD7jozWN|{+6)%Q7bDSPPLq5*SawI*fL>ayGt z4lF1ECri$kz?UE>fhCaVtXFG<)EeF(eG|kG;)eK0?fUgYG3Uih7#I^HpbI*RY{j>b zqe!S*bFd_$fD!X#--j=PamGwjJVYf$5mlYN)`s7>^Ky;FZXnc_E@rB%$BuFaWDv?V zkfcB^+-O%u4_?|9?J26q8zD-qvD=MP&sIDohSI#OO1Bw5I&a#Ug~I}MzRQjKPqE0K zBXJG)wmS54zf}lTyi~44UR3Kr+)eeCH^D5O_S-6^;Qy8vvhR!z&PG%OkDH#kYU;VX zhh97Frnn?utlB=p#0nww1*eBBqay_a{cTpW${N)sc|&c55tgVWl2!6T=PDp91SP8q z3s;rkY1vKYsx$_wVbCQdpAwM(+Yh-*#g>s~Q77<`cQ@xU7jPJbEXrRz8wQ#F8ybS0^ z+MHu1aB+HSYxluR$B|XQ<)sNUdyng2^-%LIOCJR;SB{AZsaO+qGR@3eP|#&YAC-1c z5c~;EfN-=~efh-|mtWVOK4Jb1pe}sw_<%(+I?xr)9@0R2&nj#UHim_n$zxgewyh7Q zVr^T3!3Q7A-rU{R=E!#3Q;CR|AZY8eu%{T;Rf;dmVDX--l14(p%2+PmPch3wr!lH! zWu-}2yqKxFvNB8y)w+05VsYzup^g!AZ}03BLnzMY$%~d73 zwoscD-tgPqZgg^q8i-vU3Vm?>=9;%|5g`GctfUu|e|(S&e-&xD1UlO~+irlvZQ)$V zA$f`=3a853WoasW;^82VBvOd#VEge;M-GQ3$I;a^y>b4a z1w6WL4LlGHcs(vZ9JP4)2c~0<>77sWosQ_9X2-r0fy47mpJ^Cf*cARk-A)l3K`u>b zoZU+~oR}_*tEA&ewXFp$i)5u?LGUlOQlKnG4jv1XpnShjXrwYEz~F6oGWx3q+aKm9 zoQ<7b4NChi`;ez83mx2cq{0QX**heXe1&hK+I0nl!WR9L*;3%z*V*K-v}}_>>7jg> zlS^?c zAEw@XblX@y!6kE+Y}|91X?o|4FZBQdxX@YjozXD+QRO!i#E14&@qv)*(w*Ms`J0|k z>1cHteZv_|xlUWB0&E$!99zLg553qB)@UjySvlC(-BDb$R3uut$>Uks5(=#>R47*F zs8nf9#+7Lc0GfNXVG`YqxUEW9aC#Y)WJ1!<5Nly1lsn^D=z_ctQ&U*TEi)7hmr_Ec zn8jMK^xVuAz!&htF?8zm4aWrScC)qZVI%D7u${GbF>!o{^HnE9@rAm98eIeGS-W8> z-vhooSlu14La)QmBZU=;E6`|A*@{#Ynyp&HaoV=ts z{igTm#~Z#r#oT)~`rex(8?YwK%_^X6!6va6nQU}kxt;i@?lHmU?tZPc+pbkBl|)Zx zC*kkzCUR=a%e7hpBc`(Gy zP}A;VyeV>SyGljY_|rJtI(%28QN4e_g?HN@riQvq>!-@jc2nWB$N`O^?MXMEDN0or zMPGPIJFc8&c3QZm%kTsr^f7fYaC~5>ZK9_+cxdp!!etp0DN5h`-9&ce3Mj%Gz=hgD z28ktAgpgxoONip863we0KK8-OA&u?0AH921L&ty)bb)g$)yFi2%ZL;Xgoj72zt;bY9GNzE*IqCJ{%ljD40ICR zh<>f~L3&4hHaj&&=QalQiSNvAV1_3`Kn1dfZsTXsFTad{Arnu^6IG#?-$%zcRr}8a zCHmq@bJc#Z5>Q|mwl+$6RGL6{{bsf7x8Hd$f7Q-tf-o4m8yr8Wq5V=9GeO*v39SuI zqjT+At73VYP8YNWlWdYnPelK5rFRXVlS!^$c8pq_p^RznDwCSKAc?$*haZL{j7ho( zy2PUIVx&guQh4s05R1wmx-i z3?0)ufo==0KPuNaJRnfP#O?f|)B)$_zG}p)52Y*saHfGXgmF zf$dGa_bj+w!=t0rCEAf7HPs#c8a;Q)SS|B8xDwd_P(ZK00Js3Pk?Y8B$pQwv0$I@^ z{gS_c8{5NrbQN4Yv@L^t7wnNU{zWX;H~_h>hv6!a$VYC)P#zuXhG%Q@qbKS+JL{oR zH@ZvD;Am=T+w>aCdA}M9VNYNWnAFWO3o!xOrzR#WBRxOqX>Yf9LZS8RElabCvbbD} z+2MHb!4gZ!-F=D0vsHJ^r7U0&7Jd2B#Fu|BCtEr@!mz+1!2lxTp@K1?`Axm zrGvV6Jl<(S^=U&WWce714(CEB(Ok3poSti7z~S>cqgb;)*a&Gq-Wc?@fA=Qi+2p-lBaQ4gCsHYTDl+%Ax*||AR*q20k-D=i;lf3(JBP*UDTs+ z=iy*~5bUO-=eb-WQw56cm|Xfkz8=p?h9DZ)B$jKT?=}CsuGoHyx;>k z-!(GWISIbLiawX>l!9ZR)(ywq=a0G0-u~L|A=UTWB!rMhjsNXCJE5f`t#40`+0r48 z{?dDXbBq3>qgHe|-2t}2qG$x^Oa@Sge`J5H*YPyQY8kxGIY(--m2tgN+9vtyQ%&-Y z9z)Lq516{E+S_F&8Fn|;FHyH&2!4~ILzx+g(U2GgIZN@y;`L${iRBe8RVQ@$;;h#! zdMws;T`YpYd!SrRiU=CV?dg^+=$+VRrSXANQrpoAGBVO z{yVYZ(71*OqoxgvP~BkLB`BE7%Tv&y<~AWtjXH0hopW_;o;vwRzB=kgH|xspf35&`;JqVYp?dbdv%^cc-A|t<%cx=>52amq+;^n`TE_nk4{nL}) znurGcmI^k2-JKl*_(8|07^ae9?ih+tfgpO+*+(G@FKhoc%lqg|t>0oVKg1z6M*jr! zn1kM}K6HlV$kAinan<0>rn;HOp4!nj8z?Dh_pDyM-smu!AFK{O27)`j-T~L_0BdGJ z*!ZULD+t6mY<7qen9=+Tc3N>Cv?=rRs7$I+tC&>V2 zuhZ#8=RRw7`K>U2zI`)gCH%x@3w=-zzgUuuiyf#BrOXvm=Pou6gZ zL!MwEpVjtWDTm8X=M9yyHTx%6@sQaCG0hK|_-I38bpG)Ib(X)14xMV}PB=Y2JM6K2 zj~xQLwr|HsEQqdfc9UJ0oX&!n*_Ex>V+^xZSoV{(W3nNAzpO{!ChJ_Afyrd*inVLi z%KL)%>GhfF%pZ!0{YccTip$$%E+_~oV{Bq&v8Bb0ETz~&V9j96W4??neZ^1Ji5k2* zrteGN!0~4V2_PqFN>h@YOoefp9xgme9i&~2xCp{uH?(z`qBYb`9*lbH+q>l>26$<1 zXVX*1O!O_@+g}$ARm+G>wayv90j^HRZ9sdk=j8_j4P9rq zNu&;)w=DW*191PRdGz3gCFp1F*#YkhMA4a$H!DY_?Q$87zNu$7ZuwYP+n)uwq(JV@ zfNA5@clzn!#_#CZH;v$IrGl1@rcEKp+scFa6qP2baCN2z2dYKsJl-=iSMzu0OU@r6 z=;V4TN9!_ zyXly=4ZY1doHC2aFa>5~ru3T4JiH(uEUVU3mzVQNplmdm#9+}7tRWc|#%h_0Bt)9I z)soVQYOIX0q!1_<*nL@`I&<@>V(h*Q#~-A zxY`S)w>sXw)_ybM8tt#{?yVR+zKx0=Fk47&3m|(fA)jsVr6zw{EqJ!JGn69Zv#91Y zICbdGSk=Hl6&^&R=xA9sIR1y`2zu8AHsCs>XIOTTZdL8n`;|w*{oucu*C3{+Mf)*U zmE;=d)s$OwwBLyJGpMWH4xMb-GToZsLl5bix;AYpUac!$0M#xcKa4AqBnxLGo}BB= zjNv=8U;?TflWk4b(-t8GnC~u0Tfu7nE{rHFrci_uaOmjIQjg}tEDfOy=VTSY)Qy@J zvt*E4@2owhH&->ErG}!n4fxgQYy2nq{0(mKZ|gf4@IXYenU2K#e%t=1(zs~TRnOK7 z_0CP*w)&x#&fX^AUgueV_Br4NJn+9pACVIznV#+Y3;J5hRCon$G!#l9AxzD_Y{)<; zGcA?cN5>WtbftnEjC{PNx6K2;v;-*1=ZuzldO`ts0+|>My-)N_wSgx$&qEiiV?^Q3 zQ_Q#s#Z-uG-|IPNQog{7*iLe+^FL@Z8CzR*?hT!t(7u#&$b#&Dl2wFhVXU-1BjCVPpHFg$qehFl5hueWZ z1`{5a*BNoxJ^^y{A&@@;6zB#}z7@1(+ zR~ADnWI|rR3Y+Ym%rzTZqOZC9^o4(k;T$f+oC$H1DZ_wg(IucmJ;`&1Z)WU!9cP*p zV`k>w(HAdYwW_M1K&?*C=F9oDwYqfOy>*s2`%VUyOd9F<_J_FMe}K0!u!~VR26Wcv zRCFGho5joE5#S_skg?d{onU|tjw(REm;OO};J7E?_uiiJwzYZT6gn8%IP3%=r0E!Q zK?9INv5L#%W{E8M)p7xldmqjwgks4!`jC+yFf$Jsya|e$;DNs~oA}(P7v)SIFhNJP z;*^_MA$DI}#X)b%6!3VO3bGh3U7APc{U{rDdYs2iv54z1X$8v^aoboH|07k* zfG~3|8gYZK`3Gf?q0dk81ZjK5CGLp%JNR4R>gaFbYIrL8uds>;QGjxcF^qL0c?f+< zZE-{}TcF-z?dYPvFIO5efQ!-hPzm_APH+(!I+-)t5*?zwV;fn9Eys%K3}qEoPRBqk zCgs`(9#Gy_A}!(bl`Be%O7(hWpxxTnr!>m7T4jArjYuiFtD1jTIpwZ3mKu_#J|VK9 zT+~=ekc821G~)DC8S&>VHoi&XA7dpM+M6UYANp9R#l)pomU5uyl-o{G)6`Y^c#l3P zPxDb~E>ogJZ@>56dxKZ6UY+alzP%eAwuORrFz@%H59qsH>~Two$~@YSqfawSTPl0+ zgSf0}r#<=&?Uz-ayq{7L6_`SQ>iTbsTs$EQ(L!g+LT?@w64kF z$Tr}s@kbxco(?}A-nK3KK1xqg`{`CIr`+sCx{=;7XLU#?MvkkC? zvBAY{{Y+LCYjIcX-jgH?+(HE3mr}p$s{_K4m((EYvpYA0^PhBL^G24nvraa;a%Pf7}7=u7@e=^}hWLQO_H1 zya9?{e;qt;ANSfl#I-1*g1!84%G*XS=g!@EjND3=SKmy(YV%U#{4p1OHtiMOKax$P z=km7*>Gz_(Qvz$Nzyn6VIaichQdX<7KRnSv= zJYLF4%%rIjukWIrG}7j;@H*rjlt8hPus1{AtQNgq)zei2db*o_XV+Q-gJs&uDFG5+ zsP}+tS1L<5PZ!`&md~Y(C1(v=-0GJ_+pGF~4tU1y?l&N{F$?CXa;wHc(Ul5!;Lw3i zy#alWK5^OPUwB4;;p-{;xfG_WVH!JtNU$ntgvTz)A&f3#sea%*fJN{~i2{rCxoIIP&b?j}Qvoc%8%c;SOeEuE5!N_R_l0nJ+$Y$x>-~eap zwq+9>E>GNzl%^E8Oc6G!XEj=aP8)b2Qo*ohjG!+#yHgHhYD|OaSaeu+x=;gvPmy-PTj&TFMzi1= z*p5c&h~fsiz%kI)ZYk}H7$x8P8Qm@eR9lxhTC7&M#%6<6dcDH|)8uj~l>UQBIff%V z*^glV|MAR46*Fd1GLauA{_aIXaE8TRIT3W=`vCOs$tM)~VuK#+w}mJk^tezY)!4kc zXO&Zggf^#rE_CSd&~&77lFxU#Jj&g2C{v5|h8x}xMQx{diG={KA}e?m_k9OGHSoA; z*%`*&Bh-W|VxO-x=eD>w*~%@1@X7Jww_Nj=JeQ)HZIuLO4^tmrR&#lLQBKA7Now2~ z(badFjE(RSR0@6%wag>N#e&~UIHySsCcu`_F+07Ci|PyIsr8t! zti{@((Kwp)_4UGRxx7YLv)E9?^ri2rW0WKrgA6|U=FZQ667bK*|= zI1~>1@$0rB{g&r2mGIrUyQOVoPmidJ?t(E868-0wtQ03HwRo`Wtl!!iIf58xHl0)Ck|h-(bT zTb8mus&xcCt?-o&df$$HujR~;m9#~U;cD6#Y`*7yg4en+;H#@^Ti>*99Z#j#7Z>v? z^7EH1<4G|NCoj*NapDYnAVm*(epc1n`34*<(OkeUDkApNa?*VDt zwIZj0I~4ZqX?KHxxrRw8Ac|D#`YPbI_HJ-S{|JKCp1uZj5N4YPLiRiTwxK~Al%jr0z0QxlzWoX?HZT+by=&0vSa$;KLH)@CBf$LkJkpbL z29shrSUw$@8%%j}AX}1^D_^o^3Ea8lr6mw7$<18?a6Bzjf-|B5@zgjrsKzpBDt@de zkr+{6t=-j#gBi&g^5F%Y0k)jrz)vL(O|W;^o1&ug5o*A3N6|g9F69K;4?2+n?FGF^ z$2>Cm((v~p&Q4N`RbbUv9jjHo-L$UBTxF@LTuzd8a-p!0=QJNOpETogv(5}LGYDID zT41dOU>5K~B@k7DU6nvrSz8I|(=~Mfs}t1~)Zu1JWgU-KS7|Y)Na|N$CL=IrNm%^^ zdhaY00+^qnp_oG34@%T@QqS}Y= z1@20aPG{Yh49@!1u#(H27ej%PWU&>)`lfnAbPDppP}v3{1nJtQM%~}8l(e)E{}H)~ z=Py3C;Ww%rLKyb4H|j$T4cbhcBq+H~lyB5Cj>H>=Lc1xVWaPNnmgJX50C_a>@%8I~ z_u`AJ`rQ|tYErkbKUx{~I9;)bmn9Gs%Q7>I<+-`VtCm+TS1OC)k|hnr4S!z@GRC_K z?&i2ipg9-qmj5B6J!I!t-VJ#m=?by@7EjU-qp&afOzgPB341npHoQn3kU%I_jCovs z``Fx+-^pm|4$ReW0I4abDaz}*{f4W3Q>~|Gz?I^4L~7797z0C+sp+dw-(o>rTfobE( zjj(njcnJq9aqvC`1~bE%ur;uDBTi*zQuxNTfmTjI^Gj>MnxcZ(Ze8f6#M>#%&zAM+ z%xGz%c(q}Da@*3sWLUozfS<_#mUZ+j*X>=bUraRmqj}0b%gM~0GTWKn*1)Kcc7R{~ zCn~pv0uJzhRXOO~nhhIU!FmbxA=;A)#+j$Xrm{-Y6UaC78b;`sJ=U>_Y;+L#Q&;wL1pQU&H z2)f9bCC#jzX=V9h=1d_Arc%`zifyf+wYi1<;_VMO_Bg2>`5QZL$x?2FBihfH+n`S8H&WWHv!-b6uIe7FHA@F)Orok)LK*$`8 zfKt?eZlPDT$m|mlL{?$dyZ@!N0N*uKV+*u_3EUESEqR=Sx2+)_Ji>Bt~vTVyj928Ymgph@73qP@qaa_ms zay_o=P{%wIAcWGCav6rt%441`l2~G13%`~NHrjr=y^qzerzaVMX zT`WnqB%j6F|JnckAK(9dyi<&|@;OF!YCWOn(Z_j=^NelFCWn*S*x+BUa}RU{JGO6^ z^Ru(Ru}Y%i@cOp~T_7b5IA$*u=9@{*u$B^lywhu~iMUK{RjtKyn;2NuO9hN|({t?5m*xVxyYnwY< zUhuo4CY|+>3NYZCH2wCi4}!Uue|d$zTUZO0gBU%&c5IA$ggtP@1Z$w=2m-qCbglg{=~^`4y_T6UO)5c#F zUNu}b@C=5HjoBQIrYKX6SGo(<=^Ke6ys-#(yYZq%Tu{GGuxJw^Gg^=-7rFpNgE47BrH=m{7To(kVhv=h40T14{7oFgg6SPmgaCcfzrTN6;T$&2BZo~4dtlka1e`nh>+H_faP0a7{vm=ZE{C|d7W=!Hg?^cqoV9YaY>~gz4*|tvu zb-7B78l+YA44MP@S(7hN`~pFqyil$UzS=Z$Edv*ezx)`~ z`e$mJ!}Z{}d7#faWC*-s8$-3dT?9_wg~BIA8owFj0o5qmWEVhg?&vW6GJTh9yGMWF zJ7)-1^JU8R0x**)6v?&JGSJynt5T>5f=9}ppu6FFs7W6)0S)*ZV}4?O6Mgsr8Suof zRgaZ=GHlTvGc2|a*uIQkg5?R!U4BmyQ|jKz`R^1*ui>A^~poF@NB?jnhDZ3RgCLJXeH9ST&M!=v7a z$r6MwqwiK9?a~*w9A%bH<~ zXKi&sPEK{|%9Yg>&b7`!pc<`bTlH7U-?HoY@EXF!cc><9A?0AZ99kB>XPzJIN~%#L z_!!g+QqoEm$fsRIYlAL_bCXC)l)2l%3Vp~lFk%1>?XD4HyRqiS>khFa_k8>#a+0)I zi-GbZCRsH8%HGc& zj19vIn99%HK_7sU4MR#at(3Jheiwu(<`9j}Tk z%Nni(Zu_JXBM5;C&A}qHaWxYyl_jg49wv7k<&2|Qh`i;?`D5F*@f$Z4ZCbU8U+;B$ zDT*KX&Ug5g$~xsEkMRAE4-D{AYimpRC0`@+`PK8U2*Jvm51!^{jpkj?l5X~#gd~d< zLf!0qGwZC_X{JP$Spbu`Jalici4GfBnHJp~x+OOeVE!-I;oLF>-|h#Irw-&on4?pu zl)z^`Y@2z}Fw7OeO4Fq@YiIcic^RZ{AW5S;>GU70UJw@Ju+Yk+Mp?z?40@+U19Is1 z&;!0e-vD~_h!MxCnqGfejuTKkC4--cr^VVr>o-A$Z;!+4pukyQrH7vQ6#HmJnT&5t ze;+(wK@vD$l$ldZ4*p4yGaXB7)#OPWAq63JfR4-Np{9**(pTuKg-XDM>A*v1wE@1t z?bSEM-+C+lANTITHE+EIjCAZY<9>J1L~og8ZFctNx`t+$ZMAFEx4_RO6NqRf{s}Dr ze?cB^iis-*xzDq63dI}?C)Xx2<)i_rlrXMdmoFd^vy@38fP}&e6K*XqC(PFhnAKd= zD#!7aj=j( z7%|0)D|*`;*cHPY;dlR|?JlN{os{x_ggYb4_|kTaFJgVUFfMx@v{QTnPvJ*CJE`HG zWtJhsQ)AH<@*~>(&*>9#kS-y0z!=8B3c& z#q)JgRodc0(cDRCL!lI(KF$me8GtjB@C-->EN^aXU9 zT?S_K=m+)mh1lEl4QDv$204*-&+ey&slG5O5%$^|fS>z{XKGLDbN563CiojiyXgGZ z1T}&5sq-SACtmCKI*s&*Z*({S#;sV8z6lEGUbMNvUi5Rk7HxIdiU^Kgyf!)9I~Iw1 zg5B*Qc=UTAllD#K4xFN2=Z!F)RmQ}6)2I{I@npuZctcv{w#r8y!7VE@GH@P;BNjK{ z4G#=%%mdgHE4mckiuRVA?HSJv^v%(aEa)z&5(9sUhSS4{=2Z4Cmr{zF7Z?$fAI)Rt zSu)r;k^x}ED#lJx|Ma9A2Hn13{j9&Hxq0I@3QU+X=y4YdG<%j*WI^l;C^#ySXqevv z9$M{JDD;KU=LriSZm)b_tlqZHly1i3PRjGaHC=hvU{B{VL;U#)OLU!HPwz-_CYGPw zdvbqC_zxN6?r(LbaAmrJ`oP4vrSs`oe1QkZQ zLyFX{D}X~WZZt(8Rz)wly+WuH$OWmJ(1aj8IIJlUM~j6iqzjyx9FQn0YDD+fTtlZj+m%cq-;7CSwKhxbmKb+%C`VJ_vr zR2;qbd5trEui8u5q0H^1oN&6rFNT1#adR3}q-Iid42m5M@o!f>4PEi`iO0o6O6JWL zdMk+0o(v%dxfsS%%jjp=?nK$-)5fyl;n+31*GXZD=jmJeoKwvDTj@)@ml&Ux%h9sz zu6mo?Un<~5oAY#PUj6Egt97~zUdG~Sngw1b1P#|NBF#0k^G=ZJ`Dl5<`_jtn*&z^H*UiUuc&yF0b@Xf-jflJ4?{P^UAKv;)e3+zhAg?y!G zU#x6jkr z?;426wMp;CuGZkXz{WfEn8~n_Svx%FTs!fWPDASGo?*esQ>}zs+;J>ttGi zH5)X2Zj%uyDH1ZRpj=y(Lq1zJFu3jqFHM;>btjpKpB&iZq^=!34z7BoB4W>#*n0Tq zz8bi;v0Z?P`pe_Lu?~qaEGzDY=VnzDs0FH;_u$J2u>xuY=?ym6){&cnVIo38K>8%o z?dUr)WT@&Jjf3+y^y7U={mXHEy2_w|+R&E5ENx-AtIiPrDb-wehtyXXkBEWjXga21 zPK#jkFAjH3&3?Au*An#}kDgW;K|fGN z*-yaZMufs89dBRPV1|p(W)kuVmDoD$Cfn@MhywPHoSDhL|7tzO&)AwmV5*)_2F~ZF zDu!dXCF1{$t!8r7PwPL2QF53Bjp@1eT5^B8N`L_#fc!KWeT;d3`%?#^kB`KSp=cxm zuhXBsqgU=`W6dkPHwZJcGahB^RbZ1*gDovmTYg=>S}n~G2)-@-_QQrkC;P+13`_VM zvX`r5O@BBT^zYqB4+STz6(D0`G*_)LkZB;; zU4Jirx|r6U9A57jI4jeR+S1p9qn~&sh{s+;rse9FJ2kS2E{ET@>%eq~+QAs&XtdgY z1N;`01Ggs-sOi7ZL-!rOhl?u;z$lRPY9TBzY6Urb0vLVmAIB!E;KkB&QIFFTotzF1 zTe4;VS(C~q_<|B~mWa-zU#@)@$-ldCewKi;;Nv4IJ~|EvF~OyeGWl}g=w#>EV7#EE zb-)8J(?2?+le`j-sXl6PyG^5q-aq{+6q%Gaz!7%_lkAd4kw!p}@oxIMALR!?=^u<}-MiEb_? z<9#L7=_hTv~73NN5Yp zr+53ATNZ?<4znQ)PYF&@_Lh*Rt;Zuy!*lBjDNlQAaIm-h5<2R-(&Y{ZcQCw6hygAJ z1#%e@O(m@(k%8d0I2mbfio$#JpYLcCGfWiWqVMs}5mJtx<%JzV81Cg9j!x%I=jZY< z%&U?Lh29otr?a-!TV=6$*Vt@3y^B5YlAB|(M`jgr=S;U$KV`14x0uv5iI7N3h(WXL zqpHQYi2onsC)n!Gg6`X%_CDurI_B!>alvUS8u8M1-`&t0rrrhpOc3pf%}`yvfu4Hs z>qk3CvTZY6;%OFbhwl3oW1GW$>$Sr)jN5u=Wq`bO3~8npm=YWo^?x1pM8#|8V#@ zpLaKNs|1hKIzKQ0uZZ!WIm{C|ETZ2-PiM$Mk!X-4Nao7*FHu`WqRrk5t`z!pV5M`I zF95GnZnxu)M{^l}i{p@=Dx(iD7obKC42=)O#eud+7(V|SdRCu#ojD_2Ob$Cpm>7Rs z$Jxwj;v7c#>$21)it2kb6za3q)m2ybjYhv0-MY1Jy>7*dK3-PVp1wU_SEE{lP(e`& zwg}1ez+i<8(`1?X)JGb!3SN3!6JRL`ZJ{Hw|F=n4HvSN`bd8GbbBOI zQ9pFI`$W7_-G2)Wp`vn?5sw!O=--#YX0<1>qgpN6pA9o}prO8FyWJ4l?BC+M@9gh! zgBFtXQ~T;8B-v7RxIX98*iQzXeckSH`$?!!<$(a;c(ZYwWU?bNdo5Mog(?zVdv>)s zql{;dJW=NX@alCq2~WDaMWSZk?1||tZF;SnFCg9A!*N;sXY>#Cc+NG(m>Oyn(PU&u zhc%1|(L2n`C*JzgK8hqm&f|5PMf6@Erayz9Abpu8Q98gX%Tfk|Y5|XlN7G1pAL9;! zPyNt0(G~l!xvi}kzV_$Ov@)96qY?TH6NMIYN?As*HsrVKVQsV;TB2&b-p5xJG2YGh zsK*nHntZ0O;X|0iY~{(3?gBEdwsel9ATc*e@Gv4xQ9O^UMl%zp60@8Ox%pTgnA39L z<^x32fz$&`CKj-n%+!Gh`N`=ep_Hr>y@jB^DAyI^j@k{Fz?}?ar zcwC}@8hQNcc_((D9W-=^QwUgm`G@5o*!k0&JO8-XUuP)UPImYD2X?y1pu$LzmCj+Ilz2_q}mR+op?uPzE{)oM3ii78jFc5iYzL!mY9HGkI%OXv#H zmc*#&U+G*g%ZzHk*gSJ~a`p4r)h}7J`pPA%e+dTLXkX>lt(D+Kf;gj)<>EvN)Ky0r zca$ooTsMizt?R0?tpd3?ey%FMJ$(QS{Lgn4c$QW3Hho!#J?jKfkm{koxW99XBqR0k zQvV+RzA-nn)psD++3dt?-v%!*cdUC8^@s%W1rmjQyOZ=coIZBSY~u$hp-A3w(5{A@Oc3tW+I~0q7GBwp{w%b#jDU1EvBGH8e{`IS;;Lv;81qj2# zOS<$H*=RXYWrj>(}DKZwJ_N-RybzdH1Q%;Ze{{rzg4};Nyhm)H}llgK=LTub%SwouLjNFouVM-E9aPdWwFXS5H(kcVr`50r(#3uI5FJ&EItu z6|G#k)8}yP%ud~yYBcT~?Ct&TcUSFP^_92fLQ|aWQ7nOXBtyJKkoZM&XXexr=8P|% zqg-Q-0!@)_KK?Gnm=#|@WX%P1ax+3=ana)Df35cb1G)NK4=DOWzYO9TM*X={J*IbB zjR!=c@?5}zA|X{DDcx8IOrmiSS> z3`;dvfH&Q)o(ttp$42V8D;8k*!cKpDf6pWd1%oy4)!{+M_UFoBW6^|&In`%r zbQG#u;_qN80~75L@;WGZ>6BL8QfTPIJA+5h`mg7FN3T((L&Wx@5I9iL+--1m|!#31|Zc zEck~s7Qw)TS~5BFHSWws=6=mEsk`2U`YL;|XIAf^928an6tQY}<>Y1mnHv=N!sHDU z-dEdv^|voSz4NKpuwgf!pTg(&(Qh!@0pXhxPgdwT@vMLH6%Q1TlZYCVzC$0Uk5>Rc zXl2#XPVtTtUe0PxF%!8oGxzHMu|2c7uI?M|W=CjS#2PiKIh>V()YNqD8r+@dh9z#h zyT!fJjpu~`9?A>Bme9@+6h=BDQ;~m;ycpqHBg4#(pG0sx0<6p{v_>1FpG5CR@u`*n zyz<4BpRB~~Yk)fxiLP9;W@R)IauedhO+dH_e6s2OCU|udfIXW=H|^QrgH*KB&~pD?%xv2atmI>%*>V&T!NYTY@iAr=F^ZIj+FgTKa7mJUZh`{RDtHZX8|Xb9natn_8xQNqfRah9>u zCtaLFXbR#xBTWSd^GB9zWO&Xtb7Ro#^^Ta zbBICDX}6sIWqF1K!z6o^vMdO6P<$W@!i;PPOi=uE8iaB!F6y(yCmniH2L+c4SpsT1 zO@FM=44OT7`Y_tu!x9MN)?AQ!JL5mGX6N2%>O}nZpae3BP1`W(chl9wx!UYNxr9ej z;8S|I%;R%_L3*ex;BtVe_`g~F-f|GDj)>uS7^r;aBUQn4D3OVq&jD?VPXP%+F7?x| z9P@kG@ikG)NO6FK=ya=RPPZdOfRn~4<5V+VV;HH?)rQM$>x$N_39nEVDA%nE`!)uH z;okPg9utSfOVJb$45YG%iuqG*A;ka-g0rfxqUuWad=oJMSYQ#ID|cwvLyaYMd!P`q z@QJRp9`v3%D8r#rt2Sww@Tp^_1ilL!1jm&MExh7&*88sD<))8GU1zygW`gk-s)Iow z?LF*!YUKWTKu#P~C^8g8s+bSz+-|4m)VkW^~|xxm*iZ1N!1Lrecy<0eO8jD zNtesODRaJ$nK)FW@?~?R*L4(9e3HX}4Gqlqh@>hXf z^jmvfCNn62;))F~JLz}s0``Lzrmc_QBFQ*1LqM=59djCMy=Pg(Oh4)vdnDm&CJhNt9xa< z3?78N(Wb7h-m%9gCk?%ZuiuOdYj2s$9Q+6#LAdek5>FZ~m1y+Y zb5L@|B}+#I^tb4~p7dO$XCsLdMc{FAL?AP|5i_4uqZ2cK*ybPGxAg>0ya6IcKA$fX z>^5S*=w&9Qq9|wI6{S)TrDj#)fI>RmIlA4O2Htt8ES1zG~9y3g%1Sw1|C#DbN zT7!E4Mc;EK#2?5Wzb|-vz(Sv_=-p6K66`Mr&2^muxQ#vmf|&dcpd5UG5GM`Qj3Gsy z?#zOrT`%mkfC!?1^-t<^PLKG?3uOm^teVd!1ZfsQ0jlgHwa+7r*Zl@#tpl8Z%~;N} zND2#ayEZFpjqHiB-Mc%Qci8OUtPEV0f$uQSJAlP5+p$Atx8Ux_{9gB>F-H{bvJ^nY zg%8jGq0$9dkojS?Le!{)m!OfGFZ&~Fq3RwR%c8h;aRY$)`vir55`4ipXl#-E-dvQd zNic5DyJX_QPv_0v4c-Pj=>7y#oS=0h@W;QOMXCQEVP6B))RnG%_RirXoScM^K*C>$ zF~pdr7(xgELLfp20YXgEOia@lF(M#8qEe-d!=((vP>L3RDq3|cwO&7#i|cr;W38>N zww-aT%W?c%t}d5fukCc4PG@?n)9L4JmF#}!oPE>g&anIsMl``m8ddAqgU9T=*xXhW9< zBT!NPk$0KD?vNuw!re5f?}G${)`paXM7}7!n(Bk(ZbB`>3^ATMu4{i2FRB_%7= zKk;~0bToB!tr&W4+cxnE@xP44Gu~U4V=m;(=7d=de2HpKHK{C_?bP`fdOdT+@=bFR zG><&{bD-9b1n8V`fQ2HEI*<+1ln{C=(Wj=@Mks1LjU&^*gbK6=U|}zXn{=lWb3(ak z{2ZoAZ&-l7i%EZ7LtnT;UO)Ug5D0u11oD^nr9oV7$WE1l+{Tuc#+|mxo+@{^`IRz! z&3-vls-?+NaHPo}XuM+aZ1UB=5L#dB2H36jZ=C^yM?7trIFBopt&4v4-h~S#pqGYq zCj)JasGTIE6S;!0@rvN-O4QeurJyQ<$q8gF=!tf(SQhfYVH9wMeSXm6_6e@UJDG`- zz+26y5gnPgfSLLj=&+1#7#J&tS`$g|l0~@+H3QNHY~$TqM~sT&j2evVdjt0TgVCtufc%1->nZiQ=2#&545lyFjtRhve@JFZ~B{Xk#dvAPECy^KDu)pr^y zr~U~t`*x+mbVG(x4s7Q3cJrGqXNSAdx^jIPZr?3Q*3gI@9Cw9VDz3Zio$i)iz24+J z6&-1Ib-E&O*Hd8FO%OEdBvQ~hWx2bevVo${dY9Mk0gd~6NM0BE-+q#!@TB517pOjl zjnP(Afb2al>JJXX!3)5$luRUfVzpjP_6nh|(cZu1*)6$HlSiY)q{S5m+UlVu*U(UP zMXut=RN&w%_G5dQ3g$3x+LhYR3&e%b*ij9xG#s6T^Gs(;Oda>SD=syq_C9 z%$%o(xy*&#j|68w0^4ZIyP@zH1tF!w8HEOyuYJ4!m+!L`To&Lf4Kq(Z2byq|FuEXM z7%G!EcvU4hQVty#vy>9i$l19|I~>^th%BjhPDQb*Co~9?mJ2sKd51pw~svL0piP zq+TFlKiSq=dZcY^TP}2)098P$zqmBNqVjb{7PmEY==*xdyOf!y=o9T{ZQn3c$y$l6 zpjp-@wpW)*mMmGAp|zRC`Nf6hMcgu9eK1Iqs~j!BwBW85z&ve(cD?pF?YQ>1_7g34 zG#_C33-V!JenbBH{O9uT<|m960<3UBA$+cIyzqG8-9mg>A^4;Slou^4g0?d7Ng3!Y z>nnrW{KBHL&6~@L3iGu@jspuX2*bSa`tWn%bUVMLft#s;v6f za-u$Wsdf!`nP?1fE>87uhNgP%5a9TOo~b=Ai6Ji4mw>f_T)ltHT-2ryjXu9Q6EE8( zf?`EVlA1?=8-BgnebZF5!q{@U)NitX1XSfK!Oc)5MVVTWy3I)SQvXI>*`T*IT)Fi| znOlF8{?O!X?(YZwuCB2$MpJaTA8=a&hgc-|@YfLax$)Csm9c#0dIZTG7mMWXZp2W$_bV>W3 z9o)BT9J2tMrNMI7!oh5y!FJaMmq~%aVzWyJ$HxbycALe(jSP1xB6A^S+}0bWv!%v4 zauO`3kL#4oa}9B~u}H(2-$FXqx6l?Qz;t3%6`$E08(vPtV-Sly*u;R89qJsL2>kyi zh|-4%BJ?(GEduT>juF;>q!*i@+2V3pzPn=#_=>@QEN?L~LNvk}8+f_Gl>`%t3^ypn z26H-@Mv|c5U|O02eswqvrYVRDBf6WUd(mjgG!XYyXv+~23bK+j zl%TuV?K2)UmNXX!hPryJc-nBXSR%stDLjaqJYFOEyRE8Woo#n%*k?bB2OS$r`uamO z<--M1Qy%L&Sij=6-+#Z_2~Qb)%qOb6(QWPNN}i{Z_K`)eeqjQG!^3yBZbbpV3t--0 z3QVG;nYG_z9=JCZ7mAX^!DxG5mMT4Dr)cQnhdpj^>}?P##(9Z2C06NJdTQ}GI$9>T z8DOrFpm>RCyd)*t4eDxf9`*L^R15agzN-nHp?OM(j#f;!`f}`P>{)CKYqFUiTeSR% zr=GGE1^ii_`V3y(3j;fLG_R}4v*iJs=MxVc&j5?^JQ;QC*41Tr@)i-5J>i1V(#p7W z>D)X$+k-w^@)|=e#`uUC=DwBPQPY>EvQ##7O^cK~ytCMrnup%mykQDA7U~k1t(Q92 zwanR5#O$V;AM=!RS!>h#StD$t%Iv`^_& z0yU1#K;cjlL^s$9W^{?IXD;tIfO;CKK9~X~Fote`-N5nPt@};mBoi`8i3SYj`@97Y z>TEi@&r=@hD8@5hpm9l7GKASlP;RU!HKX5_SJ-r3-?n1A*?rnL@b|rU`*#bW&J-~D z^iWv7qN$kvAG23MVQmf4b!IL|EOV0tVV&GvQdL>HdzZgf>JanvE&7jxEwb>EZN^QvXq*lVq;Bh!wgStrVa;dbcA6BkS-)}zZ z?p-=fDOJi?f`UnAWCE#hK2SIQ5Mu6&d0?ciLQhzIZEqeoOTC;o3t4bdhje zjEj^a_)(uaQV~4?Nbo0LYV=!lFX_pssq6+^3Hf^|kqp9>)uD#U=&RuTE&nw%9GhUe z15GO3U=6S$Lwz8~Y5!ZOPlyw#2KA1X{HQ!IzNu})nHtbZ_VG<6vg{N*RhaHcAo@tO zd-2-bL2wu~9&bxX0d8+A%{<9uVgS9(-sPx|cl90E<#UI^wU^NK0jtXZWX#P@=ehBS zfFdEGJrvM^6yGZ^_zy8GLXR$Uj}tCThRJCrnTLh22)2&N2HLFa3f5Rx6@;w|7b~op zqO`?{si`87wW`=vTu@+LvUu@NtUvkjirx8&LO*hgDF*D7WxOhV`W};Ml4lxuss8rT(spq3EVA@E26Q_Q_zs`h zv<13aY*E_=lcLxS&sBU!f%M)>;aW?_C75(nmac=_8BN&j?+$kPdSTL5LG!p3Hm!-Y zt^lh%{vj!p>g1ME&ZwRsd8t`j&~ennj#7;MB?B*`1E|*o_JbgtSpC8~4ad*-324X7 z!{L+uhg+lmP^iTN550fGfOk3v#~mm8J8#zr zXiS-^UEjgj&4k|Oo@8VDLRNEkIemWGm|pr4bE~Ss98x`HrWRnBL}JcSD9q(XhjGag z^J10COlUM7v**!;e(`4Cxt2>X#wN$k&U4qqPFcQ6RiKj2lR7Gt%9gH)@ainbP?ygg?iQxHrRGiQpIq4>C|uXo+UXP0ATO$VS4oQ*z3C!U+z9(>}s=| z6&zB)OzrmamT=AXu@POdr-K?1tU6*Po6SuvE-)4`_locoWeQhlRhRk`!WDgdDA-bQ z{~)iMe&_~(2Tq|{a0>EK08D^8s0(a+aO6DL#Z+=l+IFPj0!l1zK-G-4dPDzMMrp^o zsHAL5UkUv9)R$)5UD9@?{M`E8$J`{vf{0$9odrU4>`)&Vem7cd%9|GUXjgArC{vdY`(3fb7t&3 zTHw5d^ty|21 zwp(DmClGW`U9MUkqT|r@rOn~m>*%H{7@T_kkG;dL(CVrQ>QDwQ&4tyD2CuJr&(@W} zR{D1~^jb^TuJd$OgHX7*TP9OVa~&#pjG|i0qMNoTHKe;XkuP-jy;a}VQ3tm>6DB5* z`|#leOkdHO0?9;?#-$hZQG4mvSgfLFjDGEezD&sBd%DxQ+62_qwurs>`m zYz;+|oEy3v@Xj}%>F`aC!!K3s4;?yT6^KZIv2`d1<6sl$TdtcBV-y?t)HYFMB_Gzg z%{H4v`{a|=lInRCP_eEP*&Ld^h{II$<;-a(!MU;Y4U@7dRL_V5;tBEkdA2=nc0*{A zajs?ohK92eN4NP^LWoyMhIy*RFpB$qA2?L-F}(%0>C;cXw10uG;7v zId~rk5A58Sm`+2CcTq7I0mpsb4U-4fs?6xCx8PFS+p-)-;R{6c!*&};2VWrN%LS{9 zN3S3>nu6nvfc&cSnsX<}UY1N!L}Kpck)njp8A4_D{Y)i*1aDDhrZADihn7uSh@{q? zVTzwj302>IE=+CkINv?(HJ9ST`vtZG+k-uA%ilG$YzPdkdmO`_F|Om~-$o@z)&zIa@mu2Y{p7X5a8VIjT;ZBS4&=rE{OHv#OF-%#;j z9He}_hQ>NFwXPrC%iw%i0(`rde#tp7DA+;`QClcOXsHzPxMI0^DaC$9Fi5}GW%77X zcIX3fs@@Vj8Cg}TmXPT9kV2ahsSqhwClbJg3wUYero9S51?i}4Z zpbNq5XR~!WAt{y0^ztFab;6; z^Ac`&0&915+y`jlyCD8c3pjHztJ*A0;4ZpoZG_DTTU&121TpHBbeOsb{NGj8RjUyn z`dh3N9W$dQvlxeIxw$2~zqrt}wq1?`2`@1>cMPp;fB(HUjW2ZkNK5{*f`&6e+@QL_ zH`eDrE;T`P0bP|yf7*2u@VC6Aq3oj&rl*7q+h2>m!3bndeH2kLw=vqjyY)C;c^^R6 zIlMx^RF_&hEg3kM5Ggzs+F`ySC0YE6xV(AXW8QCt93&wN7gNy1#> z9X}iJdU2kJk2(i4l_Hmm_KvC{RilU94f%6{)+*IgU-t=~=igH7lwZUc>aHP{mD2^u@8Y)SqnAIo)TVFqW3+if>YD?jE> zTQ`PbQ|1^fCWpz%F~?_=6q?yLF~AcvIckD~&6k6iCpoN{BRLGy2h<7rZ0*?SmDk+% z0S#1{Z7<&g(*Kh2koSspsq(-MgpL}lx~t$mvY;df6g5xEO4w zvAxHRF3JqgxSQ23NJg)CyXtN?y}5hiPQu|%<&iR>^{>Ap$eu$hGDtotTJb45nP;|_ z+g^aF;~pl`*%^^f(0E5@lX!BSk6D&4ck-1=XQ%3JhF`j8C9{Uu?&S;Uc+qBLX*o1C1nca0AU(mD9dW@hsTQ4?1?_kl-^)NHD z=4ZsSeXfNIYCsJ;jNLdrYlCITY9bZqPH$v5ckeD__wMZ74RhO5C}FhQxy+nIbNnHn zewZoFV5I(K49-}{O^~t=1oJS9^q6O<3~Cl~*eJy8b|2^my+V|A4lW&5LbZC~l`ny0 ze;SD<{$dR3n)Y4VRk~#1@#bxEs8Xrb^(*wRZ{83Df%lz?W3>@!i{qr2zb@1)4z%>* zqKOQcCdY9cIF@Z7gAsIsW?kDt0ZE4&ZIaq1Lj$R@rA1XQ^^SNH#mpG~b zofz=+8_oNIMT6diw>%PNTA~qMMDNIS6*Ly@F=*STl)cGTAP1yR%q4kbiM4-UJ^#r4 zuPfht@0v;^e-TphU5BL-#a=4;-~Y3b=7Ka)NVkR8G_{+OvPVP(k;}Cre%5^ zryGRHJh3}?;7l&>Ba<9m76a9xjnza~!?T@{%}llA26UcACnmNkz69&Xei^IB!Fpa8 zDlsh4H3Vya{>0B48hSeHE%w2|p2UR;;enn!}OK{%ow})CE<;I88ouc=XS3b z$u|Vc4Sh-+YH};q{~07qDpB81Nr}4V_-jXW7S&bs_v7FtA=Fx3C!u#q4W#Y#0!yP@@ajC+ti*^gs| zaRs9wqqkuyUshM=aOC*B-W)6|D<}BmlR3ptJ!Q->KE&ncYx#qGbN-B5jh%Sr%ufT! zMboFA{W4%31no)}&Ctg=>fj95V>I#@krP(>U)fSrZVy=ZN^!Y%(beC8gpUPj=|Jpc##uqcrvNgcZL zpw=|$UE142P%8-oMR~gKUyR+YCz#jVZv^jwYmEAFGZ;l1XokM!dz>2}a5nzi>CoiD zJeZGBgDzfD{+OrU;jmiEUEV6M$5WoDRF*$ssH-c-${!KIW>a4AGbWvj5MrUMaMsHb zu_G4u!#^q>9b4VlN`o(cL6b3Mj(Wq;zW-I5+n)*LTFtJ%p~(y$ifk%xwLRm#b;MMu zd;|RhxIv2uiGBt@TGs&cLhPusXcr7(6GcXtkeVD{Xc6rSC;iw5+aI?FdZMF7Ne@_ekdNA4;JpzMG zFfaPYs0{|;SoDuDkKVmaG}9U2=f17>chxij}j3De38;wG>i^h=QT4y=1217_(o@7@H)3+YJk zV!6v-vjJVzn7uh;&K+`Gqs|=)4F75k4HwiZ6t4v%DnNXIMyV_g z1;_*T-m$5^FH;upJMc^>;SYWhk0+4Y&>QGOUB%8PN?u&BHuz44a?i4;LmDHlCe|Y|xaJ0%14Vk^nudXeJdn=iLq%oQcuiYn`K?x|gqZ3Tk zUI<<19;a7y5$zEe9pIJBdWN5VT#LzMdb7t9&| zE-@IvMVdsih-zL(f2_YJUQkc&?Orb*Q9!6tDsLYK{xVr~N}A`=HMf6{`E(A=F2^>N zN~`!O`X@*Nf1uD1`U<)Le0f}qrztYCWni^G*mL$8aCxNY!;jxXsGt(vE)Pf4E&Iw* zZ_8NaF$*~Ku^IjDrtS1SBjU6{;%d7~#3Pf0CiKkb2GurK=Jo{z{l61u_NRM+>7GkM zvw`&Ddk=;LVr7=Tx?Otn&5B;Xd(*MWGw-XX zcCO#QcHk&@zuno=-OJoae6QqA5RCT9<5&faWoZ@|TK;5ZWiTjbCh5pAngbe_EO}i1 z_zzO3v6A%sn4IohhZ~QtKU{o5MsNC=+9=3niGN(&_#w^2Ik`BX1GP=XFNt|+TGdDQ zfcA76M7&P3%3M5!z8cdkFF)-WlFQW#cB0>abzm$RN3zQa+Yr|tiP#~prmgz~z|Jp! z@0)Yzy8daHiB19k)yhby2BtbALC_%yBu zsED~_jMbLEPICq=mP7lAc5DQzx8?lORijmBuElB!BKpYMwKY#!8>~e|HBVFps%*BJ z&Vhlpnzl#CvCO*-VlmGgw8K%)IS12@Si-vDLco5M17>6@XC_%C&+Uq4=Mv2f1vk!! zz{VaRhl!O8FrxXLI6la#fQC;qEhOOXHZhK;<;p`x0IXCFq|z)|nRWi1b8n>+=+b}c z`)^zyQLQnI0(S}*^}bR_!%hM~x2w2wMO`o%((fD0u25M^gCit-IUUNBg$y$(%qwmR zROgAjj)t|?VDbF|xHIgyW^HU4zDIBOGo(SU`86N?Pq*(j?f(f?faKFuPX8+UAK2Cs z6!Q24sYPFZD^*$SY5HLk2=e5;%D|ToPCBfvikIZ*^dZnK*g_rO!RUwR>8HJQovAn_ zl&RPW;R1A(nG^oXA@+F^eW53gP*8Xe4S)A%bW!DTC!W1~<)6TC-)re?u1r`}&i0CZ z#vP+^4Hq+FPh%UfO^hySlci`;E3b%V|CFu1(2^F=b!Ll3ugwB$_3!Voe>d4{* zl>zwtUaucb-U)aDp6E&c6UzdBLwEh28d$UupyoUfJ%I5Ijm&iT;7ki%rF~I-}2=?0K)Bmo8~l>!;jr_?uFofJ|TL z{|$5gPRH`sz)AlVO{OT92L=4qOYJKRo?0;3gzR95;UfWblY4>Cv2!wPbPNw;txTtG zhMTObl*)ucHZ@1$>XI8$_~q*%u}45Uz_#7R<^Bu9x`O&*%+_tRnX z?LuDMXC`27^eOigVaK#I=YI<8#JU)(G-4G?9^2T}(ozxHxUqufh81HZ)>K zq0m0B6$raV)ez6r>Sz|Y&Eq+^{|pF~>(HrF@1i#xm)pJIrRq+mUYywnUqg}^<<=Yh znlN}o1dlKD-#QDEe$`zqV5F`a(A(&~EL-o*E^%5^wdW3t0Mv6?3Be>}{=`Mfn z0f0Tb?7AJPP^MK+oc#8p5~6|ArOl=$&wsD7IJK|l?@5KJ8udmR`1wG03XY!qJ-7yz zItvoUq!1UYAcbrt_}Kzd4f?AMPwPw}ZV`~+~Q@3*s;i6I3Z);Ysse<=B z(dwu7_XRdViE>?5k^ac93QY7eAK;7AAik?RUCfz)s))B6-YVEBCvQ-~{c-g?la?<+3ttycIa*Z!B?la)a7#40aJneZf>?IWR*9x7(;{r39k@B3^5a98e<4=1Q8LDv6NEEP{u)g z;ag|Ma;eA5=d~U?wNuABuXa4v>u2Zq`FZ`Uomb~{X4*6L&dixkl`QYxI|&5!Tw!PD zMUcJL`mf*r_4}bN52lsc)zm5tJmRvC&>qk-a+m98j8IiA&Gp5FBg?;E*tdME5-(&j zmai`}IZVr!Hx@R|?{JA=b28U~ipD6+x%`ev*A_V2_=fk=q~qSWa!qQ3%Vzd?263^AU@th!TK!YnEB`wM1g zDeYeV@vN*|_^o$!Q@^7T3j573W@6-r3w547JBKZk8rE!C8kfW76khoh;+(1Q8UcsI zGgfpHJ=7_NU3r7~_P6(>%lj6Ezl+|AwXTcXS|uPgH6za-y-h!3LU$&M4R?NxT0Oyl z7tEX_@h=a3N|Ar3by~5jy&YmqDi&;>B|c)_p1f23{xrJr*7Zt)OBxD&%fC>W{Sdm& zK84#D9L5U9I>rl(ml%5)hp1TH!Toz&yM}hUelqloi`RrRT%~KO*0@|vy)V2lG}N@U zJ{YWSs$Q_R7xc@`f5q@jOl&(J#MZVn=I*NIO#lUD;~S-j&H}OK4DwtrdR(X@q32yd zH|WI3@l6S}PpKqcAthNzqeuQo%+ZUxd$If6G=Ku<9Dsvn{l)KRj!L1(xaePfAgj_k zCWCUl<>RsMCdrMpeOp16*>LyX5H|F=+c$G9!(>4Bahn?kXg`Zthtz6c=D%xpiZ&3H<@|huoHN3CB{|teZt8o%JR$IH}@7^!{#+_v{)HBjG7wbmH|~ zeNAzNdXSLkfleYzY3Cc|9Byi+)G1c~ZadAqil#3H^mb4~Kk%L3xX$vMn-ssHuimG^ zQaF5>*8@`rh@0H@+cJKpv?m;s`&0%zzK9cq1EKJSuIP^7j@$iLsQKbUH`pg}9qCPK zX$7rTTBVhoFUvN!b!yi=Q}2#M)~;Q*nza4vO53a2o0`^f(2j9t~U&(DQv$yj%6MEO8x6voyH6Tex`e||#aE-ny#d6dcN(a1Z zu{(|Eqdyf|tMniL(Ogoc|05_bv^fn?F-+T)2HkB{U=t`p@5PS1-8EZ7y|~UN6yTha zH_%5g^~Szt9?szimY~{442gNCTY4-fG;m41UuPoSj$^f*N4B_`uLCWVy14=_QRq2F z_q?N9bh-uIhH2F4caYzo1|5E{8#4~>(V{Af#0!j5oXB7|&5!)xjRD`;UDQ~5Nc43E z&tjx7Rx;KwI>~X|!#GFT_SRaQVJ#|J8r;y`y_B1uzjR>x_N6BdAMRNCG!Pb#(yWMa zvc&w7e0t>OlV7pby})0YOC|yuh0Vtd5^Q=@`b!O{8=SpZ`Iuf3o8m!MWIXj}V?RxW zdQ>r*Gxy?NuU07Z=_>I{Pa*nU=13Ca_+ErO< z9F};2+HDE$YyHY$Jm3A=c{g}-vkpDLqdOe|mmYoQEh_c^j_bLKF}*jGLg-{;M-{$1 zgPwMH*z6N={Yvy{b*0AwI4_Bf6F9%o=?^*Edo7ixy8LZ`A+MGY1FdT+olHL@|CAj= zPC|lXt)HPUa#huaWxC=Djpn7ZY~6}#MajoNRmmsO6L-g@NBay=A zy3U=}=1kn#F%}(Zz4f;bFsv(;hkpNvalBJ)8l8Brs{ovR@5~-%M`UR5EYZ#5q0jF) z1}*%Ypk^eXGnO9QIjAmd$RI|KbGvw{@T+^Aw5?vpfNy6|o9jNbHAK#~esZVj!VAgS zR=^lzjFWS1GRC3NP`^Rl#VzN+UHc{u_6;8F>MB35ckQlSZa;u~XBCi=2c269%qd z=He6m6%rB;WH;SGZ)3PZS?bX0D$Ate(|=+=gK-UdvkYwf=Rd(Jco^t<&BV@-e`t$~ z&<6SVFL7=_MV#jq^+(T6N^t^*ek(NxpX0CrdvCL$%(r)MquJEF7hUKsscHd{kFKg% zZu9`)(J_PoOdO;)(T%Q>2-DA~X4Em78M{afa)46x-M+=`oY=i>o9w{EgslCCKb)MD zwT}Jx$FjVvES+wHY{Qe4gbTtcD-tYGG0+jCRiP$>>G7eSr*opui5y2#K}y__UqTnp z$AD|nU@Ma~m6N63=OS=%T?>VP`Ym8)U{a7-DE(A3ApwIXQZd{`8g)JEW;8#wV|=n zy4&Q0r(Xd-u=3LgvD_D&4Gx&9I++>GMlEo4_VaAL(Otn6D?%;C;&#~HTgvO|i*Zjz zn;$U%qw)TIsw><}`jgji7sJaKB|XV%o8m_uZSckQeg3{5`~XUvi=0(eu)ArjDIA94 z)YYqBfG<2nl4kxC$DN{r1tlwVqqlO7)YClYQPN_4E6J%ry8ZOXEioltFwZfA(p0ZY zz~dS~^D$L+40AGLfmB62s6+ay3Z}w)J2)F(YIN z^blaKW-*leoh2a$D!&R|^P13}bNy(nnt2f2!U4hj{6jEeOvN$2Oy%t$`f)Zo%Ihbh zed!N^8zmSA7p0rgy>1W0HCYOd)E)*>Ow%EwXc`Df8QLd+`-pxXXcM#sI2jL}7omgL zPJkQa&37)p1QJAQuIDSlZ$v&yW-I#FOL`}efI(F9rGs4X25Nl2d?aP_S)Vf&k=B1L zBgdBNF;y&ComIguTwOscHO=Q7=g4&>iJFV~Et^#!f{B+Cug2lPT%cxw3`#;Z0iGEh z3=Ia1Sz}U3w#1;*ZqiG7Jge)64dF{*_nEO{t=*e_e(9($Z0YT-YKjc_XaBt2 zjUDrEBC$Ri$1T0q;zgyb2e)7<6@r0R|1wp0esbol22a7$(;ZWT-fIDM^*gV8c}F3A z@7{T^5(rP2u1$aNMqz3?A@ZO6A2&X%{q37KuPa#9RBWZg{$M|k*siK`rKWIQteyuE zZ+nM_<@HjXZu>}|TgbXG*E4HkSZMA%vn>lRF3&AWHRrn1Q&XQ^Y0zqOb0fy(>SrVK zidS)&s}=KJH!I;^sT0$enyO$SjV;}>$Vz}?g+RedRtuTylNZG=?z}b=wJ@Odf6Xvc z(APtoOprV2>gn{hyTSy9QLK#qhj;z01o6BbQ-mH`K{+^w-h^MH-++pTa_3K9aE-yQ z#|UEoBAB6o#_lo_hC$weY%Eg-VXgbNG;46G%yWA%Y}pOA5Txx0IWc#$Z^qTc zspuuz64E}g%_H@^_Irk{N>BF zbQ@Yy*)LuBtECzq>o;uJpzW#e>6z2g-ax-15EjzrbVa-%nEL7=@5R!BbJ%)5{GE~D zC8PV)j7j}5bn6AvG}j&}Nu;`|c5HznCKE;VsENq?ig>>o^Z-UiTJ-B$kGBS@MDU;t zX60dQF1%{0EG~)WNQ4kG`S|d>(dpJc;1;^eE8gV=?m@oWik&vliSC+J59{q-K4MPI zhUbrc6p;xkMKj0;s^FE1a?+p$buyg8B?Nqt81lCh4$|n_N}?{>tAc6$&o^y)yQ72o?k)Ns12RscTkLkci=iaF2P#N*}9=X zAAtu@P0KPv1pGRByTzby0%>qhU@!=V1>Ix^S>MFo zE-Zg~i_ud$Sf28=B8EodU@*ZEo=h&ifL=O*L!X+fqqaMd#AsrYN8+3u)?y`>Ota_> zQbpgApjI$%{U75wp_!Hce=l2Zy?N8^^*e#$*y}R=q5`lML-wXxkK(n{W1dLJ7xH-t%&dD~qOs|miwxMAK=h*{Q=P8H zmYQ;}mxq}R*Twqaa!ZCDo3=r`3uI7uWrIA+X$I{ri*Obb$C-Q_O_Hc*#_jj`T87`? z5>dXBp6uYuAAG`gL?Gb_N}uJv)8Jp|Qx_ZEDo@Z!G8HDI7v! z@XB*`o;DvpVL5oH@a%BZed0Qakp_6YhO!5@cIRn%HV=!J?t-c}w>R(jEqsIcKDld^ zJ-9on(n=j34wvr*|8XirZX|Z}2KxeD&WKR8S}upjx^}Iwq+tDep-zFX!~qay@$>j8 zDNdpDiC)q9*%$M49^(#9w2aP^1sWNT6V*Jf6VHa!i{yk{85?#{tO!y`_mDDYqh?oQ z*aKzg?^Vr#H9$Zd&cU+s;3c2i5xjI9FpeD-VKB#BFgXf2VP7B^Jy|&cN5UR|0Ew4q z!TF#|B6e>q+_bXJIg<7B%{@!o)M%={*;1q5hJKF@l-GcLOefWTB%m0^BlQxPF5vG7 zk!u|N9Y}$&HI;+oT>FJr$Y-1r6Sn`o%k7Xwn<HQ|M^`UjGViJk96!EtalkUs@JRVS*1cRgIt+VDA6rZNN6xBEKKm6 z(pdn3BHrUVr=t~rT##TTWiQ}0o$GUtbByC%Xoi_aI=1i7I#%cuu_3YfR zcS9i1Gu-lGOC-{>qw7aq?d?ZMkqk9xja&t5d){Rx+TZ zQ<&^HEq?O7coc$?WimZ}PNX~~5@U>z?1^)%!7)BFX@0=~_yDv-QUEiB%_2nk%TG-M zx!23pWG*$47<*zvEvC_Eo<*B5O?HFKaz&$I+HFc#<)?bX@fCLLJ$s>G$x@m059h0$ zT=lbgd6!-u$wJ1r?0&!flYNeW&$aJ*^@a`A*CBU0HBIGN?eo`oYaBkmy=L6&^?AmR zPDdIC=uG#%BWB-CRy+2_AU_3zEWqUHRA^rgN7@fD89JikW)3r%YP7zAFJR)@oOWq` z3H+7bOxmIBKJbZ{BO1nGI@l=ThzD6rA!yE(IHC%gnd~~f8e+F?p3Pzs_wi&~>f^7? zze6;3Dl{+{eXq8@z81>SC2jUJl>xV+-?680C+W`?GlFz3q>ZZiGU_dhR|y+iT7>zy zma;6Nh6Z6;4mW4CXv*R>KCIOu{5hNN-B;>0xIY)8@7O*fhTUl4_2Ex?uY(9q@G~QIcBE~S8 zO1ItlPLXT97Tx3kUvZ7cgw76tS3*j3w0SeSwaf=z`o=dr-aL$MmbeI83AGy0YoFRS z40PIy15jmgd65aI(H-hI2L49t*RPi~w1S+E{U_#f> z%YcD?o=BxHomByejMyUD{+;9iJfE7Ftfg^Z=dD z^MI2qU-XLDVvtnW4USU7C?wz^x>%BnR5Ka!zVP@s7&>%lRuK|$a0u0*V1-@{;E=28 z=MFrT<|%K0lR5K|0G^dX5asU_q>!Pyerm_hXk zky|NDY@~_suSk;IbWXjOQkRj&^krb8awzd`$lb4k3cbE_3MjtxG;KUJ+9Os(11f7s z`MR7O2~Pyr1AYP)=w~=;pC&U+4N(xBiXBW3P#g@VNKGy!nsuggJlbGLz7v+&EpKbv zn`#c=#8fuK#Ck4}%tSJAK8Kq^dK-6dK%ZjEOR8~LVRucJoI9Zz;Sy6h5K44-iItzi z;qx7bZl?-|`>O7}?^&i-D)-3sfBWZ|fDeA{CY>-}O*D6lQdRjJkCkfq2z}{;H>XI8 z`|7J$^}vqd&8aDT@{bg4m2+mANf&@~Pb){?Vi=B(g4>=xT83}Qtl>cSKv%W^GP9bz zf8}wiFbrb++jtfs&dt4)lP1nM6!N(&l>T+SnZwEBcnzC4$A7 zTibg#Z)W#z-O47xt3bdGZr|R_ZccWuAEWo9cSBQh&W2uJqL@JZikNyly{1@n#?KTJ zmCv9}WFnfJu^?Wort}O71hFhl%*Rf4cNLJiL`42KnAA}o@_~PwmdAgmfxL3xhQRXw(~u`k>)y3#WK z@W2+8nh5x(f*zjHc%D#gSh^@pMIv?Hp2EAcv$L3Qh?pD+j_nIj389)#(N~5X3V-?qlS`(^%jeIu{N(<D^f4235TZOm4q4)ma+Ot-W6-m0+M3TH}@>0XJart%)-pARO&&4^Z>FU8tTw!mw<@JwCjK*y3U~cJ!bCfy?gb#iST=YG^yF(09 z@N`*ofPReL_iBcBj!w#$26Si7f03J&S1AMn@Naoo3Qow)7k)_tKBPk5K4??6p1bA-A_KK)CA2Op>CUb!Jx)6OB*4i@ zAJ=yhm_c=evnsq&o~|c7S?qTf?#yxQzO$clV))-*Vp?B5+5jF^xCiX_YG>`WSwut{&IuAvWH4 zQZ@1u@Lv>pKF-U;dDU9TZ!3EU2W9zEk3)c`R>Nb_o9K*A2t-g%G2wylDkH?!JJH)v zGkaS$wqO0ypZ^4MNq2~ZTNB^?-f3_h`swMTWdw0TIi`n=Bo+%jVz7sBIXT|39z~Na(`3-=R8G5n(IRLQR0(Qo zAg`jr2#rtn#n2gjI*yt@pVg)93)46+$Vj=()R&nee5QAA7C9!ALYycTIW?0*Nv%wB zfQ{r40ynOcRvTtj$ss1mhD3k+|2@~CYj?q3I~T{<=^E01$|cZdUT66IKo<|~b7#mn zg--`fDpu5y`c&*hNtjVo_6x3u+QI86%GeIVON-ZNM)CU=rV~=Z8Xbl7lkuy zwS_uetzy-x+6<12!(`Tai>tr4vAUsQdF}EiFIZC12vtE8i=;{w3uAeSsMJDnG09vJ z2rc_Q7j>0Lo(#Y~R%Jr}mLO1oev}t+xI!?;VLT~JFA#ImvIR_qD-dwao=MkqTbM++ zjUXmC*z6f%l$f|~0Ep>%xC01@$t+Bo4Ks-&Vk}(=ANV{?We+Gg@)?dEIS{ZBz`~SG6WTYn8Eh zS#e(88Y?uL*L-hvS){#e%NETV%~R*9k*2!@vmg_E z>C#89e~@(~dRpU$tk0u+N;WoBz1a)G8q5veL5=wAS0F$fm6F>r1mE#q5yEsO==BC8 zE;Pa0#X}rwC{BCAjm&9c^I$qA5sHk83MskrVGiW?d(j6}A5)jB(l-kR&^Y>{qUd=* zdCWlJ!O_k$*U(PTe*=DKc5S7&yZF+rrYd)jghYO6*|+34K%u|5k-SHc_?sG+TAR}6s9GZs@wZ?ikgL?`)<{L7HLG%S=SGLKhV%I7 zBzJ5iC`w7|8_P5?*yIRg#QQ7adntLnkehi+pMk#SrjrhvN~H|LH+(}pUK?rX zGQwE9s#>NH>FV;KSjc%|LWnV@X_|>?j1d8mUlozk z48u@l7;Ck){wt-7^*CO~hjJXop{;Fgr{3O<<9NJ%e0+L)oQ~tnZEbs;o*t*|*ktwY zy%T=4o%37}5|Rx*d#&&L*7x&%@3(bxTg#(sE5Zul)2^C_Ybq*Q2D;Y$hCjQOq<2>viR79+c)i)o@9uDOb0dw`Ft1 zZ(cIi>;*1)2)x#G!xd?@{SERcJ4e|!Fk(`bTH1>{jXJZf!ggBSH(5`ML|;8~wpTiF=$hC>7) z$jIn)^#*2_F8j%2r#u>k&rTFqpZHVzCcPlje5(W}n^INNa#{$Io2pE1ZCO?sjew&yRy23*{Gh+Nt_lvl zz1c!m3E(WDs}*$0#3Wf5$xA0qOvd^8#5{j(wz3R19}}?n#{5Q?!+(l3WNp;d7%!5! zGMTLSmH_gON}*5%VS%*OqZ?MLQJw$Sj|Ydq+UWSHj+uX4*r`7|2AHV8trPLS~szOJcLNU}V0SBTx zTQ|l6!DGK$^JjE^4CG%2q2|@w96TT}_KR^2k*RPo<%4OSY}S+|!cCoPb@4aR%WB*a zgZUvY-@{IWYUPls;5n@ULhi({h$kFk17WqkRl*`knZ;hnqpU&%UE$17av{d#l*imi zb9$MLM(Hy3(xuW`r!!ree*ehqg|r!GQ8j1j+=P-6hC5G`>X}K_e(vGq19Pt{_?avNsNaDsTH|M7%>T^ptNKQwhem%`!V(;_B{4Kunksy z@wl`+1NJ$|KzqOW3lpGKOG-0@2GpCZg{x7x~Hf77srl0SN`1p zFQQ|it0@(IBxi~ci&lAoj)uqtTyxro6Kr@B-ObSc=7ss|@~7{$q#Y5iK% z{6LxFECbWKB*`)u6-Md{WE(6{kK(&&^Q}96=M;w>bkZ}1cv|a~eQg3Ga+`|=1 zILPaCxzXpu+mp3jS9~{3USKf)+;!}EO$hzppxu%8E9wK}zVi-f^VtFEb$Fb0xZUzW znqgb5JktyVRxs|WKT_lO)gEaC@0|l9H3Sz3xLk8}ZTz4+N(EBy_5}5KxR%RJ=L(%S zpH*jF{N{hhc+mckin82(2e8=u%Df36sN`mIg+a)>7NTBuqSvZJJg6tQd?7CYd9ieu zy{V^v^VsYWopJ-o^wdx4PsdO7D%E0_UrO*FVO2x; z%-kE0(mpf#fHO;-$@JWkTC4#pw36j4tWGQ{kV<)VytyNIj-57j0M6k}DK80d;2c(f zHk#SVUX;16g!@abDIOC1qlsK94plRp+VJsp{{gVb`|&1J?P=ot^YUpmQuPG8>U-TtV^snev6cFGz ztzj(w<+~A_yDaDOXo#PK^B!vNiZl!!-kBI$(Xsv!7~i|ERCkFL@C}UkM==agn)e=O z7oo@2(-}coLFL0#P*q?Mrl%KZa^0VG zOnd--+o!K?Ll$_t*I3z=_>Jm`H^-V~IIh*{GKyP5SAo7!o!Hi9H4g*9pa30*b!`!&WNm9>4GnGkHb9& zOG~tJZD}cN>F%z9HTPvi=Fq(c!~Gc%Dl`>mCnJ5Pe}R>vf4?VvPQy|}$($9QY;sT; z7bZ$luQL31^b;DI#+EQ(1XIeDprn=Bj8Y>5O)$_-{$kcciINV|${1ImEGgDKV8vaU zCnVbiu3$}u16Yo2lJFP73=!ECYunRhCyZX!t{i)ccvs{RVqhx-r zz)2jt;bcvoPG>D;XQ{!iO&u&&rraqO8pm(?m;#G067Hp<;hCjT~7whrS;q=KMI^$`2NRypC{;*K35Gz|RH8rgu)B?J= zyQD&#*V&;W6%x5z3vuP0prqYx$lX*?wIl}2`CJJp$<4`?;u6EFHQyYMW)oZ-R~1&u zg%`QbhUdXmDC4m&1cvd;QE%(UUP?-|=o05DVPmozoz$t>*rgJjDe_5$5HL+E?1dSo%nr}j zvzc<)ee~E&jV|R%Qcfatf)J0aTZdrAB&~`n2&~OEm&R3Bq(xUw_n5U}&yLrD%5I9k zGJ~$keW2!zsf|m}>tQenREeeN*L_vi&A|V-4MJIA_n281(8eemStu7*tN4eVKRQk` z>NXWdjzqusB>HS~sF(HeE)xp7$EgrI4NMO-kSDtxGMvlf<%Um^(_@fu_MujCf5pGO z_R5gXb9`!MqOPL#-77$mxQWi&*!idx9FfvBYnWDNdjF75AsOBg6p^H&t&D8z?e`~6 zuaEjR!*hKr)RkWUO}N4Tv!TGTZ$fWe`ZKj#BWRX0KnST*BOj~7R#SRSMc+S;IlasC z+NCkUa&~N~My6T5Jhr~4r@cM)SbtOhmMxXB%J1Waxfep3^gfb>NM>pbg9k_^VqwnqF;$NP4puCDRgNpH6?*hUpLm2wRAPY}# zuA^L%9Gg)U2FCT3mFv;Pt070gg_O>K+jsD*wKbM?Uy-}A@yuM7D>4Z5-as}k=JA^n zm%T2xXVOkqF34nfE78GP;Z`g zusHOOt*1`4(vNhnhJ)@wXP=?;>p|=V>_zMs*e|h{uwP-n!ERcQKG{9?ubsPJdPxne zYM`E8Hx+)6>&%XzH1%zfFBRg{laik`rVSOeZMIQNaV;>dr#_i(K8Hy5LMeEAqRV?F%nvd!a!hI$L9wfiLbje zAj~Q%gjzVzxNhyLM1w?Q&_JU#m;w1-JL*xHA>P>g`mX>7aBX?$f4+}CLsv4)KnyZA zx}81Y&7FxGM?*^2*Zai=KS|Q(iP7`nP~u}}KCtwFN^}8!nAih*5amx!P6D$}kj7PU z1rx0eocvNQ z4Moa@TI$7I4M~PfBgPiKLPMSn2lO^`pi^zGNLz2Plm}F1Uz5g==Q_amTlZ+R5Go4P z!r@P@Zr^^cqXV7azCH17IP6)qij}r~JI2E7=nm%?`vXjjJ%qV1sWq*jq{41bmzK~O zfm8?BLM|YalVr|da(y7#dXp{Az6XP=5|x1zUrG{pDoGa4lI6@&*7#HrEYjilucuI& zDhS0>QAG|CMXFid_5hce>l6=A4;d^L?3~PzC?R*qw6W7P#dCTq-m;DDjJ`qOXAAIj zo}?_CxbYA4_qQ4xeV}OHhll>K7Cb|D(9q59@i+Qh)x)f9@t!t&*vkrgoNFC=E?S6&)>T%n1y5|y;@osxy-jenw{GL)IW5=^zI?TS3dfEWLOfT+RgB0X zo+E?AXjgHyz~rs-*?a4}eUzk)CT=eWuk9qs%2t3jm>DY6*AKh^RM)S1XRh7`^hggf zPhXycuA;XK&{^Na3n+e4jlMn(iQY@rvi@i>kNU;Vos`DMl3vGJWOh1 z*b5tzg7ZL5GOtKWt*LAdutiI)>c8I`3s|#s)?csQG|R>Z!_~TuLCE19P(XPu>}fLB zgg4{($?j!UO((ectsVZ+DbGzOcn=)-P$T4I?9&i3F#*|`!d((}Hnmwkm8HDE2DL%u zu%$xZJxIW`XyRrOh&|2cSF}O6F}Yo{u~*?LGDbFkB;ry&hE#X0Rf2OldD5D}F3Rzk znWo-r?3x|!I5~z6ozo}`P#pTa@3Ef+g*ca;C$;1@p#R#YAToG@wW#02*q^jN=y(VT z(qNi+7dX8vgh=$h5l&J6=?7gkBx&hMxMBuZGrS%DTNq=q8h1Fa5E^DS*2CCFI;ZHZ zX>63`ip8?fh7D=5wEJ>mNfZTfU$SD6<|K2+S@-S?0vzJ2-y!LXRh1Qd3ivUiIG+gEF~Z=~7k_(y*8)GIe4T zsk-Un?5=8|rbZjN09Bbbk=C!1mg|?wU~sGo0-icBcBwAV1_RgkB%u+ys%TK+?K|PpN=@)k(208pH&jr z)=~aI{7ry^D55?R;{eCFn2+)S&k_dA%*+8zu4+FvjrCc}^}3?GJh<=V(UUK}2EXS@zx*X^TxDD3a>0Pl2djimp~nN$tyZ|UtF3JUPTWsa_x;GC?>x*SQc`s_ zCXl|bfsR;U3sD(qhE+uwYaWflBzdz^sohjNAA7z!N7+a@&BD5rx?XW_6i%?`lRk!p z0?T~2SL!io@t9vVF(d|*o8|-hBRj-mbvBvv_ZGinZ)?cOXKR&HQeB;PYX-c)|5*ln znHUMyp5yXVRfbDC(;1%){nZCwei^7PT(|(+3krX@EH6XL<#Q?FMz^ahKnU9czWVjx zM%amSMOx#?-jns6&LQ5t9VhHps0!Dfu?sF*HpK?gWf?mWq$=9fR`gCQ-ykj z7wL~BKEHXh>5DI#Zr%j`FTNOz8boZK-9N=6(D7U5?0*4@tOB}mT;DPsZd0l(GHyDN zBUNg&Vf>UB7YPbiZJ_M$w-{xVzr|WAok4){V*&`UpixL%pgHjR&;`y8!i{BO4`4sQ z$}v0LiC_4jT#jd})p(g+kJ~I3oP%KkfdZeK>v%6da=w4;UJ&d3l&tggAyS&1YGGX2 z^2N_VE~_N?`&B3R`Qe^kL+ysU<$XHCt>sU!e%4Awcu(`=#r&uETUdYWbVTAuI?R?0 ztfnqY{o44x%|>$!QHlVPF`e&L;z6 zGxI>5|1LDcIYam;zcUZ3pmCK&`MD2D@-zATe3L@);Dg$H?Y*}?2j(U?GqPY>cbJ>SfhgcG7DJp{`4+?)j$VEqF%~~ivY-^1=w>rP}pSj|Hg%vxQm!|}$ zHIbkixuM`05_v4X6*e#fS!2;q!mwxPEV^rom3UbMGob)NQ}foTo-?439u}J|Z$4q41%ihZgQJb$6_}s%Hsv zgQ*_ct`J_$NQ=av8|{*?xys@i@Fd^Zn%p_WUvQ@m(5mkS+*GU^p>@0*)-8hi71`kGiwyw_MHv0>=ulC71)#TP1Z?xwgpqP*s)DXwA#c zwl39bNh^7u8z5SIw{%f!{(e-7MNa{`1G%fX5;9cHDX2$_{{m#wI+T$U#hiPU-O`OT&a>`7FeXz_N7zO&s) znv6`Q7fV#iPLX7Yvy+ltI)6n<&tWcq(vhhe3P}Wm|t8vPXvTm2PC-P3lYVCV^y35Ne&1#l|h7f)2 zKYlQH^gS^o*aE>o;#{I1_3H`1habYm7F{+Z1^n1I;{>^0SKW%ontz)O30#=9^=@6n zVQpr=*F|fB=i6M)6#F`(^D8Kw&njG&sm$dUFXI;%^OvzCCT^W1+3d;WG-$SnBnE-V zre}BIi*-J=Msy51r{R*h5$P%9Q1aBvV%&JT8;TCH%Ak_RKhmQ?v$~!t$U9??hFrTG zS0cx!Bm2OeHaiq2hH6?@grLVs1>kM2#22_){z5~I!qTaGire5c&uAv5P9D(cAY=`C z+zDA<_t01N9(5x`NoLK=&@-=we}h(0>i=146!oSm^i+92KT+usNNM0^Itefvb@^<)c1LaSTYm2Nxw%MZ%(E5y8~^mdZlEr_5#^j1|K$VKmOmf&pGLq8foH{6-IkbA?D6{m^A z*y@rFc(E=fhI~P0Kl;ztDE*A04>%`?Tr3}xQ@&nxvi`W-qF%bRSVWS=zEG&RAsQ_! zF8e-W!ufS3?jyd*78{Kwf{yl05>Ucg)MU2+%un(QQelm8A$p{KvBW^npP7&UY2OTd zp7C@pOJL^Jrr!bG(-s=MQ*#MBE$$bBdP0G)K{S-{Ydm$V^EXo^hh<#x6OR zmo;ehyX;NX5gva%nr%I?uV)X80txD|czi}yce&fEL;FFY+2^sKn_y?P+im?OZjSgW zcR&GUJ7JCr2l=CDPiR0Rks4XyWK)B{7l_}AvDb%Ao?d_9?q>F0l6jT-mN?6FEhOZr z3#o4`O;f9wQu++uC?%0jbdGbHFf#VAn(_m^bT_HDqM@j+!dj%sU!Gr4ArYphOCD4d z6&WSQ?~5uH&QjV!VoBkgU$li4EBU?^OJ(io_vS_pFGPP36I`h`qUQ?RD+%FSnqb57 z=e?Bp%mj~L2p$JB-~!rd^w(6eLI#)JjE;hLjZT*lnHh>w)L8GV;m zEK(>(JuFN7Uw^muX*8)DHX2wXNk2+Z15Hh_6YVGC=U9CUO}O!$%tPW8pWhpR4(5%p z{aU={+{9&|sZA#cK~_V&kBW&s=sM?3He#gh0j8_aL1*u5_0?r%Ih5JV(O4|19M$~* zoq3JMQm$4C>#`^fk*t(Z0d7_bc#*`}B!M{6xluY8B!#i)X`>r zoQuBjoO~tt`lkSXGLo04FueDgem3R>m+ds=7jMXg%nxr*hj1(00GvIiKaT*6RhTH+ zx65NhCk%e2svX{75KNG_0}e)G6V20iu|wc|Lk7tu-00$~6~s9nB-^}+Pc6OlRijoA z5AYKk;laCnFAxLLkN(0;EV#}w&aY1`!$)Pb=5R`XxN237JrH2ls6;N$si~+~mb2`8 ztlwO0dhdG8o4}NmC4m6R!qNScIp>3o6kAxfBv}$DGZ~qQ2T+>c*OsP5UpsAD%JQ8u zb+uLpZM%5r{m}69;nVp0;fBNwN3_QT3oW3D2XR;Z`>?vZewnlqkAGp@+*S?Wa>bAB zD*I~r3-nU-*Q2j(qdeFH_QP8Vtaq%w3dNiJg~kR}8Ex3w;80-bY~T|5PiB277Z3Dy zxbIFy+C-?h(K7V__PokTF)6WDCvc$jZ3st#7a60UJ zk>>hU1Z3zp-(q*rF_{Nc!EK4pobQcHqky+=JxZfIZpwdDLO`xr*%(HD1G_^j{i{$n z-TXb}^99{3W&D;SQeSp(W3@q1$+FVxZh@77T?Zm3(Iu*2u?ucKn zg5h0<`s0N+s3WOc6jlkvY$1;q3sFDbV3=EczJP~ebfNbkfZpLu5f)|-oLCfVrTH}K zZKa%b=4M--S!!GDTe;Fk?SieST3Ky2FSjlK{(*ogS4w2~XTB7Xq%xYN;3J z?p2VLlKgp;E12`}R*?iDw?S`bi-xSNgKB3@AMethW6^zw z@vFeZaDl&Dd{?0&GsG^VMOOTSr4O1ccT|Z_Yg)G$U^e7Ep9{sRgy3L*sBV2nzHdrW0zpESMF+IB- z^jsBktMgn23K}+tKZg4g9V~JDPj!Fsm!i7|m0_cn)y&9y8*GY>9uLo=cYs5h<2P}3 z$8vBscd*{$B3M3X{QI5FOVEQKk zX%?2XxD_|W@ia%NW6ET@R80#Rs1zHdsC15<2pY+@7F;I;tPA2QovEryJk@NiXy4a_ z{3l)sk4*tt=$KGgo|#(&ceL9G9J08$S^F7Y6EW#?tDq212>m-$2P#{Dsm)H3m0jrk zRu$O`Mo|omvPO*?h%u&Vj3FW*7XeXIM22A)Ymp+g-mO)~I*!9(J3fweJnmkst#zhu zkJIt=`1m-E)4DtD)V5ol*&a`;t+t*GVSRb2!62*`KCM|v96dGFdq~I| zRkE|hWCK##1fy${5=8aW^6m-fCzu7MfQ#Dpl$aP%*jdwfE4OMvc4RfCb%g1sm*G(9qpvj(r}G;&Pc{ z0BweSpl)*(F4fG89=dt4eGU5j7P_VdtiZm(6@zwcDJ9**j)^Y@`n)CoufN~QYW&rf z=HnwVKV(HmDg|7wAj5@*(LKAS5vaL}-9+*4UyFA5q$C$V2k*A{c_2k%BTW0!}2LcjTBqAxP%*(S{B|<)5 zGOI{d5BE+Ce9+*nNtdP@b5jHjj;sh|c5F|5E zQ@c7Xpo~Q-OtmFQC^ml7RSNlMD4{d#{?v2fp#Km!JFfDZS2kIj#Ahtc%@%mq6Cib| zK>}LKn|Ls*!4dI#Cn6Q$5ExN`@!Xg;;O0SlsJeUsI7Z;;hS6y^V%hp~qY(|^Jn%qosnR0d z9k9c%MqB>ejCAUK6%M%D>ZUtf>SHZNiUPD7p2YB&C>YxG%5YRuj49)RAHEChTydf| z)bQQ@pM&j?zkv_?cGH~{h`uz~zmq36dW4NtTw51yS<7jgs)K%bVv(m*>o@S+ZoFZE3^Ine)T~!90Uny?lB7y!wAa zYdCb|YINp{z zyYs4Et|`=UHny0m!X2Ua!kbTrw;Tfx(oM}49g{{mY&@PjycU|P zG#Y_FN9VMbsGB zuGhc&P__%^@=TpTxzbFW25JwV#bI(3gC7vamFQZhi5I(tb5q$mjLFP8Z%cnuDaG*& zVK)qlb5JTW<2O(j*arU7d!fhs27}EAqxVSL#!X8d6Qh;U#fUQc7)va39OWB&*3Io+ z);YJGpR-I-zEG)C&YfFMil6eu4W#-l_nMoU=9SNzrUjnnxyRMQ<0$7e8T2^J`Ivh= z&eoMETTN~i3XPMfe~j0tCi)sZM&-uesi*I!x38fZYm#HeZ)@cWKMjXS4}PDW6gU00 zFT95i2VTZDZC5}jm#{cOu*KLx8a_c6(iFSEp%D}^bSy6i@1=8a)D3*ZYtlR^Xe?c| zsub-9&W2t~i_>N)o7ik>4m-debj%TP7pS+tuiqEZ?%tz62@ZHc_O`$nHtMU4LI3KA z=5+gBr5O|QsQr|_^raL2F|;)U=dg0KJHOlr(*_9iIr?;ws2>FActF!xkEIs!W(1pr zkm}mIMn!MMuZbNOb9m(g@h8;ZiH}33RrT#a6|{!}U9r{6{<^jCEQ7YC{=x1eePe2V zjig5DA!nD=Dw^4HN$;vvlIZ&Nl0a!`eZ7RsWJ>HF&$G`;6b3_yq~xir5!18_rRix2 zm&j)%l|3u5-7>KFPJ0TAgdhVWwa%n-x8QN3%|!LLE-n+P*O_tn9h=&_DmuQXi+A}Q ziP-tkvX%7}Zd)Ufw#mtretyS&`iSSX*Aa^#{pJ67u93h?bRRIyt%liY8hqk1_qq*y z+1u_4wj0}F+U`u4E^lxJBjrsytLvYuMH@+{4oZ2vW!9Tj5mKrJoHxwqU7+ddFtkIe zUV$PzjOo|ZyX|i?k46TzH68x$K48HwdY*s29f z>Khb1N>T9?4fRXdqCj0uod}2++GRh)RSIl4 z(jun#-4YNw$uz2Y(rroN=n_2E(2)xBhuj8qHox5sLBJJAAL&Qu&oAv zjMd-Ji7si@X-hFRt-B!fZ`|kGJ5*5aL<6N>N@Lk=U~4g@64A)`I1s(@2Kst@yy1;E zVu-qag)J6lb9>p&az4(n?YL%iyNvLt8GW@Eyu5cWg&P`1=h>qy8)GG-gRVf(T11wf zE@{`YWw0fqGb0*>siXvfPe-jhfsjZ7R34+7DN8us3rt1%g>h?vE>}({t7LgOO+-s& zLlXCNSVbwH1fkAW%4ujSRjU*jt7U9x)kmS-5CMefW0*)ykS360rcCM8!%o_ylx|)aW7V*A#;x zkUvv)30>A$z{?;V-E)Nqj^D2ad9cW;K?R*EFr>|Z^HD`+0WLWJUN)t3QaKzsCxh^L zJmrMn*YK#TvR%fg7v>4j;N6?FQlh0vT)93n=j*?ucFcl%A4%JYMl3Uv(cw7v5;+D!>ff7n7=%B6ISYYgTFzx0tfi&xVzU1BEVOUZe!>& zSZ&~nxSZ@63gW~KNUW`m{qNcp?Nx9?tgEVVZ5f@S>^pGkee=Z}T$mxUqT+2A4|y$K z07rqeA=b5F&xuVZs2%4<*V)HeN;)r1*)Ro`jLvp{_44I@KW=%Z@|mWlIry9(6ph63 zdUT*=3d1bU6lAdDKrtHUOYbWh6dX4~7U&buJ=SA$g+0l@`vgun!PQGiP1+8b1;Ptg zU~DkZCWOq4lr%w3ft?g!Dp;u9>I{K&0>d(n$|1~EabT=URt1I*{S-056&3)$&4i-N zj8qORHCii5V{Zwwz_^t*tSLY-qVGuQ=sYFkqH?p_0^j$6@Ph!l&)f!f4TuRGOTloi zNgn$Ly71Pydj;8-;fG*%%LK~Ad0cUBD^i?vn&so0Q7LS-edAmC1PYx9GWjz1KzmnmK0jC(m0=lN#zMtnn1DDKV%taS32h24Dj5LXSTV=xUwBaME@KJ4t3V zZ3!pR8}wquKHf;cbRrF`fMQ2M>>|2_?jVN754|9Fmqe1A*BT3Dmcbjy5cGnZN_AID z>}ch4UN_ub-QD%ayPkF$Av8*i5MaO>b=elG`Sv6x)c(QWm72HMdc zbkC~27L#eIPP2Rua=FYNE_}d~<+|38Lr9trJFoFpRzGluBW_TL&Qz>iQ3Kf3@V(&L z-iCok*Sq%b8hD!=KdNTqD5*avPB|;-jn-2P2dY`&rRowbXRb&zD`mzEwR#rdaAw&T zEy|je^+Wtg9E6dmg94MG@+5*_3L6h?P)+i3f_QUg8gl`$xD8GN;dPHs{lQ2x4}q2{ zzrPCY1<)Dc@kTg!>}_(`Qdd+*2?}?iM7N>+5%+K=%qSr3-Zb=z#tXJ`QqkA$YOvPg zr^XnCsWI*jZQByq#2marY#SmiFVGDfK-a^0|uBZrdIU?o6^4MwU zgoFs^a(OuxbnJTuI*Puus@?^o9~?Rlu2V!?wuu{_RjWLhZ(=0S*t!@qnIA0(^zMut zC4HYl^fvn65AE#QeRQ3t?t1hlPRb=1ACj zjXSQEv2a<2)*;I-EJ<|-j>(YB?hluO8#p*Uzp$dVVE+C<3Wg4$uMNs_;*~6%;`H$= zh*u>-B^q3*d)pEYINmnIuD>cF)gVRQ#YSU#KZuBUkLoJ{$3Yp`Lj*cZjPM{ZM0x_v zUhH;5ech^JmRbS{t|+6$v2WhI0&*e5cA>)_C>Zccow}8h!@vozkw*_jP3889&kk|c zrl1+6x+e1tm#rgF2Cwq{pt zQFjCKp&cgGHE{96e>($C@pxUzl6ys{#?9$UX+MV4{#;;O2wK4RUvELCP&TGoPR zpB>E_eUgOYDZB}kR+20b(rgHNs)62ga&nr#b1Er09mq)NqN#idahQ0b#9l?GY2<&JQL$`U#TOWNdpgX>hW9G{ zi(L1%dc7BWhDBJWMoNglJb$2X#7a0yYZOar;sFTqrw3yIyqpbN@lm)w588+=<`p9;5+ zz16GCwwte3k>9d<^Kjd`mypjHia5Y+bnBQByMQhN19f|JZpFD5fWYMz<5XFQ2OS*V zexMwP+((91j#0H9-%HtVvb?1A*uWU2`ZVU}i1YG#EVZ>ggizQs)Y`gYMbEQFW6w|4 zytro7s-8$NIICyYQ)v5s0EDHxK#L_b+Jnx1Cb=`kOq|NM(b>7#$~cq8WS3ADg};%^ zP5{N|q5{$s5$h-ifi6;_pCwQelxzoy2XXdvI^jBd+7)T1oW3*|ZhHan$HY)P4?9w9 zshof0WpDv}cv?lE56}85J{$cG-6#w#s{Km2M~=an*<3L*$iV?`VVM&3;&WuLpPwP& zu(5(NZKlg{_fHlF4)|)rJg^OM3Inr?RNynm!y%nlrBedQ$0gOX=gs*OlQTy8mGiJu zWwzP#Xa4(xlJe^LS6gggVp(%Gmdz8ab_0GN51{_i6x>knN`b6g<<~Eto}KmuOjoeE zoP3F9CH|~hr$NUHmvNX#y(ldc-~}ShoE4JvkBUqf(99kI7tB@U_Z$VhJ~k7?_CkIi zhk2iT#$moy>R6bGlg*6fLXAlg#F6T_WZ2BznR_q)XoP_fS{8eI5{7)llgDzC#fRD z!pUafaj%fnDHLy!DjpJp7_CI&@itOfCl}G7 z+4F-ajUv$>`jcggjsw8Kx8~yFEYfrUsCrq%ftaS{x=lF{0gqZ&If4@B(&tl^I=o_2kPWOE{mo{dyR*7>~w5B!I#IqdP{SBNy( z{~E|p}(KHU`$Qnq@{U>PMj&gPr8-=2ZD)HJz81#K45~)F`J_; zgXdp-$N7ai`8mixV=XWwbV8A>vi$jXmnkD9+ z!VSa#?*Y-r>kap2VLX0nYJT3LUS1#ZfJa(^oBVb4zU2(aaG?k6)6C;Er>oi`lxCLA zn?Jv7mRen=C`n6Om{qngS)n)`Jtmoq4`h<=zd-&ts2vC1Nv2?l1(bh7fhwpN0S%OL zfo9d1NTQSQ1E2x*xk5Z%RRkOGdP#FBRE7G%n^lp@<;d~+uK^EW+KkvObRM0#5gjvt zUD$;Q6Wm{0ZnlJ(#wG!ejBdC%5>z;ZJ|c%0}Je+dtrq_Vt5ZcgnosLTarcgHGl z4>(3;(!VFzdzl|G6bu#J2cp6NEYwUl3FMTakk3N(MH(Q&Utg-if>xeZ_`B1(u3(qWo-Iart^*mm{1zh$J>Fb9} zLyfk^h@9@ZxP4+Ia01=nvK11l&tJGuU)R}Ln4&M7I>OTplXx%0Cu#yIxihsx z!z9^ELe|jugZOBRo<7{kT+3raciM1Y$YZ7OdaFP*&`A)@RY!B3<8^B#IFzbwETW@g z1Tef>WUl+4Lz{W{hy7-N5TtGs(Y-k^Qw2T2wXO(3ggij)@Dqg3;(e|r7_52jg>8J4 zdCO(5jDr4iS+YDAInpBp(HlUjiXP(ftJ}ufL>vx;b{4EDO7|NX(m&&+M zIWIT5MOuwE#%vnXK=E;reqHzaXjIVJ(<7*Ky9JK${P}{c)Kr0S*|Oz=!nWAwN*QK_+=i-e%^h4w zw3Z`#gh1D6zB$z6t}*J;B@dljh)ig4!v99EfYsj(u62OldAi7Jc2%I_!huMc8HSMs zoTECVM9~@cA(op#FlI3<466TbAlZG{zc>{Zn2L*Qf4p&Ht+S${wr~5&m9=Skd9|yz zZJSp+?_bR%-7sDYI2%D?zdgb4Sp?!TeOj$t5;uWOt$B)rE#iL@PJ@5~SxnuE z^PjdAlMTP)D*%4;dEamb#Kr0b>xN1_RS|f>XQtaNKOp~LUpYghYi~AQAPPgJKOrr! z+peIk5guv`djjZ4(Cugdp;pw^*WVr)27lY#7wsQ@c>GWn%+x?4yG9iWR`GaFKj1s< zJf6o?R@G<^c&heSLlzpmWyw>O4*VAR^3rYP9+UPPYcr4JM18b(}mtwx6qrHKrhuiql)exX`h*z1-0$)BH936Bz4ya`iQ-nWgurh&d05@ zNESLc91IhX^DVGfR||6Z{POZ5LDADI+GxKn$vUHZ%>17y&W_Ked0qUJG#@yOM(UY4Th@d$`9L2M^jRxzS*-EW2 zOUlJ}RQYYldN3PG)KVgY!+s}Z_qlE^?EeezkPJ!{FdDWyLeZ~QrNi-qq+qX$j194+m!(nj*Gkg+Nv66f~+(p`@YxnWck&je1 zMP}FK^DUmx;hR|%I-$zYrVvoO=1nU%mBW|%i7Qu-=RHDgk^80P zEhVbL?*LXnslOTSh&S}9$;DFmWbz82C0MCOE!T90!nb}#u7?J6oxPjoV*HZvZ;WRx z1#VXwp&WniSIXzx``fo~S2i4IJ+ODL@|iq_;dRDy&nYuGx#WHtQVu<_CUjG%d#8d6 z5}m)MfP|Cu$Vm@HK3i3!FN(JniaRfvk|ay7a0&z@Ln2N%PgDm3%9nHZ%5;q2T(nXmhmV6jkdUm9SsdOv*Wg9ZM-yx%E`4TWV;K2yRM zfOfyn=SR)%%D)qbGSZcBXPwVixAWT_V*bA$r-+)r8Mhw=p|c*3(c9y81%l3AeGa87j8R7`X#RF<=LcZ!^;S{NE7Cmwp?DIbhWK5V5M-fB;|R2|0~qYkD+fq z2Pzwv#YxNZes&H0u7Gu-u;3fO0>ej7s8wx109Jus4WGj%_!^m%Tuq~Eb8G6eVY(p4 zmrE|a^VtTYH*Mw?Z$l{1uh1Q2X|4#m08YNr6{ewDf%a8ReRLM#3i~7}ODIe~#RjmA zip)Oa5R?y^O6Ls9M0jaqpgk}+=+MudTUzR<+v?x?(o0cC^q+Er%})ZM<39DYMmJM? zj8J8okIh?bk|T_qz$6rondr0rSdb)^17zzaIfF&hBDvGV2qi>jv!4(lZ!=GBBX;Is z*=nhF)flKSFGP2a-9zuFEg*Przt{BeTKDs$87wa_u2^ky20^IhSg5e6QS16PVsZIm zLp~^T@3JX;hmKF+BXV4-;^xS%g&ZF5gFx>CoU=;-WqH*;cX4a=*+JrTKe;kK-XqkW zy>lj1MX2=|ecG_0bwS&J0d^n$@=t%T5-D7+r1r(*=bG~ULnrRE_{w-7>(U**W6y+- zpUNRJy<676ygs65TTl}UYuw}vF0DCOrCfb=7u=-fa#+F~Rfmh>3cL5s#$FetdULZp zd#MB0wKi?5N{Rl_ZT5xkEVNcSgf*ZFN-gEN1^3=3KWHC=%?P2Z?6a&wQfa6eT855M z$*3W1t>u<^JRq4nm(6xPqt&|F!rkFbn_LAtor^I?o|osUvRYkBwuiQlj=C1q)NF8V zczTfSsmuiljxhl_DWaLAshr%^%*oD?im6REDK)Ir%c&nMiPWPr^a-RMd0aUenw_#f z79^5~2^qpxOzA#Ian2=F%;Uvj&@EuS{j%O{%#_Nblrg*~tmWtC%M`VR#<1Uv8A^lU z(pVH+M26(8$6pFwE$pCx6Bg98Fq{VAYH$hZV~&G4FgIV2nfA>XH1HjYdv)Nlu8e&2M=MO^2iPkP}t?1Bk z(gp#*v4RAi<%16<{&U7V4QH_5P(TWglrvr=)SCRIseiwB@7~R=Qi}T+kJo2qd-d%+ zQb+BO;iS^S7i!P&AKl)bFU`-+61`<;i&+2M*!Usj?#zc-a;>RxtyVkgMf^(?4Dn?BN&_VCEBL|*gJ z!&BF+-Q8CBk*kgJ2HPAlb%Ru%;j^ZQ>$u>?`SU<=;ewj#xF%FrpixJ2iycHvu>#@D z`V=nw#5PEESQDyhCccfzRa_ryqA)c*Luj=-d3~h+_qW@}JZrLWPD+-{d5-iodFWcc zLhs8EP8fRH%m2z`DQ683dSH{-4E*jxpAmhj@E`+YG=I-wkFoTmekx^jP*rA;fZykF zgE=_M(caw5GVtf~=gjf4ywlg&{6sNxyq=i`0xRRhl$mU$AyJRWo?`7AD5d}^K^V{F z(bFoX0SGj2@?`Nh`JR~yx=U$tx$5jsw4mUPaX ztUq7>!{+DF-8nxhHadJ7?T+nxBPLU%yAj_OI`u+m`2UKbh?6<^Ykw-A#Vs+n-a_{~ z4w&Q_0aq$uwY>$N?=nCfkL^I4b+XUlxbTG(2wB0};n+D#XKYN>pyA;HmKcYX^$-#; zjek6A?)*ka!SkhX7ZL9O;rl+5y`R(!Oj0*!NC{xDWLI0ujB^X>@Dw04`0DC}QvNJ{ zN{U%%e#$gF?v0czgG^RNCat?PI0pqeaso3J0sv1LGqu*LCDzM>_CS^D9r{-B0%*)!_5f|7d9UnxfrMiWgwzT z3yk0GdbqCtvd``;);e^W-9y8r4MBULn7Pe!{iNrMM?b#?J@mHx&A`u^GogT+9WGnD zv5Y2INa)_r$a~m}VWgw{NE`XaTZeB(rhjEZq zMUG}FV9rp|od{e9Wl31R0!c!W2Gh#1otLkJN9Bs>BM#1LbQF@z99 zc#DXLlv0M_P=-=!(Q0kA)>_7Lxn7UQV;#q_wsw~7?Q|T+<8`^bJ>H()UdM6T8QV@z zuj5$qdH3E)csTa5R^B^dt?&E(@Bjbzi&(%R7QX^iX$jXq? zo$m{{j13IgYux;-UwBSD(jFK&s?3^&6&E2}_JmcVjwy(cgEIIg^W}*}O@rY)2OMbK zekL#te)oK!*EEgJk%TNc?p9m#6%Ye{o-I^y^aKAsgWh?w`*d;MQ#ree<%;8j6F#H5 zE6@rKLK``gAeJBODls)5gy@_=8(6zKMF&HtJBpO}Y~Yn+p1q+zAAQR){r0e>HFOLY z3%P9>i82UX)bf$5WnH;hKC@jjng);2)azM5-eOFnXUNjK(0A17oVysuJ&AkENv@!Z zl}R60n#W7RIm*67t*-*pVO~1ZUuQ9uc{XkY%Tf{&fU2ON8dN`C7+!orflj=@=o$am zm`sFzFJ}cqdi_< zvf1ebE3#IvURcYh#D{fmcqN&%OP(&8W?5+Yk}g4jl8RU~$;%>DyPVDhu(M@&M8z&S zx_JBOOy>ZYA)ndy%;?v99Wj(k#1;v2sz=c`=qnZxFuNUJ(LFA%k1HC!L&r&P&(4{x zN9uhb_cJ5>9eQ7vg#zeTs#Pkbqq_CL7Eb3rlHH;7&@GxH^d8Yp2Fs(^!)kMOifSMm;%o7pX1Zg z?t7HNIn4f&T0I#i$DH(OTv?J6qb(@`j&L|%Ms{{cf|bD`79p7MBlxOa>;JQup{vxcTpb8Ex`HeYUa@p-)A;!;iJ@L>_0p}s|vLr^Q%hVD|4d^tT; zj#;q*rdKB5^JaQTDPKIvril!bM6y4O zWHT$vmd)o?B~f~%`7V|PgR&IpjfVEiEeL07^iUcnWrro69XYiTE5H27Cl`u#edIg) z9N2!)VYS&0lGDi$Cdq+{d@B(b%g-9>U6p5VdMjL(n{*Q9Lgv?H*1JVV{H5xybG<-? zu7y4z?d8t`voFv%0q_$F^3bvMR;wBP=|tZ}ol2#!8>Gei_f0sgYg+;iT>s(;&&<>d zbc!Ukp*jIl5qRP*1myn8L`YIEhQrD0L7E{g;Bj}Ez!7v76(&xBPI^Xe>~={{=wynf zgGUg&)G{}Z1z}9KWF#Y_%3ovXRl*6{TCuReBb@UZp`iB4fjxs&8M8|r4%51VRjW$4 zPvgDP#Ke`Nb=+Q&sF%AAPi_eYwt-}t_**kSP7s+O&@4R8ivVrG7r0>~Y25iXce+*! zISU+T5gs~Dq?FAG&kSh813nr+j}$EEmuMr*f~bW5Mc#KU-Q!(tEXO0vVYh46>Rc10 zK1a3ePzl!ZXX0VJ9AKL*CC!g8u-W9bxY2*1f?+<|xuM2e zhW?ij7WeO%wd`s*3|8MPAd&C<#T}P!uGQqNcG3TY9OZxo^N^)c=<4`I)!xMnX7ix4(TP!d)G7zk zG_XrdCnHEvCuClRbd>3IPQUIOI|~kiiyi2_${pJ)fs;p|Pqf7q?hCp@BDyV+2ZlxI63b0|bq=r#Y*rKH!>FeZ z4a^>Sij8-?n5-FjW4E@wRcF()U9O|Ax{l4cXJG=Zl8m-($uv`GRvY?|MF%e#X!idq zi@pWQkl(yDXs68d$*(K%19i_nw|*SuG}=S&#HpnMAB3tZH)WI{KGp{DNl}a~&4L^n) zpoy{$gx5cZG22myoJgfKw}9qPmoRX!S}A?uawc`m9CYei*uoYt=3KTc=O4}aS>Mc_ zEo0zB!+I#Qw$mw@hT70usGSed#ZFUtEZhm74?Rua_P9rffxNJjgm}E6)biSy*ILkp zxxGB-89mg|bq`oUMh*{cN9a9tztL{<7rH@t8Dk^X&pz_H3l zg^QmxX-jPVwgZA(D=uG`eEW9j+82muuJ`=f(I?=>KwP2O`r^}FKn|hZ9(qYwViqJq zm|y8hd@fIKC>`q2!Q&A_k7GUPJL<%zQL9g5J#C}w;#)RvEaw=6I0p!A*+udqtyZ|T zwN)q~GbtOtNx13%r;#E$DFWOii)y4rBP`*fOhUB19gpY0oG^TQL{jo~1re3D5Q;|Z z1)F%XRln>%HQ6%C%K5y%)Yu1VQxM=iI$aMsz{bHlJ9HL5vH;D)UGJeU zTF{}qIT6b zCJ`wWAs;APb~NeGvvbQLOetyQ}HneZ18-ppYtZWBAj)hN0Xv~P(-xfHu)4~QTJG%ecpnFmgbTt`+Q}-Q^wSK&BZZ0Y=4WNRU-t4S!IAFbl^u3ue2 zd5V&(_!aR^XS1aFAIqgnl~~@AIE1$5k|nmBsd>-Oh(uguB9dT?Tr10_)}9tFItLLE z#yBYv@tC7t80SZ%>Q3vv-u4dgilSqmaWBnnl^QD&0|9wVOcPiFvR_jbMBf8npSE=C zZQL6htIbz&YpUg*k`Y0|xtLQBy`Hn-vl64|v)(f8Fc?Rd)#xVw;o-i2H9NuJX)PB8 zXa2T-_pgif`a+{~dGWEsNByNm!2ut;XWg5>symM^CnrtQt954W~Te z49_gxuk|A(rBl%)+{)nZNo%Za`?HxO$cp3vLp;>UI=ZB-_Gi;HL;4qX#flgPjgtSBxhD&BV z>qspj3Lp`oGNIp;GAT7J-Pso%Ukrz!)E-m6#7yu$=D*?6uzS74f757^pu;~p?LKi| zObA)*KpEhm-&b^ZSNuBI=Ni~qa<1Ptnv|r=(dN$-(IqIMuL#`$-G=5^h*J*lhg`Wi z|5<{7sE;0u{N*D|?f_}GP+=~xqTf&gQ~ASba_7sVJ?r18RRZ~ar2yT1g#C47_`tZ$ zW~d(FFc7epWZ4*pl#M@G|L)!L z#$bS^r^@*9Q=gH~*K`6YI^JhAcL5g@_EJiCXp6<>MWevxDhC@G`=>!JS&~U<6LjIc4k}d7}R5nhKSzBu;wfbwaFmR$(ONu`Ep!<^NV_nEs8Hl7qdM}OCQnWu(0LXIduE2{qSh>&RN!{=r;;Ox#i88 z-SIFnS0v&CA%7;3+Ol^&?tuMW(Czg49CPF5Z9xZ`BP*Oe1O5L7UIsaGA^H!><@|p4 zo|7G=v7Cmd<>k}IU#o3wtZj6GDUuA>z~|0JKEJ6JWjo=P&;|C#$Bw(EPlUb%ag95d zCGG=8Er%eu0);$dud6c!ig+2_dl{TUn(=R#6!`6-ku0T7l7MTi4iU`E@MR@t?ZwA+v`h9S*e4O}2n0^ad5B1*kY-nthkrtC9#K#V#6C56=Lijg5`Ql|@BH zN=+K8$|_A=Tx(-G;?%`YeCG3Kstb6}XUaZ&3uh?B*E$o$*9 z7b;ySvEo1Ch30+KHh5{Fk+@664f12yE+MPE?fCb_lhu4b6bCAeG;FCo?- z5%5AUJzrjfk8JD9QtfW)`S|dl0#1Ndba3Goy54LFy-F6cp_3lbwt3oPgB>eOa1A3C7XO^+t!xtfQ)1d=RF(-d1T(Tndfp_h`JXsG*Z%$B$-!^aS4%f zc~Y)c7HQR4{K$z?Y{tjsGZA{ChEkgvEt~q3_HXbd=|f^ZG=FT1PrGN2diR6o!T{*2 zdBZg^R0=XYReTn&$`g{XRy3GM-`X->u8D6UuJFgnS#q5GIdxM7?ADvGo5c&&{JeV> z=&#HvNI?9>H(60uZUi}3lq7of!z>wk7X7xOc*~|3Qkjx$-8(YjFQEd$4tBYF=tRTO zX1;sKy?w~YFZGN%tN1VR*S3Y`x)b=^CV4E^cI{=KIe*8?FZ-*EEid2PVuGe7u=~%~ zl8HZn4?w?1bM7o=&1>Y4hFPBg2m#i&F&O%Q0gFJmq`nYw#mavl)$e%c{b(+~9{O(H*LHA`Vfvx6p0& zks}vIhKK(Ilvi_OmX*%Vpqvl?6gaxoH8D9{2>kx>Y4`MJy_Qe?Bfg$7FA(ohjU_>t zq{@&LCX#sVuGxOudA!$Qe>b4k`p{UX zuB3U^*)DMFJ?g;ReZJB4+2M+@d*HVgSq=K`pI;k5EjLOFtBWSqxLtvcfWX{CGyuj~9>?o1JEpg; z=90WbMfDy!w*7{_d(d83=>uFkn2n?EPC?31C<$Bg;TF`_GotGoLSIyNgpTuayHd#& zTv+1a5(zKJ3Y1=l(pyN|0=lE216||nV_(76F!N;|dWtE*VKJE;YC=H(;Lr*4g*=|4 zKq3}zEOu;MGQ_wvuwi1^`9|rb+bLa23&nJRL_p!>>alQJAOtWciX=(wEu5Ch}m^Op@TObGBfjCG3 zxoyq|E?3uXV899Vv&0gY>8TZd79U+4bD-byCuu|xYR!(*Js`z2-lW6zL-Vv!ljgSa zNO2>08|5NYkbN8)fqVqY(1)OSk|fb5=)~9Pdvqsdvr8(FcLBjAkO^`g7H;Tn@v@&= zPpzyD-DFS^#Y|_DjmEKAnW?BU==D&RnF-faRav0r32ZZzn#7{h-#A?g$f0Wh%+U{5 zoulaZ7%L3V%rvo5D<@%a4e7PZUBRqegm*;;wtTod4Z<{y804H%%XXvRzkjzBmi|Qk z^!_^?HMYSClw@&R98gj0Lw{c1+Y{&-0uE~}c+V9$_Ysg6@zD-`VO`-hz8oF^YE(2;A`*5;xAOm;ejzx?~JKs88vsC2nqF7|F0Ww~7F9A^(vj!Cdpj7M3E?VvMf zmiVd_6$V3kV|{(Pro23ziWK7G)3cJ3SFA|4b#!#4|0wt3(zOknlZYmYbbm5P%k$P| z{;f#pt&uLEFi;UWJu(*^$zqVsY0_ajTgqO5>!Qc6=kXfm!1*nP@i>?$OD$Djs@v>v zg#EHERV9Sc1mDAVd>`)~O-jq7M4#BW%|t|K_GmQzExX z(yr3hZ=Y@k0w8;6@lgIEd&tgUHhsjoN?55=?!a7>W!hlOl_c0rri8X&Fu_?@mr%H2 zL&BQnTpgFiN=O&UM6t06n|pe8ChUA7ZrT&-H`LA*fG9au1i3EUeH|`dMy7o=i^`jt zc`Vkvc{C^KJXz z)&1tvqkRcDlx2zg+O8?Q4lTMjyc6sy2+|l%Qv!(8o9@FeNSKe`2sApK#QGuUBc&y>*_Fq#wUJo_VTHN(2lLCM`N%E#DjTxDOgJe!-8 zl)cGl%%=G_=O6cz=`W-!3Jm#C zB=yPQ_=@t`cGIdcRGk4GC9CO)y z5e$^jH5mImEv;J|Wv`S6JT=f{4~;_=^}foeSevGSKA4uYd3k{=F^<*h_k+3#m=Pn) zfY+(-stUJ-Y zokUjG*j#zgl{XMrL)OE0pAX zixpu;tPcAL_8RsEw%)j6@@GkXHde)91FQJ(4*N6DBsIA^+#5C|Wpm}!Iwy@hfB3K_ zN%Mr1z!;1&K?UYgsFzj5&)#sTI*_y9gT5(qX$u zGeRU0&5XU#z#@b!%ETF&v!Zt|hsF+f0MX!#wx>`1v9dR)juneyGM0nPdsZ=yizqKa zwANWt+SaKralEaN2MvsEb6?WLyIKrh8`$5Y+$Ds#Bu!7oX9^WS36$6L9lq*okheb> zPsoBF7xuMQe+)D=-e5Vp61I%j%bFX2>Q z%PQ1rjl~8Q=q?7Vtm0xy#j4gOsPYZ@b@{BR{EPW8eE^>Nkv+6Cr**uy+jfl6kL0Oe^=+7wl7Wxi?--M4yT zA^J~7kD&|UNfBOuEc-?DS0H3=Q#6Dw1Eb5v`X5O+qeL!)rQeEmV%^vUqkL6WmAb5} zsYz~WZ*JZi$aFZ`f=M1v#zt>qJmwRYWtFMQSbHqMa_-RwMk|kRZVU_u-VA&bcoblN z9stGw5Cy^KL0}Amte`3g8#i`ugljf}I$xu&+s7)i1cDoVzKy|vrHm*f@=8k!+u}jI zp8y1=YS1(=Uge_X2mME1tbB0C0 zvBQs1z~1@yh=u8LP1Mhk?RoS1O|bQnPYhVG98q>!Qu0~!EquSExU?{21g9C4TtST< zS;05O6;>^ZhaYHbs`L+`zDi#Xuc3_m+AR;6&T25iTbEI1E*wW? z;9@U$AC-gm*@ws^xo0qu#{z5?hn*?^B?Hhq0tJ>L)MG5892R;I>WBy<$EBPfXU?)1EKt>AXo13(t1a+fTE1y{)WWj2_* zXUB6LFt!7vb<}pe-tpf$e&}Fd#=#Fb!0Dfnh~mw5+)pWmW%wE#3KI+o@KFLtpg$w& zf3ZTf!mxtHT0y@ds#QHI@3PS`yOr~2MC1R6C)CW6e%G{2q7foMhGH7N-0G| zLSoF##290mFSThl+BA*vUh8z!X{~EBuAk#tYpw0rtF?}G9DiNcal5Xa&$0LEblUmc z7Q;Jx&H)l)?OK7u;gFj5JnwUV{(o8s*R4?YDwQ-4v`|a_C9jI+<5sSiD~wT4$r-Uq z$KxCYR6ZpN(oLSFyk%yrGb&N;bWxz_Id$oKWi{@QF=MangFbs$)Ud)DV18?TkGlOq z?evnW{5&NY9-0IAd&avr@$fVY58o|^`y=6i%@%+xWcSko>b2t^+iBOjy&n0%=hmR# zjfefD!6H?e^}zmE38p>U87=M4{E85+7mKU2Lp7E&+0 z$>L%LtQxaoZfb%_CY|@t5=)ITd*MP+QC5*s35DfatprN$G4;`+RD3?9Ac~t$IZ}o4 z#QM{09V*7#6z?6RtT!u~S0{CeJjVP4W;+H7EwBnmcgR>!2^26C$o=z4uk#kT9w4JV zB!!)go~54fweno4(&dH?sM=?<`M}waoJ}uX%>pMaRyf~Pi*6q}`sJ_IbpG2j@XGG4 z0g=d%p&3`>IY(ffj^MB}k!z~LyJonLK-YQ0MC2xKlpvRPlm{hLa!O9D-oCc+JePa% z^M6dEf8TFC{WSW|Ll-=J0YfBloYhiOAWqURJ-aA_(Ojye}+V)I&C~npYQ?){AVzYh%Oip^7zA<_Qh;?MrAS-eE z7z1FWK6irriD%Pbno1$o3Rs|e$?}!;Xe`uh9KGhL4Th?L!tL>5jrp+I!MPEccnZMMnYQ`^I2fwc5CUZF=i`Lg~V*K)mSXX9>sLqSPlb9WMYNpw%X}ZqgzgYRqgkd_sUEjyXiBX)2UlLs4255 zdyCyQiqnJbWxv-|8c=woO$JM2B)%G7%2vf#V>&MU0Z=N1Eb6G55 zy3`Y(ppZ8Yl@gaCn*n2%4--w($7mZNudW%}HZoLT1??0i2FAun_nm!~W+|zpF;^$C z9oVy2k6E`du-w&HGP-SKWOUcot)q&pqO6LF(TSZqM?3p#YSyhAr9c5jlTuPfo0mM& zVR>Zz`cZ5&hTS`Nuq2jZ_@#mH;wYLgJFy%Q1>M4_U`RMa<2I*EA&XJ%(W+trn9Xj- z!l$%o%g22nBcef>WC0DxJVUlLOZFxyjuS0_JEZhTGzzKqFXijjm7`nTZfiYY9c?vk zRhESU6(20_Xe&{yHgvWdR|Citp{q`rPQw*!)x*`TrXIDS$zP#{+(Y7w`4Grq@(USI zsHm-3xyn>KW^L)Ho<=5+59B~e0dXZUBKvt;$fGq@@Q?Dye%MSsSdMan!%3ZQHo1ra z-t&HK%InkN4O~cSm*fG0JcUjZ8wiy9j@J6_YP&u!FVhC5Ks^~=S*h4)w_$+lwijeu zrzS0f84Rj9q;(G&C!y2SU^X~{P6KP?{cbDb)Qst?v@Jt!C^8Na$nnJ&z(yhw-5Ru5 zCR(eWf6?LdHB1)dKe=h`CScwa-1O-tT%ZA$HNdP1Y9MFRhnnoJ-&VainV&!TV%2ZE zvNa!W;w1B3JNR_oYR09Ssbq=_34YRSMKiI1FQ(fl?Iw#38$~r)v=|j@+9H*RXqG(+ zQc4JBXcM(n^mW19*OD024V~X4bzU(gNNn0Ylg?Fu(m4tc=%l3YuhoIsTxj&-xYmnJ zY|K@9F_kBesyzJ5)qu76`Q0xYlhL9Vj_mb~s=VjxUGrKq)CO)xh3BBXPh~4H4ppw& z?khL>WygNEU2R=#-21fJS*zdjdzHsxeCru?ok6=33ihPK%#7zvK0dS?@-=;s?`U}b zyuR9OJolN=?JK=SDnruKw|JZ|^VF&Eov{oE^K(Rc^>ZFy$Z^T(sj`LDhC!Ma<}gc(J%H&cRDF3ti9l5_A8>MjK#*RLo-i{vU|9Imusa6V-JGj`u8F0pBJ+fFs@x zF=VktqR0ndfDLOiI2;x$=Qg^g$8eC3zF~dC%EAPszJCzQFehcIR6^;zc|wf*^x`&TNWJl9ml${m z1ci9w3Zx0eve{4X{q?B@Sktz{(-x`)wj1aZm&4%#2Z5{LOt!&SPJ#RG~ouG^dhd5s(nJc2an8w1+eu$tjoTS8rzoZf%;LVt)0 zxDT;;OsXA_XXyz4=~)Sf3wI7naO;?JQYbZsL_vYQB(OHfNx3s%h=7DQ(~?1xGYq zI{GX|t7}!@{PaonTW;S4?bd?tfwTwt}wZ~owH@jTqhgIf_9OiXj%Za8fcQ>1= z!I`opG*L$t@|h_54l|O2>vxaWTNvj&e##D#t9RF4*`2~7oJ>QES>3TD{BD;_Ay`h? zy6?~#b_PpNt_rn3C=?tUC3EC10ku_CpuVOCl-O*5+%a^{>AurVGcp$?ulc2QD4t!1 zUsy;PHMpVj9~uvgyUDd6UP_HFh04EJp0*f9o}-Y1V0B#+xqy`wZxhoW(v9G?;H^3f zeN>Gc75U%};!+Rh4d1Tqz9T<*nw*TsR(6RQN#t^DxVzE4l+O_?VUE%YnDBVRd)CO5 zDL$Zgo1x!GhxT4=$&x4vRG!DMiGl8=4NZUC9}NNkF zdrYP^OjEy(AS#=~|IuDmhbtr3paPCXK85oS5`;eJes!H<`$=3IYcvfA0>UKV2q=^ zpbgwc8^I`LTQ8#b*rTKm=^$ealR3Ax%u(fNXb=?_8VVs4>QZ#XpndFYiLT* z0J`}Hav{u=K#qOM6&?J??s*W;l0b4>Gs9D^5ukdyVf4yfT!LN^>Wdbh&*q@-4i2CV zye&Lj@;TJV2!fx7bcg1xAS0`0v;keMp03;g{c9&g3{G-Njs^|9^QY%)@H=8gGDnma zh)8|zpdDTs!np#RKsV7|^ywvW`W3y;#{TX5fExzNu*~ZX*_rz~NV{+r-DdA$Uc{2I zLVEhxN1@d|tY4fAbJMN0wJshR6}ukLXhcSr=w|@y#nJpCDaEsl5^Ny3XFtd7WEurL zqmPkx#^;WsW&#(nA+scoQ(Ty+4dI^u(Knb6p;VIHz74;Ox)_yZf6S3BH>fl95WQON zwi|G-)$W^au^C~&;tlB{hl{|EzBdl8cqzQlX$7?gx8uk~4g+0>wz1jZBHTO-%chm=$ z(MB|V?O#}q#mk!ant-_S?g;1)o1H5k@i3ow1jDf@bdf#DGGTIT1@;7$cN|=zcBy?n zeotp7-=;4v=98{0Ke%DT!~BPT3G_LtBf#u%PIRUqPU1qD6RL?T21tp=Yv#f^&LLfS zy(igAS}Hk(kg$MV67MrglOjm2QLH3 z5BePR`lZ|GhRh1!y0P3`l@Roye_DNR8%QB`x|p4Mvg9jFO7N&WH~g>-Sq z8Pr8asyv{=XBs{7KXEzwKTs(v_#L0|#mE41E&o8p7?ZGXFhpbvTnvk$K+zk0R(2^m8*t3R$OGp*9$S9X2jPo!iDdOJhmuF)!swKE#k{ z*lee+sK}YVcrm}s$^RJ^KD`e_GXVGESh#y%K>Rpx^IYG6h9aJ66Cy3<>^)#!+XEK3 z4M;Qi(T-y&|9NahEsF@b!9P7q3BtAPt9;kx?p35~BT=e%NB%o>M~*^wxT~`fm*{LsyH1w}xhnw^4^r_oG7_RcP`9sWp zH`NVt5?x{+V;M1m^rmc>6YHT6@H!gp$*^>RNnt826-esLX2Cm~g7Ik8A=4+;OMzrT@ojIf=^d&Q4AKeO?o;K48Do<<#&ANWPBMqij$=Y7i zD8YNjcL^a(OA!JA*kx28$Auf{13ljICa^mLZXY_y&}+`6C~Zn@wg#fG&1d%l){%y0 zrypFmw)!_V9X}B~7&i3UkuNZL=yM@DDJ+m?ybc-Dds@*Y&KNJa7O31QTrMM3H+fWp zhd&2js##nPhnbDqy33Y)4UA~hrzNG+R97x%P^QeTwcRJ92s9n#ERS6E9(&t+ zmbs6|Lm#4Z-~9o7qFd@_Onr3?KtP0z9k%9W%#m8E7IK=jj#icp%fyyp>nP7?1U#cR|XE((udoEo+r@TAR=7$6JHep{c!3IgB zrzco|Zc-4XcL0ZilFucd2#-C|@9*(iU94RM(b#(iUA=?;rgm7_uiX6X?;tN+=??}1 z%pnIApS_9Bv(K_*SP@o&t;Zh29>-qBn#|hF)KqCpVE6XHj{e8l(5-uHNoA$0YOv_=ymaQ6Nd`zu9Se z$`-@|1;=&L`Pa5on-bVL-xrD3_>si@q zGzNF;@+PuLuZu`6084r*jcd>*GA2LKtRn#W7pn}yiU${w(I@I7RiWIV0SqvS`l!d< z56b=51Ep*ZA9|f*(j0dBXSu3E*;6e1592xC!sMrUTzHW;KoB~2qzW<|{1nnPWn@68 z=!cLAAd%)-@UqF~WjgdzlV#h{4+E5hbR3YdNXIutu6K~{e&r_Afru@cI3jCf;T#TO z++Te|4!S5Vav+`{7tv*lcL=FZ_Z>vDDRfs`dS=EIq(Z(armj)$!rVNh4o z&RjQ0byi+R7uaW58dBF*k&#*})+ z0Z)>D4Pco zcesVn`_PN))CBQw#7R;j_7kh~6{%d6xy#?`A*Mwd$)rllNSeB08%Se_lf^9;x$H^` znGVV}JX6~4zkh(nK@MSU*cNKyRhG#DjMm2H1wnVcyRnhMU$B5tt<^HhtEw2Cn>LLlF~;tf z>X_3w7_|d)$VBcN(Z^>6K#ZV0$}C+%dqy~^BmiA%h|S~8s+f2QEq$gTp0?Z~_6*o3 zE7lDkAM;tv9`L!RZ(01lT3oVZIxT2{SKVF$mg)`>hKC+diR5tl8a-gJk063}B&rIM za?z~=pVg;C&cJ4;ZkQM&`YPSd%Bi5GHyvi?=jfERI^?(Od}`%HEN4>$n* zO{kSg{woh~kNXY(m%Qc7lQfaY^mja7dsSqrNhTLndpR7g1-3<6YdSlt;L3V9>Z$Cr zdIgZ@sTk6>66o^BA4mEI`0%{R9nhX926#hnl~Fu3gep)AfvRJbX-zkNiM-3_(EI3% zSBaEAEwnW63qh-JtPqlgk56)x%)L|&Y89udJ68IhPF?@6^)@1QVAPu zHUN~|Q`)>|-g#!+l6HPcn;@hHx#(?P3GmUugDv)P9?K*_r`(~S2c!jCT=j!3E!NPg zTNR{&)zs~jLnxPKEGU6f9*^7m`3|o?;Mwt_G4+`K zWx_9;K+r_KX*5AW1-M)R1eu_r$W)P;Sz#(_VDj5w5ud74P)%DiikqI0q}v47t?2z( zbKoq{UO{TSjVC^vGPk#C_BGlc7(@S{`+6n1_#9+Gd}?B*bs~0o)IpD7hG5LXHefk8 znU*0FsiZKp{ssGsyUW0KQV_KW1Do{$zYYz6Un!S6%1}F0X+3W3-EF)r0=TkU3)V#k z8>q+hKCmAYMLyC8TqgJq6zt2(k}==`-OA;<$aT_&zwS#$!oN~qM0ZebHh$&{aIAux z!cEI`lr#nWfp#~fTD6{~`>nP^{sulAC&)utg+&1!BO5Exd*k13-~Pk1&xTL9K`Gr; zXtaoN4l6}yB!#$A%xNuW#ux7pyIKvf$GKMv)1aO>0e0nm#o#em4P% zCcxT>CnunJI5@m^_{m|0x$Dy|SYVnM?&=zzFcmOGo`)LkjW%GD&!4XrVe~E~Z~ojN z>E7P>gshMnpN&67OUyBSJ(E7TS5wE?V8scnu)64+64ka6>-eQuqewAeCEyFE(dIvf zHXpak@ec38jA&|;%3H>8=jmgXEUN3H(0~c&*&991h=e1}N`afz8@Z6BFZv@}?b9ey z)eLkrnu7c*g&B`7Qf>Q?J75A5C@zm24%T**F;8iKdZXI|Es;B`D~FVf3C)1jANdy6 zR7`Log%lg<<80F-meBZ1KM7%y}JJM0UBqY3opgml_5Mu z!`u__eHt)o1C5jlvAyUP+fPOga;%ZUty9huFErQJFT|wMg&Z7TSW2pyl;q@vMS8t$ zq3!<@$x(53kw!M#^_Q4BN}J7p#+vkhA)S#JyP~YBS2PJ649%!+EVczrE zvAiepJ3=A6`vB=Qrz#m>;|lK@D}^TFU*7CSC%`*izbAky$w=%QaGV;Pv=L7bF9ce| zkjm){gdMI`E4{Z#mCQ7xK@N+b(RYlBj%g}MJ9+v`PqS|&^P^fa-W?|6t6eNUrolF2 zaRsl5*hVgAU9MPHTo>Jks>Zv-DZiJow`1-7*M$%=W zwDO#oY{fcSFUOVhoWM*wcdyb_H+q8=$`hHt5_pRe&|l&XSn6C?JC^?V8Dxm`-tVT( zy?fF*cbwAlDtHi8DwS%_RIoE2=H-ji_<;0Tb6}PX2d6z=uLoJ-QBR}0F>G=K{Vm`P zbkXj2c>t-^X%6M_V-7n(1T1*1$Iaupy>Eaim&ff!Uf^|leGW7QZn#|mE0U6SJ3?g! z>ly+fpvCtwoWq79S=QP=SBO|_E?1J5B6W6?g23hz!%~8>&;vX&7`uCXf;@PJJR0OV ztBn;t(yy`UEpEX8d3rN3K>o;eM2^3^Ed*bW7=3=fPePu+_1m^le$yZdv-dI&&G5%i z(-Sr3`~`e4-=I<`M56gcix$n-X|?lnS)~hEix-O-viV{fXJn>@V($1^bXS4I$XJ{( zAV^n7V{}iH*G%f!Xn~YeoK#aidCcBx2}FYVe&}qiCWr|>yz3*zNncgn4~A+=BHpGt zV<+>jqDw$D)sJAY~pJCLAC0%0kn5zEX8lug2JpU+t<8z`Yc1FA`0=@uQIz z^c_fP{tNKlxkJ4ty%r}rLwYUMr0=MSVH%mtx#hO%>iou~OY;{y9Qmni49m{WU-00A z3Po*x?Of`*xr2CAzp*3%Utume7A;m$n2y=dAwKUKTPgiwU2Lrur^Z(04oqp?>p$G? z0hI@g;|f@4mRD84Jg3Ly`Y9Z++TcI7j%mS1D;vD_yZzRH#|c+?o3#%#k3Q79h77p4dOXJgSQHpgr&AJX|*@Y4%OedTPiRDyh zDrK?@fu4ee8gsfpWvM?@+`!Pt&-0~qi!@|7G-AWQ-zkYF(SD5r=IYadDN9%Va8-TB?*k zTif_lo4i;rOh^JtXUI$M$6kNW0?u%t5fZXLG&`}_L zd+j^u+o@;GcuQ0kr{7TP3+B(y8AUGF?U#UdV2cQHlDOhgRCDT`m%U)L)dr77)P9fO z0}t0d$~&|-D!2!q=Q-_>jc)rVhuY3uA~l>9eawD`m4l^Yg;)u;1nb9!sC>M(XmG=_ zjJ#kPtEk)WU$(5MYgI64E3zdH62z9WIMxH7QKA>6ro`!pq9hz5aw(!-;!J~4YCPnR z6`=5fREk(Wp|nl0rQBz^rT1O#Xq;)?#Sucm-VB(U!-C|W5hb`n`F_ufp(uYE^2Z2* zJcJ@yKG+=;F(Cgm5Aq6<87xk!5-nehui8RJdz28X{9bKVIHc8d!2>R>-hpl?j6mcs z=iNU2zuj+LK6Cwj@CI;#h9e@#P2$U{Li-Q=FRL{2{I#r;S|39*Xe2EVOPFcDd(z3& z-IZKk8hDd&V~w5iMp9)zZ3=*6|BtUPfot+e|9@xRBX`J2IEx_$q=;DvAs|O0APPl_ zma=FOQ7Ixq5v>PBL`21-F5az{TGm>xwHEQhTdTI)TDRA_{#$FUt@ie4f8DODWcWYx zCLp%E`@zJQkay;p=lnkN&O9@G+qOfYSgsI4veIXtA4B#-;(&J8$Kh?B?DE*;V!Woz zu57FKimPt3(lN=?SQxYBt#PN?81Y=@cO*ObADK`l-X|@>F;~WrMPM-nv-?z}0z>BNke&bg97JiA#Hv39IJkWT7uJdzxw>JCgc>5bQ|IJYT zv*f&ca#`*I=ZL;4k1R`!R!4D%ADcQY8*7US3n$t#?E7Pel`PwnpPE^aPu_cc!jutc zd_nQh=+XwXInN2Md9y#Q=8a2ANMj6p5ggGeYDtqv<;6zc&7M5*F}#@;HzU^*VTgH! zD)RkzXC@u~3V+yrzCO^RPJdi3C>~=?%4U_SWA}jI9JJo*DsEjn#x`uuTKeIbk?r^P zJ-e@TYhHQnZa*wYjn>K(8(F)2_qJ@bsJH;{@woDei}UcRjHgv|+Et5G@Ndg3Rl!Kl zoczMwdnTXR0v47Gerl^=VYK3z4=!dZWY<>H!Il1WVilH+vtgjfEI%O>hj2}JRiKEj>wvy^`Ra2%Fu%SDQ`e@9} zm_|0oJdK{On1H^5Y}<1LtHtGQg|3?DU~;r#^miEzRlY~ z-iH8;+A{@#lWMK&*OjhlC%f0$y05J$7=!E`V~PrzyT!FzSWSMw5t>($;E2i-YhPLg*RoxHmuIh^Q;|X-@2!E z&Bu&*O5iogZXushfERoeBFQACm1(Clp>1nR$4`z5i}hDeUOKC?a`NQ50+%Z`wr)X7 zOWm04j0}05{6Cy>(g*akzGV<}|GM5=a+;R4e^7oJJ+LAFevwABASx%P7ZG}mh>FUG z10wsRMd#DKhJ@(fP4xL$g70`yt@rXlor>N?+YuJzNa)>o?d_)+Z>Zm+KuVW>r{~qc zk-M!gs<9@_STY9N(5l(Pj0)+iCTtkU^7Ef13kR`ZuaNMbTS&D+!eXR~NgO=UqPZ16 zdT^WtpH9w+2?+`(T_y)Ir#vCy5xIW<&-oYMx*ue7nf(nGCsJ+JY6qY$q-xh8t)(F) zJ3FP}NYbbn$3SupskRwd{Sbsy%b@LTn{itXFA>Z!o*TCkQO5^&VtAF@WWCrzj#mET znH`07m2uH60H`B#&qB%f3f;TwBVRtr7vei$mqodmG2 zG>>e{iA1|e0opwcg%;VAyrNyhsjXP5iYARAYzSn2VKhKbN=vl_cZ?iYF%*wV8&{Z4 zKDS2xlv|{{dvfi#wmrLkMC+3Tqacq?vDKAehZiheQPHCzKeS^km78-*1vyGyyeEpi zT9%9Q(<_w9>SVGfw@irw4<4jvP~TTL4;HT)no+(?gO#d~F=wjC?%mla@R=+e=((Iz zQl5@(!fn+w#}xh1j9R*TlZ3glR<0ShtpTuUGU<}65OM+i+n6k7EyCR&<@EHxfSpTH|{GM+qAgXJL#Mo>OP$vAES{)qY2#y}@Od?mt zWTX{d|8RDJD(3Qp=wY!K%U=rQurB5X(oKCSI5^tC2^KA`Pkg2##q-q6YG-+U`dQ^> ze@+v_T~48AMUw8Q^q41P z<~g~xUz*Zv&S>16)&yWms`5`o82i~|2Bk4oX{sJbPiMNh*=~{J)w#2bScWBX{p=0O zDmMvMDCTO?b9KW}clXC5tEc9&F`l=EO)knszvfazRYwj=>V=}d+6cqxnFFC2dN-SB zHnZ``$!x0A$r_`hQ&Lz)X;bR;7S_W2kCLxi-`Xs*&iUZUNAH$U-_)wO6RWR^%!3o2 zeUHM$i=B|% zplq!GR(3nic>eh%uDpDi9lEHsaO*4vGn>gpNfCb#qGTG~HIfh?!pi0G%GlWO@PMTF zfWAk957s?9`!>Fs1q2#L)e_uM-x5hDeO?6b3B9W;S+l-x=2(zyE*r^S8CFx4({0Y; z_IxcI;gmw6E-#1ts!X5#Sk{0Xx%>XjoTgxTs2cCqW2|=yG5A!N;sSGs$2U?0zaBFcWN0*3|l4Se^R)EJSi=wE&MXo?* zWP__L$lz<4IZQLNj8+6&RN1m{M&+ysp%O<-uBoZ4%+@3)M?_@TFI2d{U2mijh zS|8kgu-3xqPwV-L2doIjeg=*ueHFQ#I;Y5?1V^un_9gpvUEk?C`hmTrgmrx@pL*8; zvi%DgnswgQf^7dY{=`pQpQ9uLOOAp*jmNB9kjrJ}(ilOCIkg{0_NnZDOmYOJNew*N zSdz|5IgP;bTlD%!PSAfAq#}QN#$lh1WQDP4+2UOqtWoRlpth$5lJfl>;5#Nn|lNerMsc6JjpOD+^=nsSdta zZ7L5dyYNf1GUgR?I=Qm4!}9bnohGai73@)I$LOSg+CMgSRH}iKD&z(?`Sn9&N4u$0 z_EdHw62l3cLLNdKQ(_7(p&GLGzU@+;R3_!*`KQQ5Z)89#$h|8^V&8iQw~HkVJ#AM{ zMBV6QWK25b#V5ow?&6qd#Qmc6Obf*JH|aUSPv)m4#4A@XT{MqnjDH9ZHyYz-)zrk- zJ^O6@m{FtRAGKO{y5=Uu?|e{j`1h0RA~F=W@Of)ei3h|M_0t*uW>?)6(wVb?#{ zP$6L<0BawhcL_cJx&OAm-W`A50O5U!&>y;qqt8+joZ@pj`eJ+W=tHM8!7u#4NNAu~jQ4=f>n(aceLRG;>mQ zmxWwNOHCb$lsQET{76hvS+vtW6n&VQmE#<4HrLE)%FQV%bA(+>%y490$|#=XoKzcW zE1c3YF3b@eF793?Key-DO~GrYt*7e_=}S|r8-mj!mY1ISS5Xi-(IqzW(wA}v)}W!KYL1ohN`t{1_4N8A6j?tmda)91AnosW z#3$)WoZ7k5Q6pC0##%k5>%Ou{55r2uoqWpCV?BSsS8-8qZlT7WpS0?#sEySzMVUoY z%6jV%zMDOvDI~tSe0^3-G?osFvBkz%=iptNl!3F}t*ZLErR9EZj$9?^{N~m>!bYLD z^9+KNdHndq_;L8&CjbPw7r{@b5NXC#Kdh>D zp}Q%bmvCNpH2yw!S$=cFP&|v4_rF&?`vdDennPSbJTg|cekEy_ zn{}`J?RSl~TNC0VBNH8uM#kF*MJ6V&uO|$OjE|4BCUv_Kt&t8#q&1N~ z_r5`X!YZ*~f0q0@lp@HGmNl0Gwdh~nc4&ElMr;cM!Ty!_JXogYPI z70*o#aYn?)M?^WCXiw1C!3KDG3*nx#t`YmdC-p8oXM+Q}JtqlwEAIVj!{8R?*s zYGN-+D)?=HK%{#)7@p1=8_7!<8mlZ)hI zkhmE!lB@VTh%Cx|JYpyf-p$}(4@fJyO15}vh(8I&-x1TlO-j;@zN2?xjpQnO5AKrg z#XdbTYZ-o^RdV%iI=YU2z^l*%h`ryF`^tcS1JBLO73k**+@WH02Nf)f1S#sxCun~R z?T;55L1MR!o<_1o^1|I!r~xPAWO%Gb8Nfeq-Zb3q!BMV`) zIVe(c2!9P$q2A93;C?9G-kS4_c>prPTh7C#graAlY_e|#dIF@(WW)Uj#rrh}5YI|^ zsmSGrTgZOcr110^q!W#qN%lhvLKC}Q(!^h6J& z``4t2S;aaX3Ix6gE>tEd60C58gx<&d?(=Rskra_{P$2n6u#($I+b#YE_f#-eNsV9t z?E($kE9>n`2Yo?LaGzOHA-Oe`ZKS9SmytW9XUKHhDx_gM$hQpUvU@H{zT!7SpC;fI z3*Z#@a?5J8I9LnjIX#7*@C$uD2|iY+*nX~qMPH&_>cHKvnsx+kTV|GDB74`LI>o9` zw@OXsTxghkXk^X%jWz2(GHOBMpZ0X1Dn3>m*`U3Qq>D>-%MgbU=6MbuVSiva&`y^N z#1Fw2+2U?e_ithCtix_ZMN601n4kI0~&GhG*|G zF1yQ)Y!_@7ylv1I&MVU`BQH(XFJq6RXUX&ES-3Z^XApah-^dJP1~c)@Y_}|kk!r)@ zOf1*Mr5{obHn2P&Gw3Ln&S)WgrSFSjl|mOcVt-Yfa%j`I4Ye?l?sr=@Leax^k{VIz-+3@KhQWz8F0W21ES`k-g3B}6hXX6Wc@ zHiMs8o>`g^PR5Ta&#-vDmNe5@m_PJvW#8vhfKzI~Py?AiFi*IHSU(gVYBegY@Z%R9 zF7eX=+#N7v2*Sf;R01H!K}-<)BNM>L+54g_M7fHn3W@t3l9IgUq&Z6&z*~@=6`iyt zTpORDr>>li*dB-e5p9{N32PSQBlqbo>^mkzb6hI6y?EA-|USIIE?$%)qwfzC`JRy>d|q26e}gpqUX z5%xPq!EvCFlwkUtN27Dv1+3NROqK{dWkbiDy>boU?lqI*SbG#anmCWbzaFnN0rq z8}tga7)Pw=wy#Aw$4KO~MXs+!>fbb2VoA_xH8|4luS2)jch06w&hB^torZ><{=Ylu zW#osHX!HNwBxTeqxlkCZWY2&shPuPlDn`i)QkhCA(6TxznG|3nRx+%N&gDRyyf&M_ZRugZMuxzB$>g2&RK0tvM^2w3n&94=oCZAeSkh%tMnp&Rw?u^>G0AvdilqzPT`UeeiVg`M zmy^EAI(A;#-081Vx;{s<*l_d>=%{KRI0lFzlmhCg&Bo8c!Oxm813r8a{12@R$ufq+ z49g{V!e`zt#2!pwa4)XTe_d=*=;^>cd?|ca--%doPCtrDO(h5Inm}7ynsCFse7=Kv zqKQ3i?3a9&h?`=MF*Hvw#|0(XMFG5r|q~38!jh zkJGXJufM#0^~$x&-(S1DVEv9gFSNb3^<`X3UID*Vg{Gn!R8C$bYf%OoL@tpNS0F8rMvwwWTIFG6tJKsjL^m2>Xb6*s7ML`av&wH&*$1iyVQv6 zFl%{-l}ha;R6$Ol{2FA>pT@2I$IP$qeLoF)s)3%)!Kg!+)O{fab&_LeqkX~PMcX$y5)yjh8{L4}2jDn~*;q5=jh%Z|tXVkw1=Rw- ztFNE@hkL;$^79CMHh{rWR*fI{?*mmD4I38VBRWhJm-HcV0nyP( z+FnlG?6oV+O;O9iM-5<|I&+*j5YW@O-w#Ow9-V#b`Uj+TNRtHxu7COH&eHKEs|!}N z)NNGF*I({9`SH3X8+M}jH~)dE)#Rra*DYE;E3IzqqE!t|itUHa>}}c`%IT?!#{loI zi&9oGBlk)X3~0X!W0_JM87ET+DisEJ$cZD9DwS9`!ro#il=eE}=s534K;$$Q*~M5Z zS}FpoH6mnYtqq>VxMtZ&a+YwY8zJ%r8ePV|*PS$#UD|z(@_82U`F)@wlqufN>;0Jk z8YcBr^W7?CKmf?lpx3j8U@w1zgIR;g$6o^w!-ApzmcKss`Z#nH%PM&&bLWK)1MAAkl1GFB#*nSQ|E6eO1opzKjGdM^E7 zkP3bx1|RM96mD6BNhb4`%PmnNuPhc;6YArYM$|>yf6zs*s}<3NXtf&8**MMFI4{S< z#K-A~c#!{y>enxZ_K&CR2VZ{l!Hsous~3FQb^O!js(I^PUA1`8%GC>(tTeZ;Z{70B z3macR&a;>P-|3~t0=UqF^{<>g*WTC}zy%z_riF`I8k^Rx^8B!5V|(+at*?r;tbhY& zfdl?bI8(6Cq~JsQFqB)aP$)n-Si=CdINAXNSVK^sX59W?n&ERB=e%yys}%$Ef^WFs zH6{HAb@tA;D_>i(V&kTzOJBpSq?OD^Tu|{?TweH$ z=kJ&PMYjF<;HSs7{pI9dx?-C~4E7}vVGh{KQp9=)To}*rh!Z2nTa@p}Ydw01AFLQd zRdlgazV09%@JZMHk16@**w;^p`xZAWk#4h0cGJQiZ{2v8sG0r2Wrppd;6sW=c=u<l60~>*d3+_+g>bO6QG6yuiUExK(11``s7zx5Cu}>U0i}QBkx+ktjdz() z;6)IahaE&u!6S&?)z95hCS4G9Tac(Ja7u8d;fGu-q9Pa-lRrK$B^*-E!kJIH2mWdP za~tG~wV!t$`7Kv6d4C8b6_^R==>X}* z0&lcT{6VD(VvrJdaj6HYluDkVLhsb_(xY4|kjMklrLmJ{!_nT*2{?r{vw#i&8_}m` zETDC6=1$Mzoqxg+uV9O3g?_N<6tURdOgSMz;@Dn6md+5crE5q zy`Dm}nmD+;DJB~nUH(6S>X_Fd&<$UrHxMtlc=GEl(tt*wFP~qq<=Cfhym9>GU-pjq z@Xb%ZJ$)7>AA6r~BD?4B3e_LQLgQ@0Ec5)be3j?qi&QJ0>uKXog4G8wLk}|=F43)E zWhS{?@b_l~6;Mg}CV*%e5Hr1IXm;SGQWUdY#Cii5t%fnjiP=vt97=GSFg`_Ylk+GA z1?>Ch@Ok#bi$A-4`|s;d*=9XohFVYo{M4`79KT>Xz@Z!D3vzJPyaaL>qzWA6Rlrdh zNEPsz8o0<)E_TZM5%mLnruGT;-b47zfV~W3SdH02|L0Y&kq_P>IiTYcP|Z@$f%_;7 zVPwS%J|kVbfj#Zy4eYu<8M!D=pm+8p^sZorAC#~XR?f>np!*m(FBLV5=NZKL$Ur~_ zL?0h-9!QXe={V5>051U~Gy7hL@fFWb9OU^LFMwQUy61k4=i}+VK3@?>oVZ^CChqMK zi#_g#9uac_T1OD;dV7VQ`gQbBH;3`po_3tzc^A5<^>o*GCc~XGK+;#m2siqGG{9)o ztEqCbOaPa3P%o1)g1=E59hJP|A<1ihD|ykk_h!XnHt1D=0eVS%y7A*WvK0M#z6>owe?()^Y;u0c9r6yjOsJGCCV3EvC|$u1s*z&+l5XUP&) zvnU^L_l$)!a}zGV*9g5FftcYIXC+K0Ab=%8W1>)f*#kw^aQl%Z`PB5``=^1bSt3=5 zhav48x*s8hgzciH129e^N0{TJzom-ib#|Xc16@cW(nZ}4dx6MTEm?xgwtKE)S3W+~ z>H&N8q~SL`ZQWma`(s!0^c>`Hngu0xG8{S~w$Ap~I;sa=Qq9KGOK=V)_fD;si-H~Q z4`z}&6>7hu94*`w%q6+ykltung+ddk?P7oEWTYA~w%J84(&s5YK8lQtcY=RJ78DWb z?{B2UBYzY4bHJYbKEY^ml1cMO?xWF|Z9I;)g{K7kAqce{&F9jhS0<&jbPHVY#OIP` zCf&cyH+B0JZglUjPP=sSn(MI0rLXH?wKgK{a7@(RJrM!rwDNe^U;d~`%O zsC4IaACk>~nax)$$mgzanbbXiZ@RBv`8@k~=mj&?J#GA-LHE@VuE3udLCR9mpZF-Tbl##jgjbOW%zq%SV$W?WixX9;@d23dOO zz@;yC9cI@woT>7>%Qt;?Zq2Kn729e5)g(dWO#m~H$?uc}QdQokRtJVrLUbY_feHZ# z*;l17h741R95Mp8)W9W{2^UFXy&Q8z`$(dCF3yu_7@np9P9p_;krDreiR1%vFM9E` z_>^IJtGiO(B-dZ~FYF{2NJGey`Kof=YiIZaDC?8|&R6O^N`bFZh{~AualLU^%xdDjyYLd62GJCJ>}Gc!-Az15@!FB4 z=eD6uuaJhGC1}G954&~62G9P@t(!kGc~;{Io@Vq)R}v5*m_sEgsb zhf$Yzis@$G{E`vpFDBV+H+SOR&%Ao(Ov;<>5TiPvJuUO-F-8!>lOHt%6?fvHI4iQj z?lVt5oS`m2Od!My2EY6x`E|*ueJ9ShkZ;S7;um&9_ndQ=KD)rq>z;p)d;>ihf$|ST zs|!4U6#|lr^V!}hBM`kfYeeTbd)*v9waIgPHCmKl&{_;=(JFAS_dnj0n=~Vdvr)>; zJslDov=_iw-EyQcA|=oJNfGs5I*+p!Zx2qrUG%M>SLk)}?8-aI z;lX)GT8B`&CBk|Cc`|u9xfvH4kVL+Lj=Ps%Zd-r^c1riA&v$m7V`txMWM6%K_4cG_Wf7tVxMdhiIjMjp9Azl($Iu7T7kj}o z7Lm|(s1>bQk0pSK{>VP+8Hu}~%~WV}qKJi(-D)Xf0n>95_*~LYr8+V4p?XnfpkLHj zZGE%U^z0<@tP>+La})1>#*Xj4&K~K0m0glw!EKxQ^!+C(^+$;n=kg`u^9UYL1qTBA zPK27AD6rlH%ZIFvqSfRFWF%HeQt7{2N@)vW#ERbVwLo(-j;Af4-?iXdjTi=Z|A1Bz zYpGCIdiQPG!anp0w*^Kk=4itIXYWqnV%q)yfSevL_)PJ!?F&6VLW+e~+vW&#tEbx%W<{QK>YgbohLyJ9qBfv)prk z=bU>l5wH@ext%55N~CeB36eZPf?&cpEc;gsZcKD9K0+)i4ujt!>hQ z;rFQj@I#dtFW50~AdG~=V8jU|4gxMP1|xU2ls-UqfYDBdG`E-2Am#M*4CUnIWewF~ zXJfq^4*v{g9ps1-Urzc^Iuwwl}_g8LvxC$+msd+vui!5-|;&9Ye zl$E*aat;ba5#YjNsKe&QJR%d}5mBRNT?#PsGK8u$oOP+FFtaW`J@)=UkG=VH#1m99 z>w+U~E9J5Xudk$NU`b86h|`6CpI*CHbE-M`Sc)7Rl~@{+K9UJgWITzt_}J;y%d{iLlQ|+<6)P#Ip)HH zsjJhrZrPZTu@>9<7SkKy-@zOwKATP&KBZH*7p7WLaQnu&i+|mL`KRjfEM1Q+fRnuf zM@F5D6f{54GqAEk`_cIb-x7@JAKm@3BF_#|HN z?xP`=w_^)7j5^-D(ri2c*0UPuw^Ie9TPqt^!_{O-Svfft7e5H=mJ1t#6`ipns`K1O z@^eB|So?_gFjQ0Ftvi!TCd7TY{A*;*r@MI#HM(`G@zt_$;#dN7U57F zPAm+HUcXAlS2}XS=pd@r1jfWCSZgxC4EW}%Y3c$;SxqWaN^BElBhpY=SyfN3g-Pqy zrm7AqFntBs?^0E!0ISbbSbe6j`dR>edbtG^>)MsY1%BD(V7d|Hi)vID1*jH5{T~#3 z>R}%^W@&As&evC>;snz)iq@yC-?}Mnede_L=idgwQ!JicbYHN(N20}RtS^R zzm9D^ef8Gaf@`Gs_{M?n^L z80N53(ICxpfue$fvLq?*l!!U|KWNDwr7%UBUFctt=+M83^Gr ze#)%Oq$C0-ZP&%`*zAgXoupq0Nu3Det~#$3eJKIU9BJy- zH{6*50E(D{G~Ama+#3hT(gor$N9W6K&A(i*G%{>$EgUqhPYN8@+1Nm*S0^T+HY!JlY;31CjWdo4t$yJG9!y})7{pB z$upCZuvh5<7cAcuD@hbgVPzG&V#NaYl3l`MCafoVK5kCW#~;HGSW*V>MZZ)8Easp$X$7SdC5N9V^`XrYfFw18l$HBc!dT{2>f6EU$A-5rJy4j z)l%gYs8Zx`r8VfoN;Os3DbQ71X-h3@sPN!^o!{1cjq)vZPDT<7kCY{?*CoF%DVaI7ZPAM zkK;+4I2p3bwxlzEPhFL^Wou@}+6-*xd%^t?er?^_3Bt+kAt52I;ogFmr3JTco;!c- z7F~}p&VGg^pzbNT?~#$$b_DWF@2an&NGfnRToqMS4K7^-1y}?E7=7toHGnR?tWmKD z6*^ZdCYZuvl*Lf-?zJ#(gEh$|;-r#rjI`e}>Eyks>weq3ZR3Wu>#DkVMerctn^=bC7)rM z#;{)}V47{|IWpUjI+v+AGBwImWah|la6-?Kt>`&2boeacVNF6sUb1){Tzl3vg+2(*tky+Rd*dq({V3y3H6(@c5>-sFUuha6_%`u6kCqX zq5}|@eULu2#YTEC98tHI_~vD|dRcHNO?CD*d^Kt=qRL!T!7{_6mM%9ON&T`_yM7LX zhmMYo!uJI|eHv8a<>adDqXF}<0@meeVhe0h_Pgb{90iQ3Om$dW=D7+Aii+}58uW3L z7mF-(Cg^J*O!X=8=_?@RCfVv5)Civ*;wxcVS@vhEuusK$Yn?DxG^%v+lB= zm=QtEz6s1;aARVQsj{4AoBWU?9kdlRbaN*N&WtZGQ&crQPo+C^(YP+|NqA_GB!bOS1^+vT65wdfHu5?lPvirTCm1eP>rU9r7_zBm_qDHwyL36x9T(9gOEOz1k)fHQm&MMcCiN8JVaCFgANN&#YD3&K{1flmuZj|JSdjCQtj{8G-?h8w4gY zkzCtoTQd?X*?h{c*YsX^{O8?sx57O1gyjr`d5{Ktd#*H_;81roN#X#VI0?I1c&HBu zTXe~n`8nU5FMNVTI5k)6iN}=e!ta#K##3Db2-Bdz;;VsF8Rg*2ybl}&7y%1=MRh$r z8G_&_YH4e8j1-j>s42FA*c6+3_+0b=6V)bR-)Bwz`)_!D^VR66!`x3-quMYWC7+L- z;vF2~m6#Aea76zx9z(tQj~FO0UNRT^C4L-h6nk78Hfmm~PjHxEweK_)Qe|p@U}Z?i z82agLW2`kjK2gbmvvsV!1#l(5&mb742{Y$~ne)P&2~C)pX~N7nnIsct#tBZCnVFfH znVDhpgKxk6SKZxS-EF;A+ilB|Ey>+oZa?W5hZ*1{7T&JhskTfYsza=A`T$pn@4Yo2 zbg4e1vPCu! zuCvAF&xicmDykvcdR)71F4*W9hWd1+t?IS|h`3~k)G%vOdgCo`0bNcy@Z+U4e_O)> z(P->eclLZFv9j!zz^RK#r^n#U12t_sh{7czN*#~GNwFc|vQF<0%*wCWR+3-Fju@bL z6x59QUViOmG#@IlW*BmvzlXKyu+~ek`0|1tW|^;@&YJ`;)fe>DHweEBzKKw}WFa@R zk2@-=(I~(Zj($beN21}aDS*IZc?C>u5HV>I=>KgpiyCmTO;(=V?F>7x4j|W7en=} z0y_m7$*7o+3yz@&oJWFr-HwxgX5VWAJGYXfDe}Btyp4Zp6S)5_n46vzNoGSS*k(;Sk_xZqW)>_&Ae# zWQ&0i{FJDJfoj#Qc|4rDd{Sp&yVUmU2b@}u`9NN-Z#!=tnU*}KSM;wRP9;rf2e$p_ z_5&(M)`pNAK^2aJN!L5v0}-z0&Awa|5t6?B%Iuf|RiXT+^JnVMWncV-DqFQSA5VCB zA8G|X^)tXVan4A~97Gz+H0_mT2=b1qi@F`FTd*r5dFpb%i9o`e zPRg?@(!KnFH9_K-QV7Cf-DXvC%~<0%45a@;i8^7clft1eId9SAsW?2ep~e_|8zzt?Ly>y*)p}@ z@1sxz1;!sBvsm8`y(4t7$&`J$0>c>(INS8=K=23Lbt7m#5NtjTnW`D0$AQ@5H^nM1M6 z_inBGTP!E?{H8pV=9XvWSH6HGw_`4pt807CJueu*_jtMNxdl2KU6s2$4g-xuRmU{( z{372mmgw{sZBQ4Ef`Zpn>~*Al)5}jR{!>f#HtD)V~|{NCUPBJz@XhUWc{$Vg17#h*s-|lD@#y-)ot|S+q(DC^wm_0iT)4># zt!5^r25l|g{I`tavSr1lxq4)L=z=q&QZ8u0XBvCUFEpAPP=4XsAS^=k0Zi=O$&J*) ztEPk?TKYKk4kJwfn-$EMGgi>SZ{=+S9ibzU7!8gjAY7Fl8++8%p253k_Qg~S4Nps+ zve!OIZ$2+)(cnV}7f5mYTbr=EmGqy61|t3zlhwz}6XO+YpRUD0Y25ebp6x(+5k@;{ zg)95;QP28xcaZL|mX7q=qNvzq@?c0=B(y;yiiwyaM16a$K3o>>fvjqdf`&q z$a96Uc2n9<8S_%<{mz*0fK(9jil#W#b9}!ofb@y9%P_U98vxo2^jmNqar|Q3 zL`$VnBKbs)Mep*GSQ|-2jlu9TSN)fj4kzSqO{nt2sIt%$oXh#@wB}}Jg^)kPR?dq= zd(@-r)02P{ps54bevx=+fLP)vx;_`pu^My0=iL;A6`W_1`BKGx0&bN?ew}N*P@$O^H;A|qTFt0JKcHy5(9}rZ_{{Uego7U+I#Z~>XeQPCxYEJ0JLV#jt{q-~M@;O)wa(5Zcf09&GP|s84e0$k zxIWQ(uve-!YnA}>H$?VyMXWqbyV3gd%Muv>N;`-rX3ks`2=nqhFO<+);?5>JZQ7bh z;sG1pT{R#E=8$^V;0M=OduzAV6?w6J4KXJ>vhwW(Q zN3@2M3h7%R!*#ezuh@EmKA2?#q`}5@b#d@BlywW4E1XV)C3x#Vly=r@)*4w6WQZaG zg0SdoD_~lttXcG@KZfaBXJ_Gd@dsxKN3)BM8#_074!)bTEZqwq*~l1~G$op8WzGS1 zn>T$zXuFu_O~qgUjG`}8W>Kc4?j2PAw?v+bBiz;8E%>{y$a&P)vn8vQ3KG@`9hy@T z3m&T4O$sR6t<&TKJnGe6Y%$lbn#3@jZ%Vz8t(aKxlp02SIsU+zr5Jg4y z1=}Q^uj&db(LtCs$}HQneSJ|M%&6z!Uft8FX}j7A(}SsL-HEM5AVo+sn~nC*QZYEG z2)6qpStF&nu^b2e4{0yw2S9-mhc5#ht$irKhCB=-jigYYlXl$K1oZl=TtGznAzyvRW@PJRe0JGxo* z-pcZKwON-U37$S%)|Z4SUHKUIZ*z379T6339I71A7gP4^pB&lm;aA@0PMm&DX|$L& zlPzS~xC706_Xkd57`fW6C^ltgCe1i|j-1yx57>y_YGU?n1d09GY{7|UGtzwac-v=? zX@ksccGy(J0rFnoAg&n0x zI5L3;k6QF3pM43|BgMW6#re`q{Euu0Ck(bIcFp1~=Is)jCDv^TVwyFxBiKA3G0H3; zgXdflq;9O+=f8rJc%uO3yOL{sb{|Ft-|9zUVj^0|Eo*9at(p`%>QBH8#j)&pR@e}@ zG&brzb#5xvFrHBEmzeLPOz|&8qnn4cegMJ+LPZhpKzg?pfR1cGV=mMkDJj&>b{!yu zj-`Yu`j4DGdlc(px*O$eL{) zba)x2|EldguK_`BaQONj^zyNS z)(jWC!V7c$!A^H`)-(Agf{dV7!-W1O?$%%4;M%}@ed~oUJwLh}4?DENIVx9e4Vu=wt=GHmF1GBw zKj4vx^!Ww4d3^(%pCqAE5ze4{&5}C|lP9)C{iv=$0zmF9!IB@QKR0;@`U_GaMJJ=x zB1Cf{X@W>}qhAMPDo36we$ntV#)0c{Qa3=@1oa0t9qs2TB20v`}Q2^ewcl@6Dpmx{@$ z-DH6hXAKJ&(VO-kfslWz&ZKJ?OZqI84jV;hU^Tyk*aCo&`AHx{fNiFJpM-k!t7od< z^CQy6f)DJHyZu4B$^ymk(tQ_m>1~S)mgKd`L9+E3)QyJ-8FE3%^Au!M(DyNfQM(#~ zS07Z*v#YF#&%K+zZ7Y`F?x!W#Ccnt$iv&}^x-I%ETl)Jfn9l;(Vy73G1QW;eIWEJ> zDln+-{>8ggF8mH=0puvZ{HO4$fFJ0sYoV>U(7TG6W`UNgq)5|w3JS|w`l8p1sa{%ynsJ1ypPUE4sj)ZFSyN^ zO#eC|IjR<$*)Y7{ru4dyx#00Wli zskr)3gsNe@7`a!`IQu$z;l1Tr=)sYD61J>Y%A`}nc;uzy4|s+dn7Z2q*Q2ed;WUse zD)ZJiH$2Qe(=uOE+JMbYTJAh6x<7#yz#}b98onRj-SRw9t##dCw2tMjS8)0QpJN$d z{<-S>o9-_3=~%lQL7v=T7**yEme4{0N1Ja!X}g^azRtB>V|#Hdi}i$7sS2~1Oygw& zHAW3S_=f0Y#j}&mNTlhKPa(a?Cgz4k?ktLfpA@X#S=%W*Eb2VVoKN9vWVU50e?8hW z1z|BV-msx~E0YVd-#e)~yp+Zhk@RB?LcmK<03=M(5S8f?e6_w*|cyLg;N!MT}AI*lh-BYtQKIi~FxG;9Fa6&*7Vq6K?dm#iLS!q^v6} zqlPQ7)-qck^y~NRS|s22X0Yq9Q>|y2fgKEtx5G< zM?j46w;vYXhEC6@-6NE_@Y!;;>U96!b{4Ki1gRt@QrD>%8+di7(={E=K5 zGMcQ(~es+W9^z_i7yI;?Ki-a2(o8VyM9bFKP`Xf@dRa}Swa~%R71@{m& ze}O}YgF<*jKmgHU&}k{@E&KUK$^QTYcV~G)ct(JmeX%_A8!k`@SZCtwb)F|x1^4n!q|<0Mw-heHM%OM`aaY4hUr2u_V6*o1gP zgY#9-JoOSZcqhpWzw!;6cfu!3hEIBTkZTU^R~#1~@b~vfam);w=nfq6SY(s?^j?+F ze)+|NzqC$#Biw65`&_eDSHi#v0Rfw+=42q_ z&9u~;!4Lr!Rs~rTnyg;~_t^p6bUOhhpMHSW)N1YoqTEI;qkExg*ZnlsiA>^1xO&Fh zic_1!K~ds_lheei4_ev{@8Mo4p*_9OXu>!x|DB97Jpr2M`I+Xn^5t!U@2z!}&>RQv z(di~=J`R$jp$?ru->7}qtj&x^(K3!5B2J?T&v@r>o@S5 zN6f|^-Y?Q4AF&SV~B?W0~Mpm+8&YEr}&AMjIGFII07o5I8N*%%p?&fVE!I{fFEGQykD|1o?lKC;Vm{dO>l!lii6w$x3uG17AkJ+k2 z`Q|Q1clpNZ^EiSz)L{Ta-0!OT)&#TIvJ5fozsV6Byg#Ifqt;caczpe#X2u#5ZlvE? z{X;dRf&{bTc?09jrz9SAAa&RF``x~5MYrmUE2Pxevvr?&m^ccpl!|i=S4J%1C3gw- zdZIuR*`}cS_OB2r7EH1gy76?6CPp9Qm3xB^hSioSqQQz2TWzyWn<`-m4-4dW) zS16v}tO$$Pcs8X4PNuq`q9VukqzURAPLA#AS5hTtG1_I?y^2WxSgTIuOhQU-&K96B zGDQ1iEes<$3*2}-m43_@((@0EKiJ>&LnAs*yT5RWHR(?baESkGMJ&~!$6~9yn<-jz z8qmb|B>tfB)tta#q*JZ>vDe%7T;rR>;=w|uRl{_ERA`^Avs;{ZZ!SvfI~pZcGgRry zrSQA?0$;_u z?LdbF!O@zh$V$9fvgl#qA)1JOqqM1`KG7xP476R_9C+E`WW~)L$b492f%cIoMGa;L z`%Pc%EbJmKeXSd=A#HvKo9ZI`3bR2?0+m&B;IE!V*fg7H-{>gU+N^dFX(xR*V|gbq zj1_lwURuJ9&xL@A69}W0e8{)NiQM(~MieLKE$^}A=nPjIZhDps&ibG8Z36Fl>F#2m zeVLphR&p&$?~uJxmW$fHs@;sb~ti5ld=27~2He+^YW zl7UcGp2eCJS`WYAJLu86IVyLY;NHt@d3ewGSXZi8R&;uD{+1b8Z2>!3c8`9WPIm?2 zhMh>2iATm{w6l~@kwlROD%=D3C+!!mMCs1RPT)OK*@ZuGT(xq)}!Ps&xx<#$>2D zT>D>9K*F0tAA0=+!;}YCu2HE@5XSI%(`;Qh6VkS)jK2Wu(kDWm1tnR#2Nin0&<=5J z7uj1W?QkQY$OL*%&#AJ;?ud(F6-#-EWo|nGyD%i=T$Ri$)Td^|K9V(!1&n0#YMjeZ zcB?Rvq$y2io|FPFdVPm zbnY)bIy;2Wl6|qy0rRrSTDO*aDFB$SD@ixa)mWH(PkTKx1iAy3(=;9y9od=_TopjRPiutP6ja#)8|2c1+~u45C2S~F;^S&Z~s@&01r zVN(Z^`^4*PRJsc~Te`NbM|#NC_hoIp@td~cc~8P5gHF#Ae8b~S9}DHn##X9L&9g>W z>$oHum-Km$=^F9C-e`ngu17$jXMrN{#(-;cyd*6!Q?X9d`usIqcwM>UNVGYtzmRE8 zO(nsSyVi`_7oqnG%9I8C{$@BF)9Nh6t96a$i{TgNv}2v%cOj=uNn!0R;zv2Y z<1bm~_+{)1FsNTfcT|H{_aMT=5PZ#|)qFV=n)pG7XGtNemn9(-L9nn;n`ayJZ)2fG|FAIfJFEK<$&#v~6 zKyIcYfJAd7$R;G=Ss}4ag6)X6N334|f-h9JeH(1-6N0CFW0BS{nN{F3zjF|l!lx1S86A0M2qeY|b{MdeL;I-bQw+fj9 zMuN#gKI5G!1j)JuPXE<6*!tndMcw(1Bw00wV1eDMeZJVq!iPl5H4mAXD}%cScRomZ zjV;%ffURl&Ar{I=-WGNHw)meNn~87L7!OU7oa{X;Uf(8LqtrxnFS-awAwgs72nCcv z4qhu(M~|GC`Bk)j4?P>gtUK)TJF0+teo#kn;ef4y3DjrS-9L)X9qp?kb-+e({kWo# z{B(g zfL5k&9G2HVdNxWq8@i2kxGB*uFwe3jA%T;$ucKld4VU7I3^3#R;GO4%LtWQS4H0S}#U*Z@cFe>?3un znNxEff+{6AjT*7um)^%edIZYtH_tyx{eipxKJuC=C_g{G?=JB(*6+6)fXe0~I+{#S zp6U1HfCDm9*zQNHMtwOuR&&t0?;F6# znnQO3DoW(yGPSn4HzS*u-1d##yL2#D>92iqIqc2FXz!nyh+gK(A@FhR3rg{5;2!p~ zRbwuR5&^HOCE`(zmq}uQ?%xlpDC)GD@XW-mQXliKV@J<~4Z+I|oMaIc^*RZ$2r=c*s~w%# ztdr!*YT3UY21^fOsvtYF^e@{fWjb?Zq;nFqx(k?sld{))m$nEU*M;dHNvB+XdpLx$ z)_~)s)`(M$w0INj1#zWKb+#yzc`Y(G1*$?lBQIZ9<~bEOd=KE#R-04DJ!2SkX);uD zvxFxeab$0rChMN+tNYzwqY@L&OGF2kG(g+Qc2;z*X5O9=-ZZ*3jQ?A6lP{ObB5B2< z+>Bs(*xZqf+R4k!$TA}^nuhm@jIqdbZdL3kfm+lfnYRha9mzcqi$f_lVJ@RC328|Z zZyjO^Bg&g*B`d54XNoCY_SE2tzJusSWyL=>cDnH@V@`iV^K>1wpeV)u zE__(f32u3u$`PIdd+|&GeJ28!ROp?g(5pOsfH=DK=@$4~}tDB0t-yME&;bSw{4 z&7Rw%?2&WLbP=Blo%N|P4kGK$7PMDb5-|AJ*)RY#TYiqH*kWl}TI~+Z*0qdivtbKc zsX|w{_tof@as>tl6L2ZxYHT=E;l>R+;0u4-=5aaDcq&WkJLP*WFlY8jP`4y~fGPV* zd`Su(SUwm1=U#lC?zwS7n$;kP5R`-w3ljH??3_wRhlWaGox6St=!vwQiy1`Ev) zM-}!8ra6#PFnt~qQQ=FWHP!Ro5ObBC3jU2ip7px@)F#>neCunx*$c$=gYrp@XEZDE zbpkwo20PW)-;8-_e-{1vdelYYGuv{Qpp|-D;d>MqVSorNny-e$-YlBZtBOgVl5_YviB`T!y5c z-PSE-TRKW}H&Jzhc>YFCKTEo2aV}*>L0VX0E>w{!UprIR9zzSEK)gzbUdabN);5-^#xl{{?>$`Y&K(<@yJi z0i0oXY|sWY(x*f>6s{{k*n01F$(Kgg_qQ3ifiva?YVKQY+=Y@eL|O8=tB!u6T{l?Q%OVEMfM1h&7ZeWsjjpZK5UK#qU$Kk-;uxd3cT z>;Tr!o&bT&{}AV7*81!^JAmU;l5A|Bz|Q%}g!3P1v;Hmde-OFYK83>dkAygwKDEUP z{3Hcr|GSoh=`SgKlK7tradGMZbO8Th z9-RMe9-r3mdGXipUsL!y|Nqen{)@{0v-&@={$J?*FIxZq75+)(ud)2A>Az_HpI7n! zUbFupe6G)4{5>~$c^Spbt(;687{#m%oJ_u&7}*+|FiM-)m^pn1aIkam^CQ6h*W7kX z4^)+jS^*%p9jHAv@|7BP`q7KVfGsK_zRAyI7V{ZfSJ%yQChqX}W>E&j-B5-e&#viJ-Vy(Os zr*(K!_2$64@*Tlu;$=8u;q7ecDF8>nrYwR=-+w4RX;^o~$h^hq##AkB@vVNUz^>zL zn0?MFJ!j#BTH4HpJ5>)4_e-@mD7TQNza$LZ{T6$<;yb^K4||uWTPtL(2pKeGvM3?R zpTl@Vva2j)YEu%<014KwuEdK*FoDEujjVzrIWN8JbYlPU38PZl^OV73o+~XjEyavi-1TNw5X&VowRmlxIN6 z4~t0&cw-GIGq}>h8EmDNesPaS-8Qm2-%*McQfXA+q~Jphv>(h1$0HE&iKQUz;bb9U z+9cQDWq}n6f(jz;0Vj=?1dk&|%b~>1z##3}F_$5f4VLx-F*CmG6#dO|UuSkkN?7oI+W~nMr|or_hRR3;nCtj@MoqKFLp*4d3CgLYTN^ zAMlNWQn?771y^+{n@kqHb1>yNKJySdzDSxyDV}9pRv{CXd)KL|0WS48ylH09KR!MM z2K#IIW-$(S9X?{fmh@t;bdv)i0}>F&Bc`O&&yEdpRwT?q)qk)RZ`)Loxj|Z&Pgs%nvH_titzwTTA`?&=C zyrZ)GJF%Dn%xp|d9PIx&xlX-d^_A!5KALP(hBAkYCrG!(q)`EAgDk|x#u5~?QtHAm zEFyvw#$X0W$kc@r@*3$NxJfjI7%$n@)-PA6l~5(v81$NU6{>HVLX~xJkZ)G>sv=urdRTRwXnR=QYlH6L1%M#`3;}5$t`2RM6OiJEF@C`GE9^-y zK^qycW_U*eAgO@_?@%PnN2Dp%)DaB&Kz90$#hY_IrIiWjf(V|AF}C-UBhbr5RpNtx zw-)lW+$mN~IoPSPg5DQ7Pg`K|9U}FN9R;yG2Vpu(>2Mos{k6~l4dRjla^JqVI=lJd z^%ORd+aYvVQHg`G4^B$hwogE(7jb_bTQDDl(m|IT_?8j))SQnXmFmXA06Iv(@Aqh?nOJ6ZwgOYe+3sYq zQJqs{vc8+d4g%3{ARh$wxg39b-FRXXm5Fxt{26mn(0n87oKWNmugRD1-Ms75Pd5=| zMzcd|s z2g?A$!ERB9oiq={>0VGb5{y`5uq2FdP?>0ve%Tf9(=WIElrnK9hoEjZ| zNm-&_%yB*%IWr>B-KxOuYs&d^g&)D-yY1CUYrBwoEz}W`06k)jnn{5!>NhGb{an#@ z)OteAt-Zb=Kw$b+)F*-W*-z62yNWiH7o zICH}iBahtr2J~K712#~nu5{1&wHZ)NAMW5rvQq>C9bcH}&{5~=0Mh=D@s0?SqY+S~ z2~XnL8m~aMs%yNd_3R}EW%rJWDrB=o$Rht+ffKslMs2I!kNhD#kZ4adR5>gHIHr!i zflJaKIPr?InL$IwjJ>SxM2&&2`YbhLK}(-e7ftFpinuc#)v>#8i3Fb?IATYnR@EOP zXzpy}?d?fg0OtDZfX);jqMak)YYXW+1iE9|R?S6q#79;z(a#49iEdhCcWSLG>cZD^ z&j9adFkC7uM6Ww8^3V6t4RJkx^gLhaZPwpA4z;xC-F2&R`X3Z7!Q)cP^nrJ)FVSx@ zr^q@<)jK1X?d|a89r0^>#bHLt*A=LhBd56~@W9sgZ+fO`K>t-~MPYtu4`4yxF z4_tUY{c0;=chUDU%SejRpG*L6FL4HprLC*pFw=X)??{zL4iCf1dL4eo-NOOVx2H}tBflha+R zWf!d8kTPUs5recxLI{Sr0_F>CEyLHRd&Bl;Z_aPTP0{wcdRSdvTeX@DsEwdE987N( z$wFMN9CX|BLg|%Q1x8kX@US{breMMXur{G$)H-f@1favP8#*yc&k?cv-F^;#=!Ezx z5|k{$59yhisk_ip4(w;odI*33trg-0Tc^WXoqw&wahJ#Ir zE?XM$O7E~Lm!mz9@b(Ho4t1wZ4!0F&!ZwO6YHxYqMLMq7PS^%mH(M=&F>Encdi^B! zEg_Sg>8~PM*mBf+^M={_6aB1HTXFH@y^#-L1!XO%n9?WGQ>!P?BuJt%R9wHM5#I&J zLjNV-3&%C92BqT7;wAck*j8WH4QD>oakfJQ9m}XgPx%DSSN?(Sfw0!Tqp!WU2khKg zUH?@&qE6k#fxQBsIjrf4*x3SHN*68L;i2``HvR=)2RN}QtuVA_t)2t zFv7nXC51phME&kS0)RzFB$Lujh+u=5LH!u*do{K*a!3CbqaSDxjo{7%MWc^8+X-Lz zYl#UfRiB?OfP4~+Rv)7@sIC(2K8Du@{QtYLOIK?5bRa@7#X$;p$=JdpfFBk$R z$e+MrQtt@F7hzM}_lrI=-OQ_wr-CoNgI0&Y^aBS-O-fV5xu@70nj_sl-|0=D@9&Bj zp2>%v=#9Jkdz&7C27K@9WTZ{?$11Ey7XqH&ZRAd1hTBiJ3N2K7ddijrfSXTJ@NYLLL1QMf@$5g$j=VK%J~8!m4k?tVZv}ej~OFSBBCM zh#e+A;V%NK_90dgo@dOb8GJ5d!>mS>+yVGI&*eGy@csS>7)yYA_E6FQ*Wts&*af#9 zg=t6T@?M!^N=JDv;dH@>xwY0_q8U`!<)T@{Q*uOcS9gWHW~L#bB>8lnR085$Cp#_p zqMrMsea#^V>iIs|17qKCw?-xTXodBt;%;)zi`4%ejLutYTdda$;g$pGu%egYYb_}z zX(Hf|v6D`u7I26cq5A!I$86;{aPO0I3xwMRn{pKc7vc_CNO7Y^)xeZU+dojaJ>E2K zbfoSyhiNB`3N+pJri~5C(Hv7Y9dL*{Jr9MO6g3+)`kPFc4h3-6{MT?8uME!)IvBk7 zlDg$!3&exg!FPoNRa!Pm#N*vZ;R;;>KY0}b9}%M$a%?SIjyC$I*Ppgz-KtK zslx6U$jH~$)pV4enlp`_AjF|ji3Sb&>&@C(A;oVFiiW~o+NE6m=mZ#_JF75p4cUUh zqAbbM$fzavGKT}dIW)h(3lJYpT_5f)`YiW_S%6jMAxVv;d z$A14shJw~B=m_nLY9`rGLTL04qLl!s4wl+^qpFnk=;x6(b#Y1R2X(sGZj((jUd$~s z;h(2!(!jz7iDJ`MFd$**dHX}CJgg`tV?iAuxy*z|8`cRK!&Q6cmu9XM2ShUenzt|33Ga~D z3LO{PpKhm7>IOl%4_UOnSSx5B{YpbOzsn_gR}G0{iS2kL~Xkk_GwW@|GSXGCLB zokKw`?~R{kb|%`OjovMhzOwuF&_#7~{1&3T#7P))9{zotno`(ZfZT4HBKx@m;&68; zVax&KW_JEpQJKH&v%80{V_Xyi+IEeKJ`VMRRrRezD2{tRs*xJW6y0^|4k}Thv?gH2 z3Q#Gj>SE{$9k2O4GzTV1SbmoBnQYRAS$nB@@}wCZxK&&!D`Z+k@%- zrUgbpzI}n2PLNJiIz(fUefxqr&A@2&yQfr}3VJzhQNE!vd&?t%7E4urGPLp8fO|gk zsK}~bCDRfaIub0)-asczRI+|1^oJn%nc+_&&k7fuFXMMv=deSvl>&RAEs@NHMvi{; z&3H7esoz+WB}=AI^#T_x6%GhwFpT;s>;q&F<)ixH$;WM~@jI(Cx0dGWGKb+pB6PQg z`HW;KBq>XAws4CU{dV?nh6y$c`#$Ca#s2 zioJdaCdib-J%S;ud0RVLR}Wzxh6lscDnA?7zrh|8Sti97)9d!_T2iQ0;^dqgH{Taq zlq4(`-8mH(@9sn0ZBkWfgpx@~cgT#XVOV-1stKHfHXnc$pe;5~@n(=Ug%SeS+=L}U zi-K)5PA8p^&O^lNeKh<%O>nuB4$HUNa1y>0n0Iw26wwoUK*`S49`+h$zZA8tAUHDT z*2>%!y5sDB)7c!a+TnfvE*YhZDv*&_dqn;==gpqpkaujJq`m>Z-~#A3P0Zk;dp|wA zqvdnv?Ds{dCKg?u9Z2+a3z;F4-7Z2m9mpNcCtKDK#YN*~2B%1St(IY4!pL5oA#G}{ zriO~*_GjNYb%Ndsd9FTl7oJcEW~J`UkA^6Q=2cs|8mGiGF!&ITr3L4=&PTvcbYZ^a z(DSnTZdAQ>NjAsdZ)3oxdZJhBLP`DS7H^3=9@a>XvYVq@F79jvXf z#t!0I!Yc7Bkvj@ApG0y+p|t!pLH zRi4(v+AU*-H*8ZG2?iPR2tUklO*C1V-?2S2RGDO<#wX@ioaVNUpZ^Z?rnR6BAe@l%q z5ArDPlZL5Dy5AC!!lT7V_TR&6fo0MOE+?H_9QzD6xhzj%IT#^F60zp5xjkP7IU88m z%s%H)XiDHuPN8{?-O^?jNaGBKP{||h^s7;14Mm&mS4>_p!z^!5eKy4X*Jue6=bQ=6 zf^!P%jBFcoq8sHBjrwfzxw^ZP%7a-eKT<0>g2!7&(q#Ub8cMK90ja5+a8%u&ajEv8 z?pBQ^uc0mdju{PHn>W+>aInYseU4qK@Nj0d{e*ZV@l0AuDX2EIxzDJ@j0$&9#T?7S zmzSNnq6N?55b-k}_05;h`x+h)boZ zT`imEW1d@5pLBJxoSKqx)%0rKf)Kx;ERbJy+k}? zn*7#v=sGd1(RDy6k)%6E5a#nbO9Z0uhDl!9W{1V1JUj}$^HFg0zuID@-1wQ- zW|C^kW~}?Me7ssY`qqc~uNy{9o)ZO1yJS~UeIYktE>~F#7)Rm%jB2Vj88(ekdaVve zB&+oy)`jrnB-$poUTldKD@lO6w?tC~1TO{;uU624o?7bcps6=Q*p4fklp8<4Ozhs> zgh=;kQ27}U{DRiRTOnk>f_n(e79&97H=0!7fH=&7_Ha)?C)NG44`f?f%wXkNpd7od015D3gn(mQ#S8eTl;FOFIHne z|wWf^ChK@n;ur=NjI~On;cf; zaW{&Nn+%rjT%elM7P*d_0v6&)H?huQ(U*q9Zgw4*!){ZZ#k?!oelgM(2s@78Fml5?Q{m`1Lbv?j{OhMji&$5y#?eG)!ed4$yMEwNy$~- ziX^sAOz+U~^-t&0y^%_7m>ykdJmX3s)!ZUW>FFQc(D4mUAEqoDzJ*OZ zH!!D^a;>=)N<23_l1;QRI#NxvF)-(m0;g+B$;VUoK$EiVoz>wLvN39MrfbX0x76TH z%2(3R?3uQwqc<`~m&ujF3sl4o9~6oQ6ae-CiNy27HbSdWixF<9SEwYY`t;^Ue8w1wdpN1ja=5*tVS+4*eJgPk@H`p+!eFx$1! zTan0-rvhzRMv_kE;rc=Yn7nk->Ck1l%zXZvl}k*iSi<+QhtjAzG_Ycc9Qw_XQpWWU z-TGelVm6u4#r#eEf!DF{LpX zdyJ>1A)*nEj8ZZP$yXYnb4KwI_9*jARU)NA7r+^-Jigsym2;&x0h zGgdVAD@~q_1jt?1d-e7W03^G!Rm@yywLu7_+pB#duLjd+c9oP91fe69q+gB1tmT`QbC4XQf}cC5+o(5qhk z2ac@GUwL$WG@Hqmo*){)MvB%HXTK;57|CV!;AmceyIF ziW^=?ki{@x`Fs*RJBY`eZdtx~sX2|tc zH%HiqwaTOBNNWjA`$?QWJm)YIEw^7_2gDw>vtco$39zp*U>nwrS0gU(e?=S>@PDP> zHCP2Jt%3nx{vQBdK%u{go|=&R(9>Mx3&6!SB7BJ1h^dIlhzicdqE^nu;6&N+`AW?f zW1fzB3>Sk@t1690J%K7rpF?omXe>8kxf3}Z-6+l8g7!ulWk*+Rg0nP%%h3u(XnZ(w z+Ca9Jw`)8LD~k59rDd2WAY%F;>(Xe>0o4dU=31#OSH_uG)1}C%rxN6}>sM&{B8?Yn ze5A%@jTdP3@-&{S>4#~2C}+YU1WK1;oDiP+l^oSbl+oN%0+M4X%uoSa0QoDeLxVT}x&j8ybA7=erX3|hbtsjF5&FPB1qM?U<=7$Us+rDu z277Ea+9P;0HO=Y%K$2KD|D2xTyt12wxMRs5elOZbzft`L=T^caolo_Mb~JF0x<5A7 z>Ap87*Lm+f#J=Mm(SA>dVE@^6!M^=A-tK-jJI{Gr2XEipad!t7+L+Y%n?_!4jEqL- zr}vH>oZibJKwsKJWdKpHeN66B)99Z zV8@$_u0xa!?>fvQ%cjc5$wV@N*-YqKC))RsdQyk`D!Me8)ZuXJfFm2pW;QvSkkiS% zS>v0@Ev(`;evfVrl!=68; zv1xV3{8v(n^Z6He`wIsJyZfNUO0|0q#wRlV97dDzgcN3NdvbW5vt}yBX)4D1eH_;D zW0*OXmE}Bq2v^XdvI=H@Xvh%CA3ceN>G*s}d{LkT?XhS%J^Wo8>VRWdMuMb_t?|>bl+oRut zhIm(IL=#!zy`eP;I=<;;;YB6O4R zuADPDkCAXFA>r;^;h(NEuFqV5b7i}-Tti)%u5_2xCA-pGk}Js-?=rihU7;?6i+6#G zuc>j=5ye~$)pcb`EI~f4OmWz&yLq`*$+uT4p*8iMPC~YMQLAv9aYxrF!e)G2tW(V8 zGwVIwB$*a(koMsM2c_D#VXN0}Pc2jY)zds(d|O*;nODhY@~yX`4An~hR7J9t*)K*b zTd&sn%2rKXj&48TwwSQY-yjy^>CZrel8}+sV);5Bhicclx*axB9pE+x-Fm&HfGkoBTKW+x+YN*ZbG{=lZAmYy9KV=E%JIx&d zQ{T>k7GEa*h~7$w2KvP&C}ZCZqSV;WTNuCc>%76!Ut4-{dJ&$7U$gH)0XzVI%vNl}>N4^a$4g3t=Vv1Qe|6 zhi0ao1nXfO%)-7^VU(7`Qfz%D?1sJ21f8%Q^^Zd>+-KMiAzUk+slNZ_uIT%3_U*18 z;#1OkZX4eQt#BRO2Y&>7^oLt|pZ@yU`v!C?+>N8S3AW*!&g94NHN3BXZZAcTV!WQh z*sR7`c?jp@KDd=+z&)@D){+SL5j;-v&x_8#LXW~0^nE@(1N$&;590i8!-%cKdHE^! zzUIQJWGD&4b+Zs=k|_8b=KMeQUNIsJTPhJ z0nmL(5^$g3-sC^0dHzHFJkV2;4hILG2gF7qalJhX4`cg3h8;vAJp2ugLNCc7gK*}C z!7GU8Fm8{*Qy9M!XpsbO5_0LjV2yUMNzh8a+!tK!ThBY2*5gjO7x&j%Ttj;9zgqa%eG#!j%F2?e@AN1?8juISO-HP8*-rls@)m!IR!(9WkYsqc;1MD?C=pI!m|s6 zk%chKp6f8j#zrM2jmYDnoiD9&gbSy<@EPvhd_FcZbc$0x?yMv@py3>hVTNT|gshKI@4 zC~I<(Rkm1pF-lAsJUBfnF*YRJ8YhSGVIA&hd5X-%@Uom_CUI$ej5+3^3P^}DNTS)A zBtVHHC(&V#fka1)*_o6x=c?H$C+#t2a5{5IQjWu6c487^PISgN9Hvb@Jv|h8lH01b z(6RVJ{{ws{G3PPNHT8^s(XH1qvY5lL4jE!G8VvDq35oF*4DKl6AZc7;JhAZnp!~cf zQ*=h}2y2RIOiAzbqG?0O1NV`-q>O^$U+f`2-k%qiWKXwF$y+~n!oj~m2opx+x`gE3o(SBX@}fKK}vI-DQg zk?Kw*4^^a^BQp8SjtF-|_(K&De9ZM0OI|`&^7VOnMOpTBV$RWg9LUiaCrzQm4k<~d zlXe`YCZU+4M=vi|a>pjbXBBoV&PpBLv6#Bk@m89Rrc)bx+TF5Bg3EW?W-3Ji%gRiZeyUkBf^o&oM7E^X5e8?mO+y zMHMc{8qUYP%n6}9mzEgCM|HRpW77=0p(9O5BL)K(Mq+nyX0tWy`jnibbPXNFjpoD; zl(2}U(A0DQ7lqSqI)Dj{*G1b)y-0fnMH1tBL*XC zg_r_!x+6b9FgGSmm|HpjfmsvpSX|pYR&KoN*;T#0Uu+_VU)A3u7WIBKV}AC{y(gbJ z(EHKWoOzAC?EH*f7dqw$DXn8MRvFf@I7(X_>cZgr7Y03aIHLhKi){!F zr&t(Sz~P}}Ts>lFLKrTE9P+CtK7Ocn(ggSHNiY1wIdpQ}O^dHAOuqVecT|kYi%77# zEBS`15-To)X+Qq>CqL`$n=vtOsCBk5$hD~b;ilgbakW7I2g}v;y|Xx~LfE11l!9b; zsCis+vLhorY6zd6k^WFcWCr9p@*b)fnJDoNJ~^o%DVj_wC>U%wnUFY=H=B!6BnLmZ z&7N~Kk#3bl2OYNE;eZl$$T;vsId|6B9W(&R7c@hgP~BrR(9)5kpCEPzom)J6W8&yR zVNo}ad6W%rEo}+r^VKYLgjfub*+UY;L*s{y=$+%tHia5udRtfa-Wnr@GTrUkZX!)& z4!MEUis|7Ama@t(?k_J+Pm0LPi%PGWO4i*)MmoQ+@TbQ7AIiQ2yp8JG|K7RUM(b!9 zjW%mvEo-;rc-7dJ9XYWR$JrNW0RmYJNy<{Tl9VJgYz=8Jf%X;J5-<&IfkGir`d-Qd zT4-G8M!!c(OK1p`5&}v8EiUoo-8&=63efL;f0B$ei|)PWoZs26gmC1$!q+Od>h5St(a3RS%YwX48WuGeL(LaW@2m2@lw=#g@f5 zgO3zpmB=E81QMgmyKk&Agc&2nEFvXkl-Po~68a43Su62W0e>VfnGT{5O88x!Gno+v zsziVI-rMC}Ph7aA^NMZz=Oq_x?YS^J-QFMLH0M+I_){Am+?13pY`=NilFR!WM6_7X zO)1x6N<-iwLMQod!K=KHw!=X-O^znjo4wnN$`tj;`GiRf>7hNF0xe9E{?zf+Q|Sz>!HywF)QC)(tx|q=X$BaFWnOIeFNsp8;fW9vr`Ht|0$?XpK;P<*RCgvsB^AME3AJ4VJ zTiiik#39_dSGMGn`(<6FB^f*RJKA(Su(tE;d$P+u*++eHYkOxwoyn-}@fCxgyhIQ& zeC|W^C(TvS|1ez#U^`Od~{o}V#4voTqSDQCY{FdTOt+b<9$geQZ!4XD00`>w=gkpYChD+(i$F9 zc0}{4MlKQ~OWr$%Dfv7q9G^#pow<9=&JYdA;@K)I!Y4$9Mz_Ut@ohvSBE;f{!};c5 zNOYXoj|Q-Q)&(mTV1 zejPzaIGkpZN!M<(7=IACU_((80S-27#bQ+ DAoP#!xlRvUYK43CS)1mwARYfoU%Ulxwa`bRHp-+a%)3xB$6&dzdwAJTD=-060` zuQ)G#_4V7n&^kOnggkJdCvfTO_uhZ(a;LwOkrmet=G`W`S^dechE{fD&Cfso;?Dwe z%1O+VjX2VXW1jf2w;30Ck~AJ-7{f$~VRM$0Ern7Cc$?K9^LQ|y#(58;R7{kVKn2yi zO%^{25atlr1_HC1b~;m4w0@c|6|NAEYh&IPnSV30%Q#)?DY->~7tTv~y6qq&FX`)-rnfc-wwXLm9+_v&0EbkStgGh`MJT@ zmhQ?B3~j&|x)WOwJMe+$MSk^o%~rS1h>UmfKA*-4W_$l^KV_$Yow0lEAKG!CWVgz! z$a(-92@MWT#(53C!iD zH1SDyR37K;u2c~f$5Tv1t@RHE2@qop90~^A zzMh`JJ}+a2gMDuIB8rY?WR2~+;`Q(wd}A`3tq++ih_2>p+i(55@?s z<70%<@v&4zhFCKyX4hDG4ZWogH{H}ac687Bi7$398cG%T1?9P|>u(t@&xRLF z&$)PQuAE@AOJ=RP$ezpX-M`|?C4HpaHogEYRcbt&yY7FCZJQMeGd)}8tlmFjYaQ(` zUJ^0S$+oWPY`kODlI;s)M&Z>j?TM6@XO>;na>Ln^;l+g&i_}=I;8K?`lB4|K=Mw9`q!7m8Gos@YurLH(tHEC{Rq^MKz`18k@ zV*op=(UX#8DiWa*sk!m6WJm*|E*$&Rcr?NRjVOT8c=7F`QH*KWKpxd{1!AtOB%g-c z4__SdaXOhTllW^VlgJ5I%S+Ea_pg&$leecIKEcmRajHB$onO)$(1{jCF7&}GMG84^ zmq=koqf*g`zMEIMSj$AodWgj&@}H(r<)vwZ4ep}2-G&N*1`Ooi9wT58%?csYakBXK z40;KnK8=dLdrajb!~Wm2#;dfNNs1h)BN$%!)DPSC?(lbYH(C{z#+LUwMM7V>?V&3} z%WU!1wR5R==9MBgO`gV4YZ1%wkJ#^6!JtSZE5mu!L5<*<=x8&FN|<#8Tqh5eEpC-w+w?gek@Cpym%ZN1knNG3!s=gSqaZ2VCQNHUo}`K z;ksvL<&{-e7Odz!Fou^)N=I=Hdt&@~Df^S+6-0b5u^u=luBCe-(`90$@^7x8nQIbg zw&**C%0=HrH`wNmuA9}nuGgbBhZDjVBYsXto1Ok-ING-$ICD+S`uxd;Sb1((p|gZS z!qs7)O-q#Py-wtpb##W9Rci*zk;sB;FA=^n*yiPMeu!1Aw*(c7xAcTvjCXLTS=fL6 zo#k6gjaE&lf3QioxwXU3n$_a1I{lO)CG;BhWjY)`t{2ha0od!0^T4WfAji0pu`(Js zWZkLuYB6TiZatRJkMI@!PDEsiFCvWNxaeNuGpq&UiPxEXXmxOtJ@vjTg%scnfZSoK5%@?)L$Pwz778Cmv3}mwr~Fa z=T`n2uYW)ok!ditqhJ2G-jL+TMLeyCx>zeaG=K>!d?TL8-ssm zreZlWVknGJm&vpNn*+@fO$|>ITSI_sAkgC^EQ)nG0=!!2R|^KKI?Uk(kjcl#$i$x9 zfiZDb1LnZnV*H20KuN9H^WY@vwNe6MC1ytW0TL)PQk=Y%=Hh8#Loi`e$+TP~@wZN$ zp{qPJJNm$57yZ|+IagJK{1;ak~Z@T%AjU7vYSCdWRYnCkmOy;r_Jnot1rF`g|Q}COfpv=l&s6qi2B!+$wT~r_*L^I@7cs z4k{UvLaD?fHm2lgvodBk*&I1hlIx6CryLl}dYX3kxZPg6ji!BGY;QVKQfeEA%$s5v zp<>lY%>jzLc$_>_9jZD^t==HHD@-&gGnfmtA_Ju)H3&>Qd^j04>-0Z3YGD!`@Ty2- zV}tO>Rl-LuUnGQ{&1fuMr?WjGDB#=aE@xvC_8ThSY<}8F{cWh#r6NCpg8ei=Kf_eF zfIFqSLgTrQiCE)@&wb3N@f&9&(8~g86B|PQfFJv}fKr`BsdQ?hq)Hor-q|QKr7@R6 zCR1xDg|!FAaj6szL9r2+sI67&xK@#DCvUAEv@#$W3^NAPT`ARZqP1?Rk&Rup(kuyI zycC(K`*%?-W+WD@Sn(32x{-DGgK&0ue(Nj8GxH+CWefG@eP1`4Ls8*}zI;pK)!#KW zH(BAH`K*=de!!V?a&BcNqv2-@!emds0qavU8@9jvb8Com24om5!PXW?!C*(hrNC!d zF6pZHT=-Y?0$@R#h#8X^znv(tTFpdBYtS)<-SSi=olI2};Ys`mxkVg*N`!dJXCtq5 zp9;G&|1RP>CjcOuBZ~kp2!Jd29zQJ)$bD*kK<}^zeEtBE>z#6sCuGxGd}^dV!y9}a zU&^=Lhf^${FJQ8(p~XYmITIzo>E$lD38ZQ$C6bg{IiKIfA^$R;*N4IKnCZh%kEcUs zz0NAEGF$bjc42oQlL*4ix5EhMiN&VfaKpij*6edSXT@e`TU)t!9OG0GnmvNDXP@Zl z^rP#N~>h$Qj5@rH^6=9S-+uX3h0+yE77X?GuNmugJ2LIVd`1`QZlhMV7sI;D zW!J9U6;`{hzhLyHUi6&smGrW5?_h(F71qeO*5T$%Uo$2WV~+UpeWJuS@*Tj$m?#6~ zp(yO;8H%za6J?_G3ertEQ|YFhajQAKTcIcYlpZtHV?Ib)DyJQU^whDpn72skg)_z6 zj9(Y&wxx*lSC5Wn(l}@qQ|el!t0l)0*F_m6ACK->Z7nY2m*3b|ynbbAdC|3c-9u~N zcf{ z8II?$069$n1!@8`p7eOab|Sb>warqsHpqEWBJB>Po(d45NJS_tp-s(=4Aa;QZ~5lY zI~T-4dtZHYRYQ#X?XNC==F9z6QSf1HrscYy-m+)IIwFU&`_Uhc-SxsM!g-88^dr31 zMsO#uRq-08%&J(G-k>CLL`ln(!^*G{Kq&Ga25b-`(q=%0Gd5oIujETeX97I2q5)cU zkfs$1L+D^QY_J|=*(PW>)ldgZqHN6P5n2yTEF!TMf?u$ZjFm1GkyXG*u-KAAzB;am zQiM0(7in_omM+z}Vomq`E8QLoIaeFcJ})5_wXe1%+M3Y|!Y)fPExg>AwF)bB{=S96 zJN01wdvD1pVNs^USWJLR_`K1`Mq=Sec%l@EXeqbcjog?GKyKEuh&`iKYZ;a`+Np$} zIcPK{PWk;Q`)QR#EKx(Tu{YD(%jKllOLU*rVnrtHQ%356$ISp!ilOoqO0m~O5BK(U zY#Dy@&)59r8^Z@yj5^E9`K7zc-qNNy>qZTYd3bGOP|tLF8!C7-IX)O#7P$E3eV2Ui zx>9*0(!mEwrWNe!l4K93M4Tpg8%IHEq6GCC(azK6Qwp11aVmK1;?>zoj z0~k0ZY^hj?eJwG@*p#bhZbldGZk>LBKoQTZ6rP4B@XS__<{dIQlHbkCOa`%9K+ELd zl$x`tD|6dk#Ho`syBM5@X7b$H{P3!-JGT2fJDY6P*|+UDxHY)U9-6gg1i_gFR1yCr z(c%v95ujzX6pjJ44h6J}C1%=y&C6W|-oQ+h47vxjT9@LKrJ|v!y2f^0t%=wY!iCSG z6Hevh%un;J@t|$BxIMhTq5gR4}g3DUS9^R;&XPuV;uy* zrqP9>NKNcUMB}pBtXNczG_uhdfa6>TQlxyRnwoNy;v-|FW0sSA+Q02DhG0Of_g6yC znmi$#5oz^CO8`GK)jfC2xna@mdzmkM zc=+~T+*G{suMZtQ`z0#fH-8VI9jawluB4zVe;?p zIXsdKyS>@F*RJU>WjeNRS+=R{jm}=%y1JgZUDvFeJr;Ibyl(XD@SKPS-670&v@h!5 z7iP^8O|ngxrW9xcm-4f0Tw^9f!U{f<0a`DW0yy$*@B?itYORqLa&nxp(d+kj7*FT( z9ht@&k;IHwdyX^YiuH_Kjp9m}@maF?e&Jvuq3Gy5EJHztvJ zF`NBNyERua0RJ|nc{CL^>2)vsg|j9*;I-jc)GO@0L3rKai-oW;(6By-vn?|HFcq3L z=oM2M+Rc~GK8=Rvw7PLh13|;NPZam!b%wxdJ{K}t5M-53H&WBs9++G*oy`xFG#v_B zEH*O*jYgBsY{EuE7qGeA5gk&_1iCZ*;?M0G!^)EDI>GfMiLKy;!n=0~A9LPd2<~r- zX|<0+>%ljErL`mq@FJJX>9Y&ZjHB+e&!FydM+3=9BN3djP5%I`IQszAm}7LxF?B6qJKwFaKz}rCNymWQ6-UunbfV;p>6n5f7d@aYcxh^s_GkR= zkXg+zj!*^_3hqWX>u|KDLXCB_)*tJ9@uzdR*2*0sMocxwotK~?D3v;@30hr#CN|CC z6Z6~meA(~Ax!L^Cyx~`%uOS|?2pblfoZtFh6enkgye-AHUke}GVm_yi$UB{y_tXy( zMZ1`vsY@3N{}ir|ByHrR*_aCd@!f*oP7*Xts5A%}xZay>(4!1EcTV!y@_VT;)Z=#w z_fkB{}bm>32-YPH1V{tY%&=%nji|E;7RA) zt3foWAGNTOchqQ%Hxi;NyWR+<{(hYK``eN+%!+nUG>e32OtBc& zRkoc--DF}8rOa5gc~X%Kay=Kd%-zzF9=WX8HX6&cC|s?Nq`iIZBa7}13{Ua#}A4MrxxVkhPCBK$7^NH8Ir}aiJ1|FQ_+6q9bZK{f@rG;l{*a#~ za>mqCA7JEjz>O{Y&3q%^L-8{3VrW}zBDxJWk1b`x=(q7rsj|(c#$g7syu%~H5VaZy zA18Pu#d!fRxEaHw&E{i{DimT7teVdlE3KSjJyRs4*TWt^L-->C|Gd^N>Fh?N zJs6O>XWowfuiZ6x`>N6fT@fVouUV5_RamC%?&@8nq7Zs~pzVQsFSu)AwC{=y@~gHq z6dR zMKh#>k<66IE8p&Pmzj6@?E`xU{%hcs0T~!z1}KwZz%!5bDYj9zXR)fJAOKBx-o)UPr^DaTSEOa35Z0a~iUOJCXkXtv+0jB_UbOhO z%M~5cYW?Y>{+?*+O`NY^pAut+V>PxiFN)pXI*Wb$AIC?xkuZa36?1aNu;5G{GdaF` zv{l^x5wFD^q~}^`xz>8FmC|(h{FW<`2A7jp=m<2vNVko(k>T+1bQl4<7s8 z*v7eEyI}q8^HWKyJD!MS`>z>l&P)roQZL`#*VSFpd;AxzTXSJ&=LT&Vz9(O;QY3pe zd_iZ3=p8QYf(0F`n_c}K@uF3e_r+&>Y>PW*uS@BUl!xc`4$Lc0pR;7#`BZyLA_U1# zse^f_6JDiwQtUq#c`cZR2CT+7IXR?13;}QASQ&>EoSTA^l<}xaWw(ip{Oz$y+Elem ze>y4FJo2a(kIghin{J;Svg;HMtchA@M0iW_d+)H^Ynx-r~X^5WShp~?!b#NM~u(f&0Y&K1lEQicwojNO7po*3q)$47X zxWpH;WRYZfc#)NzQuQmXv@~ayYlflQ_QW@>ws&Rh3TOUMdcU~PZ!X_BhwHNj+Y0bq zX|>b4NL;~V&RvSFpcy2eG~#s5c*sO-pg~dTZBBus8VzePRs#1bv+(4f&Wc)c(5-bw zg+E1|T7_%Y57Ov=Qb9AFNYJKWn$peAdQ>UWMa8m`-HG*Z0ocK_ey9w!%UfkwS>BQH z^XE;Jh7ZmEG1e0`kP%JkWo=EVK6y`X&qOK7^-d|HxdpJN%XrkKk9OsHx{eMEj6{#- zMi%Cdro~iP)DryW^f5-utY*2kZC*>ID=XjM3)r!w1 zgqU=nqV1Aw{}Q(M;(Vkt<V{arKhPv1NP^R$jC>e% zHn(Y!{}g7eh@icMLSLiGoj<65*DpgP>Bf?5GLz zm%(=33p#im$q!EeUe$maPJm~yvsPiw>bz6BNxR)|oRrD@zM5GfPWoi6vIc98iImko z-OhvXtE+AvT(o!j;w$I)=I;~EtsG7iqo%~%^zd@3h|+y`jBPqFm|1dV@8$zTaNhRY zqkSv0qu0&)iclsVXg1Y`hQ-(`2b#exyip}r$g%tt5GWW0Ru2UMqRSixQGXOgPx$$a zHR(*E5b&K(ziPjHVFE=w!oxkAc$#f`&zmaOgb z4dfRtnmg=iYqL=)G;}rA2^Eo9AWfwbO)jj6o2XdGu72q2m*3SpHFvIW$F*O&&YX_g z1hMvrwS`)SxfB8mcpX+B?3%SOkF>lTyWXd4q^1}=6cCM^tal$e-O#H@ch8PTBTF@*kh!Tv&-jDF>owrcLAeB{x-a0j z=0dKiY}lyy6dbW_j-VD~mOloZzygY5zofu7_u+mIG3#{v6zA=Dw$-yxspd>2P{^T;KEQyO@HwgJ5CFn9uND@>St`x zF!jFtv4otpG69G1989)&lUN8cQ>;mF!?!wP^2GFQgxf*P?dS1Y+rTp31Pl#LvQSQ? z$)M(3^F)cxkwtn!W~;cLeWJvMrd$n8?zlWL2@DL>%iG#I+Ko~i$YpCe6kc*&BMi1k zeUp4n>}+wB&er*@Ewb0Nr6p3IWx|_QsntrG^CN$|`<8pcEjHl~E~iqvZnKtlHvGdH z3wdw8-Qf$c(Cv2W^s*ykT7y}wF`-04nel(;r|Fn1k)&7+%^J4fpl3B|79|sMn?LlO z!+t-DpF$?BnloV@{7iNu+%E3r85Vn6w$I&~M9F26Qi=PJNTb*Ke{965?7@NC50gDM znA^f`*^TEq#hDC0!b749AxNEssbiTm!VmuU%yaT%=Qe!6KC>3-(~A4WPXn9qKI{eW0v+g(dUc8)9?|i%uB=lib<|@xx6@0W_Qfnn z#U1YxTIkv{q(V1_fsas>R{n@Wq0`oEujsYJA`#9DG0L4!RXuzQ;z9hk|t&4*~%3nGOSl-GS&X>b(xobE?<0hF8a|Y`|(^5bO|Hq`~?h{9A z6i3rh5IVt6piB;bQY*2GBZ)UEBL$2(xbN#<7e>hcy#ic>SJWW?29EJR7ROl5*l0`H zpkWwn8j*dR7lUz}(%4u{!0Qd5z#u>DUF)U1UN#n!r$NfeLW5n$?y^{Ps+1Q{8lBH) zZ`h^NsqFS}({75&gmpG*L9v*VYDlSSmQ(j7IkE03Zlp=p z7HPAOk4Y;e3-ksC$AFjdQhHRsJVJSOT=KQJR&g(-xW7`=G0~D>zs-d5*m;NYB%RJ# zb3RrwF1Z{l<(HgPUxi1WY94<5N3i2Gw5+=AGW4kGR(NUhnOCpBZ)x%I7cRW}z?QG? z5$?HhXFkou9@!5~OSSyrpY)hYD;Ld2+OK@!k_*;;XYcx}ecs{GO^=HnOLy)=*?s6) z&;Xt$GWa3m75Ce;VQn(#c#{t4KHyDOo6L)lm1{t1l^UrZ=2ZrRmtoX2?NK9{%;i?^ zwpu-Q?pBw};}&_HtCSH^)ly1r*DkGar-1;BF;4&d>t7l*zw{m{;A$J#4yrpjl3k@*|kdkf}DpD-f7O8f@q z6(TZYz-Y!njN5E*aB2>5<4U!acG_eP)ozZab+X+J4L-|mo$kC$ntTzC>?ss?$&sRJ zb(4(|7|BR#ZhWjVj<~-t7ta!?5Q4DmSw^8W28Y_(MhLwAgjVkT*PF(te+&Ny zYwJ23%zZ<~VO!?`aqu7f!kFK$4N)|$U|j~S9hjgNnOIhfv>))SBE)_WO?yKq^f2$$ zD3Ib|4Q+QhO$Nq^|1|oj9O=25gsN=P3vv6f784ZAEBJ7t%(+7Y}@$8f`KgiQY889M%o zMDzONp|Y%&xRg`PEn|b+n7nFkaWX$xNqn8m`*cDL9SSKbyYRoIoRgFAv9hugpWIcc zfRB>A4*Q`GTgvxBI@tmnBVY(-H=8RE7=q~zXqH~Cgz2?QX%OeyE(;h&?7!@EhG+=+ z2-7$uu$it$y4!HF%A_hf#0~bZ2VfD86%O6pBT|l>$`A>_k!oU7{1-KpQ*HgliM?jnp14 z0Nz8l!9nbn!7IdR(uWI(E)3B!7Y4xxNFQdzN?9|l)o675S-!*7LY+k8&-76)(}wMOYb=7I#*s(#Q1S)slP4n3g1^fhb)!=6wvBR_%vx`GI2+s*rGO|| znPa3XDuk}a3E+y~BXhdyFHAcOG0s4T$uJY@Aqt`C*~bbcXex9c#z=N(G#2>|;^%sZ znbx~nMdM&Z0wfUNs(0R8_~QCMy!{c^7MMGJ+2ZBP+h^y}Km;VG{nAh_G!+C7%QYKYyKm zo&Nvmk{-_`GjtL903-|knA8@VI69S_*by|zO=kPz<~(pZMggj%%&U_S4GpAR?}%1_ z%YIKqQ6o@HI}AB@8>GzuH4AcX8PB;q$hjw>%{F35)~W_BTO)x*rC)#w3#N?3v8d4GAYa^=BkAD{gvSH5P$nw8}X zM%Q%43^Zs1lX`*dxi;=s+|%5CZa4lmcZ&N1u!F!kU|rX`?-R5?5_0(+2(1b0PEVYw zby}@{h|_v#VH7$Yq9V3Up|F_KaX9^kDiY-5ryF@~W~IY_u1C;kj<81ffx(e;+9L$v zgxneLYD!rbcZc;Bvtw099(X@0090w2M=Qk}8Yq=3UQ6WqJ;~B0pob)eaxjkw;2#Qh z03opt!v82HJ_a!C2P{!kgr2<>=7=8)Hp6Eh=ARWF#f0C(C;7kR-o!t|{pr6PEJ^pB zFd^6=+$qF`C_l-(2lp5JW89T~5BNG2EBVpOFuvb^FMPKvm;!1^h&5qqLVb?K0z$~= zbHZF%zgBBi_7g-#M}DU3KcaR6M$~QvVDH{NV|R3RtZJ}7$^EXSqqVttT%y6Y%?rxKB?$Xwu9dX#Uc$#qu>^Yw=D;uE@D2+mV8R+fIji|7 z`W*(5nFNQlTzltXqA-)-0L%mG{tuMPC;5Pehs>lt-H)5rVbn82|1BD>w7g z*68KvF7sL*U@dTl;{-pN0|4~I?tIb>y1~CvpW^q$69JD)xzc)7hR;vENWQnw7zl>g zA}W}&j!vm*XW85~&QL;(CGLoERoyq&dKjb&IE8;m%}VP6zLM7>Avvr?xEBAI>P~9_ zd=_ev4n2wFUQ1LE_X)PZ48nnRp2S2TpOx8c4xJKM2u$6h>jj0ZRrNha%P`U)5Li{T zOf1%FRaW?=->B22>zNnAkzf$@@71x)I0F1Vh$a3p5YdNKkb@%7jWB1fW8zz(qkfBMIb= zOWv7~M7Q63Gx(sTnXM$azjJFzbA2MO)JG#tnF%fDw}KszbL+AyuDNbeV#VTd9kwx*+a^BW+kNWx+NFYpC+cdOA7B2KN!TTOk0)F-eclbDAgrFzFfeVFFHPHfGLHoF{mi*g;5Zbo&vVZ6u^K z49U_fHi|PnF=1zpMJ}`EfU2o$W>m%OdH$_kE!$k~z{bt>JqH+@7ZR86jX>gR!~tEf zxP5iqrb#W+)btp zJ$j?`d#TGUr3-W}y;Y*qLyr=odO;p5*ZXO@&>wUM0@j0mh)*yQPUp~{N5T-Ve&lTV zwKPaQ)Ug4mI0Iq&AHn_s_~ZbeIQ_U2QjGRy5NJB?Cq-i?10rN=wiThy{VB&H6HsFA z%ki9u53NqcTVTTwOQl0f6hx&h+3%ci(77!l=e5my` z0`?`#;niikGaQ4V?rUaZ#ha_jXQtP8pK+I zong!>Oe|9<2!a-v1*(m-S?!>4r_-$z06f?qj`8g5k3}F-JU3B+=mx6?e?7|Oz*`DEQYI_L_^eLlnHkMBCV-{$cHhu!Yba)V2$w^DQP zJ@rvhARsX4+)Z2<|3zvVc)8u?uuu?fLs4;RF~o5>_N<_iI0xf9J1c`4B8EPb1TRzK zUgA8j`B47Qjuxm-P9h3@kdJXLColiA3~c3Am;Ljq3f~UgQSJ_wyCVv+5Y;wg?-JvQ z*Pzp!%&KvP0;4IBh!jgzDojGkgy_tYOn5;+)AV_zQbO`efTY+30RS>FEj};7f&(Q; z>ft@S@IVRr&QNUl4w6UcVcZPm^LU~Z5g|N!iWpzX4O;KCaaY(m>y2Cq;>e57u~a$pz({&f^%^u6$hkd*X-&yQ&| zX2nVHIYtC8AZdiLTLN;1a-fufFA8c@Y0S_b2W{V1y(MfCBC!^g}TWBj4foS>@o~eL z1Twf#O^@ydSs5lb-yJ3RG0*Gx@bH6l-x!Gt{@v=mGeY-#^YrX$ zRxG46{*aWLHBw%{n%&)ntG33gw@~e#rd4Ae{Oeqj`zSjvqOrT_>%gZ)B~=%mcklQW z3yIVL#0TZzWzq(jvOAwESsx4?^2BJP+;T zcMb3}0mSu_FZva8I*wn^NRiri$LSjJWj7lg+rLtNGdCd%O8yyOf&Zb3IpCu<(b`}alnc4DA zq91yr2)=R28tVkkP(XM(e+FY`dn9}gQS6fYjSQcm=QGJDE1j!O}1) z607$cbp$S>#fKzHbp5ma2m~Ggp*u>XwF0JFKcOIAlz$|Dmp(Tk{ZHAw8B$GOJGyd= z1@%KyT{FW02VNvj-8wzYlV(n1cjM?)=uF^Lt`gtJLt)08tct+RwB#YFRBkwARLUO` zS$HxaeTBeez~GmBMfs_YAx(`&@O==1b&f^9UK@7nwb6pA(vbE54^WI>kMPVxCp#q* zMAoXCyYWBHF5tmIx;F*NP=tCA>RymF`hC7EJ5{Gvo3i%j>MSNDOhFGRF`*WTQ38oI zq{cwT`y-yfa1s;`lO^p@pq%T`@lXDYPliN3Tq)6*oHcWQ34mN0Ny<{CuRBJaUQ#Uu z)y%lg@21Nbqt;ZPADgr)THT@t5BqEqPDidTtu*Gi@IvvmJ$EcA3<*M^QT4BKd-Ah2 zB}FCOjZKq~XEDG`Uko%$30RcU<|8M=1y+?D6Lhb-k`XlJsZrOamyZl%-32ytAOv)e|8_ULp{iA1fL$$(aba(PomrSof6C=C;&jm$t1-ZW2i5^v-LT zS+M2nJxewS#drLYt5Q|fP1)aFUOxT>V(U}izS5k8KCO6C)o*hP_ib*wyY(2TJh6Q< z063RDw`JL)gWUQ1XHEY-?<={*qz7W+gweUup=8u*dcT1d2&`5l6-YJHI3U0=LLhYe zilalz0DYNxC}Bj+L`cIlZrcH8WVh8nN31Y=%q0ya1x2w6@AOHG_?ut6OKe}6I?sLg z$KK$hFTK=vsJGKSwj?*t#XR`z?=OLeKntYmOi0x@^$4VD0fNS3GUYe{Aa^@K&Tb}c zz{A0z=saB+;Dd}|*NjLQy*@_`5dAV?DQTJF-Y~JM*;GGja;s{w4j2bxK+)%r% ztK*joxSWSi_K)4zkR+Eax~V2=EuA*urtLc?uDi6Q?cT2UL1Nm(+e;I(%PX3=wj_7v zwF?VhyJ^))KGL{CYN}0W#N=HOe7+terYZbaVk1)0Mp?v$5(r?V)PBSttXP`U!!s3pVbAs*D zz|pa$!ugASSkEn)v%nL~Wvh@j9E1^Xg6~x%Phz^kD=+9C(Q4HKaW6=*3XxH*Cq+V` zNTJo~uwL-rV?z~LR8CRBj1uP9(8Gr_fc`)22*S^|Bd$G;+7WiMaq`>>T(VIB^yzlQ z7?|88^)xG`9C)z4X=P^q@C~zDolSIlPHub_|``#5)1aj_vham{CKt*I7bdP z6XSj|(~RDikHsLp+|Y}NWdsI;G4+S$UQ&EaBu1gw2Z&9o2na?H_~}Y$IuaYU%?e^@ z>)1Cksl=FVL!p>dYO=WMi(|o2RaJg>Q5K_6;0KE8je46($j4&^jV6CqX?aPgI!A5J zuW)BgtAOzEQCS6q$BKDaC54$_XlOE9lw#RM5!Fjd`7#KPaU>GyhoL?NGea2VM0G<^ z*)W9QCSCQs`)1gDw4U~9b85@O+5m{&0ITe#h^&?)!9Sb;FLg zAZJcM4HHr#EE_W&w>h0=PcJcWTrO#o+0vO#gg5S}Z!@Gk(d+sHB>)|ASD?NDDOO5k z{&+{lwSSehmb&E%jZA2>*w;>z>tyS`Szm#p9=<(b*NJ3uF$Fq0a7ru{iM5V=7vAr4 zunB#>p?Y&ww4>FJBxVcx)CWkL2rLU?o>2b78ojZG~A3}V1 z@G_N69>kPB)Ses=F(t||RD8VsXWK#S_eyioQT=>@OZ~kXyccABa&N(f47^ZRP!82O5CiB_0Qw_zKfi+F#*N#V zO(CRnco9343zbTz8`dfJwt_+fK^Y=Je8TOgR+ntM?VcUnzquc>9(y{cWqE@>8ZFkj zCKgWFGBGc2@;%cZXO)aQQATuBB%CT`(l=(sm{q?hlm(txapquf(zMMUagkCpttZg0JUNGy9`>q z0d}J}1yvr>#sHdlXd3wfW#)VqdFL{9xMp(Mxb3rJ!AYAss;1T#8J2}-FIrsv+ ziGe+Zos*_SNTy<9sd@B+pX|E#vxl1XCEfMh`*N*91SW$?j|0)^Ih2f1Aen*upvLk1 z2=bY^G8Q>hr00?97r)1|R8if!-pDuiz&QLqI?l^zXH6QNWT-ao4JxGJK6%KIu5&~4rq;&> z!ic)4I?t1L3v(|peHt$%>Jw%ZoG&_Lcm{s7PtWP#+bcel*%w8Is)Pa| z_zZ|_Wf4w0tR`*%uC?#_%>r|v#j&n)%Y@vXhYQBK0En6| z)Gls*;4a8CfYm{GFG6@-SRreK(YgUA2(dw_?o$yerxQ~-4B`vWR1F&>I?b;12Ya9v zg$n9}&#k(S0k7838@TJpgG;r(!usMZKx8c~8x-4>Io@P1K&g~pCBc{Wz znZuPlv_jfb7bpG+#a?dco`fXIGx)X0!*9@!u)6%dfH$`<&r4u-FNDvl?4!MA!D*VCm`FE^BcZzCxzUZ>{Gpr!H_`Aw8cn z_$6r}Qv7Mm%9EI%)I86WS*+GbFR5Y0biqZ2ks3d7_mYAW`F$8x`~@L}Aso`BNH9~y zf?+S@OE7}`Mlm|4=o^aL(9-T9zYN_p>HymN)qTt|=_yUj6isonvENRbEe{8wYqko2~ZNbj9`^r0W~! zu-3N854j5L3V_>3-!_B0y|N{2Rj}YQYVQ2j27jW-gYd0`_%5ZM;ZHjyUc{uN9*vig zn&ZX@a5ztqH0B~jFJO!y`;3-`m>$hf2_W)(3Iwr@CB8)wVsL;?Wkkwf#x~p&7^i^bf zQ;=vVtj<>9Rky!#%iU*gtFXG9HcCK|t;NkH9)nVllw5tIZE=<5tvA5^-gt8k#Oij4 z)j{exn6p=6qmQUyb^s9)VWC(rsb|GrV`Ty1EIyM95qUxNjaFO!vJ;^u>JuY`rKAW; zd<&Twp%F**(4h{XAegUrLqWZcjvgJAaU&kPd?-3bJWfZdXq7fTd|nzaA|~KCDK%BLxFP~BCBU=)q^*Xni z5hN8?XU6NYHL@ClByw7Fa6DS&RT79OxAomsP07?U?x_h&lg9U6`(0mN&3H84iReIZ zP@3(SA1gkBX(9SY9XdZx1(EiQ-%q*E$RQPu*-bA~>5w}-EW}~Hf#N~Jo54$m0$^tb zrznv=o+TtMm&}?uxAY(9s&D=E!uk6O3|_MmPmSBLH+xj$sO;M%GiDceRAvi$qfG@m zKyfR7vu$)`%u?UIc8vI?7gbp?A82{vk=)kRqfp$_!6nId2yq-6jdfu&*r2K@oJVHc zUmZVQ{9Il3k(QRkb43IekC!SwN#;#7hE>KSIuMgICXHE*XG$S5N5`GX;u9ENAJHx< zc#j6M2atP%rgI>M$oZ)KqG+`we1Oa%#L`?Szn_ zY+6J0Y!5TGxN3fJ_T4i&ZtuvIO1R&zz1D7y95)z{u~w?-z8nKq@W0RS9H#{zg4 zqV9rJhf&RO@E(rqprV|RkbPE%`W(|s(#JK}SzN>G``G~+=>%`whEF5&S(77w;go`QvK8RZ?vTphG^$0_(v+-%b*mICAD46W z;3yA|2@CP?kPx0@YW+C_g2f17F`kC7$S^UVpQd3N2@!+R9LP^-htgi$69GrxeaqFp zbD=~niMIqbIIfQtL!fwY_Ac17V3gfZWYT7rPpTutr44xoNj1OKt{ob|XQ2hD#adnn zW@j0+QVhWouj;EtHll_UvAUAJsH3Q_*b&s)Nm60$vpZ;cpQ4u(4y%zm*3WxG9?CHP z51C1r%g3qA2|+@r)1~vu!eTE^OXSOM*wM;Y86YN488lW}5-g2JobBMRMJw0b9BbM$ z+L#*%JGdTz3j}I^tSPEB>&?1+%21HY)deGZ!cbNQBn|i|g1{|-Xjx1jm%*H)VeZOE z*GtnJ0jE~P(nhUDYONSEyT)jd6X1X4tXmD^D}^R2QA&($nHIB%lZp&ga0jG{5%Zly zUgDTS*QZDHFp#1XD6XRV1P<&v%KUioh;he^sDP)ggGZ92)Ev%%AP(Mm*~T#j#;R8d z(^rPFDilGxFaw+a3T7lV=%YvAeF7T=p_n-B+;jxR3{t>4$Mv;3TKdL1O8a7tP@_UX zGSR*ghqKS&ut5&xr5I!)YEsgS7t4G>GoN{g08gDwogLtpJ_vPTJ@RTgJ@2rEKZ<>S zW3UDDj;qO;7PPn5g-H$k zPSO)%zvG!v8^9@TIEPNU;kTe^39`d(z#+c&PltgZuf`__-Sc8~0R;}6Z99AE-b{uJ z%`ILEikEDzQaa;p0eS_jAQULOmRZY7LC*5KYScLHjyJjK#pM-Nsf76rWT37BnaZS* zK?7xFPrd|YUy0SfiIqaBXJHCbIbsdTfoQA%iPL+*hve^v@|R|h>Mhz)odq8SmP)oX znv^W#kdb)5VsDrFE+I}R%7px{U5!n?MAvztdy)HV^8&MKb6Dpx)!}ezSuuxav^4ut zD^pHf9m&mbtX{gi*&L{7w9@^o+ezHykQj+ZY61%PjGc4o`Aj*I$tb2l87MF(=6Vy8 zkaEm~DM|S-jG%lZ0^J#mNd#FR8Dbh&*YW`rNUm>Paq!tIU)zvKZ68{^>FAn*nr$QW zLynrhMBRdNgSWP~aO-{Y@-3(DLa{xy^Uc+Fy|uF%m-auiqPTM9_gim&ereuEkg8s~ zo!knk@?)h~(@D%hs)zFYrO;80i2XWi_>32&H3ef0g80V}G*HZj*~ED@Af!qJ$VMO66wrGHXztbn@xTS9XnVD-VIL z*=wqFKY!<)w~(@C`~mR* zS&YG-i-fu}1q$nwQ3VCmYKARzdn0j6lSWSKhcNo)woac_P+quIpf!69#$>`TFy;B^ zGGvti1s14$6)+ReO9fTJ%LZ?@$eCyl(c9uxHi>XT*j2h5dQUzg`eq@k`*!O|k7vM>&wG5H)$ZP)Oe`;reO)n<#wOni|L+MN1g-?s6) zHvV&CsLJ5>^I*)9Im+|W^!9WbY)R+E?NV-np%8kf7^JWa^A8n_=0lPaGUo-i#S|1{ zWR`MNZQ+k*v4l>#c?1%ktQSnTr(fLoWC;?4d zx9r(b8f_?WOSC%T45z*U>=hfu^PX5<{NNAf*Ux)&VZI^WUthnx*6K{Hrd!k=jXP0N zWY*gCDuJSS_SQ}yoF}$zSlGSCEu{o9k-8`+H}#$%iA#b;d|F3;Qd>YKB-A_O(v&Jj?1 zq4#b$iBY6-$SZ(_i*iV8H6VAU#4h+C!AEU2-GywV);z1@j6e*%U8dy#7nN}bXg?eU z)yPzUQZd#T;$e52`H5bseYY ztgkhK3gVzp;jXLr{H{}*D=2y%c#0~#eP|0H%Imx;LG{?>B@cDwaH+EYqy`2XjKm&%!tqMFNDZ#dM*lR*D*o($6Ana~`PB(GF-J=pP*r?T_ihm}tnQd`fvyHUb z#Ce}tEP>CU?-ULRN8t(!GYJRV3`e$p9ej}q#*~ty$`pK71_8+KdlSUeMtGyyZPv>U z^7%RC5?r|Uxy2#+Ea<+i_Tev{rTuu<@_lptxT&H)l{?eZzgwG(EPJv)Y^Yd}JYGhL z{4<*I-vp}FIW7=))h(#_b^*n~op*KIwr_C}{!4Ge(kg#-4orUO@Yp_pJDWFm2B(EI zP>PUaDgZe)K#pw*0XAx|*gng);%gsU{CLZ zd9~|iOl4D9ToK(|49p z690@wbaNDx;5kT30*fBgWB#JiV)*ccFlRJi@r|nd7BM5kA;G9{lBnoJ^1~3u&PSf0 z&Ft&8D_FY&iEUKQ^GO86zc+uYXE7jZ2Z zShuuO=d+V#Wt7-IqY3|wrzRVHeAC#~Z`QI}L;e)rX=6uZGZn5AI0C{U~0hB}pukH3yfpq^}g6QBtEY}tzgrTFwmKiE>xX%ZN;Qh_e$ z5Yx@<>M-U4O4dH!?b>{gqtdd4l39~$J668DstCYJWy9)jjnb&(<7Mo6O&H18Q9RPM zF}Zv`j*tC;%{%@=PNy7L5#~=wM1H--Zz#m_4bUz$`L7d~Fer8`9%n-vdrAat(kiam zFA8g!P7Qa;c2OpLF__t35F55sEUI%UBOUR0ce%N8@U^8quiaL(oG|9K6qMXn?UV-^ zOX~V7Of_4MEbsbNe+kIHW0@_{RphBiRJz@b(`QH9@0}krTIj;osLoO{J?tzmE_LLz z%;+th`MpI&W)l!+tmA#SIswc!;)iNzy{JUX!M_MgQQ9RoiJC3W)SgnNjj1BE6Fq1oG7iv|bRMi;GJ)lWaF zFLNyKQw3^r{{>u?vt#AcV)O4u`N<38m+*&~?xGL#4!JMDQbx)Y53(T~_o61agnvqv zp%^AtsJECwBVVI%5`t4s7R2&k((Ep9M)4Klw?baAz-*Q~ykS#yUPnXH>q0l$>r6%s zh2zl*A+Uu}%kj!DOQvNOy)r);R2st7UhBMp%^L?oSrU%hfurstj_K&t#GMSSHq1R_ zzFKbTm`>76;iygIP z`%dK}_>xgS%BH9BcBxbZ1Q%dnl@5zszyv+?H(xOrxlBWpIp8&k9C9K!HG?~5;If%c z=u|l)#|NHWar&P^zQ`1DN`Rv7M+R5t%$72T#U})dbn!JSR~Bm{I-yEyP>M~?kf}P< znyj|R8)G>pu1IOpfNyC&8OblxDh#qLP%XUrttFbMC{}7sRH8iA)zKDcc(T!3;*|6C zIkt-2X*sI++7}jTjS>=J@1V{+2>JD3=3|EJY&E-2#J>O&aOn}Di`^!QFp&rCV@|Mz zHt=p%nONH2k*yUNBOWp2qrAUNEHqo>4o}!z5uIM2^dXIrfGwxN=7q~WDuX-=uNKl5 z=?HzXv}QtQ@{&On(i!J+CPrSOM9})`v7BRxc)a*j4ceBBn$$4+I)#vBL;h35M4J;C zVTM+a!Gm9O5>t?66DCM`{@hMDFy$&qSuzr@X0FE)V~|JMO-{-@M|kd)Kt_Gi*ib zLK;K3%Hvs@s93+CSzlgkE~xM54$^#lPhOioDKQ11kdh&FZ?iI>L>7`mZzOj@-oscD ziw=cG!zW0|F{fHzc*cepuRNtkUP!>_Q>Ub?2pBIEXJbGn0lk4W&4gT-^k6a-x&a~2 zfuRl52>B(9u|vKZlinocOFZw#i`H+M_1HVBng(1vl|m>m<~j)a888TA!Svz`VHkk)Anof)kOi;m&B!S&=;1QnH}E62-XC z%EdE?FX&&7y-ttU_?>1>d&j$3*mI;v3WQx)#1!v@P5H;##>@g6&nHfxBe1t8+%q z`n3Z7`a{anDviWoFv_1O0___5@It;Qi-;8EF01)zQA<>_YPoZk59c((6xdtboKLT$ zyM0x$fTFazwgFLBI{tS~7x4w=!g7vCU63xA5E)L9T+E0mAZZvThQj7dwwN+!0j@g; zfTIHd>?r_(IR!u!6huka^Dp}fgg|fiE!Z_OxV5$Non3q9W(jpcuLM||67y0qr;aCl z=FQ)O)&&6vl_*~Rt3QonkKrSa(J#G)e_fjN%BjTFU(J7J=k1I7@^cZbR_LD(K%JP4 zH4e44wZBgM3u_{I2_cNN;ZvFbHjOlwqWs8if7%J0W@I8Fp^!T!zh)xmx+9xH+BgFW zLKYL*$mA51d(u{*QAEorbSlZtI*O@2+vSEIg?Su0z7ti$&*3t8wnnYVmdlK0r?$~s znWzmGObeQ1qSDTqg1iD-#2cAT7zKJqDAAUm?RGdc@RKfmeV{r~9dMPZC3>}7$OVGZ zS#`yQC5}92u!ArOR0e^b`M z-M8gGv=6Vjr-oL}?;2Q^+cB`?`^hB>Vhi`8$r|(k`7D$~KIT7y<)P3nu$oNSr?|2p z!FQn@STV*&$wzcjO_;Oz6azV80kJV?pJ-GmC(~3zZ5Q}cgb<0651Hn!*?#xtm@Hs; zmM7;allcV55-W8knQfX+VwV*(#)}-q)AO`AbgM;cSN3`TMjW)RKQR3I@#ZCO%@OOR z$shIg{(SNB#}}7bc{xEStK4$C*wy>|=EkE7JI}oR({|(`H$!VUM7@rMunNqb5ayn> z*?d|6$TK0|36eilct$%}88Bx!m|f(FGaM!o?aHbr_Wz-NPi*}|{Rgb4QM08cxpRqs zXHRlEA=LWfIhC^tv;eQ)b!ye3#}`HugCpyk8vN7mXbShtUs^sk-mzm&XvNCJ^5%fT zT}IxyZb?NTFIzSZwk8eC|^*K3^MTlvOU5jA$(*cD0Gg2hwTeW59mfQWL+?wa~%`7jEcBHDTwVkr#XtOt z-D5k)biZx}AZ)l5`rzh$zDeK#U~mVA;1kuD_82BsTu`cBhYz9<#(+7+a1L!_-wZ4` zbPHI4`MVJXO#K0$;=+u>_6rUda=t==fOATA&7XY8ZaV+3)dtw~|Ex5?tpATH129#F z9#Uk))I<7glsgRbgjp1Z0NU{n&rJl{spSNoDJSq6ZR4t&O9>HMNtkagB2sF$hQP7? z;}=N}`4Mw_lcn>Yr5?jMLT_YZJ5 zc*p0Tt^38UEr*uo{DUrDyXB67femw(1~ecBVjly`y|bS?e-Hf=`gME<{U>_-Z-5K5 zKs5L{l)wpCQu)bqm>%;YJcD*bq>?F>Tn!}Rc-EI(3-#p$oPoKr0vNcIAXw>~=;y#XTMIYM*6zem8o!PjG7;M&8ucI=D87=w}jnrg+LfwiLG z2#ztoKM9k634DF{F#O5OKte4cwlgUCEch%gm4#zjCx|bx_($iYQ{nOo_)Awh$(MBa zM~4s7MTZZAVz_2D45L1!`k9-LqDKvBqltThxO~i_F_|#U3A{4_BdN?JNlQ&2jhQBz zLo9ke&fw>7eV@_9OitppRF%y=_79sz*H}q|#BD+F`TJm}E>M<#H3okC^Hi2Mn744C zqj|Zrx2wBk! zM;?M?59@S7Cwv{!nym08!56ytX@P4h<&4f{w!))m(XXGl32Ouj{_7Jk`kSsV+FzZc zQUjc@#Z1^q2B(C6vjIbJyO#Iws+B<@)E^xSaYo`nZfQbS2I(a~6JmZoZVE&ENs zbk3hfM+tEEw#7>+Ixx148(6oft|y^q>d*`1C)7$P|I!huL;~}8SpBPpH8@VaL0pD8 zXg1zCB#{WwUu2l_2HgBtlel>q8{MD<$=TaQp`*{->k(0tpKP_=yKU{!ML!wY^`u5Uq>&>7G%=rzdIjTjm7D@lL%-t7x zKY^LC3Kk}A_$LQ5LQ(5Yj_(q6W&`f}X_+@(TqMP;P{{kMgKA}NH7;#BN9!v8 z`@r_|g+-|kez%VPJN>OWQl63+S)p1aDM#b1`_gMad3gKNCjD08&Tq~FDNv)T9@5Iy zKw2Z%w1h{Ut072(my~Ihxr6{qM_f7Spv#fAo2+S@Nv#&91twma&(e!_+9vTr3~-#i zJN?F?dvbIQ5wNS}w;s~R)l`QdBQ>kT@msMb7H}bkjzkDhix{a z=?%ChLbN8sW;2D%00vo?G%a&+(;O3-rmnXE2DWzV>xV4l&DRg%0z)h{_Roy^R|6Rk zwLkgW#XX^%EpNYe;BZefz*7mczf7;xS7L1Zue$XWVTXK5|Y zO(fjE1O1-@2Oz>_72xA&B;Q8QK1qhJ{FqFvn=MME_-;q><}p6mcx4#>^u9=5Fbh)d znb~>eT`1p8<6n|1Ndq&ZE0y5q7=DQf<)0`NZWzgqXIY^F!Tjq4@y&6K0}}{HB@8O2 zA@3NOiEQYmr=oLGW+F{fMoMGF=<7MYu)1hx)p9PXmo0*|(;7 zK>y<2ON%=;wK;|EHu^`xzkG0{aZ|-UysK^6VDnRlCCv}sIkWrenuMoia525Qzsjpj zflFD7mhG?X%SBe)1$la#VyA4CzKL;39n*D`56jhOGdMr~2u7GLN+m+b$B=!TfLt-` zpcVrQ`U`#aony0{lVh_oDDZce9h^NlGQWA}vHqU@Rjsr6!ERt~&RgAk&+h*e&72z| z`W82D`0I<0zQ4W1X04Kw^VYRQMGgEbC;JDB-hT`1H`gV-OiuA7d6hcN%*?7iB89cM zd$mm1n`;Xd%E9iZv?grioax z>nCCn`}o1Rz}lD1a#@B#nX>spcBnotIuba(RPVOFx^MRT5PEI{n_;n!>U|TZX$PsA*^>R^drZLh6RJ zQWIn@!Q)6SnoLCYMLy*37^cxE;exO*?of0pkUP_P&)k(eWq=jI4zMDtwtg`1w{JIo zv2W!M7AD9s{}WHN?VI(GVCljY_lo}OjvgQeoVmDwRad zux=zQQV^9!rP`v;0*Hsoixw@=GVty03H)|*UhX?=ugXh24)>segv{a&P;LPTZ zR`B%I&sVKjykzylC95>i!h_Eoc&@MS73Df&vxr~6?3FiE+Jwd*RNlQ~{^K3NfqAw4 z4*ASj?V6~2Pv4qn8rAgZy6uB2?%2BS>WH-^GNY+?cB+g)Wp9OlETo2*nNg7=7|dVf z2@%dC(;AB^t+t5Hicx!Ag5eUz^kDw>O(u@AClsz56Xl#76ZO|WuPyVcc>b9{)vCxz z&^~JDkAM8nV-jO|68y6@;gd9qu71^0Y?P!>zDL)B-!n9*u)qp)>#DNwD1IJ zo&veP2IFPUq-1s&SfCe|9SF(X>vB_QhLnD&_7)_1igh_bFjls@ga$ENv@T5lf_`3O zHd`IaKqc*{3@G`*E?{iNd!(M}i2|+OMZlD_F8`187Jsgjp8}_iI{rA;SYl>EHjH#V zYub>(v;5&RA%6-MK`kE^O3%s=e{zz49EM;E48hkUsBenAh|KE=0aKsVy%s*J5j_iP z5_dba%~ovbNlXe|qLGV&(@-8(hN`Vp)7fV9^9#O30BxZs%_*Iw# zt|_cvv24#p8wzv5@oYqu?5rFuvH~u$kVdwx;@jG z88szmeJXLosHsm>k!iFJDI_xRHZiZZy|Xdr)Q8(YzyGP#u{&n&+C8{E+SB9Fk3vlq zF^Oyw@kpvJ2+jU5SzYRt(SIF#^V=2RvBAOE2XCKy*W6sm;C!JD6NpzQR&)fDBegZz z&e{=24#<&Mm)2S^N)0uY#}iVFex5@a6?6QM1^7jn{Okn`%$F#PrpoLPvX9kY3bQZs6abApol%{}L*l0L#Ymk8t=X4I~jz zLo%*L2PCtxEYfk5Ls1xq@mJS_c@doo<|H!%Kb(5!O1{iqU)|_ajDXejgK~FSEYRzX zbw1I&f8Wc*$Nb#>z4Vp!w5V%YfzfYisjqqF6;uxcEHVBqd7k9J=Z1##>FhV)GqYe7 zz#EdLVdO9miPB>90&6kWeNMr1++vJXCb7l?WiWE5|KQyOP6eV-8@=NI{hqtBoaCgk zY>wvok3N)@=1n1R%0Z2T&_l?mKA zU{7Ih`23af*&f;)3<#7@|41PTYn z<@tO12X(qgTjl(t>zHX}<6lzS;7Z%DlHu&XS%pmYpf?#T=q9HzHFQqLD3TOn*=T=? z$HwjmyPa|!B9~1guHkW~qH$yL{CqG_oJ{#=w)YLrCf=vNbU6!W#M-x4EnYwmU$J_K zE_Ezcx46yw!?F8HqcyEHrQ3JoeOC|GEChESXXsq@KkR)Ad=%B)_BnIe`zD)&K!A`; zNJv5mNgyHN62m402!Tj|Kmbv*$!?OB&F-?hAw&^Y1i>qpYC&tgmDWqC%FSD?tW96# zE2zA!wRn%#s#H|0zLZw7`Tpn3ncdk0t-iLO-|u}hPiE)LnR9v0|2*e1vy-#oMopKv zANrQrN02BaLWi0z3`eOb1#1r{q@|%_Sww)z$Kcj2{qgkFQzoNPZ<6mjS>q*-1fu^g zM1QMJLx0VtAab8fdmHlNEk|Cw?W)O(bAA^3-E;4|hviq|ORZbFf46yKIlhE{GJc%3 z;q1e&U9AbX;oCKG!gvklq(oK)AnR3_O=fgh_@9#U!{e0iO4c04N?iE+uJZ{~t zJK87BSnGeGR+qKN#hqV~pPo4k*N(4mt=aVORLjC=UV4qkb2l|sF3#153;H&SIg2|R zXEo--s7A{4EPaBMi^d*8J)@*FQjn2S_Mn1XpbSFfz1QeJWolKVacIjkH?}V>41N~= z^=IKc+^TJkKXmrtg>OIKoF2<{)Qkmdf4t&9!Y{q&-oV@62=DskD*{mq@YA?~Uoo0s zO)2Op%+Bl?D-B0RsV6BzGQTfB*;0Mo9{E3mC`~Q>JwUp+s#bnOguZF1DhM7vLfA4Y ze#G)&!%8Pv@B<0O1!G5?dMy0%s@Vz2`Rzts>Fk7XV}hz&w_vfh4%gkjq!sUAC8*Jj zTiW*J8{DxsPTYSYoIQ2QsQg@98(Yf0O^5%0nAK;~wFf4m35Q0I8w@b_2?&rf_&ct;ayj%VAuU^{th_mxx z^Q`bkYg}tv=X>ksbZlAH^|W&i&LC`8_MZ|Tr|YSXJDk>&o^2Qj9ii2f+%qgYu_uW< z6U~kth1t@o!^umPocvNH^evdKDOkoeCQK?$)=I++_|DSe5mzSQzgmheY3!@K-(#q* zNf4LTiW%ioQ|E?T&tApdy<$~OdW~3H%h%rUWA^hs_{!^EO6erbkTB6ZG6K*-$7ed<&4!EW{v*rhV}dRJ%}%R zA$L^O@V*x7+%a>lGv_&*+8T3G@xi&17tMJr{A$qcyy)g@{KT?Q4xv~e?*@~%Bl%OK zM*{W3ab~ljFM&M`iM}1FUc)fLm(CA)zPn=f>PI>omtA^W=R)7z*lkBuQW=V?4nBNWUg zlfK^)r9ki1RqqrIFj=Yc<=URfuNSApo2te)%$N@bTHKaiXVz6z#p6*8gWyp{P&Lbj#z{(yP7SpyDG-6yP5-ckoc(QKQV98O+mXB3!mFyn^C za9?L!T2^{$RqcG+j~6bzENh`5IX#0zVI*vrG<8HyI$sxe{#V`&g`+cs+Bxp3Q22@Q z){F^tHMVBE|L`zzt|uoUdE%*b(pnCb^e2vk#wRrN5%o@-}MUB(e4$Vc}8a%M?k?`K5S(Yc4 zjUAsZ*7BA)#f#=Vg0r`ET#-?}xX#@`dIBilkD+`iC=X>mrZc6T8ZkVHwEuB^^f|BC z1Dg3*=>_1%R#|^FXFyMY2etd%@fFnx;azvddk?g*_P>5Y^Q!Ql;upzHe!Ao8xuYMR zl{+p~tmQTd*SVr?KYV+%u}|H$rJH^e_7Q(S++(Go-2Fx{eAXfIDm-vaKaA4SQX`K) z)vt>UTAYz5FN?|6F5@rq?YlI%DW1M4Hm`Ji{=PDNMN0Sw?(`|;j%$0?HLdkzJ121B zl2OHtTgaW(?2V1nW^b48u+C_nrSsg0`z>RMu1EHaeg@{VsqD=#6L~YNN5^hoF7RfU z29e)8$jRievOsKUVkvny%=7a{CSDYe^Tyl4FTQlcMP`F;claN7x#LDmtcb^@&FgNh zPc}XD+qdzm=G;8Kw&F}UHGCew-Mk_Ec=!hHZ??KDzV`fY4&mXic*&22(Vo5w>X)ny zJNZyXQas8ebn{N7kw*lR`bLmBxzS+I^~Xo;_p$sf#k9!!uyhVi9)hda)20<)JZO1X zvF+tGBNEe6s@Ke4YP({}!=hzf$iHFRo!IiwrtJpf_LF^|51X^lb)dOwPU}(r>HbIW zxFNqFVdK0dwfRT$Gj(?d58ZnZUwVAkU3gSjdzszmeklC*?$vF7K=c=jI)(G}`A5cq zG?5toaPqKW3H>QhnEhm_xnkvo7xfCUB{?|*S2rc=*k=eI*u!-Pvq~Q<9Pbu6aaiiO zwCs6Bwf;@kmG+{z1oycmnCGAL^gYp!UU_`Rt`i6UWBgAaUzuJ|T-#RNuL&++lud8xG}wM%zb+3OQ6AAcG?SF@H|^ZbUJN~RSpzHD*Bub%9?Xk2Y=yTJu!4JWA z#6AJ9z0kvN$cH@rehu@Q3%`^f3?7~w7?EI?f0e$CZAzumkCZps7iAl+1R-i*X zc^3Er%}~7HOn_^?&@6x-JOO|lknjtBjW_~kAaTEhl>dP+|0$Ah8Kz|LYtC=y*9gD3 zf`t^W|EiF}^H&A>xbPgnQ~X(^ zBd^As{n&E$(o5HTta>};Q$#1Yyolwd*QMrb%}d*_3c@ay5DcpACq^nwN)5xi`fJ$!ZbS(WxVIXnCzc9Iw{H85io0g8J%Cn`&x{}HhC)#}x?Ofh;dEu##M#b;kn`@sv+n&33XZ)y- zP8D9>#Esz_XJ@^<_(ove+{gk zqO7M{M~=%KX^^Cm8sHqCn3y^;8BLe~oQ<)Zi^&^oh4jC?DP#GSI>IuOy{kYUmMJVV z!B=5Ff_v(#DC6JCl>bO5AC95?Frj>cQ3lTM0q6UGvlgWv)(Qd)D^Ck$h^$UphRBb} z(^Us2(_a|KpbvaAxckCY_?hsBI3--F_1FD9hxEF@hV`z^zt;3^&)Y1Ekw8uTQzI2|hNd#;!~ zUhI30{u4Z}g{DOQH#>tbP7{hV@D$9YPq9uaoH)`Y{J!sL?usu>V>;uBzy+1ARy7W@EL&fPx{{5*;@m;cgAjGw@F~wXxeB_`?ulp?-hTDTOM~LJ~{rb z_`57gfO^X0PafE0d8gZFsU)=^uGtgxbF!!CX-=zWAeG=bHm>s{{D!95!V8q zPH_Q3BbNXU$rxn--1NQRS->AgeWAwae1_E&_kK$p9sQU8ACbB*b>En>LD>84kv0u* ziyGf;HNS6%4feiB?q-NxO4JtAgeGPs%HhB=HekVLiab)ZZ zgOOR1`B3KHvKj{AO@eXO7#tYF5dK%-_5aPtE*ioRz9ZKCOYvs*n>iQSwgWyQ$gQT> z8o`ITACAvf!8!iHJVOMXdH*Mh38_Pf;2Oa5`BDrv4&mR9XB14-4PgjF7{d32hW`iH zP7#`TnxLShpzAy0$wIO4vH`fRaChN;1x0*Opy=47^g+0n;_osh*H9dhQCwDhU-5k< z*(DoGHclbi)l+&(FD|{YY+l*1sl%uK0C0Bd*=chDd#3G~Zul2tH{icwF=N#~!F3e< zGy2O{4!}L-_gpjza4p5DigLhi1v3qRWq^G$teo{?>vNUKfFD%;plVoEH^otcS!uJL z{_mo?67bX6!($Nq7I<>@={Zy8c;`Ge=j=etO_`fFw>E-1YYa7))a)OKd07;}7`!Z_ zwxafq+B@d!=Qqx8Tz~*o3pOtJv~FD8@w(#|CtSQ0@aDyDF3bYB0Dt+{qTU8L9E(MX z-w5jg#|L9^8Q?aG(*#SVL~uLck5M$FH(cKETLnv}FWpY@2ZCjJ-vK)TZ#0(0;O1|E zXB$6XUbwtzdFS#Qz9aU<;ME}vVF*JQ!VrcqgdzM-!3uuG#1-3Cyt`6dnLC6b3}FaE z7{U;SFoYotVF*JQ!VrcqgdzMJ&^Cl23}Fc0C+Pp*7IM>(yqWoJNZI0$i=OfH%+7zo z+!&<@Zsz7toNyg;^Qb^r&fJ13j0o;qnOlQ~3;UQ`i`ELiW^NtI6FBD9qdDSK<~C>z z;s)k6qGcw9j|t_P-ePWrGauoMSqUbaHO!5X$vlg>Iixi&WNsdfFi&M}K@~;>_cG?z zAfvgNxwUA9xr4cNDA{agZavC0Kfv4uevA1Sa~siwgnH&Sp=S$4~ImffBLL|%Xkt~rn$d|Kg}8?F_Adj+^V;n;y}s2h%* z5Z(dD0BVQuepP7V=Yda>z5_xB;4>f2?KB)opQJ#1Y!II0!j`=oTnVC*(!Sc_G`AI4&3%9WsYEwyvgmgiOuhS^0p-OOd4@g~U zAx>sxq94MynOa(|+jeSmFPe@D0bLXYv@T-xS3vXZfG`2bhuEf#TE`11bc3%_em}TL zIa=XbCom^5ZM4;`Lk|Lr$*2fTLSNYn;xl(j#i0l5{(Du~3k(Y$TcPKzN{8|6vrc^OT~&vKPeDWo?I5F1;GJ1|Yz$~IbaKB^A~Z7)8w z`Wvsk&iTii<(>ZBzlhF=WOYUR3zB9vwL=^AvC%x0 zICA;{T3_-Nk~6Wai>B{jwJwL2wde#}$-X{XLq6JuWj(K@?rs`3K=}}k`H}wFMPsy6 zj-=FbtFkdWTqt$5r4$~{NbeA>1-Va??IznZ%3(F@W!;fFlv|fg)tdxpTsMoQ`daXgeUO$jX*`KpUu03FSu7w&P^>AffybCHW$A z0S8lD7xitS<#o{3)J|!G6~zT5`w(1M(--71!9jI@Xg_bhU6u5O7fwEtC)d`jC<+6&2bEvrA$^Ga0x zvO4lr_KGUT2h0XrYH*wKQ;m|I(;l&kpVo@pJIfmPQO2FLKGn>l){=X0d8CLc#xbA= zl5OBdIW*1{)D{60tMh?5bkf*z3-u#;^lky)Xq`+~<>reS2_&i>r7RcfA005B#pc{a zmD$e506CpDW)}xdMOHuuYgOuAqlNbGZZ`7!!FHtnJ&;PQP3E#bceN_^EC?;nQRFu9 z&3f}r#$48si?%?e9e5-2%IH`qbGNa&P_*J!jnZ--6`(D*BNBty$;*hbCr9|gI z7fv;Lou&4mG`VO}nN;U&@p=57)_^q2Hz*ky863F-7pHYwn<*&Q7=-)hOz zDh1jds@&Uro=z|EZt-+@ZEmN-Ur^_9djj2FhcvIl*5-7#NjA4#YH<2IQUmyQd3>w= zO6u)4ztrq-xFx&8?`(5B>{6@8Cwbfx{VhJ??Q_`dN(upwva&MQ6c07O;= zmr0Q{2v4~Pjt(zy1D-U6)eZ^#ff`g77~SCm>)L>CfJE|i28gjc{0`SzQmlq{s0>o( z7N-~Tgp&@BKOljzoh=SqGx2iM+HnF8XN#X030M#xm#53&Yq9wqQcJtdXKM*SrOOmM zo9&$rLJ%_Th9rPuvx8U^qB(uwh8)0_4ws|D;RaPfO+yXZCpw{S38_X>5h}5C`dKBm z*u2!pw020nNFFdSh*$D@z#RFM7d4D;VuVzrru^-mPM4if@w47zYy&FmUGtq$@h%vTSoDLZ_cps9&;sS~_V-rqgIXxe-WR4w6e$kB)ULa+fpE z4lMw}v;h}KfEb{|M*N60TAU7d3;1_;G<#f($y`wGYI=EAx6kQJ0Wqfk;~&J zb>M}D>ZGj@QiuAdR&moN4T=glY#ro+V;zJG_=)B`lFixSpn@WtpdmT^0ni{(uiMcj z3&`f9_1XbOa*_t+^g^wYb}6$cXb%Lu(+dl`y1EKFl=fTz&A1Q(dD?t7Z+mxPOQ02G z?N#}v{v-Sw3 zh2DeI7L^(lvcnl5?Yg;J7B9%#P11r3pdz2*XeBx15AKqng@aN+VWj_qxcMZGk^vY5 znrutEidh$A3XRIu3H2Ri?{S0Xa-HL$#WoX5M}k8NU&odPr=1q)GXPa0w*n;txs9^4 zW>5>{Iw6+;bPUjogJ8Nrqg`&7$7YYUo{jZ)K2jVH?JS^&@IohHcaUO|FzpVPH`bys zB)Gd-a8e<(3-Po&o1MV<8%cmxc%j|VY6Bq^*!PvQ*xXks}IC0>M2Bv#bPb}w5i5GF{i51*r;5!@m zE|2A_S^q8g{sdeHf$tIE`y%jt6Zn1#eEWfKBJfQEz7v7(G~hcM_$~szD}iqd@bv-T zEx>mV@VyuKJ_>w~0N-Q4_g&!oY2ply2fibKZyxZy2>31nzK&SFx_4B3M+4sppM@@cjhcf;qGuCjsAF;5!BQ&H=tlfNwkS?F7CX zfbUk|yBqlK1HR7!-`@h?*MRR|fbUuJ0xltOI+q4~i-B(q@VylH`hf3N;Cp8*U*pv( zzUjcX0{C7EeAfft9|7N|fbaXj_X~6#@Y;$eqwBF1-G~>Xo3I`DUI~0}0=_>1zWagi z3E=w{@cl3R4rjuD=7!@BxpWS3lQ_)H1K)Rn z@43W___)LhJ}Yr1KOOp>deY={TKKbAa&vRnSAU|@z{$Dl>UGuC)#vC*d36xB>T(iH zcdoh$Hn^fkI>VoWEodoV9-tEaDX7G)UT3xT>WoNde6P3KT5Zh>5+tAmq!E!u)3n3l z-EmH@L3(Y-YF%z85$IWpPPcB`wrzV{G?;hWx!^Xho;cU-*wGX`vu&GK$0HqYMGy^g zFmllo*n)Z<>3QX>SEEN7!|&kUXdcDKL6#_NUdTi zp}B_Wc@Z@M`G{PH5ac6dgK(E1i9j!#7 z0CL6@020t^v0g7r05qW2W4-ZN&_JvTXcVRbYp>pj^d?ybwL!HI@K*@|tA!xYU}u6m zn)D<_LqFDM15bn#?6jiiMOpHx@p z=2|omMsvERsHo-*A_bmF!LVzWK3drWv><)07N9VCMGHjZaK^+Sozw8xNYwzwBdtt_ zWb_9Wm!QKMLqAN8OadgQD1%7DBp`i68bljP2&N4Z0_#=cfDnXIR2{)y6WC6S%0uck z=#fEB@*M^k3#fp4CN*u)VuSuXNMRk3#CdY6E3eu_QrT2hZqQ+a;e1sk-QcPL8%*zn zY{A783n;9?cS1@kRaNUrnGI%ShlJ*}45^FuNa`4>d&Fc(2Hbit|?KShrAV?BwQVJU~5FbT3 z&>)RiYl=#Th==gdts^->a$2O-z0u6b_i9C?)lm6>TL+gusH)1!N=eZ|Fwj!2CAa!T zK~@lv5XTvJ?J{6s6pU!dtU(5?AVhi;@ByWXY7r3?88o0GD4Ri7R70t3t|>BzoIxiw z-J|G8#3l_rlUwQqbfm``V}FoL7yE;80yb(aQdCTmg?a_8Q8`)VP({|LpsYpIizB@)==HA|>&?4(1 zYb}7(64<|YpswPPA^x4XN}+0V)#kC=vT6uYic*SbeKK7cwb-a9wZGg>y0~@p9E2s5 zSA&Tcl+v(Mt2dtyR!@cWwYm*N1;O*1Hm(1s%7Sj#7*&=mE%N|rk?wzL^`=dmhJM2JR}%n&Hi zqD3Yh$Hi*V0;(OLMXE-VmZ*`oMMaH3(kODqs2r&t;}ks_Nv9wn1^vBIH_@b7(WC|X zNVO)ZC@mr~sYOXuY0-eEiH(_ZjusR3lywOwOqmv*GYwE?u-5{WFhH78ui1pmCJlKV ziy|SI5VVA5h9Lc>rlvDz%m!>W^&?xbDMVlkZdAGZky($;#&onM*c1#QHJXBJg6YU? z#AY+ZCgEeZkSzqUv0X0Q% z2ALD#?@Ujq>Dge@vuKiy{xzdXlhG*nDJ08GRhqTR!pn_q3!$@wcZ5c|&N}1E%$YR ze>SKF@u@&&EoU~StlN{KY#VeUXHn)6=V+(*6)nkxwQ>DtXiGYipuuLHkWvKllDDR& zq7;Gp2EmnLlD8BH5lqlRu5l@HWrXBIN*wj=Es{xS(!g2jPxgckEx!ag~xL|5|y z`~^Mc2`AzHdmloYI5@&xxVRF+?!c3|Tfq;~5$+`6XxMV$QM`bJ6Et!-@N2*VJ=$U4 zMBKb2hsP_Z|1OpLQJT)r@QdvHEje9;`pDd`5*gqw88_6|!$86#au9~`ixyQ@;zbBA zswD4xS`ZEufp`<3i1k#)q^QbKgT^3SPb{B+pm`&_)EYTzP%^uw0i_ccL_#8srKRVC z{q2!+R6gLT=C=<0bypLi4HEt+*~-fCb)j{dVdk@OrNVo7D#U{TVjc;E@BZgIjFAYH z!M>6uOfsn#C(GyJbf^PaqZMKm$`fm8`jK61pmEAsn2GFINz+&r8{zaHXR>f3k=!5v@1fe!Ir7*{@rPG}KydP`%^{~d0`!EMAu5pou* zphv=DwOEZf@ibVR*Jf&$!L0X)_8hY6UWZA}mBu9FF!Yddv@sR!Gd^X?K@XcBH6O#N z^o9$E5PT*f^7T$Ufb_GkK(2 z(!n@^j$qO2351#IJPsg_Y;debXas=xrvT*eG4VCM`0+4|*Zna5hI`rmp32Sk{^f9d zoqj(6Qxe#{um@p>EV!p(zX$BU5RNy&z5~W`5(d)Yn&fNTAr{BZc9%TfM~+X(>4o>e z4q?M@FdjFl+$Uf^3j1#uub1VWaPJ3R{ZI|zlg$4liwAl2dsXM^{X=%_W!K618RoZ# z?PuicefyX{R6#hTO5;6M+9dp=ke?2Y=NV5W{WlmdCH>PE;B!tD_6=6n<0|)QcKt(U zi!a#uc~!g%V1{($uC%UH<-4mMW#F0ns9A zL`6i4{aZ_sDq6HWU7tQIv%h=qxp&@oG6}T%?BscK=bky|+;h%7=iGDe`_2SuE;i#m z?B>}(pL0Ce(AP@yf%x|Wc^r|Kpyl=AI$Q%1W^i8UdrlD@$2~?ZpYvU~ zpuY!p@zoQ*T~Y?mYAG-GC+{HIg>=dx(A` zt^(N?IBfO?>Ry` z942`WkUqPm&w0+#{4bQPum>N1AB}TL@(}igPkXmYpX0KdLvmbijA*!j4omy{)=59$ zI{UIEUlaQH_L08ZXui3f`8>ggeCgD}*1ibQoh7_s85>^2sh7{&IkF4pk?)htpv3nr zmv-_M)4ZG~n{s|5Qa0}qskZ@qgV)%6Ergy7d>DUT;v~fm#xnRl_lpw8NS8ihT%@>o zfo#fcjqwcFz-X8IGy^(f_awKAJQl-}m%)7tb6_A&4EU>;{8i}0+YV|slkf9+*Ra!InObBa9xyh!Ts6$CFy4z&UNBGC}R2?<(gU= zdnNh*UedQ%>MY`Cv&>s!eCWcLHF5D+X(c^*?%0C%knF2<3w1z+F=FuSwAa|n3}YXx z>oE?%K3?OXagh0pXN+fSWtYPcTMzfJ^Fk8$P_BUpmIhWmOc3^k3 z(fqV|4ZF+yQ}YIPxBoY3LH3VnQ&5HcKvW?g@G8Wp$;!^EH*UzYv7t~|Xcqf^C=$Aj z{m|NLJZ6VbSRe}QY9?NGE49~AyOP?q)DBYn0JXcQeT-T}r2P)Av(*2cYy&=OL)2o- zKpQU1b^v1q5bc3maIK-w@5F^D5A38qyeIGqF3f!35{f2xTM!j^5S|*m2G???UqR3Y zH_`iVvXrmjZffCG!ILRI|2ehr<{VFI9{iP)PHmY3hKR}OrT2@ey%pC62hLs8-cRjA zxDbIkPg4IlweR6V2FST2`yuEZs=yVdK8+JvMD4BA(paH?pcdnV5UC-I5qcKa3j}|Y zTAHsA&DRu~uPKZZK z^=@1=&Qy#u6=O`@Pkrbx6=O^#{(0b^SC-e12flgB@>b`qM+;l#VZQQ4^TzT{G1n{a z_p;3=zsN78wu)N#Y<>h6d^Ud-8~4g5JLGS|HG%Jn&xff!kOV)03qG4qaumQW1*M74 z3t;<#{(@M+3bbnq?kw0`u%lpi!Ty5Lf)fRpw*s24!t}z@!q&phLS*|w*su`t6hfXt z*s2h=Dnu+6!e2KqLoMn)+tf(wfhWRVQ{FPz8%Aj)@VxbIk zQid2SJBADSqYPt|L#Og^`I2(vr*i0Cj<_rbZaMgtzf+N3fw`%G?JAH1D^}t{o~?jR z70{^yI#s|ID=t)KRzl9o>dN_*z^fdnysPq_%7-dPDj%x^pGwGAiTJ8QY*kfNA+o9# zRxQDQ$XA72ShclkXBF~i74)uxZ&blIX236IG~>ej&OmI;*f?X$jBPV^%)tE4IEoAU z&cNJOqbjMctBzDd-)iVk4SlPzYF8s}s^NRp$o18j;~GD%(wh1jj9#-07xb)wztn(V z4dS;3zEX3kHn$f3Ukm@Q#k|xG)ZSGKU27ky9j!&)s6{N*f?w_Vnf{p=cP8YU3HfHi zE;C`5nXubT%;QYxGV`eP)0yB~hq3DrUv(I}4)}G@y$-V0-Cnn;4m5Scb$jX%*LARE z9sFZf5ia=IEac8vv~J9Ton~#F_1G-fa~Aw|7Ujcw8y9?|9#olU@Rf{mLHpG_Y&U2M*j<2RS#f(*^DTQh9dj5WIX(dGlqz-LJ;{V|}Z_a^z z=KQWLyRC@B+iKfj_qIiC%i30p{`$7Tw)@(K+IF_>mGZU0XWO7p+nKftb3Jp@=jKWH zT*~8f`>BmlyMkKCI~QZm-8y&2+}(5c%pE287`3!s%zckqS{K{l!|lkU?RC^|rxv-e z9ky;q?r7hH3;x`W7-&Z>Ylly_gKsv9m+eMIC!O_7P-^xn3Q|JKpK|q~lU2{IWCDS=Na)w-foR6aLm2 z>s-+Z{X6gM+}w%S?;P&j(+T}M!J`v;cfQy8Nf-3&%I&J?3U~E(E$Uj<1^aic?;7kv zzU><7+SvvBckS;w(uG{rb*k&VF4(7wYM<`x?xJqQK{v+i#+cpx-LdW!-D|qp?E*sptv!^!6<3L2l~7m_6{rp242`oVxW4^*q{x zoYn(h?!nymz{h%E+n$g4VQR0xH@CNTJ&^|@yCRQ9s9uN& zIU`3j-U({SKO^r@dp3eqFY1eiSjtzlfLh9D(Ryl;FQf3`=z=KZiQXI?h^~)f?+}H> zqeHkJjqZ&?hUl>J@Y=E&*t0niwWpq0p`OVkg>0_?W_p<*A~_)&chdp z^YG7z^YCl16J5eSEB2s|i<9t=GQX3#&nVBz&B`^pvRboxjBb7&ZY;>2h5hK>P*sI_nqLHgkR6GU}5cf)kkvuUWkEBoV;r|SSc2n^i$LW*Ylkx}Ai-EVQ(4*jQaU6a)!B21a ziLHJmDCAv|WGlq=DQ#Pp;ID5$(_)Fc-Fg$dokmM(T#(7ryJ)n9_&-N6!%Hn($ zviZ!!A`@^W|KR78kjN&9r(uqQ-t!Z}$r}6)NNPE?&p3U+KW= zjG?SIm@=N$q?AgTXh}%MN z%j8ePMEL!9P+S$0z7jv2>%(vqHzXNa&gZapHp=wf8sUCVSx$Pro#?+ z7Kg`tK{h(^y0p#}DOa{iIps*bS`ErO^u!f;CH|`JDa*)}Y?IE{B%=c})hcKBVk|k2 zs2n5V6Rd#zE}o{7PF5x`GUW3dK1Y-Yui{oJ6+WT70+9G-npw31s4;@%zk@CuR8G-Il+*5}+|7h0 zlmUb+_Yt;wKE>d7bK?gDG!Op+;%O8STZ_031M)uar2*(rMp|0HG$N6pw2mDgL%$Nz zE9)rRW%5>V8750?2A9K{i{;S&9U(yx>{AGug99z2r1jz$Nv`y?gg%i;=qxV1*7(Zl zCK@jnbm~`1&ubsrC4AmyvmI*~{}P8BV~>b4$zck&7oQj70<3kI6~tGv3TeeCq7~GI z+!DrO&`)t>h#>D>Pn8ZyoG0~oEh6*tI4yG|FGh8>pz0M=;Pu)@NABZPOOkw-ul>&X z!sNwwYK>P>Y;Y-r4_+J5EvLy7Px!!N;#EwZ1gNK)0;2v-=3#MYl<9G$Oiz*DCgL&` zjcBh`G;is-3XxB3CYmTJl?)L*Jhf$Vs;d?g)lMBb6i=?2MMlwcw05Yt%;Zsq`e=Zx zbWS|4lczPd2rm)Ghba~-MJ!5d$*B9P9$!2oHtNIxA&6|%89dnu`s(Rl`xSg-eJ-JHHO*Cp95#4V(|w40U2r?Uo<#HJN> zHgp{I3X^zg#frqJ4&-=qg~TB-e_}Pdelck~C}KJf{;ebq3h&Jmt9fF_rearGQ&zX< zbOo(;70y-UousoHrL}uLRKC84D7qbzCTzP(J|7g{Om(LAsrcEXOst|$W;!m%U80ha z+9jT^4Uq>mkDG~eI;H|L8>ZN^CPvv#qwqEH5MiiMWDLZ#yt{~RROFrz`Ddyulf33! zt_Ec;x)@8mC}S)RQrsR8=Lo#=losK?KJMC`rCi?<^Ui%Rv0GDeJtwTCYDcGBsaDTK zPE1{U{;})AWVIhCuEnU8nbaSWr!X=5VCAoanIe3|>(;?F& zv#w+^g)ioD{yrs@suuKY$dkMYA}F6nP#U9^B2ghS@)vckvY9HBQlXh8c3z1Jl~+=O z&Ppn(GD|aANX>wU??Xk_tj9RVoR#SLM4Mde1s!pcy4G8zq0XI}I&l!VSE+sOHu*e! z{2p3ML;U&0(Lg*dzkUh(C!(H@__oWU3zS8@2Si4&F#?@QUdtS_jhXghfxZO0#^*aZ z=v7snnDTYS#}Y4xPx3m8Ki?Z~murT5X2~1wCmZvbRg$Z-QK>PXy>7AMD4JcgX4MM& zPUS0eaC~*heTmm1&0^NGX+6#55vH+Exp?j;S+c~pQTXpRNvwPH{HSxpU5>g)?7ru7 z-rN>Z$Es8-@_@``stVvflt&TB;_3`p%>1azQ%i_mR;DqstH=(EBV>7J4a%)bXF9^q zMO^Co&lbJ$yRI)dMsipFHqTwx26gRgi+xZQmvOP8RQjnoOVqN%@(FYv%Z?gMdbF(c z65kpPIP!dAep6SHgDEOWk!2(EW$qO+El{T`G4kidk#QV@R0D0Aw7#SWB^xN&_R_lr zij&~KkJgf6fipm!5e3hn_{J=A$fWKuOeV2k`NB-;zd^4$QX(Ej7>07fc>amg(gOT1;HLuFj+zHlHn%!s;xtRv z+=|+j1+|}vJ@d=+w5MJ!j#Tt_3tDOOL}lpYELOm)61JCFKAE%IW`ZW_Jkj7BV;XnF zCAr)k=)63^oNU$e$e~l|Bqg<TH}su?~SR?BBSH>{LO0hxGI-E zJEpBSO7FUv^K|M%s$uocITEBAV-r>?Zc}xxEi+w2^HcXGmckRo3NW8P$ewtY*e~)G zKY5Swp+lN%S7o|Vk2fSK9F>{cb*pMiVLJUJ88e%#{}fYnLn-M}$fQSFrzun&?_*TY zvO5_64yo;!Q&km@h$ftZZsL*J$BmRqHo1Zcic4!!Qb;Soa8`Sq}`#ZwSW2 zDK%9Twxc3VWR%@{T-$Lj5_aAtO43GE2+e{bBu`?5jEVa&AJPmvJyYC!z0^2?jdn?E z$3Jn|=m4$X&FVBH7SR<}V)yOGMNb+!^4oFsu;O0q?g1eeF*FZEnRjHJ^mqQKO%$mS@Ie2cawKinro^#Ks9C3lTU7(>=ZajULsC4p3-wTx%FxtIG20=$@zTeZU;r8>fxtm ztC;g8p6w7PeEgNg&v~w293D-&146jQf6%-wqS>QoDn^;(G{F6mD_(@^QSnrhpxrzH z_7Ud1%O7+;nIJMrty;s3w~14#XBa4|9nT*n)rKmc2@HdOQ(M$!QH?p2Bo~Kmnt*yK z8-FUC8gsjA@4ZL;hhZdYMjj{AuNdD6JDHNoS;aZ6HKOVC39LR_{W?=t=BMKP z{KMeiRTr;Sitf_S^>}K8#2kNXoGkYVE~6A%-I~j&!o2d&v<_o>yu?0AMbpClD@9Gehnz5+n_+2-K)@li(HR#e-`phE`9ILa&D= zIs=IR<1rK2-hJmXrpHtFt|ne_5)_FQP1J6ehIKDB7YDxPk-UZ`bo8-QuZbm0wvUTS z*DST;_^p(=Qk)PaEG1(-Ox#B%kSr;qdAptY#?8xnzx>@HmsH1H{P_x~PnmQDRO*ss zjE$eOAr~e;y;$iUM_XyXrdD<^_-~iQTQ%me%QqCAurB{)7f~f}{pHR>#UteBzu&~< zN&Hg65mkoxTx1FU%O(kJ#eFkn4{<6dbeHEsylPnoITc2z~YoS5Q&Ov?#N#qUv{DL z?)9GVe&TEOE%Yt-4fqCq+kCryqrT(5)4mI)&&)NiGq;*!{%n8Pzu3RVKj^>TKkVP@ zKkPs5Kkfe{Ejw*>+Tpa5Y3I@|re~)Yr`M;iOFxiNkg+b~K&CIVII}f#QRb@5jhXjn z?#?`%`EgcR)-_ohvvy=1&H7z-MfNq>cV_R*ekJ>oRc9@+?z6_M^LEH?wO88r*hd1s zz~aDg;6UIk*0Lgx;W7BH0eOdE-6y?IGLQF=_i5%e%gu6Tnm3v^GQW9~c@s-BA2c6i z>E^f1Z?g>Zb@O$WX`VJuvn=zBd4^?+UtO{Mf9JoO<@ulTA7Vw&;{#S|U2iR6wbnPR zZ?HORo3)M2vMcRMR&UqXHEg#1y!||Du*d8%)@UEIkFjvzdx7tXO5mf<_$I{`VW&1%T8%v{DSbGf;k+2#s! z1q+yK&9y9O4wwTh$GpQ_$3o@<<^wF(+-`1XQ_UUb4oLjA{}(Ku{{jswwA!pTHqGj@ z`dG0w&zi?dtc%t~R%#oz!KT}Iu`+v#J%yFq1$F_eu&=fkvPxFP(mh7n0((}peFab? z-IFKG3~qzFyF=qXz~BypySux)yE_a%xVyW%ySux?!F>CVi`|XA+q;O3=!(wwUg|Hi zD!QXyR`s<9CMfSZxNp2p6s?fBI=o5onTjO@fKuo=T=;Ml3^;J51_uR>K^G_aq&c~Z+EG6m!@AFT*yKp5!NA0wKTHZ7P3D&IF z)_o-?>*^2B5D)p+nju{rgZJMbaK5f5cHSdjxYxdDetR+Q&>Rr1*ZAfJeUUhHzN?b7 zJCO~nvI0(xk=3jDBb2V@fzrr2b#9BfwAWlE)Xtzocjvso$;M+FvvXaFHO$kHw}Y)u zVh z`SCGJ)M%7k>RBxKs>XSUv)A=09qTo$k2PoG3AFwTd& zw(SY2aVzgMZm-bD1b(iZv8?9~vt}zc2s6j?t44VEsUHBl9jUtC8y0`DA%u=Nlk@vc zNcx@p4~y>;iS#?-pLU=l>Xava3HK2J_wO_B&_}($+rVZhf4tgDmxJE6raTc#m+UY? zkbh%}jP(S*z5TrgdBpSew%z9`^mm(-Tzht5Ez%0$4tiCQd}6H5zgHj(>D|8Myq2eSxSwcBUcc6#RDtADuHNK zWZZ_=*w4LAm_yh-^Gui%+dY%mH6u58q%!n22z}HLT-IBB&lB9X*C-i!Qi4&f&PyG{~=&zZB9viL|*HR1^6>A|4;P&~e zChidIKTA+dB{uRVd{$_cJd@vFC$eKmOKOpMa?K*R+GKE_AX|=u?k+PiZo{mTHl{(i zJwdo-F3^E8i#zw3FCL*ER>~e*em`=SKVsQm9tKEQjyy;jwaM#H!@V%y6s~X|=f8Ak zDGjcxP*QNynjd+F8_tJCcJBM_*{-z^1a{h zd{((=Q@-{f1UZi-cWOV|T7_EkgnfP9geu;Eg0f95ZTF&8ldGC(@^|YT*5!Q7=IDE+ z&DRv)36aKqa07PR^By<3ctpH-BzSN`akH3p)0lL_ViUUdM(N|0Dz_iPtX#V?uk^^M zn|*q}V~6p?ywH5Gs9zIV)_IkE(MU7Ky0}s52lj+{AoY4@-{jeBoMb;NtylwkOYL|^ z-^9If8)k=jD0h1|O9y^Ycm2@;2ke1zq3JvB>eViFfy%|Aybtu=;h1`>z0|JKUfE8y zp_{Q>a_!~$?V;c69pyr8@0#m`O;_I8vfjW;%6>^9c^8Phd;K&B_oYv zY<|HWqj}8V9ciK3dll$JFq}(Ocicfc6UYIk!ql5fPIunu1S5P@@zCV$xF3t~*LiYe z`?z%yFa_{F+VIQOPABG8dsy1>c|X-#*mI&KY*B*2nS7fNgkxi$`Ig4vvnS08D55K~ zXPlK{ZfTNVIi_Rj`+e+&!6jF+Bh4nP(Tds6a}W4xNu z=2=yGh;=aOYOxhTrF|M6HwHc96@|pnI@1*teFiX#1xM6}HQok}DOAZW7Vprbq4&CT z{^d-EPRZe?%5;lXW5b;RSLn4g+w3`4sMYg>@6i9IBA7-5{-D#T9jmoSg!n18`>Z+nkJV51L%>hr(E zF&n*hcgjmqMRmtjeviKkwkJP^ptN{hF<`^ber0;!ReHyf9H!Lcedb=rw?ZqZg;^J> zBRxf2PQCRz{aRXR>{)2MK3mgnGr9B|relj&i4zP3F1lBO{tTFnraS}W)HQ=TgPPL= ztK$CgF>sFsZx$Hd6;cgXa2_?Kk)AlVrIk{^-)7S<0r=FcG`;B!ci$I9?mE#rp_6T^okrhish zKji5D$}xQ~GSU4*GJYy%_z-7g{V)ljWBN;eh_Wz!YGI=P;ALc^!(*WT)WXF2spT&d z6WeEKbbp!HJ{)18XZv7aW&PC6#Q1r94B41J!e*iST%l)R`B-9Q_zaYu@l)a-yYwuq zf2HX@=UD+C`kDW6n3dtv0NdYKSQ$TPmVe@6{fv_t@DKmrAOU~%voe0l0zLzvXJz`Z z%f$2-J65Jo@R8^-{x40>_K_7PmQQ;hN&bZFFB$+AmQUSme|cD$KXfs%eAs3A zv;$!MTw?*S{_FUhW25`X2Q%Z}b+*6u{`v@DqyL=$8yn!GLO%4c{?*9__&fg({fQR? z+h_Rz*cd+3!19?g20FS=9RJEP(9wV5^0%fw=)YP1hv!o#^WW-Vp!=JHf8+nu$@Dkh z40L~WGJazAL4TAg0~7rRuAjbsj6Y&yVEU{h20F%1U4LWvpg-&}13qGA`-hM5vw9gn z@noQ5`i$eRzYO&BpZKwT=8l0L@IkZu<0JhiX3Px#%zxs=$ne(&fbBD$uoZ9`47#=%>3aqBR$jKgMsy<20jm_kJ4uP@JAi*-<`pLf1Sac zoV0?*W_J2Ew1Q^ZcKSc{buIPuX~p#|4DF0QPCPblZWzdaT>+fZ)T5S7y6K<;&R>z* zPH047Rp-C);f2c$^ukgte`T$UK}T6;Jrh{-s8VH5>Ii^4OuV5qcAYcbHNH9vL+h9p zF+S8{LM8Qzpre$iiW4P%3E!ecu@Ldu(pKf7YR!Nyq)2hovbnX$X%=qrMHe2x1qU7^|JAjT z;lG6}J%Ejw?cZRg|F}dlurad!d#F!RA>8ETm)^%4?+p$!?8JidzDpOcQQ>it#G;mh)O&*X{yc5`z__O+JN zTN^&9b>0D?oMo(Gjs$ss3IChHD9!n~iBr~Udo$q8wdJm-`ku+TsP%10{FPK%M1tkn z$+g+jLPD;nv8VFm1G9q${lSq`2={)NuWn%#m9p1@l@GJZp`m-@`rcF|6v!eGP8+@Zuif={$GH2vE&m8di=lh{de3|gRS@D?7fc} z<#FVBlS6{pnanJ**rWzLx64Lw2-UqVxPO3k$qxaCir@JYZ}cLJgrZ_#P#6$C6Fa7y z;>@xCYP?xz8lirlHV<|9M7g-!?Cz58L0ULRsYNXXKxeUBYS>)5J$9Mbs5ZDQil

    U2slA+%R zj>WhZx+l2J%tw?9ENH-~T$@lK+ewZ7^cux8e*xEXaKRGoS@B5X*e`Egc$f(0Cdcru z^TF4y0E-;!hS9#f&-*eQV^}J{G;9+}X)8%xOWO>1F+tuDx)Gf|IoTW4G;lF;X!aCv z_scunB&jNN1JBj8o1sXOS8;O_os5Ne1;)bYTpQHRJPNN)0mlH0k8@xQ+H0m_^yACF zhe>{)fqp~dIqv4SI&?oBSAV$)L|7C5TYh{X*mc2bBQWN zfLd(=w;A6AH+qz1M>HB%*Ric*RlRuAk--m5%6|1Q5=>9(W@>n?llbbWh_T9#C4hO1 z5`DdkX3&Q1f#FN5nB^q9d|J##M#>e9Wg`435ayPIg8d24;^8zY?BJ2)s3ieoh!X8q zcmd29ygOT({O#>7o0nr3<&~0mK3vdDQUBl?4iyoy0|>AW6`b919&Rtk)>b-N|g` z1~F0rpI6iK`RZPto9-372&+BO-$7#SkyS|QY$qOWtwY+qz^!$z%N4K8O4}*5IL?2Y z)sXO^Gt|fwVBblcW6f1%C(ErT0hZPo()rW}&b-SX50s+dg0q<=ynu&MC4g$iydHHL zeR<|z?j(YNVG1*|ToPaTc2k`tEfpIarcHNb2YD3Jtj4+RJpYYc`M}7Qrq;uaJ?LCn zG+0><38s7|fD8^EPaUsIHxpkrdB?)Z>voh%3OQ_;%B|skPHy-t_NMQeMqEJj9G*fE z%%Nfk6PB}CbcBoZZbW3auVaz5+_7*}PSjQ+E~kDv?6#|Vrv+^KH22ao0a1#~MZj1q zDKoPPZD6?yo~iFT3XHFuWGcmvr0FZl5J4)xbGd0=0Uw_Pfae9Kj+yEL46k1)$B_gS zf|J!yT^g?F#XAq8H2FB9v@e8+rk8=)o*Z} zFw=om9;y;I-toaRVkMQhdS$uwOVGjZ1xsD-xTD~Oc|NoLD>UpB1iulNz>3biCv*}1 z78h65C|wCaQhN$jkr&vOts;)2mwMCiY0Eo!ZLKW^@dpkNjwiM3S3ypnta_KG+P9|x zd3E*Z!uX~A=+nM~!~&NkoFk0>eAoPoiPy0X%x%N;iN+Kr*_Qx*YW!<1p;nBjL5r7Y z8&p$CZh#t)CX2qz%BzM#^T@D44^uis?Gp}{On(@-5Mv3A<4gTKSmJOO$wW=)g~ZlF z>FH`}yS!5oU%Lc}efAX_9j}!<%~|i{h;N09F&a|Dy34j) zUg4^8eioR4jMF#DkDKVi_u<3oP+&+(A}1Y%BhryfNHV|*kPawPZG8p`S>VHBqYyPHkH~o7r^uP? zSpf5YODV~drk?Dpc;ZtMU>g&{K{hN;zs#z&1EkzPJ5+YoL8?5j6lPFc$_t5(2oVuek}S z11JWI{xDTxWa5m`9A8hdKdG!lmfeB=@f?63@fsuE#aHsb5D5cfYn#;(KN0DyDFq zgt>?2Tq3d1XqeSHkuh^5rxm6~2>Qmo$mwZ4#IJIrEJFQ9XvP&lQ_A)E^odcm6^HSa zX3-;?_W)dhzlAwFvnz7sL1fL|1)FC|LB;2ucVOPKHXYAnhF%N>8 z`m3FSHn1tcd?SkbQ%auuZEyt#mg>}&sK$^0JlL}8wA#K2HM#^!>h-V-)Mzv>1G!80 z0q7-K(4xOwGL?+YjS^d&0ge}^UJ3ERA{Z(p(8oS5uq`DsdLs~#jHs8M9&K?wQd-Tu zU1=~FQL62p%%JUjheTSoFrdGozWxoRCO_7 zp9w-=FVhJ#?%@dVBAmlVsb(DJyosprC34fEMiZo!WoM!+=o7eeMx$A{V3FdW?$i8% z5Kp@W% z;Y7dF`Q_i%NisC2@uXwr($1P55myzAnEUzSdmdIRtx>4_1|Vvn!D{v^_k*cHq;|t` zoFS#Mw!7W)C~bt^27q2Dd$guL{T?kpLz{tF%@3?S7TJ0vDZi&!1AjrLKD{70G?Eg{ zO?O_^sL*Lam7tw8MjA$-vI>lDbQvz?xi*Na2kKYAJW*B>B4wgNjn%03vy{UWj^OL) zytbz%_mq(Jnmkq;i&L%pc`pi>KPQCnq)dQUnqsvihM4^Qyd+`6NtsAjX9Zud&(#g6 zpMoh*)PAK-I_k*XSnLN@$!ep0E3#N*8q@a#8OO?_(!WZr#Uyu9qIc-D!?7NU%btN~ z2(9)4s#$5d-*-JWa+QzMWi4QxN%v26^H65k_b{P&sd0#bgmPiAECwNtz#ICGoBN@bsh z2KJoz%(*aaap;x4yWSFW^?e{c2ht3*%dcZ;RqF(MH}nZIm~TiMM|GU;V6!f{k7^g| zx=I~~${>lB;CfuZ6PzdpqU9V0+#Rg*z?I~Jvg5K-N+4bCH69uL4kh=d@Fi|Ln-d!#+?jcVOPqLWnxXc5EgE zv+s^md3(W6C*LwZBqj_c*BfbhcdJY9(TzIJGhkXKYuQ5H!ihrsMurHl5_ro8%fO~Q zy5p6QhJjb47r#8sCe`#zVak+#CwDwffXb13EjwFbMyLp+ht)072%hpFG2N|nmMeNX zcj_}L=>(DFScM%6S~7{S8BF7c_rXANa1%`0hS_uJv;I&tHe=}-^FL@#!_4D6yNiF8 zjMU;)XKP2KRGNm7vxrIc7FHy=N@F^wW17;!f0A5gyLNORTG47PY8vrouKIrwIZtdTG{~UNxF~IOqotu z3^fphbu&_AT=MA;nKHD#oQ=Ld(hhfR#ALdTqJLzG5@Egd@seW)idt!_Ne< zSY<6pouIL6b#*>E2N_8Er(BJ;NlD}kUddpq5-xneR-oMZ0+=$*79OLQJ?yY`QZ8bN zu2+CoKx64fq>1dZ{H?&`)}cXl1FH~?1fX@rYjLo=M&bq>C#&eEC3SoT*`%{X$V9y5+Ogm4k(e%#MxtrCE>nV<+-n@q^n<4d4ltI=aP{=#`k&@X;lpRWAv9|78Ya!Y%{X^N@%bc?2X+ zdZG8R!lQ&%mtJN#k(O59OCxy}rM0aJc9qgwL?aBiAWYGnRn0^@iznN6>Ua}Nk?_FX1fD`M`ZO27F#C-f)&fNMuqI`wDp*Z@ zR$LPZyV;5Yu`{I2dYy$7wJZ@_z1lEHFWIcic(n+$kAOt`cAQT1^Mng7Jv|XU_*B%B zD+#5RWNIL-VmlD5b7pCJvl?yPDoJsXkUhm)w?0hZT&4wren0>ZJ1ES=k2ot!8{9M} zx-NoBm7jh^jG5M`OCL+AP`vEl5r487c;X|>;9L(HQCi$#I^0Ep5GWhacHCwn7?8)*BCd)<58{xD=wFe8-tPC`O$Q|QETZ$!wq zFi%!j0XL~$*I!?6etzd)ZqOT?B%IbOb$@w=dmr=u=h^SjZ?3>6C)jnPQ=y3XA7GrT zt93?2hP{-0_?*`F@GGVk{h=|wpjj8d_sueWPs%~W=X`~>m+bNX|9*46CLZWU zyNet$@VNi?UVpyfUQnoQB<4>x-zO*?UEPvjzhwNt{QUfI`Q7Wrua7g`zb3P|N(u@@ zw#O~Nz`zEkr)xj-ZFai2vh2=yqWNZ)f%XQpY~4sO8%|D6s%UDKIZbRpK|)4+q4?o4 z&+xGxBemrM#i%W#C~* znwy(x?HQ^Wc$rE2#VDvrq~l0I#gg^f;e&ryRmrzpu27bglz3i2!edEGN`}I-X$Ur`prN6!ZJT+2F$76xWgP%tXfPQ@!sRtBk0uh( zIojgVl#Iq94T3`a=~sqm2vVrOt;IAPN1Vp-)hKBOR_JwRmzQ`tUnaLx*K44uQWYeZ z#t7UB@g`$v)uCXaQKnSPrZ+(wYU=5CGeUbP2nsVDUt&PRz$v~J@>z1mIuHzh^_v1D$C|`Q#ivvTiRTv*f0ls`}(qcZ#yMwl&u4-QVCCF{B?Jem3Wi z)ufJo`n(-tf4#uJY(4c*ZND#Z9Zqs>C$p7JmBX(RVqjv9euBT5J=JW^c{ZwdH{Hu6 zCdU_JX<29x3Y&0nvdgN9C4Ca1;*y#q4wvJfhl_wi%k;*B$b6Gc0Ys%r+qhI;Ol>ki zBM~Txw(jbFXT(3lE3PS>3?URWZlj>2l65z2gFu9Tl@~=WQ^--t_*GMrnqH2h&FmH+ zE+yI8bGF|5ZE#ZoFN;F1yH5NXbZ$y0k?Bx#e0}?{1Ls~0{IbUlUrTyWGxEWIBQOkF zPERjeTuMq#ODjD%7A_@$Txx8lx4`jm4091_aB%Ra59<8*)KqGGeEg$bV{B>Gw+d~+ z*Aw#929K!r0k+cV_(3_WN;zKla4YN#C$Xjh;zHv>)1?b-R#J;V3JQu1F?V_HYj_;I z{AB}eo*`({Zfa^SE&DUFxVU(v$#ULwH!uWGPL4Q@Q7jZ8VctSvJRk^~z4RFN?EJi> ztypVQv1%y#9wCF(EK*!TN=A5R)ASHQUcp_wZ6cjR?DH@2r!sKg#t6^>Bn)_T+d$kC zWT|JtdyhWV$?Di%yZ1L<4f&yTlF}v3v7%DUMOn}R0Zv9rN4{o%dN!CZ6 zOd=H$o4C{aJxz4P%96%1F=dvr?uShbx2NiZ5OtC~upDusKx z6JQB_2Tu!IA}!Liza7zWe+W^AD66Ugop6>5NNFVenWUO%rZ?2a`NG70;HRmZy`j0Q zzy8uPGFh6XVyIiYc5l8v`G|c5#kjL_P|xc1Xsumu9K!!R6U6GZbfLiTYs&vELR~8G z`(0U9$%}cTx$^wnJOvqT;fKCH_&k9o88freXyQ3};{okd*zZ`c+|101;K>i$^_Fk? zI(o*z8$%ZG^DzKrsMQdNFljw`y)xN0D*skhWo^>qsk|hgx2Ip$-!i#n_V)KSQ{4=~ zZsT0ShEusIl@YUTbbWL`yJTHu@q#{54is{@0cMA0m$e&+-k(OK{ia738Mm9)KANM9 z90KYb!F)e#Ej2{Orl->$&X+2Oa)tc5DWYZKcj9v~DXuu&#p@_2sHl~H#5Js{v?FOEz4NZ|I zCdeVg7(^8%EfS0A?3Cns8Z<&ohJ=I!pHij!JD5a+1-I_6&$kAPYoZ4bX&narcD2Uv ziN%@?{fd0*fH^H4hX`7RDl9lN!ox*Xr9^QW43~`Ksq7?xTTZ<;Wy&W+U02;?#GMOB1y?_-(o1QpxzLdIF``ATkB-%E}W1=7v0)v zdAjp=Q~%@buA&f75I^%jgW?2dz90Ip+hCq++0Y7ucQ6V2kMhwTEMK#j)!Zu_~MW;geGrG=DHl3TAiO+$?Nju@l(_6jXnp`f^Kp^O>#So@k zBzan#1z}h8Bw}6FRHX*oumUKSfm*Km^{Wu|wGz;gAAGk@}lE%iyYumec8*D5ja1x_q8U~fgq+($| z%r$FGzHhszzp~aW>!lSYlEsiKPvqt%)O5IpZ+AU?dYz%7IFVVh7(c`O)>+fIQILj6 z9H5PiiFhc-7!y}FOVQy&VZ_4a17I=e6pQ${@nr|w16i+$wo&<(Qq+WKarM|(uQZgdn4B{+p@q1k5Yn64)|@& ztSppYX^$SFsbgu5*U)OGcbH!B5*VJ}@QK)<>5K&OG3H>Z=~c$}^@)ydW>i89>ydZ` zYuqrF7Zf8zI+h2|!qT#hER3pBEkXvfhfATRIdY|12RfGTQ^k&_Dz=tqf1fqdZWtVk z^hUEVjUKtJ)$LJev0Jav#bnZJ%P$VBRDc9$Brj2-5VCh!l^Mu1sj9yT$G;UE3DXg? z3w-mxn93F?x;1pEmOb=4s>)ymCM`rx1p)zXn=7vIqv9r^2=KF+*@PXg8?)lcE(3$Z zG_cOYeRNE8nwNvFm{87J8y=3^K2J2tzr_l};s{eW1b#byZg_NYvNJsd45(H4JJoBE zx#XHz*Mgq#G0+fTUm%Q#s4s=0Tv7{WAZYayUwEcb|-z z%#`mtyQO@-fb5gs%kEFlp>yV|tCuKln=tNn5!o5AW4xzuiEZeFn-(m>uFW~Sy= zq+k{i4`T%DW;!;o8f9@flOT4sWhB6;(7zKHo#`Q_o^LBa7J`YQZ z&I=l^X)akBoyTlIsgPN21!uhP^bSG2eXhRT+yL@&l-mg_o$aD$pv;)EOjpv-sUkr5 z(~4B;z$PC3(JS{)hvuIS%lBoA{Ww&~yVVJCAgCc2aHB>$F!+LgsUFtB9J!V-u&*7YB5x_512YW3&8^0EY-ns=D>v->8{+Z60@Yn)^NE5Pf1sE?kpu7JVMF{! z*sGkDc)Aok^0~M^tXQSUQQJ@NGWGQR5iIt=i7j3wxHha!21t_C;0HM6S>}LzKN-u2 zpUzlp%imCP5PlO4U2FXgX0a!)uR1$FyY5_4+RnEH)GO0t*nwL?jpu3MUa5I{w#(;m zs&CRwjrv+9^(wVln+@Ro28JhqrF`Pnb;i7f^EY1Ruor(L1eMDTuG6bLib|miQ5#Zc0h@KRfWq)zPl7j(-YaA65vlvlQf{sP>XYn7A z`&V3JQok=ZKPGr=1~s>7bnk{!^p~3=3Vix$S3C+)Q%oq!Srgzd!Tvm&z>_@jYD6moP8p9p~@U<-v zMyM!%t8^~E+@U;vNNwS>MQW?>*7nnu$`CJIA5-hBCT9qn=EpF4qFAfp@u)u?4&*0( zk0%b#kr;%Q>|P2#0ugB1?XSA->;b}(TWZZq^3Wl57Ap!Fzd!1iB>8l|5SdxRU{kG zsFxt!7!dj0J2b1TYDN`unWdB<)bG81?#B7Wr}+Z+T{eOI0Ca~LWqHbU@j0Sf5UVQ! z9f&VqC8S@Ai$7f$z3ek|a=O9d00`qSXh8?AS1Z4oT~LisD>(M0hAZKFuT2AnVhQOk ze)_}IXNE__cMZJWVYCfo2+ zgtG*v0cl2k5zvHSa+$DE-~krdhY!84xPfAG4(!1hQThSH{PDgbEM)W!k-_6sI+UlR zS2`%}Kr_7XOVwqZh=o-YXX4|6r}4VemS_Yr0@eb6RJ;!0U^Jk?`?nOZK^F^5+Kai%8j-;>-^%g`#0(N5~i6Ao{C- z0OjcK>V8TwiY?o^6bqM22qg^if?jQciBzoRrRI0({DFaCcZ?@TYzz-E=Xkbblb*Yk z;(DDQTPYHdrz)HwbEio50eqn>@!$6R7P4I-N06SL)IMnl-K64AO{*fDc!PfPjcQYe zI%Y?uG0Ex&Ss-%hfj;rFZ^ZlgiG7YEynHRh`$0dgWADsX6|(&L1#H!FYtkJprb%Ka z$6#d@$L?UuUv#8AC^r{XMco&n7J#Jma^ttJ`4deiJaUgk9)7_<*S~3XhksBu3Ed~! z-_$*>3%#C*;;V?wEIL6p7$_DY;%LqqnNH$Ry+XauU-T)|FU_BVhP2mwfh8>~KS!Zr z7f*;l{#Q^eH%e<*v)pgf{xTNrl>8VD}IAwY2V;O_43Zb5^)OK=bF z5ANm9v-j-XyL+wG-F6VPu~ueL2DlFVU?v|j)ENVCZa2syF^las*T>wid1 zGg-w%M>5WuuPtlN-9oJE1H&b;=#Iubt~x~iK^2Hsz5aP+Az8KJsPE6VcaZWxQKZVu zxg`?FHQ+>DbqMNuYNb#(mVj9T26tvZ9qdK`LX?O}A5G8f0b*ExEuH)b4q-LqvDw!C z$|G3IEsBlOYdHEB`?|ZZEh?zuj(2A;=Aw2taI#k5+m(jjZN=o!h`DdWga18U+f;I? zavnS$&Ab15Hty0L#kUx1>tgIriyM*iCCt{gbH;#7r-NiKY44e9X=**dVo3qMOFN#T zShxnOOx9$M7vXi}g0-4UJF_aU6EP&w0t&X$ka%`c+k}DLU^yZWRGUuH* zNYvFFzoq=R$f9>FIx0HpN@Hi9MrZ{DflZPOmf)8>J~5>FFz_X3!YuYGCR^3-z8EYu z+-^io9S#%&b;C>1>Oa8mR+-BPQRZJ`M8<`Hnv%S3-IuTK7fY~54Rv4hyC!mok9ngV z`aatcIq^5x4@8`W@Go+;5nN1|#L3FqLcc{swCu1dAhR2Nm38;8C~_Ei1`Gv}4#$k=_rH5F7U^nUMG@ON@>V5%VVdrmn~B zZ5G%@*vnE9;-3dQsxRo@3H-&ea0yC-mOicFI$`V|@Fsm^1@9$Asf4h)(S4+1ht+n* z-m)#JK;^TPSQ)9is6+C2hfJEt4JA)Y$D>fbL_{n4PN zmr$JjbD4G!P!;F9_B$w0^@7_h zO2yJie;?H;K8t_LH8!_s?(-h2CTH$8D`tczuVtZB29)7#2#8gi)*6C z$t0WA8;+lbP5sdA;~?MC&*}!XF&T||zpbcl4RMl8Z-@VxDms~9qt}ze`7oAmJ8^@1 z0I|YPo#)_ytJ(JcbS9@OML+HI@4E1QROP3yhql{9o6o~PkRZI&KaoQ5vhXT#H;DZb zU%Qjn(KXsE1%1qBzmvZ_T%=sjI2I=$e3q{bJQi}0Xd8dxYC-%Bn}T<}?h*t;Dy00aef}TKZc|TXEgf z?nMJ@L#@dy_S01a{{p>*>i+DfSbBs(9v}jt=xg8}u@M&tK7HEjAm*~X2JtsW#hI{S z5ijc5cL-cN-Wc~TBmYVL-R-?=YV2O1`4Gt~iAK7mUFpXYgM?c;5_IxS80vRMiz1#g zbH_xvB%H0?x-LDekzF;saU211o$1)j8_)*#d$*?k$-dpsn=cx5rcueQ953gUex#dD zkwlAQ_pRq6zncuwPOAenqkN60jDi6fG@K~MZL>FMs%Pjlp5L(ap9nCoiGZLUEp zwX0jE$JHkgMuEDuP}+0ftW+BPdv#H%%knW%iADEKZJ~*_`iBFx0v{%vlP{Wvr>2%K z2L>AQ0;bc1Hxk}dBQ(->cWs}dQJi5C2jqpx>d;RBr3vIhF?L_8sZTnMym6AHbBU+? zov=oyZa_B_-6bXX=>~2B@&)zKY>7^87|HmY!xog9Ymlos$8EBlD2wRTY=M%7%i${OS`HuYqfBEQHQAEzC~VuT+5=_9uUTdl)ZS^$L~b$EyH@J;_LvakQf|@P z?^3<9b*@&)0&BSFu zq{lX~@t(D=Cq(kFZO(=O?k@ii8RvveVp226-VG9T!V1^j!%s4n-#ABEvry@)LYS5A0ujXS9FihP^S|8 z4AZ1;KZF|~L zN`?M zh!3CgHk{}qDBs-b#L6d8yuI=9aIqKT`<#D{Ylaj{IS}JzaDWayY#jy_iE1Qxqg8v% zW0&^X*iGQR6;z^*jIx{B-bB!=?DxpKWIK>apIskhNazWfti{9Re#d^SQr9)~jUypi zMdaHexqtvWM$nh|d@Y)n$3qP9I+3$>J9FzUA${;E4=fo`%ipO4eXLFc(l!{vtApO1 zWJ9t{_NTkV4AA~2CZ@w6hn-tZE-i8-x(d+4*-G&^R_Q0>>>_L)Sj~%cLx=~s@u1lD z_k+bdE_Jk+N>AmLC-)YalR6kgh@KwHoa}T>mnfNK)!zrd;(yyiMW8JvJPm}K*_uD} zZzw>-!g1q9NJe9EEZm=JTOlP;I2D<-&s23IufNqDLW zHO~(ki<9>NRH`e_6S%5qi>FkJ>L%{QaMlU23LPhg$N zTFa?>cmiP?upEpkz!O=|Nq74+`?kNX56q5&*nZ&KM;|E_Ta7X=n{UYq{~V)r5@LI6 z>U^ot%pj*vr4`~t#9^4@tfgtvMje6dDLliu&W=qb9wpMPd|1Bn0-;tuH1M|-sZwMG z4!k2XRumg7)ds@BEi0N!K#J6335^B+tW`62;W8vvPF=)7WU!2c7=>3fzF`j9cE6Fv zt0dZIQ3XqnxAYQN&hpLlA94Mfm_sWhXf!lRk>YZFSig-V{XHEk3TFE1V-*Kibnr2k zTR(5w(wsWn!XZS%JW|DuFMDo?tt9zTU>c>J@?c&223 z_klb5Y5iRyosq60Vc`M6)t=H=9U-ZIj|D5}>&Z-Hky!I^Rk)njVy z>MPT>_j%xcc&IdMGqjgSjN(3esmLV7lSTyeOT3WW_iL77Im6It*JEK!uuiwy4b97i@f@yQuaNoU+5HWn4ufbpt#%Ywti5K1hei^~y=uPVGIjval<9_jaq)IoAwW>nF>NjUT>}pfz zn+^SZ?lgG+-h_bAc1qQ&;=0#GJGTj5r2srS`Jc7AC|?imo9`Kt!dk{vwB~y~x24C- zzB?HkQ?QZ-XwGcWvCqGoop$Xy?%A&!I$zSuJ)M(UYWkWkX#TMMl#!5&Gt2f-1-g_| z3>(GsUR#1mhBpUuTnv5O;xb$AQXS}o+JiutC)4m%-L+p3(*#tJ5W4m7R1Aya)5W>F z4%@_jBb*?m_(knZGua?rq=Ga1V}X$fP+?Nq}_sTb+mQ?lPnABPUXm!@3FK4AvWn$X!}7-V#!CS z!*z0pjz*1%2RCSIIy<(nBi^Y7*mSfvS1{)-HK3z%-t8>oz?$e`W8o3mi^*@gL#lQ6 z7KGg#1u;@;MkU2t3OBMWU88}v&Q>E)>5W1Dxx+vr2>3RACVV69zpTmYK%!TH_os}H z@^Rwn`pm-W8a^sQA0UIWL4vgOu24^%CWiMtm4QQU;sWI}S2}jbQwncv5TWeLpaVOi>Jf4-SH$EmW zSigdvN6T38`#c?Rf^ddR7601Y7xtzPAn)n^rXj^4jLtMJt1`^JvU8jBww4}azi zo#bouwzc-DE}!b1d*dy-F??|9NctVucVhU35leeUtSRBEoTYEW!Da`wksrLN{IFqs zj)0URMuBKiaO2M1IXZHhy4sR@7b_Y+DU<)@lw!%Q z*NLt_QN2xv9zqXxQI0JtvdMIT4#epltd6XiNz7X5t)`D}bz-&WX|qBGJ|E8XqYDAN z84;T($nRGOdoE#!=&ypb)gn^~2`PoN9Vb;D@DYo6#dvZ)Cf$vgFH(=N=(kYhwh#f! z1EC&UU0s{Ht1^equw!2w=Hv6wWgbgkRkaxM`TE0>^S=NAu-LJJ`p85zC9cv z{MNzeRzNt_V=Ao5ChiDP-%M7?CxLH1>XA4Z5j&C*JmnKR?^k9q?p<~0E9!E?<1@mS zYonHnqc~IK?FUu%rH8uY z%v*zCLxV&GuEAvN<}Ht9&_c~7jsgPOnju|9(Y6gT$itZEObG=gdIC*hGm|8rXq4Y+ zX&bzQW(sa2om~vzEjY2$xgnj9ISmPB*R!wFqx)BQp|dHtDL5laF_^o)W2dtD05u5n zSeKA=G!-o^5Yt+__DEI5i%nP6v$iBmBM%5}MaqwEP|6+HvN2AAPQ5?%1unS=qhniZ}7=tXU2G6aI>Vn;ThMi+idt;^@ZO}fcW#M?bh}$NugDO8t7FhY!$a| zx9?4jDxFH~p{(q_-QM$x9hPyo(DK>+6JOAEvsAAiFhgh4P>m^%`dJ-8h@s#;WOIT# z_*%_WW0Ye;uT6|#PY0S$67fM($OM7JJcFw0l>)g;qDOs8ywvSSDBj7Znzl`cTPPCH zkFe&Ak&R-?F-ks!%%mbaq?L^a>d<||ZVJ&%PWRFthIbg(L%lKPanuQRy)5!hNlol> z2N~|kjNmvKA^?g!HuUa>mEs6pJDw=P`%+=HH(bS2YVs}XeErC#q?UasyOw~iuB!Y! zoTue_S{Cuc2boXG_1xG<=2G`h<8X2=XDE2CXxp6##rL|-?9KtbN897DznXmvkZzT; zVqZ$M^6k@VQLq&cL*=tq_e=W<2==BucOzzE>5hnt z-Bt@RKh=AfxO;IlU2{uwMV!zX=&TiPx~ZnxC6LYB;|CItt}wsA z?qQv@r9v6Pl47H%5ppP~EK#9lGEF1ED)2SwulS`3hYJJBH?xD_KjVnLX=xDwOT)3^6$TBY+G;wXfl{%d?? zxUtk}Ou}M+RNL_yY-Uwk1)WFU6ryK}q@;lEAav5qr`Yd(eOQ^talPVHZs)7YdCKsz znn!EJ>9wY#l1XK%MN=oaGi9AT-~4K|^u>WZW7hd{g#^!sa~U7cyWD{&yjfKK zR{@{r+uXl@)sN=GU>B#ec)d!b)0l64KOTHxv>MBo%Zk8RzKFvEywpF_A+bGxs zl{21e`z=u@o<5rJGS#}0Npzxsq`08uMd9{-TFwDCyRlms)@gu!0+~%cF5(nt8YulC9334k(ReQBnZ4^XY`C27g}S^|8)$91Y`*di z)QOm0u+b`XJ2b2SdA_*cxPEl|;PF{={8~dw$YP5A?}Z@)W>Ax9DTT{ytk0f_H<9tX zxfikH_f(qAYJJ?QTZ>eTbRY`X*RR2qA=<^Me?R|xeeHL8=LvmyA$=9&@QwL}h;z+E z)$LGkz8-MBH!ALSv6`fbfeLkazSc?yb%naqjBKm&K2f!?;noeLR5fgc{JB;C|c_H$2b5I=c3qdi-QpJ35f}q~x#uU4@`rD1Fh~w4emhdy6 zHTtXQd3zY=-vtQ45@IN+17dEly!ex?t!*A(uxOM+Ef-5=0FhdL8jG1?$LkZ{vPaiX zzMs|#3=Zl&ivbpFw1l(jYE|ZYohpwG# zPmr?p=bGm;(^`ymVM|N1BeR+#`Ui0qm+SElPN%)y$HLA|etPZt*z_iISpb;WQ@D~Rgy{`aRT5ug$q#SE{LO0I!JlX$R}Ef zsSO61|5g{ikhY9{&kIz<#`hJAUAK`K*=)}7j70@T%?@u)E!}Dkz{Zss4R;}EnRm*2 z7DR^>!xl=VM5|V5RGj^&K?SPqG{(mMvZQ9z?Z~+SKWG>yVkT?3TEOIs^Jab32PSp5V%Jd!Q1!FZM&gC4!VFM4Q$Y0! zZXa~A%4w$Qxe^C58tsDWIm2|;lLa`y+>R0V%|qSnj~hA6Z!nt;OBaqN6|tQym$=%a zE%qZ~OT{4hBUUT)iYXULVwF;WgLJQO&%Nj(mLQCyqrb36Ki{8OIktXs74{5qP#0Nu zX&hCh%hznMswo3$%$lw~(ZZrF1V}GO;<6~dG@c>1f$^>Ly=F4%b78Q1izssN!rukM;^W1x0az4JlTpWp&Ty4O2 zBI>4mmBD+@ysc5y&gS=$eS5ykzxGWm2E=Dl6-5q?&i{SHlzoalod!7=MThkGgr(-U zku+ix5lxboe&uj7ogm@n=01ueakJgX9ZO@GtriSkIQmpYw(7CoZ1lv_p9n?@p?0{= z>-FS*yjYrDU`(K{&Hw&LHi??NZm+rqe z3t899ANgE}BnF*j%chNT+&XE^N;L+(TqInU*oumZxjb-!d%t(c664bN3)UJlKomar zM~3z&j^Q&8opyDsPFy$1LjP6b=}Kozt?{s-JofcbLa}m{EpHZt@gI(!5D11VPN)5; zDH7pAY#lUO!1`0aJa{Zfh{dE%ohkC52$PPu?X25b`cBt7q;{my%7V@EML;KIbY>1vq$kYt_B6LnPH97p z$V0Z0si(JBWirIkYPK=zPsZKw4&_{d0|t$J4wz`ah(rZ^*Rt(w3-U+SGrT^Uh*YPz zv+{Q=YRw?%MhBq1kxJB!|HUtV?EMDQvBbFSDvi3Y{r!I^Gc-sm{}xY55Yec#V4hw5 z?Tt~eIF-D_I=d;FD4yl|&*(||RG^(hv$C@2sQx8}XwsYAk1f@A+4|oO#ll{pmUP)c zmsso+El28)xe%~ffA-z)@`r3Tf=PV{Gp0~tP;%~}^1|_;jJMrr9=8>>e$=z=H66p_ zJMxM@xF$Wpy%HI#gMO60*jZODcW1I(X{k`XWU6v{3%*-M`8Ud)mCufu{EkRQJ*NdoY&OYXg>zf%)0E9w83B4LcyJ!7=LUxSuxADc85s{(WwB z9nCh8yRRmHm7=4V&XWW63%fabm+9CPK~Ois2FQUd8>6sm+sDDd!TIE#-t&&x0PNSt zr?SBdRB(EwS|pjz{QfNS+>IAQ3pC4H*eFPFiR&hzoDTgp`qln#W0|~aFB!HjOOoLJ z;II5ekDIIme>cf%e?dby!8xda6HIxxKK*VEOK({SxOR>>i){VX{d{-A2?KuuzBF`q zyqF&h)Xn_DW#YFZ;N7HDEGtHzvsIB1|mO`x)JW8@)B_G4BtwDb2 zsZ1A7#P9JOveQ znm{8c@lZ<%O?V7`B7>dL?6nA!0|kkP*2`s-n3j{Rctw3!7ZEWL#YN*8Gohcz z{?eo@?bk+irbI;{S739H2k zow*!ANZH@Zoxc37VJs#iA~+1%n~NeFG5hLzHHQ7>2NRjA$%>m^S^REU!AM_(kdN7O zfN6Ak+m-pkuJB4GgGG_1WF|KaWLVT61RtD66OAglN&8a^pWrXntkeM+OZYp1Nmxct*L& zc8z17#?9M2gVhOJ?!|BA(Fd&C`|1_imn}#Dc}&X1#bF-Ty-SiM`8FT*KE{U(w?tu9 zOu1Rnq~Z(y0i-AgAec2L2BS@`h<%^+GbTHQ#a;yTk_8Ki&EHSypFzvtx-OD2&g&S&ga>T(Hl#h%WWRwuh-?qSfW{eL`s5{-T=_Wh_Y>`2F# z+eQ8OVxX6DZ~`UsA5?Cupr;xGyolw{42!tcbWad28>XyI zX^>;!QZ(rxm84ZGbVNITb}UE3G4%6my-FzA6yxzGqayF49#0;87k9S)o_WnHs^EqZ z^!-utn@Xj6+N6!7b6;5Z;$q6X^?R{GN)2n!1`;Ka9#MFN+-EACcGo1!`9FovnRjbF zKzzn`_j9!;+0UIez7ahEDMk4k)zM5dA`Z6%bzi&_guh2*_{lgoyGLbFLIMW8Zd+=C z#MD-)zpVXQi;Hre2z-mj=w(r`N#MAq|Kr7(@1F~9r*kBe$*jx>CU3gDeB+E2wz7Ve zD5(@ihxn;B&Kr)=TO55T-7X*vrE-uH^5XeFMN^eqmi zxVB!f9U5;b3O62wDv5W$t-?JK9G0hBX*((#1z5IFt8OE@(Xy7sXUfi=wjQ`4acWp{AzrIc|+Uv=9`0p1t|GD7$+ zd_on6U)66flbt+rs1B;-;Q{Cl4@93eG3?emay6XHuFy?VHFGDIX3adJ!-tj#7I#> zLP8!h)TMIEO_eOMgE1OvVrYnh2O~)l$@F?fcxwH%+Em=^ z-hK9g2f{)^C7KPE%N3pt$1oocM=K$9Y)S!}W!?3bcQl$EhRqYfd2p7{uuuUppWG{5 z&z4N=35>Wee0Bc|J`vY8xXML{D$6Jn9H?U2JQfXWWHK7~tZss4*(Y|hJ1+c*o!)Ij zhz^HdQ-srTH^<2gS!Wz9$-7$L1wdAUSgHP@Loo;yAfYJ+XdO~HR5=E0JL+0cG$G5f z!0x7}D0REgFU0Bm!GW^r!@##L0wf1fe<#-1%Xswd@HYU6(6lzh$bi;rbv<+U5c3zv ziBQ!xXfSLaN>x7@ITidnWA;NXFa&jfxkgeRD({tOuhgsQTrt8#AW^H{y1Rco%7O8i zP;T&OwYg4E8vEBCBqXGaEfr7I*O7ma!*+i}gp9ReoxZRMgTH`&sH%3&t11wV068=- zSHk1W7GQZi*V%E(od!OE4~C>(%k>s2qg`nbDt>?_d`t;1(tlnM&8Z*Z`!jKF-^9ek zZll30Ou{G#9tuFMV%6)nJ;`2S-qJtRfaW!uwa(|Z=WPOYWo2cu+mqocwC)pskhcE- zpn(U$Na$q{h-P){M{vOC<0|eNsPsd_SF_$ePJu*RN26`hC?;es5Apu&{9G27#dNp1 z`gt>z_<5i0O@!*d&^E{lkm1@e0KL?f9NDR3BMCV(UK1|*xU3(KCG3GOD@=a3%W9(= zU^Z{cFcbH<-pl>Vv6edaEOX+~IiTw4pndWK$RaMbTAah_62smJH6SYydP2CpjN7^; z`p*OS$Tc0HC$so>mMaNgcn^oB$+Ul>HU!l8G1Ijdj;7Qe8YozUbWPB#Y!-6~-W1+Z zJ+JAy=fl;hg5)=ZM6^;Wb(H(DRLz$0e6vRwFm%jmiG&2Gd1ilRA~Ly^#u}fmk(-UxJj+WV+ae6Sc=MI=euu4lNpTM z=(Wd+Lg6BE68I>a6B6JN;PQYDM$;GlzQx}+3Q3v>Z2u5YtKti4h+sC#d+A>O>?TF9 zm?;1PE@pd9N#*e#J?kH)y`B|(ls*M&R(^iSxZi`+*k(SEg@uGmY+4&{=hgJC9GxA_ zA!4LbXvVEpXsW*0?@oQvwO>=_HS|VF?mt;`R| z5&poMksgyG;B+KE``a8?+z$r&l|rY}qZ(E-L3u8SPp?rQRQao9P*P@V=S;XlvmvkZ z{WanQNCzo{BG{F>(WjV^l3qRh3tevD)#^daTrE1SkkW#cyb8pxr461A3Lh z2&50W45lb-dfmRsQt+$5LyDpj$YkXm&#oAv4Cir!jUJZ=2fvLxofp?c7IE1?=xcBo z1K|*qJwsx(D!skN7l((ZnsH?rd_9=iD&pU0Q>)ERb!a_a0e4;wI;YFcQZL>>$a{2` z5oEPFwB@#~ISc}Iyj{@$5)G8nd7rTW$z+w7MNUSfty+7U_oGBi|Z)u{`jE`mt zPoHH{*a(`>oD;Gnz^c0Os?Zjlk7kbOU~DHG`wwVhP;*h{CRL397aYhC->{(rHqwHA z4G6+H`EYki10HJVoBInygkw>J{pRB^Gr>bib*J z&B~R(hWGEV^1og}X7Z=Va}YAAIoTTE;`f&LiYWj|)`0!do)?Y4Dnyq3Qh*H@g=)ew z05*_!ykFIj|DzM}^XUoanlsm-yJyEg-UCGn|FK3| z-TbpwKAP;LPnKdCiF%))iZ*>{GXxx;q<7H^Q)V=Xw!7_!%jKjxV@caE3s|O~g+t4T zr^_`Og8Lcfn@Xu%j^~z<5f6%GQj%+pCxig0>EeJmfNhRdi7UpTD{l*Nvyrpn8jU8? zzv6+SlMuO5BY@k}7KIt4tKAfhM}^bUt(cCdU*!;gU2fr80lpA1S)WR*InaPmRx|>; zkXot8KKGM{)&VH`RrWVSXav1oAAn)$wH48Y(RO0veulNhd z>t{790%KAA+8Z4nQch?4wU>EFWUIa1ivi82r0;_VguJfGo((X@G>a8_DP*>+>a5XmRPvV?;^+s8kG){%OpenLTfBEmS+{+5ThJ zOlmM}Qik{jz;gH}NzJZzcz0(jDLkm2GuI}g)HI5VT{a{Z1;nZJtgs`@3Wso$%{}(MglfcMcn86IK{y&8g53{#}Z|6V_>)F4p%+kzEl_ zBfkpq`rIdyUWi`*Uc#wDQq!LiA9rLGea&~D7X*(k1`dnwj(tB$MS=4K9atLOUeF80 zFS2e78L8S7F!X5$mMHis7u0&LWUx?BKPvuXOa489TfG*|$(Ue~Ly}&icLqVGsOt|e z0k>Ym-&yQGxiV41+IwG{y#Tjh3>Yb zqk^gGOh+6!p)~SQte!GyBjpQbP`i1O)^nh>Xheu&fjHgeFMyHM_9H7Wjc_^V)u4{@5Fk4kRZnyVWj~4eUQk)~- z=Ua<-E>VgSJ0729XM|1z@5~h`97ctz^>*{gbKckHgUQTd52yVrp)&}VymQgGGi5D= z_D?ho=KsQ}yTkcF6c2)JCtPf7v00m9C28r%+wh0p&W~3qKwXq49)teyrNjLyV1c`m zl993MfdT2U?M$n27AVKkeBM;J>775>;{C*IHyA^3(9aQn@~GG80bndPyR`)ap;1wV zzVGiL`zwvfW4>0Ic;Y5;DoZl-2MI(cRYhXCKv^G zB$G>^csb7lF&#iQ+C5F4`ZFyqyS1VjmkjKY|2;PH=V;_+v@@eY{IA&1#rYU zq$Y8rodRcJ(oMOeyyc#2tM5-%Vyw;nejW7~w!WHxbS ziUShPp!H9l808&u)q@lm0!7TbqK9(z^t}4>7%2Q;9mgpW=g2h$Mk9 zcIVE21NQS~Awc%v{Oq!`pnv?y?RHuKL^RXwUJvLl{9lu_J~bVt=>5zMghkbK=HK~T zrPDbO7!H{Q>z>2qHDPTzRSn)hNZGE-ao_o|`SXub6AEx&DNEK}fMl~S0bMwxXs+M2 z=NcqZ+7WVX`3PA)eFK&gxPC)4E_W~tGM)&d?s27fcmxa%Bio(~)JcP5;Rq()A8uwj zYSk*uam3&gMon9Ij(eT+Rw}JVYs^oCSAtxSX!z*TCL_tYegZdAeOsyQl^Z{Rq`qQq zw+nOMknxEO4~6?aO?>86T>QUsKtV7TH?E=ycs`uP&}&wJ!F+7hhVQuUo;VmBso5DU zk z8N@NL%5HbJ0oN(0QEQsZ>veCw)_A%6y7BQwM8NBsNJRPTFx4EW&jnSXQ@60o8RzS} z=OMlVuHTc zjdGo-3?93|@97Dsb3FX~m@3o=eaU8X7*qo3%of*pLR{EN{qp(a-Q5CS)73%ILrdhM3rL)?7Y(51@R|*j0V!4hmu!^^T_F=l6uWeyf+%^NJ z)0^(8+wwuPoTbMHoC9k&K*b#a9Ip4xNIuId5(qxB`P0_I7hcovNwjLw+uKO}lTKBX zg%SzD6PdFhD3j_9kDGmk^VMc*Qc@vH88E5(yYtzMf1%ReNOFFjXt<(31ho0f!r51D zC)Ulz?yDp!jes2yfF>;J5k*j1u-32usMO^POQh@_zC9>W(p<$`3TM;n1dkGJG_xt63B}aCrAcO5YN_j;0gB7!^};} zqzWvid7u?40ULJhA}l2O>-~_`)WP9a{w>gkp~RE*QtKt_vXI$iL_RK0^ikJOs@^se z2op!Jj#QHUFTRaw<%Qvd{X5qnL~& zNq|z;Z9viNHmixJBv5cBG3UIGJgHo^t2>vqr_1e9mea4;!Xe0o9X>B#mk2&?hn4;; z<`9nmW}4m2!S6IWdv5p-#2^L15e!6}3TK)P4O>kQQD|yd_DW=5*$@Ydqr%V*OAJM!-J@@|;Xy*? z#`(tVB6rI9`GUFS2_2CH=yU>5#O+W--}zqrHNr64YUBZT;w7s^K51(QCL` z?GQK@4|e_u6#RjE7Xw_PkJxY2TQ9{2R6*D61#)ooHA*JYh|&LGwLiN(o{osAk=x({ zc>cbuFaVEGI#w>V2w6cnN;-age!BK*NAZ#X&@~_pXeZ{?yB`6I^L({hQ26J+!Z2|! z6G0qCj$8HXVLM6h;O~kNB)YI|e}S}2LPG2b$j_j9CixI6j?zuHezzb>fwPv_u&~J7 zs`m#hRONYr&Nrp!?8BKoDaFwjK*~gT80z4k^p;PzLC(xJYjfy1<4X<3pu6$u{_%i~<)({00WuNa?+>+FmlM?v>X`yr zVc^?Nhcg1M;fCl8?DE}43a0)q9BRd&2!fW z7*xsR{^hII9*BXGjlVfkraJ>s_}PJ8dLKMpvvG`ie3olPcd$`d6gZD>G5DN4$t0EF zxP4y(Ap%PP&U>y(kH3PV4E5Zf?QBIpo6n~JTc^=xO-zH?OyLf@i&P{)eyit~3k=WS zgA#@O5}*Vb7a^%OH{58~4#addrn);|)36N00@NYWqEY1hV9>A4pr zu0}MAd)(~qy_DLvw=9#Bgn9s4i{^IaG(}ZpC_3=-)8CvgL1@A!*cwQ&w)x50CH6HFII-g><^1!7%KU_S{LB^>WL z|M|awiiU&-4Ua-Mr0uY(^F7b=?zl!v1o;)viEQ?WYqs#!JQz_+CDVO(zvLcOD-`aI zN?^CswFKB(SxKII#IkQ39H~G6I1Q*&hI~@EiBQF=Nk~X$XvYIHAd|1u^n64Ygc* zfkR2ZnZ5QKqtUTx&-?j$~b4FNf`PO)-j9Z)ikJ zV;oOKqb?%I)USajxR5jyKt-*5|2Noc7sr$6D{1-PquQKKapOo|0F}h=Q9!xmgiipe z=|h|cxL3JAPJk zQ>r|azT3{F(kPb;C`>CTMg$|DuwQz85(;P%hM%C!qE#;;7G0Nmv>gVA!N1gvdUi{k z0MpxF7}rYV6?a{(wt&nQ_w%y9o*TAyC$`N{=5jlh1|n;fyYu1AIv|pgS*kND?&=aK zRlyYlUz=J{dWhLzO7~OE$*Sv6!e*BA?k7r5#Izq6_h+t zxa|+m_oZfI9H%*g_Z>)41P#V6KYJ4!8|sDa%x3Et;vYuReV3Scr^a)b@N^( z_&vA9Ho4_WV}(B&lnz|pKea`=N=s&L{Lo4qUFEEq^dcuJkG(s)=b)Qjt#jCf)926M z?UsLM_jZwT_G1y7A{nSTNf>k~HreXPT>m0<%An6!Zur}Nck3`?$b7g-TnY3$X&TP> zjII2xccTOZ&Qal%FyMD5^TlRzd^QgK4f91VUr^%o&-3GfvUW~9&Oi}Hu?q@6pRQ~5 zdiS`w_^y1|m=fpV8T_3&Ig~_;^)}AE$&Bptb%`JDJs0Pofw+#BckX+1Ols{QShMQN zI+Mu(jrRrn+d~EHF4zZ`11+)%*d`X3*&-_4HV%~v)p>pWza4=CT>UN;zMbXj%>mi8 z${;jKpfVxa_unB~WWir<6&@>q;ztu35}dp+XE$g2H+G&!LNhwm0KBq=HuLs*hY%_V zK6WV*AG0Bd%})?#0>f}yNSpr~P`Su->+b3&1r?K8cRP{;Lt zH*%ZB?AJzf8H&9wmCCP`pe}%C2KLXabE19#r`Q9~+K#?LyXag|4gsLFRe4u!p9i6& zz$-sw3Ak~`GV8H5w6_RZTrf7^TDxmH0QUGZfxb7xHUcAJk>!z_wY?GPbb;H&y6Mhr zEr>z4Ufogx%mWhWH}z%Gft5{O1w##vD?SIUSeOoF9VrN=&8Pk7<)X8Ae8rhw-btT0 zT)p*O0PBJNJmkrVwI4YW+@V6XBHbTE`W^wCM;gU88I7;_=*8dEf5_%th}N-|e69S9 z=6bQdwwdLRbH2g!q1aM?bokSG#;7tm)JoeLzx6%>i`Mkpn!^71St~H9*z$egmB>&> zCib&`|1Qgy#uqxtJk+yzuN!bVirCjg(XIxM2`_?8E z*Z+x)+y=CNyfdJc*a{Bo$8Q92BI04>)aPiP&Wol9y+OlxyH9Sg!X@i@EQGFj>5B6X{VAf%6PAo)79^D76g z^eg1!&UQg)5!U3^{N!ZxG-kYE;xh6S=|z_JENn7PbyK(YtC*g*Taq?f&lZPCaa5yu zR$Gb~u5-wtsCkb+m}-$VCLzm!#dJDHWX&v)J>Z1zJKX90aN}#3g(njMynW%l<5Bz) zdmWkd+7cOB$9q$X)T5Uz+sECqBFs??G;?u0Xwuk?(1?+t>cjSTaISr^v9XC9wutmw z%n5V85u5@6sPt`a*LW04At9ks3~d0dxARL+PE`^g474~YV1 z$Kwij#G{462IugkXB(V1spZ$z!}xS}s%+)J7UJWj$V&)No}|VF?2YYB-PU4|R>O(M z&dKJ*$&_r9t#n#&wSPwu6h1>C?BE}S(h3cNIvGN_CMedP$ly}|{z-s;JGLEyNr7A# zd9Y2u+voGaEzg+*dsYmgPnHrK7@$8hU9RKmcF>^Q?bX3|cVHH4Rj2pZBS!4nHd2xa zPwm9Dz;ElvtC{DodCT7r(TWxI;4q64Yrp|4_L_y%5w=D+A56TptV?e2+=J+{mvhn_ zkMNN*O6K&I`JGfQgE#u!_PNCR231!O7#Kqrjq|l{%R?yEPQY@)Lr-IK_i1>cOV2x< z)ivUQfRycaMz|kA{|AW|^)pO`RN7PEa}|yw{>yhqTl6oorY(H*o*XmVZ?EIi?4CQO zL{UG+xa}Pe%Jfp0D1DY~dY4PpC$%$k!4;q{!ZT3Q%ame?1apPoy8k6(6nkPqR7qD6x-D=;8pg&bZ6pn>Cc zPOI~4ho=Ox*!-g-RlW}w(tUZhn+ggRv%nGwr; z{jIc~iN1AmBJG#>5%f)nHB5mC(CMX97$$e%9TXnUEqtYsVjgpsg^6^9uFROpcYz6m zyE~*q7ZS2ceV+a;vp6FQ2hQHwk852_fI`?48~y}eJkawa#H%PI0%J#sGXfC=YP;Gq zUsL`46Om|W-e?Lsv!vz2%Fb|2=m>=ROJl00Pfi;kj_}yD1&V_rVZy~z>B42Dab1=W z@wg0otrrSWUC-B&cAJ|UY@c`fI#m513s~WJp`)HmBV}`3V@|oJg4umF(*?XA3*q+Z z9MG0`LLyxua0&anI$sdt?RA^mW|j?pOgeKW^{9bYz>~WX;B$h~W}kmgHq5)RgIR!= z_7P)_Y)oYvUmQ~jiHcF3p>uta&M;~;==S|V2rmkS^?e8d_qZ`<8!;FOi`>UFGv8$R zG61h>Bwjq6Ukhg2&VIHMQm!SfnvDxr4C>Bi!R>S{`d}(UX3&pG9@!Xu#}<&so*Dv~ z%BEWe-7jYgp!Y`;BwRNLeFZhWj}n!bw^X1H@zu$TH@En|nWEZnY_|oC`;mo$Y2`g$ zu3Mn4cX+ZH1c4a$Hz5xpaF0Mgr?O>Glsbr#2`^wxZdt(Jz-hdj&s_Fu?O>pm5JZ@c zI+S$Ut@?{qt9^LzI9+fIYEZuVFs@As+zz`Vc_@PX)*BIEsJHnuDf0f{k`%B*Y%K53lx0WQu@+1 zOane^zuZOmrL-(0asygK7t@d;Wa^V0tZdOrok{2tCPKLg?Km)uOgs+| z?kf?H+z)>5Z=npIbrVxftD(e407A=RJ8+-Zc~v%@&z(`Hzq_%OA&^O|)qbh9(x_#FWvp?hdd>Qa}FsTTq`|ADr zbWKp8O2-x+0Ab7}N#X43xW9>#3_cZb)1DuX#p{c4?eUji_zA^esij)UgX~7Wkcz6X zR@X7cdZ|>3&3u}f`^%@pBJoMQOomD*UV9g>$qWuIyl;OKg=CSId&%0xbh)q}(TeN0 z*GTeU^~n+uAuM$o9Z=!Xef{?B(Frjpvtbv0B{Q&f5WNg_M%a8K=0|on0(w6P)p}>( zjQaG60WYvSC_e<#tDy|`Pf?AaI*1>Ub=xIp0zAsX=`Lhss{(VkxUYRZw3w3+H%KVC zL zql#^DX#`!D_hPx4nqFTj7C1aQxZYmU)>{8KWL_t6iUaXh=0derhIkBt6zQ^y1xpWP z3@}Nl3uBqri7Ig?!r8dHCmRvJ$&}-)0I1qk8`R|%H;K2ngo&GcR-DTAf!?4R@~Z<5 zgOQ3p%oFN<27YdX?OH91*cx+Xaj=@hs=MtpWnWZu$DQy5L^1_E35Nsylg~a2jj)74 z_ckAx7gu^Fzb7lUG&A%=$feoYXR!{~SJ3rb0mN0-KNh#ZRWtDK$P0&CN6W>=PF%BX z@Agl>njR{ArnvYPB0Jg_#Vx_jY?7cWd5R z^t!y#^pXI#I3Z08WHrwj{)dU*&5I$&Q6$|%`-YW(Medk-NIZ~wRu9>16lBy3?r)_u z0wHlv*W~;_6L=Y5BlPu>)ly@_;HlkmluW*K9(wxwYHc{YNNJV^ha&5Btdrl>3H1=O z>yP{@iD{y}-Q90|>uz%c*XRswKr;h$yZ8|P7)#8zv86p|!!jaN9SRWq1;armYT4f? zOQV8AL_R@iccmmVYL!2KtiKgv*kJ@Hp=-o;646WkRmr$|j**YyKZk`i^oY7FaC>*S zB&pdNWqU@7;pL0GyN_^c0)_XLQrV*LYsCJ~+wCXoX1OJGnJTX0m%<>sUqg+9(h8PajYGFGM)e8S0FC`opAq!=m*VCDRq7SGpRQ}LNNB$<2T6G$&C2-c1lRn>hMp3 z)OKhvv2{}@ESw-6>R*$g7K!4>B5R0kOP;@Xp-k%sfzQzwadx9cRKH-JdfS00@_y<(KiEQBIN=1pV zL>{t!WPR93eR3?cap)sy@6qo%6R2V5%L@VUaW$$dW0L#JO#}Q2LM~5&FJUA+W_N-G ztswue4k?^gtHEM=AMHM{TR!AcvRZrJh!N~&%JO&Fp{5Ae3QJ#u(Y(S1Qbt;Z^!sj0 zrpshX7_}c=mHlD*l3?qUz?V7UDoH$N(sv*Dj)y-}w9h#rjxV2%VbV2yj4yMhTC=H1 z=w5raKb-BDq1>;pe1a7Z%h6Fb$BaxSvC(XnO&Vr%f6%pC79`?ugv8NB20U)^1oQNS zq5EXF`;)_Jjp>{=BPI`xhQw9pOBD&~cjN$``HUO{}iiFcfVWz0eKt?a} z#YB-<7eSJUSuJ{i095yD?^7f)*XU;dhx#N&GnVEYilRxb%0P#XO$b4gW}IxkU<>lr z(_*PcclHuP)NO1WZ~059t0>6RNJ&Ro1*6VzB55EW=5J&d`9Z|J$UTdB>*q{#ekL6> zobTQ*9?m6YRF&Mm9$;75Oqj3wRocxvt?@%WpAfnwWGk=Xgt${+B1s%2S3Gs#$M*Be zk)_SlOBG4A(2NbMjGF!H0;mn_f4hqbj~55bHn`zJ|A+|-QYW+21P_%1lsul zdLSOCK-P^R7M$83RC!CC$om#rsy%5QGLXsfL_UWPa@3W}yw=QU>0JL13uR;dd$+>TT0KcP6~@?c!;-dQnan$=TJ~Q>d0}IgWvf(bn9pmtCFwU$CVz9t#klDTW4cAC;vE zr$a@@eks*IIwLoaemLpsad@4Re+3oWv)S}>nepusn z7oLDCVL_x{59SFOY8DBB!u`>byNjTb2BRO@9^%UW^KPwI9GO=jGfX$E^=ydMY_lsGRGJfi|UZg#a6S_M7 zPwg+=>FUoKcT%rW&w|e-KZsm3<+N2d@aa)jRBiwy6Lya+!)%eCZ^i=3l~m*?K8!Gq zZ+%-KNfr|ewroXmUQvBV4&W!#!{1yB0aIZ;WdSm;1c+!|cg^yuwzoqXQF;ha7n3y* zlq)&{pNFP0vL>ere%wAFD%6QQ^X#+au?+#4kuopIEa8ydgjlGXM;&qSQzrYAugw5Ztf99cMDnDHy7M=L#d?8SKdT$qCfX)lsdtkC z)lQ_--iR4X$OrzgN)_r0t4yVR;Np1;*gunTG``7GuZI?xR z^SLY|G^CPv5q0HI9G8NAo2L%fRA?W}(Ouke71dT?VZdgyYsQkx@eZXn&=FuV2@{qN zjUkLz1w%M}+-ZY>Matu8IK0Y5T818TJ7JP#Lh%^`)+w;(#sia1tx(yF-Nt0>l-~Fo zsV%)RBB{+9sLpK_8ZP|f=9bB2 zt-7Le)59tEoz*E2$as@9kF?SKQeQvKT<8PLW$B~x&i3=LPmIzEtJ(3?IaABg59t8N zYZlAEtvo}o&96Ub0AE`)p+Is&B0BsMA%kWviAIWuSQAtXSI%IN zuW-)}^}DyDzK&{@IkS3;uuqjs+1%>~poLlu%%FB~lF=hkVl(L$egMPP>2o=3mQ7Q# z2?YDQkcoL@R~pO?5BXd#1}dgU48g2blIbiGey(rDC;ui^e}{o#)_obc7=L1_B_Ay^{lJLS7eUZA*RNyA6EQ zkf>Y4Laok+|FkOQNX9VxWF~`bF4_K`#7MviH}}u2t6?d0h8PID{R%{)9UsW`m*JwU zdO9Co+c@n{iJ@|#FO{z!TWjmi=8HQ}`|5SO9RCZK67{6t8z#4`AT zI<7Y4$PnH-)?=pG;;XmVWB`U$|FfsYc>yMXo zBgTfBpIz6Q6b=p{AAlG#bh$MDcZpaA&EX>dxlJ)#NG00|`JH}Yi%-Y%z<*)v)q|c< zFA~RmH-Jsv7Ln!n@$-(Cuof+>Vi}An8gwur{BNR9HVd(HQh?6WW%oz%f|c^^`-1;b z{*5}o(yc@@09+6;xQK2y2?|%x?_+xh%oGNVWI$CZN~qH+@IpNK(^7Ls4Q6_@^8+w$ z7nFJ{0gxQZw@Q)Vo+Ymct}?e_`*_Yd!G6{08l~{RDljT+xH~ zYa)3;?z5XK#I^JUi-?v;%?uC=xl^-G}f3&nWas_P7 zivZ`*N7JoB@A9YFK0$i|+>wyOD-pQj;ii}lrU45UWM$%kGoURo&Z2d;3shrsY)tLPq}bX%p(nSmrB>YFCNr!eUi*O?3} z11iFNFF15jC#A#noETtHB);S_c=yQ^Ch{Uzbu}1cI8sJoXfzn9yK9QEDe45$3$TFC z1%>&89Y`wY4;fNUZ?=>s`%sJdP&1Wkfv{PZ*iIil^jD(QIk<43`gpW16+Gl{5KK0v71SQe$F(M zB)6Jedo$^DMIB6K(KS(AZR$+2mjfo-8($rE`#f|aX2_UP*OCfrO_6_ZCEy16bRFax z%0MeEAYT4+QWc+8qdBv80Uk!XBqqI#`#0W#RZsHIpdj#e@BQZ*4|_?;u)AuAsMZiA zq&m3_&V_$2r3-LH|DE7zSS$^CI**Gp9=jCbu6WW} zv>cNy88vtkYJl>I|N4S&vY(!G*4x9u;`8JXsd3y3#~&1m1o)N&LB-4@83N9n&pD4N z+8pOxB6fGbIw~iq+sGYA%YR-z!+Ir@$QI8Qh&#XXuoyPg{@ZW>C>?-`LH~^g z;WfT|$%!ft71j6t8%7Ndwx!q)2#_@ef0z=GTfM!28p& zeGm9}1zgWplgP->4w`9au{Cr-n!dI(zl3!OPxMVipxprMrkd8QdnUU|OZQHiq$}*) zSPfHnt|zxlBUbFBL+$KxKI?A~?5;<*m7k;xpf%T7c6&?$ zeww56jJ;#kd*LsU!ouC}Z!QsqTVA4K^^95VmNiBlZgFp9<;q2H0-mNTTO?(`Tq>0^ z<)TvgEZ%TDZezvh)tUdo99W2{Oam_!>s6(yb*cp=HNd-{SJ*7dOb%oI5#ga(|I#!7 zl|27XOF|a~sjp7=ye~m<4?8ozI(SeTQ255*id&S0fXdea?N+*)u^Q_KHfgoNCu*ZG z-nvL^hGf8hRUn3un96Hbej*kot2dD)R%{2bxQ@m$Ekx@_1Ec6vOkcAP%N^hgB*6{_ zjEgW_=A_9k#gzg0tIQ^)+U0bLp^PH{x4~R7o8J?GRuUFXVlPYbVunR=ER%;V>yA@a zRT@DuwE{p&F0to(4S6)@go`KuvLN%?_uve-Y>+)pDQ=AhFmZRMm<)IN3tyKfh3iBh zg9o8eO3(BL{k*<X%#Eu{Kd%f-JdDWvTh7+g{c#5H?03u; zq|Z-(6}JY35mg664thE>x9Dk(V!ECW1Iy5 zPyNYqO^&>v)4(arKU2U|X0t7dDIA3a7K3skkpQ~@j>L6(MvL9aUc#p^=Se&ct3lRV z%s~Jsl?{w6^9bM3t)D{E$fnd}on9p1P6nO=O8q|(k9{jg(B$Q7$&iXgNKs+!-Wjvn z6wiL#(`YRD$6!Lr{(?jZ5DT2o;*-*G0lQERbMw&T7Vvw}{o}d2DpJ~ubHu&9bGvp( z(?o$nL?r*uOrK({+GbLB3Cw15OY`=^=|aB#1LRw%#F2@W%C4}UA&G^Vu01*4F>m}$ zJqFs|o`2WI)aX2?c&vSQLEu9bj60rK$d=oH-sp)ruCSn&|iy$fCkWW1M zqv{j2GzS2oF)HMFu=`))W}tN1F*e9qvs-LZ11w=mu* z4A(WrI0V;v*AMM1)Sx1ExHX2X3JQ&CFC{J4yg}P!JJZIgxoz!FIWv)#H#biybB+BIzqIgacBA8gl#LVBm6XNZU?3sIXl0(W&HXXCFq=>9h@Uw;sGj` zCl6w*_#Ae#_$fo=m*Hofrm;2e%)NpX6&p_XG*4BX?5P#4@Zep;xDo1OCYV;4DU@VK+oj-hzJmiP00U*o{ zxcP|{wo&s4MAv`KHUD2YzwT1`H0*A^ZZkBMIv>wbx_whfWf!&pL&d!d3hVr{`n%j0 z`@iI+9_p|bPRo3nj5GjiZKjO}2XVaIUG%@aJ^ZmpL|c&st3c^vvst1iBn>LMoj&p_ z)tohU*+0Q7QzNWYTC1tCvqcs{h&#@vai-FrS0{EUHW81_v(;+Am1X-jaRUHRSiTdJ zKa+l%47j^E)&Xu5_kous9$ZFAFfN*6SX^)-DAV=+2Jq{|-a3U$<~V3+2QY)ST%Awm zgXNg3n_ACZdnCM6>2%c`HcPUuBq4>A2vGbFo{|iB%x`6i+ah;&As6%k1O8w?s&!kb zpCXQJ5SLg_D*Ne*p?2zkThwBEnK+Z?KioVj5J}6e*M2>}{mxKX_`!1jCdukJz6h)R zf%GT&�|k;WgFKMH`LdcJ|F#z#4!kszMw#GGp`&QU41t84_3z@v0VBbgEiz8a^vc zUm(lKC=!~Gn^uL?SF=V~!0j9=lS?;z3`6toGa0szng)!aR1$+qa+~`(V-wEjI0-^W z1ffJbdl=zAoZp|@TfQ$Z8EXG=3f%{o0Gx#ORb2HY5p_XPgGrP9qa(?G27_$=1w>SO zgFK$%D;Pa^eilQu5&+)0CNMYI;vFz1b;vMiFTW^@jEpY$+>N;gYp5;1sEK%1cWk9%cC0c;An zw9*N76$~QczDW+>pIWlyDEjKs*-BZTzGv&jddzOW8KHHt%WFZbo*rjS3St>WsxM(_ z>1fMSgnaYe1s_R7l+3^c7otLvAigroL5_t+klV#vnxBD5q&P_cS`gE}Y%mffArCju zd2YE{d40x=G8jAbgENrJDQD#Go^LYgTesyGbH+1b<{g-UdHHyIyL*!zibOoOLTsFp zN1BK6BEwDeC7-U5b>_8jkx^O;UN)PCOkhql>z3P5yI0S z^i=D#$AmyM_02BbOebsGV7rb0=1p79R@mu+bnF9h{EYS}<)02s#wfYSC)paMio%Dw zxwgB&7Q*9`%VV>-e?YI$o7esEHu>#*IuZeYkCer74P_`J&ylQpf*Q|+ngChR8{!eeV zkDBM!)L?|2TnBO!eTR?;%u@TyF5Ng7wL!&;y6>mWwtAlv(e57}%!OBv+f@EkLu|tK zbvwR^XTwLGtplL2qYltNJ$CG{!(xZieoYp1o-B3!owX_Z&Xg&C0)O} zhJBH&elkYa@#Mu7x&;44$2 zJ@ZK=!O$p+$K=OK&zI?J#h6T<-yz6Xd_6b)_FVQ;{O^%+Jj?;0^45^+=YK%%n8w&G zLZsVydDGn+@}|n#w|OZ`hu#9JS^{Z*(9SJskN4vx2RG3xvZI=I^{4!BYmI8VYN&3W z7%+AIa_;Rn>!-}SJfOQ>R{c;E3v6G6dUC;Com_Tq9G27vR)A!asTX`Ky-yAqWLl7n z;!QXOG$<9fJb79VliD+$Q)wKbQ`rJ^O-G-X?Ez}qld-%k0Ia7=Q5eQ?a3I+>m?LbE zp*B%}-dyf@WSe}BO$yx31SaKcZSohn^g`bAA%ZZV0hMqjEn$qp|G6}U-{;6do}|Bc zVnV$^S1AmF47#QD-SE7(A6m795#guBx21qo`I&ZYAp1U1Au*dI2eukEBtfG8D4|7 z`~m=dW+F4i+bHqtM1A+iK%F}8h3Z8+#fO)#k-DfCIjUrFSDFk`q2#0&~LI0lB1#q)&O^D%}+N+AcLFE_y`ZPRGfs^nue~w#~hs;GqH!mw%?`i|Q?=^oj&0L%Rg>QU@Yey9ay6d}|*b(9+QV?~5wzH4Mze9Osjjb1 z3o~uaEiFJINyvJiFsz-C50IE-4R5o>GtkoV{g3&Q%_l`2+?7dc+B^s{ucuTaN=nUY z>eM{@)-l7apQ{TX#x2?*y!zS+$H`JK$3a))Q3C6KHFGaE5-$S9n;{%ry*SI_U_4?C zu@FOm*{eCRfT@;RIWvm%HyE8j?l6bPnmXuohtK8eT~gcW?P#aisy8biyIMX2Qj z=8p<3SD&iO_3@~VpAB6`pW25b~y}1;e7)V0gU2g&wh&CBsnvI)tk@1rOMym zC);WA&9ALyz;UitQ~@fgmW%aL@n}2@H0!Ue;#cqgW6QjRRh5ls zE8t^dW9c#e7OhJm0sB?{|Lx)ACBcPoMwtX_p)$5jZsXeL&ZDf6sHEpviUAY?jDOK2 z0m*=Eb+!;TfnM7QAuW}f!@_D#QdJdWg`svgLZG+;zF;53p=lyohOCw(k_Z~-oMok-VZ{YPj!;DP-T`S0U#0dlxRQx(WUwxLbj2+(^a1~#*{<{~v`>ZqtqIOTPL)CT*AzO4 zLm-fHz1@A@?$I6pz3UI70chegOyFw}J=U@gm%UOlz1D9IgggPq*I>7toA=B0Sq%D~ zcT!+H?-`Kw_hkA%N2_e&1)7ZCA&E>ML>!Xvt=q=}p~qc;x#D+!lb(aAi0z57j61OL zjVu1XB=2^hXuLc>^f_Vr%>Cz|r8m}OwEaC}wCd#4)z#JLJS@LjX9C@N;jsS!{`qrb zvW>r=zgRNe+6WLVQ2;mypPfnasSz##QP1LodJD;P9CI4h5!0vqe-DPjM!!iJS;C`O z_ycHRm=9ta3z%M^+#p}INYY;ee8a*PCUD|_h6a>O$_NYdgXNsy&r~l9J#02p<0bBqeh({%=xp z=c9(MMBAhHM>^jn@9g2keWsNBt}XCv`V()qG6n__{|f>JMR+gM8AS;KR*}LYPIzX( zPGp|PJ?NnGwDYjVdFpV-K&A^%c0-ds4Zo0(xS|hCG6pg|c&XNYqJ($swv-&895j@ z`U=r78L)ou(PRwYGO_Pu)Btbm769rpw{Kpp<>6{|u)|h(?Vb;pYqP^*%=S!PnQh-A zXp_!FS*YR=Zq=11==;HJRf2nYncq;B)kVVqZ@vw6K|-CP!vBZ1h=w+Jbih=FiYo!h ziPTR|G5*h&AF<#SFDs{;CYam<-Vv85$I_fg*YC+`c0cXD)NXPS#!g`UJ>;+~YOIQ< zBkwZO%bgP#XC!dLPmX3Uvd6w8zd0Ih`9OdHlYBO9(B}FvjfZuumoIx(?TW3{5&6yM zP@AY~73Oh@>PD-6CA(QKancZ$=D5rU&F#fXxV2k{-(as@jo(08p(b1Go+SG&%0XZ1 z%jnKk0Vhd4x zsn|*0gXN}n9SMDb>e9|C)Z=%1udQl2IlpkK-OCk^mgikyu~N@KV&R|*zmvpTzCNzd zuDu{ZqTX*X<<@eF>2ccHH~iO~wdqSC6-pRx6RcEot3=3B0&})HP)SlH*Sy~8yI&L> zk0OzOcYly0QPseYG19(ayd`;>M%+!wZ0-yZD_knm>Xaz9$^JgyZ8W9&?#K(NB0Y-a zATq>9_gC#+AS&#V(?XLL)UbYOC<@u>Bk`2=iVl{brLGM3OA)FkI1uYNUGq7_gNJtV zpyEn7xdEH_chR4EJEmjcw0~1-`LU`>jiN$}q%a_%QSs6hq=Aa#xvY=^V)wZk+gC(! z(Izl{fPYC_$V!PdZ^K~ghYN9>E4d3pgvdGt_52!%%6$MuVaN_Pv4XiB5s&9H#ZM2k zto+S9V^Eoay22+Z|{(v-m}{J-EI5 zrVnjybYj%m&s$m>cH?4}Q$wu`Ww`vnC8ShN69VB+#sVU7g@3Bo>stie9>$u@ft7IP8F!?!Zfw z;0qF#;yTA*KFY!o_^freYihz_eV7e4rX)y_4!(-NBQ4@^#fQ~CCbw~tg-cti@e4N-u!(3P@q{gCrABxi874joddhW&LNUZ2L&(C;q zbh(LG_Id4WS+};Q>_SuH8a>j17d9kaI+CqsxJZY?HI{`r6!d5-0)&Y{oq?oXC{*tV z_f^ZmkcuW_9MRcdy+sOB4mY(-V2*PeqMfLsYtOBvj ztT`zAX50rey*KUj8?{)gRG+)wZVR0Bm^)pQs2^~s=#{@0CIl)=XwS&7r?PgBAO#u2lMnMBQM>*=ArN;l zdZn8~ve^k^!V8PV5iC#mi`@k)hb%m@>ZZty1h6|rdWTdL8%l%BEJPZ(6J~!(4`5@_ zz`V}_choTrl+75-+oTdJe7oghIJ;OIAMBVBn{ldjAuAomCAz_`>-J~t*VpUouY+kd zf?Jq581g^?cRl-vo@mLQD2K2BaXkvF@b#-(41CHPh<>i)?909yj&HzoVv{_x9ad+j z&0B^WIO)N#HPN~h`AY`Zb%zV$#~xZW_CW$RwtP<##*`SxJb#tgqb6vr>M`u&8^S)1 zUKE_h{(H}?kd)PGP^!%sW@=5#23gB*#|SX=#M~Ne5TYAT39V-KuT=%ezzt?0cS?*GPm;5}(?pB&k5tqq$tk_s)T%BCij=cOi zk%Rpc=~)VR(ZHG}UPApH2OmLtMff-YOE(wQmju^u=xoG_75 zNE`@p-1DH;kjW^!AS$+ZNbM!<1zAoWh>62(gAx(RmcsTkm_%U5+r)l+pmcWTFOCZI zFZLVUY@EVkyrT%OBx~YyPu|N2>k8n@odpSBxHMhoDi1INLx7%jLb)*&W%of!Fwz*! z`k)LIaD+!L6{16_-=mMPBV9nKIWsx>d?|w4L|=%?gPO|eBQ2N`nK{jIepP4m(inF> z(}l%mz}uOEtrPrM2Yau>3hb*0)6I61b~%3gAXke+H%0hSr?rpkuUT4#L%SE7XD_QIFf?ObT4VV74p2ueiP2>o83Wifj- z24(*tt5)>?>Sm`sqff0NO;`bN?O+6ov!H`%P~OzV7PdBU7$qMKER#* zI%K50lUhabvg3#pZsYg7<*W}+P03ypJTxXsW{>3LEDG{*yOtYkUl`Ee14$mPFK=RP z-XVr|!ICtP1eox+UsYu2qbDBCLSn5W>?UDL-uY%dZfcDeB;=hj;aM1Nw58`1dnUKt zh9Pnf84Nty?(J`w00~5c(L|U~agUq#FO$gPC&GH1qzB4&x}FK(#NA^#OW7}Dr}j4` zg1!ULFR$SszM*f?@EPO&<2)llzv-UB`MuoNAN-+nieT!Zk3|(|yTtCK>Cm~#B)e+= zBGz;>-n_cLKb@TBXNTFp(E6*4P9oSar0O=1ang~Wk550JxGD)g5bY<7^QfwtE2$cc zb#(}97f11Rf3OY3VkZWxY87tU%4)09V$QRXnJlHvGuJd2VKN4sUPqJ$nQGcy= zTHvkxjarv0NWSx4hx1Hq1J*l3#>pf^#)d82i@7EJa>v_Z5lU(4bHnJlFbLYCx%-7} zL;*c<;x<-pdd!7^LyCpqi6T3S@9mBO_EEXQNccYN0Y9$ruV1)=Z^BWQSpQ9?>X`wY zmSVS?=ya>`VxA=<#pPVP&+~J8$6K7kEySf?M+#Sr!GVx51G2c-rF9&Xc=lZzs{%Q^ z^icF7^Ym+h`Kk_)!{a+I;@UZ0@egg#)*MMc(&MPD*#Bjhn=K>Eb8aphsltzkCTq!D z%|CRJjYe6e#9E;C)mWFM_o@SS_dsi!Rgmb>KE^x>k8-!Ole!o?t>qPScvVy{MNU^I z)m$}k^e7ms^A3OOf!!C9KP9l#RD+{h|F?It)<7b%u> zYY7Hj+lupk>UiY!le%cXDeCivw&Y3OGzd>$(klTgNlIJQQk-i^+Swf9Yc>r;aU+{$ zWBcLh`AO`|G}v@eZedc<9K__ermnM%07!^{X>Ln&p zdj;NZ!JuZw=EcQn;Axq>_IxpQ3)v(TYi=?$QS9#d)6>p`dbyskSG)rj zOdm0uu+PGD)REv)c8xJ>!iH9W+PsIHi+7GN& zLyVJQn@g0n+Q{8lOJ31iOoC}6ZL{O`Spe7vsXbv0f@ULP@2)oU>EkQ;` z(PWc;Y{qP-6Db^jpj3_hd3<@6uQIbSE2Za102!`d$bmTj^@Hv5{3_FM(RJRN4sG-C z>)yHm5kYucZ>J+-dJ|-*!Y>GpvGd>LnLLh}3g4`)(l@#wHev=#&E0Zi->VsUMY~!! zzi#QvL$sz@1+V3pNS^;rzGxV|rK28&)B?h!=fmrDF^;;po=Rfn>%KIFCQB8x&)GX3 z7N%W$p)xg!%9$=x(>+D^;T!*kT@GUMayVD#V;Rln)E*Z6 zCUMn$Hi&A^)b;e2m8Pkm%=hm$olbN)5(bCFH6jdJwK%V*m|0?CQUd0W7{#1v?zFlt zi1%fBYAd+-@Nj6WL^0yQ+c~u<0`9=WV;S9!S(%ctj>&g>lWe=rmVRl$7e zwGk{)F%#yAa!wT&B~L(^Xw9X*(I%Qx&JkB?gdXk?k?P{<`E^ip0hY7qEvlup7{!_F zRM3&R)?OfMS*4IX41b^#PZRl;jx0hqda7vbY1>~)R7kf^2DX9yG#}Y{I?EKR#x-eb zgGH044D4sMRFs_WSm?59Ktgr8DLHw?k zkD!?6x-EB%(q@02Pa%$2ixFF9`@ zsW;@kJx+hi9T5wi21JPsf9ldH1NJjc`I0o<9sit=n+g<`wIpPM8aR;AF56>tbgV$ zn@tgvFaxbt-(TNY=!Q^^w~}ANMftLZ1{j1UG>%&+o5D(jz1MLI2aI zH5DEmIU}pjH8piZ)ly_-Z4dk3w(bIz>}~(V8uFpO2GjR>PWn@P#j)2)&7@8Q_y32y zcYc;6`t~-v``ET^+kI@?wr$(C)yKAN+qP{@eQ(?!W+v{P_Yatg$co6Q$l5zIx7J>3 zeV(PnB}V?4*tGUX>boomiSk`o=yQ9KOe*tJjC@sd!v~5W+76HhDYmT_tkOyhyPsMr zYu(Nx*H~M9^H);sy`RY2P6I~HXmqRkyd3r<+HT0Xy6g$(lRg-f%C&Ralvl*CvWViKtLB&E>p~tfRqP4hY^wFB_A3wzTWLw zX}M?%BgX1}b9#cI>msjgDjMb#bx@Y>z-y<8&S%TNYjB=gSe6u5<>v5`IEs8M8=4u} zwOOStO+6~1#d)`7d{i+_hWGHT$r@mk3$xDfOj&ZeQ5fVb2$H1TYKYAW+ zUkT0a$cTD|a_xMGC{3oPy1q$O=Ej}?cCV?}t2i6sB*`aQhq-XYk$F$^dmd)3(47n=Bw3_*HK#7Zi!=;QPGES1|Am0 zd7&zhV78XW>O#5=G9YPp5pt)O;B^b8adtBU!QPx^;vVG&g6`f{+n(ESlGJqU z!`;jggg2ZcytYXhIpZRI%wKWG3On5s>^B&nrR$a=j3GY6D9%>6-!5C(?p{dIXSr!g zt80HU7er$miSvT6cm)0~I708t6mt$GLJ89(!D9L@O|UJi>)7id-7PL|{pp7+hp5Ce z(IV;$Dv6!hF7v>aJCP9-JP(#m8#5Z_w^Swj79HB zm2gq{lUw98S2}z1y{5(oG*gwQ;{A?t8QEoa1Gevch_ub=rmp0HAXrwZsS?z#p2BL5 zV3Q*=(5Vq9^Qd!8WR>Ia_Knt8wnofM-75Ppb?`u`Y0i@_xQhZth;4e$X)M5j<6pW} zkKpzl_IL&8Dbky{!xge4?{L%QpuucGd<@;ZX72jsFpeNZ-&J@uL5X0ndh6LdrS6IW z5uAW3Q3TlhD25By(~31*^o94%gg(%LLSg$+M05#o%9&iAa5(Zy9lH|4<+8MHGy!*&UuaMvr#Y;ASI*plo%jLg|2C-- zgl@RYyQSr>Q93Y!ayLe9c76i<&f`m5cr-A(gD=rI$dgJt zEii{u(wO)@g5MwTSqNPknX;Ws@lN*HbZ@6WLfOyvqEA5Zrp2{j_q8rz956}MYB^S5 zt8}#MH1QnM7Di&~*wofztUvOEAfCiW+)gG8y*w5dvX|9!C$M`k`NhO>XplshQ*QX6 zekO-3M&5+~u%wt}vyw1sQ zhlf1*k1kX+kU*Rl5Avmaa0z)?X)Fum8RMl?I~k%jkdmWiXS?6Ke>g)et>OE^1>L|D zd0bn4Z)jO?6v<&&U2Pj^$um8o%C0rWB_eZ*l$AuLyL>Q_MPB|4lAoVo%+7g z!FA^jppK-M>XGZ6SD)ty8i;Z3F{c&ngc)Q-MW(@|*N({bilBt!t#EXiTs|u zKbmQKe~#t5)^BOsJr$p4bnp2@o#xv5W7^Nj)~ghaSCSaP?k(v5CXAT05M$n$^FdPQ zg)AqobCL7`-$-_m6Lp$&wWxDPx^{x;&Li>LPlcgrK;@&MSn$vnbET88!MUI{Htp zTLGwX5)WKuChOuluWujtIS`!3bfF<_-D>?D{v}O;*tu`<yNR8o~+ybTl2 zcD}fD5=W+;6SD|8E2AltJ2c+c=q^d!EHDuZwea@OKRm}GL$|Cb7D$p+(BiI(|9Gsv{3v+y!fdqp2f?G@qEu#u{1k>IX=W`D9IDs^C-y9h7D@$^s*kW2N_6IvqBAa4a8x#TF$3pHU(RY0N#NdYW9i>83 z)aB+E$2zP8|1BM+L-|hhSxsWsEk!V>kF-x;aM>+Br7Tm@+10`2$IoF;pB9T6JAn1k)lam< zXnS>ZzhU9n&T3>iU z$XJa2_YQFUHn)tgCP542z#D0M43_u9b>cnSX4_34;PMs%h;eFKCvw{6;r6p$rm!$hV@EGxAv1%95o zIiB{Zzx%%M30bn6+3u)9*tbT=mN*sgN-beaydu^5SCQbYJ2|~-Lt)f2iQTZe5tU{!q|EYLto^Hx(216lv}S6I;eKx3ZSBI*)}>*G6=bW9 zr_6J%!)Kc7=l9})2*)wx0p9!USZe%Nz376LXVO$B>db2lT&nbR;VN(M41b7#*wZ%q zzpD93Juk{8p!RS3;NGfKBf|1^qtKJLJJ~Qyzw&CpuW-KB#;G_;Tq)= z#53+to>G|Tl z>HP7}bmjXD6Z8ou=9Vj9u8f8i4@CT06VgsW)a`Wk1uT8rZ8lQB}+)8@GofU;QQ z?NgJaU3vDI48{9!WbF38<{_x2()_}+$mySwkBrNW;TIM%vd`M zmp)?e9}P;ts4_HM4tH`Y73Lb)Tm&DuzE3ys&`C<29{o(iyh<3N`G z)6WjRN!p9M{HU5JX{5N((3Z#=N5fWlA&vu(o>C#ac4*! z9%(+RWu3aze?S0C$v2%=)l1&?E1kNIm6o*1Vf)4D5iCbCZB!JfuVSM&Y_eMeV0XCa zNpxSoyl-65fNH37F+>D3WB#$vfYz5tdMbIRFlmwZL$d7WKh zHv`P@mZE``EVbgX5lc_$Rcq8qRDf%yqv}SqF6X&DujrvAqCH7XN(35|$RiM=aHwu> z!@4uF5nSmpN#&tEyVp#>7S&fXvx?xUbiP2$`~kJ0^|)CR-JqH+w^Z;hXbez&<9H83 zG?gp#hbxF8u%H9a{4~vh$kLP$jB*;{BP-&yC2glO?N!#8&pLgU=~-n|VF4>>f55~~ zXT>-DFKu|A%I$CwJ{Mcs=hl8Yhj%KzkY7u>@~C<~Vx6{_%(}fHV5{7uzAyocAt&pB zeLCLok1St|(6W7@W;lNaFKKp}mD`}(gR=r%&WL6`hgVz;oY!RLkNs~rf>K(5S>D4= zn`xJw1idXr#B>Qca$i0s2A9V@9s7FeqA>!kN!rb;UgtU5W#BpkOXX5b#D7DAw-<>- zb_*U&R@EA@zkh)&@`i+sGBOvz(5{54f6+^;HPM|3F&J)HGLSGzPI(|=jKXHKbtLkD zE=U;|b`zDR1ZbYciB1#=L63;{k`<-oQw8i>xr%r}yJS!nSp6ls>X=16Wm&V9dssq) zC2^jR|6es-HuKh@pmHa6kuoRUI3HghT04ooukkpEp{)surGoHgwNi*}QQRfX ztmiFpEFD8L;(1L>UZNbTUlg`cEdDCQGRN5-v_>h!A}>4LrdJ>sQHrsWUuSC#y~UGc z5^&bRkOd`v5V;EbejL4un>kCVaXJpY8({b56`a=&=!D!&4z!pFi2OhjT<8Kzca1Xjf?YKl(Dpb3aR z!Fh+7o1Giy&9)ckh1Oz2lL;6d>e0JCre5#$a5@gq zBoEYpB?bFOT=%|WQL1Xf$LwH%dkKt#l^P5Zv#~aD?@DT7IE)iT3#~sJ>`np0Kp@Zu z@8J{Mj)w7!Y3H@B*yxrz!hsEHx#Xac;bT}1mB)>!SEtR-9f1y?Yj&l`9x5bPg>Yy+ zMNC44ZQaemOYjtg3`mh8!+V_84kTp(F1?j=yKIVY!n3R>YSLt^Aow`R6{+Q%VWZmC z$F2DF!$Nb#$E@ZQ=%WXaMj~^h!38x`s$$r5rKxzKzI<2XctVPB7xE5m$JPtknK*4b zeDY9~zIdR=fX+yPOlK&3F7cwVxkmg{&|2+aLanCK#VqDzS~jed$DAeR)oQWrs+g;5 z-2W|b@_*lui|sXT(Nlq)W#Sy(vj3WC8Fw-s>f-3}P~aE6qL0AH{%}p)(lLJ5!iUM` zl3s00gl>*vTx1&o#FCwHt^W{fwU(&BL^0a6;0*;}bUBiBl(^G^7`K?sO+V+$O*0fa zw)z@vw$kE2>a7&$sOcF<1Wxa7GENz@*`X_yUI!thW%Px%6+X^rx;B1{iG^mJb(~R5 z3|+|DYt>*25PaKg*u1>x0V3~|BTej6n)f*VrBG|aNqcri4cG`X@l0(tCV*5xRY<=7 zc2mUU11XE@8PV$A>Lc9tO!Gt-6Y_?au%Dog?LL{S2l%I)kfxlDunEiak~V3#mhrx` zOt8;UuT_*0Ghh9>&9gt;Pyh=wgw#M3V zg~8mZ+c`VdSz3y53BpuX1jZ0BWMAvxBldy?(!Zvqyo&%x@fkf^89eJiL-G=m4Rhqg z2kO(xG;Pa7n_}0*nC&`DH3f?F?WF6)O+=`F#xD_zODB;+he#qmmg53g_vSSaU?Nl4j*btL5nft5 zK;B*PnmX|@Ff4iL>GmfbTr((&0U$|G{W=*>wX~%K1f@vkgQ1%R-WCaS=3_Pi;TR|q z*)RvgM(Px@!ZqedZ*BAgmx~(hgt{Zil9Q5>VkyPxhzZ#f)+O25#>-!q1jEC&igp}X zzX(eE0l}loZ9c=cA@GVGnN9@cFP9GH$E`sFLE7Nu&oVsn!hz(+#ek@6-k111b)lS} z0KOZJzj9OHTN|=FwUhe_%5lf`eqD55SU;q%rhR-}-a$P6$;?s~^x&QyIzyY;a`lq) z?1?%Ol`FX2?k78LXT>Y8FF)*4#aSwtXxi6*5>49ZGE3x~j}Sah4S7FT$j-XGDU+yN zoyeJGT5eNKOcI&p?+>-Z69WS5JfiW&hNw7=VoX06ghHo zNRoDN1c|oS2w47HuYIvXLnShkqB<~jW#9&)bd6RVHI3D^9K`Iq-vj4{EYCN7iOm|% z%{rkbHlE<{>P%$%`kck?Z_L9e&i~8vDWsqwO3$u<7jU7CZTVcz!$ou+Sd9FS zeG+veA3Y=_-Oz=5{e-@_X@gU~1*0u~{wic4$daKsQLx5Sk>qpE$2bgDu>&OV1OOc! zZjv9X?fnYW1Wpzoe4BY)2>pQA6qmck9ryE4d1NLkv@GF*UeHPxGru=izyvjy4~L`y zE-r^59<-$M-F6M?Edbj*%Qh-KprvW+b|X!7Xmf#VAgBLOK-)S(2*Umf@hh^ZZVAhK zk3aPmlBCGSB#C2LcnE zI{Cy%OKu4cs9>Fj%{?Y+5H4Jk0_8~#`A&}j)kaQKBz#`31!z8p);4SmyQ{^Et&cGY z8S&)RTPMWd>CZ`S<46?aOHVnVT~XTH!UwYxA3o zAnc~a)YH?Jo5uwbK|zXrKRZR?*(|{5`Y_9oK5KvMXSq1hQ79sBJjmkq2_Oon;q}e^ z0^>b46ML!$M5a4jD zO1if}v8b@aXvxk$bXaGIU0IOF9h|FWOt7U&W0K6w0>M=qktwpi{*P2QGT#zVZ#q9Yhx?v)J=+CbwS-S*+j0c{K&}r;#Fy%!!44 zsBG2X*y(CYZ!q8aEk}UM=px#iOYj~&wUkv;7IODxM)s+`Dte*448vFkth166%xkDK zG~AX(THxVTr!L#8K7KL{G#$E;A>2wsL?dA?_S;-kI{R;hIk!e#RvJBruH5M%EUeI;sNimg%N`Q+`4-k>3-Zxrhfa1=tZi*S3fg6{lhEcc6tm2>~ zaw6o4y{tm7nr4(yNgrq!fGT@GNLgQEk%80~+`lB*PkgXtMNbeF@JBBbYf$b*IH!gn zIvzvG{PSwbqkixvx6#ID?eYt<0MW=4928<}OGcWasIh{~{Fl}khHj+^M{?zEs=A4K ztqA|obsyf&(BBB2P!)smE@=?{agTiS%^J|Ct0bp@Yo6}CD%IytWds%)udOLAvw^Jb z8e!IfAYsJMdg2{91|K~VHMZw7b2PHQlj06~bEuG(D|=t{8<$3Xv!u5MkPM;Ty2t?b zwyS~imQ86t6=7eO`~3Rw>XCI2TbI2nC&Lm=7DOx#=!^jHK{N26OP z%dLwR#3j7gU(XA7w1Fe_FqB?SXJQjjC&juIE_CvHBkDZ>;bx6~V39QCnIe&zP@g3YgU&chVNva&AU0>G3a{0UAG5E1{2)&m`l2V-UK&~ zam)QmYdYDV%GkQ&>x4+c7$H%NQBtOdF0vP6X~J{tF*2>i!+L@=&%S|mJ4MXwuF-U^_`^GAx# z4}EgL1s19kH>~v(s3?o=Q;PdA85Iq}=-P+8gE6whtT$g)Vc1PD+my4U#IzvUJWkR! zyBo^PVONZ3Krk4z?w^pfP>|zO)cdYdEUH~)7g#Ju^yl(%O8-%^SxnhPgCqQ1iJIlG z^qI$$Xuy%8L8^ZFLL>q(NiKkS0Z1y%~x zBb!?a9NB+8Vik115Z^r#qr)O5D~^8FMpCpG%V^kW;7e_g)(2`sLW&f>v%8JfZ>4KF zT7)~!J=1$-b?@jXUU}vU2|bCa;f&a^38@@g50EUp9P2W0p-t znB%Oki+(IGNXxKSFo(q2R~MedlePcdrNUWmGsjbC?9+w&1p{zjgxly~qB?VGvlAEX zAQe^nS~H=BE`)DUR7(ylUj)QoBdip87Rfg)c{W2xNEm+kjBr-W#IZW7`^IpA+Lbh| z*(@#7!fZ*dtSlr^?q_^0JAqi<0JbjHs=rMR2J0wzTog{j;#F`VfMAm(6i(FA| z$N|EgH}m6~FD=zRxm>ow-8DW7$DTsn;vWSyTMaC}Dm@$7e3=g-ULA^?L`NSUIlL4v zQ!W+F^NzXWFHbM)22`t`*EWF2g!3UJtkB1e)JLtmPrUm08GyeDBi$7F{}GVz|IRbx zMnL}jaYdP-zo;0L``?rKfH~QFPT_w2`p@w{Ci5}<&&hnhO#%N&2Mz@I2FLt>{68e~ z0sWGbQWF08`B__Ao12^a`1tt#{=T}pDk>`S^YcqhO+Adc{Jgxp+}zw;T3XuP-oCiFczb*M`uaLN zJj~3@1n|ug5)x8UQlzA$T3cJ+-`|IahqJS@&(6+{j*fbJd(+d?qoSf*TwDwd4V9IZ zS65f>?(S-8YMPpwo}Zr`9UX;*g&7$cnV6XD?CcyI9QOA1Zf|dWeSHD^yr7^UIyyQD z2?+%Sg^Y}hoSdA;$H$(Y9(#LxR#sLX9v%}D6MuhyFE6i?lasc#w*LNp2!J@ao*n=` zUteF(&(E)=rDbGf1W+YgUtjO)>QYfrk(QRWu&`ieW?o)iUR+$HrlyXGiP_oNF*Y`S zcz8HJKj-G=9vvM80RgeKwPj;tlaZ0}^z;l54=*e%G&eV|tgO7gzLuAl2VmI%d8yXc z)&>R!^Yiof_xH86wFn3ZX=!N#0|TzEu8@$BNl8iLE!o-G6B85F)zzt}s6<3W zA|fK_>FKMgsu&m;0CG^#(a`|T7eGydl$2CfRyHdu3&48@0|P57E7R501;~4;si{dy zN@8GO;NjslH#bvKQjU#{#m2^(nwlypDZ#?RLP0@+f`W2#as~$n0|NsiA|n3%`&UCl z0~;IL!^1;PPVUd2KZApVd3kvNeRE!3-u(Q0H#aw2TwEa`Au}^GGBPq+T3R9^qLPvl z0RaID3X0#qe^*pgczb(eVq&7AqQb+&CnqQ4Ls$s@2FEIDzUlLaWlX!(@SFoxz|K)4ZGAw$5c_{7u&he0$)ziiQJ-H$=GKgRJ6JLS^ zfkVc05Q?lXr0z~zL3o6H4>NoK$O)=xXxZ%0er5FdO#25at;}8)QT+{g zpS^K)NL~3O>KdFkl2IxPU?u(igl+?(Ub}}*UodGuW}zQyQ6EcE$dY7mXL#xhxg?TVdSxzqz*zFyM=h<;Na22w@ArW1`*YEt?5lH7L0R z=|s=nQH<7~Q}LjIGb^5Zg&druGwSDI#~2dh$KS*KwpHb?axGdK9EpHy0QNd=-}*-0 zb+p|>WSpO21)Hqw(U>Ppb(=zCRK=e^WwxiC+N|vNhh32=_qGY}$9SX7rTcxqfuCF? z34>nP2TY#JR21M9V#S$!GQ77~h;-{BYDU^N;aH87;XeC2JzdItD%@+@@pmr8%r27^ z3N{2aL~y~KySxF%?;z)FP(r7jd@qsSKHlREujkr<2x$4E&y_&##2MojEQEofUcpFC z8v@N|cypjtfOehN@aNo9zJ95^-lPF$?#Z<2XagoxxJ9f|4ph*gzpp0i(yLX?<o%0?z*;$6}&k)wt-%`Y!xVS_fk3D>!@p ziql%a&ZuwbyV-zqEFE^a;%}&)a6l466;n5@Lf9(>Aq7~W2H|%W7oAE{lX4%%W(bn+ zCuo(g>$A7;&%{NzrafW@zJ#s8%WwkZ&_21!{~C zN>xh1C}0%Kv>Wg3$IxHEsRwIH7rJs)?*boy(QmXAH7+y<0>B5S*dIUV@<8&#EPRIC zL}cLhy?_R1f}w#v16z55)kZ|?f-BbGCw-bRbgOqobBlXPolp>FgJ#6mM`7wI1k!`~i?Bh7XONF_3#|zHpv*e+-H2{*M{s6jW-lB1H|+({YfM1B z3iVG&#OCphoc#1Fl9N)TerToO749qSxOWuO!ie`I{+J-wG;)lnhp6ac+aDzvvtoyDy51M0 z7^8P<3$^-(>&2RX??XAm%AVFNdBn~H)!P%rROkg%b$yq~k^rZ7+f9X0`||RTU}6gi zg{Pjl*FQQstPRC>i74|?sSo!SB?WY6Y~fRPB>lSd$UIh${2=P*T!5mB4{?lf7q>jd zj3EO)XJ3y7jX_>B%ENp5tj-lMqoSzYV|Go%lQbrltmRq77N9%QxAz5EHL-qoGJ!k& zOxp8UL&aS%^0bs(ZPtUZx%q{$JmQ>JcJoYJZ|l)M(?tfL1e9a6Q`v%0h(lb zh@x|(j^alJ{wX}~(L!YOjftz=K=cTUH+Wo=_Rf4bY?^`?B>VtM?BjM8s>|a)-XMHk z>7|o~nOZSEN;#tqg6#39#K-4une3)&V{1+tjHjJ%LzAsWq!(r#Li$9TO`|rusmW-Xh=ZH&#D?`=>(hF{l z;zKN+cdzy@hwhKQ5My0zGfrDAp+B9Nepr`>#pjAg+-9Fy3}j;3P*8oxWi*|u=H+3a zchyZY8n_$&;=A`ge4PHBO&WBVi#;F+f)wbjR#aDPQ7hQXO~a{TmbBEOg}W=dxcXqR(|k8+Poa1yyTyEuN)!akd_qrM}b^2D>c$k zUzk>KjSv(_=96c~FQTPo7?wyAyqQw!B-AcqEOKq_ zx&@1SJDy2%nk=)^HLi}B^-;I}>SvBR(qMk&#!4ot27S(Uxn4qNc^anhB$^7EYq<99 zil?EInA$Z#vlku8;=4Gyyc`}rApWZO=z_T9md5l4N=mfSD{brjEjWf_y-O~|X5=ID z+Lo+WUmcfu=D+@Qw@-FEq11}2{fZf^zU+oZH0b!I=aoQi{LklvGZ{MAh>f9+(1Rb{ z@A;rQv}6^GFXZE!?9abZWx)|32eZ1Ceuv_zaEKK`h>+oswGPbsBFo^`i|Tajf7IQr z-g92U*F;kU28TJY`P?s)v9)YayZdc>zw?nDU^K<2ks%3b*dIZR8pnz2xrI!dl_@rJ z5&HuwX@1u9ZCo7Dhj%9Foe^RPOtP!gq=M%9Rd%DyKr;%DMP1>~CPd-+Pcq#XoWJ?Q zR*n`xW1;TgiBO~(^ZM!JgEX<6QVllD;9Kp?1vGixC7pSp`1q#XtBITYESpg7Evk#( z{DZ{lN7bX(v03~xBna%SO$4RtmH{F!@4Z}5*Iu+OUNMbeX;G|{b4y=O zh2p4jiWR^ua>bm7sy!SIlqQg{dYM)#UN6$o#s4c?Hzu_;)#?H-My>pR9 z>e{~Xt3Bk!gZxnvt;&zDzHL8>cnwLGR>8ub;kic;ZHi<#llWQCIP!&UJ>LEU-{Rjg z9>qMQfIZQ_slA)D()3zOtXMPMYDrH|81_$trB`*!_-}(lY^AHE8Q1S=#>HGc3uj`G zNtq@0>zrG~dYj)Il1=o;?Q_56L)RgHxACGMvbd?$tJ#qMq84kI#g7ZXn=5cW zk6ga(SNfH(xm@`D3tw58mZF|+P5tLz% zSusr;5HIi}_IA_Sb1h>h$?=cb%MZ}P%qU*i*S}`y+)VSTy6KrlTb{3|L7qt>v&#qD zA3GHqSCcj^+5pV|C0?sEAihpfHs&H@(`MiMx03z z`frdTBJcpcdy~>G0}1-c`Nb&1tnx)et0>dh(}lnc?p4=xS&}9IA8(k-wNA5 zo()W{nTB+2#GGfP(N8U`fCZ^BK^?H2)s(pk_AW%A>k&CF*ZWz=Gnzg&e zwPMzN0jdA{50>KIcl*NYEw;HU5_)=h)aLK{0O6rVn=Q_ol&~;x_4O#I8j>dfq<~y| zJDe=nOQC%p4j_)5NP9CB0)tgVbqwa{s9!ZhzlLLcpWNy9`!qN5d#^u>;{fn z!IK_aJlpOBbZfl_&%v)iHoGJU7$aV$mA({0ct|`JYZs!Z+w+-yXziTAzaRkipoXKs zartGUo5?^< zcCUnIj(Gp6;-nbP?KJn9WM15RG5Nm69}*#*g5D72(H=iMoZMP$qF3$p^VHPlR~{L# z_o&1b!SH5ZiIS43e>lRQX119#LH8n4SF$6%qU|8Kg+Z%koNqQr_cOVh3 z8%~GGrei&)3&`{PApGa0!Gfo!=j8DwgC{Jf>vx*Sco;IF7RvZPWaDx|V!&4({jsda z?ny4)%Zgg2pt7V-ZO5{6!F=5WKVIEV2ICqCEdGcq6kIqDlxV3X$e_PY32Ryst(q7!d1~XR?tRH>03pQSb3pB2^{JJWCgC!Xh zo&&6whi0~~y#m?d-7gZ_wae9jPpYg6K4cR9_^KaE2-< zpX7>w&1||!Fa_Z$B+1~&eD*~P1+5W{^-Y6>)&X>m)H##%`0Usam^|HGW659rh!J@2 zYFF#_z|mE|vBr}M?{^x+xs4LJ*`le^Lrdhi7R)V<&X8xXg4CbqfNCcPeQ@DN8p#q> z95iR|lY5q^#gDVyRH#7~G!K>{MXVWc*H=~Pv&&_<_9g@3tFxLGRkg?fr?ar_cv7(c zJc~myocH4fCkpBm7qq&}C{?oN!rDCgk|N$FIW{aJ)8~?bo-E`d-uBlBaIUSzSVFpO z)axL_#M9lpHDnDUJYlU_Ya3%9C_yd@i#`6M2Ssku1@U;!y=i4gw@2ZKHI~!>-!0*mWiP>v+zMGRU0?0dx2KUav$0Dj zo_^j*OmQ5o65yfHGHIt?C&*9Z8hq!|7)KBMt>VI)PLb~;oqrv!S5H=e!2G`dBzjmrxy<&uL6++TRd0)l z37uawe9ZaJpx!4t6}=xIyWElr%UX(rs^1|Nn3e1Z1}wS)`4m*q!G#h9xEwwq6m_q5 zAO=?thWW51^fzY}w!SA+NVXZT@0B;!nnYI2SfReLC~?7V&a;V+1>$3F%wDMAmX`n9dD-jaN$M zO(=>g+MU=qnQN9p2b!~6&ph#|i$}r}BN1lqE$RKmtkRI22tS;T*$ty+a^r3}3A~|kW zG>A+r-MDeGl!66Mi%I3YGW`_fh(yNcAT(H#;P7kbVlz!GtDuc|i}&%o%OAdB6*%&+ zIsM9hb*T=6`gya2yq=GywdErCWcW~>OP;Oh_qHBJhrC{mmzhzc`VYTCkDyuNP6+>fea>-=>NeCb*kV3 zm+g2xNMrJPwMuut?nerJFG>JN9Z~~$K~|fs@+qtb{g&ci__M{5XlL)XyPIu;6%!O7 zvC~zWEh)TE*8##7Z2Qkw8;@rtxe~cA2ebKt^U0i-YYm|?S+lAYG_7!`Wm{2Hs@1cd zfL#IFZRl@A2@3=)EXiE&=k!N)J2Gm89WZPzmnc-~SywK1CIGF8R+~b!MyIQ2BA`P* z{+-t!O$$H`A@SZ0PiHcfP#y2x`P1Q}ZSz>S>!McF9h=7I%bU&ZuJGOoSLSZ*_I7Wq zQD+eRsNRZKE9Ra1AMRH~cz8VTO@FGc4~2HMziP*h=gb?23)Hp0@VV1pfROAN0FE?& z!gIRQ)8o_zs)HUG69c%j7bw)S1qc8J1TbU%>M69@?reJ`fz#oBJT40(>mzjLB;e)E zu~@F8@Ww#166pE3?r^%@<;U2^1ckvQ*3OBH)Yn%kS2Ex0cDcf=((KV`Wx}LZC?Nvu zKc9ZR?4))_g)UX7F7)~X70);~TCT{nb7P^=YUM#5O+>Q91w$QA%tiqQtFtJ;W;b+8 z_T%|d#bO?Rpi)=JdZ&BCYTN_ z0yK+JuA{&s7vaD=8G=PYWkFqeO^obNW_Vt*@TUU@+np`N7nt97eljwSj{tAk>ET$q zj&i428w{b@X)FP{OTsq({kOrza#f?N?Jy2PLIA)mfgeexH{0tUuhhOXOmMdGjib66XN0`OGCNtk&w4y89OxNsU{b z&Jt*{tF=26mMhn|Gghro?##A2WXE0lG5U@Un9-#UuX!#@rr4_uh9dg6%8ey88jk7q zd?sgPKV<rCMI6yKZ)1{uf#SBfuV`!Zn@B&%4-N91&f<7U;?iWr<3z-k z+VNt-EPOVqtG0Wk|8bCf|4+LLK=IpI13(|dU*EoN01SiR%)Xo2l^RV^Fj(wb3MeW< zUwxrBcTds|_oS$>*&fSmh1)JJmrG!&)tv@Vu1nO@2J-`C-ML2EdNPJfYfx!$B1wAJPq$~hp#=lvel8j6zGgXzFR7~u16UeHQf z%#Mj@z(hdjx5#3-gnFIi_fS3mYIrPFt|PefX9LEB{bX%nHqVEr?r8z1RKAO|i*L4@ zDUJ(zN!{+_*6W>{a~zw)F@ha*q+}%@7tjoUNrgO|(8=lP;cIugiHDGqIwzY}jL8+C zOr$P&b^)3}_ZK~)5klb$U<^8YzFLeAMWNPguI%r!-OK z|EYvJlexjdKv?{9uA}kopESZJz))mNUQ=J42UttbX`~$oL!lJmPp&Q3$ZoupT`W~e zGRW2Q{YZUvXp%Yu*m^;K9!!0Fvw62z4csAH4=$f{Y|lE-vUVUH@@LuiDKB?gVo9Z) zY!Ddi!7xRvO?TV8JeH<>V-`Pi5`sOYbq4h?cr20@+>6^oP6Q8pv28s;2FcuB*$NZ_ zFV!353RQKIdAVBWICNu7FlV~HK5>z*(QO08r|*;H0q7Uc;@p3!8}g$L(N9f1ckR8yD|}K98FK2rYs?J z$5pk&_fQ(yWHaZ1ekc?k3T6Q`z2Hn~HJ_acMg*QZCWQ)1w;X2o`tFP|JrJ|)$)|@w zk=r$crrLc-H@N1El6$Qwobfu#)NZ*H)LZ1g{;nhLn2>0+S!NOkQh$H~gLsqZbp26j zw8+=hQz;A{Wg-?gW2zg$N^*5ZAJGohV%CD=w!3h=Y-ZJbpaz1`gsw@-9BRUr(3Dy7YS&U$_e=Wms(A zmhZ3(nWAU(<2u2#B7Q7SXCVP>;Aw*BTzrU=DOze!A>K3ychi!Z2h}<8b zjIWm}O2Rbj9Fp;!>43`Ge!Jt*A9UPwBmLm?6*>Thi!uNNoY{5S>P{z3+Q#qzOFSEU zJc;7K#U6z_maSt)-mSf#T%|EAdQmt68O8WmSfIf(E4<=k+?^X*10SpXe zEh)-)HV>{$=x)DJ{Tmp%MW}JdFxE+Et zC{*lLZnzz@-3VJNcYJf3K?Xm9e~`=C2-aIHZOfCQp0C#1xcQEWk}ST@C|}#8EUv*b zm~Et@i*G3(nyoja#ixiBR+P&Wge$KfzRU?&av@aAQK?_ilgZU3xY>$}cpcvxX|gq% zEaaQ5o(og230de?i;=bXI*0q+_nwt(Tm!i3lq*!kb1!x~Z@b2;mIF4!0p+7;k=Q7R zje%G7MkJ@iufIyUMlVuI``BoRSX3(-wsweVS8H*cNnqg8Dal>doN&`If%t?%+KuqTBn zpFfLb3+99@nVS{|ur(;PcI^}dEG7D%%CbI+y}R@=u4rYNEjBdg{LvQT5PYioo2_?% zggH$mEne3^)#vm)lQ()KkepE8=dlrkyW07MvJZA)hgz}h7`m% zeu^Jr0-h|SjM zaNi$GjY=JLwDq%2-sPgMN1=#-*K=-N;^)0Uxq<*>gVgF5Eqqh zn;YLpSI5jGEV4Tq2RKGTRXWa_u;{!G^0NCX=B%s*}f)&GdSql69DSklH4)CN)S zv;rM4HRPMLfc+ZByrn|kODySh;@*AYODW7Rokjpi8CNANLwtQWFBwy>7|Y!6^>%p! zpojL8Or=WgD<}X}5t6hUUj=5rc5JrZuiJ}j{WF06NH$BK^9>~sG3Y;% zvq#mN$9CV9qR0yxc$wA*9A2`UTivj9CAdK?CzhFe026Y9g^CtxO)al|SQyImPC}#W zEvL~xQ~&j~gh`yF?VLB?H$x;m*-ql0T=sePrik6+7zpQ`m9cKe%gkDkTgK|?F%QNH z$#6SR8YapFkG_3>zFFwdWvGP`I~kN5F%%Iu8X&3r&4 zClhS=2)LuTmdT6TJ)(Y;hpFLj7d;{R<{|y#6qnURyjWAl!ncz5BWo2yg45-Sbj#hbu(t24qRdl0-jO;XP5rS?e!aIDNZ<7rkiVo$T^|UFDh)7uQ24 zQc?XE-b>L#bM6H>{KY#gqt~)0{?9B2DD)aIzlP7`W;&IYwCBT~^8=U6Gb16B+cEzs zzge_9;2kiI@}VkEk~B^9C*ky#%n**-5dhLotDRge$GVr(H@GZ8s4_oH$J4;DF|P(2LQUihH4Z1140S(zU+HT zdI(%H2@?q9%S^&t9$x48LvD9sHoX19KdB|(XOv&N+$6ocTC}n}U;->UU#7YzC!e36 z_7WxDK=DV+X(iRQkf+sMKlWZar1G1=9a9Yr)W40zX*IfFR|P-M9TC1wb*UZg2QvN( z>rRBYgwd_HP$*8n1jQnZNw@jHugdyuJ#jtuW*(B2JYgmJE##;QB!3rKMVQO%y(g8D zGoiko_7ficdcRtyF0ITYznUzno=A_h=sYZsixtHZsXz+SJ!K0k?D}H`D)%FmBP9J#Hm)FypIj;-b3{-aGE6XU& zYMpdiLy%$XnYB>e_b*luQuia|c9dr=Cy&CwxKBRZ0k@4_E!JrZ|`g^bdy)QeQnZ0Fu!_3syR!wwVMh^E< ztpYpxK#_fqD{Hzlx*GcbY3#C)?E{cVQ>0QNId{V-Njg(IZ_$gvWJUheFzM&W=FuzBMx5Lekm&QYx?7DrbgmxBh6f#& zvn4*V$8Z%b;XaWw7$`f7#EBPpEcY{&WUKda0z?ca+mTnjxS-zlISWk zW5C)XBy!Tt4!>Qh@|p0fmnKTMF`GTc_{bUZO#-AZPA&Wl|ich{Ki_dmzN|k|6RghVt z_fXyDsa6TS!G9L4m=z)gwh6*myz6p4rT)f8#syEw{{F8umFYh|0B?wx3u&7RGIp}B zUmU32lh=;TjI7@fU57nBc{lbDO-cwylV9%H@Ef0JFA@<&UZj~Z@|NZRR9S>aYujFyzr)o@*`Rhf19n45LKwu;}nV0z8;z0cT{p{nItQHjjfm-V(I05 z+n0>5Nbm7qO0&teMFP~J^M`-6wp?nX!fVv z`A3j3e!Apqc~hl|a0zq3@}~QZn9`KZ!l!#@z|fczdo)i_8#sEsuu|-g`F@NULH6#T zbV#DW2DmkZrJRcG{F!F%t_3TMs)9(P9e3QSR3~jIU;X;>yTraZhJ+66fj_@pQTv?i z8;6x2_x9_RKcTO4jb{w^-uqdf$~oftmMudB4KvOUHB@mz`V?L@Jq<rt696be#VRs!jJ}~eFv(cJ%0*~+RGWqb0^$$pY9rd2e&rA< z1{L9rM{xS~zdZwgYk5*$dgPx(P^e=mfJ#PysWd512GyE(`4SqW&dWbP3NsChFoVgd zrA|m`?H$l0N`>Ck-E1~@Bn6N|fnHqFC8o-mb|cetX^}O~;R`Ck<#G%2H=LOWg=d>5 zR(A+k3VJl*=`4hQZ>5RiUOG@xK5scMLi=C~*#%+EKVB5#-{^zlS~6sQ?RbaIuAV(J zIO}lF;H{saArwH`pF^6|3lUFy;eJ78@IcP&nN*=EY7umTTodFT>(J^>ka^$V%Y3bt zq-oM9X)UkUQ@(@z#>to9QI0gI6tfU7TSTD^Xm>1fa0!CrMtV7vJ}LIv3IGswpiGN& z+6&lsYsd_2waFG$~?kKyVxL_14v4{dP*VuH&#)^OW=34IK~rukwXVkI{%MTjEAx-B0?A{R03 zgRY$Tnb~2gW3A?;X)N9nRA>npC3G{t$>?L1ESi1CWzhA$YucGJ^T3igfx64>QvUt1 zZRLhtQo7nWHE{_SR{11qB_9~Z9ICE-fK5BCL8rVRe+-UU3ajF3L&Yn+c3#e(pyq00 zx`Q# z`#2@Nq9^%}ItWZjpG@!`AkZL=RZ9z81RhIoJJEg<*R#ZeRmG8tY{7H4=@>$_HW=WL z%-p8VD^6i1Fl`7U?+Q=0Vp{V=8)I`LW@L~j^T-n=Fc!ZR$%?!8g`gaVhp5xd+-@-# ztVPL{qyfH(uuP_syMN=ac_b-UinDPHaB=LQ0Dejc09#O6nok`)x>J5SO?we%A{pZt zePsv68!xle)nWHLP~U&2p_eu*Vtz+QA(X? zxpl2NC)V~mGXPHuBcI`JnT7WHz}Q?dJ6k7FYqPdlm`fJzI7BkTa_x1776WiGK2I!9f?xz-i&a7f!Uh+n&uY_Q z3>GqBC~tRssl^l5Sbl$KlAI3iI0IpXr!4NHAtDL`WRuIvhmRI3HSzq^?#RyAvodeU zw|T#s73O43RV_tnM&`*DB_-jrkh?gY;5=!7y2Zh+h2$lryrV9}w?DtunWWRIZud%O z5>Y6b-`!Ca@w>BHw$kifp^BHeni{ASlkKLGa=x|~g}4@BRP=B#K+QYC9NI?Dg@O!X zMiLVP!|JYg9Zi`@?`nPdg7K~7gH6O(a>Nl8Xm=HafvfOWVpXvhO);Vpz4WW9wQ^<& z8*1lym&|eUNz3F2k}@G%v1JFluMuNVC_V-38t zP-4>}t52mZm%_rW7IBO|#)}Hpb2r2Ine>TkM@G=ATzc@IwFmoD>U-rRX4oS=56jgIZ zhim@cD%A7HG1l%2j=WQHCbm%-ZDkE=n?zGR%3RO@FNq_f`Fi=MFyt6pm3F_V4u|W2 zvhvB{o2gjUP`&|8sdYim#PqNpa-O0$-7VHMjal%2VvMHr8GlHgce6&jstmL%&{P&w zOP>+P6JIY5))Y#t zqjcHTO6U`9zrRtvcL{y7+Ru?HDI?j=IgDz5Rwy3ySM>%4Or`YxNtHMkR9>49YTX9XO5F=IFZ zNhT*euf8j^Nspebt>jF7ol~sbseJVXXQNt4h5;}nl18exucGefn|V>=5j=4N@+V6q zWP;6-vvqNdZ^Q!MqUMP65{Faq??-gmG0!D$lw}eYIyxUxHun42kOLaw>Bzp9Xh-x@ z=ac#l*`z65q$|bi?J0;-P3*;)R7HMIeo={2tVB7zASt?&N8wU>4jYdR=UB6>>j%!6 zl6G(Sz9Eg=-w@#6+tsN2UB)mR&Vlgh{l3q!-Y|dc>a7(lvx!1sI{1lURK(rpga}8A&!Q`AWp;)0r))Bs{^FX% z!PtyS>NwK81~*@lFR7#bob(EX@;(}OK7 z`CQ$zFzc=pzPfk440D_TD8TErzh2_az69(G+njZ@hu>QkK;}Hw@+|fB zQK~zkO=PP?8SXhTj%5Z?gp6dy0-xn>ji3C6uA?vlw81b&_tAtYAC`^NfebiSxb>vz|t{t>-?CC9bm|6+}FB0t?2?4IA2^Fa%ZKo1}z(=*{(CBB8V*e5`B+(ai0uZQ+gTaAg|~ zP&AACS1jPP!%Xy7dK7n3l$^r1>ZBL8#5deU^-`SR*K*@TKgJVseCq?rZ1M>SRYS>D z#9|8Nzc+0nUsK;95-9F(X@?(`aj^rT&G%jofBlrVnTI4-9q>?IcxBpWAQ%}Y$!b9+ zKNDYn?XihIdKly+iwONT?n7Qsa{|(Q;<+Ap1f^!Coqq2QaLc4-dluKGdl9uIT}sdj zxkdjPSUi@lC{ATDIF&xZ-#l|(j16j_Ceb+7!8JQQLQA-D&hi)wjx)!+n50DYVF8|s zDt*^;P5Qkqy_shPkmU3GZIQ4eEX(`!b4=veIw|lJ9!-GZM02Q}O|@CXk7RErZ6Rq5 zM}VP#r{cKsGSVVEK62L#w$*_=w?>zjm;&enH^8GB7_yacX}tl_nZ@sMOltwq@U79# z51A_n3Y0~C*D1Mzr*Y52pytGE=JZ)m{Ec>q`#_ASDwfP!jVSrrjYRN{4Q$=Oo&jTT zvTE?;7@OCkNo4?hc{C9>=paFW3pq-4eV!*(a}L8{4z=9tA{=RN*^*FA?4$joHtVjei_Dd!{ro7lcUvKl2Z8! zPy-v-{T#9-k`b`^B~Z=H*Zl?NR3>^Q))ALqtEGMdsThAbtlDAzG-p%(1Ql6r3ivx; zXN(h<0;v9tr)jlt)W?sffJ@x}jxEG)GeF+mS-D>?=i8orZQ9qI-dncJB+0ADss0mPn6A3R?Q6#VLHZiF1 zagy4p@1mFq{gqtvBRBa4<N~t(Zi7~FF9yR)b zNz#&!ErH2CBaPKVLVXgP4_p4#9C7TYBIh*2sNyvIxa!$Po6seRP*0%*&Kf`U@}W(O z3LJ>T>h_HWXD=l2VG*G<&rgqxgX?`hjQeG;2)NbBUxB;z&)BUv~SDnECui4H9;?nZu-YQcb?-n4DUK7bFJ-h zx;-3S`(ZzRnYe33IXrJGxU+3HR-8EWERBfXi|=dm5Y>@+gU&@Lua~A#Ye^Fgxo)}G-$!)>4eUfvRem2ImuXsK0Wch+BpMhhb% z*j&^x3Co>`%H5yg?ib%l6?m1dAlJB$GV*z+ijMu8MfiBD*o&n<_*C#)beX(rey{rv z?8Nmf(-@?EDawF3>%c<>F8p{>}F+dZ~kwT^{bb?-I=yRECDxe z7tRYwMC&)=b@mUfUF3%q#kDhPvUZtKmHcE&EL%y*6wl=_VQIxuWm*7LB#bPxK-!!z zB=ULDXQ?j6+?~|-Ij^&1rh34sFzu@T(u>!YX5yBn58zs_!!5<=6izildaa28hj2Z_ zT39qq(*AX6r*NTxX-EVgLSA*H$uep6hlkL|G5N|4t9&8VnOYO%n#aVm*-t%-U@Mq2 zh^(IPckyrvU^wdd>0$^8;oDF@DihS0X~0UG(nG{sItB5Iz(8Rrp5E^tl|KBg!k_9r zZ&_co-sE^wk-^JgU7*VG=6m4#f}TSa)P>A&G4<3v=Sw%$+mbwe0+C7g1<+z0sYD1i&3MP*s&#c0*6 zpFDK%Hi3=Bz-)I))@aOLL4P)K`VE72Fc}2b6}Lx_AibF*Ar@90Kmq@|d+uG&x>L3pKwa(|A%D{ZV-ya_b z?c9moTzlH+H42k(NBF-S^E-V$&JI$U4L_e&z%G?p82eCFAhO(%iFLVU$f>Px!BGCL zYZDHEVKebr4+tXw;=wnMK#>8sAaQY>^vj2@xv*`FAq?`j7U4(++{Ti@y*L#){s@w_ z$dx-QY943}(#p?|@blz-apnf+VT(VnF>4jdi!x7?|N4$=!{KO@?1I*dm7~FqOMiZ5`VK&cqD`M{M9St zSbA)BC2RvS3@cfH?ZGrjJ}y@UAE&n9cdb@&Pn$?fGSsYRR8=g0keg|nBSm@X>shD2 z+(?pl@JK!#r#-y%-8)Y|1z7s+O-vOHt$^H~=EA10=gC)&yMtz>$&_|z32w;gk^`cc zxEyQsUhW+Y_jMW$@yl+z#~zMO@IggZVWIK|*u{_S%7?P|4*y>UWA$xAM?c&Ts8_vQ zNyy?*pOE?m%EI!Z|As@(meY?}T^F)MOE?SWM#R!8o5x~&;O$4_BX^#-G2ReqSmmVdl)G(~YJ5J@AYZ)Xt^U4F%t#E^pYJu= z!zR;<{5#ZOsZ9bHKSWjJsryJ$+u)tjn$Cl!A>R$t%ti4o!M|9tET&Hii4dwHmWWr~ zo8UXO?P~zJ_6fJuT$qmDV{>0ye{i(S`2C5VPKvw&8Db^1?5N1<9~q)8heL#JTD z1WSqx-y_hsDfx^x>M#OQJU`pw-ODr5FL+V)ky9hft;R;5VYyA==fE>+7)0ZN5kBYW zim?4^h1&XVcp=M%iM0bCMUy{%Rke<{@cyH$x~?y;NQCF$*k$zT36^VFc^~F=+@B*u z220sO9aneWou#zmCjaSczG}n!x0Lz27pmq%zS6Q~U^-vEX60RH%>1dwWo+RU5729M z1@f9d)bdu7rNaN5Q$EG8o*9ag4$~d=D}(7hewiv~X9REBdsDc$8E9)&sK&3wUq5sj zrwaEU+9p-UYdqyT+qRF9eY%$IkT2Gkk|EmjvM-ljCsjLlU#Xfeq+cdAfIA>Bz|FJP z51Ui(-c^GWx6}L`G0PX-pef|@6Xf#*beihFCK*++X9xi3aFr4r7#RA0Ofs(KZf@2N zmahMrU>bCE9do6>1Q=R>hAvK@wp>8}a+ZXKPK`U8u;Qz~jcc#X;Y4OCJm;x=zw`|n z^2klpwro%-4y&%20`|9RstCP3S!?ER94P26EIx;H8^@-0=q%?HxA7XjeXt53f1$De zp`KG!Rr%(3ozxslviyG%v=JW4rrG$rja zF-bP!UU4=*VSiZdJ^ZZd(k#kl- z=`7t%11L@vY5UkV*lot*C^+@;bm`tWn#|^pB%k^{sEw+ZER7Y>^`Y!pDK@_lX>IU{zIXq{&yMj* zh0Tt~BgB%I?VP%|qclW$A?5UIF2n7V-1i#yPktvML&Cw2rf?xgr9IS7TjTd5#M{dz zmRA(SZrR0{@S1rpXm|h4le%id`pqMA-u=JsS(fTbF7syJ#(vL_2-lC+)Qhe9PgHB@ zcV1GBj?Aa?)NOFA3a~x{>9{UW%AWKz^);#=Rv@_R7 z(&T?ca}|Z$vZ%vx#pJ{z$ZrF8lgZ+uz%o_VwMTd}-5I`bMg) z=;BU^3H{J|^DTgNNK^7b=ZIlf{cu)HYV_TSQ}A8IuI-%Gl*Av$+vA7S>Pr(-y~pWG z9Zyi?jcF=&sNhhrvB!y7m- zN9zdjiP)q3{-TzhFAd(?SuBK)w&Ts#TV$D+KQ&>l3&*PKW`|xm^?54#jYv-~f!f@C z#Zzv(%`JPUgb1M&@~1oehkQ`SiRMSR>h*A>fBqq!b#ivnW&Mg#eFNje59ouHL;uDi z#`n!H4AnKa5npI&3z_L8W6Qco?)a%cE*rmkYZU;>F=Sm<@9n>P>Ua7+{@zZh{zT2- z_a(MW+vPgHyCP7p8g8-V%lOJ45tt}Q76;7qjXP#hMnl&a{z9($n>IMgM1$D<9)s*J zOLLDP^)!w&tsB0n_{klv1v7f-p;a8qu-OYlbQse0tGMbo7{!t=qdOxY4egrzcjgd7 zX$jsyI~F;-C(!MenJTX9M*WOQXQ@0TTG2kp`$(=4 z*UgM4)DOX6Rm5tl1PrpcC{}K&wBZdT8Zn~*81@ZOiP<3Ze*T3Vrw}~c`#x>+LTqtL zi0EyOGNDZBbXk$@WO)L}5*3#lmE&(Ix_dvh9=>nGd;fd7D z`2$29vQK#;RBeHn8zf2Y98x3xfgX9VEw-nI5$K?D|_+SUydpSRVZg%sQ0a* zZiC?mspL#mnJ&CDm@PaM_!8nl@Vlo=^IUe5xPgDtDA3cz@p&~R^D4AE>L@4)5VxI-syQ4rX zH`vEjLq~6t7Aq}Sdo^5R=j1FG4x>utCq@UN`@-d)twCObovC#Rz3|_AE@`9AmPar8 zoN-1{-zM=osTc=e8Gl^iZAU|uqCW{Ho54@{C4c7%am2^_do`+L#?hZ=V`ffS_$Zmd z#}Eg#zr>kC{A4o6sEXwLn~_5eH$GLObd-a^&>(*4(S+d%OR)jgT8%Tw~uQ)FillcgWbox#qS!?|E;IzY`6;Mc<=*nAfp{aeA`2(zQ$mJA3hw~6C`izujy zRPe=n%+G6jAG659OzwPQRkH6_zokcXv3a2f##-0jZN&gfbhiejq!fhCw_2SYA}x&H zV9N!R%HJDVOz^f$DeK!4DI1nVP{}BJXzpd?bIdZuFlw?w78zwGC%B`-9#UBWGU+$? zvPm`$&d~DlF;}fFHg+MN_SQXk7o%!F-4Pm7Us^v%6~2clWzH>7n|28j6g`}ywwnk3 zI9|5!IT32fKa-5%S=Z;3@Nik(=HffG)Z=VcQBinO576g+b~STv$?ln-N4N6S)-F?M z$`9&=Yu_R0n68ODx?!_*$bTZX>RA?d*0w}7r;A8eX zXn)_7sLdH#?_abjuBbcQ!E-C;>fYcC&Cg$O?LKRIc6cK7PZrn|@#=6*wzNN5BhR@A zM0zp&F=5$?LVwh9aN)({C8AU-2BOkYNMWOr1ELWe1 zs$^<{@5`m6XnJEUuVC7rmvpV7WZEy0c|9v{+AolN-Y@7lR@^3F$S?S?x9qOH^!R+Z ziTSZOQy%7#bM7j%g+!KEIUKyFp8T-ykQI0$ot#swo7?beQa>(uzbrg}CNaEv00Qu0 zTLqSswgN|uQ{7kZ?4J;Kdru8V=C-x8arTQUBR3c4(-XK${+Q2tRQeTgYHM<1J|u$g z*|Y+eFX>v2t#P@5N+DM*tGhleoO8$p9itcB2g@o;hdC5n$tTHo+t$SrW1W2FDiw<9 zv%4H-#x5ribdWY0-_c?}3HLnO;GZAX>%QyId^2SM{(+{uluYQACf);h6Xp#BgQ?2I912fI#3WO^wWnb)?bxSN{PMS}gaJ%2`lucpfQ_a zse6isdiG^ExI|;LC4VSu*t2vLPRYWC;~Ap@;lq+OF?n0?N|&Z6mj{SZ2 z&$2SCV&R0KQtI|h6a9ZQHFutsCe{ykiAEMvuFqa*Xy}OJFpygYWIww`WNFrr;JI^Y z(UU)IAW!)(_wr-o?VPs0UIaLExe}G4PorTIPHz%vT%1-qc6&D}c#URgg%m*|Rj1e| zPi^X*l3(+uA7+xdPf62%ODDgM<#-gnN1`4J$Mg zlqN6T8Vbno&+jbmq{-!!6_&LX``ALucE7(qZH=lI!TxF<{hNKCeivQ3jBG3`mhl&%k!m?il|@7%ztT_1p%PTv=z9_m&f_H@`G#3DxLy zr4}d=n91&NOuS-jm)lx9>0+E-r_FE`rRf45R1{afqWh z$f|H;&|4LhAuE@5QXqRs*tywT)IR~9A3eZgC0%bd9CMmJbR%hUXC#jl&d@K<6*aYa zY>zt_Yjx;j5t;rymE;O${#8GiAjhvcjq-K&Or_q^o|D1K6n$f>1ODfZZo3$_MQBey zO!{$1U;3p~uRAWY34z$?7kTcF@f6i6-AmuM6^FdW%I%+%_=A;eEl=9nushUb_57YR z&i9jq*Y2GDS)RY|bxgH|zJ%DCn|-GXZhN^Kb}SJ5FxO*MebGU8YsoMSwTVOo&N&@A znZ)(%Y$nBhw+Iu1LoWPqxEHAz+X3?T2J@?Z&ON@%G(vcC=-m<6F~%vm1AeV>J#Jxq zyq^~QjN!>4j^#mqjea7onw+Ub;rM1y2{m?wAj13JE@&)OaQr!Q)DL`t+DWAc>3(*t zga~GGCqiG2A5)=YEcei?_~uX$Ap;2^RwM8YW5ZQ=P=a@po3y-mQ{-Mu08Cuodj)&kY*3d_i#lwa&7-twe*UlH_I7h|WE>F;EXD=o z`tm= zU85saF8`GINY%V*fn>3t$MnRxaU<5e$*eRp`gyY-V7}HT|Bq z_4#nj&urRT6S52m1>X}f-xPXndOXnC%)F_&m>;akHLR%=U}NG{W@JYP-!}1<$z$gZ zQ~h5xGaSH%d3wOXatFwJSrrLQtC$FKL-tsPIm^68pKfW#b`!Z#Tff_7*rX6=OFw?C zGrA3Q{cY&(M9KCsaAcRB5&m3$k@k8lxEd%p-oaS>GqEZvyk$9lc0k_h>E7EQD)}R# zzL=jG$~M6JpegGT$6{DxyoHt^hgqCl($sZEs@moKUMV9#9;HHI(C`#F)n~laTC;KT zJiYF%Xlv-U^K#zebxJaj>EUa4wgS60;Dhr=?zj zUmRuK2e-^@0sEs33x>JzAm{dXLzmp1veKpQZd@-{z4o~`@_Mn9JKm0b*}jWgQ$C zrB9YJx@zB)?@#wzGcGggy3Srr0EV$;Xy94YEnG3LjdUi?jyBOvB=7|bew5M2?(=8I zMyAh|zRX12zR@VT&l1*B&hm>E?jFc`OHxvnVHda#-e#)7a1n7}^CsS?b5TT1Qu&ga zUh|U?cV$bbU@d`9Y8>=MiK9We51CL!ax1XayQ8 zGnos}m+5Bl3VgFzCE9k9B4s&Sit;z7W6OZUJ=-edOttk%)?XWqrkJG^pJp~-~{9pdX`BS8*$DI~p^f+FAv62vcTur+wT`yZ(tYs(y77#~;!t5-K4!tc zZ(_gk>pDJJs3yFNdK-qax=c7_m8aAWAK+j;CRVUm4sZ9jdrDAF4chdUYOpv8CH|$^M1;4U-aYgiJ{w znpIubQFvB+fbOw!Q~oN4%a$SK^kwqqqf}h<5DBs5CM;Z~wYRU_&&U{nf*YWw0Lk?> zl?`L}bk2z#X9YhKq%w&2_fE;pxD31`h8^+0?)rWu^n&So@=S*qYf_*|bub{u>L$ff z4w&gfCTdXn7L1-dUuESfJ2kln&sKBMPA!~0KSsBC@&sFe4mAlar$!e&g=x=L+qlt% zYWpM0A7JE!d7=8{He4RFn&1Cs{Y2EI&8+s@0n%6fd%o~T34X>gt2V8IRtFQs2Tz@) z^s|iB3&^JUXPI{_iJVm?r2+a%0t6By9^NxYI|`riC#&Xbi9(h9sBLRatY7iEsO#9$w&P7`{O2*nOhEA? zXS*psvCF&pxb3b2ueS$W>}NV$-e7nmQJnkgCqL>j4hig!Vt-^YPOH&T^ zPEsa=X8Il_jEGGR%0XnwRW1@xET0h+FHgcC|1K@^Z|R^KWDx%p<8-pW5(Cw;vGx&2dUsmI9rg1&`fs2l)3=x3&A!C%=U%9MTIGKf7KK=}1bJB9Bq* zJ7-bJEr3Z6SdB+MuBo<{*uwfxZyu}f4YH|%SJ0vtT>-w6SUrg^Iw7v~Qu<`u4NwwW zDw+UprrwI%a)wtfrd|%Og><)HUr*aP@7$o`+UMl^y>?kI$Ci*?c?R@(+l=9)S{T^5 zk#@j55B4Ht^A2h5?(+*~mg55; z#tICOwrT^g*7^g!XI2(X{H{j9sVE3?=cI&c#W(8HM^@>rjwol*>>r2%r5WiH<>$VK zk!nK9gJ355l|?k{LmQrSV|`?JEln|qrA0^@GiK@yQ1Y2g6oMK7x(EQSC}-Rgnxr&n5hpxq6NOy zI7)5`xj)DTXiTKV#0eL?h;gTW?yA?g;Fb;QhHrqVA@>VBZJ-aU*YJyq73!;sarKb8 zoc(|FrCR5v4PTSV{XfaGetc!dn%+O;-+Q!IQL3o+<(09Dq&eyYS?AR3K zz}c-wy=&1jA#aRXk9)+5AA3K46}Yh3z4lxCe5xj9dFOcUIhO)_a)6L)M2;NMtA?g* z@lhMh%B>{Eb@uL>Hs{;pCqEnW#mNgMTqkAA8lw?sh4y^<qk+^nd5Rs5*HIM6jQB?+ijW=XPo?AHy&_Z zf8$TD1#w$DRCcynI7?TxcvIm0&YizNB@ZV80ix@zhH4)FQ>liU@z$W#d*fCWO$lVB z3V@hfUjRZMTB)$vW63;XOL6t$>UnVgIJEt|@4e2@&Z5jG@$vOgXu0;K`ATp2q&vp& z6ZU_z*RO};hZjIt#|3Cx4c33?{hsC~YX5DO^DINbaUBHoTtYsOAZ-$?Uu)WN(4k=w z!MN$xy0?$l<-(U6lbqCx?Wml~h3I2-IV@#QQjpBe`S>{#>@%61hhHMqzVH74# zhoYzEmb<&XzOV`AH?6H=ftC4DUJA2WB^c1+5EGFhRNi<2xF(dy7EPjB)2T$*<~Eaq z=3-a=-ZqKf(6iL)vxis}c)5TLUi90XYHyc#tx#AO-{q1aF36v&~55J57fq}^M|kRd8h%10Z1&AjE%c1zFIF$L+t2MfK< zvSSz2e*U;(JM`iAV2p}~rtJ;W3yvo^$0Z{J5dZCS^27~(K zr?Q?I0yY2wyM4mjjl5H&aCjnn2*M5i-Mnz{UM04iGRDs_t89$|4MB+$l33yi&3EZt zO1U}O#INsOIPW97JUNlxY5FhMUtf}$Qy3@b-2(m&f1V8gejve6&VYZAzZ9n4p1kOOd}8A8g`eItD$=2xz5NjD3PT&v-hAx_ID~ z3+cbl6PH1Qk8VFqKPVZd)W^bRmEdt}Sf&5D$}}3lo;NL;gWBFkPHe-~mRig_)VYRY zZW+Wmy4=p2^u_#@E5Ty0nh#n9$Yt;mG14BQY8-C+gMd%7CVlc}4iF4Dd~M({(fP6v zojek*3x!U`TFq)f5|b|vwYQwDK&3gAh>A2FT%JBDZcMP|N3y1u<7~{ax73$!Zg5SB zZ4(W@u1iXnIjftRS)WXCMm!cXEJWg*!jJi0pE9+VgnHhH2{ld-dwGCG)*^r6H$TOzKC_*Ap35br1aL|6 zT<`g!X!){iomuaM%i%o@Te>#ypQvA8KkdBY?#r&r9oO{T)mvmAB=dUqs*slRlc#p6 z-CViox6RF9*ZHRe*YMOX(W_o)bgFBG*5Wl2Ug(FgbzawA%zOUqioHHwvKL=l{YkB; zN=U7Fw({AFe{8%<{;9D~>|bC6j<@_$@HC3NmmorsOAs2W9n>^NYX%~^9@)P8GZ2tH{{krXew<1T(! zxSqfASkTGLNuDnjTS}A&ynpB7)2eg1V3{%NEiQw3%AUeTSKWoPLpwGm3VW=~v}`Ro z8@MbuD|XfKh`=)U;|;f4z7%jxE6UQ;YuLA{Go$y(8n;!|bEhzzGf<-JqZORv0@f3W)C%gV~o_!Eb;*);krxYusr zJR*3nP0#4N`St@jq3eXjjd`!6S;gti+q1rZ`_lB_-&bPHQp*C~vvv z9R{uTS@I_TfXh@d2g>rqV0Gge-uZkP{0EKn3n-I)-H6IY9^g9vcYkRsP5y z_-^gw3u`xJyy6m7pLNs{ry87lq-URa=KG&NJaSE+B_=fNF_rmSL^_$C?cd6yx63T`yZpM%fs8jkaa>SypR(R@ zxv+kNZuF0(x|J)oA6V9PhxH=s!|F{tByG>>$}BagSRhiN?c!^NqJNnm>@mNv=50Xj z+@I4WoNH#uY3gr(-L$X&%07czHFdUsKm);;DYwMlvg!~}Mgy37<$x&{F@+dkl$ukF zm`KzEaYxfJBpvsh-`EA5EoFP~_rbk`8ToVW1e_9F?yXs3?ZvlC%H7i^`E*29=KX!o zmT8x~(CGaCJ8<{jR@Yd=&C4?utjr3Kkma@WW2^i9H;cLJ=&^%(A{P(HN^ZLgJcIPe zQ7_JqZ-iGbaxpXLUXs7|=2;1y@F@nzJAUOna-2TcSivzB}b_xiCb|E0Z{1T{1 zxv43ciTatjiRr0^ptJWOenA+q)#KHh94iI}5JojZd;+EsM%aunbgq8G0@Mz|s77o% zg=qx%c0hDj%y3(@pi~QZ1ppAE8u6Be5fY+E9syq>fNsQ>MG;Om=WOsH3NMM5qi;sz9bxB#9lY35y%U~(Y2#4 zzd>kbV3-idjBPOvx&f%`Q;-eV5QJ_3VzmmoDd?*-5axUchWY|!%?7%D^x0yB{uSY{ zP=L=JqiaSVazbcckPOv~HUNcg0{UDp-l<3+~ yo9@WkC9{#VLmTqwx>1`?$ht4*BF7-M##DeeE3krNV2}mEX5e_<&3s@*$p8Q`!c_s#*GK zR*g0GT60q2~HRnrRS0d!V&2ATt%f%c~VMB(82H;|wpA{@}(>|Z?p zEc}0f{R@am%)!mx6~M|QXJzK12VnarHh}%#NgwdP&Hq{Y|C#?y>Tkrq=Kn%g1-dx6 zIsc9S7qg^;z3V>{3xMNanItQK=RblCz{36?#SUQkpEV8u>%VJ%)06OYl~Qvxb_M>m zmQv#aaQ&+*rN#~5{)hUjp{-qhF#|YwI2ixt#?8*c$iu1+_*+rdxTdx{P@w7@1iu*l4>JlnbF_p;`@Qd@{~TomeBKQs_pSQfUF`h+Iy%1p zCxqIE%h$smG}o{3ikzGTe-}#CK4N`Gg$&S--Ej6|YE)-vcck?y9T~3v#3x0a%-;xi zqX&zi_--NySguk0F5G#MwFi|Va3!?`m-Lb9AGkk^($F;tsUmj3j}l(|c&z(B`|`>> zjYAU*LDaVuntJhNLJ1JQwGdMF_Nke47Z_4^=s14G|NUNEWX;n>Xd%c_wouS8=T3feny#rANkUWX%RJYvr9OD~N6+)YT0y(=I2KO&<+T0`IJ(j`?1Xd0yC)*uds zL1t_=dPE5s*la4{>*&?Aw!Vc1fjdI15*W?*C_1#35?Oy zOl$}9d?xsRMR*yAd%NY^cg?TkcFh|S;I+nIikIRKU`2kIcx(t~UYPM60wkh*ZXM*3 z7Wag{cQ^@KRoCW7Vx;B$`x1R${be=FO1`GkE5_nJL2kR8}zO=r$Lg zP@OV-+CpBwteJ-E%NYV$UZORBVFQWNAVvz)f2Yn>gsWYeft9X=&~Ah4nBo|=qJMUa zR2LUzEU+_IsZo=O;ndQzz*@`0)#fK^-s1HU2dFokjuu8_meCvZ$gW2*8O?(V6_9~r zR)u(|m%_a{PA4}co@j;;Y2-b0hlyZ~*gQ7`tnRE=zp48&_J<4>f@fkr9hyX?Qm`{~ z5CEx|gx*58u_iV@i^drHe6ulvML9U7G;e@_RY#Xzs`p%#?js^716m3JM9E7s!}xrKLP-@D*FH4v0UyvHiXh0G-@;`4BHOV#LVd zgsmG$5*+@*sm`fH59W(H%3wi1U5XDb32wOZ{{5`AuQ|JD64FB}9P)*{bmSmy`a<#NYlSzM37|R@tKguzxF5XqqrJ1Ji z#2_})zH$=Xw1uYf#aMS{!pNfU!^s;5j^Fp0^C>66#5q{hndo_*l>2zy*(W$%kZz7U zrmlA;4s$k1hT9I7dOFrzQjEhbZZLwS%=L`a3i`K@kVIp9WGh=H8?=DIN-)C!J&y>x ziV;lnR{f~@D);RklDJf!07Sor$}$UtmhEBamb@W+eAO`~IaiLp@4oIMXM?Y3B*^t} zu}LvWS)Db_+h}Z^;iRdT8coaD{LlPq`1Mg2m>ZS}7??0MTj=9B8#n?J=Nd^TA$FGU zF1_+mOewmTc+Nfg6QV#ue1^{$J(;ay^ij_jWItEvM90EDl8^A*wl+!7PjJ5a$+Pl; z*nNxut(2y5hut(V$1~)-Yn)GV16Du&_%qa>@ytZ>0?s>*p=}9M#R6R>6L;lshODrO znYW*e98i75yhO=Vc40fbd^QC+x7(I%swZ_&4m%0j8D=;;= z{y9hcu!j3;t*4)+E%y08wi=$jpPi8#->8gGBHT%oD`ij}cekBctW z(e`T~Vk9U|qEP(Pm?5}y<-fVHwb6yX$A>E}OlKx*#>qbPu80|+F3pJXrdEcqX!3e| z0R_e7G>vqVWwRC9ZxI}Okb#I_Oq=~Pr;vm@8&x}Hb_5@2ZnrvFi<42| z^z2h>-}}n4o+{+m+rRWeQCaN=>-$7eZ56i6C$^;#VdDnD#tfw)ig1?{LV?X`5boAB zpi6hwxI#(*<6~HtBA7NeDE?h5H?^JStF+F*0Yett~pb(3#Wb=@~ z(ZoqC;L0k2r0=R<_}%*#xI?U&yrRc~#`iyS?$csa8|Z|tYNSrjUc<`z6|9M2A{i5J zv!8WhDcU^ZeX5DSLK-QYb*<@xSd_5y{BB_wtp3>HR~15$JgN!(utz6{${B(m*U9@p z^DPH&C~=4Uq_0@spq8jWG3$)yK|8SP;ppb_IdcyfYcLq2K76OWcvj z46bkm9=(t$4pUM|fMR6$ZZJx%MU1;i>qWqbF@eXvzw(rxda ziF9@T>^5k5sZ@)GxbEB3qPoE)!;qTcpx90W@G-tD*3FSgKOeuQs`bsx#uEEZEVR*! zD=G6QZ6Lo1o}b$3d1Wlu*4f#J#AYKpkMY!up|(gcmf!eHcQ5VD91*my=8bW{LTLX47}b%^t<8$m6_L1~ z1jZmYpJ#$vU2Iy11lg!^)fLxECz7xJWX{6&2lXRf7WCYSMDE&g3 z#myC-p>9^tXe+KSGrwJ2M-gF(#()x&?DUOjT!A4K25Vt4{QLgViTx=P3b@pW3w-^p zb+rM7TizXw4yi}Kbz@6!`LWZ_0Zf{Aq8YlXIEND6<*MIrPmehQkq>P0wJ3s&DV4aTgzV;6mI_xH<2;yKGSJ_ThL7bX=$99{jdt62%5O> zm*K^wGD)Wm$j_`StH}(=ZF!zTE*FpCEeitA9$D<-slVoob0BczJPvcTom=k8AZ!XG z$D|(ShRJC*_;Tz22Bp3vXV0Y{Pum3`1H&dwo8qt2I>mMS3Udku5vPkr z6{9MY&!5!ae<-RsvqoEx_x2qNX7xAU@4JI#?9j&{J6H&&6sq4F{XLsC8bGP9y&CdF z6{Ro84U0;&Tj%R4jr)q~T-GtFDPvY{Ce*lFnTD!>+}%ij)BGa0O6BF3k(Wdlmt`m; zwz#S##3Av@=DLhhHIr%3BZ)ip23)A$(CO~aTNjn2MOU;qV~rHm5};mp+hTmpKi_R! zP2k4k5-9=ShDP!g9T}aaP^HC!gYgO!Ur4H#8PvjT;ya8v#qgHx84iHUghXj#tw5eP z7K-+JoGCqZb8%*^2$ekaeYaQ6UNlRTWRN2R`)7RDU>XHk)p&!!nLnJ~#xi#*r!+Qi z`gge2CxiHGMUvA6u#dCrJf%-C1yVj+Xk&O>xY*#G@;|o=Fp5dQ36L$6Kn}|AoP0U0 zt4^_D$ZIbkI}{WTXwaw`=M`Gh&^gojGuODuLJzL3#8%v$VcGQBTxBJcI<**6pwjP| zHdC2ddUZjH4IYZbb^I%x=`eDc!M#Cr(BM#&8uvha_7SwzOw^X#_8{??mk~7eb#>mr zEzO)b;I7UsAGE!LAhq)tz2dbWwDG7f!i>*g-Fk&_vlF#;RQwZJ868TvF!MgN=69(p zCU#3HdvU^&If@(lWG|&a+$?sSmpzScls!`pFDu5tYIA~AS_jDeFfZp>{3PlO&MI(F zwu2EpTC^Uyr2lo*xtjGNzs8x^r6x(GeiF zd-9%C>vrn$Xb?R5iIG)jW6c($L{4#lW}{j4UdfxXG!B2yKEY2)g7q z8?Gp3V|oHQ2VYY(m&-|RfetHOCGaM`&R63qi9&}AgFQ~ENIX*DL5xj)UK9%iV=j6w za3AvEsg16Aw%Ean#Tqkch92UcPGIsMj$>CSQzI(!-pN%Fo^V6CW9nJAWH zo3cnHv4ib`KDQ5IG-^8)P1ZBtsu6ehve!mron4=iFGMKd$UFatOT;nsl*?Cba%itL z8iOh~tV>Aek3pEKw}`VcA2gG9k0ktIKQNd%20K z203g#f~6}D0(D_%oVRH650eon69EN}qwL4-2m%*Hok05-y-E@K{TaW25MVw3@!4L! zm!1U{hbT7NjBguMcnJ+TrOQvbGp!33()I)fjH&r*U;lNETSqw|f(4_?+X_<22LI_| zJQql`@T|Il<*y`BmenUEo789BBhp)l(C9La!6Q(F-_?jeO#zv1n7BTf%SfoE)FIug zjHqlOn*pz^#Gw8N#(`4V1RtsrjHN-=wpx3%;nLU>I??t%nrYrj^JhZEhpZj_sAj%) zO?;M*x%9jhbazTIcTbwlb38rGIDhzerLTuZ&@t21{!Xtnt|R~4L1a~uf8q*~1_Bk* zZzc9nX-(hp={!&ZCG}N*ZSUe-@-K7-u(PzC+mSDErC>GQu@BPl%Td!GYxCQE6{+O?jkl|0Nlg`KdE0S5 z1!NC+kTpwUTr`49Bnv-yRHts2UnhF4N|{oJ2k`m_FdpkhQ#Xr1kL91hW<|y&uyFKt zR_Sn)TpXVW;c8>0YSk2Hb=joM+t~@i?dfBNTDRZTyhxvF!KDU!rJc%eY zLmTGSCx_^Kf;1`3ZK_wLh@599AE^Wr$?Q)(uvHVOpg;hhw|P>BJg=EBj6Ux(9bElc zXN6ZYz}l*-jVuSrPPY>g)~ma10&%Dw6}DtI5$ur>??i#sUcIgOm|W?btUu9QRjp1z z7nzMji{BvQ1s1>y0GNT-kdL=2|3sw1XakEAXimUFci|OO5z=~^FYhwAC4Bt_wT#Xz zaTZ0`oS>-stbZ0Y1^SMza73`yc|Kb5jgTp+1}8s~PI^koHCq57p`JriD__NVKjp*p z8YcZS3O4Q#mm@ItN%-}ohfr0U0NHQMC6xt6HDQwWoktcHX7(-?52OV#A=eZd5ikf4 z)nwDUZ|~kX=Ee)0KwsHCZd9DMzJ$tia_Pxfq*)p$SCj}Sar|=3@7wxX&w+rriSY&p zaRrZ3TO`x~+y;DCUWV6ON6&Q$Y7VH0#xeB%5q>y&H2S+oLtoYb3A$~}+J>w&OVVM~ z>dY+^7Rg1ofc@;%e~B6752CduVJ}Msi*fYA_Qd<_iiwXwEO#7E!pb@3aSD`E&-L$- z@@t&3auG)3oCnp0CS8cMImjt^hDBtWi!huMSLYO$)G~sMk{P-RT`3`E(orvov$`RX z3zoWRjl073>6XX6#Bl3g)r%o;f&4{HYkV^h?=?#FMk4*hpcMwzIUDL_Rhly4H9tmo zySAwYruAqrQ^r};1yvD@bzYPrV)tBfX{eSc z;H-~LE@h`>H3Aje2w0h&=T>x@!xe1<6`{o8KYW84*v2pN|(T9@JM9bm1VQW=CBv;#nu1dli$Xt$+vVtvw^4M*?!KbpOxV=)N^qAu@f zG=ok9G2i3fq+&*d{Y!?!ab_aBOMV07TB!x_Yfd1yDL}2MYbY48?NQ$CD;<6s#ki>~ zaVjs{IZCKzGb^oSbh{<*b)S1f8;yAIbz4%LHKgBgTr5^VrA^yp(u^2++D9FFgSJb| zQu#A08Ri(dEj)~wqFV+v`gFc|$uu%n{^oc+wE{N{`eB`%j$NQ2m!d!!XH<*?-ew!> zW&5)O)Cz&U#2BSjq(_*WQi_?S`O3cAFacPpFUE1{4E_s|9K!?aOi_Za7=w10^CGMgh<WnHShuZ9RfAo=mEBREL17hX;=W|CmEB z$rmq8Q1Nx$&E_*y`Ut-X4r`jO21t{z4)p;9rH7EkQK~1)n?aOc={xgT6@4XxSi#Ur zts!VH;#@ECUl&bcCFub1>jl1jYLI7iW3O?G1M5S|0kUrzA8ci!M!qWE*XZ(HLRR12b&&6PhtkW2G+XT5j^4sykHlC+>5aQ5iS zk`qwGUdB>5S2s)A&`!S@>xF{xk(xL05EfCaHr5V?!#U6GWxKSr+f|ay!Jo4NAMYOK zbye3PgFlf2#>m%m`Ze|G`e4Z3kXMnkjEH_1WoXPQ$|T_#4xJHw)&*7dI(CtV?L*e@ zt6r`&JY{!8%QacYzz;etvx_#ncm>(w+cwfKbOpWry_Y!m%cxN#t76$* zd*9uNOdNcoV?p$UX$tsN%o|U(Ngy40Iub1m8ob*?3LiX7C9Jr}MGI?|LjPnP8y%FwrIb9X7!L7?Woy`3Uo+{3|83s@L#qEBhDWY3 z;}Gf(1xW#^y`))dOo6RulA`X4V>+aw2R8suCKwXHTOj~UDxPN zeZRe9@+Cz^fMDL_+;m;piQo~pr&p4mYC&_L)gWK>7(5HD7vwSkIQ#m})hjojD|$dDopf zpa8GF53QG!G{MI3KJ@#hFNJrQAgE)fUQly_e{?%dz!B5LMU09epkXkdZXUVBfW+Xb zE#tNutJ>RmQI1{;6NYTZM8*!h)a=q@9=}1~sIPP__%@{?!F{H$1JQeJ5vyOALc%by zy!g71r$0?~6Vq)LvVt!@YPA@lm3vV3VX8(($*Yau>ML>CeMi)liK{K%0~Kb>fSE8{ zIXS|F*H;Xl3P!7QqU%Q94i`;-+r*9J;lamYb8d5*4>&8utPv;Js zXNX3ppq*$G{|*^ZOZ=&wZjEliM9X`yuuy-V78~Fje~uqxgItp`?YG<=FodOc&Q=!l zQ9=CNSC;5?tJ`Z*FyW}>-J&a2nA~&Z4{Rp7W9h1nbhCYQ)fG-qI)isxd>XBD_v}P^ zAWVc7*^$|Zyy5GR$`-6$k{0TEaf6?3IB=M6IB0Q1K<_V!pC*nKaeqt7Qat-UaZuhL z;+XMZ{F_@Lps|d!)@(c#(6NbWeQO1zdjHNX;x**|Joz=yTiC{}DI-Xh5jcJSkV?f( zd{>RV7%h>bWv2OP!n$8mNI#hvQ{+SlAnE38tV+?)lReORgLApX4s~NS>-bhKtt~dB zEkeZ?9(Y-XzSaAdlr3@I%h7?GW=ASo{&TY@(HP%zedJx5?j@aBGS@Uo_xLU}>NG<$ zYHxD}llm;33d$FcOMIZ-P0m8>Jr-=tH^)16fRh$^mMAh1y6w}y@d%^>X zI?0IGQ)(*?pCBw0gNA4HYjZK7I#u5^+|UBi1X3k}u?M4a++!60mKZ*Q%)_crne0#@ zTxX@s*X#y5cwx0fed`v`w zus2I*iB3BH8Cm6Tr44<78UC52vH}G^7Fpc9xy{dj7|9roD*n%_W>$K768923{itPh zPID{Ak)?K{>fc{b*@Xa-Kih7d7(OKOg;z?s>lZAYCnX?f4)nlM{e@^S1>PJ*nEiu@O(ih&MFb1Y?H~os$d2l6>Ri2P< zbHg0~%PsNw=>b77=zB=`YJ8aH`0cNP`euK!sS0OB3JZopdqmXL{p>blNVOjVNmGB|Nb zt)~aXdRL!OQyIo8j0Mz0x9w6_wKs8*ez#R6f37^U5j#1%ZIZ$pd#W9J68l90I+I}T z!+1!=SZ>=jppwY;R{1*yt2r#iTpWF*UaY7ZB4JgC%&^F8CY#Qn@G0~y`r>7{zSAnI z)J`c>>bg%O=R*+u+ErxuHs3G~wd$O1VHpLo#Y=K<B1cM(bfTOfS5i9Vvr{DF!%y%T-W*N*!zeJcAgbm?I%r-AR=_J-M?q z!V-nX)?}`4lf$4?>tzgI8S41>{a}@^0*(8`5NDtEY!EedI)rEF+0^A>{1S_n-#hbDLr)-X8FGcYq7cV; zHCDo~NmxtHDgo7#0nK=7#Hd?_J-RgwV)00F(q;%pWVMH)@p<7)#iI);wVWdYxH*!G z(6+6t^|n_!j$XU7VqRVn{)?TG@Q|<*z#3E{k+D4AOt)ZB9PU?vGry%QsbJ4Z^Cn%d z2xCZN!*b%KtNVk8Omf5I=Y4Cd`Z9e1s7#EeoP~HW`EVJYq{Vj;$PGnMxmaFQ5PGEt z#YJ^yvUDU9#0fMCh3b_%ch(Lx26Mbg4;DpYWBI-~ym9NZFjOIEeB9^7F}HpWxZ5?w63D*Hh0F*R#CC0q`n@=fBz|fQH#xh~o<#d(oBx5Q$50e-`+mbAeT)`hqgF|Y6IAjGN(I@p zl72s6{B^XhtHeR?BcZIo04dRV@lbV*g!Lfnd^~cflE^q90WPcTTqWw$G=gG;s76Yn z{O(#uvvs#>WRVE+;zdS_e~d9W1&?<6IlbSY>=RI+VQ=z*KILJ0XlR(ACsg!9z4dWZ zX=JYNWrQ(fc)|L4flsg(CKFObb=I3}p*iMmYd1hb2{B-nrg~ezod@MKmqqp!jon(sNNdeLOFUdTFvIyxav(yw;t+-c=1)h4kj$ply;trqI zE1Aa+DeT2s^XfaRJpd*?{{!6_8om}e9tf|Dcu}Ixbi?NW<8n^Oz5F5n5eB_^1W$7o z4P$%OWx_C)jQIPvx^i@UMhKW)w~*FR0#g9aRx@dW<|3UyRpBut?DPOc#99Mz0gK2z zJ20+^ii7$jOM3>!=O<8TevpxniZeWvqbMHJG&I;SsJk)Q1$l+w%SHiS&Ifc?=3=hf zM=2g^EUI$!V%jCOqa%nvCFKY3*R~!w#Gq>eg`m8i+{vBI#w#1i}y zBXuwtEpsE#o7WXg6Xuq$PkQZyA=M51GQH9tF7*?bN9gw{5MSC`eJ&v>2aba2YH(;` zWKV-0r-5ASnfU3S%Q*x0%>q@oQj|cqs~r=h3tushL)v)HH2~$-%;D+#LiiR_`jS_3|gZ>NH)sjbruwJv)LxAI8@y; zM83lU#N88MY)8I@F=4vmG}G!H%&%tKGt6U)hLl%=O$pOI=TpFAoDe;j_qe{k$ zI^I4q!=TTA1%~lO_(G1E!z0+O&pNa$%R%jtC`C7FxF5VxL0R}MwH$^O@zp0&yq4s7 zGv%rKJfumfxiu&wFyq<0PVnq)Wgl(7F(`F%oON& zQEEX|Y-AVPg$u0AS2a{H1e@!qJsmN^%OQS!J9N@WGlz3TavNhoR1+tw9Y1Jg?3X-C zYvFxr#E;Ev@Puspsb#`}@;eB#0$q`cX#gfgvEu}$0Pr}eJ3^N5#SP7JM%*&o>13^@ z_a{=JTL48`WU@qXbVm>(r_YI?E!qCtAKD)lA22+V>)h4m;+Yce)H!iWqk4649DN)L5hGhYdx zAYxEsl2#S5rkQQ72AYd!jrxEH+GLFvqLo%i4>u;AR$|0oz$7O*enX|u)t)rQzh6^_ z`*qKR`epwRK=Ox+z<~Q>R?Q-im2ym_sYg6;*P#{LII#?Rs-_lp7#s+91K0&QbK^N# z1Rb7JGimUwR^yj_9XiMe`rNw}P`F*YnxvSOztSrEPBGALktL;41zkuj)^-`Kd+QYo z17;b+1v780?A8E^eO9Il(@l{$ALClpRx(gG-{G;ESj{t-h3@Pf#GDe*@8#f-id})L zwQ-`FEn48nP=<%haFu?3XwN`1Lm$^&u!6u&=Gmfv(@9~^z&1Erv9y!$2eI%xkx*H^^2FX8jv8;U9#>jFW%tn%zc-wgy zV-qtL$ecy>S=N-klbB$v9WjO|zK{%E;hMt2JaZR7^VZ3ZMkAeAx?8*BI=bqyv)^6yZ;JCph z{kM;^r%A8Fz!`ULVzISYtbw`{DGy~uZMWQSrZhtjc<;&(z+|d+$U^NLMN{IR^Q%%p z%}P$3yKLXsW9ts4t_8=@h|)+6de?KdY-;6|M~gW*3lU8}C{;MH6#L3Z2P2I-Uq&TN z&aE?1JJG<$aaOS+tpe*8W9QQ36pMusR(WnoQ6(!IOM&VMRgd*YJRj? zD`AtZA0~rQqx=dqtHVX5Qt2;WzP^BBb08L|j#!_5x=?TK6F#VK#QY3yHmH+r6>b+^ z6Dr0UU5-q6{$6i&+j9jeent^QfDKcZ&WIC4UDBu{G5M8S4}yAt+AD9THcIuVBJu3Y zDVltbza^&xDee3|SPb6i?LJlBh%y|46?D0@SDmyIhFwQh%w0s!LHd%e9dAqFF0o9i zx8d$;yAau9d`qz3Waj=5ut-G2-iIP7<{h zAa9s|v!m)~pSXa!F`bFD4!bor(@I)>FCStU;zx@eX@@bWFwdqC(gyGwpv~;O?aucv zRdrwL=n}UM_~#ArJq>b6z#Oq^xkcoKAVI%OU28MQGZ!ZoK_NjFtslNw-JyR2UoE&Yh0-UDSyGHerLhf4nL=sfwOULdwY>l;oq1JqDUMXpw@M@XTo7QtNg__+@227 zk8O=3t)TOW5`JOrt(Le0&w*fuQ%a{I`D*+|T-!fcbEoHzavFT6$U=g{w@Rv1^C&Ed z!!n?cUoAZ8wZDuf?0Fo!4@<=Jtv9_Y5`3opS%j(CdF84hMn@8)KaRIL}bjA4x~(x93$ zF*8-jXLXiSn6u-NB6|UgZz-N6vK`*%8;&dshDR=vmKTfKg6Z=mU1ffe;wC%xgkIw9 zt1bPOlQY8052*%d2*NlFNy}Mcgd2wWUM%k$61-~{I80Sy1%-dj+$S72@XXHARr{?6 z&lSoZNPz4JGw#ARY>dVX)x^oU2m=f?0!3$D(FVB2+_$&^R&vww;6H8kOmOXD=Mqph z=Ddu#jdjEa?*5*!x%n=>z_z0A4~A8tPOjdTUm8U_uR7aM92_4XEn_iB;N9?qHm0-g zGB0y-=de-2e>UBZrby>-W*U$_EVa!r-$UcHecriRT;&zICXG=;tFF6PusrHwKP;}N z1R`Eu)O9~d-Xb%e4{>gZju}`}$duO`uo?{LCXYLc7E#OXyGo3|UfI3>+L_mu8FMs6 zg}bQ2g4^XC3eY@YZ`%Qg#4^*-Nflr6&;^eqNp};t4P%M?g6E)+B*!2ySil-S z#S6hPMaQtqFh(h7`fd$EXIRR~SZ!$c$yJV>3Kz=%*)61*HDPHFk@!6axSyR_4)2_V zWh0i)Hb+BI-sqi@G7uh{8Kj8I(z?}^S9ux5I>uD94e)(~_BMSQ^`qC*eW^tjcFt|E zw2C?lobRfw-cOD{G>7rTE}IHQO{S4Hk-k!h*Rl6K|M;V!fLl9aH=zhkA8h~kfM->% z&WJ+-v}5-+DE>@YI{Z{*Vp9cvJYlc*7><=`45=JZ@j!IxM~oXtW`ZI*MIGxm-@EYL zAHxJDjru~hF}@HSmyR?#=mdONoFNc^)0ROayVrEL}Za1#=0$Pk_q9HeQmOx`9ku(iq00*rv{50uf3SDf7o#DBWP~B z-g%hN995dQewqVb%2Z-RfW*g??k}WeC4q++PGT2n#t`De(nE&^Z`)jOVLTPoCI^T5`c^0dv=LAV8d^+*G*K znI7_#`s(y(I59fywyZLBM@O4`?=;1+4v(atmNXCG=tT)Gg6}>g*1en>A>=2|$@S&6 zQCEwVpo7M>#v$EvHcPcp$1PyfQ98tqceXy@rI~Kr8ZJ-SEKOO>lq;>Rmpoj(%9()Z zxS5+uNSnb2Q6n%3k{1pY!>cFv=QD$;t(_X@kyS0!UeUZ1GAezsld}2V?8n%tDfM54 zt@FKjkFkT)ciGj3ttg}OAsGtiFux^5iZ_QB^r2Cd2Fh%Cyv~vz?n!BQJdhFF#ThFq zBy+NA7RK#PN!eoa_3o@1&MZSJSj$(;{+y5M&n-3op3Jmo%#}Sp zPNqH&5OVN-OXzidrZfNJ+TzhY{C$<+>v;U-=;C{%@*|>uBb2Qbg6RY?#@J+MNd5O} z97$}4C@ogkK!~EPqZ>r`g57{T+aMX1axFJr?GEyB)1dC~%DXH1AIrLu$tm z?KXyWwwK)zrZajV%Vb>i=N6YSZE-m|%T=E^2oZ50#+;EvN^kDpV(H_|HV+87U|bpo zwjh7}Fu1jT7Mkbp_kVZ3o|OJVwR7eG+Tyx24IM%F-hSDqEgcSyHMA;0%k9YJWxQyZ zcE?>}9Mk3A^a}b$_pF5w0!?4SE2s-f9;`W*y>4f^zHd;=Jx&r}zk)j)jTmV>jjnFk zrLt+;P4{RgxZ|He;}c^IU&lOz-LPFA-g^2iL=#=Dda|f*AV$_$3Sv%)8}?U@hU3`O zJKInhU3(0$82PUL{i9lM%E6m4V50npXlzFdf_HNIzDK7Ac8%+T1kh1F3WPMvT_Y}s zSVCe&R#RG<%8IbEmH$opw&tWQuVO%#O7!W&5{}*(A<@f!EA#_H9T*W$Q+r%^4_rz+ z73yrD)+@eUW4oB^kB~sZ_&hN-b(NM5`POnfxvj+6Ait2w(^6rbC~u;Zx?LL**fFYV z0TJ4ZjgVWWed`4=q84I-4LX_Xpt|VsX)eIu4Km3v(U@c?EK0k>pAZNk`fY;0RtmZi zMD|W-T0oC%!$M_b)joISO&_mHpe(T9D8K3y)hErTg{ZEkkb2D2-HADjjdMc9*mq6qUl)lU%H zR=>WX=U})fLl^jDa}csF}-7JqliO7By1L8~$akKy+z$VzLj7LxRt zQ#6?!x_~-M5zb%|_C$l+I;S<9lx*|?0e&%936clzY$Kbrs%uj8#Vyfx`y4zTWl{FG z`PId8H1EfK2302ZdJAu@;;y}ZW#X*C;_@2wHcT3KkB5$^AVlH!pV<4W~P3=ylTYupcW3MKS7Rueuzu5Q_!uR?^_u-5xAm|eNe2_jJdG7tq_ILedqhI$)ivuPrglMQ$JF{L@go_1X29E2oc%CpHq?=R_dCZ zrLtsGvbg+JIZwaTyu#rcK5e|zItE7zhY+DXiFGSu=OI{bWl=%;pBrmR0y4qyi=P@n z{iuidX}@gg?h}iu1Z>NdTp_9IybZ<=k8pL_j*f7Y6`>(ZJ1mgwzfMD&SrZTH1Z;&x zby|Gr@YS4*D6Xh}v~(%p)-(u<{fE#H;aals z?)Wg1H^hDlNk__R>X=kBFr-IjaCEnVSgyju8M()84mY8=9G+lk2W=tS2y?hoGU)Ql zqfU;2$B`FjIB!-k+6{b^=eV4-6qJbCn-s(T+h#ZvFx*h5vf-05ji$S9c%ckyq^|y> zv=8%q=b|9B%UOLuT|VAjgrggnAWU`&1{8MbfhH6rluZXdjA>mP;L-)FRSi-pk+`FM zUC10q0-4E_oA9^+@j#t%3EsgjK-1DjG6G=_Cd&16Na$`1@66VKwSbpl-@mn^v-w@H2qe0L5_{LXykY zXn;bFIBIo}J}+|$erRI&oJTeJ&sG$$%*%zMLJC`T=!x#V5;!tMs?iVXN zM1R&$aFWm=%@RD3GjgZ;r7>@MQ9-y0`u#-pPRd5VC+E5ZypA%ZSk~JR_~Pkb;fk5j zzk2!_#K_DZ;$(+-U^T`^?M`XNSxnT?ey*)gzHc4vfXeJoL%Y16F|aJu<*3xD4B0dT6EKHIHlsJS@(0X9n{QV z$;FYT(h#G#>A)9yh9r+qLk8eloYErVPJ8DG&IzjNN|bygPbbdv=ZCjo!P8?@y`D1l z@1s~CkW(Tbw0%|sf5fNGIqCSV2D;jr9Yo6Mdu56_X?U%IPzyy(rmqiYYx{?za1t%( z6ua-VacFj8fX_H_T*%sFj?PqbJ^%c15nHJObeQL&iLy>Rz>|IPw5S&E;hl{}|KV|l_iPG_}%CuRi3YW8?P_?i}ZAhvVx86v8zOvw&f9V zn=P4?(xpFM3Q{IHDv_j}Amk^GXXcc31D5wCt}B9eRpJwo!4Omt!yp|UzR5J?_&pLn zv^w$tm7O2(!DkGW<(mwmKv@X`QIJcoH8Q@@Ed-hP`rGg+L;lFv-DyIjrzd~h(J+)Y zzo;0#-lZ`i7BcWqyX2S3X0+MugDzi5@K}>zSz*KUIxgqt&~nytyE}D+9t22Fdnu?Sdx$<=2 zM2)!sWzz$YN}Lh^vP!+JJbpyToJw4rX(OlI<*7&P30ri#)AG_!#R_y8)~;i4MRF!M zB<<}vxqD`m?W19=TT>Doy7bo34l&PT7cHFOA9HW4(609bKa``8eZphooli3}=LYz=NEJPy$!x6FN&bimuMSY{~UVG(Oy@%Ls>f#MdMk0eB8Wp*@2b5}q=MoOEC zjl2q+##lI~KU+f6RohEyv+9;qP2cUWk)+3t1))c0ok(XvGb!CpO@(W-N^Y5w37k(0 z&a`zk8`HS_+_M)_o9el1bfURm9}X}3F+4vz-~v_tgAN%#gSCO*R=kdaG+UEsY&9V* zP6Gs2bBC?BS96b;t^es}Hn1?T`~DR5B9+K){?6F^y$MT(CtC{ERWmdEIQ@RuTnu@m z6eE14;Dq$I##tMkqENTYwbr+jAsbHc;}pk%`YOxsBtZlO;dk=KN(8un?+FPKzO$x( z#LZGqSONg;&Jl6o!q=Hy-K=i{E~Md@uP*8cV^%zE5pghw;+L8}IKA83YuY?-WMDij z3YvebEgT>BR_m+HmskRO63mS5SM#9>qN*kZkWy=v(z3X!tgM8aAK-t5T(`JdM$x7KVn2Z<8p%*F@neH8rL77OQ^LY+5bZ%p`Vr)Htu>*Q9XU{F)j=dGfjN!A}V< z{EZoEJr(nl)-`F^hk7jfe5|elOgRBBkd(Y!-=&K&z$$IgdI;*ecd*__5g8+cl71?k zKKd;=p6{r0jWUjQES-{f^p&#roO+D2B)X}{U0o~k%}^*{_w8=BK@WNYW!Vg$sKjOT za=j=g)Kr9kmGB*A6oF%u?=2)*bIhF97^?}-Kfj#>#9Qj7CU?nT#jY*?n8#nT6^b86 ztistHmNZ0+4E~d;LSlb2IR2iw8j~gX9^=7nuoQ>mJdu^T!=J+44t%ECC!Qy?9TU31ks!1ps>WvMzG-N(IG3^%VUPZtym>jY;}I~FPw6j z&h9DtgZ0LEB7!_+qPF-Z!v90vJBDYLb!*$PZQHhOSB#2nyJ9=3ie0g78x>Y;JE_>t zm#Xf5y8G$p+k3yqyZ^jDavXQoJ?ENZj&-f;9*gHdv?5Wfyd#wVK6y?9UXB}@(4OFOZ1T#yua+Mnbp<^f*c>nVZX5?2mz5;ya??aaD!8W z7?|L?J?Jro^#+3Lo>H>dDWpWiP;o7usjmr(^?qi7BmWmB>LtoCTIuaks=IkEHV)$XIEyo8Sjhdl3y1j2(a{ zqj7o*6>zprXfcoaN`wfOW$GX1Z!p-)6a=BlNJ{oU!C=oFAigk=AMJe#-McvtmYPY1Fi9JuXqi)r|TDN&edYEQ29jTLpz}O{+iQtWc=T^8N(H zhq!TumE#3I92h}5&o#-!~N-HcA*?j1V!p%$pRs1t zTmZ;CVnZvzTUOuqNY(p$OBNK|kc8m1l(&;c~_|}fo~?0P@=L-B!mc$mZBLTKV{a=r#5?C^->B3 z08f6;Ltm-`3)bw}lsM!V#Cz6!z%xqe?3rPSzfjdyBG9fB)I4k8$%^h*S`E;(d=SXe z-5qh(+H+;t->zi1*^Yrfy?g=QPddZXNgY{sg=cD87rZR$!eWonQj<7^DAVAB0bj^o zRX|X|$Bsv2rK$oWPPI&b#9_PS-N9P#p?hl&8PF%b#sP_ca;bf?-wbGE=clZ(Tb&pW zt!i2U+q?rR5OuS?`2Kc}?DJX+Q4C?gT?UICYwfxtXfDd#AOZ_!9mkcqt3ibxeedPqUw88QtW^jlP!v=bU zlbec_2@we&PB2}%6>|9zFvK|T$Uyk9w9LBSA5S8O4sBP}xn}&rz+PEBn?<6W;v5`@ z9cHKrCFi%99vVEI#ddOcQPxoD)E}--nQHd5V`+{(5ICJWHSYLe)@L1=Q#iUD?uXh| zAT)1$I)P@Oyrj*++R4Tzsgdn z@f;<5@W2&cV+?(qpTt}Btk=PIB2o-Lp2BIb?aUafZo(dPT2RDw@sN!}^eUi*Gqi~t zQv0S(J5)rfqKSa@GELLhEuya-B4st0Gzm_RV9(OJnp)yMQ7VD7krTRx)J`BNYdheC zfp4cHiB1AUYS3uL?&sll_?k`%J(t^hM@xM5H;{xV{9Pj8UW!xMm2I=5rj+`(chZ<7 zx+b-<8#ay3$wV`d_GB)S&?I_#@xTWRBmPlF0iJ1`MV05d5d0;j8U87(xKhA%%QZ~A zx}r|lxq-ea7owaJBRSv=G9R&4XFT%&HaQYXw2YJT2xh3|3$jX`Sip_dg|6GSK`vJu zAY0IEfIm$U++KtmOrP!LBhD9I-W9qDpd&-B!W3pJXOrL=tRbA)ObRWvj~~@}hJTxl zFCi$&^RK{r3aH7}!YZ<&JCqO-@Mq}78CIcgw3*B^OG8Zc6oL+MKT)ZNd`dcQWp0aSpZ`GQ@pk~kWBrVf55E`_lgaZpUW7r|OxHBRC3Q2|oG|r<8n+O7)R;03G!n(^N z1PnP53rA4{EoRqlb|M3veT}Xzq`BPJfGe^9pGjvd2)@oS@1i;}7vdkGNlv~Wv*8pW zb_oN@&ebUGPu#EOk?X5Sq$)E{n(@LRCfPqf09p@aI=Lag440&Ky^c|2vjsFd)UgvB3zR<1BYcp&iyNn^cN z3k0E1k8{QO0Wh0QgKMthwBQ}HCa3%uO$Z_V80-{nt?>PkDPq~co|r<9zeo~w?k)+~ zr|sSN_O&CpfKOZ7!Sbi2X6z_V2j)w+J&rdhPbzT=X)>2OALcoN<;n$h=`gycRxS%K z=TKJmwxD!ibY1$p;}44a>jQ`N;KgPz)|^0T^@R*NG5yX`ZagwDjIyI9&D2Yb8|1a) z<%1uoUQepz>;q8KKv%7+g%&9@lLz@3w~ZmS1GURg>O%V?-tUfN%+=CTqXjn(a9d#5 zqrSc|>Y&M{0^lvS^cZkuNOxB$-V?QLGf&YRZtKNeIu(np9bqe}O}jc;)Aj}i{UrbG zX7_ddMo@>(=e*kCj2=E+yTfu#yeCp%6eIEZ2c!pw&}IcD)+8pbDXMKguu(y@EbiQf zHI465LfYd9oV1U6K;y2;cu*y5r;zj;`i;ieenwu>xguKkz~Tmk5hC%rd|&<|me=k^ zT0tMa@)+v}yb}oB2_Ve&uXF6`v%>E~w}_;IqStG~)+Uq#N1i!2{hF$cp=5prL;3jQ!YL}bsM$Yp7$ zO%jzLPiu{TEi*58-AHAO^fo|HS1xX zNZAd*n*3A>Rp1i?T{-^D2EZ30C2l?5O&0!=bLDNGtaJ+bniXl~nz!fWJx~G}v}BCW zbp03yLH+E`s9wnI;8k@rgyWcbRUUBDMVCrL01Wk6CzlbKy)04{(t$ZtVUI7Q_rN}& z=o|by0WHt3+3qW&6m?Yf-UhID=pAbcUE~A%x%jyX8lg%+C(_ zoUN1(bk&u;K^?gs?{KhNt0 zF(xWX+rUSi*#X3p;(`4}Ys$|+SD8)}J~9P+-m8p3M;qbh5sPN8MTQ%nM#`;U$n`(aNpJ*UoQ|`}Lu<8wR_$7+Z3YKoihgUzTGVpnq^qp)j>t2pn+r zj=HSsbO!ESX|yK}#w6MYRB}t;q2?%bj1RVqIP#eV_VZLrcI;s5VFcx};YP)ATluH< zvCa?4b@B9k$Mw_MRPqK}JJWxpAVma$?PKQ8JdDR3ym0X_Q*AFVS;fSn zR!OTeiLO~zFBZ?HX1NjV&%jY>%YDc#JuexFipw4Kwg!lxAV1n(;TXO(*tWRt)AyVj zc7it59|NgCzRnY}G_l|wr*6!$C?$^CfzXV;`{r#7JKZ<4!)?;X$qA)Tq@xbb+rb`k z{<1IMb{SF`00~D*+X=EXOE0MIey=ZxR3Er`0k1Tzz)QN1#*^r&%7Pq_m6w(L)PJ-9A) z&$$3MY5@W`*q6qkBP?Y{LK;7K#3Hp&2)b?eU{@jq+MS6+a zDjTX|=M=HkdQu27lQs*rJP#BXIFt~$Lfqo^bU~4~>`GdosJtd$x4W&ywJJ?tdTg+7 z8`2}>jxPE!lkF~!r_RXJ#E81O-au_>Jhlwb;gsnoznx|}<PFmTN4f|UNR$NJYrRmgV$*xF^))WUpL-p)pCiOpTdMMti=so{jebQU7G zsA6Y`kQhGCEfHS1TFAwM0J95siewH!3)Mw$P`0ndAuIZf)MaJ#LofK{t#S+QG%&!$ z>Ii_Y+_%E%1M%As>AV8@i5g&oiv&3zO{YGor#Uo@77PrrcdI@_p^V+>+3E3a>!yy9 zDsuvy7gLX(Nai5A&`RfL@3z+riK!EAi{;*Ys~2y|qL|dCeVmkqd+r|d|Hc|WC2BSm zq%_nlU3gt2cR0<~3#M(!5(V`sz-Vhr^0QdClkfg~&~#XCAnlmPs?5-2W()CfqDi5^ za=a6SeMX)gT?1yn_JCojDXiahZ%|amSDfHE3GiXDgJgReiAW&8b0&TogiC1_E9Hj%2T#rnUPGjG+`TJ`(2poAFVa^> zNG`m`apYGoKVS|bu^msglYd0MzZ)f)a+l!LUlc7+U-Ll0pR$^xsGjG+Iz@cp0f#XI ziV5!7JNXP%zYB(nDbTrrmV!=v5#@w)K&mIRghsSR*8jtC@wU=}8iI`#l6WC={Km#e zLZ9U6vmZS!Mp6OqMNl&x*wi7Q@hGt?Di-3`T2b(fDzyP#E`@Ai8gr@*9^3_Yc5&MQj6?g=N9c=}Zbldo>91w-^r$cS`b1vI z-(so)(RpbS!eWoKJCfs&I8UQoe82_kD;l>rB;C+U67jmdQpK(%P9G@e2%swShvA{b z^z;Ke~H?$xRO{(*!TbJrxH1?H1T@6GwmEi7SW3 zO}p_+FpEON`^kFceHJrxa_OZ{QerPf$V7B-Jnj5GVp7^?V2b+|6$2Haj#8tR6FAuc0S!8wFY-0&pV>B)4pJ zjyZn~dAv(i$In%{3>vYKCoNh8_z_HuzRN8zLoyt{MQE#_?z1qDF|q3k0&>~KGGaJ% zTAxadG6QHW)A%k1<(4PjGVGI!*o=FsAt$w#s;hA{{w#h)z_DSDx=rnV4>MO#es*N> zPSa9yc!++jZ^&0U7`$^Z=X9P8V?CYzaxPFKx{;X86Nl9K8O7=9T+etmXhqG%*fl)y zp({a1cT(6althu20_Sm#Y`y?07NteW2@S;|QdFVLw4(8r2-})sY>4GP)>dKL__P(U z?%Iz-a?C>{$Q&>E`_Bq)#5HJW!q0`VN9P$S1mte&3yKPch|{0Qe3DJ-Uwr3s?98g{ zXgpit-ezTwuP6!OZ!pt{V+f?qr7HqTmPk4{oRFV=1zU&cFW9|4hUNuy^ekra5{k%I z_*Jhc=D8*N)NT5HymMpjN`dD5!Y>WYz-tMe+X*A(5qhhN$F{*lVQ5KhsSD;WF1#)2 z1zfrF-M`}MXlQ1{HzX*Ccb*o)02rpzv>CJ;eWS!1n&qXJq4I{NP?#ArJ$;`OC$(Ap zN9LiE8C^FCeJ1OclNm(fY!H9@@r3{EnWH-o)yK4o4S`<0>ympOSVaHf5uGufA{|&O zJdK)C@YDGiAe%|9XF<_)mRdR;gxj@alcWpN%A0;!Xuv@8dlyp!%>int!BR3s=ulugpps`fF)h;O4jARu#6ys{yv{S+w=GiU zSI5#ZIsilXOld)IRO zt40|qX){fQR#qmD_rwL?D9{WOIkjDz{Agx)AjN=2@r)H!K*&9hwdjO3s-CZv1P zjV4Z5i3~L6&GAqZg9nF)IrB>yGHR1bhfj_Sl6V5bYrWuXrAh?2+l_9CkJj7srmH@J z$+a#)E?8a#sn@}Xu$dF}L9JGnC342LBk!eK^-Q`iqb%b*R@CAse+HU-#Tpx1Q=vcH z&Jm1S4__%gq9C};74VHM8u@l)ethj9k0j3sd-4D(tDdz^=S3g_s@TojSTD=X+P`9= zZun-u-lSbAmQaroQJ^&5^~r@g*F1iy>bbh6aG%lEhoi;06^p2yXoeh*oDU7#dciZQ z$TS!~YHQev!x)yOuSI65q~K62lsb=9y!>-qNn$?e?IvnF5yYu#VmnA{t0=f}e|QK| zV{CMX5I;W@55y?@xOB^sR0tA}**eJ{A(+>+Zx1U9iv%J#J*6eubzv2bNV0Nqz^*br z*ES}zxH-rOLu*`RuC#0v5SXH>Ag{gTjP>$yQuzy+2(Uj5)VE-RPHqArUP2^ivxuQ^^9X%9#`2yP;0>rfm@U29c4fRYGeiP% zDx?@YsB+O*4}_&dY~oE&4-nrdb_^2TzN4}xv8Lua00KN1Q1$EP0(_JkSx1enK$EmU z4ouxhEdn^$p=&$Mn6*@H-;Xy`Y4s)Z& zx;=I%lV1bXorE^o1*OdddU`$u$U+TzU60-#D_ZIYeiMXQ9d*#}Zm{4A45SdmYP+EF z>8F{~5a}!1sdHJ`ROqhS$Os@BgE;xjXxf1&)>oBWx}zE6B?vJacPd^rZzH?C{1UOt zDkRoL?sjJL_HyC^7jUVtRV{S8yUsP!$3TK_tgw$R!ulPRG#1{sS2c7YS90=@jxABV z@X3(P1tFUCTik~19TOw5h5Sblt`#qSh5ZcD_NvF0_DoaQjew=@;!~ zyoM+!9LR{v{DskV{yKM24S|sf%rY$v!t-IOAtc{A1j|xpU*>lml5Fc$4M#1Kk%0z; zV6uQg;OjuXN@)d@_1w*#fcSTnR(uJgkp3b=Auh&*IKbQi?tj_~vTtgyOqi%L zk*P+LH3$Xq{_;i^jgahF|B?<8GlEEY?qkNd$V7A;{^V5fCn|j){dfr;D5?f(wH*%a zB8dysEwVwSh5TR*5#Xo998c^%PnX@=9Zk7%4pZO{=o7JOhGydZ;sh4Ti>OW#rBEtw3!<|{J=6zZ zT)vK$DwGHcziCR&JfA*+S8SEV-Qn)xl3z2W3ye?}Qbs>{4rRpn>fBS6=-JFx_;2!B z@ph?sK@9mlDsZ0g%BWV|K~LCSVD*0P@2r22#?RZTVfd;cUXoC&Kmp`|>mj(n8^=j* zsZ0<~cwizq_kGV5UaL?6(@vq~8xH6J8V#tv*XYPbd9WzM*QHepXLqg?tQCg zBR0_CGr}&4*bo#@HW+t5%MJ;K5m}4PHv-%o_lya=!q}R8>_=ToY%KlrJQ0NqhgbQS zcn8(c?fJa;wkKdBFb#tZ9sWI7!g#MWg$C5XuIirb2K6}`UHxEw2K#5dF>)C?DN}R>wIgM&!%bdlPRK;KW2;dqZkgbQ3AESZ(o`e;e{o%11-d08fIi zfZ27lAP!&QrhD5;+)^BSa?}!9zOCU*_ibxkxNc@PmXr0k_%Nu(mtV$=q%ui|!_e`D zzJR3I->LYUvm|jS9LKbZ6ZkY8nd%;t7oGrC}P$x2lji=nr>vY4^`jEh-yto>C znBJWmj+^dPhBGH=>;C`1IjNP|Dz5%S*!o;t2zYM+R{nV9f`+Zw39p0}Qz!1qh&@hKv8uz&9qwOG`H9PnBnm{C7f&*q!DXM79c!Ma3_++9e z30*iU|8dDlM{~hxv)XHm&-*uX2B}Rh%=~0>Ov!ep2IhW@P+b}Z6>;Usz^9yGxii}~ z8@mw)oZvebEnVs2i5e)Q!fTIRTO6=Y?|)d70w+X>0-rvi4LmSF3fwm5qM66a|Y zY4Z7K%MA$ZYy)`1W-0Uhev3?$zU68w`&7p`0S9XeeTmVN!1ON0_e+*|wEJS_oF*lG zD)m}-+dbgSE@V*@yuBlYtGP+^O+k!0-7Dcf&x2n#YEO?L+;QZkE+}kC3v~+S>7zmu z?9YLWh&vcy_J$~)pkff)0`?)+y1(q->u+y;9aKy|rxwW%*Ttwn?U1MQ{;-=?UVSgr ztXJ7xiNS8*Ggoqp&gaeSd(#)vfan2q_07W}AEGB{J-o5QZGeI!n8elb{W|qy6}qXO zgdY8LS4k9}g}A#lfbKB$jLYn9lY&l~z)oi@6%0TQ^|Ls@zzf@b*Se=nc?7w#r5ISY z0=LhmkbP-xYe0!CBtQ}$17MIUWGsO>P5t49Z(q11vd%4z9#U0ENkSOosDm-?J+&W| z5JeWp$1D;N_qFI(kIOCCp|RXHm5gZ|8Lc5#N-Q0bUw=?n9a`{aI$23XvF@e}nYE}} z3MK5#5(lxM#+DX=5QVqd%f6o=M|%}6zs>ImXDy?0o@`a_q&|b0(Fi5xN{KWADQ{iu zpX*Zq_PiX0BD?C4b zYP1z7Zg+E|#ORdq2_kq<(%$mnZL9M*B{Q*46^~)^3ipQ>frm4pJ>RRAHQ2my`G zl=;UnW8zEHY4aBMBnm8j&inM7*0{&P zNcWu1Y4TbfqZupD8`eS+rrDu#gnFOTW83hUBWne97VRH2OoCq^2aq;qU&#s@drH3s zTgHT~JV50fquV{bwL1@S68eKiegsuwD{Q!n1=nUgh^4mTq=bY{(n_(OtlXuBCcp%H zMcnK>WW77*G7}akYZ{)n3uwq9B_x9Uf(YIT)(QXGf7jc|_B`I+a4`}X+IggLUxf43 zbvCHca6bJDI7JY8%Bo+o-ur_ZS#Z}d*mR~PeXGs)>@-9)+>v^Uq!H0_^p<^5K1iO) zHYt2i3qq7vMbu?avcv7dR1ax*fLu^JK%OEdEw1c^7Rs(Qkfs$vGnZM0gfu(phCY`= zC|(yEGrPLop~4~9JACJNC+lh82}(INKn+H6pA?oRKred5^>xgK1dkJ7#0p*z)$bS2 z=)3y9t_8p}>*Etn=v)_3=ODDrk`eAqwr)Ha-;%kFWZ3Da!($7D1Mq{|pD5b-wr9}{ z*Ri5G1DV_L(Qp)&c(^{iKjbHPP!%Y>N1cWelD(vk5+z^B&`LZ0bx~3Et_yyF8og)V z;1Xi|eoZiYJ}7@^;M}8+Q?k|~6*^yUm?M9Ocn@Viq(s3Rjxk&1wtS#=RyZ2|%W@rj4ssB~?81h1iH9#jvPjH=KI zbU%qe*^V+mXi<1S0^()kcM;TFWynT`ulYN(@Mm}i){fd{dpl8=dd(gm>{hQ=FZ>5zc<0XUM>P}y$BMBwTorEZ|% z5bGl8se@8TwQEvVquExH_^a8`TG%sG2m{Tu;Pl zY5`FU-)x}RSCW)nqTXPY5y_kk%1uthj&q%|z7QSsk`cg=_r-CLAW&<0eTNUrSrnIu z*T3KlQRqvb8gbo})9YVS!o{0weTKA{HyB&?C^IbG#$07coWh0PNrf_;Wg5Yy7|lV8 z9EGJq)#)pc;U~?eA8k-0i=dVPXA{HE&50gJTja~0VM~&zY;STKwVOhtO#jhxo2y5Q z;)pReX4d));Cyhl)(DzUcsvSAhXf+&$d{eJ&`S)Z?N~UBUIWajZL-Xw%-%i_p0Bso zy)tUe3zeRJR6-w8Bhiv79<=vSj!HMD8rGn;Du6(5hPqzNPsz+=Qg`J0<~m$cpe-^9 z1reA?w)3|too>-k$uCgw4yvW)rXz=}$Vz&~D|5|jP;xkSemW^%Gh^eszphtIM+cN6 z@eq^H#k~PJK>4gwmx`G;oP!I+Q_J>1MGJ&<5#d0OuzqwwopY5cQ(zRzW?)pXJ8A{a zgP@mDoAE)x0)dGFo-ZV*Ir)gxBX~Sd?)HO0WQ$CsR+5q|zc38E6n(}8PkQAdxeGDu79Z>|u%0n+L${G(KyAQhBUohDK zMH7%zAv&Sh@lAB^d2FJW)VXoQ;PJWxY&|VYWJZ>-AM0|-1Q}_tzMKD$h(g-p5_q6Z zpGp_(N;h)rItJ;h@GZjc!4Lcj*lD1HKEaD`h_4Bi;Yi+(y%J}D8ye?le8|>TM8* z@(FcE-A3$KG6A@mRDv5sDP&%Z-r&o{^+W$!-}*y|lh7B5L_CpHQ@{lWhf(BbD>c)x$o^`!{IOVP~neg;_yXD8n z33k!tY1^4P!FPiWO`BYK*ra8#IUzO)Jh0%|wUIxQ=b8~)r7}4dxW`K!S@VGpA!SPj zYWcdkIg_WOTviiv4?x~|hf7lxhSY^im`Z!AvR4{<=*vXYsP!4YBHzml zmwa9oGEUp9|Kn4Y9HyqE9&w4bD4tcIe`)o4ex*Yg0uNCSd7>4NEMC0_I*l+xllYKz z@|+PEJE!N19`WCMWpwIOX#1`h0pa|cEGS<)+2YTiswmEk`_j4wnO!iOlQSoMi%$H zjw}~z`4{@8YMpbz&X_LDWU4=oE`=CIYCLs%-8L9PLy9*$hN&u5183}|>*a2FuJl9} z`B!xj3gm%0Ki-m`6bN=hd^tIfR(6{`WQfpDhJ*@H@(ESre{h7a{ALNTFD62-B%h&O})UHiGV6M;;fJ{*P)kd@Ttd8xkTOl zLve&&pnj{mqY_e1Vsdf7mQ-kTLl{w|>V{B4b`s?6$5VUvP%cUI3t2*{+1B#yDrAxt zM9xjGMsExq{~j7OxmoB}GnOStdL5Pw+HS}Uh!go6)Mf12_MQ%@fcmp>qz=F5IwlRc6csvKx06=gPV&vJRlvCS5ZZ*S~(=~c>phON3MQX$KH zwwe|o>~oUI`%!9GM)GmrdO;&+Kz!*NB3uHE&Eqr{gV~CM?d0VQ`W4A#F zeU*w{7S_hV=o-vx{qhKWl3iF*@~PyLrBCM-fX7LFbumy9#Uz>gC~z>K30~Uzny_W3 zuAiB<$Sh*{!~mOkqJnqe5OQYGX-v%8RP*47Veu9oeq^>(IVje)d9i|Mb+8 zQHA53-KJ$`hQ_MmyFX& z2WL@PW00Fz*Jj! zh>>ih(l?#eP~PtZeZzYFWX?{Y{uy`DTbkZyT+*_MYms8(e86u`x*kxfCCL8v=JGDR zFnAFJ?u&L|V|;OGauO^)hvG-od?4q9{>vHZR6#VyP);NAQTiX{U>M0Kd?`HGWBdY_*+8G;+97v%E+HIlU~)MW^zofRJ0_8%i$ zE3#|CJbO>K1P+}$w5iT0#|k+|XXIPEnQ}`C1c=29^L+^yb)k#)j;deIdPO(DkUtRkIgnnwD7CDvaZZP z3%fVX%h`*wKP;v?8z{!)0AdmBfur+kx%-YT{_(6fJD3NrC-%&V;UhZj99JeuuT(Fv zsQN)3vMCpSsv`Itd)|lEjHhp3z`Q3Cq)WCklpmlt{1+;arb1H(^kxzZ@Ovzu<;oP)r z0p-9qOn@r_a7(#72j(Pk)U4nyME)%p(>|J3cJ41e49V*hd5OB%f=)ZEFPR^s=J8tK1J?|q7n z1dP8CM3rq-Y|MWWHh<3d7oYU6q5o?1D-6x|B)x~Es7>(a_W!lyk0Adl#J>geN6f;K zB0op|sa~evz@fjU^uAcxR$Njj7 z{i!kn#^0E$YCk9N3!(bA3H-KM(@n5d^uESq@<==GpS0nnX4*xx#|3xYO z2J8KIDgMUn{V7GZUwg~n=I~eZ_`j3lKOE)nar{k+|DJ>YT8-@NoPY6NroVbGJ39yc zzr2@?k@ZjSWnpIdA9ybt<-P2z@8-+&?z0?h90czx|2Okx`{hr6OW^;hCrbRq z6KREPt&ILhf@J!qF|z&6Q~uNXel_~FYiJTM{lgf4E%{@|`KuuR8PWfqB;Ql{lL7sA zDG)II>5gnHKO6itqrbZR5$FGvB!3O_$C~~-cl>7-{%cYGj|&1;wm(J5$jr|0D;d8R z1nmF0AYlEQDA}3+CJYBcXE_Bb`Z9;wzIYQRiV>MzT@B>>}-Dm+6hebtsLKvMgD)8?Tk$9 z44glAnm-P8+|rLTz|~RavF_G)ns+@qo>uqw)>c+_)>x30SSI^oMPykRT@*qSEa>%e zAysKms{M&V6-5qRQ2L=TKm@~;i>Ex+jTeo7jJBJ1AYNdVtWQ|+oo@!w~>*i$vk1M-xoUBRa#Z`I>tWcatx76VUac66N;FtCKLj{ z{;(650h|#&FG8A4crjwySLwO9+?&sAnVXx*d=UttL1Sg!-+=Z>oT32NP?#|zN(7sY zbrSo7Z#+aJtsvqRtb4h!xx4pD)5~uwArut4)un)9Vbcd&Xfo&GN)_bGKD@WWE<7(^ z)AQTNc@b2JhJzRANVR>C)iMsLl{r60fpP4XhID&EdpsMo0Q%u|@sWk=(D1-e2D*0< z+fIeT!k2Ij9=j?0r7-5)g-}XZ{Qu+%D^!6bBfr`A;`?<*s zX{zYJ-LPJm7s+znsD?eo%3hDspx8`Cw^hHE%Ve@PR9Ba{!WnwAQcRRm*&i+*k_ z!E0UzAr0QRJk&PZ71Kqg{&dq-M_@W$fvTE}_W*OT3r=W`7846V(8v>ZxKJb*+W}(B z)uKgQ+7rHUGM}Zhim;+&tD-~@!O?L=AcKy{Qbnsf-0Ca%zp~|`lf%-SQ%}#)uyXD0 zIuB2et;{rJic_?6pxW=T4|{xVr%-TI7TMWGSvL^;pgw`n&0Fo@mweElFLH<)#Y6kr z)>7sHsgb6dxdDrScBI&~1Z49#TqPj%?7mP1=x^X_HRvA*uQRS^0~|B5nF{WC&Cjq7 zMFQyobjTnYre_HljVB;sh}@N+xuFjqty9x$+65FPS@#MXhrey%sEnjTRRbFbG&ziF zN+a+jnT!?6r#9h09{jD^4(!F+zL554bO1Nxd`I&7T4Xw^$pt;*WjS}COwXw~SPuK# z8}r0&8K)NeAcHxiI$l5!*P9EsU*^P+p~%sk@V>6_StYJ)Ct$vINtf8(b)FvfWc?*I zvK4KwlpOosoSs%fMGd707TRbeg;y0#F@^p{j#`CFjm-Tq0iu;xn$pJ1K;JMX+iE@& z2Z076ZG-KU}m3=&4Q=GmKrIdiovc zsdm5!jonSO3r%|eD29qiBy_1>WlGyVDkN4a`dWNHr(i zDA}OCY;7mIR?E7;f}&CIumJw3M?J-8rpX9vsxr@*LAZ za^pEys{L_8x$6xR9*589zMDxr6dK?qPC&8C5|A;Qf)-~gpbo8Nt}FI+*MFR30~$qr zgUt7o%nVsTAIQ^UnqPfmetV{z!V4dKZlit+PA4gyu?FBKa^fD@s;RU~$j*b5h!x-Z znt=%IyVjt*=8hu+5Af_dAEn^SS(R^)yPEwXUtZt+$a=NPt%8D{U*8FFRdqT3SN2?V zQd)I_5;~6o6pA$-(#!Na$u#wHu172N&oh`__j53fT4PsS2HDjI0|V>zr~oLR>Z=75 z?A`#{uIQScd`|!gg6xb?+g{j`k3sPOmm>+&0CadqaiPy(0l&98-6NZvzp}HSb*Kvi zlZ=9{J)u8a!2khOp{jCCPm%?8H6)vM0}Tyg;v1A7d(fI>`_Um;bfmFQj|Fov+kFGt z5#5>MKJg4TgIwX+4}Oex+38= z*3fTjbN)@qGD7bfN*Wk?O!8INo6BgW$sXA!W7ml-oL-^5|H!Cv!ofWT?a+qPb)|{% ztmCDaWv4-UF~cAM)MAJ&nZstZC_C5tesd6X+(F#N{+NFaOOcv@McB0q2=j!eRbeFp zA7OEHdTC4{PULTHQ><0013hirEtNrrj>#55GpgbxZ2 zhKLT;Ie?l>=Ur#l`r4#W6f{xdSKsJTi80#!kG#N1DJ>W^w+1};mP}W97~GdL=!P-c z4yZp+?X+$^9@oQZ2f6Rwgc}OBN-U=BvP`>cMeihv84ZP_J!9>Jp>!WfS7p_xAu57= zJks|@ZvS96VZ|8!i7RN5I)^V(^8h{}Pb{&dQ8X@{np_JGpR=uhw9cRR0J|8R6-_5J zkm9qm7=nQjb5vA-I6WqGWup{*dSw%1+wJQi5E7X2M?7 z{TqHc7%X>38zZLrPGwdfeD?-bPEmr=RgL%smXQ~RyHyEWD!axS%-Z34kx}&}5Rb-t z#WYLL9i|>ioe7wbV902+--bT@P<;P zsY7|L6`t3Jg&_Std9?t7qk!MD@9|1uRQ*Y&a8_}p4H8(1n`2LGI~G;~AsI2McC4xf zG{&YM8NIrJmrn;}DP*G;;hMVJ+K@|MkSq=~`4J;(ZsKW${D7`in7BO%^{ zYa5&x+ucoB5cCJxl&un;_&Q3P=BlE+l=*I`M53i*wBx-xcvB>m!tZr0Q`=d&16vW~ z4)?21O6f~IS9l)iU#S!ZNXle1UCA1CgPA4oW#M~YOj4TK(!0N>s%LE+6MZW>VTL7{ zt=eK~M?QiuoqEEDb{FKZLUZ7rOhw84iYm7l_zYIZ6@(Jj3BFOu1+`xIdf<(12z0?z zs!3CYe35&{sB_CqW1kOOCJzgpZd8W%d;<}_QLcV4jvAIWf>+6;F00pX zRhG$f4i30EqV-06iUy?K(Kd3a6q4kBN4fsL2n(88oBP4!f1HNj|Z)_QHywLg-* zbbWLy6!g?SUbS;<75f$KdGEAzeLEO`{i}L3@fOhRaHA9XisL;wMXQ(3o=hjC_?TH89I3+J6%|xr_QP) z3S62ANILL|5bv0;kkBCG+5wdNc87$rU$5=z+QV404{!s<#V46T)M)ee6~0irAqVeo z^Xj&(&e5Xfsf7K1w7peS9Zj?~n&7Z;cXubaTX1)Gm*DR19^BpC-5r9v6Erx%A;9hL z+Kuy3ff^w#!9*ZQICX|c^hrWc zAL+_pp_qlSL0g-gLVa4+3ps%$Ga&fWio%bWBn zS^eJ9`z@a*D?QzqEnsvKc{j82TB^e@`dDLmxX9hsPcByhs!=50hv1)(7kJ%9n z6U6ZjK?)b<3!CC&X)gR%OcP5QPrT!Fa2tQ^FJ86>j4q=j?Z9dBg$o{63S>o@kq&ut zYtV@_mmkQ7v;Tv7k8NlyPnc|-lU@?^WkrRhAjpogD>#wBc0P}b(4Y906Z4vE}jjXgEo_!0Hs(yB8&f-e@+BcXuLY?gf{fFuVF zY|aMZFPIlY=)}ZlX^1aJIPg^^4%~dsE%+c)y$xwH(Gp`P^oQvg&AsJgpI$mSnd+lI ztEbeMa_Iu@y#)pH%in+WwQ76?y$${uLWAlrBBsLSVEoE!0OJnjO9g{a(ngDEt5uvn zDhCe2;t;8v=HEri_U&KAN2L%-WWFFPPrXL~RgZZPizNQ&P_wM$==%+B-+Vui1ktS) zX3Bci7xw3=p&iA<%oo`2XMPaxptf+wwmLEYm!wZZNZV7YvD(!VPVJzXeIyqsc4X>?rmj~4wR z-{E#I&F(L4%)hEf_PO~UN-x8yrax{sCSrS`VpSvD+w)c{=j3E{^1NIxWXFZOF0d;y z6s15VK!w?F&Uk_mx`e|Jy^*%OV?*;zA`TmgrMuXfs3NGr@=}J4NEc4g%@F+PKrg~sg485;a|k#dfqRD5W=by z3C1v6NUveY4tcrffBe3nqq<<9BlMHLB7m4gzO#37Ei?^sNclGqu5t6ii#OzX#NvzF zT##?mO<iesD?E9f(eMH1xjhnu`6b)9IngLwxRHN zTuug|o|#8D%Y)H5STsZdf4<}p1HHw4=nUlF%s$UI4L^^?(^?S+=|AX=>-$e1kXfHQ zh$u!xUTb03!^aSp9>-mvf+59cnV>+kMOmD{VZdF5e96Xvq!WSLP&Zc0UO$En_cJgs zQr?cU%%>Mk?q4GZ23gNH?FmZdczNb3uzd=lf}vhX5bCk%`I>q^yy|*;HD>p*D=GvS zIm`#P(jlGok7~wu>rQw*Yes;d0{(EwN5IbPELRwGY;i9GtaiRGUpYAY!maPcyl?xu`~4SqkVVZ=|V;8er?v%Q{Nz5Cs1>w*Kj zuGvR(ttQmmUDH9ko2{ZmbZ}U%ql=T#z_$Ld-d@%KO~he}kLiRCNoB^?wR^Fc@6bGC zB<;bNtDKM4&&H>s{lp<>n5kQ{jzh8aG;yqeZyACyQc1&mcW&LAKAR&vV2g2U9ix6H zjqq~agXl3E(g;C(;^yTL3 zpW=R-UzNp$Q2NdtRqr2v?VOaaWk7*$U4+XnHe}xuf9O1j^Xf^bU6tuM;TLta$T74$ z1tW@ay3DF6g}(dM=^s!2^B${Rbt7o~cKf$_{Vu6;Bsri*@2M)MjEGjEG%*P3o#xzQ z8;|vH=h0cp^obERr(Q_dlW*-h^bpmOJ|G~x`C!q3y1b;p;S`Q*Q`Cbt0p^eUzz%G# zYVfAl^BeV=E9!R&2ePk>JpH_)hVf`HIwbUg0zJ$qg?C2bG(PNDap9t_-?k*7qXM9@ z3|AT3Q5DA2Z(xiU2CO)BWy+9n=q)8TMIPlG8QU6D`r<6ZD;_TCy3{BQSXuOMetz6B zna(=3XeewW6eSjX{B5x zqI|c(Dd#Ws){nIL>^uTH*K{Da2$$24uzF`D2g8ElxPwSh~f&mVf0dxIYQmgYn6Z;Eda0?Z{cpqj+`9fS?1{Vc0{Emd%O zLFwzQhc9p8{S(k8{;$k0=+(TS^?l}bRHw#)J>K?52s?scr8{DNaDg|f>m#f(Ov&m@E0cRttT zVz!@grW4(ljx_O$PElP5>#>>9g!nw3TCP1|Yr8I~rcLPaWnBv*{~GwE>Bo;+&NW>X z3|x#}2!b=B?NVLJQIJbkkL!?>-BB!Z+^-@+sf2Y}B`mXGnNOd4YjoNe=ik*VdhS0T z%fHEUex0Z)d(3reT%yJ=$=3SR1Sd!T+_P0_A&p)0ooLfW&99v)fh1Cy(?N{Sove3g z2gf{2iHMfTZ~S_ZHt-uEL8|}*RJ(1wUyWlrK0WEgH^84hIv9xldUn1OKJ5hs$~-e7 zdGlQb#(>Gse^f?iyqC^3FciDV-%R7F``c?%3MNE~(x!rV`H8s*{UJzfa;EBIL`1H6 z%I%yX{93go-@Z$Y__EnY+gF|bJe~`Roo?p$sT$UIWbY0DTXpZ*|+-DU;_kfz20D$B%3Pip5xX6jp*ED({ z0HIU;nqsFHTbf_H+>0rI3b#*S`T|mwDYUuqg_Zh8`1{d2n3j4(POs#;oUoA<^NMim zFs-PDq#<@w+huWilCwsPl4Smea_6v!-yw>BEG0eyeS(}0)o0~@9j*S3T8}Mxo=?du zYU32Yrbl!8(g*7Cnv0()t;Hq3d;I-*Oj_?Vd4Bq*V=B=pFtB(P%Li^8rsgyVC5Oyp z6|ovUTL{XpX0&-_X|8P4o1_dx%!VnX2rQIjbv5IVjg0&heA^WXrz1~VMZ)d-oKV22 zj7Ij8$AlY2PC3V%&EdDY$J$j`oM)F+Svx`Na=*BOjXg}{_qOr24)Jsva(=8k5X5CS z>&?jH@r6X--mPw*-~Z}1EFvR6Jm?F%L8ejp#E$~r&Z2obB=RWoIEg{EnQK4i`&ct1 zsHJya7&DLQ{30cWMddPlszHNTWTUAu3Ch9c$-HB87fUYETO z5L5^V+>zo>)6;_j<>#wv%gehJ1lCDL1}`@ipm-Q!uzRRcAUq#!fep@buX`+beV(rZ zA*bFTn}V?&}wGxhgEQ)cv~^5i@cRK9xlM zJiRMp_9`Id3a?3%&*)%guH5`9Ls-2N~Zf_RnnWNnwXx{bC9F<#du(HoMHtgX~U zj+n-Qy-13r!Vd!)$uKr&e=s<%p@{o-SHdmsq0zP6jJ1`>_~S?q+a=82B&DO!8`c>n zF}`#)<`-*g{`&RH)(pD>R2O<=-Ne+Tbi8vk7E?$_WPq0=!Srh}8_UXzUTd3ac)7Lr zo!-wTnhn!0JTwfpi$Bhn74KSmSP6@hkwlIu@vyTzy9QU3)EvuKV9X%b2_*x_KRd%_v9So#T@UMxSz8ll$%aK)L#lW*qG7uB^^YTHlnRP&!Po$T#D z?y~$5WR*0D-6BIrUpvncb(RpSba2m+w782kR##RyTy)y<$**)SooxO-UAbHbAPUcP zj_{^P=&GBH_}2Ln{2(37nHG&|@vZq~9`C79^66E&>V_k$NYM6l^AHwfdE$}kRZAc9 zaBssy*GVM0?`nZ;MOa`(dDlK$MERtqr(8l&jx?5iIHp~-HCp!^7Fao^y0 zneC-v>}S$Rq@gx485}Z=E8koQ(w#LImb#IKKfwjuH&X}49d7<}Is#pu3~BxzOAE&W z1FyvLrJDnBOgmf}Ij#=+Ix3L#HM_~10|9Ez>Ol+jl2)zerdRL1Gt>Lk>RzE*a_fxk$*dGY?YFX6=KFkSEgH{kJ2NF1LbXEf?0R zeg7q|<29nsi3;Cg)DD6jV|6cxjV6 zSqgR?6|p;Y+q7ZVHtu!4tqzx_S~&CE4!u|i`ZjOjDvqR?kgY4AEd$0Atr3yu8zJ?V zBT0RWBuiODIm{qV10uag2_v0+&V=k$qHszczAKb+DsgCtA}pP{2_5Cm;m)ObBMfub z1c!)$gIFi%ErzX5Gbaw@gHGhDLbudjaEHj{i?Tyg^u&~9m}EOPg?YqbbKCly@F&7N zMAZg3Ldhznuyxb~dMi2h>U`9%{o1*Zg<<2A*?o$BtR9D0J_|zEv<-^>a7Bx6F8;v^F7IuL zcti&1==Tx7t~?Qc3Rce$t+A=tYw0?AHwjW;*L5{%{WyzYgs+MQD^>UqtNk;YN}6HR z(vn!aWp-Bhu#K&b^}*rW7&s(`I#CZk<(E;IR;dmdchfq1IO)W%)ax@-7qwP)S~8Be z44ax0EYl}MLUxhPca-kwC9DoeiW_W7$)ulBqpk+!L@bh@@g>scKBJNJw;e|M3Bpx3LjINm=$) z_VaZW!M?{VBd6xY%5cfM2R{oYD5q=v(h=Bm9XtLe+s;DgjLDHTPK}KY1dl#Yr}u5S zpvOyo8~*l`KaCpo!KFcZl#K->;U8EdveZ_D_f)Kj&7y=U9&o%&o_aeCzOmK3zL%2CCP8=^$%(7Ld;km(Gp`IY^wOtwc?wQM;Xk-zvlPkc|bg z4e<62b=#eM65i9&*?3*^Z}xp||9*XdM+|2|l}cZB&Xw>NQmM#dGzU7-7Z#V1vc8b@ zV&9p-mexY^_EzlK5la|+SpVhV+={8Tdi|glQrx|A>iqN|qsc?P)zf=#MKaVo1TT&- zlt%b@p4w+asEyEJ>NbsO{(-UQx)py6r^u%kMmi?iZ&YFD2hryoiPpG16|7i(E`r`d zf{F0>z_o3?!s0VLqg?LA-);99c6J7SXtO2I``3n>Ao9wwShuKeef2n4+y&(-FGZE| z%QBLv<91Bl%`K$S(McSKl?ZC6k_2Yqq=@{CA|!A&G7Y5_Cp)9zV~#^S_<{(VFfMul zBX#z1H1yT}2MCMMNKm6Yfhmas^>{B}sZQqg{g(A~Y;1piampEdzdBV~sFe0xJ3)XY zf?rB)H^L=3`!uQpR=*J3Afc%~{wSP#AwGeTkBHdJ3#$G!Rzkl1Di-UTD=njnkb>_; z^#}KR%ESJ!OV`AI3ub548ZaQ3f9A+i0aHOM>gBaz>R)?sVd4>%*@=-Cw=8V}D`^cK zt=#274m$azgbPT4LkyP3V0qwtEIq?ts=ihhm)-s0+SCr*70(+%>m{d;!98L#8D){; zjAmmozCSxp85F5!EKUxJM)A1?L3s2-7X{=SPU}+B2JA)8WrG{chIDGDv!gEH8O5Xc z)PbX*C_3OHjtXTV&#VvJ!c)ftB#I;Z5%yw#Eg^+z4I|kdd_aCSeDBhq>CKL{4Y5|2<_hzR8^f)>+n1 zxkFZWQB=57haOwRlB1_^Zt&@kSdh6tbXRwvgitc?KX>dHBBZx_F1#Rht%ulJAgRLb>2RoocEG!<}VDL>7P|q4?D4^u}%2=jEW21pJBJ+>epGrbC zaG*9m3AtQ$*R%K$pO%5wOU+ZIoQ9huPGF%H+qHtQK?uG57?XWU2K4r!UAFOMD*Evr@{G5?(mM4fn?cg>m>>S$7KF=7DbRZcIgjQ1 ztlP)gE4g-$OWMUeWAYk0IaW0$Ev}`hR%U975>+rkikwjO8#HiH^h2asbcMEUv7&sz(t1_!Ga#o)ovr z9uuiZLyW^g;*ffoTvT$3+p^=HGK~;hTa3Dss}nUF?w7}qWIZM`_T6yvJ7%UlG_0~* z>RI8Z3|f)J?T{g%n`}8GJd{bUA%h_^Hu!%R#(XVZ7RkNT(733%a-M8@s~lfmS0%y; zX7QJO8&^_)A{QMxZ61RjPvq{7uD@(fOzI<WBC?sHc0i<0{un~9pB zCJ;MA#Syn!L>$wESO7-yvhkOb>qbu zR03E3A09Ul3cBo~A?c!7G5wagnNBZY_|IkjaqWK5)DsX+}kUBoPNdJGwg>(I%+ z_?U9#81K=aRE1<=zrRj_jFUi=JCh_lJf<>fVX_&G6^+WK^%bSeypV~ncO_MD%Dc>B z7AnUbdEcC(R5uu7%wDu?Bb9rDR;Xuljj$H!WNJ%_-xox`u@y{lQxPt@7Fk&EydYd~ z!=4oGg}MvZ1o-zt^1-5y46bPQU_x}%lVW=v-8_g;{|q|E%AddD^@eI*xEZo;_BO)g zV?`LYejW$=C*N;mP#;Br>mJz>(1BGi_!@S0Rx2P^m0!?WD_oc(luC)QoV{0M;nc2c zN6?3n0}sErt~vF?l58o8Qr|b+mb#OH;lOqmmtB)6Ph;w6uyAlNcof|2MN_VtT9~}hy&foj=GvnCc%g}?E=n;erZcC>s79iw zT|?8!ZJ<)JwM7pLDVtgi#kbKbF`0jWGxV_Wm8*O6Dx9oy4-)rt+EK&?+cI#zzk4@W zv7j&Vv^r=)Wnd7jgnht^q1X?^)Kl=QImC8Ak|Ck~-?fm{!|z+vrRJfrR?C(a7F05N$>OA8ZXyTuF7$9oF3NRCF3{PK02V7aqI1 z`LOMRh=QN@b39bRdjTU2(4$L1xmSgU0Y=)yRdLmi{G9WnAc*Y?JyC1xijtD+WSSXA zM~xVC+$Av3fE0txU*3OV+z$adFY^yht`hgI=xbyGj6sSptv~ps zSkYF%vP^XWCi`bXR=xEF0%4?VFSu>*CsRQ=Y-LhE4?f$swHu1*ch+`j5h8FbQNvD( z%n!#}ist$Lso=h8$f>q{7uY#?dbjV|mM8tT?$waf{1OyDc3y0{>@tuKJ44cnC``1U zcleE6JO)e{=@uc}g+XTvx)_MBGrXVPf|I_QxTb;3u?0Pe%mmBT{(E*E)fkm77)}o#NmXTWc4A$_Q({lfV z9JqZ?!15qi)v|{5#%pom&9=S$b;XfI|ao&Q3}`hf*Tcz zPcU{obxvl^nsxZktApEE8x=coOxYLQe2#tkpm+F!wWF((9DVLrhoJ%Oem==^)51A8 zqa}a7Rok2KT3e#&*@!!57u^G*pQZV)RqJk7_k499gIO|7uH^sOrBsvtn%US`r^EhX^NTNYmgsFx6O;}O zTO3-+`%j;nU+sNG_h_%+mKqej|UVxd%V=#NeFK z_d|fn@%FC$j`jFz@c!>^<|}XN6Q9bpM!WX!%QQlNm%nH>k2xLZY6k_%*zluYs{bRK zFDqbB!7TmF+6k)a>7Ge<9tZyL@W%s#&GGmz6Rewe`uLn`VtpPoM*obTNVjhsnJ3bM z2hx$7;N-lklKsEAo$(t+E` z{XH^drW~$r?w`w7{wh@fiBNEDBD5e05}3$WFOXFSW2<1ItP4&1gqRdamO>V~?@vOS zr*u=3BSxA-Kf~QtLQ+^SOjxmPfrx}sQQW}2Z&9Llu6F{uFj|gDy49OG^Y_e#h(U)+ zu`n0a<>csvwe&o_!LqJZbvLyH^Wu!JYrG%=8CNQMc7r?Be#4HxnU2r>Vn#hoJAc50 z!&E;!06Sqf;Dv*_i4!BJj*LMz3mk>z<`Et})@z;bxdh_3yoe1+H#5j1R!C~V9QvMZ zfa+QZjVR}%X%%c6Y0Fg8581kI0Bx$E021BP@C3Zk%fkdSTQ(%LiMR)UQel9|Abd{K z<46iwvH_DhT}PMUlvj{-(r&=cy9=|!FEe~$O5!`2yz80nTIpv(F9>n zr)$rNxR;u$orMSBSO#9u@BGdo$2hkCC30(RC8h6hf3zvsJZ}Lq>!{yzMot}go0_kr zmaYq}8JoAhdP*P_U&UBu>Q~k&4oy3WSsD!YV(6~T*^P9TtqY~H4QoyyfYfPBz~q?? z)PY|Ja>d>_@8(B024qfKL8xEhv#i!nyLllH2N?U_Ns3}fOTa)(6=c|-=?9v_KhOa&b6`b(TR-;r8LnqCa(Cwz z>u8bI^0?Z817wHoNT*o=8X**ku@>I`Nr0V6k@k?R7xUsE+8Fl7!cv(e&0r#;JZ18z z$C@q8bUBh=$ zk5+VfPxO+Uu*5@TCbVTrJ)B8I{xao1GT2O%( zG+;Ol`ZW2SlHa0qGCgR zy+fbhdmJIZwz~Stg-(lW3$Oc?Zi_XB_g-dZWhW$Pb?;*?HwHh`iiI|17!+%wfa5M&b$b?|MovWu0Q|#1(I;W zr5^7mv1rWgKL0!kv6I6?m&5Ty_v@VoKL7jE#EJ?lA{G`&ugYN(1nDn?h#(Mn+fa~- z*a%zOVm?Vgd37b*n&n)JjmAB3#G_0a*fal+k zv-<^0hHndt?5XsLDJj1Ho>?eR7PjPr!@dkI4uSK)p_{_OAH9!;gGi|Z&U z8Ch6RF)|`b%?jv(C#R(3@Oe6|H<|(UNr^vyp6~YgA1+I}n5G&cT;uW0dIqf!^7{=> zUyh87l$Mqb4i5gLl#7ate0lz3LWj2HCiM98=TD<oK(@IT4lc*pXsG*_J z1o8H;%FDyE0S5=y(a|xT4Ym0dH!(RG9R=m~_O`-bV|NmwjbNwGA8799m>Wxn-@WBmkwls>=K2F9p1@ zxjE&>5h@oGlY*#dkxrW(b3U`@v|s_|)m8^MT9`piP0hX(%O~7{$JG}1zfRK$RAwrQ zEyQRvJiKV>-5zhw?EDo8$^WKI5O_UAIjrhpkp$8`ft8h&H!rWWvAnFTZo31C=~*-u zQ#(@<4K;N_O3LL%OI1Sy%aXtWwC0W*F`l{e<>lqY zg#~IUXPH2sf%$gNJIZM^Y-KI2m+?fhEd${%u&|N9)Y0v9iM&OAp=DrbX>LZxz`(%7 z6vzITb~N(#be)xvk&&6HoZ^8k;el4};(^A^&0Q0paO{T51_7!exuN&*^YJ z(eHALO-dgc8lqCjW3!drW~Kk0xDtRM zOuM>@3gD^3!oy#bcc^;c`1$#r%;tq3uzKBFdLaLD>Z+?_@=0(!7|r2vjk-l{+);lYwCX@%F*NL77}un6Yi@W>HZQ7QH63uJYfxf#8^MYG=jY zp&%^c?UCVO!+9rX=ZsxzOG^hgHv#WQ`e~fdKTM2_;w3|sgM!w+w*LcfTpmwX1g{VA zf*+Evh{a_8T%?Vmut-Go+X+ddQkuW~Jt9Vs zkdQdW(f@{V{`)srXOZc@4Ez4yTV3wRP0ebrTb}&0Ec(!iT;yiE3W|o~3 z2}`>&;b5eI%{slV(LFZ=gxKn8dTHb1oK4}Gxx@F@2RuFxW=%v{Wp#D8f6uq|^?hkz zr6nbQ&d#JoP6>I24LKC$Y;7+leo~>JIwdAS+{I!#dW(xg`5;J|l|R|XYIAaNtt~F1 zu@I~5?CiY1J!xpD$jHi)?|(iYARW#xFF(9KoG+d2+cWGxc6RdE*qq1e7Sz=t+2sM; zbGBU75&qw7k8OqOTmxPU8Xg(J>CAZ_XZmn>z5heV?{zbHNqp1aFXVuW`XyQsSa7Q8 z1%h&NQaXWK(jI6%Dk|z`n?2Rm2LQSuArRxw*f!P#+moL6@z zv+#6b%3%IMCq@<+=ChPdgyT%lTRS_1eh6B99CiGeWG!#zrvv zu8xlXq=E3q-T=6$=uofkTdi&fD(Pcg-v=!N9lzH-wW*Jvc7kq{drp}y8Jw)AA3sF8_W(Ko2I-KW znuNmWBo+*({NDTpS!& zSlCzsUd)TY{?yb|m@oRqCye#{+yjRDgP{cl1-rPbvv1GOvN=303V8y=`j#@%PGd2c zj&H!AyI*dEW&^B=F4kilymD=)6=WgE;&Kod)~ZDpE31 zQba<&h%+(;#TWpKLrZeMnN9x6;_R?z3@ezPm=KnBadp)ywR-{ob;)K4gHHVU@BN)* zXU?6RoV=fpuNP)CE+)nY!1XZ$5_VS?m(1<1`zy8%c7ihk+MfLUfLprpx#3|5 z!2wWtZEak1G`L3a@LD7t9UbTz5L37_L1AG~;|N~QK-AuR&kw(S{pWdCSXAUWO>k|A z(k7~eW_JzXcXIL)5O6p$1`)Aj=Sn|*{3y5)6%k3$YR9`6y-lvFn%wG$5SEumBof0# z#r-N;?|dTvz0rPGfQw#N8SI*pk`iiHq=3bqZV&H&fJE^N1E1$#h~G7UHX_CcU|iDp zN*b@{d3~`A2unz34p&6%XV0grZD!1hii)jpv;GAnA_4MjsIdFHI}-sls3rI38zWMe zfd%B|h=hZ$>}qOi#9x^$>hybJVq<}s4@LN8zYkD=bwZC!%X1O&@h3H^+5wo3yiqov zAMfLc|6memtC37huqMA!C~&}#VdeWGzX7DBss4a=czoF2+1@`JBokz)_zduB1Of#t zMSNppYb%tuvlOf>lrEmn`RRNS{iflI=*gj5GPiYJ9|2~9nk5o|*l5jY_4`yJcEWfL zw%$1qru0~cdR0`>V~TXCg9d~W9}KNgCmP6ejb;UDiG&R6rzOx-^Iz0@0T zowOL$H#axmY|n`YAhOKS@G|m59UzpH1L10g9wv>z{#JNe=p9AUf@9xY@2=l{(#uJ${|SXM-JEo!odh; zt&BG(C&&MJKb%A~EIl(bH7(8gmZsm{ki&F;u0V9pnN)(rpr^ZgoFAQeIHvzp(Lft4 za%W`610FEV~+iakq8@`3&SrCZzEIS#y06f7(aCTfhman?bN6nbRT)Tdaitc?cg z_>2$?^Yj?R*T^))6!FbFcAoKoaDJiL6c93EdKy@ZKt;@sN@>VNO&`?Z~_ysHl?q`hH90N~5Er^>T+Kq`+n$*Z{R*=Qa?d%_i0X zLLNRhF)a-`*T{WNd-$>*<#Yyw#W+lN(MRf;&ot*MB=qIUF4U>Nt$$zf8F?orIp78Z z9UU2bOv%f<|7BryHT+ggSgDaBO4}~n$m{kuBm_Br0ssPNc0_`He3?YFUgcQZnPombUlY!+30XC>+AEkvZA^E1|Aq26LaI$B%w5* zEMzql=WtO2Xt21rIOP4UzUUCWE;qSy6yX8k;`2ZmXJ==CD;cP$#`xCakS;g7+=nAj z^gP1=81_MS!?i_e18-<($j!~gcaB;j4GS5Dc(r=NJt8X+;{Ddl!^0zBlccBpC&up(ayx4Wq><=*pZA`OpplcAZ0_LMfthd3)EO)nJ3YLTF=lT_ zJ`m%Be7Q=hs>8QF+o?{xjf+bS%*FTuKF@R238PqQs;Z`DW(6iV|A532Tu*O%y~)C$ z!zuLbe9w7}CjI*6#^I`oq&rx9J8tyLSY<`0ko?rt)XU1Hp~mnR{~G`d52bO1q@}H= zQW=Cl`$tF3k0w)CEoM}KWPM>Fh=!a_X?|dS;i|Y)zuRMebMtL)Aec8M=Hl|Qz~|=h zYP)M(01G9EO*Bx5W?-}M(S;aJ*qIl+Tw3*KM+bLhdeVXNCjoIL&MWlD0-{E#$e+M| zlKG75)&Qx&RpDm=0RaH`MUtpBq#2Ifq`!1<`M%x*s^MGSCDhn=Jv{Bd?JY$p!($mYjr!X4%!GvYeaN-2v zL+a@2!ZS3uU2cd8es;T_uLJ}HL`A_}Eg*^^RCRhiSj))Bd^4v`&+o{AWF7bFAp0_jgy}}&=jV5HyanV``90u5DEnEOhCA@8 zQp6ks*p8j0+{d2V6KR@{BC<#-Wf_kDAjtJO7z#4P#ukPPuNKz5!QF##*s`JsAV%Y- z7Ekx9f2vfg(xeRQw@njelKJNh_UO2IK=kzGkyozutWW;WnH4!nH#t%Smmc){7g=6bhc zTx2mPhLynG6>@km9!mf*4!9Z>Ejr8&4i1LU+*g-Jc+cuCE-pX{DM8S&rR)RvAgpvM zK6|_2G!LiqCV&XIpb0;yD5;$;!%Z*654I z14DuL%@k$}Vl+yiQ60Ka&tw9XTFq&NG?WN3RH2-}~#I^YfytVD0r) zPGv|_xZXYhSkgmScxBB`0UqtYtY-qmLOdb=Z_N!4Y<$#ii&;X;nO4aicZelD0Bx-i zx?`Pq{Xh7;)5qe8iuhpLs;a7r5H!@)0RS94BEcn8;N#~9!NEmf8QR;&Q{k^pB-jjw zgoajh`gC6kIrH)=j%0D#t1Bzh($Kuru8Jy0M`2+A6E3*es*6%jSJ&3omd|dB>z>zq z8KR)J!>1#rnT-eiXqC0#Ku1f<_0Iw%L8^=Yzv$1$>s>)Q-sra#lHRW^83_r*6cms- z6QrLP^4W^2s!NNDCfQ0uh|Ec)smHCCo3vG#TVN|v0fo$*oLCqbVk0&BJ(&l!)GnsY zI_7p%(Fnw-;}fpPmzc-Lb7#A_m&ZUOg)Z>lK7LXu&@(WgV2q)$Lcp=LHG!x2-Jj0G zqEY6LLjE9fga-Qy<>E3uI=Z>p6^rlYf~m;qMOqn%YPGL; zLLEec?0tcug2dWfl=WugOfp76nud1yXWY3}F<3@+fIivV3()8Bd<&WW@NQPr%7AaZ z7Oc-}NKVFi@$}@`*qAo?M*lUR0{`ODbDhEaWQG6;&d~KH;lE(Lu{paLkuhS4Ho*czBWyaIBgIKXP%peQ?wgGBY|^9ERNh>VQKW z$%zJl#VnctIt?x@f6kgq6>~5##lyq3EC?TuUp`}gyaAvJkT}2`rE%s#^C8_v1`Gf0 z|EM&|HZ$0fS`uwZ$pP$lc{uTtB#)62FC*jCzn8nv(9q_68;g96aXt`s1{Qu&-ozgdta=Aa*-RmrCn1m9iWUtN30lxeGI3GnfOx7XKiEz}`gyHFb2R z#>a)0Wu&BpUE9n_KL&!K0U1Ol9wP}*P$gVKv4P(UE$~4g(7t_#41GLo^+3h2-4aUZbHfr6O@adO4tc9zK&P zD9q~jc##;@3joTR-bh4L)Z$lmWclxdgN$Dg+?xM6s=jZJCE1%e6T#>FHY@bSKLEnN zXo4@HpwQOV2As9hmOEN4C%Y`2-C7d`oIm z=EG9CEX|qZsoB}FA$@-DIDGEElPPr5L%fnCGGoP3X*!b8Sh2RSFfbS!5Mcn;sdOCg9_&4PE8(>Z) z3;;2H+p9CSy{!kx<5W1?-Clln_LMrG#r%1ifH;6PVAX+#IK{@zO+{5U_X%0vUSE&Q zC!2B}%LUw&k&J9;DpK~jJU5r{em@F>E`2uH0|-UHr)p_w`Op0W%0q#?uW>q(%n7>) zAhi0r6DySVx}T12ZsOvUa+$;3WP6Kc3e?R&?o?G-3FK3kK>l$-I0lTg5o2(0u+Goc zl`kWx7#N}7LXg-2FED)rQ?C*B4iNBa?W)X+-3AumX}#|?fIZF!Uxz)W=@;Bb!8d_bNK_1it~U0zW12R?;S+4X_Q9Y0Tn7?2aWgq{0uA=$mQ@X@9utX3WmoJ z2>Rh~fmsJ#Bqt>F`)6!N0dfdXIcR7 zAMbD1TOB_eFd>}~rBNGk?sr~Q#@n5Fqr3pQD%qdOZqv(N>pdnRe6iD5 zSkUg%d+6fhompJ+5CG+Z5Wu{G*Rr#<-2!YXW09}aF$zF0qK-f zknRu!6zP(ZP!R-C1PSltf6woXbM8HNjC)?3J@(l91#b3Q-}O9m&QHyy1Q&zJYq7zC z?g=ez(Ubl6vZNVZbf9=(ylnZhUo=jr3dau-5%G?&TfbK3_ne*ghaS|$OKHNn`l5I{ zbq^(@q*(uW4IYh+kEa<3@+$dX_(R1%PC?l*{%q(K2*)i3pZ5LbEy*Uhf<7=XVAm83 zU<(-0!`A}@gHM6hm2AkkfI|l7k3~HI+Ni^qNM z-dp*&Am}rx9DzGKsfX||mseM7{08`@mUmbzOV`90^|&YnVh^Bt9-KZrYoV zWy-9~%!eCo_n4WZ>y{7$KXU>{irh1l5+?s^b1M=V9lyQ3Jxpi$n$frdcoz8T?2C)P z43RrYvz&y4=y=q;{QLCg6^fLYoFwZ51hYw(JAZ(xuXBJ7$7N0bt z`QfX#!#oGfAitcK$hG?=m!t}a9i86nV<^Kj-|ZNXWL|2)=NC^c;q(3MaCHDbsqA6# z{KvQB3`HR6&@tJ)?(37jbbnr3%OMG@*Yzv=2{LZesJ?*;kS$WDVJ-(UMbAj@p-Gu$ zKakafa69FZ0P&aA*9U)_V;|)>xuw8ZS%>Hc8#kX&Bm*r3~0K)mkUSNQqtj)>ZW2QXx3Wo1Eul3mzp zg3k|zUQnEfF~cGX?*|HBA&qL9iK52&_lF^#|IV=rL9CbU0p-<$YX|TPoS;EJTxwB* zcVri8Dk|;4m%`I zw3Ihp7aN`dMe0UPMMXtRt93+x9$iYksn%a&9hxZ7)))B49e89=E+392#mB{2zvzj6 zi^$2y!=srzEDaLMCB56EjId2d|JE_%%*;&x;p2^7#VcsTDhQIvZ$z6iAG^nXlpRFF zr6Rd|mxt~N`i4-kjg(Mn8SxAvU94g7#mW^1H?C#a&tGR}Xc=MtpienIe!St1ift+i z?V-tLh{vjTjmwI!y^zb+aq$9f;TX~q=_|_7hzUB7_aa}u*ice^t9FA7 z!TjNd?A{ulm5jVRGNQ5f(?^dEi%0J`R8&*|fN1|?Zd_sT(hy*bMYkWJQUaG!^ts70!z}5=?8Pr>Cn;sC|u@3kcJB z44cF}w}%1R6MyRU+I?5)ez%-@`U8k&bn4Z<*~mo8h;I~Jc6WD09<88I*@(yh?ZK#= zj3NYD&-~)zlV)w0#>OfEVik~}u;5~D!EjpKiBB!s@0my7>#odHEXssGZcWRJc&e2z zj604T8ll)>rZpG${5h4bt&KaL8tYRXZSAH8cqfLD#<6ht$nYpCt>1u&}$4=(s(xcsE-jSPR^~rZSB@Ca+M`T9s4o z+6BLY^Rlzs?SGohZ8oaYrHk!lBR<0meLwl(=Sc)wxz7CDTmWsJ=8bp@sE6pNsGdbG z3YY909O+ z_(9nF_wPN*HcRO4#br|<`7s|WYn!CdN;}}pIojFvn;6d#0ZMsQJbdfsfBxdi?`+-`{_F9vl~w=xKaq z%M!n3;x8^Ps6!)U2M#_INk2ZjOH6{qH3##&QKRKlH4@W@$w?d^M=)Vv^cPQqT!f8? zXwH#F13%sMGi3~2!;_B@b)0$*=(OU!s`3U^p%oiSbtW*CxqJhQiy{I7#7`9>m|0ka z=Ow3YU0q#MR`13h_+FkJzL#V;*|!QE9RGcC((K!$rKw3KqeWmTE$J$tiI62r^!k+I zS8iLI$b-$?+}zMVc}vCHU%ohjj11i{ykK=J5i|oB;|~|=@07EA-r*sTT5=vsr6(gJ zvr|bZtXbLb)jtM~`V@cycKDQ^v5rnNPyo)el^2(n8G4EAthzVtG-#giCziW>f56CTow+Eu4G-k(?Ce~mny_i&*i%#~dJlas_A(M- z3QyAqt#|+^Rcc&agdfipw8H0=SZPE(tf9w_#i3UtYc_|6BdSYYRwMcC0VD+W10%)= zibjj|0Frd5^!kV48_n=nGxPJGpx*0iNE{=_O$-m0gJY$dPDy%@_DepSKOD0r&bRhY z4~Gt+n8ToG-Ww$L`cg)zfsDr-L&r$Z?DTy6;kybN+BG~cY)ni58Q7NP!h8`ajaGfx z()aLFFcxQLBk7g0O0Mnf?0B_)$xKR!iY8GBC3uGdU;j!c8I^#DAQ1YtkcbF+RqJCZ z6mkzaosZA07Y1)_x6 z$^Kv1xwvqg7Zw)K0u>Y#`Z080ph>m&Ku@dphUw0!dX7b@9}zVo8HbXN3hNH8RB|TeLeSBRZT;J3zBk)HB2~Kc+Va1 zBYE({J0HGH2K_mJ|2bkys35PrygVx_tBdj{D7Pe(lot&p27!E1p%>=I#Rv&707k8j z+?V{z^&G47O+|(M!Q;BiE2OjEfzU~$_wWx;-IFjJ!&@(qV z<0_aRWcN=B8IY^U{LXhdFPUyAeAFlXJp9Fr^_FB`;<`Ehqp%(!JyH92sPK7jI4B>ei4*j(5ED{LT!}% z{W}^{@DnU}QxG2)@lg&aLt7Wc)s?2FN9<`V%b3+fI9ZsV&&$tGq2P<4u0dxXoVcji zpn4Y3+bgAj-NheE^Y3E6XbW*b$y-=#kxP3IAVjh4(rl%n)G1zou6;^dSY&smQE1kP1o1LfI;l}&Skmh<6Wutq z4$sd@_VfSTh@k8U3JF2UF7D|G=2Xs=KpFcETyv-QS0XB^l{stY2ePDXWiF{4Uf5he5(Xz84kk_}ZPq`9^w>H=g@=COD=RDDY=m+I zHMxWlYVqBe-rpa=uEOn(LhdUiBxInccRR>$Z*N1TQlvU2yUgXzbpZ(&(8I&LhLMBL z&d$wIL}auz%^q&2fEp7zEAT9l6A~ic(G%i=2ROtAI!>7?m>EdF+F>Ht;7b*WJbnSE zqwe(27m<&}CqDp6eg#?L2GYP&Q|x(8aR~_#_xfO>ga2veAi>8FSZ@Jf?A02cY1;Kc zZ%D6~mzT$tNpg4ul^9IH)VRhKQNvL`+-osRuYo1nJcB7uyEQe8!#%cJ)|nH$b_d_^ zXr(5J5R!GRt?BA&0Vnl*%0OXR+N>KV+HyiI8L+7(wezUhjdQ zuf?fKR(|?;_aFy^INH#wG!KbR-khxyvMtbmwdbt4EqA=ZNZ65!W{Lc8GkUEh{zjUV*3jS{TVOc@hBAG9@52=#V69-{1}eEDuzhiU@#I{bjZaz{ z`fz%bPBD`ziI>DA*;)fwQ%h{dCMEL;@VC934x-AgbI-fycT^=i2<1B(S;;;z_y<g3C4dec8@J!@yu3s!!Sr01pK1>FG0> z?0S-tlDQiZwbH?Vy+JB^+u-Vg?v5Va8}!=?3~h}0$hKf6Yw)0@rluz71z5NP zi->pZK%3;3PhbUL0Hp!%>@3L$JaFLnxxTvk_RX}v;!(KIrVqtEFQU!mJvtDLYicm% z$L|~K?W;8-v^F_?WMFy>`U=26f-5-F(1+${XUkl^C&RG#C>;E-0;36tz}`3EGV{4Q zJOBQ^oT2O$tVhNc>pu;S zb(&y3?btX%et7=su%WDL;o_HefK;5UmxxCoNc$Ip4gW(uCjv;$2Qsk|B?-h9ofNZ}KXnHx|vT1}r> zf2{mCIV`;9-c?xh0j=%z^7nDQu(inVul0jsPcTo~?_I@$l+xPP_I6~XM2}Y@FkP1| zlNQm$Q$YcxtP+4oz2U+3owN=y&*_K`mihgIe~Rx|@6BXT&4B$s;QB5@Jg zk2kAt-@ZLjYQLp`%io02ADnG%ZMFOobV#@a@_q&kj6_7HW@e!^rPL(vs8MS{m9>4N z_4DKeSa{zRGskpCwzkSjht4OdftQz;Z%0Qp*}h6Ldt5?Erno=&lr==!hF0hp6GOc3 z+N=dDkBL7BC1M;^-Lf|*FFpMgoW->X7+efE46Lj+K>W>8V=hQZZMGhWn3>Vt4ixM< z^ijA!TnHV$s+3A&?VtnHSEV^{ zma!s!mL&QyZ^}~k`PJ(jZ;n5An`g(gu#l#Gj0$5_UeBS@)mej#(i!{WHmeWmX zitn=EB5O2x9YYwXu8z~I+KH7Vmq$#88EaQ8OO}Y*(`eS#(9|?78~^b2vU%{SgR1KI z=eH>|elym*9-;+MX14{a9-aT(O;jq&gTwZ7cY=N&bM}<(5bk=VSz21!N$|^E=XVjZ zp8;`ud<5U*EhpgPK!zK!2+XNxWI)f0^Gvt4PwuUzA5&x`>l+$a4Bn=$MdqPeDN?0C{tV6^V;=}Ei0zq>nNq+QJ&s??Co2skVbNo9pz^)2#O z0wXB&*4EY*7KO8DNhv9=t)|9cL?-pW*^w;)HVoW3sOabucKqnk;@o5t&|^LKLc)vR zydmr3zY`*>-QEZ^#wr3~a@o+&#ZbFZ{Ak@!eF*5)orN#5^#9)6j7Ll}8y6H?FZ0q(Vg+@NN6C zk&=v@v5t>}LqbBrW%6nVrVZh*TMnM}z=)G~;fRyyCChdk`n2$ZDS0Rc_tU3OJ@ z%bl%|vBT|Em2Y$3@7Nj!T(D*lC62tID4G2Vggrdv>APmjlxq%Pp4HZ#cF5UcW_iOw zl5y|bi&er)IG#mCMcQmk)wbL2B6;cnqG2%e^;DCLmb>_R>kcpAHXzy8&FnQMqu69*2f9S{C=;Zv#$THkZ?=q1*Sz-2pkr;ZK_I zFp0x{+3{2A`Z9&Qo-X`%yd|%xnG7FS%G<~#%2@gQFOhYYJ>uX7EyO!0GTbI*{Pd)2 zzRCd9+`kCx_7e9hZMN4k5c#pRwA9v4<&^&=JqAwK4)Y@*B!Q55gbrv4sOfHS z$iVppvZCJbfy*E9JMQhl-ro9LWIvXg!vy)(rpry}{bw~;_ zl{YtgRwf2G3qA*_Pf0`0Ilu%drH2zR?!6SFZlFDMz}Eqf0IZ3E~GUEfPWOuIP` zaOpqR(0C?SLU+dsd>7M%1n~+;;bESEc6R03Y?%|gcxT~%1^;3`7W3E)#84QpgF+ajVVoGY ztd2)PqXqKfmzqWP- zjK=BBb)dte#~>hk|Mq~FZO_9mDS7p6o-=V|`)iC}7|?V1>XkP)A)Q_uk8Tjz&hqX4 z*MYa&?{@qD9eCpx75q=&O-S(nNZ?IKP*mi9&bu8J`kRx^U%tiV)_5>Mk~7ny!p_at zIwRv@RIDqomBm7mz|xRuc0}a@OkQrhY5AThiQ02a+ikSxm3zH(4Ld|xsfO!6E}wq* zA+q7=aMbf87W-q)#nnh*;Qpl82Y;y#r~dB?D7nap|HVrGyE^UpvmUr#=o|9y+P6w` z?aib7oSa3NC;^V~Btv!jbGVwb0QzV`an(PhAW$5Of_{!a?z=wI@*N(Q#vCdzedA^V zQr2_fM*-Wzn4U}m0cR5VyuZWC}PkORQN#}EDdOaz_;mFjsQpgB4^lG{5z5LegCYogfJcXtQ9=m}w<9b2LS76j15nV9TAWPHEA^OrUf zepR;I+Nn@U%B<6*k%O`NH|o27<_dC)Z^g~XMyfyOPf;s}Kfe)`UNmwhvsPUz?L2aqq|4A$!4TLvt-qMCH{bR<)&Ms_R@ey;Cb#OOZ8oRihRx0C=Xj6ST%T)-*_un6JNU%3)kV?WK!qy^Azb0Yf3qL) z!n1i~abf~HXCVne0U~F@J;#ICV9kw-#h1P!ctdrN&wVHYekI!wXuA#$dk2ku@1xlo zf0?7k7&cm3Tt9HwniAQ7dSU}?{fPM*#C501RKLra>cHBIy8T)-xQF5{b8Ks9uEdVR z-qs!tjv&8AJ5%nSEj>(;rIgS~j1Rfk&4KBSzW7SiQe#)eLcsfrc8>9f<-lI=uuatl z5+?8LKSsGs*%S1Nw;~%sWm3^>3XgUA{2Ccl)A~FF>}J3kS1yWu zAHf6z_1jL!_oZedOaGBipL*PuU*Wu+nyOdJ;=oA|gAIdDUI{IVHiMav8F~Xmjqj(Y zDdK1lzQdFyN%k+Pc zdG$!r0j(&m_Uly-_opeL?10&rFCRtze)^E+<&JA91g<;lF+Myle$?T$t1a4|w{2#; zP^Cqi+kj{FOL&ooKn{!HP9O`R(XH$Ie)s2O2?z+{NZtztClXh@^k?J(2oKw!a5pD% z$x~5@xEJh+<2(duh;^=-d_W=R+>kJu%Wwk&c#LFrGW9sLomO~@X?RUI3uQ)eW^1Gq z4<_pWmW)GTM*Ffz>?hrME1sXXjerS^tCM7C@YWC7lB6uKa-pw z|Eb^Fl%#Lm<@3mC#KXKbO3^|_nou$D>^r-<1jt+wvijS=&dv+~*{0(y=sXj3Za$Wk z3R648saipC^QpvXU}j~NKHrQi3O>toz$PL2f*uvM%_W$WV?ifL!uc%b4~xw{z=~~- zVV~N^Rg)1JPZYf}u14(7&A@91=Y;Zky;{a+f&`sv#T)o@FM`iZwzC3uqxjMn#ufaE zCHEdf^iZ6Wg+*!IzkM7mm@?ND}>_6{!hmyubt}#_itb$!hii>%$s~Qy*?MKH| zUS3Y(rAqwJ=gV|>D)Zg+d6XP$uAN{Oi)(JeEZ$^4md9k3tVq$zKhRIM*b4$S!_h%q z_*I0F^)Bb0mLmI~&{s-{WZYw$&{|ucZi9Y+>02$T=rC+s@OxSwKls;2<>v`hAK$lZ zS0r?&{1YH7he~G3IlY5%H@z}^#XLiAj!{s>INSB#?OqN#akRi=b%SIczVgs;dj8$PwG znxHBcawR%Azx9Hx5^bEGJK08FUvR#K<}g+RBlkRaugyt1f;6ja`+cJ^QP2?2G3Ce( zS&W`2Z*n-fSVinc@E;2XZcNP(0-8iGJ^VcNV3daBk5aUXE#)TXiY2U;2qRBH`%Vn7`F3I>A+8}absGoJ7JDi2DvnfyJ@wa5#W@&@jHBKf6iD0UQBv`AUvCzbBPZ(_@KIl# zf|2<=^2JyajI>iFeD&=-hPK*xcRmi+=v8?n?#Lr-2AmNcPMmptBN%5)3){nK{am4foXx4s-F6e-pym+XXu6;LsLm*#x3> z-YQ;lz9-wm@$Y&5dKyB%0p*v6Mm7?peRMQ5Th2rwr#SojYdE+`WoiZ6eo)A(6?PH> zaS9aT-G{lJw_Q;%*5K-(JVCwgK(PZ7e!$2onAsV>x0J>lBbshqduoS4yP4xug`w%S z5^M2ZW_y0jLRRB(;%_B2oOdEMe?M~-XxwcBz@JhARPRoX3`HD`t^Oam&z@L_3EGT5 zbK=mx?C0iEeE-V3rc=88?D@U4vd4C8P^&L&wpqH z-)L2|*|sjW0L-AsD8{(;zgM;?Iy{j0Yu^e)C4@hR2}y5^}T1*Kz*OJ71Ka{+rdcz zN8(Vxqf-RL0o@pusyHSLBOZDyWM8bLoSG8Nfwy185V4$bwK{9Cv|w6{ntI=yWe1byFq9RKypTTp=c z#e*|c%1siyaRt4VJDj}nEffk&qD+XbXi_n%%}hKB`gUZzpFz9;t8&t6+2}{!Z8`Jj z1hKz&99Z9#1gW6Exw%HXX6hRMaWO-MPKcw6sXkNJCR^`tA;cLVW99_<(Yi>)YZlUhxvul#l2V33jMZA3EtKlcwW}` zA{gFnD zq|u-3M^n7)SR{!>@XfB+xVX`>0k4>n7?ETw9eq!12;>AQ^S+MmojuL$2VR#@7|p$% zpulyZt|r?!6Q9Zc9dnhift-sR;%qsAoTg{Oljb`Yn!UM7zXJb$tzswo?W@Gvj%A{5 zic@ktkc(4*$LJ*eI%1e(u$`qUDu8K;L+<_wdSQxWQ-$?M$uT)2X7)s(N}Eum!l7qJ zqPzxZ0zx)}DECoXjQ3F+Q*XZJ>lGgrcULIrI?Ai<0S%WHO#PF}sGM3}9&9oaUH8-V z73IufJ503jZpw>mF}tAe5BG1LbEgJ%L{+XRGylE3l=wZCwB#&rNk%(v7-t+rjK-#(G*&(E&^8i!LIQYq7S z_S*Ow_fnqVqkOGmGj+mx)9*2Q`$Ax13zZ{rWmv14_5qNyFBJxO(Y7hf-wB*cObAP# zUEtP9nto|?FTqOU#i-HnedOjv^te5v9a{?J zjw%7ct=Qbcu{ukUg~n^`a|o8|*C}oy>qk#IZ23x6Urv(hxPvH}MV|8B>z%DZShXnM zr%9U7M0-24^qBL9`-Qxt#Tk0Q^XQBG6``XM_hu6lyDh(ftb{f{Y$rg>1_CeBnfF`b z**yd#qS35&cXnhr3)KuhN}VQN(aqA|1*NBQpX*_PZ7290cd@;_Hv4z%%{3igZ!bvS zj2Cd+I97ycmK;GCA@MYP_Uh`Ys7^cc!;;nYT1)OV(WR2w{Q?F$K{(X__F(=BRib_2 zw~cvHM-VV%{FV+$Ei6d?Duu|b?gjh$#4w2fS)s`NXP#I_z!i&J(UnsTN{`$STzGQT zTnM5ta!>vHG_&h5#y&^>PFeERJ{PnbJHMC4stCd*#Y0<9dl^PeGzpzY?z=Mud-e{F zD5MQaRmJ&%wu(=Qn$7}T!8!Ua5#4x1WF+MX^zx@Kwz;ZOD}is=C(?W*C#*9Vz7KIE z9f{oKzT@2cfj(fNsR{VqfGITjPj*u-_lJ+Ljc2+ZQ!6A8FCViI!di0wSu=L){HF$Ha`ITCnnaLY-GQDYvc7UYGi%||JHe+2L(DMMa$c}1^A^3g1=(X zskgo^aC3j>;yRrN=ns!F7KgIbPfOD|?3ROa6dkz-2xq0%fKx*2dZXJv!{?kC7qUvv z3;1=gN{aMsCulL_qEJna3pm>tVJpu{jGfmzg_`IPO-KZRjT9dH2ixEn8n65Q60kC; z95)<@s!Y=_`y_eQ01vk-g1~+WKEs>6+c&JTN~58f$JRRip$1%?0l&Y+=M>#q{djnI zzWad3!(au&<-h%U@RwbjpMUYD$k1a^t|(USZMyCr9k-4cW)HRteDzx~Pbxh{!YXVPROYWF))< zs6-fu5p>)n_$85a7;*YKGBOE-1Q9Cn1kbV9V(2sis!P}jA{aDqcdrYAlRr>8ITeK7EKH)r~F#(ZL8 z%b_u1*3D|)e`InYXG5e?NkIXJg0~p1Kd?%~=-`a91P}qSt$&nzVIv&PeR{8OjCsu4 zry1w5VAV~Cb<{5qbN*bZ~^QX4N*54GoSgYWg}wGM=^jSxdm*9$H&LOJ3tTB zwcne}`}{xrp$EVl8yhcyH3HIkK;8nqVg+318`TUJFp7l;O(G^5*oIcw;Wo*17DE#3 ztlRT5+V@1m3g7@BDgi93c*s?|74DOM+pMJxb`xYSYbMwL1r|V9{6-!MYOxs5*%0o4 zzk<$Q+Ta=25y4Y&4con?MCj?Y@A;PjEQ1@=2`-7vvBv*Ak~+j}5K;eT4i78%?|?=O zE|jW;{^={1Tx7DBV2B;3vX+%bNpe^8-vK%oIQ*2p|KUZvNVvXEPZNK{aORtUOg3R4 zW__ah{Ras;SN2P<{_R3|rFnQd?vXILHfk2H-Msq-;EiFS>$q8xBuBZtR{#=P#f~e4nSnl~n}x zYa;uZs{jJm+N~48^}3dQilQkl|E5u1Tr98BVXK zVA}!2+aUd16?g-FC#b|HKrkF`^jT34+<^HVsL>_5aliZF0G?PLgl?vTR&3SjJ#Ksm z(j_#Fcv=H3K}b*m?>aQSGXSn*LH9L3;L)T^o#-Hq-e}(Os`?%zXmz(1q1jOhxx`uU zWm8lhB*VVG!`4MhPTle`U|YJUG0*Y^onbW&Nqyl>r41bEkTRub9!37qU{i-bENmo<5a2_CeC2ASL|`>&I;I z$IHQ71o|vcyIxgipt%F(Y<&R_s+KRpNstXWa+@E#tPx`D?BlN3&!WZ3XG71H9T6wW zQlwTu{sE}-yu$@PWhQ3l?i7bFou5H2fR8vBNQ|d{@XI;jS}_l0yyfq8q*t&C_*wx3 zMMvO^m%55ysrhV&AwvL{UtSIJiw^)w!xak>{0?szChZ4onRAi5~Y}DEX&K z%JUC1os1SS6RY^Oxy-u^Mq$dxnB!>{1s;hV$#s0JWgcz%R#Ww2rSs{_akJE+6Hz~f zk|HNwH%CCmT2dEGekIgUnygCuW#F-(f7Or6r$Jcu_p z2b9fZd&gspDHu{{CmCmV;s)lz8K+zNnW4bQSPF}>7^KaPO;VhWkXx;FKE>X|FhCId z8#Gsnus^pbprRFdnnGamcDZZA=(xtMO-5;r&*TaRnDDit*jhE6=g8^1nw4zTYUQ zhN2RYJW3AZSzkDPEFHNO8`3ytzJss1JqX}kSdIy4EnCpnGbG>ufX*6t+1SewQ>?G4 z9Lk7B!au(mGdtG8%%F#vZ!=-;ob{!y4Hwozn= z4(oU8ZCXY@NS><0XcA6)Q419xJ{E?j)xCpBprr{2q}tF9432vflLUmZH!^<(cNW2i zPGm+Q2H;abMVVVq6pZ)`yj}$j)nvvU_@auq3gmy7UzuU~ASev*%!r3&E*VMHKGHB! zX7MnBfCwhIi62Di2;#s)PPOD4{RXiiHYO&UO$RB1Z9#6Hkq7bK==+EZa!$h}CEbdQ ztsuBLD6D6xp;6Ic%pgvJ@KkB_uy(eHMFj0-lpE&K__It*U$yp6tB?C|-Rxr&Rzdy; zk#rYKBvgyr-njV!j(7uP#KaGq*py>-EPws%e88sfvtrD3;(178BLOkxlCW=o!m3q9swnR&e}dRCZ84TI zFuuZ=h+-7Zclww{mGoI zqjPS2lDH?xpjZZxg;&QBK+mX6h zby70e353TMJY8XP5GGo>NJoGT%YdabEmnMw1xa$@hc1V0+JCoVkUnVTXtSf9((y)= z&ea+mK%UOix6)mV?nMrlNvU1Z_I{Qm>I5wDi$jFQp3^t>s~=Sfsc^uq-Q` z+0N7YgQlDry*}8A7?hTl z8-$U|{VFbj2u(HS`M2Zb(7A(U>10CnT~TfcVf<-P9X2q(#`kO2xd25T|T?I;O@ zqkg0-MPh|@L!KZTQZ6ddQ__Kl^tfd$TZX<__F%N0_A-b^89~VA-{+Cd6P&~`#!#s? zg;~H3(-8BuhRHxxZ&>tnMKNd2Wjom-3My)vQM0TOx6>fa(!D~q3fQ~%tG<(aJTi2V zs6&{AWwbn63ac^%HVoU5`id#Xxg?praU0JUxtAeRlDSOu`D^VLjP-y6?$g?S3}PhX z_eIi;Zh!k}TJLbUHznXzq1LMBpkz+N*1GpZ%xX>p47vDkPj@Gj4~11trlO{xYtZAM z^kHCDglQ)eS93g9xQA03k1mjMgNyU|CqxMZAFa&M5TwFckiFRor+e@x3K~Bu8k%k# zv)6r8?2LF^n1po~4Dq&=iICLI(nmpm57(#&>7Kl*4vECp%NKGr?Dq5YtrsMg05920 z%~2VauCIlB9>0Bpd*oNmxN+FP>wtM2(BiG$r6ydaoUJ9oM$rFEPM!_4bAtl)0$&i5 zrgxRJO`e<7bKnsS)2cvH&P`h<5xkWgP8rJ&5 zkqz=ES=Do8NVc3xz*06Oc!S21Dz^i4=U-s)y;#@>^!LFY(%BVNs&qydvZg3?HdLLb z-YBxjr!Hp;d4+c}3^%YpFaWl7L4X&XIjOdGP8ujuB1TH%np6t9g8ud{4VGN2PPofX zsmyihmkq&c`j*doy)*J%zMUO?df*ikJDWw^PeLL^z+LKZ((TtQDh69@zGt|E@g@^Q z>eiGhf0p};fgvDtoBT<&+#`Mkd!2omi&)M3yyKI^uYP`1rcE=G`giptt8i0}LjK)0 zSv8HYTdt_wtMrhP0-gLM@^2&Q?*Y>n>&h6n(ONZGBg#(`p*1kq=*nig25j2|WN{cs zT^-A(txYe(0!^5tC<4Q=WwDm;G;z|>B~uA;gx*~727T(^qhr-R!4)3jm7bi0q1wJR zTEmkUM#>!|2^|HmNd34%9R-r(D)zuXpM04e5!e9-I#7M(&*a1$#`k@j^)4{J@WOhE z29d5Ij{2y&elHulX7icI`j^GVqOO@b5`!oKU5@B)G<-2isi)!ZI5jIBVb>^HT7Q9F z5|lSn??;ue2<}(>j%p*VejZ7Tj1C?yF6js2S<**3F+RE)n_^5pgIUX?01^j0Rg~q!1U}D3B80%G{GjkKM%3l-6T^T2Fj>a6;D^=owP zjGAkIq-jPVBGeN7g!ypX$n*@aXD%YzI6_K?yyX##6{1$@ob{a~0$uUzWS6JOC`j!s ztV3G*)&u1L{Xg=5IUAh3^E*@j$I($S80u}yMRy-$%4DITT+UY5tQD#ozp_(3ER)Y7 zALD=#!>HMlen%!3b9})rZwW%G$HS6+y)|lI&P(@UGEAAD z^|0z!*`oD8e!0ZqU6pFQR#gupt)~Doh+V40zYrP^N9th3F7R&GL%l|MbAn9MjbIX8 zRkLtC8M)hg5KYIy-)c$fX8hn|toFy@uj@37c_>ST-!LWS;^s8QRTe*&-%F5C)HX9V zunY?YFM#3i?WC4lF;L$olGR(+iGGBKYTm1*)oY_w8Y^Qn(192Akq~^qjs@>8rpqD2 zBS67tsHw0;{;83Tr>O>Z5s&?HSK0^KA0s5*R_?EFjx{fhhy_kj9$P#Tw{f+U;9v{W ziXu@%1QoP8s=6;&B}dcJ3Fb^ZOWK(*p!pGp**##ro|6 z+YZ+HuNJ&r1mz<#N;nLr((v zy%(%ncbiKra_we#U3OuWXC9UMh=;?z2@30D z-9FV_%S2?du9{YJ*liQqXIHM7A9q~{|Dl%wo^dzve=&phKqsL!9;m5mYFfT=%ljFh z`{+Mho*Eg#1oTeR7N?R+0S#RkadTj28ysM$^7AjnrPe?FH@XtB$-Ki$(Y;}s^kl9V zHW9%7l5G)}?>};q=A4OdiBtsRg$9c|G&GMqkScEZ6TrBI5Q{`6L+A3*gC~Vdc|V{f zsO=hRXWI=Ybw}VIo`1KgOp{l`i2r#95*13qoW(xlMudYPHz7F*E{ssG>Cc87YZXe)({qC9p zIo}O1&O`1dKj>egW+-GS!R*`IXT`5urE$xfc3X#gKHBIlY#83mSzC+$?>wm*3+e=D zEs!uT0u@{;_^;nDX%18O|In@F)~XL4z$P`=VqBT0_^^Iy;~r(rEXyr7tlMpZ>luFW-NprT0(|;yh0c zeuw>o@CD2&vye@%G5ed6espvMX;v5A+Vy{{e@fp}zIpxnR8QoI`shxdE=0W^mOcDr z@u{$|z{0}ZqR?XF^5FZo{r#M*LR68fIqqv^)rayAz4Zl4?5=!EFA`-vygVVu`@{#i zH~5=F@_6o*5mrUAt(!~G#kXAv4&PtizrDSm-aU{Swdx)XePr=S4|{g0e5s+KLF>~@ zXr{iQK|p(8s@lT*$LRMbIest8{(RYD^hfo#v|I;UxYnmhcx(UEYHI5JU5xKE^Y_JiGm|${MNdypX?H}eqiG@QFe?QGRh>nbFfZ@%f8Lpk ztLrnIXIw>QNP|*O%|@eAD=S%i@&AAgDP>?KH)%X}oT4t+9m)9g*YQ=5b$vx^MQv?@ zTuIXUD;yRG}oedK@p)#zw;oxCNyeSCnpuv z2bhT%6H^lqv_51rh{}{?o9|d!GDA&aX0quT@EP)PH$!^sS+>rVkRl7Oh=*CV53cMNA%~j}T-PBa-@h z?rY23%dk$gWy3**EibU_k+1z5jm{a(90X36*4C0b#|F<6)jY-8IW3dIuRJQ=RQ_MI zy+u%+T@C-#_>VUEPE3s-92` zQU&DR`<{LFTI*Sk4&!~7=M7DFOa)KOMjVdsNSR8Zw8R#bEpc;>zn+4hgJK7GP*2Rl z@YGh;)&&I9`;>}(1nq%FNrwH$?@wSI!h8mQ4ULS9SzFtZzYvuPaFjRR8BVN_yom-_ z;~!?p^Tb5Q2s77zasQ&FrHO-sMIpfQo6)Y+-pbM55@TiRGIbBfgU5qIy#X{Cz-1MB zZ``=Mxp$&^G1Jon{W3cz1I3f}9JI3l5n+3CQ^i07*^!_w{>2di(pH+X!3;vQP=)Rn z^)KKZ<jUL>ShFFbkbY%-NhKGSm&&rYsm+2d~?iQcp`od*nW22^~R?SjvUlmZq zee>mJ*u$;yi<-JxP(!6fqiuz9y)VQsZcffX7K-!u*l1*5Y^%@qh0ewj58?F% zL8dl(3=jdsS!4!q@UYNjSXkKKKYhpR)pK<8^ZVJ{d<(X7BZGrD#MmFhn)>?0 zN+c%(0qx=I$?jVq`qMme-s?CO)=p%4d=C~jF*Xs#$-zPCjShfc$~`o@=y>8C3>~mj zEUp)grR|#i?UU#`G^uK;;-Y2_%gONrLFsaaa<|&zJIV9X%_+hK2P|wHKdlKBi1|*z zPP~2s3RxHV53H=`06a))Em&SzN+hP!@A=^Qbh6fg`(R?^zdmHvaFAA0Qv;Y@7xY`P zzmYB2%*|*W0 zz`%fbG(8FyoJ2?s`nTVTzu8v7KOCNzDDj8=tQ4t$E=b|2x9g^2g1+g{xDWX%7c81@ zS$em+{SZN2pu$z(20X{WR)A}nwz;`kTgry3ofd=30yM6>7}hRyTwG-4G(Y1e|sbHcByz0W!mlLL5R@k8{UJxB%|J5f=XTYM+4{D=uc*+jP9Jm|Axz zG*?ktdY|4Tk?8H??M*X4Yl6l92t&RyK7I;VKMfY++gn>B0A}dK_f1|WrzngX9)73@ zDQ#MPrlTGIPZjxwqdhAdB?#0@?H0mw<2>H{SzK`s2JfV8xIp!kBU81$d{01~v_ z?&@Y1An^;6ca^tC-!ekQ>8}8o?Cr@)h+iVy588+}xKR zvq-SbO^tl~yww}E{=hK?0D+_#L*F4c6_l^@AnH7>PC?M_b-3%~WY6xV579KU*(m?N zYMkw(R3D+Q>UZKmu}baA zwJqflVKu(&q+&&@+50?Ro>~5Cj&Sy`xuhcLUPfj&@}^|n178Mq zPw{Q8dzl#-b8`!Rzu{WjI;?GNDGv*C&?#xGZEXU-+>hbygFY_9H3QSzv>F2?0LaZ! zuxKTU^nRMRPcLl^B;wyX%Z6%W!~Q2?%eLPpDDU9*v#W|J?2jnp{#Ab?ZQOD*g{zhLQ9=D5DESA{FpwqGvBFd1~-HYbvPj z9a)b8Knci7ayY{_Z*y?H)8p3zuQm9C$f!REs_Dpjo;|mrkqFb#6W0l#DS&63`498| zyg3uIFTP$L{~T7@$5+NWs;W*Uytx#``ZpT@^c!HxjMj~Y-2m*o0H0Bz z0x237xKTh%ivW;DXaau_3Sur25@3{9SFLAl*|Ha;8A+Hjf^$|In zGBSv-u$x$yh?i+B#(iO=`H;Ng&d%&6rx0(Du}WXQ+{i3iggg~aE8wzz%n`iFJ^Gn> zl=*6gyaUC*zkRZO_80Yz$f*TFIFvXSL%1o(HsqHp(yC?c5qbwbN-~(k^$GQnA%Bx> z;nBbIlmgFt8J{5p2lFye^Tx$46-a_Sukt?I0fc`UwW}JN>j3&)S`HlRy1GmMWs_Qc z^1DxoN$a&ql|l;)e%E_$eGl79-HXNDbP7;O1K<5VQB-zRj*B2*^GrVg7df%lLW;t2 zh6-W3H$_vig7WC21d->+XC$GQbO9l7Brq{Cq3``s$o05$i(q88o{)T9pWiz=X?NP{ z%UKnW=o3$*Wa|pu94snIXd)pMbi3G|i@6wmt%ZaA#3>~y1vD`~uzOEPQb$*Zj2kC$ zAOW*HmLLrtI=TrMG61i@^d-Ee>+uLYR88~;Y24I?=jWSK)w0s^?tb5A)Ye{P^SNHk z0qJPC_YWk;#mx<@N6}Aa&M4*8wbdGfb{7!gS8`XnbVxj$DVDI7MUTCXL%c+67kDR+ zTvEYQGdn*AXg75@q#8N^6ZnRhr5jq zA69M#_a8K;(Rj4|8e}{~ktpn+4fl&mH&)LHg#A%sh4gXJHVPr6i$v`CT1HFTf!9ev<0CqYlz#pPvj zagl%MlG3N`_JYHe%3#`{l`MvmYUm z%~T)gMtJ668k%0kfc)C|<7X9d?ANRaANJ!<*7(+mqAn|FZ5wDfB`|q28#HaQ@QC>0!s14AaOF%GeJsSPeYQE zhCh56cUgHHWS%oqA2ts4v@S76rA=rks!WdpLp@zJX>~epa_pZLFRWrDJ zLED(fExdzBU0XZi--~-+i#m<6*Ykn1)WeVt8g+^Olt#Xl zw>Q7EFEFS$@lawGMvq3na?iiHeDILI1Hh~b+wzD;_*f+s74%Yq-{*%(B~4sSAt`Qd zE-;@!Qm%J=EzSXV#tMOt2NgA(EbOacoiD5%asf93H-iwR5Y2}@p0W)Hn*Y|&OCup~ z7~2>C%K%VAlYvQvGa0Uy5GsL^Lc~7@+odmad-h`+V+}hyF8CN3kAdWl&cpG6qu#Y1 z69bdzgxJhKDFa;kfsesQ?Z8aZ6XvFTdwN^I;~e5)DYf2Oa8guWO8WNuHwt=ude2?} zUdYoIgdZd1&R@9MQgtrPb7z!$nnxnwn(X7z!txZWujzNmX7vaA2fGN0G zzOfzCX6k<16h74=1urp=hMF3|+qfqf?Kh`QTEyL?FR>??-dppX-2#QvM(z(>eC<3`8{Q7%MP@0lclGob&;Aw!$ zvH|Qvxl|&`4S-h3G-MDYSHsFw6)O`_)y|dQngYK zh&y+Rr^gd6(xEdKE{kE^kbEI@J5Q1HDJ2RyzFP+VHXv*TSgouLPL4le1vtA*n@std z^IG%2Pv$~*baZu{?RWdg9v>b6RS``KqmD2xNDh=n&OER-xqtY@2;Q0|N`Mgr_qm|4 zHe8k0?IN)->B%`2Kf=7I7*qtY|!Gpf$Msp zl{u@Wv(YEYN?%K_xUjGvI3(&Lr5-%~J_|nn!unPyFEFkj-6iG=PVo$W+f;?sv+^Nwk@T3Kvw%f#qZ8=CpvY#XrQ4 zzKrfc!Ak&WM3cnqH}aOs9n3%s(R=W&^c1KZ-ae46h1&j8p;i2&1esSr@}$JZuDPKJ zT3KSq^Z~{i3-jvsU$}BgYO=J9bpJH^*MD;h#(v`?z4#aeeSv^ZT)amE)NkHdU}6f8 zzX!cJSXT@F>5WY-uwgJ|>zZ6#Tyas+Vyu(6pwh|=X1FX&`JIfE414^0m6)igkz`@W zHXCbvZw@#+IU^$yrZh`jWrI(vfnuS_jkBD()=TDNX=Ur$#7@>gF?bIqD8$g+PfFna z1os0bpcBm&BI(KF92W;m%`#y`17Ql&9aMdONLnJ*L}QbkYy@~GjFKjb3kho^EA z@-3bYrVchFq_`V-|8>tE*c|`Klav9_LKz{$M3yx!gzO4zjp3k>T+Yc`i3yTk5M zN>Nn5XdxpH+EsKkJf%-!8=CSL5;kz z3jk{lP9C@iaHSg^A1BtTNPMjNY#d?x6$gbkj>p!X@J#u1b#W1hBFNzf*9>P%?vmKD z7U>~dlc)i;%T9q@d@oC+(oqvWOLzu&U4pxM?*{A2+mFD7S!JrAKjI>@7um?mx$W}f z-?EvK%hN4Fr>(5?HX9q5DDR8Spk+g@O^$~*u#A>vFLnHv`KYC>?NKX6zTc}D_i?Hj zzx%hR^%aIjYBNMOIC5PBxqk5dw@F6}0i4U%>T7|)9Gv;cxX~)dzz8reTu^>{#5gpw z^pNnA5c3xc3!eE(?TVI_?vte|hXcs%RV&OyL|nW#?TXx1JN8(it|${1nl zv*;-#BBeZq8mn2gaVnP;9uOWt&x2xQ0Iy>+!M_+> z@o?zxLAU(;=@VWDx6|~}h!_4!y`gW1!J6S=?g?C_rlwX9cSlDXGy|!SHw=u#H^5q^ z9ti&VbQ`=E^sutBlCb$LsGzsU)%E}(xPBW}$}Qj=^iRn}z2L$EbUWxh7D1i|Q3N?A z0_6EfI8JUT$i&_6i@;JoUuB4kfdy3iSA_Q}yq8+TXRHQYY^&qzRFz*n0{?)QCMmef zib^>H0UuZxxLB-8@)}=@ z3p-IA=*agcdg7k|9HiVs<3IQ~19fi0pAoSuw(I`{TBKOE%A0EnM%*-X+8B@+-r0-G zdhj~`IE5}F^~Eh$z$c!G!)tVxaiIp;WBHA;hrlh&PESqkTU^{JaBP5I)U6!~lbeUf z7`SY2iC0dEPw<(FXKmbT2kKV}cb5Aam^WDfTVPj?ne$$vMBf z$7TsyVlvln|MWK+979zGbuQzXT!3iS9dIs;>;op_kX}8oC#^|4fAxD(Wz`^OVIjdJ zzodTi>?BM@aD5ZR!^00`0`t(r<3ljq3^u5#7k?CV>=mS%mBo|WCr&(_ zq$xOHZ7YbZ+sh)znmsEA6w&9!f1jt=r(*SE?u)*Ehl;LuJDl$QrgBf9w>a7e(FpH4 zF%`(QnE-%XP-i?l-MZcWKZ~1-8?s!jJgxNP^{kz(4GoFwV@s5CDfUm%Y|YNUC4Ix* z#V%ILc)Zaz1_-K}nN+-^EqgRcpUaCaMg!Q_GC@k9`)nbDuA&43`d< zoj647smez|Rf-3kmivddo6x7k&NQ*2T@2(KJ%-BO63{SocqW%B**Dxj-rp272JRNU z$q4ix$3ME?x*tsCuK5TG3Cij8(YdH z3g@~^ccz!4H-X(?>6-qg*3PGi?5w4f8W;#c5eYD4tJ#7Tm|u&kisBp+*q3a5KowR#?IukyLRSAio+^YBY}Z+ZYa>%Ii@ ziOoWPL)KaA==%9J;7?T?dZidS5RGBsAq1M5>sjcS0xA0qwj6{UeTLy#Kz1=KUliW< z{E-Bcg^3Bq*s#@v{1`)v>M0m1*z>>AWspul?KD$Sq~iK*HzWmhS$v3McTy5160~yv z_|)9g&0~y0S6W{B4Lwb#$z~4p-d`eJ$|cYwj9K|{3|PZK&n~XS^ol1~m7FJjT3K5B z_-wrm<_2hJsDG(v7<8aA+HZOy2|X;xN5X+w^alhKSq=H5Y*g$}yOQ*i?^DqA2NN6u4$NQ<)9Jp=y2cpc~~`>_y4( zSf5F!F-mK1=d_K3T+oUdOp(GVsj2^ht$Hbx>Gz64q`i;&`PE&29>cg4W%c9<{>2P% z-m|I*v9MHEHpc!TndDMX7y}cHa>j>WBU4cZxFnVCu?q4wh@2tohOM5EygjW=awBrz z)V_i19ds|@1;YDT%?+J%(#@Lcg6hHS1F+gJBfhp4qk^Itq@hHx-Gl~`AHW7>{|Gfx z(n4L!cCz%LwVDIgAyJP*6O>Fx2fOekN>iEjANlzJcVzoE?GFx=VVy8#L|Pi^TVqTn z76xHf|J)2}=tda)W7uCvrMO0pXsvf!Zf zVEAI#G{;a;$$sf)BUlINfi_)Dv{rHlB}KMBd3V#V)N9-%zDTI_HDn3W;tI7vHAUE%P$G>#B1ey-us!MJwE->V0x8(8MDLL?_ zJ^FnK#pBMMZjUj)l3T^*g*o0@W*e+XQ6xq@CFcC9mj9f#Im2r`L{i=Eg`z(LzXTjH|>_KbM8^oM)- zgGkx}QIfAS6nN_BCXS@%N0}m|B=GXd+Q}Uq9eEhSH@G4J_mKvn!CF%rqHjHg!7{oy z4cX}Y0NNINBzihaT1u%6RRbYx!h67kSW82%WH&_o;T^RvpNO%R63z|9B(QRKwdh>j z+5<%}`|O~u5TG%W^$?fr`o^!Wz*YXl?lfJ*C~JVz%~({$gmPgpy%euJVmlXzA*Ep{ zDRY|{Vp4y7vWb3ytqh=-Dop!WZE+tg>^<*| zFqq14ZS{{o>T{DX|JPloQi{FhGRaJ)W(MPo;HCL7r7nsc3Xx+1`lItkeS9OZ_ zrtfDd4FcbR10nKbz6T8d;n~sczuTa%0dH$}Fk$ic$LMe_O31~i-4ciwy-6*Tq7Fu9 z|7~i}KxtOlgi80Z4-79gz0^(++#94nU`Q|&zdz>sB_%6yeRIRX@!=2;YV?QY4@L$C zis{}R21W*aJQAyE*NXif&exacuCD79+5?A}aG@5@KYx0=oM)u1a#^GZQ1IDxI-YSW zds(mZjzZ#?^y+1=E9qJ(z7g}HwujS2xnYq1m*w1rOYkYb(_3#06xk^UA;iOtAGi%n z>%UsY{;bEx;+EEt)ocO}X%daHUcFgVMux7$i_d;uBB7uewRyi0TAi7w9Uz^nbydYS z+5(|%_XEs>ri>-L)w^q_=S63Ab@k;W(!_f*wjj-59*P%J3wtc8+Q!P+ktMl*!Q16r z6|LM(zobDIu2APw{Adm~qt>(ku}Axiyy;beX#tcBEQB|(6-WqUz55A-t-x;|pS=Jh zQ^|HLZ;3@1KAchro(rlE_yRWV0dQZ=TJDqh_okF4AX|aWhFUXaL;||yhc{ZC#EI7( zk?*wp(?Qq86}CEzeIY{TqPdW;SO5<$Lg8dad#6-2q<46Dh~Tb*fI#lDHD{{@I7+a^ z;vRN1`1kolyp+&%7yquribaJ5rA}&ztu_Q6p{9$9s$eN4mBfDMj_0~6UM$Ah@__x~ z*7k0&7y1)F%}0LEO)|Kg+KF|eZwf%a2DW-)72dPo`S`5^TSd@N<3N9)qhwCXPFBQrUu!Mw?4CVLPZ`G5;e>?h*y|!Gk#L!_0h#b}2&`eYegWtn zq;Bmks30S=)@yRXjy>8gicw+RM#6)FgStnX23ll@i5NdYoACDWzR$@b`{EV|8c_C8 zTJ4+lDF2DhlfvMq((kX*ThWJ12aO+w9S+Rs^`*6?@oEw6YSU^4f`;HA-9I@nFw#=0 zcqol51*dUTOq8wgbZk_->0S?AAk{NcE2Q_kv!AB@;lrh}%Rb^2^Q@Ii3w2kQ|GwKc zc6Y!C)_GYs#AWefLcBXk^bFRqbF@TT*;^Tx$=z1Sp@3d+adE@orGlsmZZ_<8CN`D2 z$t};$UTtgGH#0Y*9-v0g{OaN9CbIfV!J~c3icCC-ss13`TjJ(IucIE^qPFrM%AkzWbxl~H?R>xwg;#;|M)v3OfA)k}2DhKB z-V?$`K1uFMIEM=K9)Tdfj5K;HM$*xV>7mF>M|pswrXppPlXuDL(3fpnlX%z zx`YZe`+kx{cOQue5UCvdjl@1Tc|Z7P88toRU$D@OX0!zz9o_Tg7%PyY?j|JZutr0k z(3}cJiLsCNNL?N&82II-q|C?mm`yH|9?gJWkblRPa(&`fDs>n>{h`_1<}pn5e?7Qr=%4AFiPvKj7lPm zu-U}ca&dRl;$<45Y{cIU;~JPT6*pD2;RqQw<#|TD%AfEhF&ni^6Hl<66b}oJkl5$+ zvI*#lT)_B1B2;nyK zQvdV@5gro?tqOvT0tkvCe>UoChoM3zhF=A&c?i9)mCmlNU?-uj{l>`12!edCQfI17r6U zlGrLS^&1?#07k5w49u-Eps4)(=B#M>}99X;J#VOIj1jM%RSNg%aK%;zGh ztcLB>^uV?+{+<5}JMNU2=!%@wuMz7{qAgYvg!hAqz9J zP@;l>xpVIgavOs#?~Fy=YaPN9haPWs{tK=PQz`?n1t}}7G^w2mPB&_9f<`^rU3*}- zn3H+ps?Kc@0;?*Jm*1T+bE}o!`*6?A%v|**P8Ckr9E23a+{ox{MgiSKb_hYVw7j$} z5-Uhc3!fTJCvmdCOdk~!1L{8d?V}`~j*kH3LghWhm$$AYG%;uh=XZ)}se*yFYwB$! z5275@>|q(N!ScQ5eU$xc2CLZy*+vmvO7cp5R#1BI2D7M0?^f#kA`YylE+(C71uTlw zhoiWzkq97Uj?ip(O@|{9$m(h-V6>KDVaYT>D@G#e1MAM@{L`?GH~M1MM};W`SSU z>9kfB*4ZL54*R0~ZI$4e_%1@Km()}b58;4xiQkEfj;=I6KkzJDsJw?tlxj1fCfJC5 zQ7gK}?TZ`kZ{wc6zF?oeQoP0_!4DKXtUT9WN*fwDu0NlfobQ|`rRKtgO}?@*8f{`C zA;kdxpvbf@%=Eg-Mh{trcxG8a8KlubQxMW2xxL({k(#dcnK8`9rO8F~&Ui7T2&`bQ z+fG=~3HueAGrK4tOh*E8S`EDmoqum>Xa=I67|Pv1`A6SJqckN96V-kFmDSCM>6vcams zaLWKYr6DoON0j8CA6<31?UNEnwvCJhKgfPyi2S1@uLJlwHZKdJ+HNXp8bg)N+Am}C zxZJF-7OS+d>!(|X1)%?M|M;%>A+D;48D2okW zyRm#Z$)E$Ns-vCX33Z7GS-BYqMWG?a78rz11|tgrzEUN^hl-NDLxYVu*>|$$rlx{H z7@mE~5Wpw7%U$(3yYubZIOp5AdU-VTzsvDZ&f%p#Ll@YE+uQq?42*MtSMcrk?=gBS zUiydxqCp`lDk>&ioN=^Rwt0&XnXz@3D+4OipIyk5jBk}m{c#7*j@3VC9zz|YU{qV1)=jskSl)sf zi2!!CM&<9LvtP-NhO)Y-o@>50=x5wGcXD0CC}>h5P|@D#CSE2(+0;U;pQ$^qC)WtP{=!14H&b5&K@u5`QplvY?SkaW%<0^T+|xh{rDWNPJ-*BWk-l{hLlmziIOK0Kw{WPw>m|n z@r=D{BlsTy*g#FMez=kH3N7SlV0R#W5zo5DEyn;)LFJ~_#3xOJK96mAdwQXv2Kxn3 zCyV9Dz=Xs$qG_PipASV78w=0v`a-otB&iCh(Dq}US=P*C?hZy$E){KgZ#!2rw95VV z#u1i!C~V*r2o*2LS;C^1hZjjpNh^b&b;k)Od)?ekuGAm&8ZE0T3!AcSkJhWhh?4wS zZ$!9Pp;cjCr&Cdl+0HcSDJ>mtO-UGq&y8n7qv>2e{I3#`-(#zxUY-m+oknj&V>N_qTogJZd=e4}YWGNdFpxw)C~`zd#$ z4Gs19&avjlfvmy(*7g<_#XqaoK)?0I#zyXyscODu^UrSPyVq?={fe%jy*&#Lp1Z_N z^c71rXWXw-U-dUOj!ysDm)Vp-pxtsbi?$X}67yrxP$1JTu8$Ru5a;35V9w_7c?|9c zC)Da9e23%XNXlTFZg$*w-69osd#!KH@OwpLy*Pi9Iur*J>#rOr>(SY$)9aaA*p_Y8BP?rRA z2b1Q-c117iN$Pzd`AhfR5PBe-uKV;L3`6K+EQ{m0%{3YVgPduj&D|8n&ZR+`a93RPI(gj;(uKpmMIaPpusU;@P-oR zVezDRZK|)IRg-rP0Pdqpe;@09DKJyTe|juh&o@?WUu*iTBY^x(#Pu<@DYkwupC-># z)ro;mXgW8;tC6$mze#;nUiPDcdBYMz)99cUlI+vP1zX-k1pj2*0e94s9IS@j+sy5q z{~bx_>hep{r_4vy8A(ou+}U|M`!JIUJ=RG$B|JQ${62rDs8)&W?BtWfzg{54>$7yH zSO?>Ieo-YTBm|0u#GL0HzPwa&so9U4IJvrZfKU=cG>DfPOFsSo~;w#I*uneMwo-CVlxw>8e-Qocq-$*ZZB&FpH(OmL|iTe0eAFx-ea)l4>z<)cF>= zJxY75q&1zOOG8MA$m z`7*1RDPBg$%8JVsxf!3q6Dh30IEQkBLWH`n`-@{(zuTt32ALi|Bg>=rNu0Qa<<97= zE@_D5^%{LAav;nGPRE)lvgpT*R`l$R{<~ii__T3Lx=TRrxw!8cVcbS%V9GEYRFad^ z?Y3b>g@JRFfsb;6MiZsv_=FFjt_`VZqi{sWp%|z61Q-AQ1u2U6oODJn3x{}kyexl7 z&$-=Qt%a1O_XayRxT=DHRD&+bCpM(5=kU-_&=wOI&4cZjIM-4p*Qr}%@Q%2>?9Bdi zz6xEB-P-h-j8uaA`O?QJf{~-oY<=vGw)Pc!TK!6x+(-q@JxayC2DJkqmdE>-Xa<>l z6}}OG5!J>jnCV%1X(h4TOkGsjFFX&6IX%Aled;t{N8sU`>E4GEV7fo!n9h9h5+ z9IQzTcMCv5iVO$jPg!v%JX!bIHgI}7J{qkl6K?j%mi^S zTtOx)M_W>kSk)(aMZ-{I(Byr0zQSsUp|%|XIlaD~3#`aqv`O0i{TZG=m+k86}}f3f_Q3Cw`3Gz>I7BKhUzHc~Z#wb#EHtE9mL6D^`$d%Xjv z-0S6dHZ$f`;K~N>)Y8HNm@6bE9KJ145u^q>r6#Ago;X8R^)l=%{0pgu`zthjoN~Ku zoC;F}Dta(6(TD^g;FHQH=)TH=ga@8#td{CAcrq?!a(3uYf&CdMrw6TCmc~hBe4T#kSg1nCwX`tg@b(A_D$COtFRS!r=Js!2oLLQqi9yDyh{hyjn+Gs)i6I{Q#m~UMarDv=0m7TVVYbP_ZvC19#52J z!nv}2_$Br0M6J0}#$uUyk^D?nRw*(rhRYZt_#)wO$lJ$9Ur(PQPcv1B+1B2kO9}6z zWA}lxs)i)it5gp1#2wh1tqW5T%NQxGx8!aJ2fVJ{`h6n$H8@1_xINkR^0b;7oR?=T z)a|d%tndPtuU3|yu)4O!Ebtr?;8zC@AvEFp9#u#ZPAgu-!pEuav{J>?&{qL*Rx+7V zA~gnLe3erB~yQ_<}}q^`6n6S+s>yQ7JrZT zRT+OkC!vFiii)@z6i3vEq6LdC^fD1#;g6FegXfce< zjLqn^^zWV}>#VWKh71xyT8%fF)l$*M#>H`QbN78ePDvX7=tSk`=hr4!&7~f2dvmfI zBiCA_vfXD<;JnJq&JH;Ar(0W7jE1uGfwo(aVb8P!bK`Rp3pGDVB?TH4i&^V+iV1t^ z)b${VGTwzy?sQl|c&11!liT{Cmcq{xrW(Y+p$HW494N@k-?pAWR((|Z7(B51f$tt( zCZQ2t#>1nfRU#I8K6AC~-5fs(ZuxV6)(;+-6MeTa4((zRvon7Bga3N_GL`PKzan zfSDG#kii-Vy$TcU*7kO=1vzlz4-!pGP9KQ0*o=&f?|F|&9FnK5t_FPBWzqMsrAPc<7uREutAFavh<41qyJ&hO(F9E;+ zg42lGHK)~NejQ@sOKxFdK24mogv$%Qtby*EAovJ$y$T;$rpUv3U?`O;(j1?haI$v5 z`PiA5Kv-rf4TkY1BT{?1czb82yCQ~}pM2Mbovs;aUIawh?>Z_rtS0;m@Mn{VPa zNM7L^XyXt6*W66b|C2Z?2RqmQA7|zJf5OdVXXE1J{-3~^hVVKXGArYxBA+qh1|>f` z)@d_YoqUfZV<^qHl7v+~31cY*#7x*9AsS+;(FkyynC*eCMGpIrrA>W-*Fkv=7AWnY@U)aK1M&kJW=UqI^p2aE-) zNYG@$Ps7j6%`HHir6ymXYHjr_Y=CaZ%)-)K*Dy6brM94v5FKx0Wn=X;9BA9>@9uu< znzawS_S@UrU@~muYkTWZ(zo?f_jA&@5W4YM=3kCiim&A{=sa(EJcm9>nioZiK>&G- zRe(JMn1;R6LqnM=LaGJ$TrjiPSWi8@gw4d9te*7Jg;61#zbC=@uNhp(@Tc~l8u>B# zEd5N8&nb@~pcf7V1pp})Y@ic4si+3V#*T}26u=*Vsg4PRQ6Ivm^gH%VS;rSDC4l5Z z7oc>%UR3pheb}7bJVQV#!NtZe%Fn-ex!Yg`4SK*E1H8Sb8yKNC|L(q~e#POZaC;d2 z3-U>$<)g3b58dzBmg`492*7vnx$gNsowfjzWwVzBbnge*(2oiqeJoxS!DI5IaZx?1 z1O&4Hw?hs+6y*X9EM{L{4$d(as5V;}7#M~B`^`VdF9~#exVyVs>{@ML!o(~t*>>06dd3b$;&DpTrs~PL4Ml%yANb*urPO> z-jyTDCIac)kThMgXv20Vuq_3o3H>msFirydL_~^r?Jrn3o}S((TWbvtr~Iz!SIN7{ z6Z%EM5brs<*+ee=sAP|JjxljaQj^n@b5l`6BO*ka{6~i-B3@sPDr!I$;b(ypv}*cp z%2!7%P3^;@L(sPg!uE!`a`<-krH%);_r)|=_E)QWnFCygeugkJ)sR>@xk)*c0fxD* z@U);oYE|LO$D1@pyKuZs3winI>i9DNKgDG>qGDhnAbBs02dipZmS_WdeHZ`K5zCLP zuak^iIt?3J-85z!w7;CJ)?IA|h2SJGm)mx;J&7s6!NBwK3Uq!6$V$nXJ6!qxor2lE z%5b%#3!qKG#p3ef0*Kb%IzKFCCMG-S99+!OtFz{IBSiE(ds6sG`+ToKibiCxVbBw1 z8wQ`sED$dLCHV^=z5^bA9|D7AI{XO3%NS&zw3OtUW;@c)M*kh}zdoZ;BT>*sh*0=H zzP=zm3HjWCdZ)>rNeDmA&7y1k(3flsA*mM9e={S8#_2C)7UbGoEu3g5NX#tsXV>;% zjs_w1cF%v`fAw`E5sG{$1|5nu*_o*}b~c?~R_0-^aMB4fEPh-k_D#YEWo44Z9$S3@ zUi=FmWOYN{qvQcB<}b`T#5`y%MJd$0O7-$@F8PNBYX0|6*5)!n}HJ%32!k?qphs7g+8WGctTtC^wvN`CTArlO) z6t3zT10Kia*qE4H3=~h?K)n~}@B?_l{BLbx4**{Q+@cXv1|Z=UC%vkt(!SV3$)?|MRwN0o01*W;*_>QRjdjTkmadw6|1SI*-< z{d=aVtNO6dRtR-MD@<-AuJ#G#DUB0md?zSoaa%2q9{~@BoUnbPr6g#{gv~Iwl5`d-jX>+nw5RadLvY-sWfHPESZ; zO(QK-=(7+M;O{slJaWBqDMYwdkoJb7Tiwy6Ly&K3FXtEHddYbc$lB+2Vyb@&tFOOA ziogXH5-^GU^pl+;Mnpo&TN2#am_Vx|2Ob<>^ivKpZ4$fu0^lP)u|G{?Hg*TaDu&E! z!?nXM@_e1_{aE!f`TrE?i$DRE&)o?zs6R+<)UY$KOOSXx&;>={z{xvCKWt5CB{L+& zA=1@e%zxyUe6I^2&QC_0`4Au8TLrR8&H3Vhgb6Jbb&uo}Jwkv}35dVfZKcW=t*VBc0e7yd;kVWcj96G%(XO%pU5~y_ z-7Cy%oV4r5zXx*ZcSQpti+K@gmM5)sC&!D*(YYFGTHD|}UmSFPU42NRRl0P#`VAn} zqsjDRsVvZ8z`*!E+*?ypN;fPoft24FxHq0|PkjD9MIYXitH6P zS2kEr=Hs}mrmE&ryBFiW`yX)W5Nhy)i$E5dS%E*+oYVA&^tWB8B7W*$1jaOdUEQxE zzU1M5tH%lomlkR%M~8+6IYdaB`pj=`ZvKO^0VBZq?(&21p5Mn)2um|))I~WdbJ$%N zBCJq&=mGY7N-nM@-~`B>Z)i3P&VDA^=lLVR>k<24`uh@l?CxX@D9$lmp8qJWqi)n~ zVdgQ1lq+@WplsaFI@^Xq6JR@$O3MzVl7O>cUr)E%Oux-;=>x16Y^43(5b)7Z_J8r( zp&)0jGe5?ubs1Qo>`~ExSSJzca9f%NhFl7r9ZX-;H{}3dU-?;3k_e)DB2|zM+?-ri z$JP_CU${>qVq%c$2q~&W7Kr2nRq{oDKjGy>@QXhwkEN6a!Q)mNLEHBh;{A9Zxi#swqD^zid<}+3IzE1Jk3NzdjRSHfSw>-jwtDx zMmAnI(kkcKt#{&EB-GYh+z3AyFN^tE2B-kHo{%}n@FfJG$s{x_Z<9<4{U`X&G&o!_&M3E z6_U!{&hGd4NE~uP=)aYv<->ymA`HPsm%pRHw~B~}@O`YlzQLOdn~H@+NneIA7o7&u znGh3GVvkA4?UjCWmPc5;H7$yC6yw<$?Y_{3wp~xT(s;`r^aN(M5ZHjf*QfNv8?A#hY&Kq zEyd5S;s(Ld^`jtw-gOkXG5cN=YIuBw2bWbh)`A^)_Ws<4tQSmK0S(Y81OQ`hn{OZ6AAvYH+;v{ICQ$p< z1FGmiR}=U+?f>1;RGo|An+91oL>?^voTpo<({r9-;AOH#VKOFE@fI;Fdj4(Ucpq`Nz%r8|`FlxDyGy)X9JGiT1szBo5JUg+@q z`HkmY&sv}52td{eCLmt}@LA*W)s>Y}`Kp?l2Ag!EymAV10s?&h7L?fQ*@32Ee`-f! z$Paocf&1d{;LvWpOA|yA8=&(Q2b7{Ia={A#9u~GSAU81)GH+o@_c1j!^#YB2FgOfp z?(9cz>r5J-M`F9NuB`FMwnRjMD#$>;r>B?e(x?rNU7C2uYc~z z=#+bYUh*6rNaKZ zI=W@FV(_%CGSDB%$sjY*(?)8p_?%BSO^5LAUHOJ)SWW_2j;I5|=DqNv5Fy`tUWB>+ zb?`)KX=#%kmE+?Rz=#MEh`o)qw6v575V0{eg{o!`q)KhU7EA$8u@hpG99J+%=Yh>sFvUV4$in+UDE;_=sg6}=iADePQE-qfX zUW;jpbB^B%8sk1i^vopI=(#@9VY`t16?nGY$KZE zZ$2zi&6ofM-_Pstwac@TdG?`nTycan3my1yY|sS@>G`-73xKy6cFiG~WC)4U!q z_=1x-*t<OIb-AT#hfMT;Bb5F2S0T{0Ac^@EIBnFvv zJBt4NA@cWsE$k~i8%PNX5|inxda*zKSe$kFRY>roaoonr)HL+vq?{+RUhgplGU;FtCpO|w zB1=14+7gXNQ6e+9wUNxOJYyuE5@orvE0kF}vWa}It7CuE|JKC4#IT~JD#ymliJylR zq52*>fb4BGU`?3bo1K~o2AilS;=aX*nhU+zDBy*wPT{~lbn(o=1OXx1z2{};*Ys2w zW}M8`U{@+h6rugRfpZSR)9T|U_BjJB^{uVg7su1j@5P$u!-pWcAwb-k+E1_9-p$Er zWWqu)F2^VV?Z;?)M4Hl4UO-Y3sbpW@s;%Ihs2{>q-~k#Ho$i8@gHw3^{OndfHVzH| zjgZG9E=uMR=6owFDJ-d|u(Pui+(N_afg%$jb9TPOppxEdZf_UBl8X=d^XE@kSeWw# zNK#fCw(@U<4r*s)WU$7&--+Spq4Nd7Whp*{h}pv13qG<1n}FyZ*% zRZucAIUcS#4g#$%JLLnKti|m*sfigTCf2nfuJFH?)}P#gD3bi4tT|MwD?AcyTgap@ zlqg_L)R&^$Ywo`vdW3Pr^`HCV{45s_hs?PBQ|M#Kqc%%5@Woc?w_~DXvu-`5=BF6) zcRs%?ojY}3bOLX!V%-?n?|_pyq!^@kQ+vql$h1`tqMoJ!4hdFgmWPMHldu6+Iyr7Q+Uy5s$GnXY{IzN_m?x&ayuZA}h7e4Dk+sQ|kDNx8gyV4@Y0_FvGo z@UoCq8Hqa;2sa7wfb#QyiqJBz4tW1!VrsKx9+e*pj|k<+ zIKm|*_22!CLo68Z>g$T-8h#xo>|Spr4{Up15!LRYec{zi)yp*3%Rf9u-9FL|aEdGh1m6Eo?Ri+Zg@?Ssup!@lnT?=`!-_fo8p(k5CV64b`dY8T0yq({)&?Noo z56AImAl}4Rl1kuX4Sh|=B*l2W*p{fu(Fe&r>gu~ovk&e$6JrzVtv~B5u2TX3xDRdr z?;a0DsDp_8t#%Ca)&~LeW~$ebF6C#s^Rb z%|&X3{TG+jj+k=Z&2zh_Dfsm~e@l#0p%mVRd4lP zKT0iGokB+W1`#Z;KA|;EC;9384J!moIx+$geg@yU^?s5!noLw@u%^V^{sW+t0PL`+ma@5L$s#{!i`7@SO2XE`>!=9zL#&_rXi@ zP@^BB&N8k0PDQtk0L}CRHzEQq9rrLaP)7!_t>6zb-H`hdXzQh4*DS8IXa4}k22vL zFO^m2?)dKswXeA6uQ__&^}8_pMZdz8AlF&7-=sEzlCH5t0%y%`-H8oL?nOn#Q^kQ`I8enS0vZn z_FvPT#wtEY`L_9Tl2Vd+tAY{lD23^kW*S4t(YBcXVC~r3*@LLj(UH%FT1!^}>6H&C zs66mS*OJpk9Hs3i@GCRda!73}c?9pm#2$yWn#;zq1b{p zra#co4EBvf?X!I9kbMpJb&_Sh{&U!mhb^yYH%_$3b1LF!u<6|fhQ_wWfSseGqwS-h zJf#S)R_`#lh{80Vc5BeO?=z4d|2ykV)C&l5c63x^o1_>kv2wJ0{1#l- z^~hOF#`ZEYLp4$@@FVS?>N$pRDvQD6X_+HpUvQ;U7P{jF<|!03UW_*x4RGI4GGOA7 zX=|?ctI$C%5pkmFUxKg__FtUf5bv5i3JGAX%E(v-=|03hVV@!18!D%cfHy;VwWz#2 zGQPg+&r=Et@~abYLQtEq9w;wxaci3G3IV1|QY2wA;hUPB0s+0gl8OfQ>jU==|8}95 zh1P`dEe{UEonuI)Cp1$~0#Nu1s zJ9$|D<^U+QKgllgUZwpE^eF&BP=j(cn}7~wV`B@3-KsGo!0r;dPfAOJ|ES=L80{I!$gj6+E?wUdh|YGl;pX}>blVbHRAFvxWvp!!dowUS;51rCqmagw(!wD2@6-Ac_N1T9E%pPd zZ`~G!7z|WW^p{t?LlM6YUvlWZSALIEN88F=-C~P7+k}9gAb04)q~FO!7RAkW%PuMx z`MX$SaquAQ1lA2vaGM?0^~bi__!M@~ z*&We$X^Uxu=KMejv7%`uqhH1S@a0df$~NBz_89ccHz46X`#wjO4m7hGgqndv4q+xC zhyxGQiYgC*A4;Pp6g`z7A{=2}@ za4O&-YiO#j*MCMshz6>!c)PTY{_=W1(2_|ev7ivY*;(6|v_KQF{46PN0tz|k5#y)z zMdqUJ{%WLWOT=PpW!z%?8ca6yT;n%c$%xEIj(+r(XhFh5XwDm- zl%~n&@V&h=rnB(!?eSYU_?fB;2Gphdc2SV@k67_6DPfrh! zdd9Qau!7e{mSlG_Lr3H=Ms1VcBb4J1baq$2VU5wHu^0yTQYDB9QkCA_UKe+>l!qjc z*CBpEkCi??Yb9dWhlYlJd@S4@`|CIw5gy^L&G@*GHb+>*TE||;iW8hP(w9KYtS@V% z)DEhv67Vju51B7dV-7joUC$T1%Wz0>QO76@m&5ZNpVsbxQUW-mlZLzkK9hDyp)}m0 ztenqzFEHUSsoJj}`}+gBT)b&$Y27dTq!f_GZW>4za!|;fY!e7n4ln+0F@1|qOT&9% z*1i$W7xmvF1-rT*w&i2`Y0l1WLDa|i!Oj}Mr8z=FPhkzVS>uNsDOH9Kx-wS5oO*97wVRT{9Z2MHiJ zIr-H@DJ=#?JAHAvxw|Z>7C&Wo5`$3M7!1oTpUUC!06+h0MqK3;P+gXT3 zb%AJx#zj_$J%ay!KRq=4uA-6zfy`Rh`WgNnlyQA^NJ>d%W@M)7zBXZQd z*W}VfHrf=0D9*1aJ9y8bP_J%UnjqUW_Q##PJ~&BRT|1-z7Y}Fl$O>IsQf3zMN%$Q% zFs>3{WBxtbduO4LR5Q;t4|JoQ&x43hZ0wwc%@3P?3Z`m>T|vTrAAV5Ko#f@|%gd>L z?au4Y%_TK020Vy;?x_NUP1r7<-K!(e%xY3#f>6-_w-T{1nG=R8E}WE{4BZqw2G;#= z(KRs}DbQyQ9@rlMZB2+&^k$t7>#fK*7uqZ z;pevr2nJxFY~R3ckQ5b#ID(Mly9uQU)RP``sdGu_=uwD}3H@wtnLj9dhOQJy&NL3k zpJB4O-M`ebq!+MO=;$~&3cC-{$sf3lmKNM6lfKkuaSTdG&O};($#t%IaS@d?!BHzk z8&EL<%N4n&MH?~d^JD**?z}G^Hw=N&gPpyT7uCA|D zC6cUB=eWH3exK4Y$?t84$+ z*@FV3vC%i9fxkk5=AwdX)o-DsDCAr;w_oRzU*P}Q3eTAftE|nfg_^6}<}zSHewhXm zf14i0P>uW+(-{(TIGIL_PJ-;7{#B^dZo#f^rypOFYfYv3pY7eCva_?V+~fGd!{Fd} z=&EMgtp(>NeIu&_m4H6ty1cZ6j%{~h_b%$O@z6+Mx~BD^6)YWQOO#h@{6mtG)ErCo ze7^=}h+(aC)aW*Pr&Pq8po`$f#6>l+*0<0)qgZ2KQ|YaKvbAn>M|D53J>(cn=zxcZ zPu>(Snj%-$Swb^y2JDG-e{MS!HLW9uSW2xO|H~t&)ButbFxh9Ekle&WCc={M?ynE8nxzvt zky~(cv->-pLuO1!tqM2MP}2xbd)I`SA^A3f{I>K^ez#AKpoyMv!+qy|Pb0k0 zXD-zkNJ)i7L_~lgc|n3%o?utOi%~uR!drS+GAko1hc960h<;g02s&)B+6XA7nd#}F z5y{?=U%aHeJk9Sj>Obf6VWb&sv~Y6sxRZ<%izZMros8W z0av;p5!x-aluKIj_wOzM$9-P}YiLEXj62up!21?Y;Pn7WeW(Z+K$nev=e?A4Nd|4T z1tvUTvic<06zRL^b@pN`p{13&)WF>xIETa@`H&2NOyH;}YZ(@gU0bq^1LbxK-HL%SAWo;>I6$uz< z>FM~Gnn~xDk7*D)WUX@U?%cJ%4qdhP^Uwz9&5seBW@ct21WS=jYU>KwdFXh+f$#Zu zOac52vmd>)qN1;_;CRv~Xo1!-4ubu^`6VoX^p(t|N&Mvh-RH^mf8+Dy{NMfPY@D3` zzZgB)*?72F|4*amNe&3|$`VDO`ShbQ7zYUm$TtS=GL=O}p)kE)y0kOqL&}Y1$TuF2 z3dV+ng%pe8OxY0g*5hw)DE^???GcM-=B|qib0e^y*ly&`o-t0H{MK(`lL)_2BpIScAjnpgVP1Z{9M4Ql$4^7o zR{M1I{W?ta8Q$6Vu*G$F641NZT1TGSUH>T=7_7%n;_b+&>FL?NP80t`TO!lIq@wyx zmh%B-M`Xmcbl-8K<6)*%^!enz>r#p`M)V6Tz;XlorU*Y?WFCptk3Mn0gc13Fa*IYuD(|BH3P(Z;?`{^m^4isfW?*5*e_Wg5R0(v;iegN}YT52jk zuwN0+2G04vz5HVw(%v!q1Mu;>RCu^J-~@?_jq9XT54x;@akZtT1=zb9d0!o$|NA*l zDcLBT?`yV^a9Ng$IuM_rb(3>xgd#l>GBOC>lj6oMlU!m&vI@R}Z8)%+atwY3Wj?Ow zU7Y|5`~3ahVt>rClW+aApx^0aeA^!U81qf#p;$I-JP_ zrqCd;WO;eH_YVOk>2JmS+FFb+5S)-a;ouR!asPTB#K$@`FgO7GIo8Dusg@)DNPoFG zDK%7Now9y4JxX(1ZoI()fJlBq1Q+V!{CuM?oZ>>(qT}7s{8(RKVW+e|AQ%xxBA!>} zv>SGL{&3ZWe;V!Y2YGGfsHs>4csSTTBl^ypvOQIKmf}V$*-(r~cgS}oKPv_7mROw( zg%X1ZNP|a0-u*y*ncinzg*YBad&mP&+Q+U{5hznTW3P; zM1+Jb%27#D0F4mw z;r@(Bu+muU>m;`3YE^i|vC%@e|0(~fq-b5kq>UK(dD_@i*{1SDj2gboM5QoF#}gPB z=&iRBz|ng?{yj9_=rw-i=jThE9TUjbfbA5w$chTg4?N_WO;1lJ;&FUmrTHy6d9|qH z2NuUT&2-7hYBSoWPO!~_gNOBK!3mUp_eoP_1v&z)W@U;XgCHFtA|ec{zx6P#CsH}m zh^0Hb->G08i@Fa%Qoxh!o^(K6S^EPg6Q>|0@vASi@A-N=d@99K10XE4Xu{F%#2)i3 z(e9ibPL7Q|jXY!A&Gl1&k|X0qYa1&UVIMyUzb|&2?uHB9zg_OWi{wKra4&#C!}vr_ zUOrPV9Uy>1+SjXsC1}Znyg7}xAe28SR}`46czR5buL3XmseF_@sve^TxSae*vf^w< zl%1Vx0g9%kpdY9t*jn_WLy`6BEie_E8=c<&PFH!h>>-Xf&@RbXF~S8DkoVO>qZOjG z87i{b-QGp;?kSJ|_sm#xy*<+W4e==3m5BKp^H)}i+!TG}MWt=CjylAfNAdG7(CmW& zO^^MKdiQAP2O2>cxLbVgD!duZRqH+pB+%>940@bz8g!UD1DC*Jg(39LY}L$9P>8eL zAK|#%5Ox3s0}ChC1geW#lp~n8j2g7cKo`sJu|uFJxcf_c4-H1+4N?z)ki-|j>hjk7 znYg_3x!x7FJ#KgLpfe%1}@xyyNYGJ#t$x$mewX;CGmr{ zlER1J0M7D1yylSWTbO*YmWD=PLlWo2eO>L3AN01Aun(|QGI4W)MRLeD|8659I`i{m zy-GI`WpO<(tJe+tTT?$6Y*fuK)*!;8k@^C;!qnsE3&#^c^UTl9eG#)jwMiRgPEJev{KPM@sA^yUtY8(+k|EgO zWz*_?d(3pFsin~wIm=wd zzKBo&{AnT~;Vlz@3fjuGlfU~bmyB|Q((<*!Hs9y^@#Dh9U-J@#yczzGh@#@sSVArv zAduhd!`8Gf-Qfh1{A|&3c4wp>pi9`<>~ICP{@lYKhXbOr%WG>L0DicvyS$|AAY5~J zx+~jey;J@yy_U+y2hc7%N4$ALIq~7{6W7TBvD@esr5)2-5^O zxuTTmF2w2|s#2rUVHq6u>Wyh{s3W1|^CN zAE@FjUC>Q<1>f_^>Wi;UtfMr6YOrMWBoT}~g@hX4;5I6BgsQ;L%d@js4acCXZf$_4 z&F;&@4WTV>XRz>P{MVm2T4q=j;MK^<#YM3UoJ^)18bn!y071R{cu$T2eEw3fQV-z5 z$_qj{p?j_+{0%V4pfgf^wJJ3+x3}!`r}G56t@fTnjuJ<;l~8Np9HjuD+2<)seV=*v z!;Tj1vud5Fi{`w>G5&bH%KLAiJU6J1h={-pgS-(OXBN`NoXDZ9JU`hGW*OYZ4g{kk zA?(t)Wd$bL8vPC*PuFJ)S~IOfEUsxXMHME*_qC_&l>{l5@SQDwWHylXyS}<6Bkhc8%piC^**8$f&5H!J%5q7A}l%EU^$M83VSqU<1P^ygQKE ztw~HsfN0lAuB(%*|DWH}{uuD1Ic|1IHBdV25D^frvs=uPKnnUje!1A{HD)?IJYv@C zQuI#|e1>W5nG`QpYqnn8uojl-XRDcTk^$QY{8XFlK=lg3A{7n}WVR@vq_^1#)&BqIqCDSG%yU zAZwOfTTly@R%JYFjlko2bG}JNX1BY&4T%BQ&dW0u3Kvw1{VBj zX^RAv98}UV_ZQpHCk!mi3%rGF;H&!ld4KYk`xlKHj0q}6U<&KKD4L5a8uH zwmP0>JhTH>glH-lfoTN2o(Dj)kOYiVYOk<4D?ado;B(nv-~H`V?jH*W4?QOO!*Lo% zQM2P-N^@47_JE1AOujCs0Xi5rn@5Gwa=^Y zb*f`5_@*FAeWzOq9LIi@2vS*{Ht0g+YvEdb=oBp^xQh!UEWi5`Vczw zjvx#F4@CqT2`Y-kr`GAZ9+5*`jt z+^6@&XTomVFm!cbb(QEVYvF3oP*eVqsSyPPPex@}LT1uCLk?9Ju^@2O9Y2=GdJsT( z^ZE5ZqI~+?z>0BqeXZB(LR0&_Zgop76ugN+|60EfT5aAJegk0C(~|t-tMOUv`wZw% za{5VBgs7pRjmi?iFjmqcvv21d9Hv#cOk=EWIEHP z8;YaFV4Ne!t3tE&P6D<2TJKlR`@RF8zR0tIw@Q&nCx3t8IevO;dLcg{(*P1U-jG$z zrp+$5_SVCn!lf1^K^}QI{gzG{lHfA!A4Zc9m#8U4_T6{0U9>*7sn0F@>Fn~?#_Rh| z-A+vc>!)qJ`Hyl+i8+i&cm+OPEu@&3bxO_#@C$*HlM|@#5E-iPf43Ev6tft1q<^zF z^?-q^7oe=*Xs6-@1p~a`slXzmx{AcfQ@*O{>gw7pLVrWAAnB2$m+;?P3XoiZcS=fH zx~}UABK`tMt)L+@BkSMC+o3%jm+uN>dcsMj(vng!q(c0B^sQNVNls2K2R($Y0ACL7 zIPKVKZmuRhe1X6RGuN%BSvh&7Oo7i;01iA8)%EX!lzs&y+}bBFQIXJAJ3Tz$SJE8d z{`=2_u#bt3z4koqHAyJ!=m`nwlV zj5_7Uq+m-e!oVMeZ>X;(=y|aG<%tQn%9*9QcZF9Fa@+ zQZ4%#!vRzt#DfMd09dxSBV^(%-I=w3?cEg;3=AwPv7jiscZfs?h}8UNc-#9jF#(z^ zz=q^PTTfkKE%0tfKxRtB&v4@)Y81y2DiI?wr^^X07{_XYqn>^B*7~0Vv<>YN{=g>_ zEAvQ6{27#uvvcmedwd&t4AzpYfyu^2k#oBRUr!khaN8%<>+E`Exe5`%H_9N(6mxE;e3# z?(@bJ@P4ntL>i%7z!aye7Mc|XeCa}ebMDy#D?qm|F4Rh%#>E8%+n~8dz;zFK zMjz%Dcwb0FOnj9bh;k6gYk-_c%weerYCNp9y{uaU1AD+~Fp(lkl-!?7ln7v6fNNq^ z9|;p{d-k&uR&gEZ*8E>iv8r;*>nJP?-lLvgX!1UY>{@G%$sX%N<^> zy1D|weP2bL5bq2YlIog_`e4I|`Rxw2zegMsb)jy|j2+g0p4ezL`Cv_4}|X=(D`PxA6Ma8NTT;2O!FkdG7n5(pM-z{QQ4$5>tU zT-QJ|a(mew{~^stDJDBBOTcQH{P$R?9dOHoDY?V_h48ovKxq8^{i*sL!$rz8%B>l7 zmUUh(<|%YFKs~!#hdT?;mdqAG+=jemM3uLY@O{$;J&YvEhOjbdu8F2D+df)yU zef|CNbomD)#`iFdRWXd(z04@h95z};%a1@f3Vn8cA_qH;`8%KQ{Y64Px+!mVRjXUu z!vreOgAOS1Z)3n@mW(?LJ_h-9f2))RX!OwYSv|5TVH?lZ{g%OJ@(hD+bE1R5)@j3U z=qc#jn_0@OOaZ$PAEPVSgwOxQ>J#BZ);7q=40Fa$WC)ekm0$fQI6ZsMu^p++s;zgf zy+A)>C1W~nwpD)r4!y9KFW5db8U0YeGlC=H;QoyV3XS=C_VxJMjZEL!Ss;k zH|+Z8=wa(0S2`Iwco}#Z8JQ)ge_y61rtBRaEFDaAgktBH%JGdNbqG^0Z^g9JudY*^6q$Z>aZB=@W%%x zhHpu!S64UUmQGocl2SLvi;f_z2pk>0z87R7&mi|MYgM75;)G6$a&s|KK(*4gvf1&F zlu>JaUGkq~aB*QQq*TL9!GeKHDD7)Mu{ms{C7pUoM@!xaa?meFPo+v3^3Uvm0NuK# z#y7klC$fF)h%oSm;mqa?+?T@s&-V)ZX`uNkPk1V<1+c8s^(xS``8uEPCRVKp?6gA7 zY2LG1ffXZ&B?5TGfZ9;dK0hz((!wuXqj`*8NYJ4P9L61&{>gHqFv%(61>j68C@2IJ zs5B z?L}_~2C}WqO8{ocZ^&;3YF>Wf*2x^l(RN%5Z@cmK>UsYK!YeXh4k>2xe!US!awVilVPK?U zq@W0@lq)YUZ}XfnT|=&_`eE2<$@Tsem`-&!8RO%=h8q9@GA8cvDu^&3Pph4ZbqkyB zO?b2|R9?wp65x0%m@2!Pvw@O)sg4P3xBdNp?taC}f5)AT+J0+YZUvFs=rkr$9(Vm| zAImq0dnVu@VQse$CzBdAXGwsUKR)cWw4W{;d0&Unyg~PTSiiTrv~q#EXYpcbZK1iV z)>;g_8V z?F5v#3hR~SWwv%IxXYb94Vy~kdo1oKLSn%$2P%W}Q5BTPxL286w)uST=^dV^sQ>!_ z0mG990;M0b+(GwBPJox`B{ipDL&sm+_?M@if8*LCh{w6SV3?L{DU0mLwFDw}6b_?t z+6~j;-q44_Uj@p%d^Ea}k^^%CH?TI!ye!vB;<1oqdEw!hqb*^xWk;)6nF(3&@J6AGC(6I z_oq+7N*0r?)^F{52#Z7`6BgwJCU-$<4n|iHJw`9{(!hEvQgc;z_0{kA_6<8!K~4b& z$Db={Im*e+t#QZ%1%3OSw-k8%1P1gB<6i05tDDUZ-4X$Ss}<+Uzf@eX67Xj~IX!_# zB|bRcpW-8o+%FnUCe0A(lfXwF0&&gsoKe3gkj-1}pQU1DRZ%u_96w+~=l!xYt$kMA zmVB3?_=fTmgB;pp3s7=BLH2vPD^ zb=I`I)wY!Q_zwbEf^0D`2wRrB6YSR(kw)vvit_rb0lkh)kg$`Ff`eZ-u`~{1Ud&7+i55iI71IiNrD>oa?PJT zv!&Y8e8Vu%^9w6Y0dKDZt?23V-oWukArW!mYYB2!;FO88i&MeTBX=E2MMV`h^scqF zeS3jDRvIe}9S;K+5e_y(Ik_KmllMYpcV%n{u+oo;+PhQfpb ze5BgiS`uEW|K60tR(3XPt+u6Mty1uDyU#3%QeI)1nJXa2y|!t=5L;eZnPW8l5DhQ3uArzm*IFb|I=dQ! zjFT??T}noV4$w1(hJgRR>DYOQo|$=madv9b^g8VCK4LDhstmE~1O zJ@o!ARvMXAO%4EPN}BX7yTNvfh0KWTJ#U!!%;Nk9`om{Ub>$boqrO2TP0cmK-;a-v zrMSu<9oH_NNItReJ_RIV5Mm$Uqt(aqL{1jIK09p(@65Q}(jTp(D?=;GgBfnxVDYp` z_sZSs0JR#661$MPkgCkY_u+l`z5jb%Y(%-Qp!!9y2q*;Qqnfc)gybLcgg@ZdYB^VK zTl5bNaWlxZBY?y3{LeH1y-j-uht_8V|Hco38F*Y1Do5bmMJD2&?aH=&qLr!zjMo&s z6!|?*^Z$+s58StY^-!p+qLK2Clzpy89tI_JVxhnvUlU`$-gLVrvY$!#ralF=_OJf) zq+yE_q#i91)q!6^d8Q5M-LM01YAfRTrCKxikeLj|6j6cIQe`q6930=upWN4n&%eDc zcLp^W8qF&0v;OY>1tG;VV6GxQ;?Cx07DCBHb5wS;dWnsR)fxdEJZ9KQ$#0 z?6d4>GU6@{wy8h?LDSfDJyZrTEfR3qXn^KgJRt;8dFiO4Z{~LTKl8qa+UbZif{$YY z5aRABxy!Tb+l9<7Q_L=$rVV zX41uHI1Va)oe`biAl{S&9bMF1K-JzmEov^F4n21hw}Hncg$3(JF%1QIJ+7zv zy88S32VkqNae#69?*DYkvvc%GUkw}$UH8U^_u`jvBj$LZM1X)%m7W>BM=92_`RYkQAO#muCCujzq`zWIy_%#w~c?y zeTzXzn;F|18Rv7~!9PqD8-zBC!FN&J-yt02vcvlEvoadY0(xY5*hbn@r_2x`|H;jy zq@)0}wiQQ1LtX18&AJ7bvB8?t?d1QFxm5tw!Bp`J^4xP|dgQNid6uaSLYhIEB+MlD zsgtF%u%AV4S_=Nfg4x(eh^UC9{9oRuaU-M7>0A%*f&1xVt|nGRlsO+)^(zH1CKt|1 z2?+|VxKT<1AOi4WXjuB1!)0_yV$X@ZVctA#ay7iMMpih*$5Unf&B^v zMFFU!HysB!8BnD5E*e2WK~6b~-yT?%r%v-+&5Q$yVE1$Axv#IOyD&CM4Iv4Y48geD)cBY@$*xw&;34O7n&VJG9Z!zW&y2M98R$GM>>FtL_K}1BN2$#@<#@oD)Vq;?k1!-XWLH!Sg#dG8d zT~2NkM9syepo|P1e(1ZnxMcB#G8wdeL9~-~+6S0LBo~-vUZ)EPL3X=8ArG~{D$Pi% z9T}v;4-l4e)}vi-P>p>EEV>;q$Jvq%h^}8Fw$SaN?Llx}z|w=5 zn1md?qqQF%jb|>IH#wnsNmyTRO$D@?d9|L2z*C=7mJHwu29j zj|AFzMf@J;#$z(3LhnOAX7TLe?Ila%pM8&uL;aR$9t_wyL9sR#_EN~9mcR*fR8V{IWU4(mta4@mf_y-HqbmRjH!S%(*6RJ% z4V7LP z3BWG`yfGP*ny*_afO`xM4^|s?dV~FFx~3vbdBPR$E@=Eq>;tOFz4TQgF-(mV5X=QF zeqnb^1owkzK0PC2^pk~CJvbxv&_QMFWNX%NXwz2&*JES*S^r@C$$-cFiMpD6iu*No zl_y^qeeu2|RfR4l55i5^A%mEk1+K5lVhne0XFX}hz6pvL>|8L>(LL|CC~UJD)$R9D z=SgDthXxh!lyi9zwPaMRRN$3NE|;Jbe^iV!HTi79b%hb4=9170a`WT%ZJ#}V_U>;( z1*i`dZWRu}0dPF{Z?yo*usp)C393GWAl6*AhvOzj|Cl@obKRqo2`hTL=a+!(jF?w4 zyKo|$8iXq+FqCr&a#B+E%@5*C!rqBWOT+E^vPU#mRDg|YV(!DdWw`f$TQ?7fb(2`M zN3_vgz|pRTuA$)2hU1XBfHbw@;;z_)2#P=@Il4f8=QKMoFwj#%>6XbBF_M!*_c1dx zYdJp(-M;;?R|k~#&vJ90B80B z{b#Ax_(Hdl2*A0Cz88Q|$`t$&`DuU;nmCXfHoG^uR4K{3`O4)Qm>cXZ%);ga$_l}P zJJ>=~ZS8E-XSLZrkK3MbbaXIqAT}mOI-ZmS4^L(#B_ji|m}8np)ODV>-8PZVDvU!~8??keOgAro{Cb2L01GKx-F7r6^BiaF4RWE># z70kd;`OY1ver}j-$XEsH*0i!WJqg;6%F6W`6KH%=yFUPsVfGsQFo5edrd2Y7#1*PD z46Nd4pcq!Mo!y-#QOBYrQ z8d^eI53kxzB@gWTd$=tHv{KUYWH3$2=)kryaJ(I;4l`hp(KB+m{_|f*``@lK_g<~g z-VXTYZ=U=$aYdGL_Ug;h*?YfG(h)+`N1Noq4jKj)7WA$5t;9WNQzBPQ142!t^81#* zAb+&h?%`;gvyqOl+2$3EpPrTV1X%WEp2fi=&34!?#$KQ-= zTt$huM*D0ce?~K|rPWMVlj=G-v09Ct>NVHq0hz|JVPcEvI_*9(npZyM2E^4 zpj8D0^1J&k-py6D1v8tAdFD>P4{zwzOGTArm6LN~Ns9EfUT;lq?ry^^-*bL@NJ4tg zogE$WfRfSRXQ`*zk4+QF?J^+SNMd6ra|0aB+mh9W-IQS=sEX*h5IaLFgO6s;KD%&A z4Mu@vcUWhMfLo5u3ev2+?a&NFjrY+h2s~e2R&H(D=OYgT*;suCoUZB2wGqy-;FRH! z_&^JN$HTP~Mc4oe3d;5Z9W|}*9IU3z-`VAuhkWaT$l*K{i8Bch)^5k~xi zXa~0K_siI7p1$IOWFmQ^^NyJz9cvw+`1;ZFVT;0;b?nzj?gD@8god)R@;<8!)VqVz z{n+kgD@#jmhZEkW{>|xhalbC-7r5i9vabS=~sDEyHO@3$L|7i);NABM2X z3vbo;%n=dfWMv^Du*HGc^tQO+7AWQXeA=C974_AC34dlFyt6QP2^NQ1wHBHI*Dey4 zh~XooDms#U4J2jibc!jY6f9(7IeBOH&4!q0*FT-Q3ZG$Te!pWu8?dY@UCJD0Dwv`fOkBoV6K zG>=OldbQE5!k= zSyBU?Tqh5MoJrYi+k1Iz*P1j$>|eiHWm;okqDQebNgoSmAy=|3%vAGy5i6x&2Lgzb zy9kv?-tq5dnXu?GuolGryg(Jowl8uloO^YkRqu@tdhq4xM!@@xcdTZJBY(375=&4} zg_ppS=Wo?`yhdGzzrP0mAwM-;$xRhJQReZ!) z$;;0JKIgql3`gCfA`d3g`mZUW`i8lLABI0;lLMXV1@e7lY;0`UizLws_6nn-e*PLx zGi2(i+EB<3@KosA73nXxAGIG|l_2^_g^gWLo{ERCrbHE-1TNXjJ@>?m{V`>@t?(n? zH=nbMf0R(=heOCXTT}a}LL4HZEY&-yo3fGdE_y<)7J;>CYc=_1y1!wcNsol|xKtSB5x3`p z+X_cFsC1)TLmhAGj>gI8Z}5_6&G6T4>GC_%1s9LHSGuo+ zjf94ob$E+eGDCSf52cySdX8U1SHX*OcPit3@~z|vCjXs;v?|dD_dk_%_jdlqoHxOd^5n?&Cpuf7kL3u3o`gLaJ2U82SQnpH~$)BmIhl?*;am_Mq@AIFJ zk!f-`m={T%yN_OC#&O;!;s3&e{>4B+BZu9rlhTpPkzZS1f$C{rM2=FnF_jrs>?KD= z)|=s9o$l@H{x3Nyx2+k~iv9q9$8|w9DaL2!F8f0zHl;alza!KBG+C2XqmmYp%O2I| zw<}S)8byx_$`R_xN$&Pyf<+W^jpq1wD|TSK&FLBh)O;6iIIt?C=`jch_STgL88&~3 zs0u4f)CK8LV|~>mOGuV#& zImW_D&O^hAGD=P`(A0EiDpF?veFyWm2Yf!E@ssyvP&jAUq?kaOx4G6O$7{p+m|LN=sH zzV{x4cTwmgxRB@gZHBnzpF|{zCon@(#e9CFmB?3-Ohx}YET(ghR&9`s{;~98maNO| zgbY0ky0GmB4Zsn%h`+Kc_yihn5p#oC%t0zO4$D2QkY2fv_CFg1imor zD0=PX2aBDrcr;TQ(}y>0XZ1^4H78qc?(PX>3BhJ$59D*JM+<8F?Rgg8lZ_at+}Wjx z=zMmdzo;Wu=d|~09694c_5`H)Dc)CN%KS%1t25Ztn6)Y=b|-32@4Fz6zld6+a%Xs- z3;SV!8he~ix6-mMXFC2Jm;nLbV$K%B_UB6;MM080Cht>nC&rZ2+Og zgGKTha;%Xo=2eM_bFf8J+uFIlx~_5D>z`@syX*Txppu-FG=Q{v&bHp>;I1GN{NNhg zf6L}FvR*gizq3$P*zy>;l+6TRLAafdo7-#C)oxEj9gjVv82DyjU_QXmqI!ORzX9wq z&f`icM#}`tF|I7m%t-ECJw2rrqZoINjgkc2n>0*ild7F-H-6zhPxcp6D{<&pf}qA0 zqk4&Ww0kWyVc?WS;6=vgJ^BgYO((QlR3xOq`hA7^G-+H3<7l4utC2mb(e)@+w9K>v zwVr_}@u=nGZ@p&wF3Jcoso@ z=TThuT_AZ6GIMcNW@brSPaYf?;gXX#7+zxOSFN{o;bkk}YpW_Nor*+;2jKN6Cclc# z#R&_~lup3?%NwSSeV}fewCXZ?68Mf@d;+S{TQ9NSMG1b1iH=xbT57FRmC!&@LRUg_ zB?HX5L?AiEkl<4qnlU)%u3gVmBRq}7Tbp#BRpK1q7SW?)nJW0I-pXcUxbd?mU6j!-zIs5{1;8u@J)w1UCflsyK|Q^<3l zlw(tLQpRf~>Bk7FhS2)v#?aI6?Ap84*;ew#Sl>s+WKuE7c;9;Ac_k+&L&8_-TU5h% z7ar|{mO7#UUxY6>C)%3>ZdF+Hg_^n)l$^0WXR00)BYSI4-{bJF1Y)X)UAg! zXtj62##bMPt)Jc9-Fe#9o!AUhGtRa-3uqD8BN()it?!dWT`?v&bWa*yzfynK+Kwr$ zdKB;YXx@|pb9SFzfAa&5Yz_@t*jmHD?_Tx$->;gGa4bqa7la>z1Z*_#?e6CBbM+#i zr+=mND4>?2G@HdQd??SI%h7871-I3H(2=aDXeu(^E1VEu4KD1gRF9@nVyjz*`BKby zvZxyzf?Y6r(j*97$*b>WIEz8*;WE*3=^}WX@g;tE5qyO?GnUhkq=$>oRyz7FGkg@C zU#I&E4k->N$2YI#J>1mjj-&WI>=XDGDG=f1y%+IuzvlgBh0sP2-WnEQLyvb*ft#BM zT2)BT-^my}S{b*f(^nm)O$ELR13#PU%n5%BD(HNSGu0P zJFCC9cX=y%mjYFsl0LDr@(g7ZvyaiTj2Uwu-hiT%lgEdM7flKaVJ&KSpijMH8w&Ik~I+3>wyWX=;!!@1@O2 zG+)rHY2eCx@z`D*Z=_u%XJvKpxrqzQYgW$vYuvOhM@S!($*-DnDGH;a|HazRynXZ_gntc8075D{HLO2}W z7uDfDz`=2ofZ|Rd27g%n9uuND)975nX4=0!TU&W<^MQqmtnb&PF|f+~eqm}(<>vl` ztbl+)+m~DS@6Df3r}-w>xIXjp@i6OF#24NQ43<|`R@TMWsd*MhIAV$=I6FHhlYx0x zrJ)1QukP)K4aoErXjf_WOtt z7kL)}p}7&&>}Ti`3HoQxit5Dhi3r}vg_HA{JQsYc@^)8oo|=)dkDqACzHN)sa>~ov zv^N%E`Ui=H_~W_Yde^VVqUW0&xf|ge@nnA)^mp^z4MgA3jgMadjX_jQ=p%P<6Yyfm zM|jWo_%Fu2O$7&*iMrCBLFUrf$QZcym6r2kc?u+Oi~Y2OQf?pc7}g4~9aAaL>e_1a z_wxEvO3D5d933N@u>=hKLPDO3Wb_OSN?}S6u(qDBmbul?(ZLEr9zNX~XQp0BTT#?o zQlgdnFrZGj6Bn%&ApT7a!Y8SpS3Y2hOPaJN2ZSbX__aR0_50 z{Q)Rb)jyH-T9$*|;&|eM*;rro?H$j)7za}PQP#US%@1oC%y zeh2cySo)(?pOT*S9=~Vb=2Vuz%J{wA7m92B65=Biti)tw_5T(mJYh0-t=GPd5^O#* zqU3+>wlh`kRxgGJpLN3Z^$joIYq#r`CoMURpMxpPcSpB>*LQ%=DjN~+K>1_M)1#l4 z*t?!beOw8(cA0;@QKTleyosWGFOP!)^M(AAi(ly9gMF~;>GsCHHtL)}WAX}Y&7SMj zRh6VpT2;Z*0Ik6dcydK!oDjtcN@4+DU}U0j5|e=YaHdReEv+7FsqlA&*H zY%HjPiZK=AU})Gk6b_r{_X*tNAUjFp|8W%*yN6zi!<-Fj0bd)>^OR57b z%4OrV6a0;@x^UMTN}nP|hdXC2cdggy>|Qk_2#!uX;3hIb7oJu2$0oiI|4kGlL1F9u zLaQV@a$5}dhg6`=vH3BYYsN<2{o^uzVk^LmAo5Ls$ z7C+b(J0D%7EzMQYk|y#_R#<9k!5&iVGZ*Kh2ag^-=aA_h{ly{pVy-X2z2Z4C6S~~H zmrt*bCx;USs_Q4JikD#A$HT=VxeRc_bA}xy#OK^#%f;q5Zmok&%yNhBJ~4OGWwE21 zsGA|3ZzH;pp+hF%=T!3xZW;wB0c9BokASm{;Wc}4ytu4o{AHDVtzY-Qe))p4K|KVA zhc-#=db#D^d}E`DeZEqbtl15HH+=>*W>(z+^#a`LigFKL8LACGSa<;6tM2Lj9+GcX zKyM#ew|jpl4-x+f2YZ>}bWn++2qN;cqX0tKxAAw~zmjj&-WxA}ubQ4*B;~!g(bYT6 z!_8OZANTKopty7RNb_^A^l23n26$@l+U4|^0`77Z=#o8`H6${A&4ZzVO? zqHkVoIwbBUuJ!hp{~SA}e)5FP5X!UQqHA`DRt-|1k9O-5L5*=FvfA_DUn4i%_r4sp zVhcTEU;tsHR8cRC#at93Toq)~gwNGNLUrcJ0k2CV7h~bje!qoy)V~2|c}6Kct2XXZ zXunC9V6ZQzK*@5vKpX4|+S*#kWio1y;Otrnl-E*pTi>TjA3W#?QZ3MW5OUYUh2ZLE z=7o}+Eh0fO-$Y(T%-qN)$Lr3m&><4)pRXxf)JscY`9l4&rRD%11&r0eeT)%stq7U9 z`&Zx4kPY0*@!Ov7zhkJA2$+=Z*lQv%)F^C&oTiemzOt}!uzErou0(URHjstYU`;6B zdEiv{rg2uZZ0tX6?Bz`6`}J%71i~#$}Z*~41_f@)11X2ThjrKhj?Vi zz&e8Ja`nQh)YO(;|Aex#V_&!;%f@Gy$gaf9vo$bB)ykglEqsZ^<`{5K8i?h<7!i?_ zl6#W`snn7%Rv5zT2AevQ!Is#Y;F6CDDb7EH$5vp%KXct3KSt*7?C*m66sw{c9PbfB zjkCL;@B^A!2c;e#hgN`%%ue6b^bFesg#i8IVpv8|KhN(c-$^(&Vecp-d5uJQ{UWWy zDAV*XVMCa*M8IK2&1Dmb5OWTHr|7Lya-&X}*>7}2{rb3?>S~z;eh$X+hr5z!f_%x3 zdi!G_K5uMVT;CwC8A(;6w|~z}MaF6@JD)UJFUFdBh58T9d(ADmt}E3*px}TZ^^v7* zNt=-x6QdLr8=GzHF?)BeqByau!kG}-aVPz2`foi1F@ztSjd_Tf8Q20gD6lVZ3L_me z354LxY@#{zT)_6Dyvm2G)4$nZAW?v!m*Lobf%KGDdY?)O?sG@RYe!=e%lyyzd*=s) zxY?sgs4wv;-PIpXVyrRm_uaoIewlp=*5wVAqlv8#l~%JlDEBs7&RhCsW!$2j6sSB? z!V*IhTVCn&Qr~$u5G}Yg5MVUEtcp@s6`Cdi@u3Qf-q%gM3+-N{vsE7eTEj_OS)Ld0 z=cPS?Bf2hpT&K(4rIl&Waec0*EPCaIaP<#Zxg{wxl#$6fK#F_cZO}>4K+##9=OvBP z*JE@t>Ew*o{*&WTB>#K&<{)7y-AdzZWFC(yVF87hrplmX`L%Bn4LtH}Rp+ z)qX2gzL+1fXy8F2pKSr09zUX4v7w>fR-uCPfw4va%v3L(lCn$? z)+l!w%?!L+Q~v!WV(eL8cuYqxA`_3@9~=wKh0lZN4LC@R_fSIbC7N5`XQW&xD`RJW zWzD?zBdM-cvNK+%R{sK|JWio=S!`UZtViXjf<_nLZ{oJ+W_XF z$z{E;Qjz@OS4Vr=FwX~{TQ#IARdI2notpAI=OkCFvq9!o2eaKBG(o6X7HbtVTr`Lc z>PBR5Z^jnaanF}|0@E7lujq}#{^yyQ~ zi_j^;az;w;s~I=m%#v@13JGa;p9Adn>ssQQ-zsUdeQfIlf))Y6$n+8*x-DW2~oe#!Q`2FWW|p0uy&>wIvwCy7c|yxd7>Zub4If%1()V{N=UKDF|K9zG-wS<` z;-!yArXU&iIRG2F_!eEen6EvclZCBx7%3D6V8^XFMgf6@hj^H`0FHeu@G^Rf7;@E6 zOVE4;$9+gp6-SA=^?Vtwgvx`X*b@#DO6ki;29-kzf3jk|=DTWYl$yM3l2{ZXdt=~G zR%XI_XX|r_t4|sD1)jKroUG?jq7vvOEakRqL=L`bq44oX!^tMwr~l&i4x;C^MdYpi?~jnEt+%C<_%s6gVf2f_c~T{$@3`rYQ>`axORZxBB5Lu z&dh>}Lz=4)Ean2;#sur+$9xx=S}hT>aCuMBk;q;rk>R9M4R3_M~~LdDb7HqeJitoh2?jEj%I} zUwY{W=HkLnT0yxgR|Lg*ju=rhDYHGD`kmX7tiqGCv%TT0fhT+Zt@&WLUtC(WJt9?k zpKYZQsrjsf-5Pj+`l}$+B%OU285s%DGa+=$HLEJ zcQeV1HsLd}WLMV}(qv?$-*1hzwn1%G<<-%T#5H$0m-^JwaJF952E!I7 zqO|s)A>Q;~mw4YEJoW?}gpqW#moPC>{XLC>s(8oHihZvZ-)vVHzBaPU%Yl(LvqRNz zGA#!7-1PKxlYvAs(vA}>%mj7KO6>PXnSIjUj=-VC!Lmi@P0(}%g}C*NjJ$476mt@v zsnv>W!TmSVvPiRZOv>p6eFJ^-wWikaVgJFT{yGCY)85gsLzx*-*TmSvuKk{)>SeMB zK!0mMIw$xD5sm%?-o2rTmur|&^R=AI@Q6+}sL-fo`n}W*+s> z8zfz#+lFY|-oht3@87@Y;uQOAqe?Umv(OP!D;7HX#!v=E%^j0Juo;49z&dJ9b{-20 zi|}nE2s**ZPolXTb@Ua|0y@a~-3FmNVItZ^ZkB3+O1sAX?-6d&%f@(itVEx^^T?#6 zq=`E(h*YE6cSVJTg%L!N^FJO?1dztv5YiwMpZBOdzw9|+rXsq`$jX8n$lsYsTPX7Z z?v;YPJUABb*bDzupH_pd8!Y5+So0pQ6Jv}+dNFw{YM>llTTg_Hh@=MNXq9N5iWjdv+ z`4;+ulyuVK<0HP)%Dj3dQUJ!NCpug<7RS?O7f0auhp@E%Y-vA(cd^Y)@82LHd!yY& z$?-c~0{oQyS$0rSa-8U|vax-qp!Gv&V{*G%kV9 z5jN;tyqSWCkhuZM$6Spu!=7v1n!_HD>%V^gX5{z8*j@V?w%C<=0qk&(a!B`=j znw}nBMsZOu(rX6tm&kl4z(?YHT`wZH1XQ8z#7GvA!`nRB9^$Hy?!t1_Z=S}L+%c`3u9C&z;^qd>0{yQ9GL0Y z&f2ID6|B>k;v16-v#dW3A;rt_6~1{R6CM`9>vqm!>owKZ>w6RbBT+?J9hrli9_wR+j=cae!7GJ_5;QSP`nDyu zIREY-=h05QgEv6}PM7XHt_iJBz66tD-_`-?yMA46-OFqTMg#z*d5+YJ^UaAE&_AR6=oMN3qc87@dvvfSK{kL)kDth{Ry!$I}QaI6~A|fH5$M@nz zaYaEyGQUD})_1wcaqry^!Yz3VXz9#jy3c_N!4u+fGBirzaYa=aa(iX6a@qYdfKVY# zf>J*n+ry!8ufL8+(98E7wU*{uoK?-S9i(tgikApOj)7yi=zh}R(eO`S{>$@}l2Q+0 zXLhRF1uBnPwo_~NoQ1fbMiZd1%`Y{eZkvs9)9V7S@?7wUfYo{i(@LUUL}dF&f`OiX ztU&v{kzb42Lum?Higo&}C`I-A;fItIef6>vC*-ND*Vl|pIAT?9YJ-8 zKzu44jJ~u1rv1pb5hb>ytBMcS7dIa>F(pElWnwAIMsbi&{u9eceN&81aDDVyYJ`nw zuVf)<5%6H0brK zH^nHve%_T)m75aE4W#w9A8ma%(+;i1aIH zyzLtzZu|6uqKP;!ujGpUjc(CuKS0QA0MWqFTNr6``@7#EMhtl|AdomM=SCnp2zMIx zn3jM2bgqFETsr5}Hf9^>U(t(y7gLzuoG52Pu7;&|c4j7sf^Y7*s_aZDB0YUsklEyH z(PhMaP^tc+o|noZE}SHK%kB?X-Vqy=fRJh%r-e=HlhPki$-N3c~U%<(!X5ATw&QZc2JRZTK|_$R#n*RKYow-Ksn+@5%=e}UG5 zOu8{LnC-<4;yt5{yfmDn`$>`t3cr81N+MS&eh>NzyOIa|lHoBi?_8$J>U?f%?iS1= zs*Z!Jc(K#(-!2F$UrVaaxf>p7YHMG!?q{6a93+tNAf(8XHE)j$R6{GrS-7UJ zZ7#o-ivIUC$d1?`telK`@EWfab4|M(vt@9{?2AzxFX+IiAYYIrKleBFNTbzv`Y~q z!Ja-SWDo}dDd6}65yTVz^I~r)0lpZ98(v{GsS%>PUw!m%CK}xQv%4V(>0l_Fb*p*L z4EKt=KC7u^b;sJ9wa^ohnFaxg|J$!Jl`9G~+3Mu~JGPbc{|Vd5&BiQc{La+Oj*{#D z54H6L3p)?n|4wb)0cz`8$?^8aHdPsE>EGGKm1@O?;}aMLfdsV%;zc;W?m84J;eYjF zf0z2@9%lrT@dlb=x?}p8@w>+>u0P`Pw&rI2lqU$-ZugI7&&I0ROcQxbcY9|pT>e*F zYwR~j$tEHBIKANH{86@mhnDaEFHcM1O^N>0@={k2vT|^hg@yr0(5_hI<>WL=Tro8J zJ`i8oovqDgg+7o&8l1M5V43+MAAqoa1eqS4WpHaIpU=zeG?D;t!qB#DFYcm(M{%vXb-zsPlex@kT>J^?De zad1g|7>)B-|Lz<@=PVNw{SUiMw8z4}v6LS0Qc(eO;+Jp_ykc>c7J{BPSO1Kv!^5ii zTE)bCZ8uZ^Lf+uNj*pq_SC_D3q ziLr7mHpBw{3vlA zkL3Hcq_(7^fL;{06${@p50|hYCO$$dT6IDz$`b$aNS~B~Lg=pjEQD)3x|96Jaj98STO&iL=&bov#Y*|I7R zdj===RXsZQA}WiEQyP^&*gMP?d4MQOxJK~3O(YW;1$p<%))Z9PTJ}LGkF?!fgAk`L z?V(>s0POsp(oi4H23%p^Lz~K~D}=CWd{2RP{aEmVssdH4sj`ClgNF~HXX35mMZ=@e z3K#)FN~^A^X>dIYTKliRhKI<_oVzPelHvM%<1GlZ4qSl=d=C9_LfEFw_w%gP-&`o5 z-F=6i;*TaSAr7meslL&`Cp6~@TJroB6Gf0u1Lbv;Go?$*N_;szXhZ`excO~ENa*~K=MBz=TYI1Qn2K+Ct=Pv-x`|$wHV-| zSm}vU@3*O|xJCLI(Tc3GDhnc+CbP=1jZ;ei6V>P+H8^%C}4`6q>X zcW7l3CqPm9@UD3O69&dTY;x`@%@2+$5-PUTUSb+9Q$s>O3e<{yVqf<3^)y96gW$$= zVPRA-Q8}_sQoAuG{73_^vM(_#7;#5iLt|=>%`=Le7V|86*iTJO(ag%^2KG(TNu2c| zbVZFVze9uN$6+pQeD%5Gq>pPpd8YCDblT(kl8nz@+PSa!EiB6eYzv)w9*^$9x$$|@~(^U94&{nE6OSztJUKN z8vG?YgtoiSan09tu$EtAj=u#p`2Go|dWHo;^OB~zW>nL~Lix$E0(_GzIopHMBrym=7%AcGk6XfI% z1x=2lp{lA+dp%z@`=B$ECKK{uMV>|j;jTQ+JpMHP-)iw6+{jP)b;!xS(y20A7&3lS zdZfXxXvi7^R$t*ujC_f<^z8KL@bF=Lyq7tEhTGWM!icH@bK&%5#e>iXAst`#zm#qQ zRx=^jL$*FbnSQ-wBEweO6gnK`$P!z`mgY1S-+o*?Mu&iauVLyvj=H?-?n|ILz}S$@ zXcKQ0J0C&9=$>#&aEtezLg$_-CGrX682==ct z@;tp;j=qb;O2xeQNrK`X)_pXsQu97=aKK`!)MlaO!_X2IIj_^oW+Z(48}7M|ri#ia zCxLegc9~Zx*R5ARSFw(dCrX#tbW%)yu);N^@%F|wqAIh3hJf=Bu& zU(ZC{?LOFg7rrdqfcg?whrJkXeFKG?OOHJj`jKb5p!p#m5k0%jh!2lzJt`X9fu;3T zof~&$ytdi4mHMnthWdO7Z8zI;bUpqePX_ojIGm73cKd#cXbf^=tgC#= z|6J=VwxBR+4@6<29wu^5w~1n<4g&*2BmpAdmVtRWm%3x`R#Y4J#BCodB7DN-wY4V! z;wRqvF`cF+=;2BT2T!OukQdlmjwaS8m?@!(Nwq39@3-})wCzt(gpZ@O@LLPwE<2O*7AH00w(5q%Bw)E}LgtQC2)$1AL zj}iRa>JyaPMTxCU^f}inMfR5izD;f}tn6^STYQ?&pfRHom?|eFtybcO9{cV+!&Yj` z<9;wEXkJ@J43|--*p1gl2uCh>Q>q5$6Zxid+rSM8ac`ob*sbh zK4100@b$-g*Z4-1GfSY%w9~d7ZM(pZ8H|ks2?COZH~Ymf$+&MG(_PSRgO_9Bo$HIb zx`%V;-koHP2h3}Z&Gpchv(w0Fe79it_>byaJ!{x7pPruX z=cZga>1^hwh8Q`yIE!XP;zxW7aQb|G2M|BBsI*9bQc+0MAF2a1Nd!S;WX*-=58=Uw z18B_!rvED=qh+Giw-^sLSydjUUM`O;&s=G})qI`3Lq2IaRfLW}IiH(?lqDN?FNKMT zZgtZ5w$o$qj|f^UJ%f|0AP}zMSqB%LxjN_8QViMT-*qe^&EMdQeCzDn zmK|h)hb&>q$&?LUftz&*v|eayaK91ge!WfuX6Wde^cxf$uiq_r4TFFo~~<;i;?E%D-u;J!nOP$RWEX6sqb}YnTYa=p^ zqH%C}QY#A_1Uli!EYG9^%TY~s>Uqzz`oO@z5XVrr0xivd;u``YYNvJ7K=(2kJqot& zN>O*=tVel+@wH#R0JV6we%Y6kK|3hsP}9@|?yC4pNvfX)PDkiQH#`|7xGAWwKkLM9 zZf?Tp?C4;dDY;v92tLBGo_x7iuNEeev_u#Bv6hFBnrMa zAVPYsC3SJYT+jT4+2$LLZdFYc{jTB_ET@c#x>y6EMT4?jN8o2SLj%$g3=JmtlW-Vm zu!uR`)NJI7_Eq^i??t0(*sKrO*KcKi@ToiA-?!;R{&sGQV}4%AWW^N4!Mt^y|2aPE zJ^?+Z;{oVnfIsx%byHMQf_hO_i**)Azg^6I@BI!TM)&arK1BCVQ;v1**zW%}EAa>o zYZXYNk)x|7s{%D=!h&#;>gZ>Qel$4D(!%k;c+4k6AvD3}#_7~zWn~2bQa8-6vm&wa zEZ`M7KLQ4motUUWdPc_Yg63&3xfVPt6t?^&2Be?Fu4Thp@a1HmU!LxW89&%S5VDPB z-9+TPg1fbeL?(!H*Y5g;?~u?ra(&9({vN_Hdq_q{C7vyWCAC$aJC0-J>g>wl!lIi} z;H8mfJd0*MIX>Hi^xImF9eb zOHU*7@zI0LsaOqb>%G7@PN<6^e+TiPthbLFDJcjjIQRDtTED%%VSarV@h;FXzu9~j zWJjZH3e|qbXVOdDN#xF~!LCK2@0aOMGvAzAG!eFlYx1JB3ZWl+cm6ryo2P}*T?UD~ z_CICfI6F6?ZBpdq3w>L~M%Iy6EeIwQM86@8r>Lm$wA2hPz?Y}nORh5M!;`<9*F#dE zD>w7;2Xf+u``n1nd?XOX$SE7aXVZ!o6BBb_`9xI5zc-P?6`-g49kCvh14)@^_C`)m zrKculCfa7i&sA3yoM~m^k^Gjs+>m|^XURlqGDrriY~B)>JB(B8C|U7L^4NTo&lB_z zJohG?!8lxA3z(nqnFn^nF-8lWqP)D;D`Y*lzYM+a?tYvA&>kIVVr%jUY`N{A?9$vyH#P2aw9UJx|hjfeAUWpx!o z7A-l1ZVVD{NqL*&;U0$W6YKS*P^u5dRfvK=14?^RK7Gc@d8GKx>j?2t zyC-IJbnN~Km7f=i87x3=d)2moD@jUzc5!8*XYsF^r~*l-`3=vI7l>&&U*CyZ+?}t& zeQ4k?Y$1G$Ac;jr$3&k=VY4?UklmI_9Uy=9^7Sj=%@0w(sdd=eT_zuhJp*@koDvzXSHR^mm!;oO#h8@f!()&8Rpx6037<*g`2ucvJa4uy=g*1Y-1y=lA|(k%4v9dL(TF*8e6E7A z6fsop`|*MM*(s?ZQE44PA1N%{J8B?U^tv|kTo6t751W=3AN4t%#opv$d<(g0WcVjZ zVgVC770#qg8C|*CY3s(5qZ7Q3x;2ss5g}!6bgrofm1GSsZH{S9FpW3&GZNwx2)m!B zXEMMW@)*$%pPj?p<>m^m!C0c@`<_cniwXfbxi5O6X9_qr&r8<^Tu!&Yx-fo7k$ojA zqPQU2^ywv^RDG7$2hdGOkRP`#v>kZrUXs3>D+Ou(kORLeIU|Gm)M~blgD+gJ?Ydxf zc89O>LsKSW+|c~K%M(zs5N;4uNm}RT8onCta3_oF-N7&>Qq-6&^c1qiII4_HtylB4 zCVYhdUF``arI-3p`j5LiQ;D;#ypEf0%5k?7RFR+P=iSd{yM}3BTDl~^d?NW>tH=z& zz_tO^$i(=uK})N~T**~f1NRtyO2a#kqx0e=U}*5m5W7}s=ndu$s>U<2xRzal`yC7I zO0PYB*1dKf<;LX0u+QEde(w0;EZOnjV|2!cKj?ls7t43|I7NktIR(_Fy%KP~GQwl^ z>PzbgM!|k)p=Z|guv5G*H$l+wV!10^Awl2Ci5*Z{=u5Q1eaDz5Jl3bbotg#SNG~^@ zDkzbATkm{wB3I%Y1FOeq+RrY!uBy&jly4Z{_U5Yj^qTH~q_vsP^;BCf?0y!E(NSTu{iINhjqoYyG}E;a4ny?V0-?b1}zI<%ER8mzeuDY2>?`^|0pH8pUE z0P@u$YMz{c;H5no7)`Z)S1Vpu)WGEO?AaIBe!-{x+dn2lsKi3v#0Q4qnyX=)Fp=g= z73qsX<`}j&zc7dv*5OpR#}lgDK2(?Ym7Rju?#oT;BXiq-tr*I4Sl1(9lT6TX?0XX5_5F7sX|{e{qQ!aeCOA?; zRyKx}nx8#BOo=c6dC%waR)btB$&fi8M9x! zV3tI#uMUZjA6I(vG)eFwuU+#MB^Y?wmsT({BD?8rzScvQ5O9!)~7dndwX(8Zf%|lnKis7 zbi)A)$n)4%&Xp)opyd15%jiWw%#4VVgTpCY)KZEcrL?n636&+Wx%MMYqt47Pxssi@ zbd^v;!lbmQ%&W02;q$(RXpY)?1INm@)XE;Nvw!yI#K*UCAg3 zXi!9Tvo9-pl(M?aIADb8EBdnf<=@G_{L_;R1rtKVY!1?TdX#68)C?n1>AGI?Vzr-D z3$@*^mS4<&b>-h`S-=Osa=P>9tDx^(6iBn%4alCJzE8~>Qy>3zj2F%O$7bZMbG*o7 z;{G;%QUE1hm6h_#D=Tr_Vc}~cf2@V)Pq*j994md3qT|ErWIRY^t&g9(I5f9zj91Pt zeEPgmz#Q9ogLv7>jGKd(*`PlZ4_XGGldrCj8WK`;XddU1J$lrT&I6Z!k}+cgixT@I z6?2jMcX_Dj0A`<4ok9^Iy zqi+wyhkK-244@fr}!L;%dZ6M502590id(Ik{cCO z&g9u<4ti@j2N$zr)?z>C4a|&}e|A2#`AuyGMS`s_Ba(W)x}E#ZVvJ@;kAOu}>#&%) zZv+Gv2QJarCC(^jI+m`A7qLYQi6M|$&Qbngn}XjhM7f`j1y@x{_KnBGL&bAl3%BP2 zR=8Lk2jWX5DEw+qHPc1gn!&jQcWeJTr5$TVBK|_geXlQ#Ren@pk zF1lQn!V8Pwp-5sXh|DAi+%$pjU( zDfm`S2OycO7U(zxlA}4C`P^fm>a(~Vb5c`N^Gc&Phwy}aTWa%JX)?s0>i}VK)1_M% zD;Y}d>8<1WMn72Ks2EytZFY1vY4g#!0zq^?SI`IO666SN6R6Io5@Npudf+T)#}PB~ zlX9|{n>Cnu>C$yMwlKCderod?cngH|Y^y;{k>UJ>cOKUro6?$Wxt zYv@8ui2Mb$KA)U6LsAa%WTmLJ3Je7*OsU*4s`GH>1)L9K9D5 zR@d83O3g~d6u90$0FJD?$NGKv{pMjud7mWeqmKb&@EA5c%HH1Irjqf_U%qVd8=%9M zR8+)t+~^PfOuk93-yhS_MbZU*_!*Ynu?oOe50OHoVW^W@0e7~o_q>d(%p=#ahyB@D zI{UzO7~JgZS37494=JDIB$_3y2@6X0k1l}WQh*o06+Ni=g$?VU1&6_0_wk2tM7NGWfQ4kbSem8wUtE zo3B%;CPo1tN=xAf@|Z3zFB5XePEYob(c-2hK9CaT*aKR&{h5EwnU$K{48@|vy8m@m zMFj&5kWm7teJ4UMjkYju=U6z{<=ILhQgM>qIaof$Bos5>fM09M{dKD=r%gVV+Oi1SZ&tlcxZq4&Y^Hfz~p?tgoI`q&aq;DiR@b9b}2AsUkMuCiyajV1ps zniArZa#u|G!^uJIwP|U3)diTMh=uaK%0K-XB?P7ne*1UCq6i9da(X~}0zeH6^HnNL zp(u*a@P?*6=-b#ZYKYZY((&b&d_&4B29k2*vv`#MUNXX|CBZeJeP`6~ZMH2aXot%8 zldTSVah7&M!xtuMC!5U4Wp zzpbz;c~D8w6}j%D?Ek-f<(DN%=?^ffH?|1+VOI*wH2>i#q zANjDW#oWGjyS_It3XOo8QBj$o=!Aod4fum7Qb8lr_Z0N3&1Ug!+VwW_l6>#A6aZAj zDveq`XXkMD@e$^5v|kE|voR4lrvK^cs^~CpueTa!##9m%3Jz_nYoFR^6OBI;WY+Jb zG=mL1C_MmDC*j~=uTyy36%ScC?+B;zx+Sx1?*3*32Lm$Qc&0FmkcJ$KNuDPzvw>EJ zMPuo9E?~z6%z$BCa|5u21qDDS2g&*fP=xUno902UNd zaRhG5dri{0%FkBcC^MJ2zS!fB# z7u@9Ub%2_i$Jvs#+Xx~$oF&32AQvtzFDhp9andMm6tn;VRYGe*PEpe;LKf{=K;XmN zsqW-WDT%V1tLx6M2D+t~hfq%!Bo`o@B4MwnnIcjNvo9VTO0Z^OVL@FVN(a^-86E*F zLHt$ze9Wv0gO>GVN*55kg_94xIp3ateuzj(y(9(CoPedI7|vDa<|f8Qahe{;i3Pqs zBi#e&XP^}Iy%U!$Ej#-a2+G|_HWNVgz=it)A@;NnWYr9OS5Lm|pyTXF9sU{bSz1s) zk-U_7!wm$L27g~OZ;smCwF(e^jWNw-0+%9e?bp9rm}qOKXO!yz%}_wmi~I;NE0Kzu zM)NdsiFD*0Xn%^Z>-{bFS-PidhIHRUMNGv+jN+D`lbn-{acXf^8!(cfUQgtUpD$mg z^HRY)G#7Kr19071X$xg{jV8~f!guO_I}Y|yTezbou;%zd{lh=!BjKacz?(_op86<| z4g};j-bDa9VcF2j!#2=$<8C+qlQ`ORrc{|l;K4UYZ6W*kNJjeyP}2u6@`KD2GfZ$) zGs5pL*B~fSJ*D-C#D>%B2px~$PVlUyth#whVaUf9Wu3G<`&gh#TSVn&Kz#+d+r7iv5_u43=M(k6@@ z9Z7Kr<_=;4Z)R>V2Na|~fA(T+?@RjhkCAPL+gFoWfU&)xJs~}?Q>Zfrmq|lY^L(kQ zqFM1+HzWZFxzJ%jHvCh&J;27!9!9#ib#Qgj^Bb^p1AMzjHsAgvu7CZT+1d2W^jscy z3U2Pyrxjo9I6(AYTGF9T(grk(mKHp*)9sO8owUFaK|1gU7eHx?*`N)c*dm~kiD#j2 z5GDY~o%kU6VaAbLlY1<6kZeZ(m)ot8r!>bb5T9{DO2NQyn{Yw_$V$V*GM+OJ%|$IR z0sSaST_eN8Ha740tx^Iuzh|*VR2oUCkLF;-`%QqPI6!!~19~kSVSO8;6;`_%tgilfXMh$eM>`Wn28Lfiayw8m`b-l6ygcyj zpVH;v;NXDbDi~csW}VS+}+yLTt!NZMaVX9rjFFHVkj#;)dM7RGii<}8xdcECd{lK(seHaB%NGiQ-Acd&G|B4ho;!^`=f zJ)0gPn4by%B!RqB$ATgk(ADf{qoYl5Zv>Fs1(5&_sn%J&4}J83F-st9Z4d>HU7&uA z5iTLEL{T$HEK$ER9p)1P!e=AQ(7)H3Y`@r_$ISdyx!BK>Q$LcEqnEYRkQtJkUMypK zUfJ~yxCSi?^^>qqE1y5@%Mcip444P_!sKFjhEWL+uf&^5uvI81CTLi*{5AxPAhlF3L-})1=GEdsbM=mT3Rmx;aA1a_QX$^Q!x+;y>l7UB zw9Kl`*6y#`c2)Yrzcc2wA~%EpTS#Tm_y_#YAGlvnFAw_Yz(4K;pMUWzImm$yA}Ds#_~54jD3tl^%r<63epeNQyVW%dsX~sga?&lkChH~xtjFU&XWGaOWJ3D z_-wKg9UjZRc0ft#MV_QeTo(7!4{_ynNAQewDcwt59B~Jgo;)f~P=BMFnfrNdNc^0s z6^V*64U3?IcKePU%0Azc(S4OwCl5xGtDguOov)4O$Pu~gxdi<`^XxhC|N0GM+|(k0q2*8#R~>Qc0@{Acyg1HKAYa9^*2@X-S@Yrx3Y>1(oEcQ8>)?C*$6Sa zYlg4Zmn5)g^5Z6myKx9^O*%)%VgjDWs`jq(dwu(6K=+#8^^fsc)07o$lxn(0=3VaK zl+>bra+7rztx=V8lI;u*TSYY#E@`C6hM)4Hg9R71)HXHTGfMeZi`9^uL-RNx)$;sr zyw&lXpFdZ*RQn6^H<7s@e>&Po`1txleI&easN2SD;?l*QquYy4I%HRgwMucii~ltx zyGz}6)gAQ{oTi+GK5k}YC3!DvQ*#pf$sNaERr&w`X|-XGop|Ql7z&T(WmEt0KzSXt;if%Ur6qIW^+K8NkQ5>xnHoAqs^~v7^iZ}P|9t6w_c^G5csi9L5o253!x31@;C|_422UpTcZcAG`VjQ z9DH+Tn^YncL*eTR$7(uz->g27llqljRg3^S17>O8q zfS}kEhNWi8yEh^IY6vEy#;o3b71t zOkWnKrQU>R-YrAqfph(kY{h-}EF-RWIC>w=0nN5CXSSA*CCdB$bAS&dm*lX$@OOCX zLwhtSrH{e>k@7{N;-T0bHG589D5+bYW?w3DYDiUVuWc`s5GH?ofKuko8O4Vzqq_7U z9=Z<}sVP3CMc1#HDAMW-F>dB#B@?B`y!+MbT&O${CYH$fI;Bj==6>R!qfUlf&>t$+ zNBaT+hpl#cO$xq=Y{XG;afFR%U1sjsLmTH*f{v%$ukX8G>VgI1ZNKEqs&;S{Btm8x?WPIju)4g8hO!<85$=!c)Uq26zi+R> zAj2Y*A1wF|((dd)%KDH@hKf;C;2FGWsU+}x6gC=de;MbX4>-4Yd8g7hD6gdV)32mhN71x)*OHSRL$2b4f<>V-@Ia+h8LdI^nBPn$IiW zXb8QLu%t4DNG|Do8yQ~xL9`9bs?9O4o6fObcCT{83vU$1pCoUMEs1JOVT}CRD0It*&+9E3s9luN^ zv;t?fb&Z*jmRmg>5I|g;e?a{J>{SY&zv=?5ZVo2NF2{4jDogEF0HW#I``dljQ&Ry6 z77>x}j`Xd0P@JB&wv(s@&_iIJz!NMz8A?W>2Tvh^87y7-#gTCb^Wvj7D=*}VCmWO zTcOwfQA6x%wMDeF47?)Mm}Ami;Ft{7rJ5P_G5}jl*i|Q_}X=t?TcSFSA;=`i3jyZ|< zmF2+1a=nZnPa$>B?=GFQsY1L&w6IuG;EiIg{3frqMsUsnGc_6iboHq@Eb@-4pfEdo zzSA~rNU^x>7f)*}57A?ATx$Hm^ytrRC2B&J*Gs!M814v#?C|(p%va_L$(T>MW#W~i zaTuO+j;StLe;dN4F{*edXcJ)yVryU_ba!#C%kQ8zPB3T=zK7Ml*vM;(fDoklBCiVK zXepyHB($f^wu9J>X*}Xr?m#b44mM6^Q#x@)lRNRwF5m4}YleFW92O|cbcB*;ObOrk z#no+-KZ4V zl%NNjFK(Zbd&_6<%WQrxkcr0&NH5AGK0-bJ%TKvp%bQv{nxG06qz9M<o;s1n=BGj@#-j^C>qj#2}2 zvO@y08%UdCpHq;OlRDR+QnHW|0+FWSGB>asgTaJ5wT;_8-Pt*2s|SjS4nxUcPVrE4 z{n_n@3HdSXy73BHrl9T1-V67i7i;jMlzGja7r2@(E6f~oRE9j3hkT7&HvLXxFV($A zpoFsfhk$PpB{*+mAmY1?llZ$RoIPJGenH$e2Ip+jzprCqgI3*Nf^_)OZuYoMNH!2TyP(wsY$s)-9ODW>{_xmkj1)3Ydhv8atG) z?~y5%xmSkWUBU=Kr`QtLGckyU25|@!j4T@Q+xhoOH&UIiuRM1`HY_(J1s3is6%@IB zVto&fGm@l#rIPo~;>ho$gXw1>l((!MA3~DiI`?9H!+Hi4X7+i4pAFN#HH*U+9Q9hQ zKXI$>cN!O$+Yk^C*pz8L5Kh?dJzN~KIC=sH%S&wV^!do?ppe4pij0E7q0XQufY(TT zBUI6Fq(DJ#@?(LZyQI|W5MMpjd0LCYeX6k0rS97THWJU*`ZV*{DZ~`kbS+MrT%NH# z1FRO6KM2@XYOGvINnb-<%(F&A(O66|S2$wyYXi6Ot~LMQ_p*PjZ4IMv3L=#4CZzup zysP{NLnyzSRl_=tq6h*6t^?`Z6)K5=hQ#10!Z3oRal}A$$^SeYES(=pmKX*CBT}V{ z^|`5;n3_&&^q2t-P%A5~e+E{-0Sma@kCqX=D%2TTWCz#Q3~)gCIlxfqP0<@hrourU z36J}~j+~KBu;6O!?&DUUeIn5=R-WQBt{7q1R+vvh`GkU?_Cj5d_FrKk-&?3FyY^a~0Du zMpMmGQb4iz8|!!-wH1hk4y?ul7${bx-$Gqp1jNMGTdTM@1_m#$kEhEXD%N;o7SuGI zZG@P_KIfYy=jkRrrqrk5`~t}{;p4YH&R@L6gAQhro18}97fIWXzt$#_j*4nqFH*+j zL5{S}f}@J2Y^e4Bbns~c_pLC7zYjSrj_pB}Q@#_(r5v?5$5xd5o1x4pGZue;if=E` zvVl>*FHzP7b<)q4FMnH{rqLm6WMp6oIGxW0tB~w-LUXXnGcL8X+|;v8?I1fNv$Q{G zhp34}$XfC+2d zD7t9o_7U(7)H*sEraWyL?|jQkNyft6S-T*F<5sari#qDEVFE@2|E6$$f443qJNdrF zYkQIFviAMBK>@v`PpEx*=vQgKpvw|O)zWGZLJ1olRIL~*KaF8vq4nRWGqZqql6^ay zB9RhC_&N_Ew(apY6iXsXLUDlC;-ZO&n;4%8N|%*2pqV<)Nke}aL}35EljC$^vt!WL zrO(2G+QemX=Yqhg@&UBje~OZy+g~K`hP?6j^Tc7y(IuAgGsyYwXIJ)Tvt7UD053=z zGf>Ko9`t5Lag*4RP-!a3ONPCA`qW5*W@?JaTd9eo? zepBY?)}V;znf^@>qR?;TsN2*_adKar=0{)Ve%KOu;OL?6uo{$1|l3R}a86pq-4Kb8zUg*Los(SI{OQ^G|8 zprSf#IY2L$O=YffWH=H9s*V8RsoIfYrwX8mDvf7nWtqXDA4OqFmD2pr8SH~o z8JL!qMHIYw70iS;zh4Qi!+We%b8e}QF z03RTg+L&1KTe_>dM20-!b`9tAlAmm8I0U*S15D%UFWXM0zc+MPR3Br$bGxvPX~3tv zp3AVD>ntn{t7%7xsn1%i{X7mpAGiHP_mOqe;Dop*h+<6Zq!gBuw1AVxHX9gB_A>%8(w9M+n*9%D*oXo56C47X{WJ^)<1T~aIN`O4S z9o~s(q)byLU1^J1Hfux{{MrniJsq%Z*FqEgD;K`>M+|P9i>dV-A)G0X3jU)o!ub1c z(N|MT&EDTGOy1*UvF^i2> zd_(qS#A;#>T>hPO4Z9>br>2VMe^cQpjLyS9Ekj76{6=2^J{fIPf)1vo4jIo!!KKh` zCTP70y*a+sQPoF2^qet+h!J+V#(NqE#nWK~TuWHNAB7r4xUqo9vZ;kS6 zYbt+HPbhz`zlUuHA*?U*x%vn==I!w79xggQHwHQ4F}B6Z4%4*6qyiJyA(fVq%7CnH zyRUWE`D)jMFW2dGWSp4GpSR9aBRF(`#35>5Q_IWoe{=W3F2$ff zwU~|)qVJHog;K_6!tSJ)WE;W#Ud3$*xP4QW+ki@l2}LRs>O5;} z+@*btj&eL9_v2cfWXu-CR+8aK*Lv{4XaVAX?KDe|_Qy4dkkS!Wb;=L8e!ac8&{YI~ zpW0f{4YJ*s$gL#s6mC6ofFd`s5Dr#_ivAPj(eL(GA0=^!!J&OnhOo1uQ%jq4;YTZy zH(uahIh2RSRsPp&*^8Ud@k8tc83SG0o}SA|e$txZd2eCi)rdHFiQ5a?;Pvq#D2lAR zDKXV3@Lb&6xBgMcnD2|Es2a(05}Len-CZ4C$ZT)SbTQn_-@VjHkw47(Sfmb>6Vg|Y zV$Acx7j2XFo?tuvmEoV4hNm2Lbk2BXOXS=Xml%YgE69dS@CAS9VG;sc zK#e)h9Oz>|FxI=|7n<^VBNQtjd(re$l>TFfMV6Q?w-vpYYl0%_{*AZ?%K3L zp>OGklA};&6zC)FRn<({qi$_@XR4V%}aI_?ClU(^Ch6tH->^A#4|%jT@-a3~m=Sp9$MdU!tk814Q$#rL=* z1$spVO}Yrb?=IBY3@`JL)B9K!OHwDGbnU(KX~EpIA3q(2NPS(qE^sfCc{K@=D|Q0^ z6j&GQN;z&W;LH9NMwUoGAt0_E1wL&kquhV(MwOqv{kvy}XtG_o`*ql?O2be~Yj1x5 zQ;)x*g7$r~ZFx;rG0l#(N>Am6;9{Df(e+IyR{w4O)gu`G{Z~Bf=bZdS60XhNt;io;>VZEfPZLNd5pncq>`YSR$`-TIcdQ+%Zbl+d8(nMC{Y1g}&y zTi&$;EWm_6P!<*$`wIWK+mD*Zr+C>SA_O_CxNB9}i-3Ym+Ux#8Vu=Y?Pm7tF8|os( zb2$oigyK@vHHs_|MXEs=UK6js@EB1`dkyYYVCc}=M%LUU5k)T5hjrNW9e%bqp1Y|o zxx57@u+)1wZi|_*WmcOTnRh}zYTB+zVJz2?oNSN~@Yp>=8*|8#Ta(w}cU_+emj0@p zq=BBx?3v#9TrR&v`F&;$6$X7``9h+`GkYJNX`o*ALr{fA(pNRTD!j2qkaQ+=g3hOR z>geUclwL^8$qZ|OQc5zBepB8}o~d{?zEAt^3Q4B65eZ;iTjeL6p*xJdz+61pa~(T>5{x z2}s-kQ7jMzpvzQXi3kY^0l{kjjFe_3CMy4olrcCxRJuS{(k(nNBPOU&Ql#Vtj!^*| z12ed^7E$#7*o+E?&s>@grPMLQetm}(rtF5w+FaBDZd?fO$~4UqiIGl`3$c3R`NUo^ zKcd{4kE;XbK<2WJ^#n4F_sQ>(b#X?KPT}iN+~$aiuuAMGkx!KB1Ot1wK|Z0&-u02! znKNW$OD@|X8`xRdcT@)Ps)=7m3Xg0=L&75a2WN`E(H@06A4;rzt1EDg|C(o1n#rQU z(2x-tR!stdIPLII&bBois1$kNmuU-Jm*)Xx3!`xCa7qXkNi3NXSNr6OKeBpYlAH9Os-?4Vz0ZO~P?D!Z{cTG6r}3E)pDF0K|P|mjBAl)&9`i=4H3bM4BZ}I1kHFFOzCu9#~DK=n;G*!Ajg?q`4Yu z*%7z%kZA7&G6wl^`bjoAk!qScnXjL6IMZz$CLoE-?v)5Zk-~K@CuUu3y1t_K4 zz4aT+j&KKkcJmRwL2!?#Gj@TX%?aDD(aicaLN&N|bCB}=lW@FZg|BV#pF@7SsohSS zB{^=9^-8&gbCN%ae;0Y!?UEOLI1umc*xl*iLHP4)bY)Hxn4qbQ>e2F1f~2s6#4KI_fivn4$ab!?eox97dUI(shbymvJtGueT|KFJlT0R7DJ5FFk)&?m&rKkMK;^YebZq^ z5{li`WyTBoR;W%In;n_K(TLiRZH{%jx$};Jj%dJ{^Z;i$P zpDs3w$LaWcwzO2gqU7+)%c*;|M^Pfd%bKJn4`e~Pf178LG^Ru@Q7A{6H;j zE;9%gC1m&4SE(G{nN=BFU(T~5(fvynzV$|Ic%s^m;VcdoWbkV2M54*8vl1uo;HZ-J zjSbb$=DmnrgqqB;Cou1)Ky?uNC1dUT`kfneP!${#C?ygKb-&3w?r6FBJnZ4T*K}*4 z3|71c1$u@0<*GZnK35|9DvPbDOZI&d)8d0+6sC?|wPQ{e*Pp7c;|X(JRGiw&ip;m8 zWyb|U1VhAm(K@Z#!20xNu{+OL0zRk6%<-n*+O9p2r)TC#qI6Y4N!^5lk*n}ftD3S$ z@jr1A`=i4&n-$(qSyQOoTP6?wQ8M=B5Ooa=GZPcQ#CM0%+>=&%NCBVgVhr zJ;V~BRL8+bL`sYk&8~(wn>ENUhYQJ|W5y-0wZ~vPGMY0br9?PjT50iK3gH|GZTqNt z-Tx{*s7Cdo;xd>!X86h_>6<=l?Y$w&r;HRp00rKWWqEK<*udkz-ozPGahKOI&odG0 zGci-zTmvT+B}RV?Wwq!bl7d#Us!)vissERoz$qT$7OtZJzt{OLY*$c3=^Q^Is;(-_ zDAH<`X-2g3XXi+zp3Gk%)=s*b#WjYyaI5>fQRoGH*Ly}IjU1^l3!Y5tkjUelNrc); za_SDHm@&pu zZc4#KRG#tnB)ZzK|<0HV5_-0aYr zJrA{rx^fvqV!xcwU{RQk@yIzz5g2fFgdh%D9mv))F?}^YIY~x)<{q0?r%-NWa`dOF zLm>whSa(#38jRCiIC>6Tntl{v7t6rRVd0n?`KG>cG&pLUer{^<$~mzjomyV*u-f@7 z{LEa(+WXy^8zwSzq*iX6L}vcmj3Pbp9faWTQMI11R$y4NohEN%V(3^0G?psczCr zzCwqjy_J!RP`dJH9$CQaSN&Q)n#E+Tmc zu?p`l{MO5#42}MNIR*dqoBF$t9@(425I8SBO!!y#X}U-GqgG@Z>hA%fM`{k8zVDxF z{3cFQ4F2GbJ++f^kr4E$J%2z#Pa_uo=)7d?a0wq~r47mrP@7x!qZa@j_UuXDR`H~o z_eC#kt{6T|42YR^2F8bR(CD*$F0=Lxa`izrecfnM+CO}bVvFzI%*u`USY!V>dheUh zBZT6OqAEmXBKt*UhYKV-Jt=!3Wf7nn!Z{KPS|(ryBXo|{lyDvNREa==!tC+kI@{h| zGPs9>qEIPGBFDVCB`X*6s(cT(sIGkoY;BIK1cBIu6@*Bw$gA8+Xl<({A8bdXmvm0D zn&UpE0!a(e?F|O!3c7ty=*zlxa*K)Vg(ZeVaJm#3*nFM&Kt*~upauKlNsBfzJQnXB zhxbE2;)lh+$%rq5m_iY5weQ`-({^{9Vs^Ihpah*|k3(gu0f)JXRSGQi>4tI?oWRKJ z$3B&~YXZ%2o3?#lZp(*1B3;{Bf^NVfk8df$08IvND6>AZT#jp+HBs3m;N2MoLhg%;I$pAQ$JP+U1i*52~I8{-zYbsh#k_pEN$7p^XAIiAtsdi#d~Z5@NR@h5fqgI2ee;?M90 z&++@LW-E`4uoab_+EKc$**vGW7#Xa{d$Ow?8OLhvdcpbUGrwEGT&;>>^Lmrb?WE&l zzjn*<^k(b%O^%F>%%J;uXc2|^6Fw%;SJo@$53r0^S6R(Q+D2L&N%BSPaqENylC=ND zZ2a&(s1zUxQX%F6fp|ca?0hr!7Zm_?e6$SXMN0)lhi(7}jJc0sJyIlTX%rnGimOa2 z^4q_EndQGjv$6lE|F2!NJpV5)T6R{>e|OPx{Qm);a&mv-`cEEOeGd^VDcsyu{xcpP z5kC0fEd(M8dZy?Olix5BrjC6Ww!s;Fcqar@?v++{wS;|VmZIp4WKDU2MsUg^d_<=X z_nnK&K+}t9&%ymJrqKO;M5ovDp8O(!&HqcnE<*)}N<{E!rxoql?G7VGzL;h8_fGt! z)d}bR&A_pGvK%36_ex*O^0zX?56|5!kazkt{ta`b6aJ%m0)ONXhpJvS+V?0ps%l;e zWA?i9g~<9wu_ivi-eqiNzj*IRRrvXGs1@Rn`C!z2emf@@tbF<7SE->FpRZ=N zv=hR1T)2U4Swlp?6VA=WhWwJ9=Tw;zCauVpzH{&1bn$liB2^*3z={d4Qz7lUT)76( zNcN~(;#PCccUU;fB_Z0?h(=CcOR8tcHO(L zZrDcRMA5;+jGTX|MLKIk98_fasK zJNh^i8Z~0fX883LsP~aTG3vr4+DQv1PP%Zg5|8kP>`ru(&p{`o&)lTG?}eqIHLZ*X zH`Ir%t+>#AyKv?p=(^{k+gJt%?B&C>KP1v$%m`mpdwMa6?(O_^Kc!KfbThXW4QQ4; z6UPzp_AZgsr*;VKyWADE$Pt$gGR=SE5)zeZPps=p@rfDPWt358y=ZNJ$wnFw7aN~o zQ@wSD8=w`>`L#J-XCv>5`Y{}XH=FY6$QuHdtkJk zx9KR0A9!@o3gpnj`=;;KRko;<>^x4`6Sc2iGJ+O#q)v;No3e`?$PfKSio2sc_nMBs zk?fA0vnMSiza2kRcTdD&Me5CrFWG)-5HN-CRxBLuEsw5>yJ>91AivBf>itk_lir1? zmrLUA9NuRWeyQ87!rTvXu43rLXWws))%my%N7iehq7Z4*MFGfB z`SyFV=9<_cMVUwj&4^S-!y-v3sdYt#fD~VvlF+9(WorYCmBxx%ZVVZEt={?Q-pYdm zhGq;5L-Sp>$MpDfi&T}X-F{wH&FYmlzpj>z(btA~N>ycb_vgJ^2G-j2)_&3%P-PqA zrX=Ivw3Gq6NQ%q3+lu+Ex)=t>0sY@jV@AAAK#&o@_8{WK<331u zO(097w!gf1@%N) zICAqghd~P&e3th$QplNaRWfr38X@vgi&C90ZOAwk2b(4c=0{u$5x5@Ts-7pJXE_!U zV?EW(WT(Q$fA(?{K7QlbOFq-yDSbK}?J*6VKqZ2J?thm+0MhYgF zh#XeqHOSHL$6S6RTCp7Nbqg18+Joh!$AN7P;EX5GT=%GFZ@46T7BtI)Gg&CIP#lq6 z@7@Z3y@Ja(R3=CNSgha|btLc3YP~lp~uGmPoIHBoU09s=V0ZIW`#Ksp}(^pIO2Ka{^MAMe;WwNsCABJ+@fBcmAdX~)#M zQp6Hnw2CoJa>Wy-W_yUlxuUucUMvr;t!|=RNsH;aSG3*o#E^%g!dOOqV3FaPAX+_- zTdq!Vh8Y8rV|NjCNfytS60!Var*^%}F@e`C1%i)8myIPyYE-T!)idbQH)~;`3up(Sv&D*ArNv}X9BkHT|**PgwP_CP zX(<}0^L#q2h^dEEtSl7$-kz8dIAcXA@@No4uAGAp7f9y64eHcqQNJh+940kSnMy2N zUMg%7KQ1LX$|)Ke>T=WXgP7;3EhmthMztLytCR8C|% z?g#1A=%Y5ulhMNZ8q}dh0+z|iq0;MdW%^faE(%I6UUbM8?iqX`p4jGi%Dr!irmuE# zd~${C^k0k`pbcyytQUTH4u+!A@9%D3TaHr;%~ax=wZ=mf9jsIB^=0x6&Y`<;7aujkw^*sJt>qCE9R~6gTj=Q5)mI~eg*#VsyT-52+l`` zYImzy05RScQnZ6&1aCTZGOJP`79;Sy5esl)R0>$~ZcFfNAp zjC~Eq5r-DyJ9bD%qYo6QVmU_hciU)dsdLSF4oPTF_1AD4Z8j_h^LDZ=U)N2DM4<$Q zEX>A7MrZzbjFFH;d##)eO2L2LwCY$vwCdgx1hl(?- zC4z__8Eou|a`daG$^AyrQ%TqxWqUR}@t&8-11|)YJePC{{s(h!0TgGmGzu?n!QF#H zaED+4f?I$DcY<4RXK@V>Jh;0PEV#S7ySqEPcXK{_-&3dR-gE!DRsU{HJu|hlGt=EO z-90_?bc^mg3PjXY#$Wd^#M3A##+=i&gpj>sGcyf#$EQBZI8YRGvEbnk1`4Hj?PR8{ z;=ZlV>ncx;?f^!gKBUVJaVmXygm$)S<`G@~u3b3D07T2w8=WJXUgW*&;2AsEwl+6C zUhIRpYjKaTwS)_|3Bld~rgnu9&t1Huyoxq;OUl#j_M7mpyTaJj>=|qd;+8B3MW9Xh zP}OD$eW5qP9VyF?ZmoJeuz7EEYOS1U(M(V-N4K}@+O#>;XXgq1QNtf|n1UX|UTXWB zEqOXRhH7kEy<=iqJ_5BcZ@_DDddEtgK*FbVEfS*A!Z)01>B^~yjn9!fLcM1uA z+i^1L<&KceqsHgQXSOqAs*v##vNUSj8;*dGrw z7WvIWb+&LCNNoJ%1vPsfF`o4}>l(_-Qffbq{PE-{W@37B5RIqkJWnB|A35iqrFD*D z!3>{Aw4hd`uB@;0Dk}*^$F07=p+zvR3bAjB=5Yh|Et_$}zvlK9u8f04d_Siv?v97ar0bQFd_d}Mu=B3|)pAx& zBvYdG=DSt%#<6J>K!m~^JBxE6)KMq>#dO+2)1_oiEWvL;*%Wd8=b&G9Pt^SRZzax! zWwgGFlq=-W*5(H5%m<&$DVPhAgRj1&RT4i6h%ZY**tUg|=QIX?%k*S63~`P0zLxc{ zv})9rcBM-9;UqRT@fw+TYnhcby+O_#F(yp%!=rplQdA~HUD4Erh&8gxgw8m_`_Z+z z%+t}&=>}fm_}v-iaK3{x56QFPCv83flICYMW-%R}YMz20V_40u@E?d7?So1w2uNMR zzWUh03ru0wSv_;7Tlc}(cDqasKJ1bzci-#t7NescY499V9e|YzTXW-1QwUSx%O+z6 z2R+=u_Ot<_ANM?sx#HrPp=oVeI&w%oQD|~AlwZH^t_2S=DO6&!--SlHI&sut`WCdM zEWZvplFge|NmM%my56uMh9s(Q4=moyeATQoCHt;F7gzi##$DP>HK=d$_bXJ+;aYEZ z%O`}W{FkIxao+Cl29GgJ>0A7N`^4*?(CP z%Lyq2g>u`kUX&H9T2dB%tcb^!%afOUV;JmDvk%c?U)VZGZFqhv;_0pDEq?1LdC!ZR zE4Ez=UEWqF+Ig|Nf3TBi;F_(fu5%aIYY(X6-W7RT6c=Y-@Q%yx>Ps0fU}t<)7PssS z+ICPS@Q!>Emx5uT+Z&ZKnL&96bcCZFE?tzQuAQKj3|?DTMlOxWjuXzfQIj%#9k^rT zDEkcDk{6QnLi7=R*2{+;vsyN;S(w2#cIdSCki+8@6*Vx&Nv4;lfdnJvuIJurh=woH z@GhNLuMoESy;`HLbS$*r!$`h83&HH_7sB@{CGao%TaYz6ToRt$P_(5>ZNzmA`xvg( z70@ja!bXA00*dp%Z-v_(^JYls(>ab4^$J$Ro#p{_LopUBJ!OhmesXNhF5e%}ocGgB z)81HHpsib&F9e^RMQ-k7JVcdT;?@2v96Jdt`^sY<&g5(r74|!=E+08ljz%NR4odxWtG47J; z7`ih|F4^%lXUXEub`sI$IcJtw;I~%y+-rgq*URDH?kCBuv)$2-`{OUA4iecEWUl!3vh%RT zyDCb!xi>zdCu_`05#b_FQbVk2lI*kCpg*$`1A$rbRnFrgYA1zspi|)j0H{ za$N+j!%dbagNo|3v?Y0S>ua5peE3aG;ku=Q&~D@1-c00Gm$=7;$gFBHM;c^mb9d~b z6h=BFcrdLwq;;10CZHqc-d}$E`4U|1n$1ARiX4o<)b3xzpqav7q#jb=rn@!8eg6q^ z3srnn<~PwjuBVf6YT}gWc3UA;THSP5#!P2kg0jRy!w4M|%Q#%94|9742q-Sjp^;z1#W^{cY69F=g>kK_VgeJx zm>$lP31A_E9-q7j!fgY8M=#y@@SEf(1c?iAp^$r)&X*-2f<#B#A-01f5fEzc-XYAtHh=T~Tjp=R|2BXBJ5D72(`Cd*@z4M1 zFygOXC7c|b|J#EEA0HS0Uya}31@Hy{Lsn8o5&((82KWK_0>GO9EeSUZ$Q$np3V?S2 z000pH2LlCwgXEwfNfrj?AJ0E|fHKB^bR1G5axzjP5|X#nEOc)vnW#ue=y@5K z*x0$axX9`Fh4?rGSva{k{xkvwxo!Cd2cK|RE0l83qFi?~L zXiO*=Oek;9vJt7osylI2CNHQtjU4=ukn!*d2#IKD>F62WadGqT z^6|eH|0p3TB`qVX`uU5Rx`w8f@mCX5Gjj_|M<-_&SBN9`fWV;OkkGL3*tqzF#H63e zDLJ`$`2~eV#U(YrYU}D78hTCUR~eZ z-rYYuKK+3U3IOvTVEs2_{{$B%1TJV;SQuD@KX5@oyZ!+j6Bdqw9UeVrw^C{0k+Xbj3%XKHOZUms`YYvaRX`NLP$9L%JVo~T)yG@T+phdn@sEs_|^e&BP{|nq{HuZqsPH)z_wP==NbFZ-R>;?q6Lc&%J3pa9QVCAU8>Akr_ zW*qVPE4WI(j)6D4RwD7_FP54Af$tmjczvD*6Jw#tOTC&cYSxB(7(Otd{!4nkr7+!$ zL3N6Pqo%(=W6R3p+-bG+5Tt#~G*AL7in0LuvgEu_PHame_2eW%i$kZgxWpCZK z`5O}X)o@w{!#cX4RJo-vhbQr?*qwRe(uN=}E3xmvC7>gR)r^}nn~!n8zL?P3V-!bO zBL&W09|LqB+oXZT()AeXwl>2Jm2B(Wq_+i?04>Pz&9#HGvGoWz}9hi1DCm;rU#6VY17Ehr}2 zC`zbZaZ09Cf;~y*CkL&;q9oo{ttp5VREu0zlx6}NUsW%4j)F?~S7v8gK3f}|UMjuZ zPJ#jFGwm;5zPf38Nr3@~ZK-$ePTA-`nqgxGw8n!GJ4z3;o-(Ir2?%w9dljTn+Y$jtaoA9Td|{oL6H z1IP+({@SD4dJj3)@#$6^$(A-vt=Do+n$y7|siR){<~YVf@U?h-#(8%G*1#~Rnl_9V zU567|76mJ*?zU#UJtc(V&FIs9Sm4c zZht7b*2l0+$x9Ju${=fs^g8e{wnqX35=;HM?*)Ch5A~Oyz<^hzBrxEC*ai&fXN<$Y z%(Wy(w7zV3xxaw?^q2|;gy)(?w(qxxKoB*u{_=(V;)fL&a6_vP25i_s_#;U!2n!Vm z3LFLlUQp}7fbE0-YeFv~V1SP)7_e2exNY}%z6l0AU-N$yc(T9=whN{OeRC|DUP&8EAC`p%l|9gi`Y4FS_K* zM2%oTvgtV(0G$OP%dw3h7=Xo%+6EbRMw7_v6J#EQ=h}sf>{moXD3||-a-fA-h{Vur z1_L^Niaa!l)ZPAN7!j2sJz9^1WQ6*d>8OHXPTAy{BI{9Nj{CwMycJgZe`~3fy!(AY zFm%*}!fynF#U%X#Q`#{M1gcdq`kh{dZtndTM_~;RQZmBY*+; zUkWp~n8p9zKUELPmdLM#$E(AS-fio)13S-a27L~zoO5+xz~7*%ei1FPjMZK^zj&Q- zn_*tSwntj|7yuJ;K2@OhYyFbM&e8a9Ef+6IfO2_?frdp9DtCj}rOUy9kkxs6k<|h) z;O_)5UbIZfdB|0>-#kuq30~nc+9D|s9Kmwk*w4s_e~h&P_NXW4kKw(^A&)m zclUF6U8`U65FT9OI{W$ZUJuQ=b7n$xV?rXl-s29aC4TrINWNM}*A0ARXlTD3x(5R! zMK$kIP;aC1bC-L~`VZmL^I(M zK#{#RM@YPj`er{rRgqdd?L8RVqD7Naq;Uj)Mx5xjE!ps+Z>Yw$bE$l=qkpZW{{76n zN9?jto&`z|P1M&+pR*og^ZvK<4I|OQBa1oV@526BX6$gIYRRF8UTRBO*QBO%;h82G zL@KYuP3%|7hBa%}Y*ji|=F~*hW-iowBrepi{PZQW8{+-JXYDv21@B#Qq_^CWR^u~&Cefx7w~AC89*gI#Bz z@H7P{Zh^==qgs$044@2700Z=nwViw2#B~##7>OM%2>j`DzspZ={NlA~2A0d`#Y1Y8 zhs$1?SxKnGebTsfvqn#R^P}ZAYw)9N)X&3f=TYjEG@z0D>5mm$qil>TX};3EkBc#u zKIBuko)PZnab3Mmbo0InA_SEsaQmF# z9M>pj>W-O}WJ08;60erF)U1{-|l2Kez>^pi~L873UxHv#8&CWVMD&WmjmMn zB%p-j&9AMoQm9`a7d={Xx5mSr1@Lq!=NN2GcXe9FUv9vFuS7SkVprPI^8D5U4fw61ps? z1m5Qp!A>vR#LQ4 z)#O_Bm8)VGKH9)qS5U9+A*@ERvEO?iRhCyM$t^%&`bQh@|FeylEmVgiD^Joj@|kTE zplwHc!ZpUWUl=-V@yn-6$?R;4m}L!7O6~!Qis~+O(Q_TMATQk#U5olA@722A9iIEU zv$(gzZ={9wJThgw@;~PfI!bpPr}UQsyF}f=0I{)3$PNV}@4sqVxms;SYKd;XDkN_< zz_ah#>bR$6t{JD@-IQlONN0k4wfaFYklMJKcv{D-;oKI$>Ra(PV*4tw<|HyR{nR$M zC$-3s_zPVYB?FhydDtwD29~$OSJc|$SbjI^{BkFLz$s@ zR}{S^(olZDQ+V`8Fd#O`3=A+R&3q+BHImy(sH=6~n{;*xr)D`b(4mK+emz>ac=6W{ zox@^rX^Z*REqo=e8W!u69-fnuB19QZUrBw6d^H}`!y0s>F8Iz0;}`h{+$*b@!-@#X z1m`z}MU+8VWSAyf3hxH%aNkGfmY*)aPx$FoVk7|zdS{Vh+M2s$$z!CeGL5NqVu}R~ zwW7%RFy9*Zi46xJRGdTKorKsNtr~v(w9-uA3Ab_;{UG-u1c`(jq4fOlM_Fm_UcVuz zg{ZFnt!Cyo&$o}Zo zpKzdj0VT?@$FJU7Hk|k%Y;-i>gy}d46u$AoTXLBD(VuRHImam$pHc>}>6mhBjV`K9 zj!qd3!azm6W7#%M=G&R)q0K9ffUJbx!q*qvW7IdcqF+^{U0Z%4#? zAWbM0Z#Aul-dLUTp3F5Rv`h~QLQzQ#inNONdVb2ad_vaht5g57nj(gbJV2ilK2Vht zP7nA?g6R}=6~tS|=Z>ybB0&)jrik3NDbb07w=5qI<65k3_GUge5CsIfPmZAZsG&y7 z+ds}vDB#$3CgW5cNFT}?i;2GDcyF)W)g0)q!kNHL=eReYvM$~b!xfD)Sj;_%#L&{F z(-ZKVeCGJ{<>2;}f<;hJ@L0~IAw!jtdh3I4HdJ!!s5eTj2eL&t$L0`&;lx(4k=7#i zNt_^L|8R~DoG_XOfsjQuSugHi;h9CwH!3wDxcf67OO+~>szubJ9e2S1)b)itbv>Kg z78bTH+6|6rca!jpY>UYpWUe`I;j!hk|Vw0Ab8koqkycyAlEGxkv(!= zkgvI0{cR0aR+4HsWL*k@N!%k zicZ4^!qk`p0K4?dzlqFffdQwoH$(|@h41Q;V=5jBMbm358P)25te+y6vCtDfSjU}8 zg?p)Ht-7gy_D9v4lKEQi{81B%$$qNt2~wO%`Yh){jlMk+sJZ zx`m=Ye5|uYcySvA1G0#IZQ4DO-LyYLk3)2iw`KOcJFZtR!@0I!J#26w=B@>Z1px40 z33tEkErhXt2LtYqBESGCIlUk~&n@&vddPnbezxB@)wK~n6pb}>^g|StlMJ^%)*`j} z?(s*uCiGVi8l$=Y$-6(C|GIu(wW^o`VYPxs$n-hB|5k!f2{`!v68|+|4`RSWb*dZn z5`zrQd5iTK;U91T_Fon@>ad26VscE9qW}aIjNN){Q_glomY3ba)9=K7oNbRRwo?Pw z#hMiCB%}2&UYeY0TEspB-jiT>`rz)g5k2UaRE8@pCuh~we(UmyE`-P0vx4=<7Ocl?*EQ9`QAsX?j}w%=%$3gbbF=W<{GlfuVtZMD^f*kjlm5UXc+E^SfxpC81mA2g2QfV8LW<5Yp zDF{~L^#_YCqhG?B`d>X{UP@{AG*i2u-oy<}4d30YoVXkD&XW3Qex0nOzAjwm`EfQ` zTQ;0V_RaHoW=M-8c9v8rw0%cqw*9!z?iJ5+Jg9xga|#SNK@kCc_b+ve07)=wgIXYl zk_lAJi-wnI5B*m^h)yy2L(L5m|D&Cw=tcTw39`M)t%Wdlz<(uiJ>XWUkf{h^q*%Xr z(~M1~k~E6;Al9ipRW*WVr70=ngn3Ee3e89meK8~F@XI+Sa3Zx7xPEL05|B#YVtvAP zwtIfHffy~UX6P%T%V#<6Fa+DjATu4Qso@p$$Bw#^7e;QiH&g*9St{LnOZXZ*}|*x1i^*1bt~h>5#Q}2##w>+I5#N> zjGUlGdrZ z0RfR64^?nK(+8hS`IOTZ*`e~>GXs$=6MWT^nR9}_FpIIz_cL|OwH(f)cAw(9-^bf+ zw#w32@qZqe5)C3or^7NMmz}>5I_=$$W)ghm*&8>FWYg#WasIfuV`KcZT`u!4m`UY- zG4o4?A|X#76J@Jp^MAq3|BI{td6FIvdQm~P$BD^cfDW9;yvLP3gdPL=1;BYI-A3}r zw1>_42btGZUh7X#6^Z}ELOu|%151?dB}D2tSZ`(ikY$3*aDyDnSqXzTT(Gp` z?ow>APGfLM%5>aO&kt^|+VdjwQ<7#NH5g#*UA{U`f+b{CJ;N1&s+`4JUp->reVL?l z$}QCgK!>-2kRwwH^kw)&M<;J>Z*7t*A?(jOqD+LWBRx*w!7nv0W-x$i4Pu5f(1aN7 zzT#^4=wC5duHSC+f+D!hy4J6R4uN-YnSU%ca{u7aI6l<>z_{|#U$Okx{QnOzo*9~X zr6>qts|JS{UF(w*f5Kpy!m13UKl z#6u9zIxHQR#7@5A^f2vto7-|ou9+3N5A;?dJutH=gq%}Y5yqw0Q@Lnq;d+Hz0MXW< zF;D?^au|~k?K|R2uc2H0-I6}i(WR824aF~)CE_&Wav~B7ll-wOCHzchDrw{IyHrM5 zHu@dN9rIz{Xzs*t%aRWLq;6b_c0Z`d-Hu-VL9X(DgIxOmNiI#JHiSR=&L!2fc~1CM z)Wly@^S`R;Q0Q8l%x#4E=}p?pZn@eJdjclH53y)Pqfys*)$jSFKU0((Lm}MIo$eJi zWq;T1&%xy1i=_=Yl}j@qv-^lxp(rX4?oQ?nk5y(u`=7(fzm+Q1!iCC}_JD2 zcmJ^?)i73~;C|s1l4q3ZT4}-&j0A#0Q1wBt%aGHMlE0VQC7fUNlCFMwYTnbk|2YFd zBWizrZh**|&wnp|@d+2MlzS9k8vm^=(KJ`?Ws8J+K>$y{H}26c0r-XoM9HbD3tofZV#Z#~pRWUN-!dwImT9Kuh37iSx{ZELS9Aa^ zu^$YuP7Sx0&6{K-qE43PH&*JeKMCcLUe^I{H_uCpn9MeptG?lVn5 zi4;X(@h9QK9)s=6QIAtceGK4EhFbur#RMYv% z&+t0HgMxA)UXZ9u=54RY4W`VPl4Pd@bBtv;eeoaW`nNOIe^G`S_L77sh4`N!M*-vz zaTz0ld$8dWGaR-GQ37@M>HqL&y=jotIyxB83=!iwy&e!%F(v}UC~)9~2*LN>|6ZQ% zfgpPRpx`CKtJ^R{UrV9SLVAJN11ZTM=M4tK(XTkOg#{4tz%mVLECl&Oba&=Iy@D72 zwtwpm4flTsV&UTbe-ejsrj}_=$y}hqqV2nx|Gf6SZSAM`| zI_{V7aJ{d@xYU&64SKi~_l~7f-$32z+E5_e$63w8EaTfo&b>4YMmo5?{o=hn|DE%A zx*GmCjIDX^21mbgxu>)JD38<7RPgY3R`k?B4JYTk86f8zt4!$Vu~d1W+una; zRe>$r;8Nv3R;b|l6+3)J@t9z}&6`t38T*+F%_1k>#qFn#b(NbY8=N+)P|i4;^HHHQ z7Gu+3nr%QAnU2KuKuIcT0~P8sUD}>An-ybe)$CE|9x@&w?ebavN{~=)lKz z=C@BMPS$})yPCK(yejuK1w(u(NkZsXZL~{LgfqMn(cSbC#e}*#&r;V* z<^#M%d-?2-NmM%Y#fM&l5;dtD#%w$>@wm#d@Lsn(0l^#=!t=rjauZx-wrU8?z$!vf zMvij;?b~B!=d}V9O?CJ5&JXngbET(RD^4yeZN|2_5}YFnjZJq{*|zV5zDv(Dh^8X0p;KhLf+Wv695oC)aj)3s0vl-8c~9a(Z=bc4~{c<#l+ zrkh4RF*G!7mLW)JBr+$z!Km<1JS`rONP$P8SEFI%9l@UghdBtep&bL}zP!Dwb39>< zBjSvhF%2~5nANCP5@v^KQ;JT>U5`HGTJpn8 zgc80N(a*@X0|qN!g*kr#dCGOO&(c(GACvVb=w+vV7_aNYSrn~5W%O;ORHA#-98vA& zm1R4fzyBG9FF@c}>mwGemc|PGY@+RW1|QS6g!FkN+KK>O4r6M#Mk7gp`ZRh zXp6UMduU2TDWm1funM_(h;Kq1)UYUK40)N-ahrYtxg>Ma%diQ2_v7Hjr=ruZV81hv zR&&PWN_SfkQKBaMsJfUz_K=QJGDJ*0&$b(n{V>0bB6RRxKl^bNwD@ifclFQ8cO{lop})it9=*EZn9(X}+Hd7yKud2Fq`Xe- zN$BiQHMxp5Cm1L*vkAVc$k^bVDnn?r4g4kiUQt0CL7F5j0u*}Rh+&V>98jL ztW;oO^fL*v%gOM6 zC$mblH$gQBhd-geAjdALSl~TiX`^Fs0osl5AYh_%mF60+OElB5`#t_cT;q{JO2`$h zg20+r$A(XwU^2lxkUNh*_V<+e4|w>ulPEqGQ>uiD%p>%t3tjgFTd*l3zenu@hqqX! zPJOh2Uf-V0L0ViEA>7&Wiy^;adcGNLoElolWRtpWdjkW=d)UOswl7yC&zpR$ysq2& zig!BggkDr^)rBe?`KeYKMq#k|nQcYvGx1lI3L0gEa*~8ht7zKFW<}3~;YoTFEykzg z1g|Z$2)c)W&F382N{OSoafx0m=UUtpC*RX8ME#+;=}_%!3@pU`kc{7TZ)g6;}b^dfP?Qak5;G@g%Q(=CMM+gv9pw6^c2?hYT^Ldv%bTOM(**?lpv zW*L6x=T3sYOgC-KUQJ3hYFa6xH${aqU3qL?!!>7*Z;=7_&fltT?!`=8z~mi^j!p0s z4b#^StR17?+OISwo`;8e9jW&dH4Id40?vESB#XnVp+lymFQX<#^1s@h%JGYd5?FU> zwoP9oYp2^pbE2)&FC`RI?3{ACj|irlcm%=d>^-EJjjXd()r zs4VmoSiIU-e=}`)j9+$?A(jR$HZ>aS8v&upN+k@vA7eWC(cxOVIWt)*L3++@+BXuh z3!K?Hfo*~q2sx8Z-?V5L7oBCfR^C@9!rsDWd9i@t6ojamy5w9+mVnN_&hxeSMr&*U z{rcT$V+Mp0Kd*`1F$?;GnL7d=jounSw&x;1)eTP+mZm%NQ6z#4zGl`qXfxZaT6Fh)yB5HE0+I-7EV zEWQn2Wo)_q?%YLY^O7&rE>7;3V*^nFu@@T^U0z6oHppfn^{B>-H>K|-av?=4VB=)Y}?uY-UBL#Dh^wp zV0-i7rz+Ju@6JyB8s>*x0&adFwtQG8OS`rR4r3AM4< zc3RShPCkxrYR&95@H4-`Llr_5>;BROB2}b{Y@hjgp+!>?xEJRj7JJVx2gZ&L^E5l{ zJbYY9G}o(xq1ARoG5P7ZHLD6gDGRc}(Hc|Dnqeypz3ft%qAtf(T5fnp9+4Ux|mS#Ad?^&#pKhNLiBoo=+Y4UkH zJ$TF6vpB^pj@hVja$)ZLqJ`;Y>F%ytB`*QiF77jWP8WV0#GE z1=Sha(Iuqdld6)w@GR0#zw?I??4hxIk9Zcr@d?FfJpjx@0-i8n|up-xja!!SD64aBmr!EX1EtQJ2WeiVfTp!GU4(0Woz`^vqIVr zLPg)(CR<05C%OdBa|*IcXJ{+W66Akcdt7wn7Zt4a$J(kcBb{ik=VQK)>Im^3Dwp0AE4YVO4Exy;0Vn&Nw zSw*<7o^L(F^&nTW{n?x--`(Hx$QZv@S?|New|TA#q?J!fj8hEf_;;H1Dq~&|s9T)j z-_Mv0!OOi)#CLveE@S{w-9{!A>7Y&egL}KUrt}@a>@}Lf~_LmE(PjL62`6Q}rM`@;jr7uS*-fHUmo@ zJswpyJ3)9q{-d6AGP0R;zXZV8I&8Y5cQlLGcD?yXDVMlv1p|IkBTwwM-;m-G3)6&T z{vJI^dcD&8Max41E#Y#fAoUytr+kXKUGW>fG>fQhvMb9jGpC7)T7_8F0LyL*2BLJs zZ2MXkanhCNFx+VZ`8sEs zApWsu1)Y%mgj%6kgW|U#;ZArdI#tw?zt_{#(ZP5(%S<+!v}^_}`Y>?kGK(2J>VA)0 zv!7EANy}70qf632l7ouuLa>c|OaQ8btkAC{GyYfOcBe#p`m6%`fvRv6dz zXDQvych!bf+vapmGy{jRw;!?isbWNT(gI{CAx~cpS^A7LYWwvjJD*hE>#>+BDA(oF zLzn1|xy|S4urI06ieJ)~Jm*Eg?zOMmiIBP9lpfgu zpO>R+AjVm@oyp1)@M9+U*l?VxVW2xh>MS-yD0+nk-IMIM9NC?qLz3W$`wmOG+8v2Y zNF+78!MYK<7=|I;>Da4FYUIZcaiW!zgn&1+Cp^vDQs*De>DUnK&xk*~={cNUu{+Y2 zyOA`kn$1%!`8*^Pw3Nk8foPFyPW4h`V$FjL2k0oN-(oX#G#*r9^mJ+N{;D!s`(XM_ zv%DK?;3MBXHl7aWOybt_RjOyq7nE(4cn>;Hm)0WtmMaOd>CgM`<(GV3YL!HBjCd6y zuZ>zj+all#{r1-_;`U>P099m^9lk7|6E7?t&Hdry$4C9^MA|%GVmVM{)qa&Sf$id2 zdIjs7i_2E;^Sf2g2J;~No;g+Zonv3DWXbqtIfjwk%@*`sEs*v(cKTlXjM>ZCM#=eBR#9 zx-2=~3#_M!bKUQKISFI4Kp|o%@n-SX*|Msls=z5*pYxGG15-;6Q%ego3EO%joI|h7 zIKJ?=h_K|yeTZoeY=H|lI1<0)3<;tP5l;jWB-y`vt!8kXmZj$rYk7#g5xrPjyE8xi zHPh9Y2u0_KBY{N^NKT05-rSmbDd{Q;v9YWBjT2@}@68V__QR{BqI~ z>eJp{MNKw_yn)m5$A&W(Vo`i8nk5njpZHIhD%`&cIFk=kvz$u5itHo`k4j?lsor## zWb;Y#4@6I;Oh0_04$i3@-ox=1;|o%)@Cgc_MpU0o3t=OmLVB9GOx?h!og6BqZ;oSk z@E0oBaarP^msKaMCc5LomcUKW+?NeB3lf6n7 zXH!`hRd3sUJIv54MLe9QBaV&G>Av-9@wUHXqklJ{S^q)J{G;}LnV7=lIp3iC7yIgl zr196%b`jv|_&m9g`{6k;!A_E99A8=NPqsY}_4fljI8>U&>}=670qVUgEnWlu2X+wV z&ow5(;}IDJVWw17c82WR>wsmvK}}j+>G>;BhK_x6%GzsMuE}oY3rS2)3Y_iep@!Q-)vH`UFyK4xY8S{a{s7xR`#Qu8=x;@m$#P;zM~&vDrYLSE2?EH z{F`T!%=HA-v-Yw)Jh@^nME{rDDsCwrqwTXOFgh50Dy+~ z^#l`Dj19)T*bVtl;aCqk8%x2$T}9z(~9Wss!>Z;HRMoReREC_knNVNJ)@ zHHqZch6|k{iX7>s5`RMHXsYGUw>lE9n0MyGSy$Du^*Z1xS<&?ZLVJ=8v$A%nUuN)5 zoTQU_X%FA0EOPOlIz6M$cn#rCuf8csT04E+89wM~418EX%q(p1Z*SLF;$r^7eo{xR zH@1tXSV;vd2Cgh;)E0L>j2=GLQgbO+>D4h|D}3MRpeW97egne2J5UcX%B@Vl?j0_X z+$`($W0Mr=B=ht06`Rl<4Z8r6k&%+74}^yyzVY#S)p^63C5{Y+^$gS%s#{BP=oh(3 zwEODhW#mQ4C>&E^AZg^_{ahvwO`bI~4#NN85@NXj#eFowPHdaQB0iP)_%TTHgj(( z3xk_#Uw^dD^$feW}esfT zsb}5Y^=w=Hze+@w%OAZab<~jRY}?XbOCx-b%}7&71S8pTG%^U>6Waq z8?Y%Z7#4d}M-iXkcE1}q)=k#8SM$=YFXkeq@PDDvH$GS|b2c%|V-_ecaCGx9bd39b zUEpS{TEjehC`yw3!~1Z?ZLRg>Xyd$5jwn0 zBRdKK=3UFr+(0Kogp18h#7*BV$RpIN={SBL%mcWPhzD{BliI!s|(z6|6 zJ+?iY`XUE_@qcIX>T!70&88e8{q zr)#VK)!by!cuEE|mW0Ycy-RyolpzTiA&QhNUJ{6(%llI5EV`cFY_zGsw)$%*@OGzfVt^RmI1O_CH1C zY>pPC<8f`dPh+#I7RwP)f2v)j17Atw<2D+2jdYUlNvo@z1C;aB%QV?bz4JKQ*_&&p~C<&9beE4JJxTmpASd{z}SY!4bA=EK0? zcff7Uk+oV9QKM`z!HbJdj$jMm0HlS)<80jS@s?onzL(Gw?(`e4*1s%qhWJtWx2kCI zT3U8a8ZMESovUUGS2bjXd*aDu-N%0n#kcQ+Y96nV|55W4Y4j3@_f2=2+i28Ma0Ge6 zT;8S)nbiYi$djE;NKw6ty_(nh{ZvE567}oq$h9ud;vjlY8br-lOLV?F(>GaJGuTmV z7*FQ>$BuOZ!(e$G`JDNjetY9JvApWv5n7Ndiiw^YwL$q~WxamUjGAGy|rEZro605X~BcE%+~ z#qpaJFgmPr?U^<-Y1;O)Kr;%|Zu>=o3GJl*Qj5+4PikoBhQCO!{#gMg3S*{h->Tqn zp4MJ$A_A^fWP2&W4t>&Q^o)ZZgfd*y9EP+EFf!Y{8+!tBKznH;4*A)kaur=vAqIMWjfuSPfSxgnPhehr5E}3t z-rOb#GIcBGlx-WS+9^L?CfV~ z>XZu58&fx4KC{OdO^ly6QsaZ26UhY1~73L}r%SUv1~8uKsG$-pKtLwkYGi?)2qz-EBwgPuq`r-De&xmE|3ltq-G*33v~TnEG+ni& zhBgyRF>1kjNh`TH)`15pn83k3V%cK5o}x_3L*~yEP~ppi<63%UHj0q)VhCRwou)G4 zqH$nEZzlzt(?TZ9k^5)=z^{nj-tFn@>6+ZQ=~QE$Y$4!*iO?F;;N){d5{EXd?grs_ zWV`px`V}~_)Ke70_#sAezAX5xT#8PL&SCRID9_}$Vjwg-ofJmn2w%l??sQEzX<*mT z(j}xMC^+#K{an|r*67cUuIWR+k=3}NAkp?~QIUM^JG$xUsr1-`@qzlC4rRAB2I(np zst(mv3Ty-x@UtXfxQXQ6_L)eIk*&=$8LwbfPouLUgzy%*w*AC=CbT<7(+F zQ^ku?9`YlF!A|sgoA}Eq?F}z>!n*>W{toKbud`u3NXeQR9rkzPi95x)7jcyHzN@LO zq}jxNYm93tXyavKr%Dy67vwP9Ov2eEnA~}+c1}nBaoPx`*4LCR%*E#UzLP&dxAjcbw|Dd;-V)ebJh0tWc@h#WQi(h$93MgHVF#V&>Jy0F}02fX-;<#nV6OYQVS^Va^ zo40NQCcMd`{u(<}nzo1^M*oo={IB2Do6EyP%c^L-r28l8Vvf)`AJj$U00op`fWyRb zQ+VCpco-95Exq=uHy74{lo5F?n6&L9D?=6T+2Ik5HFi_Pyn6Ql@f=Ydm~a1g9S=Kg zZ%cPcOa}6@s-(4bkrlOO(j1+vmCx+xhK&9-jSWX_t&Qtf{B8K(uG`dUer>Ic)%0DS zuG+B~F4m$!;_1l&##%l;--m7&g%hbkwI9@o)|k-yy(PB=o~OCGo`QkH-ZG`HZf%vs zx^6qjhb88QQo8iwg$6F`vesHt)+Z91^47!f8j?M7{~~4k6+T)VgCcRRcnI-oiAa-a z&P+*r7;1whIJEOTmNwO672Nl_nkj%ch8pFS<3?k_e9GhoY>J8&ewk*BvVu*hMU-Bp zYt}zZsyUjmq5c2iS3b*k!$$wN)4w07fjMc?+|#q@P3EHtjmxnXJ)6QPGhRVQ)|nbk z5q3nr-1?`{O*{byhD*6Nw~?r@i^cUwolF}-Q6o=@pL2d`fJ0!q4XjcftPC()bgp}5 zN{%G`>Cb-7mWD$1+tI6|wz;*e#L<9w>@l!?3 zRxIFgy8*qa%{5nz7s`IW{VbBQW74j@op<*jXK;^o)RCf4RNch#V0Smvdwe0HSPY0$~ystzHa+5O$m2dH7R?5`1k!i z9AjfI=Z<@o5=JBL5mB#0Azr3)Hn$|qkSm3w^bGT={e7w->J*yiYk_|U=;NVXa@4W7 zE-nUA*2n%`fAZN@+D;&Sd!xRv_VN0@4Iv_&@PZr zTbF*iDdXZ@&Es|2(V-4(Lh0{Gezt(JBrXDyD@% zhCThAz}IsyVQ!1^scOAR>@ZDuCUvIK$kURjhmo%GQ^>U5&7-EpPm*laVlnIG8nwQ8 z2pLYqj+pJ2k1$;2M_S%6DRE%A=o(oaxj>0R4)*sXb zO{I>Lj=xkhS;wr;eC2!fvJ`~cpY^`G5pl0e;@Lo_rzB>o^1PA+cu=nDv0CN;22nH*{3eq*V>gZ7+q(YsoVa7yk zx<{OpSK{CQBh*j31a}tJcINOBW>1io6%USmuSheOyFy`>sLsD2Jny2(B^_Pa=#Q{R z06^F=R2mmUFVU;LxA${wa2CshX5HoT{cEN}hVSU?z~50*3iNbzQinIc%>Ll>KlizB z{a-`;{!Qm>U_HEuq`W_Yz~^Throo&9J%6GGQds%VvU*@~h>*>xH29Djri3#5fZQ(Y z`-=rU$7n-+GE`Op6!=7`QOnR_R0xe6`T*T7t^H?r+R2RcZbOgkFFmH)Jv8xpn6czu zweLR^Ot${@y8QgKZxmoU&x0;6>|$e^KFV;O?9!vUo?QZwM(-x}R+`kF?!>-JYPMG$ zD;R8|>ZV|!;YI<}+Q%%0F@%$YDGs*zCFy8`4hHMmUUizW}2ItyDTs9OlIw(P_2V+PD6W;ftLQCmiCfhOO;mnYB(-om#oEv47Dc^1Kdpox_OW77586;p** zeSQSKI>0K*A;?V`NhYeD!^j^fq?9P&iU8O@6DLUer8c)XYwByE<`O=~j#UZapMf1c z=9MH(m~=6TWR^vV(~Tnm!_RyrK754H(^XncuUwUho5O+jXT-osXZo-6{a{Q_LIFNu zdfxfBH@8}=;&dTuEL7_hrKy7r#c{?M`_xe;+{ zt}9C=?fc%5UEoJoJfrnS>LKhzHsO=~F zr+KUBD=XonwKnW`u$tidV5uGR)ml)av&Wq(0yDw)%JDynllFggF7#uT`#8z>L`@Yn z(*qZ`mx;}LV@+i9s86&N5NT+p+Fh;WAo6SqCp_SI(d%*P;b!gRBZO<$?@Hk4*D zDa8z{Oa^k5i-GOlIl84G+LQp4=5ogDvxd`VJ}n^^U4T5wNVO$6(0pDBV?GN8FwEIg zsJ@=-mOM%x#l^KIg_?>Ai--6y`1Qv7NFJ4^-6%iE{Z%sYolJD8m+g^!doC0RGz?Tv z@6NAQ{)#dzOpZf})Y?0O)&w2Y*hVI1& zq=0s;56?Ia+|yItWEd&CDVZpe!Mhg!uCHjO>w2{Pdin28zev9sWrx)+EIOgldTXDs z3CGLYu!YP7k9fD(+t>f=?T4}GP^rxnouRPcMw+_-@BL(RzzrD{(-=RMU&k^oxGXh8 zKDvd4U*sUpV~lx->|MHd12qLHMYDO0mr)~~1!KW1-Xj@0#d(sMgoqwGUM5D`3|mJl znKomLwpGzqK){K}a#{|Y@82GJB3t?En@;!7C!Ef9?}OFmrK-8M*N+LCaH;_ed#-K5 z_!*1n#`N`NnWOIjAQpvPF)V@oCJ}SBEWl;&F`8j=jO01g8tH=Z7#S8F{HYikK@aVy zYQ59*Z7r29FD)5@Nbgfi2n|@r(UXmodNDa~wb}Xb!K5uZQz(ldPP04z7k5M_q|;2~ zPke(KZc`T%5xtp=cTN}JW7I3kide>VR)%x!jS|DlE@@&u_}{Zho9EtTvcpbotCe7Y z?`Tm%ivG^y5h(K{RUPY;XI?>f&YpgZQ7^CE4pVNzlp~|w$Tl}9o7O!h+YGmV8ak37~W%29Zx9M*gQSQPWp770Q(=kBCS8o zxb1XF`~^MWr=CdG6u;gX#N@uplo5Q1{R93RO!NOPO$+$5YzgevUSllG=9WQxkdLT z>m#Fv#puN1GcplQqAM;t#?q1;2ApFYboQ~a>}cHf6jx{zH76yi;`#FQp*sRc|MO`NcEKy5-{lBsBW5FrADPw{@O`9W>*-gzg>hmuTBpm8J6|nW|$xh z-thMp4^1gp7>obu&^D+qn*n$bp|pA**LQ!t?}vtPecTY*GW|*^j9cv_|8DG`ZlbFi zH#L?tpU|VmW#3Iy1x4#JPik^}If@dEcyo92a0jP!KZ19PUJBO!TW<|xIbM+`bwFBb zI;;bjEz*cf;yKrvhc0K3Kg#Nlhv1P&TRnd6M9b&=j4dj<;l zgdTk8x(DfvL9WK}XSUV>EXZD7|;9Rf#Tl>a+h-@Vsk{< zeY$aAWI{vvZLH`SLc2ydx@Ot?kvgykcidT=(@;#8BjkNw*UCF)hp3wnX{%t8_8!>e z9JQgG#VUhh2YaKOp>x%zw13P-e(?2iuy>2|Tn-m6Zxv*o!>!Kp;xSttrY=S~g+E^R zdHkw?f3OeKvkN-2mHsZKH?`h^`4X%>=bhu|8EM^E%y(^Yb$wo_SD;o<2lTNLZh zuxxU!mC45zUFV$D#!nRBYIh1&VLzM^5>*pHS<#!&RVkc*h#^H31xVh*lqWYlk?7h^) z+nQCheYUQz-^;Vpd(VBJt^)8dKItBw1Oe5ykKMq7g_Q1yn9DXRTao~VxY5>5X%9vL zGP2zLeh_}K_%oM%{|0!)65y6L6a9vx7kyITOZpgUeEQYwb*SP*s7Lta)asmkK#Z`pG}KYR2$a zpqULNa=d&UoiFfjgyt4W$*Ihg`;-l!&BnM3Mq*7Nt74R4%P?leu=7sO+BeZqAy!g9Q5k6pKVZ&%#CfW7?mNc^{VhO9BePH?H2juqG0KXp62uM-d{jl(ttqgB2nq`IKmLyY_ygl__z~C4KxJPt;W~%>j zaP}%#=oaahUSr`V@yyRJh$o|6nD#7wQ{y@?TwrJ4k6aoh%ZfhH9{|Nx0?Tq^}NxSFpZ6hWFSi!L7?@A_=882iXuGc`rOGv&U23sPRzHat6p5 zm?|7vhIJU6rU^{g1;Lq_J#ILJ%^IF6AOzZXn&&xqiGu{chuL}dm3f8is;BP4BDNwy zm-je^vBLUpv=msnADFynzv;v8#7C>qXLtt9vN^53F31jmE^T}<4}OJQ*_hb1E#!9; z4vltnFYAnw#n)+n{Tdi{ZYJ9=$i-myE?6GPk@rA|TvM}EnpJ8O$vc5AG5xs8=8SQE zasF#n4(iF8uDZZ%(k)mh%y5;7-UjtND9F|v91%RrvwqUj(<5Ud2gF053eJ3teN4^Kv|^oouwr&U zt_904GuWAc@&#*GX!99;^JaQtv7NXnCr*5e4e(>GpBs)SaRUD4=apWp>bwh7zuf>? ztD;Hvjna`T1dmrQSm$wlj=bMiqpAHHpevOvJXg9|qpnQVuC(*#E!fkBN0*wp`YKxw zSXfk7m0^A3v`X=4OHT=_a_-_f8FV#ezj+Q*)sdx}BGrV*DzG0qX$;g~i2&UTO1@-2 z*JX!;_4+gK33L)BGv)Z*=ugITI$OL-Yiz`i9;H0Zrp=SpTEr&{UX=lZMI@bqiAndd zD4*#meHrlrtobM|yEyX(*y$%;%qD_Boj#n>B=9txABoI{yyswCA4Du9>+i<}Cin7=KbH@!^WTM zX41ENVRT#Sls7MKF6)k}?lsK*K76Ys8gfSCvj&_X0BP zFXDjGr}bCDt=_?u3;ZyBeyf<@7##MU$dm7eBKt91m>OxSW}a)Ze#)td~M zQDfTfXLl5H?;yt8NyBhq*n%1dGc`#jxz8P{@@jwm=B6Tu2L9g@2e7-WzjlI{_WT6y zT<9w08MPg&ogseKb#*lzey$Ne!9Kp1afOraIY%8X7HQ4hip$^^m$&=OG*lX+itKP7 z-Lv?R{SfiGWBo7&jQ$SPpwzeljga`5bkLP+g`> z+dq|qzo`SRVq`GnL4~Ep$7w7bcK3lmThi+Oecyo7Z0vay`$l#81gw4yIW!&?JdA71 zocd^o!hX(ss^YsweIA&llh1r_BFCj!Hq)NFlf8wB^%AA!X|jtwJwy8_l|fnQqIB(c zm1t2!ZCKCWt^5u3$!c1z7*bdgVK+8JNzwz_@_fOUo<115C*s2O&c}xbg49HUf9*Vd zX4M1in(DGl!Y0D39VgVEXb%K}XHHs*WJPu}*zf|{P~yY~+Iq#dGrPf_@h#JymY-x3 z(;X$WtqqYvh`PUVBfh#0ef#^-7aXVyt!x-V1Ya6_YTN6R)K>fVVp&*5*3?_qZ6Myx zcW}|&Ps4q`TS%SV_7_3+s&;AcuIWtXi7jmG zzMmu4u1Ya_P?U`2XFB@CZPDYVx%*CZOCBMtj_s$X_yDPY=HK*~#(yhJhHYIyx%Ck$ z*XC%5+@*2u!~p|41AjvEVg-tw;#x&3N9c;{NxkHn=PHw)1dz=*tjpf(pKq&~`3Ckc zQ}A>=N7b+86X;0|*d=MJRa>g^&-Y9g9T+J%C3uzoqV$zn!uKA=pMF~65IFIu|EIbv zxHNpE*5#xc1wk6%-p+gg)sZXmDn_x3X&Vl9zF0-U|(WN_qgPv>BdxO8b6Z-xC$SL#bevv+{-ux!W_RjK+jAm#!k?O9mE0JpSNkh59u>x&6;8Nyc?OIJpetJIL&{@F3@GM|CrEg6&*&CHH89Z7d zUAc3NuB@rHKD6ALEG^V#$D7x;pS=53F`qQJBJ@~T4UdrhelXO#uFn5^Bwu^RShI6i z*2emt%v?MS8u2x)vnpXXGe4pN9=kGKYWasRjV1mtmLz#@4=2q(8!JnzBTUx6T3jBA z^hMX7kr{#iZnl_x`$Lddxv2ph)z(EKQ>IU`xS5_q?`28Gai7l_^P%KDZA`dsv0qYM zR#R=NgG%imtUMGs@|p(DAP>I!G|YgiI% zRolqK$nA<_y*;lu11nZZ=Fq_O5z|F2go6Ytgg@P=u#SjLoK`kgnCfnJk_!in*i<}J zcXsSWdM@J265T(g*cQhRT~=Qrg|lG7pOvZ_KL=OK?0dFxQSS%q#Jh$2X-UpaQ(5iX zbt>APKc2xD{v|eWYaZ+$9PI-~M=*Zi5A@CXUqpsNVMGOY5NnyR%fkDidf)g#(9!aVj(Wj*v5Tu7kd3 z{Jc%1Fl?(PAA(hcS*Mnizf0}n?6tJ-zVg?l4_V68W?GP{(q{Vh_U;jXGH*$#vQ6Uc z^IG!bdj>#Wo}qXE(HQfv?ll}H7$%yO7kZ7SiTYXVU_erhEo-H*f`lL|?TW~d6X1AV z-FRta7VAhBlaDJ78>>v8FYp@`GbH@stS2-V*b#wS`xuozP>b`hPIVFgceqRyF?PW- zF3zPZiIeo-g08!9gI96^w`_;fy`}kVEF+u`*TCF~AQd@OSEF7nH~?sIB-B z5j$LY|4{&JV2|BVy0`}yn(1YDkFNTj~q%MjvHIS%*9|FG4@({q%E<7(26dmK^=|hdTa3UVvWH7GI_dCE-_-* z^J29TE8a#pMn-I(RDuN4KJUMLOSCU*ohq z(u$|O3b%_M`u6}lbhg;T5qzV&oen=jQZkA8N{b`Ao6nC6RBlfPVi5^~racRS_(x7!*-;V2h5!2crXquQ_YcvtRBO$7rKwkZrk$W` zD05{`z1YRL<0;aUDW~x&!KB1cGkX(17ZQL&^>J@?ykYo7tfX$SnGgU^uzBosz7|EW z_RAS3b`vT#_4U)$kP>}h73I&5v59w^%gEBV;e(7WX_<{js?yDhOA_~a27jlg8jgVc z{9oHUm2f}~BY*i>Ix-k`mH|#!!!{E2UVH(s#Zi>)3iPv6B+}@1&PPihgj5i+EbosaUi{Geb z1m4Rh!*5<#@Lk>RGd3{xKK8Iqh+MLj=kSmt{ZN9@05C?F31h=(|M1}W`YGTy_kib; zElv|jyk$u>qi{t}P`ATB?jPB>MkaSLgXI4`%?r!XMN#JeI{HTl+$x+w{o-zfze}Do zNO~J=RDN=N(f|u=?`m&-(Odf5H1QKNJl}}RJ$$vJTi0dG^Af$XUWp1#IRGqQbt{Np zT_3S2CUxXGhqdV~2rl;fm z#XFAUEzu0%sm|WMd#cEHmi=F!{?jNKbw-ai)Ydpyhy4|A%s*ygTk%>OS{5S3o3pf& z?)n@Nty_(~g%+6oG9<_-jxvb0Y^>)({}{B!9gjH2IGcMa!&9V8AdBcLHuaXk1dZ|3 zBBfjed^~Wr*D!@GLn|&fQJX&YMlHLu!}eDg6TZIDq5o}oYW``*k(d)Y`EPNIvKxk{q^&vdVa-!{Iy(CAq50JEa;r|>o(di@IRQDndOPmBVAwb^hMZ$5#yV#HrORI{aXDK z9!@8afH|Bi^nJYr9zArZ3y+VFPvqlX!%88K*w4>zKH_R;2Mr%zU#$1~IaPudGn>!t zUtN(Z1jw~(3%Ldad`^jc8M=Tze4^mxeO_;MOJ)iwYXLlzoyLRMZWxr&iAfNKf6iIqkEP7Y7)f1$L3JD1j0^FB4((Q}gN1x0U`}+E(;<>HVS&@hm z7s>vKZLYBu6D%z)Rrb2lPQewFmk|@|nJrQPjlg`p#l>PIfvT)Olh?&u3PA&YcXxNS z$xdRCzcq>-~|$RFg&tREj8uGNd{P}^gRw(%C%T_K@3Tbz1o%fjdp9w1DfHW_2Du+4r|aHwBh?k z+@+zfeeQ5dvxA4_5-`~+vbolb(U&{@eRuH$tj8xZn*S76mD;^Tjulvz43nV!hG)t* zR8K}2W)(2){??d)YKMe`_~!^T^Vx*6(^DWa>~e?CT(;2L@NLi1Qgf!&LEg97Pw~9z zm6g^%D?TyN(N=vN92{5td_F!twM3GDm7$@qhoSy{R1`dY4fV|uUHI_#_f~?NxOXkT zfhfv&N32i~37ZM|KFlE>UO>2OzF1xp_XYmw_wzr3{tT0Dt#2itiWPpe=4@n`4q^Oxb_;h}P4ePmP=`HXDr z(a}+toZL#ILvutCNWHA?&co2rF*X~hDJLFmmPqLKqo%ef1%kt7oYdS_RVJD(H?V7d zUK0s@b#EqZs9`(6!e%XatBjuC*=9+=QBYgC1#iMPFK@O<_!D;jt>T=1D%FczCrn$s^R3EBEY^rB+r z{IrbpMXKz}9FHt>9<2@i`!gBXO_fSY3YjSH5B@ms`}=#5{X@5afb8t5%YjH7mJ##Y z{SjzrXa=21>tuL7Yvru6LZ#s{vx#(c5k*eR;UmcjhA((OK~jfW1RQ2;w#arw=ys5| zDdh2uEb)AMys$udkbR5A*9!$UK=$uZqZ$RP`G-H2h!wZAx0hxFAB$8RjU>{X z{_`jKn~_mq`TX*d*l9cW?;_;x=*eYS1=_9Xp1M+B-r(cSMKPhe=IYMm5ai_o!4-iKgiXO{E+*Iy=T)drK=~|Q1 zR4TX~$mA!aSj$qmj`Qul=jr^-^GzaiEXmiyl8Iz>iFy%y-u(aq&ieYgxP(Nr)7H)K zN~`pQz7*n@fXDgrKpVr&Q%z%Q;8zeOPrkVO#j3}d_Uq!sAkvwfc)nCaoug5|?kbPN zVpKG>x+veGESuZH9gPPjETpC8dfma)6Qibo4_ANYO1*K1x7cDVocZ;AN_StM#gs8X zcue>?nt;bzYkeYvTPfYK*=;DsYOIsOUfR@jdX_5kXxR;c5PhhDS<9b9*pIYX@AT?u zsWKy4m3Dq+kvdX1U!2g5caYl5>ph}>v=x%_%e4ZHPL?@vp;_j1sd`HJ6#xL(t0mDY zxQ5tMwo?y}jwfLxH_)K{Y+feQt@B1xxFWZvq(QXwSS^r=(X3XBMD5!QK!)q^+=!oE zGgfq-&3=8oXFQJ;`HUNjLtN6=|HbEan91*BzErg#NfXc68N5lAckq^XpN^i~nbaT& zM&W|bV?R9GZq_F)=~&0iTt7XFq=Sxuu~n~5LL`3`f)e#DFtCXME``Zpv%+ppW_)Sz zQ`qnM`3B=$%uLmk)a)?tH_TajngY5#9Avppwf117C$)HveRL{h77OG>uR;t_lbB`5BPRZ0pJR! zkkc*KW`c7%oo9QvdrDn@eLZuIz)jB*HhRYr%O6BEGp64W)8@CNx^3#s)vP4Ha~w#f z?Fn-pckQo8#KAyIUSXQ$613v|C;>3)rz^F7N ztgWf_B$Md6+S}XBT@&2sK63ni8-;TEe`bQC7+wQv*R8jtFak%M{rm*SfYZ~eu5?Pyvxnz4J94t} zqXf4EXlSL_z;NZ>Y%`!mE<8{UeI(U!t0OO^c|Rf8LHf#NZ!lheKLv4Quij}brbj}U z#%;^@GV5Pa5!z;odcI{YHT~<9$fv0GsP=`X|IF{O2-6d##hMS09w6sROt4}mYY=B6 zC$O)t&%?b0XcD11y!vnQuW)p5xw5m4j?QA+!^7DUH@A>xo|mpJZpQv;@|a`ZRuqAZ z_Mh~b4A>t#?>-56T46CZR<#yG$d4t!KfAD-{tO`ebh+!ZvMIYPdZKwlKiQiY#gU*~%Dn$6OmNn~ouhQuP@ zXY#392}NSQ_YTw1P%lKC*my!CpT6qxpbI;1`hkA!1W5x@((LItRL`uE2v>7Z_zD;F zJYW94;W&KYeHiG*naktjJ+dw-`1|#VrVt(hAvJRQ>gwq1Ohyp+S7r9a+gGKwo5tx2ggQz{g$Al@_s;q2`mpj&1Yc&`1Ww2@b?D@T>)u{IE!DIELBqU zg906|?;&FQx0#=t;?Rz*i$-lVHjuMuvdMTEIp-8IF*Q;{0|TWAZaKpK^1Fq}$yjG( zOrrAdhVLIFaD;dug&&hG9F}7Q3eZihRjw}N`=HJ6Sqx_ffY1jUPtq?Gy=twuxma(^ z2!@3O=iwREZ9LhiHqmi#kjpEZ_TB%3=7=UOEiEP{c5Z}ptd!!2z9#qxJKj*W^0rpO zDs6>Ea-KK8CWDAvx!2yZqt5ydobn* z>2czJG)V-9r&-AJChZe{o^x(1>dbB>d&f#m9U52Z-1T|Ycb&oW-LU1y&Q)KSUZV_} z>zkScGzxjht3p=4T~t?-V=L%Rp3D+>`7)rxa3%PSp47lb8)QxJJ3)M56*nmq`d--m zIL1X7V&u60@zpQc3-2}m0l6zA4lFO=&L33$*6vDXhIk>jXOEdRwUd!6J?bI0d) z^bUJr-|X;llZ%9cf{uB!?l@(?d&WElf@0QyDA@ILZKVE7&6s-rChxn(V4%L7r(TiR zDHQB{I=i3=FrD4XUQ#kRMzp(g-fy>GuF>+d|Aub*EyKAp9--Ca?l3>WB#04?d!wzj zy=(b)D1|+C9CInWP+BR2TTVr1N-`L=-6Mm$Ksv^db?Wnc#ZAQT3kX0Dyd|BOytE$P zS*$cTKXjAZG8h{jRX>p6lt+4zED9K8;^S+@1c(HFc8rUI9G(fwN?l_82k8zGzo#Y@ z24B?sZKPZxb*+t}nwlDmNoPW*g7gC@v99^d5;$1MhPpc{Z|70(C-et}3`(gUf2kX^Z=PP0zoy*E81^66cVYkDm zrY7z)la?QO_mwh}lXp17!6mS_l%I93T4-*Y?zj7;)hk)1;_ zf4gIv8KtA1b7oZ{qlh@PE8h(`ovVgx%e2bt(ymfoX(8ci9j!{;g;z^wMhaq{$#!q( z3Zx>jgEePqcwwHdbl#15@TP=>gv#+VP`}ph@2{_SyBw9}<;$!cEBro6Q9t;fLXAn?H*I@q98{ksDxRKA8}lfyd=$iA z%7lEb`-c-52~LT{D%syyRlm*9f;7DZX*&}tDjiEG`LC1cJmq055fJPz+g&K0#3&;V zC*+VLLh7!zYDqj(GN3@&ke-kv-FmjjT7z9Z0I6rM3zmQI@r>rhZQS?i(wG4c4(=Yo z?qanG2^O}68Cq>7dA1#M8N@%(*48r3B$LmVs!skUvja-RmWYU`sQCFdn6fqny=6t` zud0mN%W%^KkwH}^S<)ox?+@b=eX7^j*K8qQ?T4v1m1j@E_Sk-M4vxfP9uzE>*ZUJF z1nd%AKGJTJOA?IbE?JE;19kGlwTH4R$K%rzxp6yPZ(kn}r;Kx1>hNBol)Zh~zQT7B zL=epT*-dr(zruU}I~07ABMv|6PQydaUU6<)fk9=up^jOC{j#;E%{(XQ6d>qX-FI`B zOyM)@_TFI_{mkRF`!Y7_{A0n$leY7Gij}_W+?mQw^y;59uTS$lulW8zfvW6BzAi3= z&*^Z^b<{_-`thmilobyC-z$0y??+*zj=IcO6q7_bX7{|Hg06zk0)$)uy{W4@b~9MuFcOK z4Zhu4W$-LzLg1VPYc7)F>GmfFv$7{{XH!TJ7wxhqT=GC#sa%L_{%bOE;TN(6|TfhYzgw> zlo%lCxR|qF>wDSi1l*CX$F$SLs6|5I2hErH@zEbq7bkMdW9`P`vScL;y~M^4ZFKr_ z(=oxYb1x((MLN+^a(3UPMfC~c(QAC!$_R45W32lOZ=^3ko~f9dd)*tzTm4vx1uRUr zAQ3*%7kf=XKcBE4c^O4w16u|gDxniohTiMdr)2Y4Qo)v`qoHYb8a zGxBl7T2FtMcaN|GD^iyR_qfbwVlwOA&E-)a?&ZWVzZTdGCahFNHPAzPil>40z!I`3ey z1_N+&{{33Yp?P5U_-sXQ1^Nu5s0MCPugE*X*X?10TD6ztGFQ|OE_cwEn?0patoHCM zJi#sb7ETBE#EwA+I3-6}EQm<)%$FK=03LgA6&^_59$5qxa;sK<0&t^sxh3N zSp!TY3@66bC>}NV=+fNGLfF=8Kt1No@~LU&p0yimml~h3(b(w{@|v5(BdO;2hAE)c!lvEGPYHIiP-Ucd)=Ws@ zgHq&f2zTo62CWH!L(aS4<=-{~GPl;m_<%A^L%VaDWyD-J$678eoWL)*eefaA;18Fy zeg6#8$H(0<#@8JZ{-Cu_A}x*D26SIZ*3f%EAoBS zRiYq@<8<;2Dg(LEa8wBcv)`7w(@C3^_NGfr@BFwT=R0-jTlm$#EJ0b4GsN|sbRiz_tf;2H<7B| z`=v(?%)sAObG%{FV4@6W8V$Rt9&K}Z9C zuDzMA(*#Ll#8vc9GuuGXk5M`BI$bQO3qY)sR2P(mvw{@jJuk`f z79q0I{VgeXGOh4=(_RVx7hB&HomsSW z8{4*R+qP|^V>{{Si*0sncWm3XZ6_W6Ip@6Hai3Q0v8z_Cdf6z|k!P%xgDt%IDsYY89*euYOS zBWfmW7l1vIDgME((h*%rC*I(O0#l=jf?%?aZhS>K%Xv-~fW5*3ly(;>+Ni?1TE$ej zSqD_(16MMZQ9i=xpB^zS)z*MdaI8A3NdWTM9i_ZcTeCSJ0=F&j)Wap z=r}Kw*3kFxZ_xC;W_f2YCp>yMByJ&ifw6AZs8 z7J6eQ{X<9MPK`??=CWl~+`$SPHY_FM>Yj8prZ@GmK(0zM$r?F?ex}FCs^~mk6x*Q{ z6?eqUKd$n0)=E6n?9SGESVaoBd)6P_!!+Pqw}-d>Vp)gkRG%7@v6e>>b)4b8h*}>Y_<{wCtl=Q>4tF;VkenqF~=; zlBsQl8cLML{u-QtlAy&!H>r@gLG3_7>mS72-{r6m;99`_##8Db0qeUFb_gtA%-}LL z6g#apC%T5>Y#+%=l{@3V_OVhIPxXA)M}zgFmpj+A-EVzWbJ|)vhTOJzuSfdIa+r9YLkA+;F!IWURi@;zk zcUF68NO-uUAeb7$FM>O1)}&1Bo1%9+mV!_axrfPJGGrU|-DFbBcNuFb%bA28FI>Wo zDS(C_N${F3sRynr$x7p9-~2Sk8&?M~ilO2i(1l!xv!__Eyt#H%XYjlHN~Y+=!SD9p zO!oJthZP4zc@0xlsI}^CC_9c;6zq@TD_&5h-XR{Nw^Uy);nZ~)MUIgmHc#(Sn94s& z(`PXpt_g%Nyfa#erKed-D2f1Ze&A+i5sPh+CWSKN=v<8<=+HEy&GZb^P$o{1u)W5- zeyHP}ikfV_dkFz+7gLAnQbiC+0DN9D#x4;?ZXjczrmWSY}Y=o?vJ1ETCE} z)?9hRS(l6|Ga5Tm{?<1~WDK^xxJfRKSrEgG!W*OLb9qGv(j&m58IKRgO~@JQY%uq( z{}bn9uJS1}lIh!mjwkru6nu1ZsK5GTeQ*GYik?wbm;2UEB zc7l`*V6&e+N19Pbagtr-Q4^%zO$+&5iC@-e8+Eg_#_HPZ86G$JlPkwdvdk72cZk`O}}I{ggLzcbw48?h%Kx=Xzx^?RzP7DtcE;5nHKx%n0zr&x34 z|Ark-8ydNS*t+a2odY@Gnf0Hm!?2IBx|IM|VD{ac#SezFEI+Su4;D`@dV?P#dF zz}Xzv)izOZ|J6NGB(_w?6vo{-qgDZw_fte1aM~~!yh&Ez*|Dny?g=uNMHW)AI1vCe zvp$8@(YHDjOT6^M9sn2~*gkpb! zoBf>{JvEW2wY|TO29<}ja2barib9RY&*YDj%cvf$LI$l)7lr*AjVxP@grVpW=!5@lqL7u@)Lt_~I_t2aZNH(BBaZBrY7@W<5N z^20US0HG}KVZU!gD0N%f{!!S}p_r+pEo2*$BJUyGgcXbXozU7FHLkQ37*^zTL3kN9 zWSim-^%={b1*S^?1B6uMa3Q`GJ1#r;Vk-3ma+AdmIWCGL2*ee)_l^58!77e->n?H0 zAotND29nNKIQcs^ZQAhTQk*HG8Hv<_&)?VT0dSZ{j=BCnmXVCoeq)32WCS}wwa!~& zr(OF*n4X?O%w0BYW-8?UYQKTNJFeYGnV&IFBj_KfyHb|Oclp4YibQ@=q$tr~R&x;u zR-wYKWtV)3vbooBJ;k;4g^GC_>cW5OaCs2qDx7Y>fM&cT%NBS5n|^AQ;?t7|##fBM z`#9mO0I|BBMxDetz9X?W_Z*YR-k@D#!=t)zZ2=Z`$~69hd0+G{MvgH-tIN&G^&QHI zdx!nWt8RI5@Z1{W47M8mn3?T_CDJpsGn zeVxyA_gJJ(XDfYtw?}H1FTG<0v6gJ@n0+BCGS`{|71UQs+KxCifmR&$Z|#M&qeo`+ zCqs<;ZK>pBU+BHt$@^rh8-j3U)FT%WQ*%pg%WM2ie(vB9!Bt;y-_z_GNoV3c^+nt0 z5T+h5S~~S2=A-N7-9ae93yzzPOWP_P(>lU?JDc=S`+?H&M=5RwB3h@asCETmV719a zWZ3l0arLplBCt!x<0WaB5PIM4%g4(lfLCi z625{*$?m{r#bPmm3Dma5Tk}Uj{)2VbA|dE=pBClRHDd|!a>nAoXELH{VxZ_U+QLB0 zuvSBpE%uv!jjI*Gw4uh2bHb-fz@taM_kZ7RvYE&#x{vkvCV3%v^nXDTT~7H!)_I`c z){%Jj89;B$VDSHMhh+VK+aZ;VEzKE~jGfKxU5WnFE2+Y$V(#ML=4@*2!pFxb;b8Cj zpM`~p`@i=jn2Ff`CvXt4{Z|qYU=;Usl~i>#b~XRelvL#;;{30Mq$(E?*MFoR4JBs> zQ&n?UT}CA_2}U(@Pgh21yC1(I|64@=w@B*~{iw>Dn^_x+IC$#*m@*NubF(wBvJi2x zF*9(p=o9_mLfgCkoYaMgjqQIGl~K*v*xtqQKOvcVF^Z}( zikZ7xo0_Xgiu`P^W^Cf}qoV5S>}Klvzp!11nE%s{X>M=kVDbwd=D$1tSNc!j*0!$Z z&WsYaKhcSqn>v`8Gs>CUTe?~iv9PkU|JTmN)!E$G4j#rcyV1`k&a7o_+XMIw@snJr z<;eQZA9@%xTOf@ShHk7r`85~~OXuoWlh@1f5=|uh_iJ6`;n~8%VSFJmyw=8oUrDJJ zUBk8FmB9DJ_4D%s;|OC3ep|;zPKnb?oT4DHB*-_b!~*`BG;Lh=Rdk-@ggc>u@7vhU zSDnxM8D*)1;OF5(=+&cg#a4@;-y1ZaoV)ADl7g-en#s!*?#pO^Z=nZczz=k_PlRB` z?LxqhsYgq+)fmLUs?im`dW$OxK!L220u)oC&xRQOWZ!I;T~Hq6OC7e*Bl|(OP3Z%i zJ5C1S0gDjDHhqtM0Z<D!KyW$KYWP}-#?UTs$x^Mhl1;IiEeIra!)Ctb45!42w4I+;GGCeayB%_tbw zeCrujS$hzUm&Ks{r6hbuuUZx6Kd0Uaw`+AF>8+bRoM8!qg?D z#VqLGdk7Z4Lf^kH2oX3jEAEvqDwcs zQb=Fj`7y-`Z-0;;M!j%~FiMMNnG0?m(ZM7+(DCRG`2QB;&v+;!sDW}z#glV&Cgsba zdRSV&AS!!s!hE@D;<&--6}^AEQtlSFfu=ItMZhF1`-$oN;ERy_P5qQtQDHn~@zbhW zn=8Z9?`5H^$I-I7vESWUaP}D3HT1WP9{|yUmFp?A=)j)*G~C{+m1$8gmYr?pjS?dS zpQs+q;Z>cNzk1{>?yu*RjAA+ne*th_9lUCd3BM2hSHH{5~=BExBb&k6EX?7=zz0}E6=A3e{Y z5=Pv~zbpBHAx@weyqybp2wwMdg=t9+_dxNdYcVABpZK(C8T@xMeB(?Qo2z%dV?svI zerwSBrZLXHbpHXausOc5DBY(@8mnjaOkLQ*2#xA@2zjWxo{XY7QFLQwR&_u%lIEU* zv-)(Un{3%uV-gWfVd%D)He(H8v*Vkhw-#qfn~ zxd-!&pcT%!zIks=@(N;W{GHGQW7sMivlsQGn5P{~sE&=%m}K4u#f_6`F?v1h*H(1h z{e^r32aqh0`vp5eOqqzLw*J&TMW!cK%8L$P5HkyYVHx;+z`pA`r5$k)_r;@^(u%2= ztG0{b@3Ofqj2huF^DOg}iq+GqkRUe9Slxu{WL@1ydI|iN;OH%V3#tLBf*a0oV*vY3 z%PTUT3a^=9jV^iJ3p;q8&<{Y}fI?a-I=_WJpi_i~fl7WW-r7I-ZYSU1S}a1tDk@yDSVpf(9@(& zx)Zbp3@ln6c!3d? z?`||zO+NZap?do)2wV;Fzf;F@%dhfLh8mVfupo8o#=iz+SxTsI_OK}p)Im`=arw5g+2sIb@zES~|Y#HD&Qb4uS6 zs$t|(k2!&Oy~G7tk(y1ynkUMs?N3f;UVN%-LUW-c`JDX@`rlf3KcY-iG&9l=e8_&! zA#(;EFWzg0wB0K*>#93TaZ36FGP$;$wq>R`7fW$o^5ARR#F7cybdz+Rv;`)|BYNJp z*`v$525l_EZK9A2#&O*7%WHHvwEk+^sk?nA>rz^yl^BJs!2bDA_`=Nw+J@w5HCFFT z(=H^wiwR4HKPU0jQ0DA<^GL4o-C$ukYEY`I3JURzRj_Ph1{GtDOb82?RM!!)=pn>tk^r zt+F*%Wod@I5|n`7{W3fgs;_|?S{?ldS#r!VDe+&>#s%@Oj1xI6Qz9F`_=l2o5a+j# za9R{-q(A-Nn|PKspLaiDo-EmxW=B(ZW>);p*3`44l5{OPS#o+ zHK**6ZaV;NXOVVa(qEwND4I-2qBCX`6iNWo5bM+q4%6yU+Pl9{I3H%2?6i;~P(=Fr zz#;h)K%4En#l#@_Nj;N|3d^*d zT$~erlUk~lj71=M5CL`vkbl&bo7GoqjkDIwFN(z-`eMl}yKksSS@UrP;R~GmdcvLd zAlCe`7xMa*6B>I#$aq_54I$^0)!t{SMEqQ0) zLe=hej_8p+CBb@79L``ixRo-v*&r>;qf8hFU9EI4Mq>ifu4yol<7DSd_jR=uXsUC} z?eZP*Z-h|x!#?XE(aWEeq=EXk?DLbXJ#{V}IoR2Uq9dF^SFI95&SQSB!4O<1yF5cq za_*s|g|n-EVK@ckRI}DD3(F(O1ae%B$W$bPMn{Jsh(8IPP04_9 zcEHZsBp1398WM#S0-b=znvy=($gMnQ_E}+=gR<;1Fy(m^5CC9i$O{=(chn^J|xD~Bb0i9^rB#%n|^Gk92 zvau4Fv6qT{^*FIcZo95Ue>RaPN%SNf?gyM_t7aIy=(bM>h+9-W%7I58mK*0%4UpBG?d&!wu`>0z21tjr9NUTxiE8^q$W&L!elTyNhTWuqwuf^yuLu}j^F zKFA#z5|Ko(FEVI^u2Gc&WmkH(%fU<{c?mNNvat(iL5RQ9yTb@2@Z%G!1CB2sS z$2bJ+k1kpj+lPYPcqm6mZXkWa^m*e-4V4o?P!c}VM&~9S4k@uPz&X$9qMy`N{oi=r zmd?UrLj5F&-^=iYVLm>-YEAw`?ch6L%Sz>BOjH;MlIDVU>%f>34g(_cT$tdkyBqh8 zN}&1a1&B-75ci(%)ki77T{)g2c>(@(NTtCD2AyBXqjY{Oq=JnE%ePOb1A=$|y?ZwJ z(Thb6-_Q@)_GeynRSPWXSNJ~2B@&;TlhakBONhCiIqXawH*rdtVz%*cxZne8N;LiW zMXGr(7BgRAvJs_%NfBBb%Hxdr{rXn&?Z~wufw((cb$x-=F$i zS;Ii|`bCb-`O!+T#f}+vuU|^U`0~FcSaL3RQ%a>P6E+MyNr+k&DeR~1=zpk#C>yz1 zk9{%*;=mKkt~R%n&~qs;P*Z7Ds!>PULqN>C#>JtPvJPyQ{5>8$spYqF(Ya~YVHH9) zNM8x8`@e88D0;j+@|JgEzxmST*NE7b79uYanjW;^`B_p5F@t-;^Yr>=6xK&8AfYw` z49wI;u|YHzwL}K-HNCBp=CxqBi_2c2G0GA1pj>L@TzRJi^3c7!n+7E`Le9N%EuYl}w{bYeULQic@k*Xn6|A?|jL&F5Lx% zMU&wC)_qf(XLTHrkg;@9j+Ekij{`e86xvdVo|jqU=_$+t1QcB?S$ z_UMh}WyL~DqzQFn+4ph`kchW2q!%=nwd{mNLiW#2D-Z#8BN>AP^s5rHN}hb#sqMbQ zn#cEe_b(W4oqKQ)C3$7Uf3Y|iNk;QDD<7Q|WJ9S&4ffc;>x8lUCmM*dp8rChPRSDP zJ-znl4xB}3l4{<+MTZT7q*lJqeo)0|zvD1?ek(0MZWBDpBuYCcXAC*)ZEtr2v9;dk zvMuWG{gS_dCCgdy4us*XC3c2_ijpc>F;-+QXZvS{3gj{b=Z#k686hUTo;#r=I;A>O z>wLtJ=2^m=!H=6<$Rj60!^`db8(zT-z^tCD$B2ir%8)AuEV>Ml)N^CVrTQW&so&)$tlAw7M|{SisFUd0)wT?q(iS1%*3Vm- zYJV1{qw95TRe}Wh(P5*?e?`udYq5Y5PJM;@H=j2(kUfEjK$itu2hoa^TcZZ&jmhzw zAvHf>&Vfm#!(o!B_s!d5GlGn*JeoW&t^tkkbq=Jqz$2@K^W&r*Fj{sSh)yd|iU{j~ z-*`85I6+X=VQ(*$^-|XU4?nb(C*4rUZZD=%QTCb4WUC#~{#|8(QLs|3Yzn1CCesH!SiY&TQl&O;Pr{^thY(L>Tb95GwoWhWRSYEf^#zNTA8akEgvxc?4~9S!8^BJUIZ{AP$nmr)>BXH zNeIKqD#YXoBbTIi4q3i(q|7nm$1wr0T+V;s^twkdCGEDR8O{fa=qpEEEg?$DeUn?)0Xsk5jc7PqAvw zA23}?n9UXnx2AKCS}jpP2xGovOBs-Pk=fqZH&{>uGfFD9juKUMz~Y~o_x=RUzK*Ni z#vi1BA|!Cet?IWe_ya6Fidotl7V`FM=*b>xM}IvCKuv2onF-mPU-8m~DFVsHh?Ke! zR>7O6y9?I`#hUyXw6GY&^9_~OH^C_^#33m-E@AywmxLOoaa>jH1}d3dWJjP8d?mL> zAr`La7%$XX1lU;UpK#F*VMR#ZPOC+Y>-Z|20c_z5)ayGP_p0I^-My)z)3BJ@SQd`91Oxt+Vsn@RW{*=MVZ10O0}T} z+_0hAQLUBRKec7a5T$Q}mQR34KdNSn*36u%qUeH5-~Q=mT)#1JMe3XL!@7s^^*|%zQq4?~ z09yyN2le{fe$m`sUZ%XExJvhNl$}5rGEZO)m8s z78c}Qm%?N5`M&d$b8+;uWmnpi_U0WEl7e|tB{jOF*d=?ytfq*@VAwlQ@Kqv(&Ccz8 zqcTg=l#g8=OG3b)gC7Ev6HGuRYi$-*MXNP?P01ntUkDTln)+>B60Rv6$%25n2X8y` zZ^niB7;7jnD^DG1Ma4B-VcHjL@^e_uE6Bc3wB0`m)^>_+XF$H)L9#~Vm_5t#Q6!TaXZmjC^HKA zN^HX^bV^q{WSO%;uodvz7N4riqSz`Cu+&GA^TWm@fd(bYl;UWv`j70?mUd%B26Qd2 z@vuRD%Gn<~7D8)pkeZyQpvp=>|Z zdR=vL*8_#1CJ36}LWinXiz~$(WQ})g-xT+x>a=< zfk`2+L^jFA&qm|6T2mZ+PBPb2cWLZuC3*sg_Mig67<9={)IiV?#?q9W8ZLa!vG0S~ zfaw)saCAasbr$p?UD2AvL6de_n|_YU@%Xc@xmHE=pL0byZT!|_B=GGmT$)XD4<-?~ zjAg;@zl3>eUh71voUz2qyvsbYdrK+@uTPMYexrCG8`Gdil`NzkB`V72fS_+BG^_e= zTTG(3KRtX>h|rOiYn+lun1eEX==nyRlR0+bwm3;+Z-YL@ z+p);>@vIslpM{}44^#{h*On0W%D`k9}nSe=CjsWo$+kI2%HQycxO#K!( zKmwePzgzcb>TKXQuxA_ZsN-JWh=YN!{k?}7)mxyu(Ty+wUp;GaP91`Cn{NtR*-!rn zEwm4td!t4@hD)yLA)%Om9`3y}3a%*?d2w+oz#_+(VhWB`jh|w{I>fqznc6kBKJFls zTTAzBf_DxPIz+fj(t_3zvB?A?3Y4y^7%ka1iVF^Xj9ruo>9SPxgqXi3HUN1_TLkmO(7wbXg_j+U?w z<0y4W;AyF2)1S;DCK?|8-pL~aD3^c=6Z0?G@&2XtdJJi zQ(Ut`6*iz|U@MmbY06`fl9U|N_;kuq=3rE>lij@w4)o#laGyr0iP1pf46J+McbWUj zy3%DkB^dh;>VWfY(J#OXQs-sWtVwK)q=;WQX4+FNLl_?TpP$eZWD{Y@Lbz+Je>%34Arj zuZ^l13=rUv*QuYhH|Ius3h*vxf7^NfbwLr&I)jwD{0$>XVptB~d#{8GH6Wr=tM~w^ zG%GO7MAAWG5r$xVt2M-DkmbI7CeS#RnI;Dn_V_lhrzW!f0 z4{NAxhDC{wJRl@poRO+?(%!)8App7qY}keHs=U&3N7Z-KrKFY4o6&!qs>{;IWt|xY z23MYpdRc>b0{NHgdDj|zD*G`q@hwEp8<{-~=mxwQr0U6dw)5a3$!bPa9z(CzvK7_P zQ$;v{^0PXnQ2%gQ31hxQXbf%Gjj~^cDatfm@{~VmfoV`90$q@E^44)0yDQk;ML?(v z`1RMdB$Uk9KeoNrF(8^fJRW3aLUr{%#v6zUlR;%m4THXK09MAd2;>Px7v$w2=B`$s z$O*iYrV^3W{j#$zM7PHzsLa8K>w$}}?_5wE-ylv5S@g=L-j<^ll!A;bV^L=h{GR6T zoY-`+djv>*V{xn{0Q|D34z(Sbsxb-eew?Obz2;Y}$v^*0f5Y9#CPc0rl|WJ}gb+zKO$TCI|W0=9`s9P@S9L~=OY8Kve7YmYT?{mpv- ze&o0axUa=T4#g5M=5%hIFw^emwsT&hsCO4vd;2iU=Z>dMLuuXkWoG?h#1cnc8q%ZW#Dc7Q}J^4lgl3= zzmha1w}Y3UU(l)(EXjM&!7kvCWt}_llxfV-YG%*b3KPAjLcL&5R+?NT1Qt91;~)b_ z$BCkOTO}4g;;3U6Q87%)zv~4q9)1f5h3OK_B<p%%OSUqW>-&N9Fkil#91#dR(~Fvg zCv{=>>O*@5(@M6n_D_{J59Yjihe4u7qD%y}ut9YwyH*>h z?n?-=lE+Z#4#h%L;BGKnU5`}KqK~_PO#7h~Q1yyUJ$u&C((QcR3ZjlyH}vfw6VE1U zlhC*kMNr8h`1h2Q?Vs%MKEU}&Hdv0Ez`R|c0~thShdj%ObQL}uRoITmn8OXCckr5o zzz73P&Z7j!C^RY-_dCxV?bGS168ZK@wKa7LJ5^z372jkNwJ5i{e0gb%k|O}p-ij!9 z?mUay7`yfq(r?$#whS`>u-QkayPG=ceSW}AdkZ@90nTp}SYrY^eHoFH+lX}ac0fQ! zGr&9ko~~m+s-=ztSjG-KPVyD&!z`QJG|CVftOz?D*!y$D7I-E;kajR zwSOjX5UTbk(|G}1R1v9GrKlNb28}9B>~$$o$Y?C}#C!{slRdI3BPNnB=mW5`2iXT4*P+)cufZ zoBeBt*o{|_YZdTDL?W#a=neS(_>lU+TkiIPYI4C8sOp%q)@_9k69v1`tZDQev$zUrz-E>XU7PSI>CY zzwvM#RtPk0a&q`sdu}Actzj0hIxQiFQ85=9x_FYGd!lk>t%a{(lnaq)bJ{lwCcvUv z1NfL*+O&aK$u|8&$qc!Y1z*4z*{VZ1XN=EJoZHpGpv!A|b82vjzw zlhdMA4yOKPMuc?YE+RzB_{^6)i>&QsKrxKRi>CQ_NpX)MlQ1GMk5MRM8jV#o*XmDq{WH3CR;0-4XeP_6(a(! zNu7N;{Bo&Ufj#%+5a#6%y4M!dBMhj?eP^z(P_iQGU13V2nB%n48M8F&TgUuX(ptzw z>b<+d9!=|5Gna&d92^V2T-)ZM0TXld8egEgrNL80B3u>d>=z~8KR_A%-6$p1{lD%G z+_5Wq!qA7y9O>h#D(P3v?7k{k&mjudcTIuZz#HQlD1*JHT|;AKsLqNi80D^lB0c;j z`Py~`q4sjxle-Hz#_q=$1YEJ_-6v+eyf>SYXAE0 zRe`9<3I22S7AXY_eoIqGITrYry z40>b?)Gb`r*leU126&+x6D5gM(PWmjgCK7C&-Vcl90ew?Ye84{S_Hc1vF4o#T|Nz z)#s>_anW9gOKImFqrN{2TWO9C>Fr0)haepB0%E6qL@K=R@vb^JrsHtvf~mx;R1e97Z3jW56!&HOQSdL#sGVb7fkR6`rD} zeMiN#31b&V4Q%3RLwh=v-Dm*yxQb|8{8-_#b;C#1ZR8ePSEulFT()JnOY_0M+byl2 zDL}X1%3JQ%eui!MPJhu5YsPUQ7N0w;pgc+JuT)s(>0fNhCp9j-;mc4Mntd+@z~e|f z@(*3dXl?@z(D@5&=31rEP-Z>9N0ivJW3${d;Vc?0${!XWn3pXePE4Ypa&+#&H9)ta8LYguqmZ;w z5+ITJk8y)6n00NI+oVrl&u_o%!j9|5=cfrYI500)EX$4&dOsGp4KiuxEV?vkn_rWs zd$}TXh#$~~6r9qJuLs(>epipn*#m1h;<-@&wBDT%B2=)C5>I9q}teBC=2NYB9baZN4&q0o=9G`85k;pPVy1a>?Q%WtD7l9`zH zH2JC&26U)p@j3R)@>NSR^O`OR;RaB&3Q>6(f5yZL;c)Kmtu*#hJ>My#7Rzolc&wQzD zMvg%mZX*v%t-_}p>{S~M&5Plxj4svt#Rob8+PVGn+4}Y-s)IzSO&%oM0L(uk9f{w= z)@C*nMBT_f5TAunfw^U~L9+Abo&zZBOmEE!7Zh+SY_9Y}MiiQL-SooS_8{-8@ENp}re9UDP ztI4frRFL^$9&W1vy=+?C|7gJDKN1g?K<&IPbiK} zn7p`Nhxeks03pp>H9h89z@y;3yF1loEekw@rmUd% zFO1b90I#v_xtVOO)ThpZI`S_iE=9S?j;u$yB^E^;W8eB3(Zt0uc&=4isAur) znPc2PMSn{}j&W>Pu8Hyq*@ACmhMAHR$^0ZbqHRjABObD@4vQ68JVsuh zJz#)#P%-;A+3J&?{cQfyyePJ zTf3TU&pE|uYAxUNYU&bkLFyS6%@o*t%|3%q&bHYEO&?CS8{=jJ-Mq5-%>n}@O<5p~ zL6{2iL5?EaK;vW-NkVAEAU_43e*pN$B-+-^a$A5baPhPv@-#*!rFMwjZucZ?X_-;h zrTV{u)r3#~y<~b}-lYVy5En@#zFWz-z_42n^8QR8zWz^x{%8=WZkZFM=V~EoR4wv1 zc_dYpst0l^?B%6Mf5vY>Wnn{{>4ru>&KqYCo_1_vRLI7s+Nf;VVkMS z4*<3rsMjvgdo~8gqu_>yX!$xy8NiAv+hx`mo4X7S@=-(t_>uIj=<_N%gapX#tK0Ct zb=We$z1VgZS1J*UBG(U|#8c!oB51H2U;wisnOHc%ORyTFD0PVfHUl2GNt?blg@`Pf zvUVdQeOav182B~YNY?Lx8)G5YCN0A@2n!w9xk&-()?ndDySf6e3_FmoxVCCQ6XMKf zHlJ*Ka6{M)GKhzBV!I6mz@E96;9Ml^SI*e78l8|#e?)&r*+&8g(cKRY$-?orQb8!o zsQXQntnjZvApM(2yqoM!1;{(!pQ-%LjFP$*Wy-#eFmfL~x8zbyRJ+9%wqL}IN_NJ7 zdt85jFN#I7(#1KXjosX5io`Va6-Av2P$>KT(mU*gM-!*e&oOKS?6coqRB?@RG#Rd`b!7bx2 z{M~PUHDPc|(?7PIDf>j!BX)rl_TZKsG40D%sr(X3H_FSHn##SCB|8cGoIfpCXK;TK{jW74$ zBMh1Jvm%q{wE32&`FBG7w?BnJ;GM*sP9GVe09%r3ZxUA0<#6Hb(z2;;WrF*Pbd{lB z42aBU31;d0^M$eX@NdcqXV3EbAj=t9CApdt}l(#>}*ZXcE#W zmY;|zE#jO~2yw$H6z;m%exleL0bWe+|AeT!UmHdjQi<>%rQBjm<862AO$Ze*xuMOM zB62_wk}~qjeYqYs5urt@?&e7{HHBbvtA0zwfupRzO)|-OsP4I}cS|D)1bJ(JKrzwq z&F5ipAa+>#deDd<NoCR3BB-dX7 zCzuF!`@jnFhe;I0)z-0}T~#O;isF?1qW44Q15;e)`ep}FfA&6fgNMEoCIOUkI3!UhBCYz)YJ#kJ6+k147Y|SzGgS*{)dcyU*^jM*|i=>c1 zlzCt`v%0kFLrUK0(FoAs!>hNcw?3Q2M#GqNQ5B5^t{vwMPU!=T2&xt7H&5`Q!hPqd z8cz9r&0r*r+aA)b&muox&7v`^T{~THq~|#iDa!$hR*1MM8}mCUZu8TC{b(6TO9L>X z-my~+7mu&){!*D`ZZ1m(6`9HO_Z@lFyt3Q0ifI^%R^5xU5o)L7v21I*u7O_%46-pC zY?JZ_IMk2RF@(!{`cI}EHZ5jUa+Kr~gp?*TQ=FIp!)!1G2@s+$U`CPkWb)OIhf{o>1oXrWbU6hf=x3_X&BqMH+x)5T+?Ax6MxMTp_IrG ze{YBS4sPd1BACV&=oSz)m%Et-)xpw>kgOcc>BDZ@)}T)>E)^ybAr!t0Kh?J%*l#4N ztPB8EQ)5fLO@zYqGOBCrEr^XWa87lcZk)<~Sn(0(41ov;vAGdkxRD0=WS5>`+*b-k zorp?i++E0`{ya7kht+Q&ErY(l2FQ|@@!Glhjn^QjpY~qajHn*NN0w zbP3~C;;sWDw*~8L2BqwJ`<-A2h;JSp@H7Z8Z91?e+S&OctJ-#;Dsrc_^53#CoEdc# zc~}j|?f#&V#wBOYvJL}G#jHSVGjkJ#;1FNTx4e0zj05}!QO=e$V63q$pjyVxx%>B* zj!zw5bIv~tc<|Q-1vw4+_Bc-^Y<`CYi;4)MJW1ng%spIB#9r<;nG;f zB)mL&(~MxquF>CF_RWnkWo>6=zp4$qKwg|ZjC=?3 z3=ON$oi4_WSeick7O#$BvJEE3oWaHN%%;1-DTM!p% zV-TmYYhuX;FC$!NWgxK1@A~~DqLT=D^NzJ#3{h`yLSsPwkFs}wvgBzNecQHe+qP}@ zZriqP+cu^>ZQHgn&FSu$<}_c=|2yBk=e%>?z3Z(NJ9q7<$jZo!T)S#jRsO;danT&Z zpb>uN(y4HV#HpstP-kv+*DOq9x~_jYfn78V6$=cW6wgp8a!#fr0JB5sDUfT7c3;@j z@*VbR@CW&k7ROUhmJG!q$rX+JnH>oImUwMlmf@vIybCssC~i-nj!2`9w=%lQTK7!o zgsB9g7Ih_y7E?SuSpqmrdXJJ0h=fV@Eeou=%UX8Sr@_|Rqf|1kg}-3`r!Zm76I0nS zFZ4ZvlDalDmIvi{IDCNCKyazvOkCXx9mY|!U(-j|AFzqqT6^bY_L=8~t(BD({-goJ z?lIJ#GJ4Aa^M2G0Tn0s|DFWM9rb&~6m?P0hxxuh1?dxC^XhEQn+Ibo?7h-R@vVKk4 zvLz`cr%LPI0Q5qai`uiV2lp8r?2l*Jspvw-?`0>W2mqaH*BsrStwp-V;gY>7>NUn{ zka6ky)5PrHQ&Zxz!fBhQ8sF`oAjA?1O@cxjZFfYa=QIebk7~zqnAPby_Fpbi&aoy~ z0sV~Fy5AHGvuB=p!DuT+0i&=q8%^UEXQDBaJD~Upx5+UR>dS#{x5c*Glkg%{e@<(c z$b~lNUZy@hw=?qaP5+w>eKli!kR zRbr-ClZ=aC4xJfw(OHlZ%%JzN-upVT@P^^K{72wi{ZRKdInHAw z9)VaCQoM|&%#~h75InO)vUNS`nj1DBE=dz`p?yeG{#1V$c*^?(jTxdrc#u)Nv(y~t zv8{SHl+*O~C5mVL?TCNSx?e^=BBFs<<+bx}_Pbm3{_Ne%(1KKGEo({AciKT0kb0?j z@@*4R=Ylu)0ZhZ!^BS1-RuLZ!D(>^SEpn1B9h>JvY-GQ@U2>{Zy&;K;4AP%&j$83m!@obY7RL!5x2D74t=sq6%;=}s;k zdW$_D>lFLc{|BKDp;+c+E^K`{^1t|E!Z=S3L8vGf(IA7J<$3$nrGfu zx{7dq2!xjJ&>-yt{dQ1wq7Bk6dgQ;Y88O@Im>g!onRKU@0I^CA4EAIna_MljM76_~ zDix`)d?i-rj?zDe)!kE;GqlNDXwjNbHIL#{GR19_R{5<=D}p3ACaWCblZlLJOzbDR z6QVnnk6@*~lE4J7?;bc48|1DkiMu52IYAFk0e*BhPZsd1IJg_{TQq@N#yFYKn*4fn_gTjr;=4HAuC ztys*VGV!bu^Z$7z4T&kks&1fLUP#+P7ZkuG#&Nm#Z<+oLs_qQ)}azm z#kW~_rOBV_lm)|1+_hR*d&%RR1yja6wpw^KG-n358hfAOnpeUCo!U(NME}7>){k!1 zN~ZwdA^q#!l8P@kp9R8a>(23VBz#TA=fcQx9yUmemlEEC@%7fUP;}+H^M=bK3kqLa zRKjBTRuLGQrm>RG{rYOuwF*eIT}fW(6&uxMhqbLEy>hi*rRrnli7IGZ+)ALe<;31Z zF4Tnl6n($6OhHCY3;mUBm2+g0V}}(+MEGU}fcfq>NA)u0aCc<>y1{*#Lb9>0q1bCt zbt*p{4QNWxqH%QNEYsOWY}U;*NArrypshMR$fITMt-xhCC0JvArST#ROjmNs2!pDQ zFjLpKgPm-U5}in`*D6CU^HkV1h?dKiHx>xU+ld2$&oqAIUNC!`ymx-kV3ZCHx;{}# z#ThOyZw62@8ybt24;bQ209$#rOWhswdYq{u`OxKxo~nQnt4p=kWZ5pg6C-r?RJm6W z3*cS&F~fU3shvi@NMqyBs-Ws%kZ%Gv632H_UF?Wiu>qzkJ9Of`|GGOBOb&4i>r2Dk zC1Z=D`m$A#SP1%oC7PxvDA+NSu&yRAJk=>mSrTJ-j93U9$|;@+^!Y6i@K$9pKjBPV zY3P&ZR(HCMnQ-6^^BQdn&SeeVuZSMgR@HaO_pOzNXy0y#x@t19?a|CgRt;10xMJ#F ziS}*t(Y90{VGXw4s>4w|6kWKv5d#ik^0GlE95@QHLQ8>z)h+mCxP zz@Yr3tW9@(n_a|#JAkX=Yn_vC%mJ-NU6!xU^mBefI`u|nh+roMZc5>Y65k@BpoE~> zPmu~>Wp^~vxaj09o*y$bF;rO>zHa!>o2&8P4c2>=XgfOc$A_FB5F}phzJjeEt`t1|p+1~NsE0YcZ z<%Xe1#xU29!bvp>5)bkp;8CQu3mIgU0xccW*Zgnstc9tRRFH)#d%e5`ds`Nq^0N$> z?*#B#rzh{c9e?89M3D&5^Z`VY6G4u`f{}^ z8q1lZgKq!|K<){BkBc@75;bVG3=*wyjK3X}6^ItV21YmpB9*(N$*21Z<~;#WDn7JNvZ7Szq?=-%@RGAlo4}lPc0D=2o=Uu9-s;Sa z#Y1s(B^#Nf2lW%n*EOsk9MAYJO^OAKBz%9*1>aex03ed)@*r{z^EK)6ND`vp{Dn;_ z+c(&15(HjYN`#1dEuz~MBukHB>y03^g06V)Lm@$q3A{;yo6qP$xR2NO?L)dkZO%b( z@%o#GO2p9jaZOifI7|f>jLa&YIJvVQg#Ip`FcAjtDBNi`nuQMD(e)|>n9;I)_a!Lw zi(Q$MxDT7pKHuEy_%Q}OIap;gnq-XLmUO_2e-Wk=;B=@|Oh{KPtgo?RWQwTKQyA{9 zLhD{q!=`^oJ3Utfw|)Ksl{x-eP{Yc>=w?ba)UQHBL3P2V`XXb)p4241Ma#YS`?#3Y zg~7*pwN+Mp$Gvj1-}Fapd0>@42>%leQ&@WCJJe3Mrbu!tuWz$WAY^btCYBz=9+x*7 z<5TV!6Q4%Q?g~kXig=lDX}st$3!MllsUBsMVs|EdOikQ3f&E?hPz37qQkg}u4RKRH z=UV;68mT&xy6APmqK{mD|L|M>Q3M~|!7HIiB>YMdO~1e!m3((`(0lXJ1d9Cb@?F^)=M$jOU&aPmq@GxhgHqm0g zE$zCTZx7?DYSX28iprtCFCS#oODuY{>QcU9V62!L-NUI0gol$j9V)$jY;}<4JaP~NmF4};^@b3HyKXUFx zNik#0qKV>lYav5YzTur7@&jY<#jl0ef|T&vw$s-bl!Bfh6-{iM-{Fi)N& zk{{zo2FNFDM0{Il-%>k-D0W0MH&T}&KU5xF*eyc%$~VrNGSrsK6I69a=<{JoYia#W z$3uN_v+t9z?;&FD{som?|G^X-ACp8fmLh()jlkmbcx($>o&I{#^P8sWBprC_y*@<& zl4nnPMe|J(9Ea}F{IW(sAcO7@cxMs*$vST80~RjBJp50%jZ+-61e~0pLflPS7-!rj zsfWqCGCD!BzSB}nmpF{E^E=QNfHV0jT-d2Yl6c$4i0h15*?W;9+J+48k2CeOh6r*e zfJ10LTVZF-m5y>tssO!rZ)Iq|N23~+WVT2oxZWPN)zqM9@uD+9`6aRvL)X!cQxiP% zy2Y($Gimh!B<_ZSIs-WxI?Vtj6!8yEGi~+au0nE>2`vCb(I( z=!!+4EdLyfht;%)m;;y;fE8q9W!6lS8G;q&%!T^c0}30HEHX>Qz44{t^~r?@M#{Vk zy7eP#@xo%oIp>LOw=PbwpB~8tm}1*Dx>?zi#hY;EjyMZ;J^w8Ng-c5RM^LHjXaMNA z)1UloXJ=ZJY&Ul^r~S#CQl!S}n08=o7aADk}Mu zG9z5)ZPEe&w)pvcqMn6NgfD`FK3i`zxIw*}GB{bG#tz1$YeV7`2yM)HBhP^zL$UEi z+q^fAwPr;ufVl`N^5eEbk?=9W+wgo!>DB3|GVQ527L2q;^~ZeC$@wGQ0?cvU-C5gA z{FYe^kcbJI7g=7Qh6jN?nVNLEi6*VjSK8c_T;^ouR*jK^)Rf-1wY>oI6*m3aY;zlQ zW{@TZZ!6-w9oWJj)|zrh1nT-%w3z%zZ_I=+t4CjKdgis(5#4QvszC~xO%d_!8Q4Op zLwmpP{GLjL|O8`~~;`6(U5cdY(ZmW^aJSA!mvbGDG-=x!4*G@}pDcH-uVTaw% z9T@^s)8as?yTgZ=Q3XA_@^q4a7>sXPCV<4ez^HKlZ(|K{NzN`k7;D zo5HSnJMsb-F{C?Fa!sy<3Oki-0K0nAz{H5s&y6>8bqE&=Euom%-%P^Hpn9?t^HDbw$Zex3WVbB@rW_(ulQY?5WiA9bNi6}=bYJ{)XJ8;)D4(2el%%#dIb;)u5a&WSb zxq&I9h*jA6dU^yHMxtmxn^6q)i#~Y2*>W-B8E)>|^{tIHJrAF>R|R-6jzayAS}|^p8YAPhQVUkS5Hw0n zS+MzAJUY653XZ5rI|=wAqQRk76iP-A){kd6v+c>-&s|VNMOfpPvh-iK{wfyoh#J4w zS~S&5AC_A<*hNX}(prD=Nn`egxd5!h7@5O01KI@^PFYNL`_nvO&AOY-&P=K*VY7|N zdtfb3OT*9kL4rRBn(Or!GB4-;2)+RKIGnxi)e-q=yDTa8{`5Ajzn#_QiG3lx0lDl7 zA|jpWsZw#yDONS_ps@mHQOQ&-+`vcs>7tvevTmIV5isl1Y05_im0wiM$BRk=P{PMH zXi(UuXWKnm9gihjslwf+DJQmC+s<7W;IHRNZ<4&}?e9TYY*z`NG@&#MX(8wtgsT?T zoBWpc#{I(i`6^9m_mYr$S#1*ELzM2cxxpUwb7InQz|!&{L8F!G_4Y1hIgfTJmk>+4 z$}zQGSlu;saTH0%CpcOc@^yXl37mo$=W1&QE7-rsX+1C#-llML7@r@B?DdI<+P~j_VuT6a0>pyhTfFe;7n1K6^u+ zLW%%5ZXfT|S3G`QO0P2*8 zUl1U>Oaz%N#IH})-TPOV!0+=trR$eB)wkomg9!nTV6l#V+c~D{=gq|r=u17zyQzq| zBvX*~4K+Ofa&;zV0MR!3r06Mm-&M2@0MImx%e?zAYt6gM-&#xKi@LW z^p>*u-q+q~4=FjcDg&q8Ll)of@CqK6Rv_fKxka~%c(g{+I%SW>-9UV53r`1Efv$2i z=_dvJY4hb;t`?l9j1SeliV7Ythy00l!zKE@+2yfppRjx?n@dAAw1#}Q&1z>v+V;2r zD5sJlWv^CwD2+n2A3zn<3TsR5UvD6BF6v}Kn0c*uts`!|3$??uLaPis&^BrenLPJ~ zUprsyd|rTO94OVxyTPFuCnA}E4w|p)E+3D0(iT_qpMWphh4th!%3yn?(Eul~Yxei< zQ)h%Nyqw{*cvRtVkRizXK;xvo=W1I2V6whxfW$%NO@gQ;Y|CRf{mIgnLA3)TieI)s z#$Et;;4ljLg^h!GVaS*A5T%)azk(|kTthxs7-ynMqT|EF2|_%U;iKkv-5-Vx<$CF5 z!qHa~d9WeGy%_41w_ksk)}4o>qoMmp-GMSRV~Cgdf^0`V?aZr}B*shEE^*9}FrV@_ zU>|SOyQ#qK!#$7BML}Ne2MU2u+C69yH%ql?T4^W^w2*X1WK0L(+vUB(eMbHa`*D)% zR?zn4h7eaVID6+WFu$=GjtjDd^u5B`F>Q;GJ*M#FSao4vILgt4(4B^CZ`O;!cDq~^ zVTG1dMlZajCOaLOkjX(*K)*l=x^4(J1oSRZhHX2RO!Ay|1s%JdMZ(hMP(WGQD#r=6 zhis%jk*!2HlHItdK~0$^z^!1}`cL|u83*gq+AP3xghNU8R!x19V3n6N!Wz1udbZ)T zDSYPHENEByz;>B`pjQ5FoG{gVzAnFbR54BC!HPYrmq2fmSI_eLJvm&c&Kwwt$@QwuziXa%dIKR&MIKYs3xVm@4C^CKx-9OMXB zlZl5}rGu+N823(ZjZE~Dl+FLH&PYxhn@d9wFmcxl{7lx*DT}R$@{);BuE?5?Xgd@4 z1B%dZrq@L{gQ;dMmbGqAB#T}K0=lrvndBoZ6-zG0iSkH9;c1mhL?MB1ULbbnd81sG zSv#&Ij1oW|s+nM~q(rMi=wP$$*#UlUgxd25if$>m7JQm>CV~jf zik~Sd&DNOp09oy-q+u?wt!|BpM^Ky#he4K0dF)O3F}V&Notr~hms*zck?@{EV9_yc zno#bxI6_sIDT6<4f}8nZIqN;t=q${SHgq_Ryfo*)Ob5tB9BEjV>c$mWi70Rnb0BI1 zO_myDJM-3f6uE|9g+wD7Y`f0VxE+wU(g4n6DhXIvU)Pjk!-WuAZk%B~PsY$s^)TDf zd|qReGKHHZnrBD3v5tDV^)e`gY}0}Tx2)jNn-kh-lDKq>_RQJX>tA)_sBA3a;|hC` z59!bwn{Auql%`Obcep?32*i&zU6p!^&n9g)?M0m=?5$wy@0<5%^Sr%JNmvNDv2??V zya`MXGQ>$8^JE7UIaZma>)_vq%{x75&w#%Gafh7(EZlnZoTfUHUhDWa!*Ob9-;Ee` zBMhga@kwzXfn+yqlOZyNLdy7`CL7c8@F?CcGzlwRWfo38Gu~-g@uH=Gm+x9XMf^zK z{ULDSM0F4~D4TBNvQWJu1NV~0U5-$_*pr=6Knp=rM@X+|OK)#MxcMe~7P{`5qyo6h zP7b}9jTbmR54}cT7Vc4b|8xmTn>GK&Ji$|YnP>vct7f+)7qnFP?hz=4gO1XeRd3SE zJazg4E!D_WS@2hNn;T`v^^qynE&1v>srzoeH$0(%2I0<|8tEVg;hb(_*3}D7AG8>E z&V8*|&rKtBZH#GdRSKCOhT`+TDGEETOTR5yNv- zUX`f+dTsplOf>Wmh{XPr;>Sp7`ASO_$nhojNZ#2l)ex*_aHoIdru+%87Lon)$bY7 z(!AfqWT~a6qBlyTh}}Arh?IUHaFl&?83YFkl5EQjlq)Y=X~qpD4ws|s|td$n1nXh!)K}6@g z?c?D_XeLvf_>8#6p~09dg;xq|-LOg=#*>U|{>u*jB=@5auYST<7HP5b^1ZvbWqueg z#A?T$7w{2tVM<19-W@oh?+qTYq(;^SlL4Rn4$L&16fc-6xvmB7Kx z4y#7>5!`ZB2d2$lD>9sXJ=M5IKLGy57$a0o-!Yj(Q2xAuvII0Ux)C0z zORxBb;wPq62D+Ai4-s453;UBfk&r~bx}6lVFzFRCE1w6ITkAUvX8Sh=ly)X2mP6gV z{*O*6tf(Mjy3s)#zLXUA-+Uvlmk(`bcD&^x5>5&GLEsH76NTapnQ{}-#}{=pOVz)K z@z7kU)oWjBPk~1(VAXpTXat1zLd_BZ_@tv$YYv1b=pJH@rQ36!y5gO0z~yRo)})i1OXCnYn6^!D9rXSKXp zcby`|-el|}kY&}3Pegr4>xJXKOI2?Yf4$|ToU|z9}+&<6)X+8wXPD73>T2L&cNOumK|g_tlvr{3qN`6 z{s`m%$p8jlr`p!7U^X@%pk&J>7pP^6^!U0baKb#p@S|~q=uYEDT!Zim_t3nlzS;`r zyZ#8{>68!Eb+fm_`_C;e=Rut=I+9*Df5sUXHn^!*4pm4aY$ z($|Mti;#s(it3|SBmjpHJn`-%f4v5DKOuWZgwS3*u-*D{bn~@%YBe!VU9gD8@1c z0Avot^+=`)bMq{PU-E-r(39<9a8Z9K5#9h#&SQ9bFg9j3(JxdT$aE3pMmch{4T^^E zffX{QWK8$InY3;!MVMAOoD3;Imi6?Y-OH-D?{{eB4m^cC@!^<-9MQ%%xn>5iKN7$g5$_APUj>f{(ir^l} zIH)c=fdECZ&O)~SQ{ekD6(fo=+7+?=dt|@K10p?)o>10zI+xMwkG(CeM?w+)H<_A3^yq!bJmIrqRC^)dl;<8e{nJ@Kk%HRG3EfKQ= zM)QQrB6l2+GsTXAv$~+`OCX#Xa_Jmw(q;3Ei%NQ8d|!iI;)kHy9iZd=4}%WRJoyCmuS;E z5iONApu*3fL4ri1^qm);K}9wPsd!dE%vwOgC`Ca@ZjCz&s$wn@CFW22E1xNukkW&_ zU?i?S3CgURoj#oO(gQWEx23a-52cKYRi{AatRxnILST)OE+dBM+b?*mNN;lMwDY62 zm=z-#O+^<~8D0j4@@OZ$#w~4ZmCk!0hlyM{~G4%@Is z$psR+nG@d+d}qi|norQ)_mL%dYK0T?eMKgk7VJ9<+k#KgbFk~2W$Z}NAZh&d-cC=n zwdn4FEABxXGGypi`$WR1yHxvL4fYbMFn|!!h#EEOB5)#V`#x#Q8%U$xZ(PqthcK3} zn;U|`npn|xG#Lm=Pp**EVF@7-^cYnl~s*?85UZ}`mWt5Xnw!0ePB zZwm4k9S5Jl^s-i0-kx6x^WP3$ZwjUlNFmUmzI_bUW5L-50m&uX&gUL3pk;t(4Kfw7 zram)*yOKlsigC1Wk}WRQeIJL|vaTrwF0ILGFwOgj^je$~H1=b4Q#eio_Zehj0*i)G z%Y;Z$tDj|YWag&8{E@yzQq_LOZdbn7ry6Yd&ce5siee-KxQkgj$u=0TddSi=4hMj~ zpLB%|<;#Di$xx1039Xi&uFBhbnaY3QBWBtfrfKBo6p;gDqS6wM|BAi!z8AaF;?9)VsAO zdA1QHc#B^uI(vryDuOznW0LrU@d(xTdwHT#zj*?;-Y;$Zo|;h8j5jJ(0634h7~c{* zY$r;gU_)f%+N?0{pm7yZacWl3c?#7bb0m@pE0tbfRbRZ0&NHZDDbCm_JJ6*Wx&5sz zv}90c&ab!FJ1edNTp6qI_}ZVOQ_ol2q{XdE*`r7Ru6xBX;K(jDhQ1(#aBi*~8yCxfTLHU-^|0OnQ24l)IPyHGgmgm? z-GZ&?dlXMA(2X}rL|f9>s|1PO2-d>42}{4U*DziGb>+Hp|1)m=FCzWlEb)IB<$rF^uB1SG||ESfh?95yg z%sf;a?2PP{ROQte#qGb4<5u<-jMAoG)N?CWFM6pjnz@U~7pvUJ-t}*#FA_S(KO}TD z2X%X^zkqTg7Iu#R;I98CsQF)`e;m;zV*7{Bu1EBr_WsrU+s*%jJOB1uR9ftB+kf3> z`4@WqAOFA9Y7SpeZFwWd|K%{Fm?jZ36B85T*G^S07gsYoX?t@ABDQ}#{0A@pw?EES zj;;>QL~Q?p%xivif%7l@{R-PzXyhz=!;u#Vf6)L_o5R1 z0=b)gDOBAY9c|6*{*vvP{>zd6HuLWz{jaF}Z$JKVOTozQD?tA(B3~qU3l}0*7Dj1T zBU>vIVS5W(Ga@EN;lD9)CF0^{{*U;$64A3Tb1;e;IZByXSy;Lfad5LT3cFiqTA6;q z@>b=|6?xl z4<(=eZ?ylmMa07NH5I;^`NHJ?4aXO{-i+n%WcX)L|8xJ@|Bmgy`!DR~YU$ui_3^2K z1w`aWYaRGCaH3yC`>%L>DS_mHtbj~_oPivGT)sZ$K(0XbKtw>AU)#<=re9kuKukc) zKpg+BWaVHl_Jzu)660ZEVqs!pX5nP!W?^GxqGjTuWMZQHGLv^O{l8dzB?~ZhGco)B z*ZhC!-+zm*qOrBAs~w{P5&PHJN?19&xPHw*7Uus0-k+O|i{tMx|2N&g%+D?sf6a>k zpNK`fe!cu~*!M&&+yP4>F}TAu}Qz1TIi0!glt z&Y6^2CI-_=#>tFV1GRl00Sqb(0wufyp+Qziow6Pe0$zuU862oqcMO7VtX=Q+Dqyke z;*r*^=`)KUxkX;B{5+FV z#*BsCUM(s*I}4ZRLJU3nLctT%81 zAU&LbT)!Cvo(MzL3wByLZMC<=j;V?$zWC2#fuX4KgK@x`F~HFnwPYdczKt1zQrwvC zK`lMaRpp>mMlOC%iC5mJN=baYY;YdQS^FR~u?EHg8|MO#lI=%U8HrlHR+*#9ymVL! zOXFsoZJO4aN*=|kb*?EICba@u-ahL+ja$163X!` zRIn2xiseBQy}z+xF%+v=-5SAEE*7gs5t}L0O-E{(1~Ooi!qX*JwP~> z%Pg3novOKEO%um{@jy?`MSVkS~p{5W8ge@hBJ}?G1hnz5DOfM=_jv*4f zwuC2s@X%5iIEAEV6=YZw2mm2mNY#jR>Q)FtYJ$~npawNz(NsCn0y15WFotm($Rhqo zBnpH=6Nlj|RiJ1xnXl@LoE0j@ID#`v#VBxTDWp=phdvblaSUdG1k$2dM2R+Eb?|Ht zo~#5^J>5taa%;*p#Mv)x_LUgrOkq;wcL*1S-N31mL=+3tVRfih(C=!Rzz8fkc%3L# zBrRmss-(#%982LcUKndoqoHxD%5so7X(g%QO1wklW!$4uSx_{`8fPjZ@e3sy%6>IW zcow7kR0!eJFw?7L#-zOup%bxw8OOAjCIz@i5j@U~pBOy*edKq*Y^q zO6j1d&v2@uZqq6a0rD5kW1gHW4+g9ANB%=0y9WOp8E}!F0A_m|%0-dsffH z5=wMe+6Y4<2GW;~xgg!@{w@qTF+}Z#WvcE=s!XG7pgy`yU}TEcavZK}jAcazh&z^{ z`ydvXWg1EBVLCZ#BI*YY<8Z7{XBQdnUdQo#W<$>^X~_LEu%l*LUL_1|G=J7yI@Rwl2;q0{+&s9mVI;(YqWq%RK zD>~^={koZsg&O0(wjVMwU0Q{BUJE7zzEd=37$wCY=0v+~jP{dCNg}8nMA9}Y-_;vr z)!I_y`miI=nCPYPM+vKOZ8*ie3dW5{RqbFjOW3v2w)M1eODah>N~db7mbplp%;1`q z#A#+gt7@zoFt+R=+IBDmhLY#>U8#_L6pZ@d9?maGP^l9U(5PxpdEuCONPDBWKbl=- z?5n>!ovTBc2Y+X$gQ(e`s6qRw3oABEFO)4+fLt0@!h4$a-=X*!_unllokQ?d)T?Mw zhY-CAw5*5N_d2l#%GcD|{xTbk9{Z~27dBg{ul0*7zH}bGq|ij` zY2Fo1yhNbCI6l(i7RMD%tDT~R^};TsSAjmRaG+H@+hheXPTFZD)GJj&{s@jIT_pkA zF$HEQ43rJ_QX?eEam`9A!G?|>r6LjgWmYIgs_cxtl2(3XS#w_=f&lxFy9)0Da&`eM z_~y_By>=KO%T|-|hatYgek*h~kkFLHJ?pJgrY)=Wxc`yV`1Xsg+ z3SNmr5Wr9k`4tVtbB&tN8!EQ+x-4C{B4`*71;~=<1-yGzOA?!^r^}x;QWhQ|YrBfr z2>UE_R4(=<5l7_>%P}+3&Pf?uZ`l*mEVaJp8BfDA{4jDE3DW?)1wO|92o0VVLv^17 zS;h`#>;>kAC(N}#wkYx1MS)luqDKKHiUo!UlL~aw7y#f#A2kCVmFDeXDO-{`45!{n zqq?|iL2+pcqR={P%?Y3*!LO0!5gitxtR3-Go8Q1=R=cDm0l6^LgQrPVCPDZruyeJk zsOzO@0XOB5#sI0)_7@hzcHJcG>0|CyLX7Bi(GL0_z#i(6HEt@JB2F%v2oD@#UH;|2 z8kI0S@GVs0BFuQs^5~XDid&zkq0E%8koI zrOn(I!K^yDcsX-c>)8}*-5!m6WF_U5b>Fr?6Fq<(enc#D>mIYr$ENT6m zw3C%Dy{AI5R4d5bRPd%l(f!wIj#XzskWNKa`*!QTMq07mzE_xy8En30dvd=xSKdOU z*4Mn6Hf~ilTHc^$m~5F(o?NWl$H`P%_ro+Z#01MNi6oQwvZ-bw7`3V%AQwzUibIh%X?7yEop_O9wd3Z%#GdXY&BX2cSlk>l)j{rM5_mT+jedr9d3^bx>* zC*{!6*EKqYb697PA7J(ty<7G%`!F^3Nbq_4wxf7!FwRqQi=Xay7HlqHy=0|c{jjuo}@V(0(I*vfzs~-rKpTPKq?QFIVcw zH0|?V#kgR(hIjq5@AUakL2W>VAj_j*JUzjuBI2h?!0~#4oWbR&%`vQlV-(2*sSMs{ zkHszzFL3{_y-NZ28;e&`#O*J{!26%(xfSraw}?lB2g!D(q|1RYCtp*R1VJVtsSD;^ zaeShGNf-eJSQzwmcuMop8lV5$;rDobb|pn+>R{po-)JMTFsSf9U?q{hh!THR=9pup zZX@k4ZfxJNMWfgd!+-aX{$?l^Iij8uA-NmmjZ_xn7`dNgm_sLbCg=0T5)pw+?z3+G3GHzHD`X;5BOmXVU4j8t(*;hUw*+BV>J_#XAa!Mjpo)|`9o=W z2E^Msn^c(-)~Z4{uZ&xN_T1cwe}-xXPHT$C061SnGAqzns&dra5 zGQAob+}4&EC`Zx~Hj4h)kQ;`8=GLQQH&#u--_0%#iq`^OJLNJQCPVPCi@xW{-Yfn;v{r!j0wknaX}vr`@-~ z)XvwlceE?O24~yBwz=-agAoaMzFLosgYQ^Q5^g=CJOd*PtzC@g7*sdU(9rhk7;(O&j+H%U<2r2a=yWu00r@jn$onoR=czG}Y9zHGiNzSDoS zZX1tDkIZ5-nDs|JvH$BvzA@j3XY?<$BkEDr*a|E~=6@8~zu1nfMwdjtPwxA|#SL22 zYkwYGY>HZpIb7WcIKDQ&tm}J7w|(%mujyA|KhJ-^HpqN=30FWXh8jha6~{(9naT?2 z{a(Xx8MUYNUUM=A(Sj7l(oHr8>t*u^>IH0LwX?t{65EKYA$Hb)!c|a+M8S*q z^!Bz2OA>p4m;AkfqU=9pgmH>x0o7+*!y3vrVB*oam3QK+N6kXT(knsnPgg0IeYIf}XH{zm$409e-lok+nu!iNoPDdo9Cx(&T&b!-J5&uX z4|}+CddRS4C`R^amY#tbQ#LCG*)2rJu}$9GNR3x>jX>~YdwK!8(|wQo3!x&Kl2>+p zF1oYQ`d9;ql$(zf+0-<6Ie%U|HmZ##0)D9?Lj+Zo)H2Kbk@) zaJHRJb@AL8Qzo|&VcG;68h1v56TM+doc@Shg&$ST2R2D`H+i%n)ajd!6y?IKl1r0n zt0M_b3tc+HK3!l{D7DCyp1V+cfyB5yN9|#i)oVl}cfc|8ifuHtMFO;NI6!bVdNy_K zH=0gLs=?!gtyk1cT$><%6h1_)uz!bsT4{7MkQn;$O6S>$k38=6`%Ct_JvHD8_@W(q zZ2s7~(69=CRKKo2qhDq~cHFD|@MqemUz*zag9@vMNJ%Rs_pYBAU}k?mfgA6?B|52s z1b5EqbEea1wd2j3&)t_~TNX1L&im5;8Qx@ykbA0a(2<~^H)Vah zXw{H?Qmr96o#)4KPi!nFE6eW8xF;{^(fijAwkB^$(OUPODdgo8NsD;Dv+->qFtcW9 zuKk@D*@^_lS;j|#+d!5B5^E^(?#}{&_UH~fd)MC!jiPmex&e0wrQhAHn7mowLtwG@ zZ7uL;eWbA+D9pNZQdhR5okyZ?siSo|nR!^sLJs&+%)ZNVAwYEaOvV)2dPP~48bFve z-H^jrKmEWDlymP31#N@W1JC$NE9Xk&#DJwt;z zIVXEHYAZ&a!guI4@4n0awQ4i!^9|df*Sz~R``4q*=<7Fa_P5_9Fa{dm>jUgm`-~A&~iD0;X1RmzBkccqsFD6?(LD;AF}JaYQ#g<6)txK*u0A z=OdPtfJ~1sR&})(6}-7qZ1XUAG+`RsZ`0T5|EH*Vop5v5X=4!;!DsLOZ6bm4`Eyug z&SVm7M}u*4Y9^B^|4}Ip+Uep4>zchQKvd-|`uiLQnW)G4@WuUWuolPru-j*N*LP;p zPW9K7^P2c~5{it7S%eqGHlQ%jIq%%??o7d@cTX1C&pD5b41RM_6fqj0I89JK1m*A>F5>W2V~M~ZmB+(cil z?=mKFw6G^KBu0itr)<>a>2)$BdKgi4jwC|gmiOdPls6LS({RkuTFWO?b7=(H2~mAG zo3Y8B!KX?4*>%_BxaOr1-YZ*=@BJNWhM#b;Rw(8%rbLr84W7mJ5gXaE&-=XvpPDF( z7k;Xr3Sn(Xv>)jIRjME1BjIc7z>H8&I5)o`w#c>FgblUw$IERP=Gxz=c^HL>FGoXj#cs{Y_y5y;D@!H|6N!_JzfW$wOQ8W zpM%@Kmn?N$yscms`2T)Id{Yj1I+*Re|z&H zTxyb~E;nUxR_w{$==nI*y12nElTGFNvc5Uv=F>Ad8V`SSwsR8I2r!1g^qC6m~QZ*L?W>*z8hSZtls$NQ#nS z+Q0o_v+&i7P(@62Pg7Exw)oY+oiyxd`@#vQ$<(QecTI2b>KYg~B7h%?px)>TbvvI@ zKPMpU?3Es|10Tj#Au8>pjb?H4hPELua}N+ivy)$c+oP9dyB$>(xTyh7wD8meP5*>$ z#m6gD#II#CaFbBm>-IL}QgZpZE6M@)V?cgAuH?DH1hemG07vGTo}i*A)S*)%WryuR z$-_;v3wCNuvy&1-Yd`f$C#niR9h#u_%fL}`;yM+JiVnc1b@c4ev-*;Ri9y~aGkEak zchr?q4=sYJ+PwZhrPoRPR~~KjPl#$;^L*T< zCmjhs<}*yn&z7Hr>_ocWZGl^C>eX~x66C&y6W4k4ATc+0%DIjjZiCvk5_usA7iklc zJ_f&9lhtXlu9_+RH%KRf(PP=polFN{M*pxUklLt;aW1%>vtRaYZ7OC|VSc`xf3k3T zACL997`oNHVUN3|i3iY~&- zuO{mLJdlddcEI)B&wG%R{dBDS^ob2Fpf57{o#udR=g)Pt0o7!9nq6G}l{$393wpv5 z)A$&|cRrONrhvV(35GPsh7(rSseINZQ8S;OU8YsYuVV4$dHE+nRpX?OY+!O6m9o-D zR&oIUHnZXvT#mp>??wi@!H}BoK zv$3-~5np6wK~{HkWuH7%^`DbB^;^cHQbWe`k!Jsl{j6Dz&FC7QimHFlN%8f)>bD&& zOHAABoM(%RrJgk3t6h3JWkk+Ym%Bzu1^xT{LaLCUXQ+VFbhzQ8DK8S`a3gJ=70yH? z=4~b43c7>&eg~{nf%tME7Mqjx=i9vi&M}2FoYti~*pQ{1>CQ7!{LcwcnfVjTCF^bO z3}eLnnBm5kQgLX^JB-*@x6pz(@0Uh@v@blLWqi0@Zf@aX5Vevby%v-3$q2fu%$(-W z{&zA5RU6$M1$CYN8CeCf1UCIX;3szP`>_Tc=aban3xSpnphuGSYxIiEqW4_kT2 zBUE0x{VaAovpSiAdwnMRl4|yK-LVP1lVf8uPf0(0V?-mF(sP-8hxK6}vPPDTi3WRQp^NA%5S zfp~Sg8>Z+i$jLjtcvFr9;X5-HIxA>l3bdGp)||gq)!OkOf8qG8#a*AE*qESrv+KYv zL?rc(#Q`bD|28fx-US-LuJ$jFxBdM)ffr^!-~wkiPFnmP2V~EFT^$DX^(+2v9q=cr zHN#fmZ+~5(>_MWz*Xt*>yXJ0SfZS!+JPk0>3%4-#1Km6gNX@9A&_;p|OM{&5!@PkeuAe?x>j`3y#Zm({ zH9>3*JFjdLwA8Jw_^;G;?(I6?*!c!RYJ$V0QAWSoK2|+)Ja01y*?kkWgL<-`t8i!` zE@F&iL`wT617E%8$VaoIo3kudS5RZw>OrB`Nuzyi^AAim?fOd%v&Q%wYeQ^e9Qa1; zp9lqr+@RyI9}xa$oH=gI7V6$S5_le!=V$znods_vvQE+t?Cjo5UY{Zmq}-hC?)~oE zri4BQhz-0wTqbd^?qA-~e-i7L<|&$-$Z$^;Eamu$CNR>otZ&^EX}eYji#^dK$4cSdc${q_Y}(H={2YmM)QAEU;HZ*HYUlh4>4;rmMR-t1jcEj&ocvsgf?1n)bF`Q!rvAw4?O|}+2zMwC(3{=ki z3|KGUsEO_QVEB;kqG}V%%Wy0D&Lj97W z552Yb?>hnGFljo90HxIT%at%9@5_u9&E?qGmpKp|b79j`?EPY#8%p+rKhkPo-_MV_ zyXTcBc|Ovdse%|^TWRvzum*Ee1OXHJAi%$5TjxbS$8P1_rBaU7IEe2fqowjVsxbj|fIeQ2~@+kEezyiO~i(Jno`FkF1d4ky&#B()wE~wX?YJr52Kix z{8P5b3d1uKoSP_^ReKDGm6v|d28Ou|rIFmyY3tgC)c4!9gzp z6*06&$VlrVxZ#XTZlvA!iMcK1-`bqh1Be8vKi@A1=lixyqJRE*Q->MMT`yFf>)Tj%t~vd%IVh)&v`>ZTknW>`>B} zH+udaqL(nD1_zUGem5YO^?9Ni2a^}5;Dj8iD*z3?+;45+%fN(cwb1{JALYa-R z?x;W4dJCzIUjG?R{P(po25+~mt=ef{H(|BaRWxL`u;GLVyifmiPLH>X`@+{6C^qIC zV^N_fgTK0t2G!g#-&VNzBNNAibC?`{%BS`r#p&Z}W#jYito3Iv+v*dVDxz(n1eV!O z|Ks}fWb4smPSE(9fMSo_K*vL#(5tL@UY4Kf{+j!rzbv0|e`*=)2_^S#wy#(L33{yC z@hu(%88dy{-h5dJF)S?d;yp=?y;?35;_-bAqLpyy6tHx@)yLR(DzU$qJZ%Czht7+&EtIwxHdeoA?_Xc|P zcrOY}pe2O~J3CzV`g`u|SziLTZEv|*U&tys-kXa)PUf25k2oYbLBSB78zZ6FjP5`U z^l`T~o$m2JOUuai**+1}~ek3-}_IRfln!|t9} zQ4d{5zI9vl-8dO@sDrl;{LC)r)6>NK51Y`5t2ufQ8WJ}uYr`#k#*2qGynALc17zKrzqJLs$oN!O==CYQP~Jfqu) zfa`$-q|Z@#gpA$ItXdjC#R4WxdVG z>EN4hIVeT2%^1J1aJsj>O(Jtp!-!Efm5OOhr3Eue<$U;KzN0Y4qW);uTd4cW&Y^^X zg-79U-Ah9t1!u(Ukl9*?nlDdEqXfl#KCDC!w{xQXaQ0>f$_1QzNP!1?xo>t^s#EGzAl?*MXD%#EJkuSI9#R z#-&oXBP9b(sI4kx6|seHVZrkq(nRVn@(2+$y=L>ZEA+`!{+36Y&0fZ~9`YGoz^OO= zH`X;MHjnLDjJ)P+w6lsYnVOVL_lJDw^P^JyCWz61I6uzgN ze$-fHvZu0Y8DjvR{aB)#Rl{(^AehFeZeZqD{Vnqv1|EjY$kAG0Qi3>y+ueX5?( z3J0{9p$y49f;^nbFm$T$eR|B+1qD(B;B zDgj|@Q#Cj5R%nS+5j>-n7E5}4>Lq48VMh&k!%ZjjNbX&Q?s)SL;@jqNXiU2{f+BNf zHKs5GeD^kbe}J%nW+6F}=RiuXaX^P<(IxZ&PoTZ&0gwHq=B62S0i7T@3CMNh zJ)QOrf-4;Np)6u%pZnt=J} zhf0(gzA8C(PR)l-b0;@#_elnQplWh!+4DFH584{hPV?N?1*pC*HU_uUZqRj5w z(N5-{-ivm#2$GmK<$)PTk@(fHw(K?|X@iZNhH3MR$(?{nQv``G5sUXj2@`-` zUB5*q6MJA-^kq~zFeb3eN@Tgpkh>1YGkNAOr}>EK#h+|W-M-Jv)oi&%NQ(SgCgRZW zT3IQ$FJC^)VR?HY?362OgOGv=SD>8OE-|Xbg-lFS8VUJ_M<6r`K&(3+savkUi;)yV z19}W8{%SblSvocO2ZMnu0*uo$!^2R=E5XSPMU0XOe!`nT+7#v!s;8ir!cf0Q0x&yH&GL*i5(=89 zsn6rdArocR=nVxRHfN(Dd6*&Dd>x-N79o9?!O!(Ev;a2RY`L0Q3HeVO-UHKrjC(Mf zzcEnvgX>%H4FBoB(p(Yda!?6HVvk?$3$6AFx~ZzR`kW)M!3~F#?Ic|HG8@8R#5X>$ zOER~}Gul4eM)qF7<6I^d)gu|Yjyvr^1%~4zmFvYC{aeJOlnT@) zr6du-`4vgI9~5h$!7APu%-PC`9u_@|$0+elvlF>OtYb@yHOnIH(h?^3z(&CYSum+( zlp_oaWb=-nkW0BlQiK$j>)c8&)w$zRr#wu5O==D+0>>%rG=-K+d7A?>DCMVYjbC0pT`Tk5lO}ZP9~Q!x*P&+hmwDyAS{7o z+cHdJZ)EzBKB=$rcc0UpH>AOc({nYw1#nhd1fOUFv?b;-3ynpNfD`n)|EJQ}DyKL? zGP7;^ffC(T2ne8`j9JG2u3gX7>y*q~h|E8YaJ?LG;2|>9f2Tx-HH=P6knoE)BZ!&> zP9k@hkJ%M=u!{Cvblxzc4J?)?NqvRpq(1m#KU|aF{Kqx_K|1=<==Kw92c&k^1I=roc9 zgD)7sIZAibAdoWu2?VBPXca)7^F}M!rW-St?uUL4g#fZ>-F3xgFmy&mu*z%^3qUnu zy}^?wkjsFf@J}P%h-qFE9KatykAC|z-ie2fdEt*F$0=UVP=7C1t*l(=p32~au~MfC z$?cB22GzZjuCFuXIsE!(?m#cca9QAo`3i?>@6DRSIcd-qqY18iZV)tsN2+1Y(^qdC zPIo_KDN(w4GtXFnq2oZJd8QhxsristZU-+B^MTu~sA4VzJT98YIWE+pa(d!6L@xis zrNzD$O+rC7t+ME(fJdx1{6peFci^Vy^%`5>J*dq*cW2be?&QP*xVStEouBY)7TQS- zCD&NroD8NL6IQ+)p@t@2y`XE0N5(=3oLmMfiN~G%y6Ty?Mk@W>=_v&5WSCMS>jx@b zESk<4!kT^p+#sY<8XB+=S}2*i1eOF7&TzCL7{UORuG63% z0O>%xVRTe<8^SJw%q6tJ9Q2PJ**_$KQbPVwxYM|)$0vpmq{!qDx!ADZ>xKqt0^zCx z4z!F5+|s%72Q&f?BnV4zo+7hH`9i@dbqu8Nvn9MG@N2q*IQdVaStRMyuXv{=@Sj(* zJfDVqp{JwBh>J&PFzZHh>EqRkrY`xDS2VYHd?|>Y@jMJi4FE-k&;zTn1<1`@O(O54 z^O6xCW{h=`3>iL730liva#a>GQl&nMAYDD1P@I$oKfhWA*1Yoy4K53AK>GV^7QgH% z(uzpTb_fx_l%eSdDSSRCebtFlJ3ntLmL^3UY?=johDpmG?W}M^tA1&@Pjawxg0IlN z^g5UGSn#f?&yyLR{F~?|VAdav9F0mhnK`RDy#qU3T3BSdK)mNb`wz2iJXFXw5N3L@ zY1^{NH`<@9?1NKtp>)dTkFF{{^n3K_pmu%vbpO}um*+p8rt3ARa$O@e)BRX;ThH1! zV$eF|y?BX=`UqpjxwI#yNL4>-ij*gQRADoedjU=eVn-n)Q%4ySLp`7L4U}p@C#DKR z&E8)2_*H=OTKQf)Dtj{j*YxpLYm20}bm7$lW{qLC>|mDR8p(Q-gfeDfgk4Z>K9CiaMV#58&8h1FKadHhF*pK5p z7lF`xG)sD+I?rCJnYH zUYdQ4;SowYN&H2N1z@BbRBB=x7mHUJsYK3zlUV)EM^cLN7;*Zl;1S!AE@oF1BGwqVm z3oDzyi#C{@Z})#cj)WqDv$`Y#BH8of&enKd#v|2B0nHd?@H=YYjVB+#UU^uaSKMK_ z*fs(Lo>a#wkOjzM?+|ndBSp?FX)hOpUX@OZkFs;lMWqj7zOT*u_&dDW;^^WA%*`U^ zStmZ}_I4G~v73wb)^f16G=)(=jib$%g~(PT$P2wu?yEloLD!&-nf)|8?%6B)*Th?~!iPAUB@CV=VTW!a6>xx3*p>}8`Q zep`43$5F2Q(5FQlXI+Q>rpU^*fvc$`s~5(Jx({(oMHzsp}EA3hk#mq)DDRX*v5M%(KI-K)6iT zDVBSICh)P0Os`bfLcY>1`AnnRFn`fr-Kf`xw*D5r_2|~Sek22*c6uGo`%Up}6@=u~ z-4ePcIJ>vV;cc|Fyrf{e=9MB!r2xho@vMv{OdpY(B0fD-ood6%=|veJ9>@m4~5&rab0eW&$kStC06ZYnIZ`~W;9S+ zVZI|b?9j=3b>sEWIAh*3UN8Q~0ozpY7*w8eEjG&K%**8Yf~|@LXg~b5ZM5Id-Cuh5 z_YM?<*d8W8L*L%t(z+LVw71c}JGAv2L|l2nR{M{ha^DnXm{0qd@AsT{F+U-|XO6^- zzeRAx3JJlUz2QT#%a)G9JkYDLay(~Eb={7-Iapw@CJ^~AuuP%hHtn% z!+=IXN7e`ab&h9##rYAc^0E$S2N|Ra=`5O4@?D@veMbqQ5lmVuTmm+peMbh6)>wUF zQ9@D5XNLAI)4()1eJb~i|4?CaZ`;}nOheHBZ4u;_3k--wVjX-O$)Edw+6pYgp~kQ`yMQoRiiW(ww`!fFatGh%gqNWx)GL8FR8J` z`JjwRp~votr&{xxpHr7!V>EYTvsfv@*Eej3g7@h?NQ!8q=P_bQD%O=)m*3lH8*ES> z`2)BomU4Rgc0w&U1XHJ)Rr`zrpLzH`ADMLch6nj;;IW3Ga-(1?H?zw$&=^fm|HQce zq?F$5Gvz%pgPlPuzbJtm<>&wy}@kf(|ZkGdAbJi*!LtF(!4L^CEF zRkRTme~-*#-?wa{(&{=v#QwT`2Y6{Ko7>FjU?m;Z>RR8?AO_2Plb)UVt0;dWm&sPv zrG8#iAf>w29x6nM;u!BTjY&gKt*cgHBMKkG?4+G~wz4-Lb5KYLVG)vHLyJ%snG!%< z3UT4s)){ljWx)Sx)!EPbk)N#UF#I8;E}XpPV)K5hm-4w|Xs51;ywnKj(^uC{4sAKv z7*^L$&cv>$KTM4RpMLs=J{+b<)Q=a3QzxM4Tytdb%^px8<-|S7xIF8w%hQ74k%#(! zQJ)y}W3*3v)$o6l&MlqcjMdb+E; zcl+`y*o1Jo;WiE`*w74zTuT3SJWlJLosg$oU%$i7n4uNA(1qm5gS^zc5jSBdCduTs zNh&koO-im=V}tjBdu@vd3UN9t28{2sA6=xqNZB68xp2w#9PEBbkS6JUtZ?0qM#9kx z@3@OY%lq2w4fX9dBKSGobD8Pq`AH*Ds2W-}+LC3~u~P7Qrn^v(Xd*u}9GRQU$8CPa z`6pIjv@|b8UWh)+*R}45>p4+?yPxwH9!l?V;(Bli6N_Ob8#5q47BP890zI{PK$y_a z_u-Tg+t>ZJ?R*g(p|SBJo8@&)7M?g7%7J6u4S3+!d9V*ubWU*x)XST;LsrN)=!@h3;*kWI;f}7fhN2BrUhjt@Au`T)P=nv^ZxGUWzxnJyYoL2KB_p5O)~n=OTPxnU!l*qm}t3i|fJ{N`~lRL*-%UJk_UX>{-tp@Lm8gL&r)S zcnt7?c_rZ=9enAdQ6Rhws?(-eLZRA7f7Y=3xmnwAa3Z*@M6?t)&|CXzdteMCMYNJO z+G?%L^fGG@`0%!ia0&+RA$Q(N0v_GiEq}|X8=>ew8?!8iuXfe0+)OKadb5O*yn1R; z?~T&{Q+7+QQ=%vYSLbBCaXYy$fwK}bvQ&;%LpWEF|E=gJ{VM^dvajHyNGYQ4IeaI2 zr$EFd3JyW2FrVEuq?vfKz*aSTK*>kZeE19;Gu3aP3HiMBz+A!lbZtzybqj?ki?8lN zk1Z_LZ!3Thy=yP(#a2UhG@zsBXvE;cLv$MrqNS#||4;Bf_iziH5*b1$rc80KuTL;~ zDmgn0FK?~zC?dDdL%hQFg$_H+JmnPcW=rcenv}Ds#{Wkw!RC6;^^Z|AR-JnMSiNaz zI57Q`PK@QIr3ieZ_tMw6|IFs{?{*;HcS`C9R-=PM^O++Jy))sy)Fl@4Oa(Wt zl`N0~c@y`!(_R`IR|{;C3|?M=dZzkXO01&m{k0Fe84L{xKTXN!$0SQrV&qPi^x|}u zy+5`Pun+Rg|4dl7ydMtSSp|A)HOvwCuDsjRSVt$(DsZE54$a~ar&ftCm|IzW#%MBq z)hnsfFX2(B;XawEpp8{11BA##n=8}SG%9G7pb zb$$L{A^3c{*}SS60VJ2I3x>MkA_5+}0T0MPIjwv&h-G5@kHLyb-S&H5$IDIoF=45= z&HF4f!@kfGdKN5=Jh(q~)|55v@R*30EK0u&nw3Tj{lf#YUqhy1j~-}rnQ6p%W`4nA zMkBB&l`$dfE9u3=tRqdoD{Z6WE~sRqI@2pSQ~o#fsobtv+mg~ob57@YR!f{3WDiWz zrbd}^#+Tnb3&ykjyd#M%j|@<>ox4|a^440tQN1&A&a6NB4VYecBe3rr%8?KhGx4uA z0{Vk(5pSmJHb)XW}V2lO5xV<{oEvJZIr4lN#2n<3#7m~n)6?G1j%0;-bL-_nfdJaF&Svv zJNYf>@m}i7(^zYrqCxQ8T~E}!mo_3`cMCZAzKeg_9;6pbrig$#SgN7kiJFSEmn>%& zbD(89j&Lb$l7W=Fj_NowDY-ClcawKTPetSQ!r)$1SIO}E-fii7-4MW)6Iv5R|Dc0W z^Iif~joTw@ZuD=m!bYj{b@BpIZXz_jHm6@Dhxgy9P<8If`g65x<~RJ^wYzT^G@q7p zD95X!qtI}hhZ)@Zz45ug1YaY4!2d4wSdpK$B8vHd?91H?11AH2M8L7N`zy8giAlOp zFb54w{Cs27I5yumMuTj@+H^pqgdr=*ZEETHDq9El$IMzln-wMxKcyteg^%TvDU>V) z6jZNQo=Tm#*@8iJpZ4KIlxkMmH^MiOgU#Gdhx0!GX+*zW3TWTgnyJ&BTzo~L``!*B zpX)WZXJe@kGs_!eJ9*y{L4g)MBub~BI6r|6sWijbnB_?HI!5`iYquG{6mrx5RQZp} z;jz+G8Gi~NJ{sXu69mYr$ewkWvfvx8y&0hiFmraGERB!Hw8alUbP;t zOQzOyR*kz#*m{<8RI^5t@jMl^jy_+BN)#lHvfVsC<(W#>zr>s`iT#B>iVUPi4~;^D zk}aK3eDcs@M8{6Wpvz!&P7dj4_j5n?JCrTstSZ7S>4VgmF~PeVK7Ts~E#rhu`)D?o zaWedlh%|@!Jf6C2gJAhiPs@Q#g#m%y)+pb#>*JI-uiNWtAz@nnh#!AxW59n(kMEu4 zJC~2|{@?L5PuX2QVgb&(G-|u-=k3ZOYPK?=-udtEsdOg&H4~rBC!97F7F3b3FH_^o# zxvhl(_S=jWOG9YT9|;=(I8#N$*bErtH6O?DmujPhZZl{^(SL`u?zSpZzGa0+1I*rD(K_h*cbhCrfNhO z+KG|$_9Hrms=lAd99`T(e#7s^QnB><>B*?-_*7*8Mg>HSyGC#F2~Sp z&yFE5GCO@q?=fiuEe}cP{qu^q#r^6X)zuQKsuQKQ<^CZgxOx&d8#DazKGFwRfJbo4 z1k#f(Jtc`~#%hz9+W#}QHn$1?yEo~uE|j_R7j?5i6vfdn6pXHl#S;1HBof`k+9{iq zEzmjU=gunv0#Gx;T1x=~V!6xn-I(p+{MqxM)A!3%XtM-M{+(I$)E*#2*@;_EC3sN$ z)5e`D=ac9e|9N=)<<(PeDB?KeFW*zk_6v-gq3UNWXAO6Rt&rDveOHL@t`JBy93 z%Zm6%nh@$yKVHT@_X~F1RGvzeiJ#x=;D@6n-lEfKVg0|L6YgS9-u+wFt3Kybm-V&R zD(InM^y0>aGNAj)&Fx|#7Cxz!C05c1J9UB`MqBToy-tn_I?@9;&_70xZm<;A#KaIE zaab1uL(J_fzAL9igswU`t;^wd7C#3_k#lN|qftnoi*c}3&t@+Aw2HoA&zf4~=<~`j zob+n$$B`Gs;%UnY@Fz-Syhi{infnL8E8;JO!u;PfY7J3-&uRO<`h94Goz>hC$i8ho zfRj*b;L=hUr?vWsxWV!fdlhs<#UIenD2kgtZ->1n{hhe#1A{^K+Gt!2S>8v=Vq9%| zor*~?JoU9dv4JV2dc#RSSwcegHwLtbyp8^`c+}FCIs%GP8*^+d+mkiVi-d^J2S<XXeFPNUu!Xg3_~n69&55%$*1=L(I{L{MpheiX zeN=?;wa{zv;)9atm>6y?kX^JK(7s=8%LOEwLoMQV)U_A!EWCYQvQu8TutB^@hfuxb z!;Q2TY~O_^_zZ!ztD~}KV=u3qJe|il_}tT*SDgL=n|Wg1rWh0t=d7U+7yKfsX27p|ze|(j;Ex%B%ek*By7eqh2p+ zu1dGyT^(a`v2Xa>R>bPh+bjfb=2Dk|V5ZFr~_TkkY_npi4qCZu2tki`XpMN7_ z!6o%3dpYaqQbq0l_UwPSxvI6-Ny1*X=ZrZ|=jZFdG73P7g40$I0(Bgra+N)EbUDa= zk}x%DK=>@T%k+8iJ$2pguq<6qivum_`=o*0&%G4VwEp9SgdECj`hnX#!E*!z@xs?V z9hm9*F2$aeHcT7ek2TOhPM+-@m;9TOJOx^aU*Ppf-{{Hln>67=og+mh!V9*LI*z>naKjeeY0{B>zYM%--5qzy%P`q9@N>AR^G@RA zZy}kh_QD-Er$4=ewUyP4Hczebx;k>`raQ0@8MFSiP=QUcppV5d`uT$gYkd!ifTXg- zB_#M1X#`vE1~YSP4CV~tvfqlgbps-|7o9x5C}r(a)jf& zEo`4`2fu@6b*1JrT_`XeRP&7}8>HU3!*rw+QF9uq$qT{(H`Tu`LfTy@FcNfexuwm$ z9$q@!9#SputM1}mZZTtG)(W^Iihq2rG+`EjbWULD@x*@KcgEK3jB$Iu{U%(H;?S2F z>6dXX(*F+#O789%v+HB%{!@N*tS^a{hUg-MV97A5X)|lrY1?Znf#ed{B&INURR2Q- z)8oxfWvgXBw&|JH1Ut1(JbzR$2*pZ^%>R}Wj##5koI|M*pBSrF9FX&b>ZDJ#OmFAo z3zJ0XnkUIdoZ2k@qx^&Hp)HNdx5r6eBuJSWzRBsKJL|>x72oh-bK%IX`)TCo7+l&n zAKM_R5tV%=&n7(X!a{qn2K&a$I%@1iVfx$GT75H~8z4vl9b4x8mcSmiiUi zJ$VL9Of08MCYLO=o8a%bUh?@knJ}=A^WH&v6R$x*kl7)-v%70K^(cJdi%chaxf^E} z{Cp1A&+DRGuOje@3?+kdEEWeVD=8`6+aH+F>RuOdA?A`hkm&7M)xzF(Re=3$yz55z z=lAu}ZRz5H?>`!|a(JvS_?_D-b>5Op3kkZtHg=VyY!V{7Y00An{87ozGaLDUpmP7o z{7(f;EX@Cp6igg!TwMP`!NkJM!SNqbM}10|taXH&?50may0BmPsf1G{Hq0%`--Z zDCb96H++v`0Jx)Lv&@loFn3za$IKW08L+pSeE;w}5MpaM2!FU{4mjGpd7Qd=nL6n( z7grw3#|by0fxwhSRLhr-4nU4Z!$~J$_{<_Bl1_tINCJP9!X4h+NAjs@x08U?kYnz@ z7nbMkfu*X{E~;zD0i14o^+3d7Mit?fC9V_ z=L$`l9QjC3nw%6Q4g~8hNihIk9hDrl zyj;2#z-RatQ5XnoC5=HELs2}PA&ec)e?@&XMG9CL1bEYF@ffVjo3VQ$?Wh(Z2y7Wv(ykxR3#9X-XGeL2JVHv8DJOI@T z8H*R=7KxHn=1>pw3&BpGlxPWy-%NDDkRUC_tq%ENGi+h7epC=M;!!gNEcvOts2CoL z4@A3hx>Am4N-*3aCcAMkArTp&c;GR7yBbBkIuyGF1?_{9OF|?~WRNtz90V%{Zb)8G zaG{bRPqaVHkXsC5VR(FjV`0-dQn5&MKV588awr^W;dLfWxPxLK%wu_ZK9b{3&sWh z-{m+U`4x9?gkXZD(Tcl-UB&zgP{>dqOTy&7$&5j&bd_VI61C|sBntX_vq{Jic4*Bi zp&HLoAAhKok8GKL=QH|FOya1LCS2kVs@qWV4Bz`2x{!6$xWH2pq?D^3DI6o-B>OkM zTZC|0sei=&ktqZ=SNs-xuS%K&;xueS5h*H@OhVM~GBx?g+&EeA80j%}0p8#X+!xkj z(!h{JQE8+Fm=7|5i0`5L7nrvKO_t_?nP2glba)fQoLQoheZMZTFpzqpO9C}YUqvis zj7wy!txXa4Q9xg40x_BDceaYuzCe<5N-IGc!nwL1pS05)SzSsMA&cM`ho6ay7E`*q zM9?9W4Cor41U?$ViQteF^XE7DzbX;-l{pt5LU0oeq?Zm99zxzwvwY7n zR7{8uyPT*TQUnHO1z25GLVh+NOX{bAh;j@?gIJ*bm$!1^M`|*-~$`913aJnK(}>EM|>l7;F4=>=_s` zf?t>cVBF3I&%%ME4$wT9QVTCiygTWh#HB>kE2RUu#WXYvI8@?rkG6qykbv-{FO~=k zXz}dw*#XQp9*{7~Komn_c|=ha8%k2r{8WkrDqnyl%kPST+$;*xF8G@;G6gTl($9#1 zB<>&@%i{`RC6bc-X(}8Q#li28&T4W<=BP>uTAbOE81qvpGrA#}Uq=u~)rl9Pil4B9 zne_?+AVsAaZS>(LA=OGkLt^37C^>^tg~L?}^1lZ(Ye~WP1~jjD!Z$%`MRg(RAbyr8 zO%S4);%jsN&f$5#g8c18K2IsF z@!1rTYBo>>EI^ridmP6|NEJ;rDj{BGZX(VSCK5>(nHEl3e!i}Tq^uWQq@R{q{CgOP zRBdIj?l@p+g!j~1*lG;I0&XUg9is`dpE*SzmRv?2U|C;4!Q31S52+0vBG>%GFx)sf zF#5}C0vmS#WCOmX{A1?#6R;*oIzqmRKwGFLWL1~2cxXDPE@%t+$!Z6Eonp?=V@T~H zclvU%4266Q5FCy|Gja)dsMRw=GULM0GD&khq&S!eX>lKP6Xb{j`Z(Dvk03cHRWJJTOZEKG0p1e~g&kos~K zdiv9WH>h$&+RqV@JE#RW-b1EnNO0Sf;U)($bl3L*A-b&ViO>nz+HeGqz#@toK2d8 zCIZ23`CXI^H$*!zUXFetF<6o{TQ*2i39XzmqBIrd3PN)JR})o1cMy0Y1v^Y{vvdNq zH3K{>L^D4uEUZ|9JvyR;Z;^w5%7Aw0S1bw%o4HxR-X|#Jt5%AD6f)$$tyBT(#9iDmO!uoZn6agNZiO}PcMsWMQwQHAA#-@Ap$>n^po%#mXG>N*4B_aX5j!TbFy z0~`?mq6R=04b)+*Eymzh{(cYGP}Bes%S1~RvHF4^EPULH!SUA!;NXq{gsA%rU*}8| zWg!tTyWlGTnuA|eqw3HtA`I(EAwLI0A%qGMyNLPL~rSY#MHNXY*5?$D@_cuN>a$TCMQ_mGM~HTReb)KPmvB+@a=s%OK& z?W1U0*IOEo)~JVG-#7G+p1sD@hv@gs%+O2%iIs z;jA#R|AM_^9BDB$o1JLydX`q1EEX(!c5D7n|3lQRWgw{vBsT7Gmb%SY^u_DaG2#%HkO92Cj77W?YWCL+5_+x^BU%ARG65z&J zV2!nda3N(OL(=i8@9GAHH(vNmbHNw`V28CZ z+~T>8&1YV}iVAhS-3JtWxs2=^5%w^&$Zzm$5LD9F*REjP6fh8CvA@7M|3TwT3^5t7 zO#&j>A=xMS38NHpCTvf_V`wrs5}ANWPA{nuU4`?5t-;J>awIc>gWO7TDY^>x-!=e8 zLKBe4@g+H*~ex2|RO+$?>;hr$>|w+as+Q_SxWe4-7F>>Ahf^L$@io#x23y{lD2VYc3Z)A_3;yr&m^Zic!+lf$)Uvq~!Piro2j)WLrdM>VoQH8QO15B3_M%#4& zXX;h0U{wu5)}0+9)^ZQ8ufwB_q-(qY4C^so5f4o+MD=4gCW~9=YvUaLyw~yQP+X=} z^jW^QnRRKZ!=(V*Ohkl~A`_zmYj)7})6E{vGb_Zp%LR?t6B_v6fO>Bp!1!{~SDo+L z1MQggwh~o3s3#hq)kfRFDjgPjqlrkIMzW!30yam2HflD9Y*ks^#5CM;HZV9sib+J5 zwng2Sovd%2GsouQFv?COQ+_U<(-~H|cto^I-JJK+F(0>ENt4YgE(N#m&-2ymdwB$a z5IDll$pp2dwkz|>#S@12$H4@n3MF1?wn~BO?s~uX(~_h9Ef^N^6sPQ(p#6;by(9HQ z5_dC_bp7?!4E+$~%!7)!znNCX2EOmM3>PawQk5`6dAREzmsz`Vt1}`W6W^Ilfw^m& zkqs>KEC60Ax#8fWbY?>IQsaJSx%Ajk^}}?N+Umwyln;luk_<&R-X3FJC@~@!lmJ5k}3p>uLp=ex(&kG z9X^e-XtCsoFYe6lj?gCc{cf;-by`6W?`(LHof_vgfq^N-LIH}?2fpTEIJ;VW?T1a~cuT#;YUov-MrVZhbQ zKm+kgu!08b?Lg}%f{w63pqgE?5+8HysSaw%!I+(v^asGi+Tzx*xjoGz%3SY#{?ION zO{n73M7l#p#@!)gTuj_rTyhl$e9Gd$1XSk#x697z%3;3W+tEhU2D1VZiHr4U!G$z|zD@?fBE{SGD3^?fiALT}8mY7-1z1 zbEO8$8iq=B5h`k5HdMhpsoNSS|Gv(C17Z+!k?IX5s?W07`EhJk?l}${Xvfvf;^x$q zq-@~p+&qF@)$w4{$+<@Ga=jp2VF-BhX!uhh@_}l6zE55I;|J5`%pc98UmpY-wgy^$ z#vpp&oeIFk=`skIC;0YK-Th}A-!D$yJn7DS_StNYfVo1u3%x9~#H+`>qRrKJ8nl`E z?zFd7|JE56R~nLo#=n>Z(6ND|I%5>^=B^}!MW%p>{J(9de*zRaf+SWn1I`cT21Ap+ zk-&f3kQ&W^`-7ELM;h|~6u|&ce7u*dTEhBAfK;9A@0NS4%Ts&ddOH*>oj2@m1mMx_ zAJE-xUI(MEoy2skUz)^m_0DPn7B=2^<2e1@)M1I|FL&3GzYHpNsME3R26jsae0Xy@ zosGct_3U(m46R(fCMVdib!tVi8&5*QAMb{rDf7IXT|FRjeJY>QV#@dgrUB2R$UG{w z@)OfK+pQ$vVx&6TQ|)`J`Yepu^nms3g^XO9USR3`b^&_8@-?Zq<{4X(vuw|-Y*e12wNzV4B(e3)HZ7kQ< zrbaiO95F58AidCneWneJdk`v zo#tOaCK-#vV`Osw2K&DvJUzi!(hMO;x`vfn{u-&dk1&9MnHZ@`a0cPIXpI{gsB~{) z&GvAQV6!-JI{CGN3z(2`irg^gNAocV`e6JhB(FwZR(4h5({W9YY#?W39d0Jh5eKnr9r_tPJr^1Sb;do^8 z@-}LVh5KO=7Hlec4w@}6$VjN$T7)Rlx||wH1P(UFyz=n#dt`RCxt{jKDeg$BACcI; z&2Z)nx23 z+~Rj>6zxjF9Zx`m%nlP8G(#)Rx{f;5zSnv6aF@1kU{m9>e>s}QEAGM_b8U!nuc(KI zS9OYHi^s>uKd)0s?lLxxXiXP&%!kJa*SvoJyZfd; z{L&i#;6ZV5A+xcW^v@l|FRksP@%B#^zjtB$>RPmyeL&{6t&;WvH52dZ8ML5~OFfcN5nBapC%V{o|K! z=u07#n-aI8(^*G!Z$J`^?XBw01zwA<+2Zmd?Ez-_JlQ@i=o zCcODFEkp366Dmr~WJ{awK`hFBO}tw7?Ndjyy^{*eu@r?VHCoKE9LXgA>Xv5j+uP9` z9Dz4Ya-TJ!9?;B)a-K^q6@4mWsC}(e2V}ZII@dH8`kLFp7E0vNB++V5kMa1(e9w4K zR&Y^|2}sR2?<=}>@KcXWp0XCh9B4j7ekesaKzwDQw=6zJnS!W5MnED77)A4ht$xk? zd)HKLc(C-py`y-rw4IiTUwhos;G^evg?OlfS;)z)Q>fJLkk;E#8g6u$KF~c(++s?` z_XskOThDs+TX}C`GQkmAWPK7E7wVc|bsO7DX}{Z{STs+c`y7ZqnPKXxjbD)vYl!^&0kmHVd zC~tj}S=zqij$KJ2^1TD2E;87VvUvqRTi<=kN877Mmd4px>8gSkfqe;|cAcW5t{hBR zqk1TE!mNA5v25_lb`Q(z>h=>_{(6f${FWoPd1xkfj&I-3r+9FfkNI9EwuyE=57zSl zEi=RTvCj)}$P$?s=#p~}jP_NTq{i`m&gyJ{)=EOagv2*3pkJI<*5z#2hOmk46_1Pc z&>kn$pV|k!;el&R_NgX9HF>5z$)&<~X%gn;z_046P`>N4TB29Fz}53o|Mf}1OZof> z%|W`ugdT6ZWz+P^FGhivj7w4h;??>J8vN`MK54<1jDoj{4z}Rwx2A_6xT$jYv~wmg zbHxD#dvCnFhV-={K5Apm5VQX=xpnOJ6ApGOGrUG4frvF>2;foj{P@g4hJ$fqb<+7% z@tE|SZKw-&UybOp@R%{;Xmp)cc?BK)JeIW^!qL|veD!5> zfjURz@nMLEzXscv0p_8$e;DW#<#vw5KTF~Ukx6nW`nT5Labj^8(E5J&y%}1a4V~z8 zxx$XxE9$8&;Xy+VRismtaS_CR%M89L8Y?U6H(zBYI4|Z)+bQ>*dfG?QNTs9e`8a?N z;BQ7j_E-5!=yv{ex!yivtTBS@<81PM`|&hseFUUFlCa1M&}QK%aLfR+~i&~Zog>7U+;rvtdbr;An@lj zR~D`0C$0dI^`sj9emUP$-;=*LC*Hnik$3KEYr&@OCJ@w5Ef41XgZn9rd< zh-X;_I<@Wrv5YKQ&qDwRaVs{wL!s^{47)yR!`|0wqs2f~nC37U$16~-T9M93kc{BQ z^t=T3`KLch2F~ttdesv(O&zswLi%sbmF(J7y;e=+8UvKcK^z#Tjy{H27wNc+J3Eq$ zdOwb&H-~<4gm%1gEw5|7!W2cvb7zWrIcxAU`AiX7$cE}#>F!jzHU(eroo|upH{awe z5IoH-$*Okp`5!!r`v}nxbmZZyn8$fvn?#xim>^XAr?zQP(GBBrmsSh@Cmtgp`!&f+ zZ^@fIOpnG=Mpkh`T(v4|OhFLPN$uZa@Dw%5eS{?zWCe+ywcb2*e2?xLZYo!dHFy|i zM-tN4>k^PtR0ykPEBQ52H%!3@S`s0?A_%%2^%E6$mKp~T;3nZL)r^8PMgq`XtMFG6 z3z{lAK*he920T$R%`8~1mQy4$+$>sLuH!`7T!Ud*C0>A?y$!}cNdC{aHkj_Zh{uF& zMX-%2S=Cq>HlmGIOCvt@__SFtn|8aKhvWV#`mwPaZu#N|h;f z7(a4q_*-a7&48>&vQ0|#@ky(Z3eUJQF>*R-1pL+WZs1>R1v7RIYm67*b|7jS#E{2F z5a;ys#ter;b=apR61tAOqC1GrReWHX%Mn&vT?gy{t2yZc2Lk z3nu-V<~2JrNC+5ypT^erhxA{U^iG`-I5fCEmelNE(8n{9o$js}Cyqpu)5QxSUGhjG z9LPfQ)^SJ4=@RQRCoDMalf>yuMEv(%0F{9Uk=tY{{gQL+-y_+b1XIQe z2jq^YRVrQILsNY3((8noluP%I{gtJhijTR8!Pf+OeopqO9zRBzrDZ|~kXTB^| zeBgEf)ylf|nUFnT`{w#H7N#+=22im&1B}y3>;N#<)U41o;1hx+;qOE$rtaxOJG$&Z zk*};_gDJtH!MRLeX|`Sd^ca*+T&(w@n^qT)JAAwjmq<|9W-}CyK3L)-4 zyrewd!=bAo5`XSj3TORA$AlkMY6Y8jSK<=C17;d@L!84sMqbQseb8PiD5VxriC}>o z*8OaPLcuqISE(}@!MaFDww%hREdSngKk2`}^dIWPwzqDzq`qzM^-$%CDE0^}hO5kk2~dy0Z=H=LkwnFrojD5~T;iNPYL<%RdDFV~@*XOipU=%hXlxPN`r|+rokTve`$+7>{yF8|BYnUuq35aG zw~=PcDYFt#ody=?2e-%=qG>;n3&iV0|AxV&A}S*+oj*7L$jfnHrcjnQYB(UBwo>`D z``@W6aeP7Xsp{oSe@~lR5k6YtsgSDdouOeFYZav(-7@9JcHH9aMeL4xDYQzYy&>{3Uq#CeXDx zunzWKg&^g_8XDt%ha5$auqb%Xe_v_kknA|%onTrnoLoVX{y)>Qs&k#4K6XxD%?f#@ z5{ge%(uABXaJxn%SD6JcYGCSmSgKcbq?XtSRBdlBC2Xnp81-BI!P@?)EZr zVyCybl>_m>IsXFkHP58i(>2ZEV#_3}YA;2xqi#q7Dm=idXxhFCsl0nVb|nSa=c}wqN*9 zVUVoWT(=GM0QSI#>W372+PC+S&?ivsPzF^MLs0kcdC3w>f6r%K&#^u%6@^9Sz4#4J zDAk;%^QBO&;CzBD^a+$==ts|QE8H65yj{PqI(tW3LVwF1Vc<&DxSSopkU3a`dK5TL z&MadJ2y!)_QasPlz0k^C9IST7=>-5c78r4LzR&d#b>7DGXN!N1sySoKUK-i935=i( zpcaoE&Lk`mol!p+{X90v3AURqw{G~O=w-pkY|lNd-89C zKx^E`U3D;Zkf?`gGxL$9a-X#RuXV&o-uUvRzvceJ#m+R4C}#h~la1H>f5NpmTAx~SFw_!2g20XsR>j81~+B6Rr6@8|Tc4()!)ulfFv1iuqJeKFo1HM@UvF~x3B6v*WA z){hpGVqZI{heaS0OI2YApe54!;1P(K)vG<9y_2WI^O?oJj^$5Ud6h9;e&22wJxwkT zdFJWOb!?t%$Px|}*d5-w8t=Bo5Wkvxp{g9*(Bk0)Vzq}^cC~c}SLpC)z9T3sF<6L7fTc62hWU6j}c~?3hbIz*%wEXbC zQ!z`$@a?kLt8r&fvwHBZbQ#q9>-xh3rE|kCqk@ik%Pl#p)#4j$hf-EaOym3t z@Y3dSYw@zXGETEP6(?;TIi&SUxS(TdYjhufpb9_Mil%2Zo(E9alK73)>>w@rY@XD^ zNdSfOnC2itVs9<-D}#%cd!Zs@ww9h2|Fk$#iXt8F{+>pW127(>#~M85c;76FW$9vm zx-A&pS?L*iS1zFG8p67F)1Y_Eb+R^^1|B~MLo(ao~gi^4qTSvj>p5AD*<%ylH z1bVLodO;<}z~L!G&)k;@l+g^y&L0Kr0_UMIq@be9`rv}j`Q!3TJVb>L>|%qM19;0 z{yyvd{Z^-(=s-hXu;&^+{D6T`7-$C<-%k$BrVY!3|h&WpHDZoDBqdcS5AQaQq8 z8^Quq4*`|4AUfRRZJctpEjxJDZeCh+GHb5NF>`B3ogstin>Moru7m0StMtIcrS$1k%rE8H!pu@x?UJ}eCg<5et69oTjf(}>nt!kLmjZ?qRX}aaF z;MewS7lug+^Bca2wSzBlfX%^+%rx$Uv9z?!EUcn3xE^AeTJkB}j|}KIX{90XVE@82 zpg0p)_kfl$cAl>Us%SeSyTW{!HRxa?uj_+G7$TaWG@7fj9+bzHV&(xUwu)4f66mq~T-78wzYwcy(HwX4U zUvvs;U!<;rL<#(?I2N$5$NDHBstHjhq=Y~|b_60KQCR6Xp?HE>2uOj)@;E`Vw^a0e3;(A2?Kwo^A#_934m)SWh|%Vh z)SNv)IB=V(NK?5Gy~s((B-pojdVDAK^cNHVY^n`nA6K`#>PXBYDl?Eq!v713k;$+1 zk}HJj?%UtYG$shckYaNd)vat6f5_(`p+Cq`>gn^Tf2@SS352V@;@)e-7s5g}gN2Ap zm*-Lq53%6K21$sS7E2Euuq!Bq_aiSdTh}IcgnZ{E_o42ToC6%ne(hW`nadtnVos-w zN$r|)A(@(TO$Sp23RAHen{3*o%M78)Clr(Pv$11~l8#fRJ5s-yip(eeBJCro47lI2 zq;@yy>8A#$U`OLM8|5F(^Et&zO%tL0En*_qyS5ZJN(K`7P?2@^UM=Eyk!dH-q>>?1 zP-8O#lk}Jd&S!7}4q$QEp*G1h^SrcGJI!vvkp%BDDP>)#y0)g01wtmEwWGh%O>BPV zM=uox(138@6;k)@3 zV0b%Nvsf@Do`cAog>rkq*q7#zXEfA=2rFl15)6q$PcA5$sE@XM6)y@4;*=j&N9t3_ zSZ2`9;UhQnQG{V$jDc_P&}2oReiqtd5EKexab*CguSmEoJ6Ahs3oUjvEjhC_FII#J` zIBu;WgkebQkpzmiu_5|}7y?k4^C|-xQcVbpjlNd9MmUm&nqllIMC2D$=5dG`Wae^2 zlGvjDVG)&6gf=3cHzMTxf=uc~x%&l{?^qfZC)Hb2WJpP@v*%ieg4zv^t4#(mL@?PL zeq(U5XOpH#XG+MSyGrGvP-{*CUBCzyeLcB{s#}FKhI}en#SG_=K1l`2Rz<7}_iG=l zxT_UJvslq#CDT;tuiwfEAQ(D!!X86miU|HRW26cbR5ZF0r{7(0C}NqaNEK|TvLuKr zEPq&q`vALH@Ewq(PdK}%rBuwGc@1FG@v}eOFTtFV9PR$hUO~ia2o+jb|5?VUKqIF9 zCS^iyjb66Mp8SA5BeAo{f=l8^T=ZRm9ori>i@Jv``i3SQnE-|~g!(T}*OOHfNy-nj zICJO}*a*@ux8EEaQc+PN=9Dk>t0KWsv1lkg9Q7ixY2uL>BoN2vp*6`Q5`4Cu`;^p; z9ibo`s7I`;5aGzYF*M1QrE=tz$w!$|gsgirgFt|?UjjCccRyjdHv zaecvjh7v*B85dC-P_ms}G$KGRM)4&UjbowRjpr&vot0=>%MVAFjpXX%gBZrE&!Zrg zsDtmV6lMrGg7h5}Vdy?AfevU0i)WAkkmsh(2wDZA^Z|qz!oQ!vl3EqyV~Od^Qm_gB z;22RO{>gFN3=0D(CQtOmE~17XeTrDZjBz&}dj`J&TWx?i&pcLEXzo^fs`wi*bMU~| z5-a3gRH?~BfR^;YXSe7-rNV5tU~?hUh@{rFa|yAsCfJDSeJtqA8;--crmRYkc3$f+ z$l#>&zFY8M7Q#UG{(9Q^1CIWF+W9Z3bK6U?anycD(@xGo?DSCNe-*%FrWYNl0w_H$ zX}_9Y*P;u4oqa{<1%z{uq0~`)XP{a8G4&)TYhzxAHGp#L?7V_l58?`dwUea=pMu&+ zJhrCeGD0oURDJzH$_Xwuw3o{76iBK-ecRP2JZ8m467VCeHhaE_t%L_smJ=4wn(q~< z=(`APF8$~W7BoOi&hGQvkD<(U#>yH=$56nlK@-p5M@Hiu(5n9#7B2Mr>>q98O2dkH z4rw+AfEE;j%3v==vLySeoIwG(X8EJ+>v%vacpSPYL%?u2AACB&N!ud5A2EqyFeEss z7Y#OnC~jdTXaHh9VPSg$qJpZ*3g$rVmVHjb3tGLWFtm)bj{q5MpVTUlEi4J~+(`)+ z5@iMYd#r)HavvntHb-c83$ECdg`;ww$jV_CgR<`k{9H*mYAw4Zp;moyQdoo!K|SLo!Y z4mGN5!%JmE?YOvz2ZX_sCDd92u#0Z3BVL%bYPaMN3FPm8#{3N9CYm7(gH!kaJUhvg z{g7g}Zr`51BHGx0#Ry}Q1jRGMF>7I9q9_R%t)ura1)%kN6@{$|&MC)w#KY2BeMO3> z!+_3_$tQ<_aLG^XLS17YfkkZjmKU7TLmy*S@mo#_Z#>NS=L%E0@R(y{_%l`yaR5zx zmrzCzMO4qc{LduJFlxUqmi)nKBmp(Zoypsj>giK3jw$f^(O=PuzSHIMRuhWg8TAQ~ zr^upo$EW-V6{hL-BH%$0(;E>kgIgg^AjJ-n`-uY(4<-ekP4L11puZ46lLgLq2L=|D zD9*u@%SKX_B%f!O6A`s}AZmJGZVuM#4~YczKy+_)Lx0J8y^8tR@fS0yV@&T=SCkv; zM7Z)ONmfu8`?Wx%v*Oaux?;#ZWzhaCVo97*41?#ZsX^plSI@V`_uyXgkxbkubocvs zu4jAt=~<=ghtoxa=6yjDV(Klj=lR)D_f_Kr@IuKB@F2UlQDR_2@A2I1VL`-u?XNp3 zdN$iwyHTgvE}mkRL29>$x7B9D5LXjhovY2X-qz29tHbY=-+|3`ax?C2Tzigj>s|6} zy|)|MMaFv-zaXtY-;JO#6pyon)TyVS1z+tu@5?PjTfq`&y zO6|Bye{%bn-=F0ew}pz7dKcXoxoCb5Q^DFI6;x;F7<*bB`aL3mXvyD-*Gd;l93>gL zi66n=GpH~D zPqT(YPWthojkjXXn4k1J^Ny*`P9|K6xDyEy)n9A7lE6A_)Cg!Pf`3uNV|1}h_u0A{S#SfZ%8-vznt*7ttoOJx@vk@}9I|!lu#zzi3UmtiS$6n2 zTIpHv);JjB-i(2#w-QrW@g?gJaGjvr zHgH}}^H#DKu2nld_i;9wl=2e!Go2!TAz(;(+t23+}D6*`c>i+ItZiYU*5_H;Pv&XrK7ha0 zDArf#zr+DMD4a9ojs-aGHCmq2(Y$NPC{Ij@ix#2pMWG{0K&2+;^66ye)C~qn1{a*W0P-Y3MMp z)wqY~%yN9j^dbVw4w10hl=EK;K z|-ePBaZol%=BF{*(u0uom7ms)zP>nkuWo_ zIA1rAT765pD1enP2|AWv@KH>-k;KFz?Q;8!;dYN*!MyC}8eQ<~Y+t8{(? z4{p(kI@X=<_m6OgQFuNkm-=tL1G3Hi+J@6e8B|FXEdhWn*4bc!)V+%R-BDm?$a=G>1`0 z%EjcJv9iErbevjh77JA~?hFx@Ij$I$jtkFI9aED%?oyJAmKvR-jOna*owI~N0q3J| zOMlm+@Zk%C%)`_4SE0Rw9X(DNTNa}6U;2Nr>bD2RwkIcnm9vI#p`#GAjQJ{Zmrr>@ zCrBD}MWld!uGUJRacNJi$`c4t8}VTu&SVs{U(ZW4Z3z_1S+*C?b*m7Xv+E9cItuRJ zaW%nMt7qy|%Q1vcztFRmNo4bo7gtOycT4q;UAD(VTky&-_}JT@#p?QEH8^1W`ICn7y7ZI7ttH1LX7mmVI{o}cS^ReS4GVd`Iy-Q^@Y z(H*UgVeec!GUVg0xlkJFQqgcEVwQ;SS+nfI#KKIzrp{6>l6q*Y)GK5Sr!+;Cw^%eG z%AwOoBgI5VU`EiVktS$aFqwZ|W8)Ns_4Hse0LZAZdlW9;A-ef6!H5qJc#CaUCNZhO z>U$J62Zz8T??bbcel0xDUF5~GDBg^5vE8erJsUZ(=|W8#hiQ7A*|U?(CWH%pKkp8v zUDO#3g|V&&Fm-&bK7U+;0`Iho44VQv{(0Kcy6LXo4>TQz8B7O6vlHQ;yl!b(iTb6U zPKAt94))wZwq(KZwPyF(xk#pG0ItKsP>LSiB57@RLe;M>PclzG0nJ2a`+rx@9AxoL8Nqm5j#EKq$QDl+rF-By`h zPSSet-N;>n5LjtHs%HhS??61&8x9tuMXq~)>JK5P*}aww%YCgBPVhY9LXdEL?BvGB~f}Xx@RR0#`O#_C+c|UV=T8(Y669{?DY>qiV~X zrjigiPB(SlfqWg^)91m3YvUH zpOXi+cdO_6-W*1t$~Jkjl|A)Fl7g3vWlw}cvx021f@w>DMN5D}>$qsy3ttfT$z!nT zFE^|t)E}%?6EOLMSN;wS+)hB}2B&z;vOmHj;Di=8H{YxKf?Q#CKxnD4y2wO|sX&~N z{ZS^@#?Q~bA1V7iB_(R6`QHwvmO#aPlW?meWPLV$crVLB(fLiE2q7)IKd;t zDI~rD6%5)pSepIvVBw(`D7fs0yj^S_PAC&mYb9!vU3o4$l% zZ5(ZIB1b0D0UegZMyn~=H*50JX!2UuYx4NdTddk$t>N3#IYUjtS&oo(>gw12Qf|8C zxuv+!RrpR;n}m}dA-lD+-kexY8v5qd?kutM*;;r0WQd#ut4qG}?5Jg3`)n8mqnbsq zLuA^o!f>s^_fTun5~r0!nAIb-=gyEOo&S^#G5&ymm}x<>l%mSm1}(3n%_XXTL6 z=XLJ%bvjs8*yUc%7fF>^(i?~GRpaxn6f#qkYz^TK~_5)k;;AT&P4kB(tUVRjG<1D}i<6L}!4g-rYeUq6O)o`Yl6Gs~U zghf>MhfLnt^!CDaT1mMe!Ta_TQR7Epb?rOa>49bPV757i$}dnJIE?LMB$p>s=@Sz_cStm$uW=+31p8|S>TC4Y2O)tO6jqC{MNlQ83QcUl9MqL zBRT{*{3)u^u^~8Ez(f=PY0eF6hYeD)Ps&a>IaZ0Ilg%}>+^lpec8{Y!U zDx)fG9q9+K;(vvfMUk7~dK3oM^FNJ8PB(+ArDm-Qmzp~-VVq_vjq#($f zyn5?}YB&fAqH73vd%JBH!w6;?+Vo$VY_;@iIuI@U?Q;%v4#%26`T+FH62yAhF#dxF zJnW9mE-`(~5Xx%m=Cd()AV2DTq1<&1xh z;z|PvDOpv@^hJ7aQF|MOQcG9WirY$9!o3cW?}o!IeC^${Q+QC1rU<@PA{aAKQ*Z@h zV2SpjK1~i*0rSuCIG;pd$vS5ZpC+1Xn;)tuso&Q_L*ojoJS12^4y?&+sxOFR4A2SS zQ#f+#n820|z5)8ARDXemEMM<)n5=+NUaF6S!~Xl@OVOk^L1F1BA9$zz#$1EfJ zJmpnYoW4$@>`HWaZ{*yQEE6zlr#)cTv7x|C0?b8e&Q|%5X*m4>vJ8J!2qp9W>q_hU zA9p&Z{ntUA{LyAIf^WGSfmH#+{*P3ahJ9D1r-QB?*Cv*OdHEX2_8B+IPDkN7XAmdw zE0yw{A2Vp4l6NZuVs=;8ofT`Mj9UU5H-Db_Q%fZpH2vA0GO%lb6f$(m>oQShWq~xk zK#~7bV+3}GdLL8S_&@bUjeTyhFv0osx&5+&G^ANJ!~ugDSRQ6P5d+c{m+KiCgJb?A z-MDA8-HxBgaOM))veEqEZS!>X0o>-!#4H!Iy;Z*_dZHaW0aiNDIbHFcj>n+}xHcwf zUKWv3f+iGOGbK~!d=39RGcG8OEbNuM5c=Or*Q`nalBLp9?TK!K}wTsX>v zFz_#zMR=5FJsKQktg?+v+BBS*c?)d^0Uy4{dkfcZ4!`(iVT@WS{ZHZydv8WW9oX#& zTDkaCk9_Ku9Hhw4vtkxxHkYxkz9#xLZ{Hp-b+e0*MtBO144#-eY=hCzCdY?{ZsV*s zx$Sl8KeF}g6#Q77{8$_yQa2=cZoP8&3j{3_)hEYv@?YP@eLdSU_aa@tIw-qV@f|QC zi@|Ent3R(=5dQk$p@e{s1^4ooD_{HE#27yGSslBADG8kLd`xm`e%yZBr449W3DO)IIK~Lg^f`V~_dhr|ZtDm<+@VpI)bwJxeE!Bxn}P z2eg=0j3*~`lrQh`YW#J5wmh8!z9cmhMcF#&4dit6XvWF*AVMb(x8=V? z(H3D^uJ!admj%a^BN1uh&DzOZu3?#6Sd|IU-2T{2hTrX^*Bu#Um_6g`_CCe&^Q&v% zx*z;plOmA4M5M_Rqk9u;o)a3=QR{nZX^)wp_D&M~!T#Y41+9vQ_-~@lG#0cUjw1jk z%SvSBoRSBVRD9fil|r+24B<>pCU-cqmG4bv??^;4nk^0H|22sYXa{Qq_&M5 zd>ZzgaRc-JTN6fq0}Qq z!qxtKp2Lz_#SC&t7Q?+|Mpzz0q*A*RaFmL&Xv#Ltx3ZiRuF88U%7BplT>gKx1)7!A znu@|vPM^qNFS~qYQ&iwc!Cdq(r_jh!tc_HW+@5>ltivyvU@@GyKI2Sw=&Y8bt@-}~ z5=HL4Xwjir{KJQB#9kyl9g&!}Kv>Q1RkfnF5}NR#17tU&!vv^Q^qmQ#MP9B|H|T+l zU7H2e@UDYtEs1!Ob(}I4A?fb(=@}PGPFrOeu{P9-M3FTd>9Y#Rm!G9y5=qwzDw5hC z9aT&GPvxPLNY`O*hfNo0d=Dnvp(E`4!l4c}f6e^zI+w~Z`<(Ml1j+93;Sb%&Ua*?rM5#*4B~v}CQn{UE zgO1HpS?FLVjy-Q%#&i+-c0e@NmG9Xp*ePI*MM&m7u(c#m=S)*OJW;D{P=4#o4g&!Q z6Sl`IL|04nPqr(;fexyM$bO{E5bJq=Jq9!--j|7hyGpH&?BV2x$nbyNH`gE52R&69 zu9<2AZI{+_UPdhsKG%iWen*!AHJ%4~jas2>J3fb{d|rh-&P0T$Q3ik3c%2PxaEKyA zDs}z2XyU>G2m=SDRK$46%2qFrrwV}EV@)b@ygSiyV?_E~grDyx2za3T+uZ++Q^e?G z$&iZTs+`8h&K7R8kyA6+TxwML<|C9~cq_|}$?Wu@LVw96} ze-0J68)9S>74KLj7GtIT0LVxJ#oT< zd;7gFJMI9AvCHzo^H^R|q3(U$kd9A&;Wr%hh+nezh}Swe;gnF!PAy-&ms`V?!<fj-Ka zuVtb1PfPZQ@F!ym-%1(F`W##aTQ;6n=0QG}@KZ{J{|shN{$4a0z^8fe18|&tWzDzXsu5j2VK|vFv-+d4S0J!-my5pKla~qT%j+i zHTV*J2>d1XPb-o-Oz$N9nyq*;xAW-Zd7Nh!)>mvcAx!=>xe+GZ5Uw411*z_W-)KUrW+edE7#vr#&k8y$mz&Iq^ z(I-^!PGs@VAvgM-9WUjXmRkZYhm~lY7S`@Cl4Z4faK7`)N}blIv@`LzeAql8xp^s8 zAyXT3op?UT3zfMZWX6D=F!*hLpvsQHRK3YCug&l~z4y{jx2(lQ5P2w4=xCOKvR@$! zdov$rUn3{|@@fmNFBCRD>zF&PPIY#;e#B*H?fHDQ#Osl2S*sB1OwRYy=>Q*O=^eSo z;7YFSsl7LXC zmOA4eV2E3`?p)Jgx#vLh5n*U2nJX#U75Q2N!!$`@?+0Wbg;P6VF9S#>tdCq2`Kfw@v=X+f??e%amLFyl?o#B!n?3|4w%jTM)Eg4uV#70hBupSD>Ws?@j}NPEs^XuWemCyq7RB<>fp6OUr3F=xhu(ir)*tFDm7zl4+GuC*D!nP z5pMlsEu^O;hM!<|{?ziI#~A(yPcCz_asw94%V;{eR5=)UhU3APP42!O#AKJL(B(v; zAVpzSWRD4_e*Aky5d7!*nFT0j0kST*LB}rOSANlah^q$~vGI;a&cWJ&$6y}!j?YGQ z{v-d5-|NKUh1Z13#8Z}wn?Wkwq^m*G81HAdJ#{OE#54{lDxihhqfAoTWfsdMU34_=~tWf?kXNhU?tSVl^zYLrsu1n<}>qczjfZ6_A< z{mvXMp^k}ok^i36ocZ~k!2KSo!r`(KbkRJP#s`dnH4(9gys$-)QS5Xaqbn*Ods6a# zPAhk2V0EiN;4dxx4TTn|LP=Zt!fjqFqC3&s^oMEfC4xpPmFBf!3?A#cNPz)gRVa;y z7M{pY*G&AViB7{j7(hXMjj3)0VH4J!ce`Lg!CA6zPp95*o<}E3Fd}014QVwb|2Sm< zDv^d({}4xVlQuOgf+rV|G7o=Q;^@gIQTa&JhHIn%zWsRU+YHv=uRwDtTH66vCU*4H4TS{Gus;GDeaJPkjHS@ zZa2cW$!2wP&lG1eSicn8>=t%x^6Fp}nl{;Hl((i>v-?Cu4M}%Jj-4hGvqv+wkkvRD z8I1xFCz1GdShS#1N~MzRHJSz@d1%q(UbDGA8+G2V=V}m@mWW3MaKclZ2Ydm_wzi}Ipt8)_OMiT2z zzo#|z;mKH^`y30&;G)3~Z`QAotEtJfumDpCTtSZryC_2oOT)@UDl@FKO|sO(?a~U# z@ffRxl*h4m=pf6)4D~#bIRrBwIaK-RfGEaYiTPr3j~|qaLLo!sMkylt-TUGU8S%l$ zn|tKibe*!z+76=eE(mHR_l4bLlcMp?F5QLf&9E#|7>?{zq9kQr!Z%7J`LtIQw|HI%H62Q>qk(B~;#6p4 zyh!|F$bpFL=<%;`6wBY0KU8aA=W^{y%gq~$2*^A+sBlZThLKfyCo16Ek318{L=%)^ z;!r|y6Ap68W4?<~${e$p>0O15K!i{p2vZVR%WVY42h>54&7JNX=FF7(z8(&IMTIc4xhunbCsAarLLb^EqiWB5P-6#{R!T6|;K|>@0g9nde0yD}W zSIbxyF%^6&`h7|0K??>E+4r{yeL!yj*PQw9=9#5 z0V8J~!Q%xV7oL7_DGjy*h6uyo;$czz{xxQ=FyM78A{andb_-P;JtBc42t7T)7+({N z1hG*M8ZEO(8DwdkdIv(d!`|Vh8|kg;yI3fY%R)rXIFqw;9WnDs7r#uZ2b-KyNJs(A8;|)-ehg66$6Hh z(k$&JMs&$k?QLC2WnwAyk`pE{gj?pFf&2-k`zSrOXtdYksUTnBS`aEGIpi#+<=4j^ z_PpnQnsGuNHaW0y`v*&6&|9^+hm15bt7r*KvMO+t!Z=yirq_d-^F(BNxq9X2YWDvk z>?@$+Xu552clUwd65MTY3l0eyJh;0oe*NT3_Fm~GQ}A-@sz z+S@_U#V6S&HFuF=te(WPGvA_s{}|I>r5+}aPU=tW-Bb0%6!Z|rkW6NfdgJ)pNhZ|q zola<*QFkS5BfC_xTTJ7|5S9%QUG@0Kdse^U(sase5N37gMp`&#s9nQ`uNbBVlS3n^ z1m4j{2I40ifC+kcTTfWdSQQ&pSpVC>wjLjQ$L`w8WYn|EBpS?Mb3^swb+P$XruQ$= z&Xx19zvW~fG@)0V!!$d=Z}&7pI{TeTKfr4-kC2zFTfKcs7)pWu+*u-~o|U=Xh`Lt5 zs7e9zHa$Z%p*w}WKbNMSOb3xxQl5eAd;V}Y&4_bC^9WA&z^BG@`%W(A3M?fDh65$2 ztz{h4!^WXKu2&3p9(yS~?f=N?~cxg!P(EQ}O+ z2Q~&mZ-+)NS=6{92D>CZgtFES#fYrmT)O7uFnDN$xqbDGDBjEdisW#J%+M&J@VGAd zI$Oi){O9>EE~O>wXkNd*A%0aAADDv2lsgR+{um?H*vPKTnO*!<(Q?>^aO`VmnEJ{< z9S2Mrzkmn@fW?h*yi4-8Bj?1=?d2~yiAM!y;Y=)bs1HDjaiaJH`RwEJ2Q8?E+?>2X$=Iy^>c5dNMgpI(nPHd@C-(f;CNzyAgyoh!#-0Ob`Qj*^Ll+S+hCySo$@9Yx?d&{NmxuPvvj>V11+lQH%yi)UBv=!_V zAJzv_u!iST&WU8qJ7cHf*>d1O?6KkU6tzeVqFV>5a+jRSNJrt7NodfmT;KG15e8i- zF2D0=%OS8Ist+d)ury#Ogpz20;<-M35qjNJ#UrXLXAQT$9$LMAXEU6Z4?WwP)uG?4 z21cC;j3K+>joVsYil6Ak3CI!rt)WQ?gt+;Bpt}eusN1_~%ICn+r>VuZeF{R>z>B}~ z))b>Olwjpe`YZ_2FGDt5H|uTdQOg;u481LgEu={!slyl0eoPHG>4L%b+xBc5Y^PBP8Fj)DYLPCUCDKMe{Elr~fqlo2r$H9qV6BVOO@A0VKp`;G|xlb+0r?Ip7P zsE_ig?n!$q*L8=R(5cYs%Ho5r#gn88=((?_`{xqS2@74*UU+X1HnTPGiZsN=yMm-> zPr+3tV(dGIU*@fw{E3kF@g(VoaW1_lz5BJv6o99_b@g4|r0Jc?H<5tr;+ZS;N2Xmd zU5jw{B7-F#{TlL)mKy!aN6|sqG2b9&QSV{u3=4?=Ve8Kf3sLsw#){iZlz>$L#q)8e z^%^*go zs#X5*+OHkxR@e?N?PnK|4pm}rSwik2f(&1Ve%WKXHSS(vC<1)EtOkBG@B;Ttr;TOI z>kAQ`mZa(_43uzP9}q&{Ew(X2D=&T@F!j&nNodRIjO-<5Om1oVS#CcyW(R8H7rNf} z%YHud2p}i!DHCX25~)tYCK4ZR&|_oMjI zMsKWuEVSMcGh9Vj1{rkULoIr7&Whji4*pEtIJ%s@?}J#yvS92FYXBCjYjbmxo{BPA zOMyFZi_JCb$ail#s@cFm)a~wWo<5J!c$iidbDW$fwB5~t&3`8;VRE?+vwr=VIf&IAd*BzUrAvM1YBL?hVXH< z`}gPI(lfLYPhba3Q9OE6N-rz=Vpj*@y@lLs)5B0v^HwPH5$#agW?&@;&sO8aeW-&Poxs&9_z_lR zfR(i;arC;zSz+3J;R0s1$Qt1EeV*Yrn4W=iK?G82yqfL)VUH=R=s;LG~YehS-f zQL9yM-#JD?%V9Ikcx}mIGSh~QNa*PS3(ISoDx{t(W-Wp~PnSbk{e2a}S84Q+LM!>7 z#@$wj?Z(|Yr7xjx_ap*Lrlv2YvleXUgVVdv@k%fKE28f)B48qLkU?-T2qA&uR7R>K z$U$&%`2SjX;{J2F5iDhI(OCVJ@ge&Z?7Q|JvGUL%P4L%|DH-XRug#fd04|Bpu86Kh zj!cstG{F@K@{WktM~kALClhVWyJ`Bv%*`aOq*pY^`=Cm)M!V~s9sEAgyYgbLEg+)j zNMkL+nE`51s*5~>#g?e;h+i#2kj}!KJ0OLV8C{h0d?9cg!IyXaCv^6x;7`HXgj5oyB1MuT4t1f&_N{J^j;_u4gM!A82ivmxTK+k`EYX%(FAlz0!LY(sL>E&8 zir$XARO`%NwO&WRmTxYP-GqR@9!DVrv6OVHbB#9;E%)}QAFSaF{Ak)DYxfAGSLo$j znQ2*e>D0TVx2e^>m9X}1lFF}#Iz?B=Tncgk>udBmVv9qCG z)%+D4rKQ+87znL3o!xn5z1M)*iEaEg+fnbo?bi|6%YqzIwjl7deE8DgeUjrbg>>s~ zhJA%x{*(V#tz+#$ZR&HqjF7O0EwWK{gqXz1lU`rDzkR@-ArQjvK=RQnlhr!Yu9FZ$ zKSc@lt##H(l=tNYIg-cgAmLHCu$cY@GpM8k=<$1S_ z+x)@Hs9#h~t!Jcx$9BpW_GF#ecB<4nLsUK8`>0LHDt)u(o0ttlx=riOF<0rH$~Vtz zY2p?D#_9RP#h!A&&2?vUy~nRBzBuC%j#@UMID|ztEmfX#XlnT~J_G#n6WARl)3ySh z=@7_-Y-mjm>A}nCY)%0ck1IKCN+@@``B`%NZdlVC22WZaSVf|6St~H=A#2w0N-RB;RosoKw=uD&OFFyC1ZQN0R zU1Ap`sQ zd76Da!IcNDmwBJV^E-M&laGitf}Wku4}>iO&M!v+9+!E&?4lTO0x^^Y3c3^H-}4`}{989B=`leZAqGB0 z5g-_*T{>M!;~T-;v3FukH`IVp_nTdFOxAKG6qAz1+ah5`{)$8Cz`>XLJq`j8$7H;? z?X<(%Td_!83%z_hbj|5o^+E_#)Z)i4Bz$FWpxCXQ2bFlg4|YyUq~}s9sl^pC$Z6o^ zGot*)gSUz-VaF~BL66s+u@1(2iXNO_l>E5wGSR~U97h(MW2?N*O7|SLJ>xFC;&{+i zAh5{RfxsiG;$pJBm|V|KCkDn6JHxmJOvSGFtPY=RsF>ulnhyO6CP4UYM9s5JgW`-M zn#YD5(j(}Mv*KS`%4pTYSR2+fvF0}#dm-6M4{7+CGp*Z_reP}3Z?^1W^Umj!qI8O? zGo^Hkj8Fd2XFMmulX!b;6#R$_4c(thtvHt0TuS2I+#Xp>afJPd)N7W4lUR@X3a^N%spD7~kg=#%pQa_Ffa2RLe+DSF3_B?)!xTp#3F-X* z7rNzKxmGi)@n`K@IVyRx976W!b1UA*_wm$IQ7-gdr(`DgoP{bjpylfkF%6HHQNLG| z&k20Vb9)M6-vU^)ca+bsT@LJC0HPsd+ZqfuBa*eD4%XyXSmp8SR3_^6w{kII;qoi$ zx(=7LqJ}jsoRH=1F|L=BYX<|fQH~2e@1x!%2iRHz+Yz$wHzs{wC%i4j?;zLHQ$N~P z02ISxCjdX&e*0BBSp<%ZcMk2HwiqVF=+)7o+A@+Xc~oB~Ng!(7>Qs6Yac?buj@7jB zp3H47D5g1TBQu)9wA_Bj1+4TX>aF*V@Z`i9@7Q@>oQh=cCTcyu{Q>E2J<}1%HmtU= ztWUNz>1s)`)&3B0*zVrU$HB`t6uWemFZ#r=YH~*UlHvN5v1BXSA_j?PkH9fGi`={Nx6s^aMc1L5cPvf`6^o$i= z*KNi0^b}b{r^}I%z26ii#(XL_D2st3a67(ZzUJe%JNRRDvzksXl+CI1)|nrts9`gu zrVw_mjI-QDu4+#hbzCajX^RHb22#0fVwN=dcJ2CQJd@@i zmaN~`<9(n+p|<-m(im&hGTgj2WK?fn*nOn#qlKZ~((Th?rbtT;eo;St;X^9d8OSjy zp&jEULYl7p%1YP#`xY083Dn6fm%v;Wss7B9f8(PF9`mlgt|Tu$*+`?<@9!&uKIV&c zD=$`K*?7|NMRyXE5E28YB`fJ~CXm%J_d#lH zscZ_#UP;)`YX#OQX<-H`yE%IAXlDnoaWQ`Nzj?W+8*{g>f_xPLrh|V^CqCfIih6=~ zi|L;-y5fJ1&3)A>T;qYv;bK;b#^{@_($LOj&mk_7Tznu-g!(1hYWKK5c~EF&LP3=i zcL%QVz;mn~R4M5wh%SC!q+UrSZ>ny-vGh1X%-D%cJ**UApo`{+F!Z5enjc-UX`YL9 zt!E1CV_|Rfd{wpO(4|yPUt{5f*pm8WJS76i{OP0$y=4hpl~3QVwG|H)^_4-b_Gn zXVKc*8`5j*@|`4f&?+mw>Q#A8YG7Mv@G?%5rE<^Il|lIL#4WJ;~2+u``zn_AxpmLye>* zt8%HdC$Y-*QRqIGa=O;7x>i^V-91h?tb#9CgZn=z>djGL#+ym$r3AW8K0I+22H1ey zbAXTK&AT8Gq>iHZzu@(^)TW`9Qp($R#`gNNm!9tKo<9Vnn%m#CJMW$;ivS2RDYIBj zn;MdA=|Z)}Jt{=6Pa)@rfJ|T8>+>u=SYhkpVwd|=I@XwYK8iG0TGOG1;H<@xis72F zX19&|-;-PKl;lleEo|1iTOSq*f;zX}-eQ>DCqjY{qmlR21y4kj=i3@+tEYjt2W3!9-m|g@z%4qTPSDU)WsX~+maE*j zZ(c{RPX#bz%V5GjWDN4)ARtEtOXiB@N_A0F<+OaZ?iH&u`L4^MT255-0Zo$`VUCqZ zzu9P7usdB1`P847@8u!2rd&jS>JZz<9>ndD*Q9J{QSlSqtfxfdP}lI}@%SL9BRcgC z3O_bF5g8vry=QTWI!DMf_t&i)VF-a}q0Kw1mz`w6h7NfHKw?cDVtGGPhjd>@5@$`m z=MA{{$3yP)E&>Lid6XrSk)vAMhlWBD+MPIh^VsmRx)x#{Su}fl?(T44D@l52uIE^eDLRbDsANExJ%csRPncFqVOSX09C#C;|i5JNZnj=-r3 zOI-9BZCdPA4tl2;4b3U_2H_1h?CaYnay@1AI2`45xT8w>qJ`= zGMW0FtQ~jDAwC0T*I@ia`AfeiY1oJwUBkq>=o%8UqQNWn(ibep$m6@e&|JZPcd#<<=V!0W# zd}{=Co}l56XsjL_6E4L1rzVb#QJ-;!)}0CesCYnxNI(#M3XyPQj~KJ*`8LiUVvCb* zLLQUMsD4I!&Oxi`Q-td0Un99E;Xm^a2tU?kpt zVmkMYHi<$Gb|uB6s?_=wkvCs?B4^e3hwz)3%0-fzs4~v%TcZ^BPGwHkO(TEYR0;kw%o#>~U|1=xYVVIK0mWtCe z6nM;A)iwj_k^MH!wlguwhWfu#)qbFm`znr2Qygf#?i6qWlVAK%WN`{Y(T))ZaGMOl z83R@z?DMy7u`y%HJ@z;kBK`NqC2qEn*=^q}H8>bGe2O07$Pibb-};2Qzet~1`0hFS z0p|~|hM5!Dl>9d0wJ@T;wYZ%^n~`vACnASWi9N|?_N+n7RHYb*Ljd#33> z@b1@0bq&()!^Qw*S-_i-q%$~Qr6#ul~nPvi9AzGuF;TL|2M9CAa zVkGp-;6>-y$rpg{ZG0bh3Iw znnoVmPp>L=AFqO|W%`%#%<+qwg~Nw#z>#fsrp$-<&my#?W8+`7%QC?Bg7V(-KF|Rqm zzMeMM8rBMJ=KX%22u}F8I_Rq7sfd;_wdTl6hvd-+g1hKAhaY`lq56)2jk6qsuRauU zCg$0f44E6`chIc7u5=ybXZGYzL#xab-rmRMVZiH&pZv3iy_#CE+-qXisHibfA$`3P zTP8V9)e{ZcmijE*tOO*4QHT@T!hwAf{l+JP^#(diwZPdKidu>#z2ALn7_nG+Qe@)%7q!<~PXmrdsa5e$LjkTa6#A=p9mpRgb27prVV9(u zl!$yYu$db+lC#a!zvEfZ#n(_Q$WT(`n4uUfdwc-auz&Hf=EQv-k^}p zPKgVn9M39MVQmJA|00*^IpEjHX?JEUI6W;!m%q*gwkXMqPT>Mu9)Igmue>Ftg0B%# z7uHN6$3Z_qVr8!4VWUxzdh#QM+58E)RUp6X%J4p$)bQmjwD4Yy;|@&;^Mu%Xi1Z3& za3_Yb2f?O4RlzpUxffx4RxWav<&GQoAytN@!0@r#rr)h3Rhea_&qT25R&Hu^u!hO~ zy{3W)W;B#-xA`RA5oc=e;1Sd>o!q|4mpJdv#+zUtsC)tWIglw;;M z)JUT(wTxnU(!{ya4X-VcqD5?s%@~TUB5Tqr1iN^mV3Th^?5){%0#4PaW)qgv$ZAy{ z-6E2=uPY7AeG=b4QBBlfS?VCwvcTyQz`RBM{53>u_*Hh&ithc$K|n%<8WR^HGqCv} zM4%O+9j?XF!%VP=-<;*y`|AVxvF+EZ^drfV)aVkC!_qx9Liq31PeqH8d?C?|3BeNX zr%>7>@%e@H_YNb$BV_Um+-{LXoBBZs8-=2+&vN`CRFIvaiR0pt?yq-o*&IE zeD*xFUbd$LAn#=^{3o-fjPLf@w6gc4FGIdYEQJL+%#5Jx`fu<$Jw;lVD zsn$#d;SWcuEo@n&ts!R_m2-scEkA+Fjp)SEF^zsQxDF^kR2pYKwJTq4eB1@QZH)BR ztAH1K&O32P7v3w{CQsko6l<|KTh{;`?$}+E)#QvZ@MdSAF7UmPDNf04mF071 z*7SZ=-q9>0y7M9B}& ztp8JEYT|C?U((C`@kU< zh2e_OCH`fdQrt0)l3xysj0On<2M!VW%hb`gd3V8^9(VjHy}H*nn?0As>z84|m2<=1 z?f1yiaOxv6deke#_9()fQ%mjbUaFt)HFOI!zs)Pmn6I{#9CBnRReFz@>rs>I@mIN; zJysyFn-?M{SGXBGZ&25l`?ue5`KJ%&SYWhf7|v}{n-OxY1t0TIZ+<{98}XeY^H zU$BMXXpdy!4)HYsKY=hNrMOBTEChTWWt<1G+eHr|EaV_~Xh zNMTxbz-=biUBG3)ZCF19D@7g4edM>EY5U7PIOicm$iW)RlptGmF-R*@IOO@0M0A?3 zk2Q}fhl@RW0b8#4NF1*9dVraYrMv57o#MPm{6aT z=1ERr%2)3zuv{C$B`47giG|7U;#*7e@;p-y&DWc$yUQM?=hpg!Vdlfg>FMTiSc6MC z8f(y~s;tVX;KQo@og`?KJ)6Q%zzxaG;xP+Zf}L9nmW_NO(k?V%KpL z^pnW8YUAv`Vb;ei=AB;~8js2da~b9g*kqd*p;)(`c^cV1lYWXnoI|vx>g*o7rg`oQ z&fB1}qG6myPS5hS4{t!Kxh|?Typ?8F27@I+6iOgO3&U&5?Z>)!bl%d<8|K;3YFk#7 zxjrTRhHz9u{q+f+vGAddIz>A zSpp@awuA33FnRQ4D zg4Z=kkf&>LWQ&>1baTGoGig&zp?JNYw-|IR0|0`;j7~*D$0wtE;0dF6DK)P)87`%l$1``-g#d#@U*k zVWqo6p4$c0%K2&@UXB)5Ed#sN{-YYl=|5U|#@THsE@p%W^SwSuxkUN9)H#JV(`787 zWE`cY`*@}MNTs9Fr|V&)yDSr944U&ZCW*(b4Nzn}UztZ$>YO{wW@X$oneVPinJQJC z4=RS9M};0m^cpzW8XH@g*={(V-5%tn_23KrAha^tQ{kfj+>;N7Nruav?arXvSnlCb z2>+ND%+p>qO?q$+GOH|Dr0}3bawW3)(V3DyM7Fim2nmW__m94 zNecKzAADYG_u%7Xb=tvA+hzFL=z=-Q!a}BtDt(`6is;iy%ewu0;AHBFfROd^sT=WN zEeZk|Siar}S%_LC0t(l+drW#9`R?2J4xSFk?wNzwZu2WLw`60L)T25A&b3VpXC^Wa zs9Q}FZ?dO--Ch(7hqUPxab;P$HMv^m*e;u!@_IF*)D*r`vPwqFfJ4!6Ov*OLL&7&X zMf#o|rDdK;q>s_5Bx2rd#Y|vrh{e3={?b5q5xPm#V;)jxBB@YRxlZpQg&vNN#T0Il zTqW7rx~BxE);NCnl`LT4#1M&*fdfxL+d zM;mSihnQDmlZ)Y%d}&l=--XhNjZm5!L3yYpMNW(GK!*{h6^u`GfQ?UC*uft|MI3e+QC{$G|I7UqTTEHc1Qes5Ca~y@Madu6gFBHkx~YgwgHEtiBzB1B3kujq!a$u zn?BLb?gym?Z`(|xJt>~NA1x;5-RmJ6)x_jG_<8zQL~p(9vb1O_iN?~0FLv-@4P7F# zTX+fI58Aje$~{Mhe<$8cH#NFkl-u5^n@pIl94#ZAdNAKk)Ee30NbXmp!1Y4Q{SszO zz{wd5jTJ908G1oJi2`Rf0WzKs^G3tQt2K>_6&DCKLTus~=)SbIA8WnNHUf;=b!#7e7mo01i<&hYh1}9WL z){Q>$<%Tb$e2!Z%_RH-tNA)|mCurDIrzw3mznz<1L&dPCG?oh^hPjZ z;oezpk|@279rupgDU7aSq6a;_K@9iKV)IdPIV)nA%gKYzd^Fs`36rMcbB`H`F>A%o zZaepe5e%*Q4Fn;5qp+tVN59NvgA^*=z8k9s5%iQFgDY0VU#yn5L!w%?DJs!8cuzUI zGy#0X8*iwWO@nQs9iy;WIl7)X8w?QYhu58nm)`~^u!YBgJJTim0>0csALYg^od z#13ja8+Y5Ai&1veU@Y8sDsjdVArZ+8mh94B(u^;WcnEv+C!EEH;Asi3_2L}FTSMSk zN5pefz6i@t%M%wC#(9gaL;E3aldF1&EmJMVqH*aS^O%+r3yscO_T6&2r&Kpa&+snj zjq1i`R_XuvSg6TNtXLs6D%U%3=t+o+La$1(y<@hDc|q8-5$rjC7uV zw7)4;OaB)isus53JMDniKsto>jSe-=YD(9Wx~Cz^S+(tJ@NUD8v4t(q_0zz?wyO@GNAn;JgJdE9H+8D-x^@_Ws+YlPUF&OOPFVCvKMMR?Z(2 zmt)DKzdTXK-)(qVRhKe){*#7%5_q-yW8(a869Havg$*x8VK&sVJLIhMww?;+uV~fg z;nI?2Bqj^0o`xpRRXSpL!YovO%KFFfpQWK#ioF!t@L&Y9hO8BwH{Cy= z*`-c5%lU~z!}By`&){FdJjG>~OJx-U6MvTj8~Ao}uJzvSn8|CSS*xRy_w{wrvKo}w z^JUcW*8dfBI+w2_x3``zMH$|`I-ReeuDzP*mEDxv&Y}b-CDPdTlfk zX8DA{o4szQ&xU~eV$1(btD0l)A0?Wv{HGV2aKC#NVb&AQyX7zR!gt!t{U!n*hO6~s zL!8T!Op9A|mx>YY*wsv^;N zPdY8zSoI6I``bvj@jox^W!z=2wY-3L$!Q?IB5p4f%|IfE&pBjN$lSNxE1+HAFN|OD zfzI0f+FphK0uZ9_lb?%<$eP3H8ZO}e_VsDvdH4Abpb6Ui+Qx70GM@f{Fu%67qgVFy zEuj4&;6<6K?YZsY&+18L-etx4K9I1`Xk}JKH>xIppP81VuE+d&&JUMS^ z&&~fv7E{oBei9Z$;eEZH7X}c4I-rsxf&g+#9iZboz?C8B50kKQ6ko0>^TVh_u#op& zbm!0emq*6Fe85!qPQ`h8sjK2LNI2B9iA8AI-a+3VLR? z#2Q#scF!FgIru-HRJvz%#2Q&tNzOAK6S-p?VJVr&R{xwgKIV2O69SPBD4WUFa4qB< zYr3;E&u<30O9my-Q$J=W2pL2{Y#l*prc z`17fhN0QJm`7UdIW74j#M~Bb``338cz;Whntj}I6>{`UxfXA-Tb><^0t>6neluDp% zM^pkv(2vfob%AqAPZ(jCEa-Z?yr9satxo8Ta7Q_QIx@Uigs}fXQNlvDx>jH?+Ho;> z_4|ye!V1U;T(rlG#S!~au=~SbGTv!$HWH{I(~W(Ln36ZVvC~rmI~u>M z>H^~;zE|Wj->BC#SN&!@XK&u+T;P$+KNg7ioqB?5pU4$U5QY4I(a=~)#9ZphoPBLq zc0rO%SMSXO=tF-N`C5j_8mX~4P(j4kUxpQyow>A8-`GBom&JYvE5%L3)RrxioaH$B z?lvuGOJ0wmFIyowSADeZb}i^j-i~1;`+7A0ruyWS7}`IOCO|xd-{?HaTQLm#D`Drc zkFng*gu=;tPx~*AV(}+pEyHS;p1e|XES5`E2Sz;Uj?(rmmYA@ZFtSe0UPvi0PR}+o zK`#TGeG@@@lUL_nU|}GQ@m!p@qDT?Nd(6@w?$}14vangxqt2UsZ*7qZ3RO^1*l*K= z&TC6=evz!d=(Gkla)s<%_tC`-luxio4~6O387ed@d;`(Cb6|T=Ag?~0BeB?C!mqG} zt>e$Pay~^OvlOc4?~ihrK)w*@u;Rxr={?_j~$5UhY# zy4Ds^2ibgvehCj40PX^=L62e2TaeE$0|EQMTL2gY348gQ{)s&X-G)7FJwHD*BU)!m z52w5}ECPGzOp6Yuv00vy!aekZgCJ@zjsY#eb-)4UP5*rmSPjA+@U0!FHRZyJ6%hR= zdzDhIHo!XQJnY^SEDm7^@C5!3s#|aY2@L1~P6LiGZ)KmlpZ_NXJ3+((P%5cjv;(Su zLx5e-72+fHGZlm=Ansoj{5Nd^tb)$&<{l^L$S&^Y1o7y=m353C^0YxF@R2+WzVOG{ z501dUj1ccNC^2sOAA_DJA%Bq!}EHQPc2xuC_xPK`^@CgWVWRzZka4-o-ax|1_fx$2dC~_>6 zje&5m321WMlna5uun8D)!jupHOZhK0a0yud^!k&|pOl?DC3B$%5o(11`LH;ca-!ew z?pn!t^>6Gmo0CsxoAg%f{hHT8gr2|^t0DwC-+D2l+V#N37e9Ip34rY(mzh!>@^Ih& zGeCy91)TtvfcXJ( zFL97~F!h7%C1@Ma0SpX)dZ8!~(h4E_{TfO0BKMz>AkOg>>i;l=f;c^G-S(e=ma_Gf zFxLO!4h0cu9v6py6_?chB{n?&)6}pu4RV^c=%_UHUTKc!yqsTR?5|C7S_H4iaA(hC1p$PLQ8jX|Y%>*>wVoQ+}SKNO?NFRd82 z3iCF`FBOJD80}H>Hvg6?Lt80+ZB^0TmdrP!*nB#!tJdl_0Qg8B^Hgi~8X)@69@kZA zb+5YVH9OeE9KWxb>op+ud3!ulHP>wb?Sp>&zH+YB;KBRkXr^+m{cojeuG0YdpElS& zO2_Z3|MIdso~ibDo<9ZXarw*~yZzJ}GZ6B*IllR+HDMs>gMZ>ydvU*U(Y+Pcj|l=$ z0EPWM!RPl@RsedSvi~*c!WjlKN!oVD^bk@squUt0g5j2Rrxw{IWeHW2u6iFE;e- z8SklPFL&d&4h#&eAWUN_ES(7E`aCl+Y@(fMf8)Rs=a&56%gfW->*tex%<;@a4?k z`{`ij==sK@9Rhy1ovI%P`ug6C@~Kq5__Tu`u7)dB0!q(-{sB+*T9q$XJI^OO-B%!$ zfTwK8O5fV^<-yd;ihq+xfWM$YtJl>v)Z{g9aR-KW8!8423(`>6n;0e6ikhx#LP{OCvv1!1s~4rF zS7OesJ?=7(k)Y(QrXFssGIxx*YZ@BUca-1Yw?0TZaj;gC4$~nm2o2yHJmt#w0?&bu z{_mS)*D}OIb;U!|JA+^tf>4m`U>4Usm~*Xuduo}qT)t_qvE_*Ru*0OA>=KAzY3)77 zP3fAIK2dOv6DyfCOhjcWPE0fU^%xK1xVBb`?@JU&Li978oOc$ikXjLC1AANYcQdI{ zx||YX{Y;N%Ukl6tU3Q`~F$z2}DBsFjtf+AHVGy3*P^-1)-u@14h#kK8Na=ehHbCG@$(wXflud)qOu7IOa`3&nP9w-nYT@T5m!oQ zmu^b(4@*omdmyX(lHFUKC9AhOHJ})73tmb{G?^C6PRkS3Q*)*0$dl}k+B7w@v?Zo8 z+x&=X={%2+NxoH{RX2f%qg$rZ1vB{}SA^eOYrOu);Q7ki_cmd4W9Tj-wsy)-@!#7N zhstJl@t0la4sEoSFr&HC?se{~FHBp9gn5Z&z7aW5d3=;5XeJuzBBkK%lf^!r(0r17 zH%gA|nzn4qsq{s|y0Q3-zEh+E)q)#!l|f99ImnY#9G_;~F<3_>)lEL}IFX=AV;0x< z!-N}u;8{zePEfY9yUIOMFs2G6CfsFUaCk5~jYup4$#-OR%ZT6(c5&2NvVkC!qA%+; zJ+!)m?|6fHXt68apz>B5nL@#1l`>YRD<>;+ZzExQA$u`Ka>}X|vd|CeKm+j)5~5rO zN8F4nxJW%G5$w6Ji&K$aPt0^m`SB0lUqz~z0fR%&cX<*YD=)b+GWGNM8|B;?4plYP z9I1O>q9;*gh8yHMrJX+*G3qp{oQ8i8v@S)doak~YcAsH6mi;35vE*Z3*=%mvhibp> zTOJElXr8#1dV+=eWE2w0QT1{XsZtUtJ4dS>-ILh@_aF_@6d~zPdk)87{5@-at&d3h z0aU8UH~#EpH>#1s_V`LSUi&4{e9n}ZDBqFNDXpfE$TKG<(bkCDx-A4nrv{jtFNb2d zmsV_YcpStCw#A{7#B{&M_|+h;!h0fI92=ODLu%NoGaJ@^fL%u%8`E5AXEKg!OzQl| zO`5plsz*M(;lvQw6y6S(ob;w{T%`mncbz$sJiE?82jpv<`giaxyt^Yo#zw}@Kh!90 zA}3CotLw;>GQ(5qXE}8yj*aYy6zkfe5yq%f?GoHZzGA0DO{Xk&zZF$BCP?{2|AXVA^6ZxpBRJbtuYRNC?G`LB<&hSoPW+y%t64@r-+_UoSEj4=Anra zrC_`Zm*Ano6e3-~E~M*@XwuRXJh)pw58f@Kl>XfqS4CrN^-0#-z@4!agsAiU`vW#6 zv)4P3Z=U>4Iz#=~5mA$9P2GWLa<|bI-KQpF z%MT}0{tUmRY*<~uN(7WbqubG4inS&A?v42Hk<6l&R?6oTo|JJwwv_S=jjoRKKn8s}B#Hl2k@mA^{q-2%+Gy!)jCkFt46&vdEDg2yi3f*e`x3+F{9+KNl$^6sWs?K7K-_rxY8+2% z8)Xh<4tJdG2C>6^)Wsvy)>Guj;{>h>Z7cq(Hy?lsa@+`1Ei&v%M zMtLpiFp$I{esm{ypZtMEg!_#`Vm%MtR7Ofh%Dg4*!d#QNC^V7o8#iyGw6$}T9Go2N zP(&`%?~iXPK5gonm=5gLgsmqCy{0>A<$mCdB`-3mL^qS*-G9Mi7mOpf`ptC}&n2m! z?rqLTvZ2LdE38HvEKOkip#`FR@yGHJP4Q6!%v^D z_HFfo>`D{E&~~Uu(c?C|b=bcRNXl=MNsW!&iq5Y#bC&XR;t-sk}PtE#xHUqqFoE$DnDRSOZ<(ttk93KRAAqEf>#8E;UpTt0B01pahu^jgN-4 z>Pwi6_Im7$@UQyelfIwj{W~T9`cod9!gqvELFxyRZ~V?bG@CgiL9M9A2L{`%NGVrA?JEro?u zoQg4%>OcyGlaXoQ{=2|CHJ4v%Q1U745B8TUo6Wr*%%Aghrj6|u6X|-0knTjSi3{_2 z&XAQO)@#)e@Kq5rkA~D6@N$?2^Gh33b65 z2qPD>tT{b!qf_eLuO&Y|U!c#YSD(ouZ3dk2DQQE4fuMWH;^&?mZtf z;Pv!Xb7}%Ok34#wU#bqYv2VNFXw^IjD2IOYQG{)1_mZT~w^=`|c=hLbu zGyW)4T$uYVFZ6^Z;Y)b0Oo+{!&=MKNt6y8-STdLT62@bu?Kb z5f&PunN6I>F>jC*ZoaBJB+R9zjJ3Gh0+Zw(?9umY&M!4jvZi+PH=hmp6u=Ws3h5^m zPUrJ(caqX4{sYk(btf`%zdv_DE({Vtpj^S+S`jY^*EdoQX5#D=ua_?RYi)tpF)iAe zP8HEg2Eo__ht5))hJ?VsWL5FqO<}em%DZ>6##x0@x-3h@%(PbUu$v#($%p-_mn{%J zVR1F44i?O+iB1bH4g|pzedcZ}=x!yq;m)OPA4T*Zp0wNDpJkK2^R*nlde9QU0q#W#g?RP zTnMVmwlq2`;{9beMzcOf!|9mnRHj{XmNkMl zgMB4*jU@=)pcm7yw}VPV>p3ndVdWUpr5Mm8|A$x(RpFG!)cHoRA`kMytCU4_Y{JM< zo}^R$k9H13NEL?}FVn%oEvNs!{<;+hShiIfC&SVa#6QpTW9u=Fl?h>22Ece0By&OK zgXX|q0QNXAV>tllxj*5OmUi>o@zE4!ekF3E0-}H#tW71_+2*QX3gc1~?UFJ*m|mqx zc7=Ze{!y^Z8!Xh|5?)0zWT$8o5E=q*`h!sCo~F!Qy$~?A7jBA-ct8V&95{>1e>YKa z^B@v}YLbZIim5PB;;NREXOHteXyO7)6y%)CWsA1)ovzK?iQXM%?Z)lqnf)}RbKx(EyYUu8c!)ax;1I8p%@dlRorF1yL)`Q!QsI!BD7~y#2>G5_@ zcF3KlCCe{GfQWL_Hp(9^&MA&U%N1-)b;RU_TM?qK0Y2kv8=|CD@C}WCs6jRMFrjwS zBs57T2pjd*TSTIrMjJ12m&ulT9=-^;d zN7eWPTjug$Bv(l%@-LAtjB~}%;sIA}Ay;h&D!MAWEbYwgcpxTX<|A;-%o$&+3PSo500%dkyPcBao$0w&vSM@00OE5a*{BsU^B z&2L8WH_L@r1hZV^0VE6xIlCEzA^Ng7$`sKl8gx{h8H_PeGG;w=ScDGA!OO$vlgSkn z@IhzalYNMCm<7XXL{Z4NNbvG^MRqCbwi7)k8!pxJi*vB7O+=c?q@QJlp%f8ST@K#1IUysa?lU~c({4MI_h?;SKF9j@D=qi=sLA`n zsQY^05<3_*rMQf=ZIByu*k?7*|LGTisfk7ub8I6?(ya}S(ESQzxVXC#MRxXE9GG!<`aV1oU0R7h zvnN9fk7Uelt_xgPaWYet81)}kCNByUnar;~W2>32wQ&zBHVWZ;erNG(fVJ0VF{ zyi|f$0w>{~oG!;F1T2IDnu~jpe~Ql&bNI+bE6fY$mE)09isLchei5Emn)Svu>*+2h z`S5L;m;|4|V!oI%@U<~M^T^BioBi+G&KCZQzl7~WBxEv;&h=C|@bwBmz`SQ!tED3& zbGqCceur5OKFqDq-Z2wrdHqQ*Bf!) zmWMyVs$5-7r+ znv_yyrTtcL8Q#Y9KHLz$-BI`2DTo(4BrYvNP22t@C2+LI^Qi;J@F&oT!JzB@5gIk% zhpFka@aE7|X7tzs2h@}TF$p>ByJEF{IxjFA{>NIYX0{jNLXQWQ(gW9Ao@)&xDGapm z8XO|CvlfI^q`(cX2dX@d%D=)tdWSmA5(K#xp$;QFtsV@5HrnlU1QcA#5P?*Rf=uvz zY>$1PI?x0A2Rz|fPv0QWBC$27ievzgu5ylkXy1-a8~NB@B=wI&AzLrcFDdg zD5Tbs=wtUkQ&aFig|i#=HR(^aq4&NBQ#F5H-Q9!4U%}HDa=nF#%mWrunUGjuF9?Jv z8wumObAw?X6gT{PVX9ytH&+^@l(6};lmTA$_IfYGk?IHR)g0 z&VYv@NvIn3Z^4|5k4=WQzAZGoKX>y#tkgZa?7hdlgACV$t>FSU;xBXoEl7hq$U-^f z8aM!rQpZP;YRJbt#^lGO)ppH+?~KwXu(!aN(}vZ{ngVjT)gwJ@?asTqfXdB|a&|&z zOWW-G8z`ASKy~?x4BUt2+k34WTaEp9X5tn|4A7!C&{i2h| z=*N8?!`nw_#MKk^@$hbWpZ5LJ!8#&mhFX_*=^wRR-mjz$cm z$uiRfzyTHD*ua7~%oiPJPlOxx?mmtHZ(~Yhuw7LjqOt9Rw1(y&w-GC0$&cj0u3c2g zBUq2uLEf1c?n%3yMka}k`8Rz&_7dTrQQB4F1fepeRYP_j-y6xyluTv{5{wYGlD_J;p1 z>(+6rHLo@6jGl9Fq#sxEy`D>3&wP@jicH?dWtNHXuNEf2Ynt9vuFa>od0poKFR<>c z8Zigl>0-GciG^CF{5FRf-1CU$oo3VaO95Tl^+~JicaBD%@YcP{JFhZ@Y&slG+)d59 zZECl*tsya9FCl~BC=CrE+GETN8`s^}Y6PP9G+J$zWiKMIYP?h-4C~x=U1TFk)fbh8 z+@#~!cRu=(v)Rtb@mq$E!<5ih;k&d^-19HV*Kxl=F&%It z5V2cR8m#`WjD0_9VRvb~c0}ub{cl)=-4# zmi$@R)IqKr8yM87FrnI*)gbM+tu;dN6QifwhGx>6iJNy_c)lSqgY2nhC$ei@+C;B3 z2F|{8Z(i8bUKzYLbL~f6?%{T)mhHjK8Tej~mNU{0jFze*aW2`mokU~3+oz>?vGGaZ_e{2z__30=I-$mXETau3Ae4-~PFT7`HFAt$p~iPj#A9yQ3BnIysha zi9&ho)DZOPpdiy8X)yKQ(|~XsxNFIb&TW{sv1i#0S^RKqe8%)J(f_h2Sc1Fugb{e} zeQ0*|c89gg?Qs8_MQEfBlq(MHY37&j?TlvY}@)UTmxnC0H$Ldcg!WWg&ot8<}E>i3jORI~{de8u#nyq78El82^sF}IOJ*KF4~z-}Gr=XSPSh&T1< z1qX1gE*fEawN7bO<41N3-qwa;w!JJz2J_`n<7I#D>(qJhlTE1COXulz3y!X5cjt!u zD>hB?s{wl|=yf{@$rujSfUTS7@v2J4MVG8*8~mu@k3G57?%7qte+GQ%qyB3Mp|)=a zj5{(|yLsP+<>lI2Z#y$1;DHKQhu{z;Ox-MW9jm7|vW;{Q>ICv~##p^ZFMiNgTJ<#i zG?p>rzs}s@82e!fV586W1#-tUAg@JvzdfJ&VxM1J@WKy5du!4E)MlUBFwsBAAf(+M z=5PCaxMoIkAx9c-+|POpe|B`e=9W3Mqj5G0BJ4n$-)in3?ki@q#e}flzV({Ug9?BaI(FEH5v>QO=bpFjnT_4>X?D(o3YBn0YG>PW?_muBQ0?kdlV z#Z`z0YbKbl>=p5QHb5J)&T|6b);i|dx=;fb@ZdI4ZB``|YuB@TEVr?*`{ABy7R2TJ~Q8G(YLgy6-#mwH9l7q)h6z{ENWk;apm1 zuG|{A)fD{5XesJd0_fh}SU29Yvmt2qywfiG&T2MQfFW^e-Aw5M8Lz%dA4 zuP4U08G4ppFBrQo3GONZ|HXrj_JpmAA9YzXATO28K#vjctv4JEUucwH?$uDZ==$I9 zK4(yLyP+|$J(jNm+If82zYre^gD=!{t}XmCk<>xAP<6cP8(srrJ{%zputO3mf8S>V z-ATu4CA~(4ZT3IMQzdrEMC)@{NnQMQT;E$Ty)=Df{TE5znlVP)) zGm1F*EXRf=(&p;Vo+Sx@UTy>DWg;!YR`2X^2OZ~lpv8M+p?S{cqsMCvxOl+0nCD2y zNd4XnX7vqEr)XTXw|kg6F9XgCx~W}&QI7A!o`xH!4q!r)=C7ynZbS{x2rdN^IlI7s?n7uJl1`OF zfo&|dS{S(SdIq{IvQ{zAe-C$-X93|*W+Mn@59FZ|--^{u^#j>m^#eNa69!x1nRmQ^ zXxDfxi>HmtBzY04puC!wEAA`I&DCp^dZuHN z^x(NE&^h>`4rcV93X&)6jzyI~nm?o-M#7ZejUj_0C7?s>$14(6*z(@%0>Hv>0_uP; z+(NpngMb@S;Q~B86nBHn2|nGE=t9;Tkj>R2w83Gz=kyehx8(q5Ugd zIPuq1ioOJsF&gy{?@-FH-rnE7+`ihr^!`VRy;0Oe!DM!7k^v^YM9R^`mTA}0XYDKL9pv%yq;={xO;&9w zg-x=@cpy(Y&<4H9d(Jx0hw02T5C`|^D6bUs+hWc!a0ly4FeWWAXOXqkcP5#g)6wPB zEB6p*!Po3JUoeEnLfg7~*dy~<=CXSQtg5pbPSe-id*!3y+4(Yag>Z#eowv!y>-m@a zX)!eC``|edo%A!ODGSTa;cR@Klr$&Hv*F?sP6m#x`{k*-w3qEWHUtMo9Htxw9cCoN z2j+Xbdn<8E_{MT;`KCArBnJrx?g|Ph$|>RAm<>tSKh*DLbU*x!f(r<3AH)r!X7G~# zHS~@gf3Wm(7t$6S*YCy_p*8U479JO5n^Y`3@6(r}V|VQ}H}4Z!Fr**41J~kj`I%3w ze(k>ap#PukQuOGEn_89``WeQJ=pnb%`<_4Bukud0ARV|XMV_7}$|lx+H`m`6Q)60Y zJ4QR^JBB;9K09BUkC`W})4ug(GxOguf3|rG&we_wk_soz6Agj4V`ty(X9n4$1(gJ0 zB5tr(qE$+tVK28=KL4q8Z+uO>H$J+al`k<@TB~pUZ+J06ObCQu%_D9aWntx=bfG(Z zdHG&7=DZ=#bawSD#vDjH9Jds=hix)DM*_KX-MA=SKyffA1-dAeJsWL3Ew4v55O_R+ z1SWr*zPL?vXF>wa)6*G=2I>P@_deN1`f&orIwUNY7zA$e)O4EJ-Pl3n8< zFQI$^dI=#zQU4j#`+oT!cE;%1ckS9QPBo8u;`Uy-jod#SnvW{RzOv!?VqtSSUMxr5o_R zZ4Y`4Z4(5AeGZWhtLlA5ltpC^??(C}^FnOp9^?)e?pQ~18!Lq&=t>49%aNr-xU8v! zO>j6GM7wcg?c=F-iEd3>tVwNL>kDEXP@jIp`5VEbOF4|eGbE{v(=lWnC2%nWL=hE^ znK6V!5vwNH6XiycB8>?#giDct98oX?OA#-QIWYukNt-077sXAH2phqYlQ@b)w1*@i zVv-X+j(IsytMkb*AhD znJrN|f9?d@oiS6?XojCH9eIYOA$7Wd=mA4r%%UN3I{)4jK~uDO=HfxQ&1ajx?1WIA zA9;@0kwjBCX-=)p4^h0tue!j|5m8fOdG_o?-R)mf$hz?SMA8v&Q=)k`?L-+}${ij` z5K+!2Od-#_ESEkqZ=)oMWahdNNU}hbA*EA@EJt`P{VC7b zJV)ihel6R0{)ZzDwjj_P7F!@xff8FJbWtlqRCF%h2^m{Zbg}+Pf+I&J@7;+YTWEBi z@QLmn+nlI2e(}ip0mwbEO`O-Dgm&RHEa$Hnq<8vXaguX!U+D#LQ2Kc^F^un6!uXzm z<|pD0igW3Y#nW@lC*}{$50Y%KXeB4D|&W|{%4*=%^ z3;gBy12G38P6cdk>;}OC-Z|g-TC96m6zd}0Mc+lFn4Tx-@2&ij(lc{WtZz)~JYVN0 zJ0i9Y&JK2_rR%<@TiByHg;>F&U3TCPnC~1MB+~iAC)y`PQI7AFpTYS3GvVL!wy2-g ze8(r3Gi>#kpJq?X*X5t87hg-<+Hd!3q~_i-?}nGuX5T7r+hJMDU!_l(XZ5^6#pb#|@-r-|BC`{I7C#)W?=;O`CPE$C7AF8x7yX4$Kvu zUX$mFj$~K~l_eV87N_xp4B=&{N(#z}>s9JDm!B>M&2wgA$H>zunk?qW3-rZO>1+q( z{^O6Kw>E&b9?dVI#i8JPAh5iTHygcc7P~(#<)j@<5v7(YE&a*7ol9)pWlj%7+4E+L z=}|RasYW?dQtru(3%Ghf2yu`L0b%EkQj4ak$rvP@*AjSd$hn6PA+ctVkLy|kLk9|~ z{48yNTdL$YB&SJvd*wfRiT%Nl*)tU$L>c|Ox~j1#kroHAm`g(@4$4NEQ6&q(@U1!` z8iw`W1Zzz`m@HZ#h%jJ?@*rswmQrAKvnnbV3~QCvig+xDQ#8lEGXP9mPTi|EuILmn zps5>H6=YP-7}{$#3-4RP#d-8vHI5k4B7xH`eUA>dsGCN>>VO$?wga1{tEY$8juR4a zBm;7`p7!TH08mKRNLJ6^cvVk%059zalytf$<5-|4e2er~>E8iWL#xS2 z;1@zf`2&%xLNPWtCK`$|pC%!Z?MIZ-kFF4}dClXJ%bz zT92z=Q#Y^hb4k!u>kw15rUYBB@ltG;WRRX)mNWxwx`2jGPSc7tF%h&b5tK|%)@Fl) zC020^Tx1`Y%cWJHpqxziOh91Te*#G-k!}DfO0%--c$lQThBs`G4u>9va+6_6#;o8g zmeZbF#zIn?oKVX+Xiz9^nNTtY3_dyKoXp?g5GEO5wxQDL?7 z;t!cz$Z17{1wa1hQwiZl3hUZcKNkw??$(K#V^w|eh)Qn+Rs-|Mnv!OGU_+yPzFFv0 z!(`GXLg8jZP2Ua!QMyp-N>tnnh0!Gyk_wY@QXQ?p#2SvP#6L8@2~dL;oT`rVn;JGj zJf$Q{t9ku2EGPyJO>iY3U3s**eon6y{mSJoSa7(Eu}!ItSt+$~WSC=Az}6cwKQu(T z-4V7(m}N}qbb*goTf1k@D2NB;%ZjD;MID`(3_C;dLV%-m@yJP^tf2i5L7-ctq;>iP z%bje4^f8n^X~P%vF;sFBh@6%%r&NYb!?;->S%CUHF&V5!A>@nVdCV#WIiz?v6L|lCisI$6k}spoX&e|TZ-wbZY6#0 zv;2>$ktg9~gc6y$e0k*@u5jU3V6aJN&=Ugu7)5O49u^)sX9T&daSr6gbf`+PVL1#< ze%n&3XVR0Rx&iPy7P@dYemE!|Hxug%NI+4E0V(s~+kaX79| zOs(Nd05J9tmS^6QErULP@Z9fsW4PMnm5cx7oX^Z4Vo>E?84y!mD900x#79_SmY6RE ziGZ{@E%T_Ve}?Iqk|Ti20uy`70-&uWSZ9jTwi zj4)^hC6g4nUOv%hFo{~6=s4hKYVY2@07s67iB6Y7VL+($w=@$G#tjJRwC{Z!Ii9;(-D#I$1TP|rvlYbM|gPalMKVn99TrsqE_~! zvaxmF2Zh`HkSlZWY6C#aXU_m8=J%Pqrr_RLRp~|dsn;$KoUPQ9fg54padirwQnXE< zNHneP$y+rsro)Ivlyv?(CsQiRj58na96Dx=gC|Q_L@53x`MWXvW<(CFEY6StH3AAM zX;Ej{7i+z|$10yu*DL4i&?S@MC*zMWC*CVPFh+qN1_mSb#n}+CThOj&wZLXh#X!*Q zRLtb^``3Hr?PhNVh)}Gs7=a8(K&0P=%t};(kniL3kg-3y_*}0fpvxRD)w(ob3zyFZ zXw3T0=Po>IFX$)h-e`-ipw1vMB?eGJi1^C4@(1~0^xnvVo;iF4pe}?3K>!hgLMQ$* zx|lQQRVn|1Z@&-Z*V;?(-YfA#7-_!`!>(Z_cV8jxR8CcHa<@D$<7Qzm$LHw>`a0Cp zVq@resdl|Q?=xhq52RJ?#qB)W6Yae04X+w+xv%Z_&?NQ;M5^c0A?e)OTE7k%WqubL;56<^o59YJr z$IQa%8TUv3NA?H5H`j-MH}{86p;PxsCIi|TqjTuf$RwACAZ@J9u4`S7ZHDMB>2~2Q zjOO;34$32gNU`!%XVm&adbMdSy*cNk!qm89;#9a}qIGx+aHLDk1i|$329aj0@b;-o z}^e<_{4i+MNEj=tbJ87C*^ z`%iWceg$S?G>KvIDWABRa1 zL6P8?hS_qaI_K+qBeJcNy1944sJAMz0a^CP>4rog-{3PgpVZK|nR#|}M-x&GM@g1$A)6AIJj@;Mck*&8D zW$jP@rKw}rtJ?dv*#^CR90>Ccyy7U2NY-|b7>(`FNz2Y=Bm(Pq`${{tbwi7i5LyCy zo{=3<=xRfh4EPs_>m)`VQ?$NgFFylMl`)hgHF>AszLIawt+?zK?V>;MR<+-rQq{%f zJOJle+_0DnJ=@{6c2`xk53cW3=vm^CXo0klm-Afk;rI6JlMIRL*Z;(Jxo58eZzjP& z;xBKzu~D{Op}_x?Digb^#$Qb~|IM3WyDW)s4YveE%$t~26MP8;0fWQEG|rJkoT31% z%uByc6+T|!Lk=jz06RN9Io(JiF1Dqtu%Nc6rmaB0GuKvFCZ|gwZ^*wrDm!$^1HrIHk~b@GVzQ2-6$%TJmfcyGehb&dQrz5>BzSd-aozw}%k9 z{c65^F^YPqC2sd?L$9-<{j=ZJ+YRk_+TPg?bA$ip{e|XLkPp}!A-jJl2j8f9JHv*s zW-&u9&$7shES8&IF421cnXFLf_>5iPwyz=M7;NpYI<66BV=O@@h_dMTNTqImZV^pPsmkZMxcGV_ zDZA^+Kz&%7Yii_`*7Cal^c4D4d?U)_^6XUoiFtr6()Qx5or&O^Qx zjfTf>Y&$^+FhNxrFLF)G$kM=VEF}-Dm#ocqBM5QNwi^9$2y@fh|0C`{^_sQrWRmzv zKRu)Py4O5lrq84^J40AS;bde$%_5vxGGgB`B~>npv01uew4xO%5NOO9 z89uQ@W^k{*pALX-Y{8PO%p8}|@D8QaX_EU>;U~5!At4dzqo&DS-zG<;tGiP==a z3}q(EuTolM?Vw7ul`!Yl>LLiZDag4ElR;cDy7%`c*yDJV)HQN4&g0<5K7ymFt`u2Y z&rrdlgWT0EK^Onu7?B*r;QwAr-w5(Voas^%QbnyWQBhXPZvWosJ>-$(RerW@Yn|Zf z6=_zgT-w!^`-uN+q{sqjPid1ib18oz#AayFIuzdGRL{*D8Ru`Wz;AUjq&Z3faVds& z7YEmD_|Ok}ginFQ+zw_ohEi(XIGt_nln#Cw%H9TfRlS)cMTL|s)_5(wS*^HFR;$IJ zcG_Lhmhy+WYx?s;}Sv8T9E$w#oB4nj!GaXGUxo$Ie?H z$|94{)Ex@5SaRX?-Tdv{IkMYMF3nz7TvYlTq+K*m#PA4wtSuCiiY-X&}Y37a%gd#<@Z>ZG)`OF~M6I zN(Pkm!w(prBa|+I&KgMHBt|c`tOHA~;S4Zk*}nL^z!y^i^>=Y@q-J#R=aqA4k!~Jg zYq5J=gdQ2Jn5Zm#3Q8uyVvsUVfyJpu)ot)-d$u&9x6zWr4P(Y2!9|LuUUH3&rnY>& ziHYJjg!v7(G0TyP4-~~}m*c09*QU#+yVzEx!|8yl9#~#z&pm)w*9_`84oG?Qi`-l; z>jSsTt9dd;u;P*tU2Dp}xVP5CRc2MyYM~z*P-Yz55F+_L%%=Tx3WFbKRK=~*5KhmM z^d#l^?8fyMN9g*ZM(EUXv0QNj7fBn6)quLgy44ed5UR760ND!?+0P;8iJwvlTfO18 z&a*ivj5o8pEFE{cf!m)=4;#OxTbF|wT(dp5mmRlT5c@N)X#0ZT_(N$W^g-}~;QNe0 zX(Lf4?u|?(VPRo_3KM0Ad0aeQQR)(!mdz^~Cuxs&_jmR^GaWPPoTnWB0>^7ZLqla_ zd%o>7TQ{7mw>x^U<0fA_Zi)P^f86%*AjV;iIBFkXIemK{yUsRsmeId_thwQl3wH&^ z7ZJ%KhWrA+;wH1TR);!GB8Rcd&-P7dD}mDu+jKuc34W}yJbmV*q6-8pTezH zld;z1nEZBA1?`L=a0wy0Mep*9OJ2)i0-*|N*$_sc z8_G?~`g-<@vEo5eS+QeCO2NGHP?VaF(L%tfMJKXZZk;jx5?m7*2|?6A$_+1b3#SVd zn(U(bCnJ?;$=Qt2p-YzhEwgbuJM4yx)&j`!r_)OP0N?HqEwbSpSDeBLVb2mJhq)U9 zyR&noAvAF+r~O%6hpy72tq|<3I@emey}f-+T#VLKk|Q7F@CODtL-kH|K_D3rSX28U zTjkYLRu?At4jeXVRHB`}0|TR=S)%&)J}Y%{)Jo%G!ByGHg`-9TMz{-OCjyqIAtF%p(s~0=8k8@At3I%7eAqc;*)n@+YPu?XoA=%2Kl#8GJCT zuB0VAYWPOASyk)ra(BM!jxT`jQ_or_V z0ZLFR&HG4)vyQEJ8#6Kmy{Zy&5;9fcC^WALulfsJQRXtEBMb zVs1Lq;QP>I*UcRDKMSThsX-t6bFhuJ=H9A#C09Q#r-u!9f>KOn8|w*8Ve~ZU`~vBk1y~mKnEv>@55c6BM5%Qpf5c~($3b2`QU;+ z_nrG|x6)#U_qQxxK>~pt5W~GL$uvSE;O2LLLA~&{cNISFZTA< zU$(lwSfM1WRIPnlogGv~{(Qd1g8et@dLuVP;raE%84Hq)8bos(Fws6?`-vDU{Ex9m zQvy@i=}VE=q1Wqyw(VHuIi~8tp0SaJ?((W2JYaYC;bGKhCe~FmdKytF4fuLza6CxS7-`O6}1p#d!-{1?2Kz`D;qz&E0Esfzv4FP`53p%&z4r+yHz`H8yt`-X! zh3yVh5tg65_vqT7e2@rxevseHq^NobMiTsaiyLxis(tXtJ_P(~GvAv}Ox@v6bb;14 z+XYYp38FCA0ZrAU#(f0%&AOHIs_Jb$>PiZzV3sj+YY3Cy518lmVB;6(^%oO2{J~BK zVG~_?vW4aFXMbZN&Dkp#(U5Vbidy)l2*F6gM@b_OuUTcD1gOxMSrdS-KhvNeA(!KK z>+`qA{Axz@!=n85d-pwb9F2c;?k?l5VLP}V3u8`Pg~6JWD$;<5I;gv=!TC)$TWZuU z7|7iL5@*-#WL+_zy0N!7?)}?gqIfsC85!mvN?n6od09ko2AN z9puolp%3K{Z#*v1iGjMRT_Ok+FFt>JD%Z%vQTloK`6oB~X>TLg1RnYe}v9 zP|*!22K*Ofa41}-PtyK6v&s8gP6+LdW5L80w-Ix|2UrHP`{(zCGt$frtNVB0`Alfn zZyMG5;p*!V;Ed~M!5@2eCgD>b!@4{=H>+SQLkRIMn8Q+#5hO4sejgL`U;ddXEs;%3 zJr>3>T`B2B`16n>RVdHPQR2plkbosJHyI*$Q!zz)m4@c`az~~*LZ#!MogiV$!@RdD zURBP?EUJsA;t_`^tccz)8jV34dGxU}@Bq~r4gtAP=WP=VcMkY&g)uD~|*n77pfYD%1 z24Xu&5E&arY~?CUQI%$5@m$$&+^7{`i7rOcOz$~>qw#q2ySaaSg^_%p6XWUS+XNxZ zHS#6%T34CZwOC$}uXDeak-Q>^$i+_5s>Jzgzyv}#4ybzg`2RROFkSXUHLxh-DMy2^ z3!d$G8vK}J-8lz6DHl&&C%&^C)vd`Zp*LX26c5zcjbo*vk1=g5|J8(i(Ko4V3vCjy zu2XzNX#o?&gfV9OcuRJ;CEJUHH7AeuxgldD43&$RRwm7pYMS&=KIAYi51QD6GucQp zMYo^V%|V534xF6U^S%Tv;W-Nzxh>%l>b+ST=&WN!+d<**w==9vP=H7;mt;Y5E94$q z5kct2jINF@!hqGd9%137BF6yrO+<%zSn3aP^Tf6)HZzr{pev#ZNm~5yslLHBMH&ud zc|`6qtI`f!ChjsVzI`YG2MAS^)|PSS$0=tC55o$mCcHx1Z0}q0N0J_F(Chd()Eq7d z8>I?tj5%2w{=>n!$3u1$7%ZF5J=@${&4<~1=SDL49( z?AUA^A9=Aq)AqMGTC3GMi5tUn0xQeJgq!Vjuj1||PM(V}YeDK92*;jqF8}ROhqAj5 zWSe_R)HOeLDOwCR%VyC|K7oHlEEcZ_JeR>A?LFLr?=5tQ7p7rw`y!jiy_0D;S7_$M zBg1|iTbr9hr(M?`!ir`~CQgrfs#TRHSHG8-Yiw7}v6q-v&b^Kt+}FNW&%RjCoiqRI zw;Z3qkZUG2(ZCLkx*9+(P5W9vm#4YrZWNU6W+z#&YT2QQ`pf}Oyw$d_gKaA`AOEod&1AnBBQ09z#F7)2!u|A#f61dSGE zjU*WgX4OV(O_(CZXqGn!hJe;R!*UR@^*E5NKh}lzj0TC=j6q)K!aJp7Qv7Wy1W*XXsKMqi8H-BX9$m)l)|&zUVN zv(M}ptDa?c--Vf6kEfW&hgA=lre)l0atHPZtBFTkuj~dW`L&5+z)d>*Cy6AA^<1em zCt5Bd1ErlPTe|P7BN{DUvv3b(`qNY^Oh|0GgS?b(N zbrSml<$9P~j3a#|O_nm*hPG6*$?8V)VG4aid%`UL%4!cGidVWjfWJc21T8tX*klFUp zHc)b++k@OcNi2V^_s#f8!`eQdl{unBSOn1My9b?B(S5Z=SgqNK~}wZd}XwYA>Z zQ&z1k!?q$5^iuM|YCK7P05l|%kWhrE=O=qUaV90!PnMN&W>4%G+SN4`40f!3Bz$8U zp|slVCMFu0Ra&mr1jfT?n8e=0(4{9a%fSGBw;ycDJtaq0-B4 z?nzN$N%sN6ZfsQLl6E>A89(4p$_;kr^|SGNS(-Gozls<4%hFgh?Xz@70@UHW9q_j{ z7>Ggvd%E0+o;y%x+D4P(WDjp+N(0@?=GquJk~%m=1jQQhK~27xrm&IZI_EY+Nk5sI z{2<$NK1AgTh7S4+*ly;pnBe#liXtNm?iM%rTbjwnO+O_B)y8j1wS@pyl`BY{oDGb# zOE+31bk*7{ly$^)SU#+BO0j*}Q`#(ql}lg}ZHAK2$#5!dowE>*FH<(cjMfuY$-!hG zQ^_8hA3rYvQ+&HHzWr4$Db6^+E&9={O@#7%^L9()n89rf3qRH)MH7+G4($j~Eh49) zMK%UZ#KoDg>%(0wm;%qedpf@w{Hp=_VgFRBcs+f zCa0~fqS91uK@M>;gkglPq^DG8nXPJ{Xg9i8&`U7%?Rm0bLBL-1suaElH;aN59c3Ny zKPG-ubhuiUK4ylcLyaQ+e$*%LxB&{ZEBF?>Gjj#k3J)fXe=p2eJ2>>#{kmJ6-)_GP zzTbN-5%k4?0w*?zsIY`P_(uC@_`G479~|EQ(zAw2=9MtKn<&sV3pR)T1Ir;WR{!7; zwAT0Hg(E$*N%4&;Xl(ox(<;#yL6J9X71VpIY;KZ?-(2&()Pvu7|yvOaeN? zPK^v1tWVgBJMZ2SY6icqK#(S|$?|uf!|vl@Jf`*45u-J&H}sW0e`H6FxX=jQcC1;q z5gR)hS??$gKFM?3`b!a`>z4xnZ>1caoaYJbM}I@g%zk8JO+rmc1+=4}#(W!vE;o~@|2b9nFpI7&>YLWms`YKSe!zPcPhcMX<* zoO~MOYSi*9W$UuFRdED|X_Uq4fHf7-D7p>`CnIA0&rGz;ee66yRwT)QaF}c@g*uF6 zgQAcy`W|!&$MzoaI;gD(VY#vbP3S}x))QaLd1lQY3DzyZh?2-Z>JVRZ$Sy^&I-+FH zIxua_m7z%+BJ#}Cw#&|d`ikzb5WKsCbd2t9@$fU*p|hF}b&92timfvmgL;&1=<9RG zA*oM*8K`K)gu8sd+@CA^8wo$Hpfbu_Uk3p`jzLy2%ld|b;>z4mtYGxMZF8evhiw_h zNG??|juOo%PUgc294krt0m(NX4KMN_@4&1KBj|mOh!GTqD<6E(T$va&@G=(W5*}mF z{5j$k00ri`#O|E_JmCC|@Th->=YGq47=WMfW_5$RA<+Ia|1sOXc zwA*6k_9wKIM%DDJ4n@>%@ArD!y9hQJsoi@%e!eJJ|E|l7HNa?mrC6e*)*qI9vh7>e z$Q05H28U3NRdw4dHMGRA0Nq}8I>%9H;P9V!GPs7SN?4*)Gd z(!c7MS2UUxz;HqY>s>#i^xg-nl_vPYIc%kMt zyc=G+1NYT3G%Zn@4xO8~Bm=H~iZ~Im3wGt^(-in_AKr2NYTgcXg3(C?;Cf%J3Nnhk zG1OD%svkzd{mwOlXq!;3odWxH=G5?j!`BXb1acjdf-zM-kEU`&K(xmEca4;yUKKH< zh8CMRMBLye9U7vE2(ZyEg{`$dSXo=U49%HD;_%GB56{kQybTbiQMn@%ak&Od9GWNR zWh`SRkYyyVG~GaTgPm{e)8l= zfi((2;t1j8D=`0^`{_SK`9dW+HfyXc66AzkCFop%zg-O1#tElwVvFq~7V=qM{gyFh5a5%aq2R)DO_p zucAK=0o^II`{jroj8Goys0F ztXTseY-|)k(S1l;%z&~*?X?8AB{o!Y&nwdHI<}EjaUaw$j@bGe0D0G+QL78Y;TjC0 z=%Wr&_OwVeqgCmkZfaA1bRRg-&^?!MF|@bgI%7+Eo)QD}NrJ`5V2zLwNJ_5`&KLB1 zz5SH(*-7hyyQz=b($k=zXo0w3Z2ru4`t}Rs$(fyJaeb{!%$?X)Y-ExFwRv2`=UG{P z@#Fe+hBjh;2@&Qxg%}zt{ce9_qu~LaUAJ~^t)ce*AxT<)Wb!{VQ%Vn!xa^lNp+d(E zq|(PKzA{6|OyaV02KD9Z!+P&}igrcqtVnAFTyaImOAmm*^<%RVdTW$FqBK{|nCxTFFOGDb%gmqAsF zbWMYWgHi}p`5JE%8<@45?Gd0OBYQ=VCsyZP1`9zCvK)8?bp{$C72i`-grzz9z9VwI zEdn9jGlhNhL0n)B*re#IX&O5Y$L!vDd!qBP5Jhbpw%LxreMTxrAfQdC`w!MO5CS%| z8@*m{1`4#GqNK(0YV=8o3Vj#d^BUTBefP89#};5T3~zT2usqD#BNh9XkanpFB>Gvb|!gKMmPVF?$KCk=Um3(&?P6 zc=dw*eNzTV>Gj19KTq(C2yVqfoUjdmYv|5@NtDG(SP#zh=TShKD_GNdXcC-gOwhs} z18M_LG{mF6g%NKeUJni+TMXU;J}7ldXi8lW7@wZE^|u``QpE>%*e53$NZHkDle0j0 zZK#0e0jRPTraoXMU~X!f;p7z@WR;Z*UBHpB<480RewI<{VUx?@Xfk`fO{UVKB5{-W zzS&!P{`M72El~=VESOU6YiV%0p5|x!v0PzM=-5FdS5aJ`|H>YWCY?DRz_gOw;Rn}% zV`vMBK93}m5%PF@q9dNVO-aG8BQb`yFn$*jm27ETsc90}k;;aeo5ATFFOH24!n;5X z4Q-4tmu7;qPt3O@dfK}v=G#x#Ep#3P>QBLJRYtoX{52EzBlkSKDKQp4Sg6s6OTLyVU$3{zVv|jR zC8|jWNY26w$g*Prwi>B5&`77-37MU?^*H;EC+AsaFZv|k*0WiEr>($ zD;i3%62g*DG!{&~FGYl}4QjDMn^fqdEn!8TKVZ5taCm2#8A8bnzSdw`|H{)F#^6D) z4H|p`p+G2Wd~|xAZXL!&Sa52O73f&wvVZLccHq5Y0FASD*o90^J?H`lQU@5}Hz^=y zjK*<`27OFPAX%pGg?oHmawwDP;f>_viyIHIS!Tmt@(hlqjBpbzgsrZyP*v^qRpU=+ zAG3UFfffRS?eHYL3rR>=*AH0N+vP4-y3fx=G&|JG9^qJa0&g+aRR+#jW@ddHNrC&% z>e=7`Fzw8zN+e=11PkNEshN8O`cvwkc4M!b$5d|bt4f9ZN~*BIHgUNfeza*<#}z<# zIYTHP{p3==TC>qR1#(g!G|VM^aM;ni)tTDmCF=kUiE2hFqt)o4y-kh43?gU`deejZV6hwC#C+?lwG{N0?Y`xbj%ryNSX z>(;@l0#89K1|QTr^ea}hz?QF9x$FtsbmnDGPb^c`Y#Ym|&$jIr4R^Y;mA&VX3ez(I zCwoX0XDk;*{F718e&T60gauZ$F-AjXlIj1(8gO%KGay2D)_nW%YCt*UM`bdT)Tjon zzW(7_bRYmtzP>R>>Wsq!w_Cn<1^sPmGvL<)RPJN9&V) zr|xXpWIuI{vAayQtr47YP3RK#Rzd7@9at^kSgtAMQ}&V)O1WxPMFqtW3c_M(p<2F% z;55Od&pR$Tk!9`K>~v3;Jwt@`Qo1X_p2{&SDJ^ybREtHG^n!iN%UY-^gWyKH%^61) zP_oIx9S5z!H;lXU6VwxTH{621`RC6--t0lSTqRT)9r;i=$+*`$sU&FFVP_9;O0^T{ z1DsbV$++XG6Ct;~Em>I;h0%5g17=x_KA*Y+HNX21PI>uCTA;GF@9@qS(&7Pk{TMmd zbD)4_I5WD%zs@oL1B4H&1WjE|v8TL6;o-MOY;Fg|%`5fr z=z(HNlSlEJ|1dn{xw#3AID+7fPP3ek);={oHvU4GyfU%FRty}lph_SViqs9;_sxd~ z*S7F#7q(s9>8YJPZAdg&><#3Zo(3*x1=m%SfYz4!Qe)`NFAjI@{O5aU&gVD*ww?ru z-T(F<0KSDj41>~l2_Du5SNP{?50RTb7fE>7%18=@D|K4!%CeG@m13i@a%JUL&w+B? z6W+4ffr5L;Weg_**nHu#1ZNWX7xmLfz@hdAim303KeRy9Z0QTaWNkOYbTp+7hx(X7 z_={8>`-R5#%AvYQ9&W%@z(4HW03JCqg4NRqLl@(_9^b;3;Vy>h4wKM}a#0_6z6_;vzC=g3Q_&{uo|@SeuQnU`WrYO8@cCw4 zVPTEA=3lxCUUs>hMqZTF5M&SE;2;1w-hNWSRk6%GJgYg4>6P;ifg`qX*gpS5cgW|3 zO^3Y4v7$DLhrnRSz2K;Mcx?@N-Mg@Fx@WrQspmRhdtqQaweLlZrdV0j#+`wSZLNP4 zSdCEp9ftXi7(yYq`WlU5kIG{-KJ0&Z8GW#a#?yyS zGJ}40{F*KC3bnI>E$iHd8zHG%gijhhY~e5CVVglxip)u+ulY42L7y9x3iA26`DGhV zQxZ^G+t<^8KD1r4C>5rbYOTrSa<2bJ5cQn=cct!7cett3N{JG^&%OYJsR{73h(f>r zRr}_^X5Yfy39U9?rjLuhCyEc*H-aed%CJ-d0bi_I8<{!IjEK+*^pS)5&W38?woToT z>I4O_EhX4J-D=(!Z){6C9MtCxPvl5fyn>v+1X}srdo54&21e+sO^i}1Gx$%;!`7jJ zhp6i3_E`0xke!Wb(K|T9nuu?*nH7S0%@#{lRbH{OTq%*b@?2k+QWe=gQ3fSt+41$# z%s(4m&ZeY~BIDreRb0#*>@Mh8J1b)yjA}8ET429Al?P$IvB(<)+rLCV^~J+sa8z_K zkEb$#Hv{o#2o2AJ@5QRoflIgQq9SFi=oT|2x?$QRrelE{{|6oQMlfgB_uEP0OXvzP z0%5M$Gx5wq%^k?fYfzBZOoc-Tf0vs5Kpy}x@TXKlI0|9ToPT&IFqaEQh46CfHrlhM z^wZ!_e;bK<_qfykjrF-jL_YB#QGr#tu}5Os&_V&DafC}x~p^v}IUKsc<$l5&Z|;aQ=P%grA1S5EmeI69Z!z`Tsw8<#7Wjuylf${kH@B zE8yU_RaAk^Jn{#78<1{mZw6nW>-C$Get0;P?D8Q5-f2ig1IPe<%@+ig4v$G;he*&! z_630Xz35x1gL`BOu^hBPN6?l!i#|fvkkS!@aiG~Km(c?I^i`+rIq(=3;HI=|LATh0 z(0N@(GZR}UrbUh5^*;{Je1GU9-4xDc1hfPNcDN&7f4-kzk z>T0YoU#Ej|trp7uA6?%9-c*^cyZ(Qztfc8mnx?t*LYsy(jWO1chBR%{Ht8)5Ax%Sw znHWMzOD&}rYQb7-r@N;QQ!7)EK?J#p$ma0ya5#*^a2UoJ@1qXeVLLt^9v^0gan$W) z)U7zW-Pk7ooc~`*FI*0~l0~u-&42m6_xry0{g&8hEP*ArjqP|U+D#>yo1Rm9Nk^dN zVj#HGSixzqY4W5@s>fk2JQEJ!9mRsjTEeS?=mGFyW4JMliX=~RTSjJ@lEc7%;IItJ zG)B2sg}2qfst)))kU~#2!-s>V2kM$wQHDqq z)gM?fC)m(A7-Y&$Y-+$B&4#h`dDcsDlpe2^1}Z|i`Pij z+{UNzLamCWWyr-;5ea4qD%Pf8(ZW($B!A%t-rX7W)a}yT(D%(xp2>lX+Mw_Kbqk1{ z&ZBd+D#J>6B-(E3^tZN_C5=6k{y6aWinI^c=5iK>JE($kEmLIyq2HezJNfEt7pUC1 zR|}bZt;T7>Ll$fDFc-jy)tO?B$y#+SVP!X4@Px>+>$iFG&y9sLjky6?UQ8PMsFu&gw@^=Nob5!=!mXEF}Pjd%xI z&?Vtnj2YdO7w^A~l+BgvD2%PiU*!|{Y_|N>QjaI!sLIZE<~wiPg$q8CUtfG|f#Ws% zZMZGUlwq&9h1{^K(&j3gh;RVz}F_1T6DY*TWrN<>k*WBa8%53)l4uu^X z2Q1(e6hE!RwhBC3y{)eSZ2-%f#>gd;HR!{vFsKH5{mao-bnON7*D^l{of;1N@Mn*M z*ftRRVJ8Sq9#b&6`T~=M!167gT5xWA|M)qWxy?K2{;?RoOX8CD=1~P?g>r3ICvX4o zix0V;su|9Nue|z6t~K&BXrL1o=R+vF`MMYayadDf31t8iMy>&aMvwXTGDk^+# zt+10U9vMaw=-K$ST%k|qTEI z`KrSUnnnj_I>&+@^ldmE@+9Dwyn}$^BT}hG1Fes^mUCqc%se8M7qE8S4_@Hdc2J?v zD@&^R3mc>fzWSC{CO}XY7Vk{}{PH_TaiNufBZ5C_}F$M}pCIKYTj6Udf2X zS^2XMg?)KK@sMDA&47~05N9jLXQ$2<80qgp1JqQDlp=ZE{ZG#RBiPj)V64+KCNj!; z95cR-S2xM7JE zlN4U55G*kdHy8*F*m)4W90+^b>C0jKhft*&j7PeK%LVXn&uE%l%y0I1!CTSO-CaA* z!N_aQNhOZVbw!(wy#ulvJjt&bnr3Ig&@)fuLzrvGR@k&Tk{Ql-!U4*F3}#43{!hY6 z&W00bM~BsMF>~g)!{FEe`_Y%v&CG>O)0?{Ypy-(^SAM!)%CM|RKXMA~eMl1o+u?KY zI;en+c9BRZRo!hJUu~Kb`#U31#(wm?1;^bc^k?B~cns|rGp`}Y#cRNWSEs4U%&b#X zR<5X9akDyvjHF-z+Y!)~j;iNlZ9-#T0`yAg^hiNLDX;ywZMF^Cfaf3R)$Z!*Zt%ok zdTkt6)eS=%tvJs95&9k+>8kNW(3vY>bHIcyyoIBvPtaF-PzZPZa0QI**q;xV8FOq= z*$g+{Xf50T-oyU)Q!W|+>K>OwQoRNJeW(h*fW1-g5u5i&|AUKD{ z!S>`3h{9Z(n9YzXR_;AD=L-yl2I#MU7d2B9OBwN6JBz)Of{!lisaEP0@AD#dWq=L2;8q8lrf5OW34HL@473&Y|I7gXAZ=V?rsOuRimR-31ii(JIFrW zvl7=8qf*)YH>i3@%8aJ=Gy?xy7S#?6}>;dotte_e8W75p=TUCp8_P7~kdnG-oD zAMi2SCwG~^JJ@87K6KyMwjPrAnXT@|R-qaPb@4EI*R(xkHi0+M8=xA7$0RV57;kiO zjIf!=DH&TGj%6>hRg{u);c<;p-P8zCVQm_?Z~eUiofI0&bvm!nyD*+9gt(B^R;01a z!XWC2T)Tp=ho;?r#bWZCA4L^emj>*a=5YeWbDPq9u~PmhnFpYPQlV}M6O9;E9neke zkt+-uv6)PJmHZ3Dcq&y9{SQnfA`QJde&Whec zAE5s%qMsU*Lb)}JE+u~`@xm&Mw?c1kBjl&b(D{!w1z-SJ24qZu&2tFN=&$lt_~{%d zQ*#hL54=!aBjO~vAot$rFEoVdGQb9S^j+b&|Zgm%ZLrwsb%LD+^ZLZ(!! zuNTjr*ek8*@jP=T?m@ddF=T*@AANtgE>H`V-QNc#ViAPir$+2o>OfnoL?n_m?ERHn zzquYxH}ql$nITi#eaXW%a_1l`dY#WdC@@y7#MmN;@mf3C5v!_T^hc(UCD7U$t#50K zmgMG|OwkI9MH0QK)_H@^E5Bhjmly^o2%DaFn9mJw_orb4D0NU#_6|BhIcXiI*6>A%0n2Rx!l!OA~ZcZW= zOB;H|xCsvKCz)gJhx5@U@Vh|^$JKNrTcoU}H4ejQ0PKP9dVlk7@P}%KkDpYD&;c~+wRKjktMBY`g2$^mBaL7ArFc^qv~fS! zWwi}$>DsC+uDt)T#_&*b3V5b>XTuy-j@5xD$J5g!I6cF0`&3Yo2SE)}XZucS9CsRW zYz%h@qsZdX{ZI$`u^$M#H!B5Vk+`g2V$Da4un^>`g6&1FC)lh50VT_nFPVJl%g$9OwjB5LF1M&|#;FGKRau|^~N7b3^ zZ(``#*iVZMqDX6wP#hKgo+7r;b+M=Pw1^ePxprd&Lo{J*QP zcQT-N@E&yGdGb*KDunG^jQY^^KkuzqsXU$l2*iSIo-m-pYaB&I_gG6ytFHpZU{ zWNiRuwSo87e7y$#d=2>78em@ITmxlmz)SbMeGjDWk=+9~Xn(GKN&B{z@xNj)+K7rv}^&81ILfx&&T8{gW6={DHe@UR~ImYt_?7&QdOY; zCJXgid`)(6loPY?8Ku0Ck$|_&UVj-fNrBefE`?L3fX^~_sLJP~PbS;pvBiVcH;F`S zmdY_OKAVVyeC>?t!Jn?k1>D?Q^hebpF%3`i1bo=rerrD8!>gr`{@RY;j}w6UJrMluxrk#!P<$USb5*DbYvD^ePoN0Le+!;#1mcm5`ui@;+@{^l91J1tGl3Zp}%X>T;Sl z&M86SEx3Bj2DzuzP;P=L_^T?e)?%+;xJa5Z2SJ(H7dVOf(23Cb8%tYLP|-@Wa` z$srvnaP`#KZ6Eu4?svmpul&ec!57Y5-wgso$7MOom5yo*!5bo;ikM86VxgNbO zyo~pyfih8zybXPjTIE_kP*3e2g@#Pv*lLA zs)3_27MgzXa@_F3Q%|5zTyPkDCmrfX7u~T}Uv;%d+)p>f$ye2HeA=6+!{AYAkQ&T* zZX1IQali;ZmO?Jnh`zStErl*|c;%x@bQXrCA5Xf=40^xdKoC6&;^^p{Iri=w*YO23 zorO+a{Zi&?zW>+z&W35})32R`D*OpBMrt@*EcinQ>2Uy$Rua^iYZ{rEy}l{h7pA}6 zIcZXVig!^NdR{n;{az==`MuN@YAe;~(%kE(l>M6r8&?lH9LlYIhMpc}mrke5&CcGq z(W1265=vR;AFXNZxWrtQrA5~Z&r1xRa*6HJ^KDX; zR=d(_Q(J91Xgn;F=?d&FJd!ZW?*=<#GwnNuyuj;hC!^l!Nc^WL;Ds-`LwH2&w&Nme zlg^TBgqQi2HoxT`-=MRw1@w(nHSjgDiLg5i+95?-l5f57#^7%UFJERYUJ)x2+{Ck3eFNP_=EvMO418Sg6-wSrnnb>K)0 zWBM&Ix2dySsqD-sF1A=Y!)-2C=N$luMDfn}O+tdD3JQK+(hX<$Ekr`6l*DaGEk13= ztl25kM^HOmlEX_-)N-1~ETlxKW|zQ!9jRB(@3Py~WlQK|@km{;sef&G2nU@QM4*4i z7E2QFc(~D^vo<)&J)4u0{|P8p1LKK_+Lkg+?<4RNi$7BT-`;&>_6&I%J7{vc+_pT$ z{&QbNY!_#y(SKQz+xK&jGZh#J-joj5V*mL(t~7MZ@4y$SVQ& z5k^kdZbAkuOiZCo;6UPfKcM~tlu2{0T>>63F+5`s13CCx8IvJqOw#>P_pul)824WZf`SLH-1s?M3Qc2|=7yh4@#foDqVl<`voAR2H zR+6{w%FOb(D&v*cDrrq6pqwD?yyk=&C)i*F!I-krX*4=3m9ZeJ>2KE%_2g1~F|>ZY zX+6dlCe!+*s3I+w#1TR|hn&t6lfP?~D%!SGWW%FP_2NZ*GMRi(P2j`-zi0-QvZj}m z$7nepJhunv&sVJ{a1t+vxtHPS#ujj~3kOkXgfxkz3V&swgd?Bq-p;BXnoA@=T91naT%D|? zBM-tF&<_PxFOFD5k`~jZuyz(T_ib!tDvys_v_Om3f&)k7Q>=$7!M@OsSHf26U&!8# z*Vo0&YwB9f-a0X@)73pVK3?apt~Q(d>iTXqt2cBL0=MC8l`KSpjDWOfDg~@EUA|54 zY8xMj-@wI5Md1QIqdGr9Br;jZf87}Erz3nA>h#f9L&0DudCpw`H3t3I7GMWm;okn= z{9C-)g*2$k_RdlCqL2BN1aIzyh@h4&4dAfkFb)895bX2K{c-cuU|$ccpKPDN?oXeq z@5y8wvyH%b@SuVzD9S4{;tvISYT+A$e(-F#I%GZ zJsNkK*4+ODj{e}Ed>(gOIMTW)?u|wK=GFH~Kp-+e)FL_Zar7J*3+{O`ax&8Ux?jw) zY^K4!D~mOv9iPL3K&DJ2uITd4p6TrF?qvG5|E&CxG@p#6+;|Sp;yF}e>sw9jq;^yL zsC6#WBmW}|*H$&y);|7|pRC=pd-q!BLl5oSw>HmgUOU+GU`s>8+I4N6ZCN@Ziv+^9!6zPHRWeM8BmO7^*Ei)*^XNDBzj5pqV!6KbnQ1c}rK5Qg* zU%oi)sfxdrk2&X+4cY~L1Bnbsl%RD3#fd2$WHd#2jsTvY+F&J^^4BRU+q0l_uq{WC zXy#VRL>4iZRc0+_vdVOmk!oYy*L6x7=?S7)Uw3=pmG!l;PW$@ICzjESQfFDYrN6?W zy;eW1WD4}wZIQRFBRvi1yI2Is-J_XMLOetjhqte(*8-}*n}{4?co{G-Y~eCknY?cA zFXn>vJGQsbA8zX@?ZA6f(q~IrVt$Y5#kitDA<`G9eDGbUV?^5A-P30M>BOnrCu6d% zZqh7GNA%L&zM*U=7UeAWjpH~&g06z_NxVRW92Yz{#^DXWB?iS^-sJmLGtJ?$>20eZ zRpK?Hy`KT&n;(z}#K>peT+}B1P64CT<0Kz6{o~^H;UULRPEK*}iu+eQ^iZ*J%a&+y z^oGR!(&{?N-vi!v8nB}^qy$$ak8i-!muj@OsBdArvgDylW+Mx+Y z&&+__RwRJ1ayR7BHv zl&!pDzUALM`r@T%^o?W**2>RHj!MlpHB3>J36ps#+ZG4Vd1!rj{ra$=-`^e%qbS>F zHHQMvWK>1Nm(0<)ci3ox=-r%TyY=PGe)`>Lq&<`<3+y^TOHwteBs)N7sDaF8Mem6- zAY?PjOk3%U#7F2K&4SOildCY=jH8pn-E0-rMp)V_>+0mql>Y9!B3cQOC(0$waVwa~oax z_*wre(|%};#bfcrXU&BWYV37Wle8x=8h_!{&N4gt6nc%@$LwR!(`q~Ec;Zy!e&!45 zCdcomsQ_#(5szTDHQ&+I}czB?Dyq`2;DU(aY4C6g9Q_w%s|5|j;u^?asDY%1NxNXCZ)rf4&Ds}7ja^j9YoVaD2OeS3pl@@Z z7sgS@9=f;fgU%<5p`gI5w8+7Bju0Hwr3}~;Zto1D0T_wIqEXZ>8rghT>#|1$s{*Dg zuGZFdBL=*PzGIUkmFFLT`|zp(n}=o!#aF?;vP_Xksx}WA-wRFTGOSP`|H*rJ$)Kn3 zL-O<_OAB)L=j+Uc2_?&B7)m$6sbuW^TE2JUZ-vjXR*Zat{QXg?T=|wVo!JZ{p`uVt z4P2eGHfP;BC~j-BL;G#*;yfpd7pJP0n!eOYy0A$WmA&G|CIa?s9prhlX~HOPLQD1Y zrjJB4L_vXPAq=(w-7XDNXe~78;EErI@UP9yI{<7k2gf-hXb0QAaciIFVY)Wv>Cqqe zID0xSaF+X%EtXE`{X06}?~+I+b)_3bAmD9**`2=R0Y;>02M5c?O*?w0-O3?-EJw<)dCl)Rb3XQII7Xax8nVcWKJ}WWz?Yn@8x$}* z`tyu7rdl#O$YkWBD`@7q*U=0Z{tB-~+ds9!cLf)4tik@&GIC5E79*eFjcrTur3${TxRG<6?efU}OE$0~U(8HSCX02OqtC~8is!LS;LF)x) zXlvsCpcuI=JXQA30vy>GC>d2ls?ue5l&n~xtF&5Sfx_W1!5c|q<=KmKx0LlIxD8$G zW`WQAa~ZUOx}91UmV;jc6e>^t2|bU#NY2)Rs=9vKw5c`_Mms@e#Jj#3{T?k zfbUQ5#lQ7};CqUrOxVJ!T^Ty{io2=u!VER(5R~fmnaXgGHJ4gz6?~D?E>9ux6z_k5 z*#-3!%{LGPEPU~(5-KhF6KJ9;26pYA0im~hcZ3Jt-#xOd8Zqc~_J~!!S_kw`KAQ&% zw960ECz5|Z02y6m2HI-{XPu%8rRfzb^0k?& zJ6KhDd42xU-g@({EIX}}xM8vuo98X$OO^9khK2GVN!Fy+gO0D^@{K9rXl)ey41E)K zR`mc0X^yAXL-0L!Bv++_DCTs;5!lskv;}8HU9by$KX(Fjfr7qV>9v&L=eu69t3Nm3K5%OeD~k& z;8ge9-)<&%ae&l5^k?CIR!`ZfTEY=3uhCU045GWSg;!)R7>Y-~-!}7YFUGZs?W5eBOlUvir)lfDtm~3yN@B0CSzYhW>sPZO{Ok_cNgcpS#3Plt!OQ!DL zJJBB_XYxFC_+kF7#Ew)uJ*?Ptc%ky`u! zdRsUtxJKnsg_M@>5=8j6c2}OGk`okUXLFoUlb>(Y6%`pvmoK-fjn<`IqHi2Sg0qy0 z8`?x$`I-;W1S}aRJqGg&Q33%}{7{v!Cee!x?NRq^g}W=}zW}8Vc;IYf;t_gF;)$il zvzuku6&WnM&GzvPB`{%q`DLI>9i6Yt#pALJ zyef@jA306?rw7iwIf7%O42%IT2#>O5JO{f1N6#c5=H|74t6e(N9fHU`d2(0filU;t zJb`0nlil3pbP7NTS7+rK8v}yC&1-9^8CvWCMSlANojsM(v@TIoq&jU|7g__(H@saC zk<2&1O%ENjFm$E#Fv#Z)D;T-%qYd3$cU^mjyk~iNh^_6 zNXX)PrEx&=8(*9>?^6~hzC}ksCpe7W0yfk|&Nt1Uq+U#nMx>b{hSj`#++`nqt>^OP zq0h-(7NqE1_ByM@BVORjEH^LD%)V2j5t@bb<5`}%mqdz-cte|Sc@F;7P>^cuy_gZ! z5;T!c9x1T-Vy||zjKtc#=qurQ!AI$JMEJ9K9Vw-p*<|809@$2InCZE&S(!yor zHRi2eU74HBRW9utmVF~MUlO75{U=jD*LFjwrd-;lU3U|^eV)gia&LGk=A@r{H-rvw z@hBKiKJMMTF(Bv(hr*%cIM}r@DjD_1!l6;RuIdGqX>)a{w2a|1Wd{Oyfm}cyaA6y` zgsk8a@L-3f^Y(CGr5Y)H#l^zOtAxlN?baH&h*u`e2!kFJ3iNh`!D-MhubF_%i+nG2gJNV3Ablx0)vs}kg=udtnyQHErYhu8)9grq7n2CY@#8}MoT=xJx(@X$vkl3*ZdoZ_IZY1ru#G=*Q2#@?y{$J9hv?&CRSV z1oht7@y5PTZ??9) zms()%fpjD`Pxzzx+!!l_sWj=*yAQBBa{mFaG;^+A$f%cJjMvhm4gK8>iK%*EIEq1w z+yWW+Mcw_K?qr6Vo(}uT%{-K1*6;pWXz6k`<<&@~If-p6<6=h6;Nb0jl7*fT!`b6d zyo=9Bw= znZd^Us*IlQm_HKpb@sZ^7h)4ZkfBL zMq6}O-d$dAleXy=?31#?^9V>z@)>kWYMq0PNJ_g_F<()d@4zlpV3*F{(?OwRY3ogR z2;dKBVdv*tS2)j(4aa_QsB>2o%o_r&9#>x}$V5d(7#*e82hHfFFQ zU6{O{oV^0R3RfW0^TSAEf#*RqTZImbEf_aWG#k-_=yk!a^@mS&ot?e>dzeE^?+IYV zz-Z?sjuvyu61PuhEP~Q9D+7b5pOk*C?x+caJa7j6Kf=BRx~c0*Tj$)Xt84k{E!mb| zvMgjp2t^24l3#k*mW3<}p(u(*C_)Hh`~Zw`9M^IEGhQyk?@&TW0t7-Rp$zr!VKEGg zQbH2KY9554OoqwNV)4_IMbk8;X+lCMlgSwANr^mJz(^W@6eo+b>7*Eu_6o2Pm6R89tu0#Sc#=Ccs389|l@_C@Pvr zn#%n#S@pMZTwJgjUPgh_Z@kuMj=l8@;Cj?RB|_kGK#@5MJq+?m zvVBAA>3af>hmI{6hEA08xLX%l_L!Jz%M%lIu+7raQdcK71eOGRKCvdaFxb{6t}I!$ z%prFCK!f3V)VT*%kHD&CjBQRSV>+~)Q|X)=i_8ky7zKn@qE_XWqFLg1*xRZmrNg`J=n1U19%(k zKm*x-_^yG@v42p3Qc&l%-b2THT=}X4Be9pzrmHYzrzkp%Ya;RR81-XDm^30l|0)=v z&^f^n6=*Ye#PH33YFB6Eps5|y(DChi45$FNN4K?4bmZ1~lBlIm#e90tuHvlW^l=6` zOWkVg)9!vz-bO;ig&R}Jr0DTAYeZceH;R;01?6@(i<;-};5iKFnGpl@+S+7{VP?~I z>MC6>0mH~Kvj|-(lg@BkFliyvh#BgtuxwU~5ob!-n3y?EWw}`c7PihWXQ>tp{{pkJ zk11H{1$zyXFZ{37TYB-%-O2uO=!NABCx}K+G!z5 zp)I&+*V~c*S{%JMIjqb45~ACt1Q3=`@n=L(5b~Y&xEMZ#>2y=smtSsZHlO!3y8IU` zaC? z&!d~@j+eOGq(T28m4nS&ubb=Q^zI44!ptsIg3i~L{Tv#gF&r1(AB}nd;fS(aj{v$= zAExiE1W7;*F%K~}a55CykX%Qf(?RqJ?*ey%juND&Wo2cL)}7go6SdOX*>M$Fj4shirgL#KMaaK#KbzIl z&U=L3t@PoLdpT1bqd$QfMIvE}+EW6Hln`8|c4?rx0D^y`c2~giLP&LnQ4g$Vm{x`5 zklIPzZ%-sB>g93>OACPy?UlgaFuqHl8YiAtgbQWpF%m9gN2}Ge0Qt z?dW8Pc7=6f5J+uS;(WeXuoLa==wE3!;Gz}FK}|=m5~rUC>674F^f_-K_c*75<6!%W zg*Yi@)oj&|RXtI?yed%LTIH>FRy9^Htg0%ntuCKIA`g8nHglQJJeT09ohu_^TL{bp zqd84oHUYr_I-8bpklE9Py~UVkFn=H?uqIx-uk^2DV8tGZpcHaTA%B0qsE|P%nb+|e z!wt&RZhJ&wrk`-@VESlNd%}mEN%Wv}dRON^f(A%ky6O4gW#1m~zVp(5L{D{>Xy3UM z$>Y+Pq)c~Yn;MGaZSZn*Ku+Z62_)kgz6QP**(4|U`C`dvMiA`r;@2|D=gM`ms87J7 z0&padjP99cfPR;b_gii+w{3xn_L1(j!|3C+L;JfAf{Hpz^hg)Q!}t)C_GUZq+~gf6 zEc7Y7h+|>UUcL$%H7b=+uMmq3M#BT-zj@t=nDonn8QvaKVTE%hOl7<5WP1bFf-5I} zN?T94L30JYQXhd8pom-8xlRoNQOEStQ5<#S|}o4T2Y*m!bmAAW=ew1WLA$ZR}V z0It{*JgUrS)*@?J|FPhB1*Xy~cW(CnOjA;+pks^f3SIRR4Z(@j-n&i9|Le;^`w9G6 z5{v8@4Q@IBhUvgyj}qdNN(e1wmekTi6t%Ay$K@Kl*@@l6WhYLDQ&MIon>2P|!poipqNIduB>$$CP|c1Y$)=67`a#;z*eb7Pb_xrap7O(75jrNDuahmz zGQN2P&PV&oOd#To6{g=_+zLKEw`U@;j zLPY@-OAVXc3l$BxU{VA{dI($nT+g$UP;~!ubSh9Y4w8E}clGQ!0y@#jY^yT{08nvUkyAlr)+NakfGW~jKl>81|_ zGt(m^)eo#$L2k`HG5f(lz{1DH2jmdarUV1cU}NTEhL5%;2F}EzOjPZn*#pp+^{)z7kaZ(^G==nPzs`}YQa2p#< zuMDqQ9l_Rx<4jRJeP&rt`?XaEPOaU~eX|Hx2vr^FQ<3)WAQp)K_hdXQC4>R)k;H}~ zJdc!WI;UKt8eMiW$49(gLQDI$C3GySatquRW}cDL-_oLeR6Ezka+-rVBMKBu0cZ}% zhXr)ARsLL~jO-$@(+yZn>s)up4mpT| zl}AWzwPP8*gagG-JTV}A{PCKBngr9l)9 z3i8EaIxtC{e)~5C)UPliV;!v2$s&HLG|9_{r!!~Kn2wGfOERBj|J_e^gdqwKu^zUh zF9TzlKZhbB#ZqohoPID5lH&4#slj2bp1z)E(3d<9%X+oZ(RG;9!$dc$HOc13Ta&J0 zd(v9GB>8ubKc2)2_yrP45~zxcsU-D718U}d<+R+nTu(07IY*DfFs+3DD=wYgR1|&pJ%);02baxcLP87!-vzuy{)`ggERkg60$Z>W={!GrM*!%`ji)-<9iG1$Bl0J zQlZBgs`f-eAx|-(CIDFIS@=Uu{pL&}&GLcgwR_Bs4^U(sRF)}9Zw_6qF*UQASz$ex zBcq>9U01;obT*ibg+cW8Tci=(1<{Bc2SOgtkqU(GM8i)myYuB(U~@K$_Uc`K0O+y) z34x*vX7g&`xnGE6<%GwAZi?08ff})$fY<=5K>`C>Wpx|={Q4q-09=JwgtEdW_wmsH zH$ce0q5Z?@DPRBUC`9N}^s!NiutqtSmtUY5&GZC%dqVJ79DE=r^719hXQo~~tuYBV z*~EE*5pF6#TlhH34=tnpI+M+gbNU%}Xiw*pZK}uoG<@yE#Cq}K#hpvt?oO-Uzo>K3 zgKlb0rymy8(#w%MFSFBAwQSx}Ay*LdGM7?2H;X-ZglwKjJl9@}sfx_?M)Yr4`?k$J zNO{Z^I7{>xzsti8SHMzwqXS{W2l0F;77h=$=No-CW0glNBGCuMXq_nvjud`tkeXv4 z9dQZ-O(9g)T}So7vdpKrhH*t@5LYa)D71s3RIv(DdrKfL)j~3Ehny`W5q2+7m(XrQ zS=nIZLxD^mE*F*Fy*gsi!njw+FO7rM+sVus^i`woEUeCl*l~(7rqGR8Jwj0D2>$_Ih--NoS@VukHul7><-2zeO(JvjKq5`b@^NMvHZ|vQu zQK6U7&Y(4JI+*;#v+hSVaBOMUnih22RR~LTm7B3M^u^evgiuyqE3J-LZ3Rm(>g6I_ zO5=G~4GDT^|KHQnr+|FEqCDKoph1Wxq-JZx*Eqq&o}-i-dX~R`Ips2!n`HYa&$Tym z+D)yH%qRKgQ|Z6us?S)dMQ?Dd&$Upz05Mn5kxk17iEzA5vd{t?>SY(+PC z-8A-DL3{sEMvpo8*y4B!cb8a8j7D7MEA}-v$)rzU~K^wz;!A>wl(e&V`Bx zniMM@P@yoDom}5y$?OPGi*;@nW0t>_1y(ayJ=?x!4pyHT)5#<)4go&XW3;dW0 z0E_jq3cQNN^oQ7aVba0t#Y9VzW1!klupM?(we3C;%2 zkh|DqFhpI}W@kVx#!E|AHx;_AAuGIN1y)89A(Hx-@9rwTz~^>uaze4Q!nxS_f)lT3 zTHFM!&Zfe`CZ`qW#l1CAz+>k{&4?;juIx7$Rz4+>)@C`?+2e<;Z_SPjnXg>WvKMDE z@IP4LtCmgI+8-E!@NkTg-IB({{t+544@O>9!V-t3z5$%_cBg_{B++pE< zwrcZeY}e%=)2>%&jYcSzBEJ>2Q`9$M1WF@l}lpBL>>2NXx zN4+VE8t21|1}Deq3t>SY0!tsLN9RF(dMe=qcUtM#FwuyF{y2SjIWzC#S~f$0%gSMq zxYkn@Qd=N{s|!mpZk>sHnq^I-?+FBbj?9&YP0N?~o4RVDyA@5|x&=bFZ=)00?{EhV zuN{5X4k4tXt^w=ichY;;yWsDa56~`At_o+wuFQ+kAWn%K+=H=o%!8WXlyL(cBm&MZ zbe_0CN7zOtvX+XpYPE=81dH_gMWRIyg0bwp+e#k2Ak30z;WS*3vlDOUa{tmz@--Dy6+w6_78aM`^|p5n;t}MN;NQH*BCF&ft)7vChs!g<>+Y7sdfupkJwsmp^#X~=Lf`r z`3u5E|Jh7kuF1-rni#W00H2;3#nsM%i9`-3&dGb)=qt}gMy#DQfoovv@Nmj^`t?^b zU47?Uf}rETwi2k473s|Igs*!99Rxv$r}U@|4WhqfKDL55*qVnOslZe+lH`NoO-XS{ zfxjNz(&)UVxH%{*RU&&NbF9<{RU6RZc$AF5rp9}zEs^1&%|~CnP+fip4At-nlAmAR z;DW+8(I+3N%-xY&7mkHTiO}*zCOt_KN}p(%dx(J9*~wL0`&_yVv#o4)h{@-f9hWsb8h|s~a0#YDx7QcrP+h6(8uorV2hLAj1ffuVG!~qpu zTUg?tJ+gy$mbQ8Yza;%#|M)~c6|ZB7sYI(8r9{4=8he@yU;pZ{F&)7}5D`=9me*gSEAr`FK-;B4DlUgwzX$ozRV9;V-Uwvk8th1c^CmSS2s+10 zaIr+YY&DxGI47-L_=vJts>0#a2Ay6O@{4$U@2}0ZPt{eek?F;J?K4^#pG(5cd4L=? zS(?g7GQWTvZ4%(}f}jdVLNZT}5!&LHo#au28S=&@0w@=rebTNUuo*8726XoHWIi}4 zqAi9`27`3)#g`N`@gKU)c%<)6s0;%poEWHuf*9*==UV=W%`U*zr0IlU0Gas$PD+K-gU z7FB^$y;ksDW0yeC)tLE=0&=+WX1%B7NpINTYkVQI{)*p^Jq11YZ-Q;RkIL}^ouarJ zd)?A0rgv&S9&Y;*+T%|Df@ZE1Ps79i>crj?2-Y;FEnNci%mfd*ELR#!ZY?Pn@GXmX zU>XOBj)4dP_~4p{l#`-zLzwFCH1(rvdym>2{8%d`DINkQu=ShYI_WbbfUfgS5_Wbr zhy3+ssI^+>43K(oyuwVwMQTV0pt(zlYCaIuQVgm&pz5dX-PNIKAI{)_EujZ_=7nv4gJX$&}xh`g?= zdLM{E)W@y&g`=MP#Iga}uW}EtLr;Y;{qAEpT%a1Mt=?WlJ8)IOFcwA|(H~ygbE4L= zAAAj7nmWlw*HGk-;eTmkn0$A>H}m4$qcln~GoMN(ln{A~CF(rB1m;QRj-|=g{vOg= z4el^z`X-`G(3qwy)$3X&=p(&J3062AG z!?+SJ(-=06e|=@sFK>T~4qLcKnZRSJmkB_UZmxFeeJyRSK=AJ?fA`JTputBeg|hgK zcfXn%0{LH{8(%xzr;b6ac9pQSiYPLIBCBEe03-)x`AX`#VP=1`s+ z6Ek3YW+Xk&(#K}7-11KvO)9z<^n zUJzt{Bgx#bu7*B1!DvG68MIy>ab{f^K|)+5F3EfjeTg2{$mE3u!OR)K7Ak#rWirx* z2{R+;BZuWY?Wqo-6TC4x>SgL(jm$K4m(j{wVl?r}7HYLTA*R5L#tL>u?88C=$+XV< zp)n^jV;ys!Z$>GTojb)gh0k73`jis(I-m@S4#;qc7Q!a)hHii696aiY#+ouw!E=Jl zu(Pwf0gh*G+a15%(X;Cceq&6)Ei$PF$2VMocSZ%UshORaRF=&AnxUNTVUjq==O5ne z1!owTupaLCVE4L{$M>Z2_?Un%)xGp$>;j`C=s-t!o9RzK%lQs42%yd56KOaLIZKv^ zYTTH+vQpI0VsCM|L{wpYp~qts8UJPiXLfZKo3**A&e{5(ZAM@*-Ia&U=FB$)w&Bs7 zz)`}?ZO-QONq7mZYv~aPdcClDtan^asw$M;dT@RSe1Ph}2Wd{^ms?_k-(D8f%b< zz(_MN(i@tBy1REl_|6@4oc#{lSN&vT$B#HT=OgqUPsx2RH!;D>L_syx)eF=D!2)eX z#R57IYJ>|Ke@H2i^ljo|mF0{k8%I|4w}vPuMTtKpj1)^A@V)Z=J7wVmR=CxU`lD6@l( zjx$ry=MqcZ=}$d_Podx0wyHe%UjrzL-T^MKp9!lY6cr7oO~-U*aiT?(m%oul8XkXl zD#-0l(Y|g6y2Ojnr&tqbHKVD1eED*!gf^$jN=il+lNd&|tXPqt5Jo zkSb{=1jsC-rLa9*i=>tn&|VC-naWG z$``!U^>32KY?njNzQ|I5VZQSvQ>RBruOglOxjHQx6@n*Fl)y6~>Q; zlc~`C3a}WeYtbKksaOc;gw)RpaVS>NI05{h$jUriipK)zWRYQWTd^#x3&0|zF=f8B zd}gc|JvIsYVQ+IEAH(=ez9z9ioB4m&fe60F@`qkMkSc=te5oqjz6J8bk<2~xg(Dw%Uq|9S(8jqmNpxiafDm4ohnu zgSU`fl^hX)^*Ah6&^{!NduRquRuY$;-?hKK0ScUh_`8Fqxc|Not2S+q18wtaNTB1r zChK|-6g*!HSTHMfae`yCc+_`^n{f4i`zWfCI0sLstBM^)UQ4?zZ z?5j_$8rs#IV;pr^Pc74^TrO~+cbV#K6Vv!I?mP2F@FIuHNz!O>8~5X!rh@6BW_K&| zorx9EWtASY+39a?b{5vvwYKVnJg07UtofX3`I4NKaOSPWyaul`YTbE-@fkl(M{q1> zl=jdLs8)da1FTtcD9{nq#t^d+1xMq96xH2}5MkDQrZf!LwUi$J zX63j*Fce$gsLLGUqdP(PBBo7$jP?Uz$8J8v#YXkW2`9EY{PCIO#8F^}uY=D*?F@*i z%p*x$Xlim%11WSRK`+*KBZcLK5ub=hM8GH1Q|m!(Xps8*(aiqL739-myDnVlym&D^ zxE=8P1i`~82F)k5_oV+@#wbEJnV6mF@ zS@Ug#UBoKDI4IVqb66L}&5BDO(9F&RvkKe=J`ANgJ;(@O3MIp_^tDt8p(fC+Ew!gX z|BWsEXOqBmW0TdN(V-5FKIR7MvCN53I2eSJNaySfE(gCC>{CId5+A2VMJPd=kykt;+LZPw+?Hq6Wx^|>?c z$CysSc(%7qR(Pt-y^3uy47Z_E$@cancqyU!o^$HafzWrM?P9XPU^;YS=>c%;&CVT> zug^!!&iX#|`tHqAh|A3$thXZ>TZguN0(P|3pp}VgavWWl%IOgrf;k{k+usZv#Gl5uT^p zvK8VYqP;)%(L1pQ3nV0@E1#lBvIh#sMG(E8(nYTTFS`1NI=iDSOy2$`&y1xSyickexU z3iN_kz?Xt&1%k}0s23eMWRCw8n9vn;*A6d$AovJ5Xz#n@dm-;2cZ~KzWt>Vzn^aUv zsqs?47pY69-&Ag2WZ@d~_NGl^`t8xXfqi>eVdAx+#7O#M@E(YvvlFciu?Y674dF}Z zBIpCxBRZr-XZ}B5Ujx@T0c$tpZnc6z7 zb-KL0kZj-HCkX^=FKclKCm*o){_p?(fBt^x9$GM;5&=th^yn7a;`#ZQcklG%c3=e& z=%Yp3SsF@X#ppG3uB*&{92895t%4iz+ixQw$DjJK;3EGuY@d~r|5nahAk=7-i>L+4 z6ulVdk%=P@l3Ap9No;FP2`HuFsrTYiz%>wY;e-sJ4!fvp_H|cJ09AeO^AErhFzV7F z&!>~I&&n-eHyFAz;zPRXW*Ac3u~B6QcA^hF9bfK?z0JrP_=YhOuR|x01noi-XsWg* zc>dgt->j;ag1RWkMS1Ap(&8vx3#TW~gFF^21JwWBjr#HYJJFQjO@1e@5TAZc9FM0) zouy#4i|W%wB1^qxCT0--iuX8k`!6H4%q(6&njqFL0g%Mli&LJYW{_+FX&i8ZqMOH0 z_MGeKlOf+wnXwC;3>#=64NU|4HuUtaKZvgDz&)@Ph~8K#H%NtTURdOH2-OVr)E{33 z?QK?s-uX{-)Ct}wKlZLYa2TAKI_ml8AP|CnQV}-4N|S-~=*-E`JKM0p-P7ki2iB32 zcZz}IQjy_Q4vXih3r(Ica$W&4bnjX{|2L=yjeszCgLv5ybPvx;6uT;9mDwld*k{wu z|36Y7C8@@h3G*m<-jyWDxM+^G6jCW98(3P4x!QcP*clPvVOeN`#|9YrxG_5U8TIm- z4SVi`Vz9Tp9BoDqt|6_a349k+p_`|?0R7oReg5`P%=E$;pogCUXZVLw|F}pX;X}Gy zjJ8Pd|NQA+JoVn6T)q1H1_$2tfCjDejIlqUU^x_B1UmFLV(+0Ff`g2j^TNuk3Vlvi zJ7~>X*wBzwATN~zEUL!G)$1g@?u7PDW)b26(*!`UW^W} z?QCr<9I)E1pI> zw9VjkQ1s%)QO()YYhydkp=Yfg$iiXI=~p~^sP~FDaoQTiwQU@gmkL#tK!ihL@A0YPPi47SDfTDP35oZvSQbTkRjVQ#_T$*4}Qj zsCaynLM{;rciZ2xe_^NW1lMFGw{enqd@D&rLzV1~$S_ynZ!>3Jml`XRXy6GzMR`0a zqa?|L8I2s@Nn9X0(Zob&;up$|@g62VY0Mc>mhkrlEcTFlDZCJ|I(<1KR$-dYfA8d_ zEJK;z2Y&0ZyWW2nGzRL>&Hejs7#q`{Pe&W_K0JnYfMKc(ZGopxmp44aK$(($n;n%% zM%n2P@(V5QjGX9^uR#-3Gb1b;JAp>fojn@IpMU$?D<-A3tEFWPSQrHViT3OCKoY^) z{wkbAr-AD*vZ5=%&trIdu&p`5Xn5IJ-xI&Ci`Pe@Bg*dT^=)gbBW=X5Ybz@(tge=~ z$sfV7oRv#;DV1Pj;p9%8lsh^O@0y4T%=ChhtN_=RIoqdNnwf>)Tav)ACy`B#>5Pal z_nRu-`6M86Oda3%var@0sDr3^<-|3tmUcOckQn^~4?nbE2{;N->`KS+6Vc2q%~bD1 z&!{TLsMIX8X#vwp`ZRa4QzO_lhJpVL(heL4XAg!%kS}D!Y*tPsn}%LQ_nzI^ywvry z@_64+Z~uBqw#gOP;E8MpDz1c2j9$NZi}v_O&LeYg8HBs9?AQgp?AO;qas{1Ms9)pL zL(!(%3{&r5xyNlD95iRN!ejH(3Y^>0(`bsJX$ESfLI}XJJ!1#k|JTSw&C%U;&yl+9 zljt?U7RJeAv7U4AmhrwrkU*X?x^-eB)VZ*UDpyGIiX}Q-o4hU6Mw=U}%8YX~aL{9= znR7v)lHJj%5i5$QhvL-3V@7iTlIQ2xQ#B`nD!45R(z)vVvEz+Dg0F8m2ZOOI zV=#PYTkynrxaZ0>uauQA1;>72fP2PQhk8eY>VEo}IGs@4$USuv$@oQ1GR4Rum zdD3f4Nk1f*5Rz!CB;f$YxAszob%QLsn~h5GWth6p&zDGgBT+UO?(`JGwyEal57HK`Vbi2u~QA9x=@~p!o2#UTh zrA49T>Ov@O@`B1Zw6wn&O?I?OVFAg}Ny^MtIb?@X=9wiHVMlGcQ0}_|yzgEbhn_)B3^(^fJ^KSmUUWys;!k}u``C=>gVV_9a=Y9#&x^&~?urI|UgN9Ocyt7h zv>$!IOz{u%3V24InM8Eee5z8br3_{>m6eg9nqK(TKOUZ|GC0-O;{=`#A1exVqSt+-z7Ey$ z-yD-n?HSjVi-M$UAkhMQ*a==}j@<(YL9BJc=&oR#(Q{Zx*k&$f z(~KHTTHcZ+X&HD=1Mo!Jqc!d`o?qfWCl-k6n`ZK(Cjf_rOmd8r89`5Q^-@^SC_Sox zFheWL(ZH`fk+3)Vndf`(taBsjNs3;@vU~ImT@c(~N0rk$d@@a3$>s}1(mc=L81{Z{ zo|ANWWA9nR-qy_KaHI+5pE+PN0NNG1K`_X82eJRZ3!nJ~Ty_S_qkyh1D&p9@^YZ9L zW^)!74tQLhRZ$9)%p(aFE>!;bO-LvbsK0^5l9M@5rG>!V-L%ezPDx&rpnq=*Hla_^ z3E_5@ZLkBQ)ki>s(Fy7>n4(XR-ZIJjXHhjf#zwtC|4#wwTa6bg%aeol_14lkb8l9rl1nK>W19X2Om zoyp-_7o~9i6*1s3_}84$ybJ%!6Osz^HVz_&Q4b6)tT?``kB&~Z4F-*9#N840@=tpM z0dI7z4*j;<0l)Ny$i@nLVoG;6-g(s3=+D3jC~EhipS7)(dL0TXeW4B5p!nqB#3sa- z0Cj>qia75$Bjkb4cgKZx6D|NU@O)-g}=38w34+xUsi6hvVo*E_=XSWv%J3g40e^4_+r{qXWGs zIK!BDGTuB6cM9tuO_@QbD=Vn1EX!3Y%XlQuI2ZeXJ82XGK>{n0iyy{ek-7CxuKZkm zOD2H2{FC%_4RAtzOJ(dT`ZOB5t_{L%l+o{w4LN-g8{F=V>U}<{z!OeDxkN`H$ymT4 zYHM^GV=~f8VHV=;)B1rByoz*RIZZn@#n&8*20FqmwHvv-Axm|2Tcj1dYez`pAxnfq8Imdqx< zlC3y@Tipba%M-B0cn!_nPB7{VlXQ&Vi-sLUt~Y~EqrA=lebmt}hIG60TJ5&RC*@0+ zsmVBnC3Q6^+i)^Yiu{o0@RN@SIq%yg1n7#g#c|@Nj;vK{L|(T{C=Br3Qz36C&#uoz@4vH?u$(tjX1BeQmo+fwPNk#tYKBjtjMcookYCybJ)sesSB_Mmo^{oqk2A5x6Ev2DA$QD&R(SWLvZgq$+a{0sdsRvT@c^&v_ zy%U~raaw6N7V!J=o~kXBGsvbsD6(MyZ31VJw)fBcuWL)WAC9M9lXIo z@;edJ(&cG%ctbvL&xJC;4bD#dn7PjsB)AmtNvz7uEUY&e3Tqo13)2=Z%+D|66()D{ zqY^#`G5b-hvy>iULnWANU~uObF{I$@uV&M*SfArHP=_L25{dHw`1k5|NDGUD+sV);~I zO+Hn%crjH{Q&W*Hq$=i`kMZK4IQHrz+^Tqt8azH82ffJ`lsw{;Ci@)lz&oH0OvEa@ z{T-|5e}uZbLujjG1M#;np(Znh>|i|zH=twFyTVRt=QAD->pvGiKS=#GnhW0>huQIF z1m6Y}aIA+B4=6+TuPp`MfisszucAqo^(;g40v>-=ZP*tAw_GR(e1J!6MrQ?AnO0r~ zuZm-wF1ISn3=Mg8)q1@_tyG%LhQho&1J97Ez>|igeAZt`=y8r^9B@1qDQ7tpJVxGC zGt7k4sZ%0KoRJ5%!Ms3g>?3p+eH^=Ib-+>dptl|TTBqLsV(e#ap} zI??Hfr@q2bx5^J94l&vXdZ;RYq^n~%?(=;p$m73Sex0Vsd(PIW*)wnpvvj(wE2AJ{ri+}qocps*j9dQ?P zYIs|bCfDy33RxR}J3fVLKAOC)qj?h?hEJ_`xdJMMxvLG_uM=EL6Dh8bX)X3k4L0sG zYTvJGBG{gu`}groThKAVWyXUw3$c+`khnutc{waLnPAh?Ps8lY%o2FdsN~tCSh|h42M``ML9T9kJ7$RVy2y3-+Ub zyo=sNwbk$GHUKJY<`~0>S33wy;No)F!h7%7jS$v;JG@a3(o84HA3tot)+-R_Evif{TC9O6`J zcW{=WFp*Y?hh_!e)*~$VmII7T>6S0mS+4`NF?CT}HjuX9vDzs}rm=r~!(9#Mv@$T>cRJp4+crNLkS6fZy9D zq$!Gq6rYwz8MK9aF0^a=z|Q`dthqPhqB7Cs0}VaQ**5O!+s=r`=I{kww9cWNj?y%V z!JbDq1+9!DVYffcdxlhBlr&UT@mKhK{C2;ezsPFkOCT&NQt?&aN?;t1@)>3m<^NOF zk~)c1@J%619*MI^bPF=^U!(j!?}#hwq@2#IVRgmelp`Ra4Z1g_75)m1R7=OCgoqXE z^I!S6!vOs^zH9;ln9H&u*SI@?9c};C_UzmA4fewmjf_k@Pd6N3TYb|5LwKP*ZV@AL z()*`ZtoZ)MHT3uFK9=>nqPJT58g=${5hoRPDx)7#`e=W^1N^QKe%6BywFX(JN0s1r zq~`E6x-B@yf0OqlJ{+p>JQ5bOW@}-VVq<5gqFkU8K&V(EtB{Gsia>wADMzuiv9Vdv zJe%NrYz-NQ!c>x(053EpQ4Ec#ctJD8;hIc>Iu8t)#Y`r-0CKMsWSHg{8(cz|%HorD z!<1Dho(%~b!>>Wpd7uW~3(;2bKivU&Kr}VA z!9OzM=eyllWuPnQ1M~phx>?}li@2h`PlH|7@`8ZR7of&GdC@DBk(^-1(I-mZ=U10E2$xaIDl1hz;jl_8kyx!NZ+Ew9fm~jvs(Vz&rZ$Aku%2^TBUzdD zNMEiX-uRTL4o#|%6r@RP30Ef3?Z}IX&tsU?CdF|$Px%rP{@aiR)4S1|t)T`F5dY8& zvMV>Cv4Qd$*C(BOGNDR0FHMq0gY~)3c7I<$ZK~TT2I`jJDjVuSz_wD4{`HOC7-|K5 zfR;1jLrR#L3mKN7K-rYH!#UQtNer+NA*Ancro`Z#WBb5HtWnY^aH^?K43@s}bL+x| zCxEV2z%b&BN@fjT(O)wWPs6Al$&Voqv*Wg6~@h5&0EXsCfMKRE{jFB zCLEUOTUt6hWs8?N9I}N)9*;~IiPX#LzrjO4cacb@u6T|@DM{i@%@EWW67`tLie2Sn z6cY#EoI-AIWM)8Y60GawTaSf3&$~c@O~Au0Pl!5CI5>k2bm0#8 z#F$1iB2fAU0_-aS_(T`lOWyVGp0v90j{Z%dctD!zS9(jQz~p^Hs) zg{&4gZHsQAejJsd!yrV^G93>^f{XlnoZer>8{`f1cJg-fdaZ_Mw{Gg%>@bq_@#YmS z*JevFxVh2q-wb`e%>~(_QW2lOdDr;(=Apj6&EJ3SId^2U`*D80;ZaofnU0tWuBL*OlTQ)aFp^*5VhD3=LoU;x z;_8qef8S9x4_y22*xhX&_ZW04`7g?3a-mQOUfpJE^zN$u@iu>OD=Gr7fnocQ2d4ih z9ilB%I@crLYz1e~0W@&{nZU4#ORJD#sXbf^y(hwga?lTsf$dZO<*EfuHYc(Ce6{F8 zHMUUUde8-0JQcuiAY_^8t~&IqYA1KU8${uy^ig(2Q(?ZE7BUi5a|kZ`y|WAd)Uf+#Sin8*f&yH(_|`z zBDX@A#fw);#djS4vBc{ndQn86BTMmmR&WZAfWjp>hvMxm*pvr5cCf5{J^ezbgPh{i zLP$SA5s=oN&I;3iR;#CV&n(Li=NCZqfGIEja6vB+X(aIV`T_d*a0|;?#-;<2ii&VQ zf9>=}`uW(-H1Si|a>-umAxUUPQ#1g_kZ#NK@0RI9!1mDfHe){EW6o_ zj;eW#fY;{^+tEQO$ha9fg6@q?RWAo7bQEj9)&Tunz|AdpDNWOV zoGzst*bW$Sx`Rq6V$-z&zYnW8+imnk58(YB{qk6skRsT&aT%cibT2ROW+zNNzoN+eP!w*|QvY}u!ufA{^bP_Z)ckWc7vPQ4xMY^b&$<44F0+$X-_>6zZsX zGFh>>!B}WqY=pn80|eFrrq_XbY8eF!sB-*c6-B30Z&8yJH7N!i#yUzYrs|9x{Pw4o zDayM+cc`#^aXW111ZZVyZ4qG)$6o||H68>?T;gc|v;KSn!-Vte1zi8^BaXc?VKdD( zJbFjlCzwIIe95kTk#=E<^vQ-25DFnR12po7vw*;ow}gAt?0X+&Fr z(QJ1Z(G@V<;t~mkwT7FtZdvt4F$>W!r>xOslegvP&kl&j&2^?17nhei z1v;s&wA4`JoCWumKVtqQatdU6Q*9gNOrX&?*RokceKQJ>P~S!(@4f(nHsFp71-8Z;;$!u>fg{+ zysyvjN_bE37IU2fdTUk#>nLwt#AusKnU>~eM+U>_%?|w>eVtmj1?Fa(6v?=IO2MS5 zl!{Yt#LIoZb<4xM%X9|VU25db#X1I9VxG!es(%pR?`W<&)5?}vqELGO2x2b_}W0QO9k2_7p<3OXsjwv8diyj&2l(>ZmrVaQU2b-@71 zO*qh-f*z)vW2eXSWplgR+ZDBon-)LylwzK|SkAHw6$>9v5E}1wPZ(q~d_r>^d?Hkk zN?{58A6Vp4I|UqPud%}-dRGV1w?u+V0jeuFkxS>Bac zh`6qt3=IS(pUdMxm%*`Mn{Qcky({1ffFbn26AFRz>T8WIC15D#^kvBidw6#lqhxbk z)ingy{2D?r=w9Pn34gIMxb*78noZeIB9h9-CrCHSb^H?i7O3GES0T@a_2+TM&MW5i z6KkMSYzVa4Yz7W>tJBaqCj=6&A5bUB+*9xq4YNg*O2Uf^QqXx!DS%q0cG9q9{3u2 zU><~7Meu>-rF{H~;;Fh2ghDZV$dl^yngOimZsVQ#fc*^QpElJ&qetiUUPSxQN1~SM zaE{0JF}%S3Kng*ibx?^d&b+ME=m`4*Hr82Xu~~eFw-&&wV6SJG<9;Z#p-44&%{QQ= zMZ!EyC)!|@stvv9(4NofCVTp`FP*Ji)ezfb+S1z$Jq^)2ucSd^`5~R|u(1{jZ&AgH zbj^=o2G)6gG{NDF#*s#Sq!v)Ge6sKfWvMAg*;>1-)?`u&3k#JzWlHotWrHW;@3ZA( zT;+Fa*-E^1o(sw2B`Hbl@tL|;Lkh4hw|s)c@(Jk9LwR(LcD_ajo1MKB6hUbw6Y!!> zB>HZ9=m|GdB#f84fKdq=scR(0J28ByPuErPmjOy&UR;DRm*mEp90DXpwsCO zIGitSlA$e#QEP=tHp3BGDM`;>+Y8ZhW#=X>0453=t8|KYAiy+7_jc--fJ z8GVRNehTk^gVTdaS0mqYgA>q1J-)-q*Hb>v$kAM36z{*~4fz(G1(`YMNH;^d87C z!Iy|~;PXZw<_NYj43D6K)?*p{0dKjr^q+>CtV^8L*1@NrUe(*XX_M9MbXw;xlBj`1 zD75C6XUXN?w|;-NfYtmcU0DkC0cVpYpqS%Wpp}|9k#dsZJWiM#57(I}gS=Rwj{6z% zM0J`v1!y=0n;j&SZ^GDpL`hdBQgqn5GNb7Hd$wi(i$|^Ndg^vC&``B;V-;+u>+GyE zjv5BL>PHDJay0rSwO&GE)8c(uv{Vh?i&+rLiXg11`K3(v{|WmRsHU#8?d*L{PC_^d zNw@_9Ld1~LNV&uiLJWkEgb+fEX-Lx;LmC2dM?^{~Ln*^pYU#yWty*gtf5+eDadL28{ar~yp+24Q8372^3d@hn8o?y=2@B8le`aJdr zOEXGncPR`{pc2(+uMV7tf|pYveI*2M=(-1rkR85cj`Wwz*elywv2papSGt^jpl5l| zpFd8&2w8s^of6W|pO*}^nLz_EZ5N}LN{0G%VBFiC3Q3Xr%M_S^OS4BQ-lnH#?v7|i1UUE=siS#r++6yUX#cnI92F9-U*f~#KdCuhB!awSWQdw zAM)XrhQ_x3{wKwim21=W4_O+Y)a##Yv^>P+b4t4c{1^%2yyGj;#G9d5&Ng3#D~WV` z4h}jceV%fUrLACdgODdpFPmpn#bQ1QoablF$7tiT=%X>sFR28J(i^i`rFYt_`zTpH zbmKXI1n*`*WC7=2mE&p4xxj1f47<^>l%^*Xv`d_+l)HL{+Ej$CuAr8mbZlzibE6B$ zb`2}g%9R~m72uRW``D^6N3&44*1fr|NeI!AFTl8aqUYiM@BiNZp4S-+IlMx8_oWJG zvNri#rr}Wm8o4ZtTD`=Gy|#kz%Wz4W{1>{_jM}xJQy>E<%J_VNknBQNKSm#Aq#rF8 zAt?Z>r!N{+`v3vDAcwwoa#l`z#^IW?jxG|4HrU^-0UmS`Sm1eI?~s445Z%LWO76_O*EFSYM-W&8eZkpqP>E}FZda$o+X-ZC8LqlUYyl!2N zgI{FCipt7zEOm7`wwjt8m012zqTFij&FTFiV!=2PxYAguo8c>2=#`YrsjW7Dfs%4@ zEb{K@@5OmRpjD(w<{{i(}*SQE8l_+JNsWnl?wHX299iu%^?vi`K7n7O4K1 zaAyWg&e^8x?l0K}ctsoA4Culc5V97bz&2|3YjmI#e~WU#W6WdrIJks9F?6@ofNXMm zI-ax?0vV(0=nL?*skN)(_BnlTwBHR3v6i4d_NCIjp5Z4{3>VrfH>ET9_e+M$nJr)} zC%S3VcrOA1O~2v7@}GScbdQgtlKuN-@pW{O0s+Fs$D2b1B9UK_miB-oTn658fUpCsa)DJ| zFy#lM^p^ybIb7b5Un22`ye>x>FVn7Hm&xKZ&TB0bu*UvKH1Wzi_e~7Jgu6_42io?$ z8?2i@1s75y8a5W4BmQG6ggV9CbVoj0&t@1BW5dNtdav*CI#_2gPK9X?1-gOy$aPyd zVyPng>kbOga7|0I6+F+!kEEomfPAo}!564Q9fEV-U=noMLiAyI_Tqcq)7Px%kb@Zm zRTu5Kss$nFrH;%$W}}<7xb5MH%`M!O!kFtmkH_!q+w}q+^&UW9pKU)(J9-eA$A#Ny z{pxiUs)-DKYSub>SGNg=9TbG3r^vBgJx88sc8~%L@?l=vOIBDiIeBApGV=WM^fOf; zD~DTYuNn+7+R3MF?+|*0cCo3wTARi81Uvh|Fc_R9EOXq9?VJ}lqb65Yeu+9ATTx7E zLX|pQ%V>Z9Gd-@_+D3!fgoUxEvAZ}Dg`94-E~7>0|VE{0EQrZh)2*s2zgj}>cL zdd%jY7ELj2JqznuG>`br?%S|--c;YY-E%e@Po8JIkH>1tliUf&wUlMTqBWMm@L;r6 zGnc5IYw=j1A!kJ)FTM$AJ`TEj^VyQbob1ePJ`Y?3x2o0tF4_t{;eurk50YfpV_|Tn zEhi7J@#+j^uO1tRzo?-uXW%}n9R8Mh%zpD(iQngQn6EZ#s5b^QE4#o@V9+t#RSn$M zkiUK)lnS|->I_u@sC4=Lu34Wg9H5ND`y35xn(7?n?qn#*f>|pAZd=yIXOkh?clj6& zULgnSK6UnxIk{B2c=q zt!=njl_Hnx3EXH}1(F^CqmTU0Bhd5+SoPyao?27dY&15Pu6gQ_AFtvssb4Kya+gJ5 za#(BU$UPSlSn(l-RIg{l40bR}8IQ+K@8yCVEbO`F?$&Vy?P{?|DEIGtnmYiv_mPj( zyUurfI%IAQc)+EORDQN1v!J4&s-GgF!Q;LO|Fc2Q>`2|SDG<-rZE20z-NGGmJUv_G_WGTw zwcAN_=r}g$7;LpiHdc`m0bgC&ur$XBs>sgu-rf-;q$$s#v$XZ0 zUe2ht+S`LnRL(?)CkPE~FD%ToNx3#fCetPs6l7_$;;XcpMON%NrGr=+H;t{n;uxFa zNzTX0VgtmCpUt_8REA0Z&<-`YH7$iH87V~Kk|a=|8g?I>0L~L196eE(GOPIWA#|g_ z2NdsbwVP@roA;>D&wg~k#sI8o@7M(7r`g$*L~3fEq?; zj@2r&>emv$_T6!FQ3x1k072xOy9&Hu6Plit3&7#o!~GV#-Zm+NLQ;@3fZo~lkI_H; zoEkH87WfkVbQm0Cnt0W;+APntdjRH98GNgY8xy4s*{i&{(cGK4nAdRJaMOSl8%zd> z8&V9=u=>hsxMHik~B z;h699X2+vgKbei>7iKKyj!|s{m|lBP24#im;tV{gDjKf2ef-5^C3^5L5ZW}cAvE;@ z9S>~WsSAM2y?HeOl5DeKGShle&}OCzT2k_{mr@nELI2rJKkwT#KAwmC z!()a3s3H=ZFQD&Yeb0jZ!lXP13`B~W5b#B*zSeaxaaM-jX($Z={q!#9Gq3y=RD83$ zC=DTH&*!IRUGA>_I_|8!Kb4!1AQEpuo$oQ&BB#+CJO}Mzo0$o!e7&AQg;k5yocIZ< zWIVRlOv^JjmUm^vVXnu2A)A<{<8WhbR|}%S4zSaE&Hy&uGEa64`GH~wr9XgfX&qo< zW>|)2Ws8L+B6!&OBlI!q@TcS1B@$Ty9MBD*;O$MMX|)}^2BIyyqmv^ud#K=-=#LsN z*aHmcEOMp87s#va6ZU5xKYHTW(b~ds@E>MDGG8E-7$rh9@s&o9ERZHIDZ!Fv4_3IG z#F3%x?iaQlL^Hdq)PvyoR-ctVmuQEJ_cr0>o#2n`KqRkhaUhbZY%g?1Er8dQa+%Pu?B1?&_IJec z+VrY|#oxY|A!BFQ;?&V|p=*y6m*tSj1z@;WPvR0Buhz}-&5h;N%c{9z22-pAk|bH< z+1FY`k|k}s0_f)NunWKRqLXi5l)J&;0TvG;BPCl5V5q7@`6V@|umIE7!Kgog^fUbv z60S5Sg-jMDfwgT~g3Qafgj7rHR}Vyj;b36Qhx&be3hcw*g3|^bDUuXgU1XaEZKsUC zQEL;N?xf_LA@Cs_+wzJmtGo-HifBpF>H(&xNf<#9u#eK5_~_E8y7oB`HS?MI<8+0X zJZz=@y1ULKJ>2f~uEP!;0+kkl1m|Z<<(bU*s=E*w-_+>}w)9xsEe)He$2ygagm<8e zyj?^FXBl(mQ|q`9S#RIePNK=RsA7Y2j}|yHk(INae_!z_n--q{sEPWK3}>P?1EBi z202PNEV{xsywil1qvWWWYG-aiK9b==r)QAq#4z{iLk8b}b=B6FHcVF&& zREDQYv!l=ck@5Rxe?41X4>rO>R0|rZgZ!8Gd#87@D|>>spN{jiOowK%lE0k($f>cC z#ER4v_mm{={PfB3fJ13`5p;|OVo4FdjJ~TS`I&{8Bw4wn^4>zr{C&;$hA*~{ z5o~bDn)&ot^Yh%W%$-<6wEB*~{jbns74>!!|KgBni(_0hQi51eG9@h#tP56jZefSWam0y0U|b^s9W z^=XiwAXaU-$%^H-d)N#Bb^gT~%fG;9)RpUY#xEJ6J+x7xfTQ53IE5Syvj(L_%S8p5 z`J%i`PBJf9BudCkh?mL5SpjfgI;7+UF-?Uajz%&zJ%AsDq{riPQI~&DdDS-9_jgL} z2#4($sY_2tno7Y%IsDo0s=j*La~y3p|KnHgWAJeY7zB6#-GWSSju1zpORgFZ_>_e} z+5@aFkNj+FIuwg@ywrYZXn%IJXE!?6IX=?2joQ#M^VZ-uOnrSTeV-FV5gm~*{F-`G zrY0k;4zuN3Fhl+W{33eC(pnkEgI^=+%JRAvZk)RXY67EaG(z7AB=?sKzh$PbBW~V=7qc(#) zsBslhv*<5Br!ExW!cEraq&UpZ6TAGg&hWrUx9{*iD5JGk1iz#;fbzn#PZEMK~vrCcj^u^vJpg=*)_}L z*f~K?n5pduLu=N^-D}s%aanS*JkR`~*=S6VC)}qRG2g4f7G&=1D4t_01oLPcb4xW3 z>X5ID6@PLUR+>TF24&iNX9k#=-U+w%Iy6_E7N~-y%Tr6>ZBu!`RYk}(v!1CGX$~PE zVN_$c=&4C4z#)hNi#qip(i}tn+=>(x_&={xsKoEk!2%LOlt_DpK^@rdTpgR!N71@v z;J@GvX30{bc`AX^DNG=1;af3`UCb?qDdKEJRT)ci$j{&t15-xhD?7h(+noTEvsal5 zQkO3M{{MMv&aI3xztwBGY-J|l!36p<$Y)s$F7OV{)zBVgrdL)~71|0L8!--$>Vyz5O?!_F6bItKmkT-G^nUf44os_gaE@+@bEHjXIKHx{@ZB*Mg> zPg2+1KEDgvz0vdMfYa@EdeL!+4vREDGUg|1arED{!60tOZ+@mGgaSV4-m#mHlhkt` zPxMC)c)vSf%U%ysx3>HiC~=&e5Kvdc?O%ZZOU?$moxvlQSz`wU=+84>#mrepqVUC( zwn{M}aKJG0ue98T(G8xOkkS_IA-3m$KC2unRwR)!LbiN)QdM2uvZQ7AnKr@$4&pe@>pSZM_S3`dmVx z-+B8)_;c*$EE(g~yv*B6pLfjaI5`ncDB&v9{-u-5ul@GBf01sdt|p!!WKZ8~ux zf+j*ZN#Midw$li?`uTEc`r6x%+r1%s&ZR!$U$4*gtD=1nquY;2rxD zvcuQCHm8$n!Y#%xM7l21$}}xb(cZ_zI2kOlT>rIjoFr$^;qA<@{K{e|QH2BqEPq)=GV1K;{p7&}k7@PO}Dx_azkU6vD4 zHm|>-3BCyhZ;w-6U8mEBDfQhK=|K9JD-l0&f zhBonTWVE@Byn|=8EUsrdZqkxb1Lg#rFB!#I<;6m^mLWaZVOH^Ro@pn>$zg!Hg-nMXc|LjlGP2mAK}PuXb;-K8w9>{n7VE4 z9x%dYWA_;N;3`zmcYVpB!1;tkR+KSJjtXaP&7P=j4!Ggj?Q2c@n9%`}x*MR*(8;Xili9rto`hg`jD7k|+JCTdiZ~C`=UNlf&rl!m8yf@C!i>TP9thBj6)3jb zjm9N`C7iowl;ZnC;_sGSvL6zC_M#Qw2L+C`L#!oI#(YxNsAJcrx~Zdmnv#BaYS>*k zn4#NlhGX3ZYZEmLYbr01x(-u;tP-d6y;?&%JO#!<>Bcfv3SVNTWz1bz^oE+@h*xSA zwYS$dog8a@2JG0{JLKLEK4@!UB&aBus@_;u#FW`Kw<%Q_9=C)iaswB=vL-k|Rz)g1 zip!&&Lw$Nj*wLXQLHBN_7{~d^+VMkyquYYhpyKo!uHzptGWfy&sx2xxpLv`TPVJ>+v8A?<*)S|uZKdXn;?N!XI#LO;cfwX<_ z%-~k6aD>l=Jmr=Mdg~x0Gx;E|bP4)QCZ~_L)DxJZLodUL1iqpJeZ2*{;5h0f4K4cC zipt2kfpvOQxVov99P4M~Y688@o1}H{K~4!r$63Y9wP$dIDfzAC}M+a9L;EZ^36m=U7_$nualBmgT8ur z!$W;J*yn?KUueGla^GBLw7nKiw+@3_)VFm>QV5IE{VIb+^sZV*D02MJi^li7*6G)k zj}L>uoC7(U2(B)`NWkHiF4~}lZ5pS~=WKn_;g6WBo%H|G8e+M$uJHB{9$MDijHi_U z;De=Ed3mMsl%%9mZ38WD#ihk}g^UY%)bu!flr(P4K*gw^=1C+O8^+c-mZ@0hXcK4S_v;_Ddz!} zz2aex)s&x*m36TA{bE>LcqIS#`7mFcUhZ~3n8&el(x=j4x;5P@)oP?nF^?T+m@nbc zFKd~vxT;VpT+monRTm_W7EUf}!jl(M4EaAKfGc7Gi07P0fwCO266%Y_A74pLU!(Rb zT#x`6)VO8CKs7v|ATjEx4^Gvbf9tUQ@?OV5u*XY#*7g2>Qb)X}s=;m3*t`H9U_n{P zE(bh3@Fd=ujtdgS;!30(WR$e^ch*WP*vrN#!=G53lec;XIwr#)JF=-WGD0aL$G_`1 zPn^_GIia9KQ@FCNtK8pG6N(yFsGktPP9KXYdz$t(!t87vt;6PKn@wC1iHOy~pg5TX zWNxlqY`>42K0haMrwP~HZQ&Pi{N@Wbv6gDyBJv+QATl>lHxFtOR|8_2&oMcY(N;{@ z?t*WI_Sm*>Ho`nlgf?vC?55rv2y=1@3BHgIGuk>2GnhV8It9u>hrfDng%OVVqI}4} zKwM-Z9CGxCx*Qws6zt5giUe{v->Kcbex2i%5L_YtT5ldjl zd%Mb2mnk=T6WEyk6&rek_W@yGc?2st4Yd5WGQ6=^GtaxyBVV=BDX(5BuI z>2v(Aid}sZx?N4B^)ECku$*A!7-TRecjEyy^ot3 z8Xh;4sM4}jOP4-Av~}w<&xjuv-)V8<+r45rb6uHazT1aAu~@XYxDAQxi)9P-iiKjb z=1vY#Z5(gMT-us}KANYfnDeGsA~5XVdr-aLA5%XCugWtrN%hnY1eb8n1g%@h7fAF0 z{d9(b95_SnmBADR(2-LNnp+;+vikOabT}|=AjIOhtz{AfgRiIK(gK(!sjG8zHFz>I z36rz<4;6!B!MBOg?VT2w?)R|1*Jj4|ia6n)JX^OHy}TJX^JQ{eA{F`3;lZ(92R=JW z);MY$4x_1-j&6)W8J<8$<<$mX2ILcDb`|=JBt1U#xi1n5&~D7(pwAxw*=}@QhKb3H z4QGAnG8`9(ib}Q>%F$)WhmatqK~jLL>kc`DK?`XQ2JL#i%ja_uj-b%pL~Gg@t!cf4 zpY~mgI3^ZdI?9}?RSll-KuDUka!4^k6wZ7!gn^`cwU-?n!*J^2&t zJ9bZY(smIFYFfA{VUP|*(F!Pp>5gp}&cz{-07~F-rc&`~tltJ?p-)GL5(ow_0+$`! zS#B8Z?b!cj=bwq+4E3yiE_AIjtPa{NAz#qrwuIEJl|p)j57FN7C>?dFIpv%l&PL9Y zoZXy=sVo}S>mOd_H?0e=dwSi}x{uc3>$0BOxpPt|gAd^OT<+uRA4-~BH3?SbPfq5q z!W_dx{kjJoOwX)FGuM$7JE1iaX$*_Hv~=x!!%b}LWU&)Jb+LnhyQnGb-x)-EEG`$$ zB*x?48dEj~7oCW8($1Gs7A|A;H8uvoU5MiTjouxaoe}P*gOdUXhJX2j2#SkTzeN98 zQTdQ{v)|9tx$+JlI(BsQD|90{>!g1~3}H&4+_6E0Y0O&>N67tBC|2Y2){V|0|L>Xt zLg9W1Oj5$arr&NN_lu#p;HH1n;|s$K|Iy8XF-mk`zzj7lR(7`snIwfh46n5v0K3w< zfo`BA8>i2!)PYaV0beMQp!c=tt5XEdPbYp;u;h|lj>F_mLk&pWe!(H&6S%NoVoX74 zA%Wu{r&lMZ-%O@%M6bCDlrDlV5#Z9g&hrrq{d0celIDLvh!dbcYL?RqoS4~%4SL$6 zzR`$x*OdPtW?2{Yl2sw~W7WnMUuVc-4%6{08S!)Y94(sWy-kET%Q&TUM8#A{+BrYr zY+TER?8#_mze6s$<&713UlauQoTCt+u)!Mpd&HDQFKL{}C*o@_5FdiOUvhsUE?sjKpM>P@a1 zG}X4nN?Z5wQ7KH(sDWzrqv(4$1(I)DC6xE2K~e%TeW5PbjpAC<3D;if&nA8WlsRgv4eH#|-0EtXkk6N; zip9EYneOiS^SSk5qngF`LN>2^*J~+=mB>^6eWEL-6hxFHtNLf#yY+@n?ETq^F1>a= zHZ)t=US;UUw)f3^iJQs80)93L3O1C~gz012VLvY_>aQ-6Xz|-`cdV=cR_}Y;gRgS0 zR1KlAq5n_Vmq0ghp6$-}X*80hk!)+VEiVzatT>7gvMtN9EnD7YSr&t;sEQ(l5Vr8{ zIL0v)T-U#oI)ng8*b=f)N;v!+4wt5s5}KtANmD{o%Hig4xw(`q&7aaN<)mdYy!K}}CNqrQ6-@9i5juA5@FZfR-(!jj(s?RgQBnK7m6h#oysFN*F3`yyT}MOs-U6}o^p>4tazRDF|)#w z;r8k-IJ2K2|7+GrmKhJhr#`XNtF2ClzwPU|`pvo~NI%%Z+uOQIjNTtkFhS}CfxsYt6Tv~?%HQ9I8b6D4f+jWZ^tO> zaSt|ZsP2(~j?X`z8DBm1eBbl9)rQb#f-O`7QOJ&^hluq=hok6w%b2lst=)1#jsQZ& zQB>60$^_i2+=GKm<-K+H>h+yW=f9}^Tr|w)D;$$Tc3gsbu9l%FS>Y(x{+kz-&D&8r z?Hxd^-yM{RZ5c8be4%dV1$tLDROZ9uQCHA=9ON9N>1>0=RX0BT49Ob>B6f)G^*oA;A=R=eTnvV8Bn+$Hfi5(FX!0VP$bPMkT%<3O>?fc31UuEqnKf1*F;MiU*f{I#9o0MBe*x|FsoTSnt*$8eaom6y|sw6w}fAMLwiRC{3oS!trD`;5Fx<^Wtgu$JlH4p-%<-DHH2G zVs4X8qMw~ZjgA6;RvI-N8KnAR5y-a$eLl)*Uv7^?s6vY+gUa}B<~KEE=5Q@sy>5zi zC`k%mybu+e#6c!(n~IcG!_lM2wW%Va`NARmF_?#bVSlJOW$~141Eoiv8@ae+<#Vi^ zngi+WQb@iHXV7?GC*`&BBfmJ3Kz~GIMS6FkcCa32F1G`nm zs7jf6xz z2J6UF$WsA_Vvs5hN`(%rn1zLZZbu%>t2Ut6>~MjNcWKs%ezHv=E7U*rrW0P+r-ZDN zB6&grdc%Oc7W)$%_NEIdQD$R&GQ_lJ!0X4s8G|50B=10%Zkl+Uj~)VRep7UoDi$s)_3Plx+&sm13Vr z1q72=5iUKFnh)&VVV{m-_lr3^bAs%-7;8jW33y_+Oi`e*nt7ixV z!O+n&Hr7#Ww^%v?&Q;FF#tuzQO@~}6UEQ(zHZ1V%G5^f({BX>vbD_NAh4i}o1vbm= zD5*ptX$8mfU&Nc^5S-hD70S6Z7UpA~qiM1x%TqaDJ5^`OTY$q$gv$L#Ok)~Np3E6G z!1v=@?L8qE9Pu$dac9393^1&lyS8x>R#{F6OIl53ay{JOkJ4}eTq*%SBS;fDU=H2u+-arI zHIOm$8RALU&0x=9e6xb2(lT_t`~6+r*hYnDyA58CpA?8%(g3aaId8V-|YWe|F`{QzpW9cHC7JK z@$9Tj7Ovs6#>(UY)2VY{%f`!}c$kGJg|U zIX?F>_=5n!%e)OHr&K93c$`g47W}o@D=x3hmVa|=BHP6F-03ilqjpMhW={U6`@qIE z&7?Umw+fAeKeex>3X6(#h#-w6KL>=ZG0ozwibT<^>&|u(9rSsH)$EW}=f=l?frRP4 zAn9u0@NJz9Gt=Oj2iVDdAmdFbPc|t4^skI!mz_Q|mQFzcNk|zrXO*OMBZM&HwJh~G zyYgMFp);T%|FEUtsL=)s3;F?6k(rND03aVw!%x8}PnZ+Eso(2bE`^(mD&u?5q>g{% zFMnazJ2$#22=UJo_Y%vv%3-5JVQ8tXHI_9u8|5V>#-%b@zQCA&C%U`RMH;lp*~qDZ zQ%Y){s53!D!OgY#Qm{k|C#EW<5_1D9E!n{EKJ>1UNQPQwJ|y348KlWf*xq!VvahXm zXdC$%!Wog^TY>={W;9XJi|5$;r+^He1y{V|G(FJvbld85cwRK= zyN;$O4d#MX9*IN|1v)SlKaV!+CV+8T1NO?zl@9G;A&c3N;?(Da3t$@eT-;!@pvZ%2nq3}j&0GFLl66xm%xi}gVkGK^yc ztai>mPcGPs1n6~DHwmA`fJ^){T0=a?)LINX2&8u=(5fH4>CA=lIP^zyw(@P zvBHd!0oLbHnjdw-^qxlacH3CQRyQ!hfI8=}WPCR}&<+~t1MmL`jsbb$Tn{iX;_zo_B}i~{t2_M`;Fpmcv0RFu$BFFU(l!%stnj@|-E z63z}cOh_dA)etI6E|X(C2l&dxwso(2S3^t+Poe&^A6zk|zi|F6+WRIH(%n^)t|04| z3e$52o&|R~BMK-=lWKkF&u=w!D)^L8ri%_Zqjdb{r%mj0V%FXnyx<9CNu+ra#(TIn zrr?Q$83p87^xq(zZF@7@_NUlc-ov#$J5uk4LyaqjOs0XmL`pqhIXF1b+tcF;54aY! ze=2R34B@kWkJhq~2?6g#%6XbSL5fQ|2^m(Y7sT;Z308#63adh$kYBcB7DC=)3HX!@ zj)nc<{MXkY1-5Og>*;FT#uGg+N!Nf7WRDUJdB7Q3-MAkZy}?dr{OiVTFK186d2H)j z8S87n-lmPecoF^mlOKxFiO3o)mG&3K>-7W2%vdLW$V1w&b_f)&bxRaa))@6Vbw94i<7bAp$@B6OUU&i}+-<&%C{=B=|b`XZ)jy zDARH*_7uB>)q_jum*}J(o_Oaqa1`83t|0DCCwsE?Qhe*>%N)w~f*{JjkYvUE58}tf ze>#Q(ci-JnwPx+w2lOyEHyR@~YPE=(+C)~BSHbeCrmD`Wbybg4eN#n|`akR8I{e3> z2dtq%#+qVqUF<;YcddO;Rmi0REFCcK4|SU zl*pbHLD8FNi*3Xg0Oqm@?#0%ncHuMZzu6-fd5z$4rdtH*Sc|egMo%N@wXZIf@VmF* z*v0_6_nf7gICfJD;URuN>>^qn+VA_?iprKO@hvSa^{EK4rbKKu`+ofN)4mYH3=DMm zI_?DMT9_N>`nPOB3D*267jInzTHw46IolPOFIiqBU+8|Q@q{ntQHko_`83_a^M#~^ ziT80na*Cf6^F`uOmGCivtU|I|#g`X=XXt0uqplt+b{rVd_R((rY;yYnJw_>vniA%Cq4dn$;vc@;_7`u$pd)SA$u>Jp( zZI`pxGc;M?DOb>AzGsR0E}IrH^_~iN!`$?+0?HNIkU8q^$7cqA2&16l+D0#9W1^iN z_yvwI9{2}3fGz+dB3=Ykw8z$v2Zch3sJXUHvikrnxA+|}{TEyLyn)DpjT!6H#%OKS0LY|sq?o9-F6MQGy%mq&6V)i~@hEF2Zkj2tNPIXr~qVZ4Ri=8#K z(Oc2MGOLAe#3H2=^sNi@Mw;Fz!l-orw>H5c{w<;s*MgbuDK0J5B<7IK)_EP>+Y9_|=M{wfVn3wLBSWZ(af5FlX(0DyWtq~2DjpSVUBS$LYF`Cs zLk`A^&O&Rr$rYF}wLahoK^=PZBD!K00U585o}xvH(`++pM0uT_b}amMPV$H(eoBb% zO$b}i_@7%aZgvEHF4)cAL8ue)kou}hLr!UFWkwoF>a*>Y`Z-CQ1uTKqI|(dfrVlMI z$#=@(Kll~%E&?9V@&)f1*>&z{Q@FFGHe z+~~~t*URh@FGDK|HUKFY5IxugE+k&f-uLvkd4gd|M?AppM#~*KZ%4?uDzELn`<(TG zKwhP=j_ubxQ)WdbP3PqlD~pXrCXcyeieW*Yr>aw}i|Zh7JDJD0-&P0t-IL6@3tT}i zw<2(U$27R`8PYZf{p~Pamy2F>`56X`Wr*T`ar?tf@ao2vEz+EFi#Z!qxtFhS#jl7m z!GWfL*M(epcfrqHUXN$?n?M)*2A`o+1QN4pBi!N+u%0%jcLV9p06OMp z*M+O!vfDa;H+vu14$(b#3w2n=>~6)}O)R_vD-KXt5&?=rGV$H#P4WfH6?X@LKyc== zu)YQzg;eJ_5Y^K8(%^#;FEk1&$2ZEEaKrq18}V zCsqmb*x}zGZn)!sUsSPST^RnK8@=;}vT{!LF0~rW%ig7q?5GZdCNG%oe%0i6aKYMU(H`oG22WVLVc+?jVL8{h>W=YHX+NFvz5^FYWVTao&ci8;K zGI00`xXDiQKaK0jbehg~7uFfnoHcuCZ%ubpktUL)XRoV(;sApJN43UGA0rWneBkTZ zi{5C&%QM7}di_BUH0*!Dg4f6}dRMTO3bFofFXmB|@ zK`=l+tAuhb4?I^eN(%Vp`U{GZ`%Ffa2_g@+AFz)4$WI*}5JYHmWOOtFUNy&W01*g$ zaf5Y|Sx4SH^k@boRW(ZeYB^*70resp+f5_ zkQ9ud@!_mOjgt|lNf|I2KkN^O{m_rsT%ykQnBcxnntK_yZI@Bg0{0;H7tDlgfM^a6!>i*`z`X-}X#Sx_`@I zsL=@?cQ}fp7k^-ehbyNl_y#+s%xA~)ttQMghknq2-NUDpWM)1HGT2_Pv%gDKwv_*8 z21H)`P84N7hUTkdEe~fwp&%oBlP^*|r6MIV?SsDVmWOl6bXi_3!rF08dv~PS>8_7< z*15etrl;DA|0mQ<(_`kknpkh;x+%**pOvZklFo8=X2DFUI;?`C;YRdIM5|M@dc@j^ zGzn;rpRMoetA-X-(-a;tfhiM?y8VXjQw!x{ZTB%^BQZ(%9814fUcPo{gS)bReKC=h z^&^R62t?LDxE|I?z)gu87f@T_sAai+o}zM|FD=P>r~WqrP^serbOql9e60+Idf%GFH7tQf*dvCAlyW27 zwyXB#OcG{WOPn*;npcD4G;)WroI4z3LNx97qhlM?>O3C!sW(WIR`ctMvir)*Wd=Sf z#dB82{vkm&{Qc}I-^9a#FnOMKHEO)UfUkmahQcjn)js-Q$)g$(hN@;R89R9V8Mlz) z3p322*(tFvKu4SUR@^U_BIIw!UiBiL3e0PGdPIO zGJ&86P+n;G-~(76=pTxVVhbEy6io8>5rw$QDqTqB@RlselZmOk1%_f-syZ{VE0-l} z*)vnel+^L=^|f#U39CoDon+7z>uo{Va7*3ra9w6+AdW0s2l643y>U()bYCVt0$WW_uSl=LLUeDo$b@2rNcZNa>^*KruL)vQfK$+OV02Y+9j`{5TS*ieh|*GAU}?oph(Q-O@ z+X*R?2GSWPM!#k~_a6Qr^($TX@6 zKdyu=AtqK34(`Ns!t`4g$9ViuuU02?E*DYaMs_OVG{I#6;Z-nY z*e1E(9~lTY@i@h-jQDOeso~n}eZdjRNn{gi@y?y&^F8o@*j@j<`n)`Gj>#>8WAO(HDIE^M z(?icQd#4@X{OVAkYep>mx*A-C67TfV{@ zk9vE-q-1}#S_f(aZm$=;1(1&kIT5bniT~a0Uw!g#@Coocrc2^yhJvd?m|XTo{3Y~? z7Hs>+l0j|QH_dLo4~?3HR2p4qlNJa`obo+vjP_(hwIZ+E$UgiB`};*hG~oV%tGdQn ztn-2~b}nd$GQvjG;Vf3Isak0@l`HSg%{7@4t(+sySv*1)=HTz#zFbC1rzPe=CkrAJ za+RFDkbs+%fkLUI%MCaAe;V96?uFUytGilf?gD>p0Oz0sluaI1L6t4Q-^O43^r49}V0hiW4I4W@e;XbI2fdy2#I$$xDK7@2QFoQaKSAfrJD~_%Z4?Vd zLUki*M~@`Z;5^k$^llRsDusAJbithZLh)lYQdC{000iBD0Zsad+z z_kD&5hnsv&cN%WovXO}B&Zn4Fb1c_Mw=+p@txp8=Ra`J%&j~#i>oKJRu9=umPc3Lp zK~e-39`y82y$)=rV=@7qMk&OK?31TOYT&DjMC#BR;g6Q)YQ&jBmsyy-)YlqCvwg_% z!9h}nCOUmKas(WerJw)RWp(J}2DRHQlm#)B&!$BWs&yTA|f^xSsc^ zM6PoVDI@@r*{TZ@I5;WHSo%GmmbZ;-I=6T%;^uhRVWwX&> z=gO76*8RUf1Ga-l8b|4fClU<%X*2+!2RGjY4Io@yfP!ciT|zJFY#;!( z?~lcA`c2?1Ui#i#m{V}T-nF*+0FQkM@;AUVYz?{nkIA*|?|NeE0;%DFme-Uq2|ahTD!D>ke2705SR8R$gB2%CMTG zD0S{y75A#G^+Qrf2U=d@9_4t~s9}auH8A?#do#b>a^^MgCivm~F}ltZW*8jGLvr+k zH{Q8oz#|{$W1r{L5w;UMh&@D)qqIb4Ff?>+=-;qzT|?*OrcDj`B2mNUCm(q-6lz$$ ztHQsdV%xTc9Hp|Mt#)Uv&1P=6O*mn38f@XlHvc%GeVp9l*g1n)VoDdT#(?cH$dhSH zb$I67r7yyzl7Lf7T1a`8!gJ391Cwd-+n4L4+f{rgba*Lu#>X*v)(r3csCO#V=@{*h zA8W8u5?W}>>-1dFciRG=)x;3m>#ZwX_dns9Yrs?}eElW#>$B+0JCPG*kS_CqZuC)2 zpe6|N>D?-Y8iKrLH(l>=)wH$H{yOwI&^ehVFS-P#Lgf!w&^SeH6_Mz1mr3sf>(Is4 zT30nFLDy_8dXI<%G(PQ);XES}AJsy&b(UFMJU)Bkw zW0FJcmE-h!>8VqvfcoS~b_aeGT@&o!ALVc#B@UTVKp4bJi)A^*T5Yb#$TQ?7WbWok z;S&hY1@YY5OhOsJ=F}YHUS{DETtWskp;q!x!0Gk82dshaXc(QLx6|=ULS*%|fy+X4 z6l0h{DD8i@gFR5gH%!r(Naaq2})SWEMb>D8?@)yKaEGbetH4f5Y z5Rp|kx7_Rb6zefA1RP+xRvrl*lBcsEsmKEr-(&n8=smp4|5}8a4a>o68R*HbdOrgm zB!yTbMN9HVL;l%n(olGix8#vxgU0G=thF7R^-UQ{X-|x|GtAI4yLdOj0V^|t6;Lu| zlP0`qS1`dK1KvYb@3z#h1~0Rh3|lN*MqK&??4mcjq;e=QEZJLDc(l+&lCaDGJwU?0 zJPkJbF=i$ZT-S2z$`!U1hggsMD24eH8;Lf&CpJ3u&HNh6vSoY;p&<17CHy6KX7a<< zYbvNgr2s54pqejQW1l8?37L%wT~aC_K@-X0=-Jgg={P8O8(4EY^XDp3W*`;dRcn9D zj#e}Pjmc8%A{=*PQjPJ*1DDQQHbCUR?F zY$`fHMx1*CTa-{C&+Uoq$2M!c9*xzJl(XI2sGio3i{#tEes~T$8Z!;h4)<{?99Tai zK522*t@3T9_67!~qg%0ke+^xyzM<@dlxQMq9mRJSY0OpX2D`)jBQvbCFSifbc|~Tm z-L5tlkr8Sib&TRsSR`^~Dg?2BkR`>w%wf(IAQePN+M`ggfJ@ApLSI-bD(1zc*x5&& z-6@eAPAAh#V0<@CcQPnPC=>GG|Ksahpqe_JGItv9FNQ8a=Ba{ z>o`t3)9bXJ{vIS>|Gjq-F1G)#1`-g&Z@>F}pZE4WYFk69fE9XXNxFZ{CysuC%Ozmw zyw9G_6Y#6r!JW|Cwlx)AC=Q_SHxIJk@l{G-GC_>Y__q?PHXH9cyrlhbg@Qyk?#IR7 z;B@BU$!S}xFFRCZ+lI|=dHBFh-F_HjG1oaesa(DotH3tXGZX1g)^6Nrh*B#N#(JXT`icdx90OtQ*6`32jornO(W!-vAq7K7&W=*nv*whc z=guzNOrq_O`j_Vp?jDK@irFb@m1_vJ)#;DK0bH)iMl)1y>Y3Fy^&A`Qm&-#ozi~tP zp40d28!NMwz1{chzJQe_ak;$crS?q&fx*x&lJo{{EdjztHxZLUSPW=JqHHiZmRI1( z=$hM+M3cxiZ}>Ah>+%L{01M)3Essxd%U}A4H!|Yoa#(=N<&~l5(Hl~sXVm=N?Ny$_ zV%hNo%P~L-@$`KOicvs2JL=CZ=l%i5a9l_A_Z~MNeabgGkn=EU{-^&u(Xu>SYv;QsNgq#*ShCNAL}phuNxg* z=P&4gjL?m(dSa7)r4Hzr;#u~*#$onc9b|6AA+nW9*FEy1>>3eCXKC02Qs_%b`%>C` z=PxDAIH+SJ&y{)k5pT(iSyF$Mp(9j8U&>j!s2<|{0AW5&X-HpCMt3Y+kkKW;n`MRR z1)Y}=D%-?m13}X&00I9{0!yp{`07Ry@b#G)YV;s3?R0x?O;^v;Jt^rS?x(MT>@6TK zgbM%=_|4$5)yD^bUr?h)+Er;#F*`)R%v+uFdGbt6ODs#k=hu|~H73VeXqKB|Br)jg zDm%#)6ZN)R=z+;oZ@5aKZ-@&)58#)Y6(1FB<%88t5|&7i?gjj&st-&-DjP!k0OgqL zTk}qQQCeBDqKfqn`U}XRA0We1eYCWb`e}oPV-*e5e*|nn-vfcGbJhKtMh<~K27>kL zzZ(8SZee2WI-W2&a1g`;ewFu9RVVe6b^!tX1BVr*?{SieWXf%4U{!Q2g2zcOlyWHi z#!*yMa5RrSCgzBLuJRqp^The$_&G&l8V<8FBQpo{)A)~cpqP<|g<5w zl6)x^KAceXw&vkI4}U212k=?n^u@sC#y0`4g-b$0Hhnff^eLcYKCGzjq#yu~pVAe& zK#rI~CDm=7a&myAX?yt$uDi<_Cm>cEu11kO`)YHEw03E&+05fWSP;i6cx04tZo4vC zhFVaL;;^DpJPC8d_%s;+Cn_o)pD;JWgmGxyzwm@>gagVh3)PhSI&|=2>g+9Ddsj9~ zV)9F*wLnnqX)F&l+k9UQ4$xj$XH3!c+i!s}hYG~Vu|EPUGJ#qFE#yLfZuqyFWJ|`n$mjG{XdtU!W3+ z)IkxRP_*hkvS}gcxH%Mui`B|c(6xN%91!4mlB#zCy{9*T7vO$I8mu_F!%T+G(PBlR z%9`H{S>gMko#<*_@)dSk=o~Db$v+5=`A8v$u%nqH)ewcE0K_+42Y{9slSe`D4<#ZrE8 zwlO;^E0!O-h`#%gRS;&HI@&MA*qPC%VOFCI@%&3LH&(HrzZ@OEWMa|0$Z?qDEy97p z5a)(DkrYwNmcT|)QQ(=Vaf$}%v7roiCkVIhu7 zl&UY#jN;)KP@O|JtzM6f?!8UMOH*&!-7d$?D--_ColF<{#9a-`>)^ynQxcLS$cB2- zv-9)8P4wF~e}|_BBzJplZ4PkYSBWrLnP8|;n0ihg0bkllT6si=Ub&~|sA~Bc z|GHARmKZJ}fNXX^WzT~bx4&9@WNcH(OM6QuFB*6}GA=>){L{;&M=>5YOhuWU zL?NbPX6(u^A9IEKu-{@ku@i>=mzw>?T>Fy^OEIidKBi7)YqJC^bL}R3z`oUf-_HKB z0pvCW8n!mvZ@>fct@8VFmO&2Sw`^cw``F#=@7Q=b8^mS3nRPbnauz<6^-~t?%mRk2 z@3LTd7KmF3>iRzn{c;x zfe;eY`lahYztGy_$mWYf)ZHl0OAvV)XF&ZWF|;9+Gr8(T`g5O3*~NQf99zyi%U;7_^2?q8~pD z4vL^8TWuZ5V-35iPr$CD>e@_|Pyx@C(hb83@I~cDey9bi_t|#`Z5>tM7KoXkzN9Ss zYZO$+1NZcp1SaGu-uel9EEgC*9!kbjRf<#hwXEvmLOJQSd~NoVq|f++*(-pG3N00d zcyNdWTeod%92}e(X?w@O<#E|uoqJFN`bpGlD~_|7D1>VOt4Ld6AlJi(ao+s;!qcz4 zhWb3sjlTY?Cn09z@wkMv621PQgpE&upqu1zV?^0^ujojQQ@|{P+ZO@XY2A zbM%S@Ec=lO41yDB!|1}Vm~m}oR6SW9ZiLY+RrD5%n661`LG|f^ZGQ8ont)0e(`(+sb>Oh7;$maxSd2<&Yoq%59WZnhL?(WyJRn`~*WfjdA|n2WBS zK8pUC>jkNI-|kf;AY^d?GeNKhfVzE`6{$Vo!2$58had<%PElJoyRoO*+Q||P`!uls z1E8tL*(^w~m;E?hc#XGzb5S}TCzNgKBbVBhiLXP#)rUO1v#ZWdU8~IZ9hg2cU0hW2 z-9JGZQlN>INtYo4Lc*C_hw8xZXYJ?id`;m?JG#O-Mny^~ww&_oDuZZMwp?zrC6$}a zNo5qe5+uzZ{~V-xL>Fg%o`<#Iiev)a0*x2hLJkrob@UN2&;^rjc2Iw5Ac69~+LB7f znFjhaMqr*A64AQ_nh&q&;T|W9-4_?P1cFqwtJ3eUV8zsWDT9o4`Mt9z%x!)v{Nt9M61eppP`j4T<>5rpzuqc&_$j<#-6Uc2V&VkD zp;hdbIZ{Lzy1@j}kaBLEjj~!Ry2?31IGFRYU|X<$ddu3Mll^pWr_7v`?W(P-?d;4h zm&?uOY_(orRz_x%k2YeWAu(PT<$IW)8=5~$WyYe@WG^Ns2p73@5kL}MH42X?GoPow z=w}=7;lY%M>kBVitH${(C@fA-Ph!rzCtz3w1U~}D?w#>0%7tjoT`Gk4z~Hxr-u{wr zsVo3OVfGKSw&o9DM`=q}-t3sZzx6dJCyz+z%Y^&2TY7Xpyg>6YS>VW{x#DkWz43UX zz&qO5*nw;7xj1#l>2p=HLsfm9YvC6!`AU}V17E%mWQ{z6auE6(hYcTsR0{iVFB(sV zJd(d`%OErIa+iL~AL60$_~OwdJXRn`?}{BHL$~YG(~|AZxU@PB4}8aigR$r{=Wx4w zgi+}`&pAO@BKA$IuPF?|)hnGQQ-XWlx&+1g^}+<OSgS z=0a*TO$~FSNdMn-58_3tTw&3ns8U@xC$s_I{)spM6V$0{OAL1hg?;_16=22Q-XuIt zsoM8Z32cX-hIW?)0%a^sacfJd<9Kna&vYCw&;JX*I8dsU=CeZuMZut}wHy|$YT@%+ zt3sbtwD32Fb;8gNP0}XVdGC2!D7W^ zt43Mbw$Wsq%Ztk%3vp}35**@$EUn+dE_eRvviBcoAG-da9%%lD?vE9t3!G^}g2iG> zFd3BrQh9S6nV!3}l$Q%jvvPAuV`*sunXpKCZ@y9>j?x!UrX-GruxP;~JX?YRX7Pj3 zw^t@4LIuselb5T<=9k*@i|w*KLP0vIQLp^|P*O@dBqvB9t+({)+VRgq|BbGiV^ZD% zfy;UmUTIH4FC*1uS9U7Ug43b*EpEF5P8hp+aPkOProu^HqVCcwNv!P>oEs~E2WA>t zIs#qn_gc{>$1mkwcpDI*!O-nrmq-PL5HBtISS3LN5|_3@R|1=08od_)G3w5>qR*-L zwS+pCFoR14R!?ECLPLsF)aWZIkA;d2xy71dg+e4q(Wev@Rg0>B)+(UyQu=pzpMU;d z71Le5{7CSTGPT3R`0&~_Gg(Eq@u+)}=Gl?ajxa0@mpH$>oj1n>&n$LRCmRk@)>H0% z5{X)7>35bmz+EU{VvQ2qt*E2ts;p%w1`5Un5Xp>o>I2>2dnCYHLg?kbw=J(67^|+V zbUVYId7Wq8uOA&c0s=~$%9PTbCvLJ|7r;rcMT+xy@#$;# zK|zHDT`RT;pu_GkdmT_<(*vCab%PD)5A-8s8}0N4M>IC#E3JX8$$0)=z%HRflcN5e zfIy1pDZw81+h5dQrecwWiA7fGel#$QSsprnE?;h~(VMNYdT3oKtq@k|_13hkMFQRr5~RFdiLc{oju7=z!xcc z{zN4ysEO`~$7tWjEFn$-NbQmKQw$noYxWw3QQ^I1idSr()9 zip2Q~VQplHD{_~M>75tGcknsX>*QD(~G%771Nuy4k2}%)akMN;0&x7w; zCaAQmG_253#H#eKERdB`roZ-WoWq6 z{#|84F?BguFFJbz8+$|F?CSOO568Q9?`=3tbGX>hH=G0PDawbZV{%N%@W-xZbR~)n zOA2z`PdL`_V4CGInJg_WL!nuwQLFPG7iZ)z9MzrYdRlZPA~r6LikU8u|Bb>|+I!PG zkgO<)DYJ_c8R-be#JP(QK7QM@bEgzLM2-Ptxdb@wtn zPTxv_w@W%;dV4uZmUf2jbd>PHQ#36K7@!ers5koTq12S{88-pP1;j}JJBw3r886Xy zfs9=XVPJC~@M&XB1beXe-!A>H|Pq$)KhGiR+8Ny`&tNsAj)Wcach`7<63L1^juJ(dE3}QuO*h5iU@W zv|n&oc2bENS0L{}pE21q zvNI*=JKO<2e*h9No$kBIj}v5qG~At?{c=#4uE-0YjhlsDgp9&p+SJ9QOk8_&a@ zVD|R(hU6k&ooBrw_i?QV!`Emiw2~4R_xR(bqS8gVcFx>(9#b!3hm{G#5mOxTGjo%p zi*>FO=SQGva7VA5>E~N%(g?#44N(?aI!G_6eWPTT7)oW!s-1;yaQ>SA)f9YLNrkNo z@I9p@X|0_-SYao-SQE1b@1fDgQFyk(Y(&&A;gYZ7$m1y~l!M`K6_Q_$XtG21eZL!P z9tPKg`wwpIJCrSc1yt*4=MwM!1b>};aK$O$a|N!JF|x*iPWg)X{0*hRVpF0`;CEoB zdtltP^I?&NL^ntroCO?Ly%Z+}u_=QW`CJzdz&s7O;u@WJa#CrT`ojGg#>L!J^c*F0 z7(cRWT}Z{wM#}o#23^y}Y`9#vqA({>tu8Pu2iYsiS9Gml308ogR)DS?uzW>MK|#)n z<+vc|Ur!pl1VDgg*D>|mNcFa-uBWGNVZJdBAETjlp$^dE>%q_gMri(+ifzREEDQtT zkwHf6K4(5N311oasooJjTav7-AJxIzlSv7xC z?r`@dQ*k0OwQVSd_XHlp5vb+B?HCyJjwgGMe&{)mF?siS&N0G4dH#5;8Vh0_SU2`- zY|OBBpthyM(b-&~mFh%3>EM7btKO3LFTl*TzgTsv6PeYX2@H*2T_7)n5wX~G1D zOdw7Qx}-x=*y3pKE-^`^rjqVRu7a1A=lMGqpSs>mjfa-kX;6P~C~#M87HQCAuMTlqFW?|BjB^Ok7s zkf>I)r&L4Xar9Mnu)_o-|Lx$7`F~ z!N=wmoA@x~njPFs7zhoSzfUCt7kV1)2-b+{4$Dv>wxjj70yq(-eK7{;~wFK|!qoo0F6>Rmn zX!8pl^2_9cTCnkrHvoPJUoL?>PJ*I&Mr$7%b+B((=}w#vUF94ll$eFWwe{E_HiB)( zUdP_R)*DnUeAaWW(cIs-hW2`M-Fu&IYH~f6o9mj~yVv!?!GkVgj5*OJX1F*SQPwdR`W2HW4>Uy ze!-q3!F<0*eQTtBJ;$V=&&y=Xq%vH)I{^x0FdnS?RjZ?SYfZp3JZwVMYTxd(-wAPC znpUlC={%N}kjabV7Fx+vE}q`r?H{za`i(WO_jZ@ezHe(CDqs~uB5qbORWeE;#U-V> zrV7@WAU0$;tcO)_+HBTXOlNkVzDkn&g^*N0ykf(%?zL}oxuFO3!%sH(!F|ux|7hGX zbG^L^l#~weAlYAzJ}U2`C3Td@2+j~nDa4^fQLStnv3MFfJald|^U>AOYRXq|w%MP5 z&B)Ix>?urCI}&1@T-@UKY-%i8YWdygpq%dIic0qWkA4zhdLEZU!m*ZJ8kSFA-EG{h zMWw~Osa!pT#PqacWEq>wC1v)#)l_iBcVq*l>2RO@Rf)C#isK~fJCbZJtIBDk-&GcH zkA{899nK_$bYig#%I7y=zrmivUc{OV6}7tc>!1Iv(otzK77OybEEATqmdh5rJbz7o zSN_@j%lY5t6Anv$zQw_UJI01qroI^jK_8ri)9^F+9b`k=|1+z5kqE#n?nQln#ADD6 zb2&YI5bfJU$AlI%bQWb_@sAQb=oZhehEw18Xfj4eZ+X}v7o=#35#0(pMOz3|zXfcs z1YeRQ`}%<12*|%~CJBi)QK;b2r1!y|ywsPU91&4TKoYqzSj$Gtjf`1G z5YaH^^|XTNy8nEkVHe)p4eZT{Buu}vLyg8KfXT-r02jh^iIPX4LYv>^0j|zw-R%D3 z0JHMAL<}$KokL38feyo&Foy;DfPOO-egVApo@AUPrIJ~Xkwo`nC`*Y~DccU&2B;77 z69ip53_>wMLJB3!m?wwq`!t>_`#jTBrr}2a;2a_fDfCrDxeYHBZMI@hW51$oWVIoq zvOJC)e#Wz2|MP3NPPX>eeJ?=bG^gy5v{BZMT!BN z%i$Opf6-CbMqT@XBQ-Z)<^r~0Ns7a1Tswu2)tD%-ROflJ{cmv$MT>&ENjuk>svn?L zExoPPV=S#VfZVnqNp_pS31@%|b;4z#&%%$I;P#g~hoQ9%yhL4~OZM(0oX-==yqBme zrs~?+*zZHVPOsMq`Y)c*p=&mrfRG1cw39p1g$GUV&ttC}0?!r%gS9Q7Wwo=m5i~Z})`2>Ib|$Nq1y~xsq*ek5$r7$^nG+bC zK4-V{*G@dU`E2v$X4X(MSk?@FY6ib<262AyYd=_{0nTQ>MyJ#Go1N_V?OQ9KSrgwC z591@yaGs4R(%5GJ#zqDuhPQm{!cXdS^V_B2-hSRA+aB^cA2C-nSgw#UgmPek17Si> zWJH&-jyX0o+BP^8uwYY<#0514{T#ofj9`o`Qd4j_!8gJO_Qr`#!&-nz(Bn+^jy6ylzGY8L*4!cIntBNV3C+ zYFb9EgF|^}kG+{BH=9|O)%a{K>(8^f&WThiiY>)iO63@Esm)9DMx(79o%&|A9cOK_ zUl{f7XKn8z2P)NMAHS~3x4OZ}?;~Yg%4h^7X*nV;_2K&MK6l_@!YD{D<@2~WtQK(h z@6g~&>)jtY-K2ZOG2X5xeN`8zfBWX}@b$sL9a5af<);`=l?f<^Cr>z4!T)H9icrb> zPC9tj(+3P^+hCXi40|k zlBTVUKoO~kmC1;3L?^SPOh1STCX4uIBQ`BMn~(xlLK8E+kqXylGz7> zzm#>Al^@rR#Ir?-NpARMpd8&b`h6ylT7?qbdO#W|sOT+14@zBR7br%1_a{TC9Hu63 zRph$@XNa=u>YMAHDRaN9)?&HRPGuoCgTYTj?5HG(cf_% zi=83wnygB7`r5tC>_aZQ*W-BzZTlzcr!gwiFQ@Rxc`E0pJ2!Hy1goI3{wJwCv;phI z`YFUaZRjmqq1w1%{d%6I-m^~Tdb(`@_H9|Zty3)OB;eHrCZex#JeVn|U>$Ma`t}kZDBa8H9jHCdXSr9~7=EA6#;SXd>b$PnTLmc&J(a+OO016t@(XG5i@}f|fo|w6!boPJ{ z3giTL7mo`;JT9>a+KTcVKn0e(FpyN(;x)m;il?{U`T>;LrD*E*m*|ZYRw1&M9_j*9 zG#^}7)$GIae!6+wYlT19XS-&a@3!2L^7;M7(5=!jW=5h4rdD#oi4vTG$ESf(jSKm_ z{$u}_uy27*>dN2zJ{fX=JJG=a{IIhoV4*T;vfJ%4(r;;foB0F38nFJYa2pk2E8eRdCLwx# z6vReGSdhUhzJwbXrCqBdH);_J5)~T+h4rvFx~5V=I}WwN@TQh z+Om|pnLZe$-A%d%n%P-e)Fq{Vwv3%(aDi-Qz@B;upPgYSk*KmV#cF!aj%r*9hrfc3|5RZ%Z66Rk@u3j%c~XU0D|0N(-n@BRy*@($*jR_S12{Sy z9eMF+Zyw!MFr^hP71kL$p(#&OhxUs#X_emeM6N^yr@cIN)2C<}(q2AgQBnotkLeGj zSAH2YtE))!vCOa!F(W7GF@vok2yrvkbhP8TL zFd)PEVenKq@R*?m9EGA$Aq2;QqbC!WXrx^qy2W($a8@pB5mn`N(U_&e73#LdEAaJD zUcY|*iafb|MaH53WH(Ff-v`F2B(Rk1CA!G;a-qnD35 zH4z{Og>XY^vVmns?{m-KB(*2YsrpLikUmfhm*wZfI@h{&P@>TUVBlWiKN>HVVj`Vu zC$kMxngl~ClPqHp=`+*mi!Jl{)H#yrq0iZ2PwIEu|8*_F#c)w>LH3eYr$7}g-sW#X zFB>2C`92|tQ37n2ovCtS0;L7)zZDZvYr~fcM5}#g&_!6Z!xP-{C`|_PE`dHXaSq9w zMuh}U|5azAZ%KO#Iz3P>KP5FK%UxBKwQ7lZNkKstS16Qa$?j8?Gu)X=XUK_D{YvA8c8#VVcWjNn?+MDOO7si$9b$D_3` zLjF;aq#zcE1jDgIV-jrpUTCwPRcf0{l%hg-Egsqa#WyevUaKBRtFa*^I``^(@9XgY z36Jg!?V3X10+TmLv?16OtgG89+B)9_7R^i%ai?_(XlAMOv}`&tU?d8t zmEz2+M5O850H+yZwIu+`=C3J(teWPhnqE@syU~l9Dc8(fRP6EpT5l8TR%Odyg&5?W z%EhF4ICXTS|$__<{6BmeMgVk6?Mf8u}j~+{%@8x`X$Iod>QK; z>Ta4M->q(p)xHaPN5x_l+~$pj{o5uI8K8SBK>s&S)oofV(qpG5I-X~@iFuO!JSfaA z`&d0TCWe{PoZOs1tg*3G%gdaA5bDq^bp5j?F~P^lz%LJ>n{w>)NEZ5ULJme=J6Kt2 zqa34-ZHsptP8?1qz(r<at6V;zspUMH>3srPzUMc1vG z9*UY1#1?>D^B3J+_d7MaGx%=DcWJkmG#tZvbCyK2E>v9?4r)1G9>nE9$KroWj2 z-O&4L0dH78D{0}uK=jQ>%!)h}?90(mWJ7(_91VoR=CNpfw0;bFJueHji88(11cyW6 zxNlhJ?db68tf5GY%S!$hWK4xak%SLh6dyq6WnXS?Du4wR=bi&QK~vm<1|J)Pim%}l zd^p78B^Bs&Ay#82hXwCa*B=GLB)T|SXv9Na0hjaud-{v-Qdd>5{8uyqF1m?yr}p)z z2m&*Acc7-*8XahWcC%Duq5TZa_HzlhvP`T}Rwu0w?OK^7Yf2?xq(5Y}ntFtX*r}Po0iMeJvwQ1EqF4O0w((GBNKA=h*sYWu*2Qm#E zn^kNpv2ars#7X;U#(utdt509&ZUQ=V${O}mfkyIJHq0)7pwk=-n$W?&dZQgv*Fkk$ zgU%nHLKm%G&;nXtNhII(C*3buB9)$3q411YY`{FmaH!B9Y9qH)K=gi=(Q6v#92jo- z@xZUZ5II6+U_pj7^uVTvjPofU=$Je|y{&NR^H5w~4gR)D&j=p*W z9EU>c{kJxE$k{wntZDuqY9G)co&Tgso!lYh?p5?!MVla59XY6CVXQd1%{`2VSj(6x zH|A9QL0l>=Te*BW0A*Dr-V&p+OrNLKt}9!2pY@;N^8hK9QX0o-POAvwGb(}%VWphP z-_zy);n=k`j-4+mk)7sqjk zWB#NDsQQ8YE_N^!b~POo9?2!7g)r3SF!zQ}M9AI|Xe&NX>S|2eNN}dM^A|9d{JM3K z3PM}y*;4r{PA`Nd#sk3$eMzgW6 z-gBU=CV6}ZRi)MjMT?txILr>2cdw?Z8u~2!2vyY-Qfq5;WR&0$eexL6JF=Vg8N1S*z~pCON9VSHE0H= zxCrA=saC-f?JBA~`x`u4-Mqh2(~qa*n3doH}8F8 zWp4zR7|P%G{oR@L2n9KMHAMkDRO|HnP1VV!4E|xfsa%F-R6$E+^w}I1qbYWcYr@T} zEGkD?%zA*H`|%i7=4Z=f`Nhj^%hl?9u0oNSpLyRjr6`rB%-)?0yXK4*&2(f zX>2ojzsiZ}glJ98tm? zP0-cURUX_G=eG=EX5)E@@xfJ#sXMlzO$l4heQ^Um2A(N>m?XEkkjFe?wIy$(>v~QI z>A?-KFWxb}>G0H5aE5`u5UvS1haj@l&FE{I3~QgO>ZuY-@@Su0C-sB{xWEn-B{nhK zSxD7zA@mA2OnF`vOOu}0Yo(d2?Thj<;?Zc{Dz#c5$XljgqBk1z+*ZG}xHwM%bYR5_ zQJ(1gn5<{g9229{GG`h*jS1Dx6~?3&TSK{PRa%Kqkh|U--4xJ=u zdG_Am9eQO8R45F}(A&&)7WmOc`kKm_@U=AsCdg-1us{PVV$pb{VyK;9CkELSmeZMq z;gTg11AJmj@Fh;{bFw>j_oIfMxYN@;(1+#v`^nD}W7(KMn3XdnPJRL9?ci1VRQB#W zQ0@dbsYU-JF_Fy?i)GTWPZ(;zLR+mvZArfJu)dHJ3JN%+6XIUmQ%dUo4WoA`&ugM` z?A5Fis+!h2WX>Y_Mo!VDjT?)66>BT3Rzs2Dep72yq$`;bBBSq0Ix%E%nL+&$b1^&J zW0ErMYihCRIYJAKtOxfD|IAz7%=;}byHJafdsKuX7vrAX3#I7m)^>0(Qc7ad=TuCE zj7fyLoiBEePV}KG7H{94zVW^!Ib7Yksb-iwl8s|hBUb2bj0Ow)>xp0oW-I=sNY`Xt zD%4|peDQ-u{cd(><3m}vK(5GEgr){gUWx?K?S1<{0EMRj_CVq~FbE*Y%PAZ|r{BAN z_Su`Q?;QQ)b1Ro+Lg@2yke93iN2rzmv~et(BNU3V#y_Q3{)oN2Jf^lMpWC6)32Q?F z4$lL#>q~yLlv?>9dXIYw_plVKeAZgl4`{sOmLClKU}R+Z`nI;so0l7xmM&E)m*;UI zd}#SY-x>8%c|5HpmY#_R7~OBx;4>s-3!>b@!~$2`Z^422yFav$kBE6AcIoe%EY&SO z_>w<9gb=`i%BVyiqQ4mbFcx7vKZ9}E4CWd-)l`a_> zrd(3QOAtakEQ<^bRigL)K|W08)c%lqJH{tuJ94O}^p_9{#A87~pADq+v#)SZ;yzX- ztC}^;TIX0%wLHJ5$RRH)%QHV%SC{aV%S5nZpBXH7m^~F09RCIO(qtT$_kU0aW7+LmRrmJwrvF2dD~cKedP24(X)3s!Cew z^GPkMgF&elUxfo8-P$tP($FBy5k4r)$QYN7e_zy@+jpmP(KO-4eRIt3*3w8RbW#;I zog&fj<1|^2I;GdCruTo@e4D<oOW$xu zF~`QUaivT+Y9>3H2ApCADfRC>OquB32=(eslDY$~89dm=nrxU~02G2y&)P*P3R2WOnAht$aY$?WvEYKdze31e@ZGl%)A1rg2+WEq5|GiI>UeHRwwrkswW?n!s=7r=||C%*^xF6-CGZh>H#n@+u&jpV@L+u{TWPAY+vN$X&RmV)S z9&jx8O5E5ZpvCa$BagVZcMf;9w74JGv?(5UXA6`9f^b*W*N4M;xBh;#sq>yp%S2Bz z>&!Q>@3pU)+7Nt?g0!&C*v|)#clL~9;oD&-0!DG*F2tWuktX+0YNo#)~mEc01zh+MXI0pzS@riej(73ET;VzmQ7=4?9hfXbm8Prp}{UPdm zWeP`enk4)4ag7cO(#qe=9ND|no*JkuD37IN`B{&!svL{@*VlSj*&L21KQGTCRw_N_ z%1Y0sa7TE}8p1<-55ywPoyg4RrRMZzMN=iXhS8@pt7Ms}@#dL2mc~P9vSy@LvFR}o z`q@I0&YDBzdjA4UTf$ZE7E(7d6z!(jQ`c0$0ee8iW+z#2KlN4W@`ER@^++))$;imh z&X%E%(Cc`G5wJcsRp6G0E)hlFpx6BoE)<>0gfLT^+;rLMvwB|R5J#z+LVd{Q@*AIS zk7Anxm`V4hz_xa!A;*Bdm}nV8H!Mbh#B8l9hR>JP(LcW0m26mb7&YByZ`rx&sc{8~ zLxSk78;lAS5EiP>T4XQN@_Jh3Zm@H6T#oU1;)1Co;CVLP@cF=BTbzlJJXzM}KSSZL zh>e~$dybh5$=ySXw2DB03aS-wX)JPR5yL0&0TojlsYhxANfEU*BAF;#!in&!e=qpvjSo=` z=>((SyqO`&J`@|Upok+O%0|K0%lwF*nlzY zw+^&-@e(7{M!wC}Z!#1Jb#U(v|M_Dl%-`<3NZHUo-?8v{1Sv8M9j9f>{>}PT|LD-+ zZEyB^tMaaO4dA59aPl)k`~-W5=HJ!3Y>|X1++zxa4dFu2@9voNjiG^20l*rf1sK8O zFLt3@%ouiIh0Ct7Cdd2p7K=P#F;046zTGo!@_~2h42kX;oyHxk3YL@gFx?fc_t}jW zi%+|1m2a6tD)ogt>peq5zP!rHc3=B_wsKxJ#97Lod$^FLNHNhYn9Q1GZOuyYnJ|3S zX{hXX?;XA%l}&r(WvTsx1`xszF5%>=crb&{Uh=OmYJ(&hut9soPlJbl1EiJh?QZn- z{$2Z?Fex;vE?!3GPdQJ8!7kW!M{V~W5}eHDK*b7nzsKse^baK189eFcAbXYOR~ak9 z#ug#`VdIM8x5l2dAO06yspScz;zp_3uslop`~h|!xy=Fm5jROTS<%JnUJ{+49ctO4 z#m)|psRGuY{=tQ7P#t&?9UmnD5pBq1^ZC5|0QxK4J{h+-OG4}nV_|alK(1qE1jy`f&K#cBf1 zfZvam$vm>PYq9nXTQ=<4h4EQZmfij^_VD*7w+mwDbe20$Ifmh4Tc99gVZ3pg{5UNj zvn-_=Nf#(H>;>sTh!hn$m^n;REIgq5EfolsyhJ=hrv1OsRQ==^Owh#9r7 za|_q6S|nVCp_8db;#KN_guKQufapg3#*J0Lg02D!cn_Tfadh%VUr%lG@ST%k-w@;- zmqCfPs3uY$EZXaa8GW6c)l1$Y3qz}y2(|E--~WfkArJQc?(m2l%aIo~jWb6=)DPI= zn!2`6sU;%Sd=6gz`^)tWoz<7ol)t^t!S3*P@Tm9jM|nxrH{Ss7U;dJOlX{H*1-_i< z4s<7wk?E%S`zZG-5NjGy>Ll=SkI_|aC{12JvREhEWEF6Een>=2;{g*m23a_BFFcLs zQSp?XG3#ZGQ+ZOgL+P*=$Bns-@wi=+n`@_AX+a7&XXcw{;kcP|&tz>X09cxOE?y6+TG4%L-X8i=Jy-zj1c!6tw|cfh<&_EN95%~ z@X{puMUHoBO5EIFzr9zoZ zgMM>;XSb(H**dw?+tPTJx>xcB{Qd!Oc5AsnU>ZU#ZNcEvn}2?4%iEZLnEZUWJP-2t zWVZj`EV}RTA4O(dutr5HmBQqBd$v+o6BHIic^NRv?$bvL+Q5t8w^ z-KLK|Vpxsd;O@qwtTI-B+RZwLI;OBbw0*lmUrzYhexp&L5eRHH#imF{WbInTVu{48 zFyF7+JUu2e*C(gP>;OkVxW7{(t|_@r?Hm#W+K}l%dumVTjxN(Yc62vlRyLG=uY|L( z(&gUMHJ#u0XFAn>@X91QX5ZXvC%gQB94bZ61-m?zzaM#)FED0jW`n90pZh15tH(%J z*Y;A>{}LFFtI^=(C<^ES3-H7lY|c{(n5o1GF{rz%9;)i@tR5ndW?@ndw3PI8m0CJB zWWb?Hh;Cv=|7yLg5Vj5Su+ZbzPJkiG#e$t>gM9e2uHBR7tjEB?Dh`ejIYzafP4uA; z1${QOjgFQ*<3{&?gDARu$AOt98FR&0oBslAN` z^!ljMVyUl>X1W)-%gUkxe|2@VG29-0^wB7+t20Cm-w|-T$QC*Lk~^QkHriGbY4RIor%;)!UCg#W`Urg{;NJI zBk2lESWdO4xf;DI_^3KTl9L!G`6k3CNwV8~l0?S@=-X;Ps3tJ*3v?a>2?)H&_&D_Z z>tfh`ma%JnPenZ9>S-FxG;hu1L9^iuT+BT(94XLgllrgl4oLicY$zT&2XyH7Q#a5g z7=m9@_lv~eCFc-4sV0H`m8x9(O~EH~%XxTmvO}#Q-64_?dLdzH88P*Mcj&%DjH+CZ zraOi;tYKES!?tZprCx6>s#*cv4ke%O+OTF#lTWe8Q3M!c!vNpS8&BRz32uY))X-=#V6=}b$T+E2-B%Y8CV?~S{9-!@S0#RO}CW;L4&;|QH_p+%&K;hY^}Tn_SxDSs!<>9 zwBy!z!ZHj`C!_H6UCn-5ENb5mMTe+eE}#r3mhd<`qol7J+AOCCL;Z@C5*-{G^F0lS ztK?QEs16R2z-()!q1qC;pVq)V4Tb$R=!~OB2(Oa=Np01abpt~+Kt}#23I1{A3dp^5 z33UT{xCpSE{fw}0cKf#idQ%UU*nQIU87N^#)IU{RV=~-caFoZ)ke3^TAMPLy6tKLCohb-?039LhU?o zVo^%>Zw6O$Pct`t=*oN@q`JTh(M$`7=_FbI3ry#}?O@-H*1G80Q0v~hNNCMo$Un^! zYarzRh!1}k2#49&Zn_D4hLmdF4+p}5_jd-O(ZEh13 zm{+|aRY_6$k=x=|x{ki8F)TC8LSC8I&rTX0urqG!@1BkwZXQCIzU!IuAL?>5o0bRpoqjI@kVf0;aHpV^4K5rz{ z6{?0GZm5ZQld8(at;3Pltv!+9!AR5H=Ze=phGm?{p?-oE2Ay`RC#VYQ=!537a_bdwL0-HjHS>`;&`TPz`{=T{Ci_Y6J}I~@+1jl&S{ zuCbbSo(e+N)gRk<5<;$R>?LVx1`>?XD%j*uuxo$)If%t$-7Q^cBW3d$JACwEwNw>C zAJb9ZCM(Pm@TK-5xt73^FE^<*(hd!uFN}frG*O?)VF6QAjvc1F`C;6fW}T{}s;(ir z7g-No#Z|DUqXVk#_7yAO5^H%m%r%#m!Ut;OwGTZ6rTpUJm2l;K0YtNii+Nc$YnD+) zwZNzs821dboF>g}LSO9ZJK}r6j+t`RJ+%}(0Q5h6Tn@8zdTSZH;$9!|etI?#3I)!- z5UGpSz5rxYffY=eA#W!S#_CrC5AdQ(sjDJB*x4Dob2aLD9`cUyMFkdDDD1QhH!872 z450r<=mSL>nE@Wy(~0%hQs1uc{D2SZQjwGNQkppq|!iYwZ-D%IjlhcOH#(LGclU3bJTS2g&HhqU@?9} zHn-5?&Vm|b(`i}ynn}ea_l%%Qrq%oAV*qhR{)h(poa`5zP(W&Xz$mTJEG>ll`i!>r zeRO;tBPRv@377fU1WB4Z;g7q084wC~36dBDmA$}+UIM-(0V=1C?CG=``}UB>6%fh` z&0C9|aa&J}z{~-)9F~|)@(ddb^8{LKq!;2QRp;9hj(qd5Ef?bwVpUV~0983*RH~NO z(M?(9NQbGqabPUwbT!iX`oFF?yV!8hMw0oO0^ihBo2R0!2mXJ~z6Cs~E8my3*lY-F zNFWeGVvI&7^52&7^5+ea=*^+BEfgJx-3- z zesj@b;0K%0WuQgtrtgQq8$>?=;INKN#W(_aEmfFCV@6xpouw3V zd)*i(sFBsBkLot-NlText=T%~eFUY1a}V!6|~BKBm% zlP|uwVyWN1qQvVhT2b`l9{wR0Pvu|283|ODG}RVJjD}421Wd)LQW!N#koho`5miJh zrsh1U`iXLC&1Wxps%TR51EUZ1zzL`fYEBwKbR9rP-Qk#>ImaKV@uKk?o|=g7M(_Up z{Ti+};titid%lB)+l}SmvtS)TH2aa(*GNEkEdCy>h`%=(3Rw>lW7$}iDp(c@I)kwq z5e$Vf^P-P!D~!4f4b~S_R1cguXgT&zU|cSthp0p*zkR3_`Vt(G>F`S`S-v5KtU2DL zY!-g+;J69DN)WX^G#+T<^Ek{->W>WMD65Wr_VL+#gF66z0d|FOjFpDt5~(crau*m2EL|EvF%YYvCNyhWJ&};F zEEq~Q`zP;1?hti<_a+w(F)oV`rl$)6Zg-dr7TiFGP6fhI|K#~-#a`0lr-`x&cgXJ} zLJ@8-fNAB&IU4ul^Z5$6sU@=gqrFQp4dCQR{ASiK)~B&q7!Gky(HZB`uVvxxca{rpZ(Vu2c(yum=A8;?wC1IrznK_-` zChUK&+UT?^HSvoRN^M4^pPweIh0yDHMI8ahA%l+QkFrnWCPpp2D}HKMw`(_!jA;F7 zSXzC(R$W9CEnBAD(9%b41+DzC$FxgKCT)3X=_>82M>4=ZvRq1?c2fcwoX~uu4S51s zpH!@vhSvyFil0LHY*6;}$(JM08M!lOao{O4_SC>t*8c_sdN$M?szp>fiyU=sDpWs4RqTN!zo!|shhz2=R@9*vxk z!{Ks`uJg#=&}r3?tssNwBM$PteL&Y%CW(Y31howy%oBV_n*XyfL^+Cd(oe*XUL~J+ zhdlN~WhdI+=HeeDxH8w$%R{fsD+xw6A82=gS~n11VL~znRIYXBVq!uTKo=`=LO=lnfLSv$s<<9oniCnG%&7DgA+kKR}I8`$PPw!VL=_mS8em z0+^sSkby=oA@uFSN-&()V*mbiu-@*ZoV{of8*GEzaS^4IR_Cv6cGppHLmNRF zkxpxSm$}OeE>*qA=f8}z;(Pcn^WZaZ)pzkG7ZoaGYFO1vn%9<8ZwLwSx?rJxzra+f z(n&OMV?*7M{Tp4__+k#h#UUH*GdrqJfDH8BMN8rFYcw?oQ|4UqTs9dti1O;pfwC^j zw~$_e9zl{@TRKc~jkYW7(NJyaDr!gdS<(4mlfKDO+gl3UdLjA^ut7v6i6uYF=CVb( zjdv-JGG198@#;6I`)$9N|Ih!thnb$v5hh~ zf8XZ78-vY$+6U2oBldLsO)fk>=oFBNiLjDC$0VU4k!ei6Y2{=^RYRwh+3SsSzWfr?xti)+?GCCyVG6FM z;pQ3r=tiPM(Pz?mD)Tk*ZH)>Q$Fwqy&8>v|5^F!P3LJwJZ1+R$2GpVj_kOG}oXQ@|`JDam^2XPbWZ>tAQd zMFjDB*6WX+W_d*FQaD}300k+vyF{oXO{ov30v2|H@i9e6Nbf*uhf?hWY8-Y(tV6W| zX=Mv)&n{3U+k`li^pWyp;n6_d()1|PAy2X?_zw_obRru8=F8VABhd=rI{B&8=hD@x`Tn^I^;1LVC*K(5)L z0*oRJj9FZ__R~MvHB76cjstC6PYJha+yW*bx!WA* zD{{Am8ES@=QO4+Ej4(Db-emkY##44powrKFk#U&Js<&Q$y=ruDuxj)8c-6Bnzg)Gv zB3!Y4eO0N+RP}_-Hot2A|6AEJWz|?yGD%bMt$cb56-yA$;JBeZP@q9Gbb+Xb#a2!#A2q{B>0&-41j5udjQvj zr8^IgxS=f+8Vq`ICKF#((eJInA?&#M=Wt-$8zS%G!N9JcJjJH?!Wq6nprf}*g-^`l z@PwJp#HRVss}G&KhK@#7Hw8fq-9B83Z=!ykn$kX3l)4iw?{W&RUPXiCtG^F+h}mhp z3|Ys2CEmT+WU_{Iiuj4wm0DTIp2iadp}-l~ri_9E&`kXbp>j{PkG|tJmvP6&+Nt|K zZ}LfBz*?R;Hb!~O8g!b>!IWfPy^67hv6=C|7;oF#|LN4J!zbQ6fBwLkOBcp2?%K7b zHnegL+dWrW)W4y%^_5>Vym$h9d$6NE7_ew|m+J2_W`#4~6QYtehf2 zp~*d`iBFP$L}qj0L$lV&+8izYJ%jr>2~na_`h>lsDSK4OaxR;)at}4V6K&Tiz0fHR zCqp5NbitWZX6KAEdOQgQ>eT;BK{6iFpOzc3B(p~W=!s=O zu_zN<^44&$o2c|!T&lVGnaR^vvsuq0MnQy2dPvl{-$)m4=^SHO7C zzh-p+P9VZjA9I7d=(p%zhN|Yd5B~$yVHZq194A9SC@^Ubf-Ws5jZ0+CQZsMz5 z!;S^6y8fQ1p}4f$-Dhkm-FWD=?JDA%h5}Wl!;Fi6!ova9vR*ZJm%Ccy(z`hvx89|x z=3MLn=lI=(DI|s*wjkePA@2x9xmHTWx}>_g#Ne-vZ|&gW7{DOJWErpWdx=3P=;iyn z6soz^Emx;dAuBMHe{m{6uyVN{MtI^K=o8d!Y z4{j{C>U0^#jOj89tym~bb+xim{Vt;rqt1$IO27k9Bq_xvk+e9IK1Ni*JZolC1u_$$ z1u8w(ZTwfr8_UCFT8uQzeDvG33N2|-x!ZFnmOOA07!Pmqs6y7ZEjrXu7b>X(mc`?9 zuq>@aV}KfSPnXrBeRn5#wLyh$UmrvlG}x~uKgriJFQxcy2OoI7L$6kV?S8j;iMAJB zsqW9lxV#L-1K$J?AW+PeqZ=RG1;;<#tP+4Oa87||3Pk??A*w1_j&`H}dSi)a9AuvV z+D2Zdee{e%fH9BZrIdb5?3^6A{_)C6Ihin6t<@|E3I+>gFuggS#Q}%LR{q2Dl+Jy8o-g=pD5i z1V7$X+ilqBR_8L&c$wGZn#5?hHNR<$KWK|bgi*fF&zG>^uj9wi#Nv6o9$W{XRG7ex zK+l2D?mufe1TWjYyPsT!_~+2!8;0 zH#~YaGts}GS{ls60nbVuaM6^iPjUrj>|k`Czh5ZS!aMclp2+>qE(?tWa}h%XVe06) zUsrBC2abwB5N!>rqPe5{1gOW@G5@Kr%XZ{Zr7j}Z0&YM1ROlDk=S~A-#DKnf{USQ1 z!5Tr@vz{!NE|8CYO-0Px32!sXDO2W@cUV0!$Z0YWpp` zE;uy$jxrO>g)R+;L;BF-J?~MUFbDnn-2r*{!qKlTnW%`!ijJ`l;Za5oV*#yh)Jn|} ztCrg=$~*~sRiwIl`QydKdVS0CmWQwJv~~>zZ%%07($JpiMsnr?<#Z-SQ&}gL~Ro_RN066-rpO4&EI(#g~J7YJa%07Q%WjfK_ z%3R$YV?NdWM6tj4$tSyqU+C!QPH@I<>E7~)dHNXu9jf?8b3mFBy1dE4-gMtarO09> zg2hBi%w8ZhOsy}KxJYTT>W4ziURO!AJ=C$1gs zJmzX#XCq@7?k^P(6YIXx_O)AXMgT*j?%GM$S`K`)u;G|4f1^Y?SC)&gq7ArZZ=PlG zoSZ!CtC#<(a^JbI`QvKGu)VPZl*al7Vx{pv<>|F^V$J~kKWzdCMRJX@KF`(zFQDV5 zio!79XLK;8tjE|HDl!^_VaPLN{VL(heUy@-s zo~dfRPw6IG&l*g<&r~h$>#?o>V!UMkI=_jN$-(tHi~~>IBBa@TRaHUBzF=uNcek~; zeuVmnh9g>C*G{ZU<8i7TeI1b?Hw}kI&4BE&Ui8Z9);Us;0sf#%ps%kypqyK!}soV=PE`bov`}*oNYR_!@yNrzIVhjhv#qcnmW4yum?~Ijp?X#WP zL+wAUu5MVncBp=3Br;U)X1WcAp~r|y!s#5^{_0zA4b2m)#Odi9hBo}j_L=omA|aXH zNmHe}*)qe@N0s{M{V~14GLsyPVCKx|EVWC?tXmp>MD4vPt1%O<0H<>xKqo(@tZiQ& z4moP6e6z`N6y3Gg)wlq8Qb*JI+3;$Jf)Ks#ub~Tk)&0#q?pPh<4szk4TP1(G)p9jH zN-p{?K}J3#GuU*hfQV^=kw^fUfH~mv1<+X-^M+&P54znoF(&^(e~UFQud?{6d}Aie zoTm_^gHCV6@Aua4yJX9H`|#kEY|vP%LN{`BwR)(DoQK?)#a2mX>1}jHPghdxfKn{JA$0j0D2&+8S@?2d`P7XD|8nz3Ics76~hjn=TmK2fm(?+JuKN zPA><$M$<{q|6Bn>ux zi@rU0O&@gHSQjiVmlb`n@jt*0aIjW^a?n-uJCtqmKt_LL@A24)=PowI%ZA7dzz)vB z^g(K#XfPcjJ^B>=tJmoN_&ym32X!N?ub%FiSbbqq2C~4*Xd8I~O40l5W28sRXDCU_ zNhvBd(~3SaF1uN`iv6@M!hT$*Dv-17MU_Q5-E#Kw9~aoBS#?O;rlgUFH0Qa{M9)&P zlf#fKJaYzTEhwIvr=*i+oUTqM5UP^IeoVd(PrZdTqhQW7&RrjZ9w-=}1M}v?ey5M4 zXdR(N+2SXh+StTIY+^KY=EF6geSh@#n-2QIf#50remRt@;O>aeRl9rZ7PG1$3e#Un zhh2T;qWU$pZ6UC7ZLn7gW%**SXY^GL5hR_|Ix$9u1nJu*vm{*EOBg0bk=k&y2D}y~ z;6UuPQ*~G1P;~5*=r@z9i{O`uRO_3SbrMWKkiG{==x54$_ZqbuBk^=!ON$Slzkj3v zd#Pf0Z}33t(D`zL%ja;FgB=WdMLs8E3<`rv&1P+&na{};88AQpY;Vg?p?_($L!Vnb zpA0i#PNvzcf~wiI;Uvd7y*e|s#j=uR-IR?;Vv~~NjMLK73H^EcmZritB_L{)K4X&I zTsf8QvFR12YRT-R3=k_pRi>nPuCo%WRtlbeYkww`MHZ17{>@(7sry^V*{(Ob^@k#T67u}W^XDEgz@x2O8wUEoMbH9y z2P9CWf;FKChofIDY9u!EAR4pv;+3{JATxaC#is_(*B;qlzk_+hK<-+Tv2?O&+sz8n#R#Nx^3gpb*fe}5 z@NZiUGUn&5XR|R5FGJlu>E_^h+gUhFL!IXB-61eCpPjvh{b}^8&QL#8gil|J-K22E z@1i?26G1s+9l4@Er>3-4R`P#d*Te6sdxpQzWa5j{a?>!3AAPc}u94sPXcmbX-6Y}k z(J4;#pl6+&De`)iFllJ!Q+~&oIGp6tDIaPDU~FNs9yUeJn21CuPN8DujMJC!8^Cy_ zr?1rSsW=D)d*|dRC(Oa9eqv{zSs9KI!^F03y&X!8EvuZUx>BWE!iVW&WbmFZXRZN* zw_;+zOlOPp!+&SI55BR-T1rP;w3q#h8oqI?Do~QRWgTB@UxrOHR8TIUqK--M5TruzbSri zXwyYQI+sZ28loc%Hp7q3lJ;GkR4THPQG=iHJcUJQS-y~^@l{vZb23f&`8hcnYjLrr zan-tY8pDz$8ommM=7Yk*^_umw8_u)x-PG|-Ovt7HXLO;6PNRe~prxt&YX(J2<_x)_ z1ZqJsl~9m5#6RNWq|Uf1$=_K3CP0)J&mpgr9R_ELby#5ieUsWeA9jIKi1sr80Ns0E+o zl-iDaDz2FAECPgBv7XMhifk;6lc99=@%xC$+XM{=Wr~Pies5D1dFt3A6}s}#NAXiv zuGAhsKDq6K^C3RL#dEtp(SzfyoslhmR{Jl66i)??bL6>bCDleA?K8SNm}}9%^;>^u zXfi&(@B#P~@GgfrR8H57Zm|#JW(Gm7C50s3N_9+n>H@VzD{Z_YXe%iy3Owf2Ic>JU zJTYIzcew%^+Mj&(4+p!W@ge47K` zlr%SoDBXAS

    s72}WMN{S;W);+6M#Y;$z+*V;4pNMu%8_nLZ2>>NSBr2c9vDH z`U43qoaN5z-5anK&@qEFg^KpA^Y;wWQ37f+a?B)GcZsd4;oMQdND(#lP%meiFdeqO@#AKM|o*_O&&MmdlcC6xYVKceeHnz|a%W zODb}ib+9uWGYl3B!_HD@*Ld^{ZuzZQepx8btK`82GpxtJYCajph2Q+^&Z%6CmV0e0 z8egX`eo^W-rX$c_xv(_*SlvLkfqQdJ_IHBIdIJ)yIjT?cFi~dRHku1(b8PnP^(#hy zic9PdS7$6Hp0=w^I(28V>%s&4yGCwoMakRme#b{?kjqCw%dSA=M41io zViJ!5j~U86VgN*7lp(;#eQ0~xlT?9Hrwotd%@8)gE?SwS4dhk6mUOCtgRL3ldsJ`& zi?Mjc^!pft&))GCD#ti`-Q9sG)wW@^Ms*(@ZziOir<5hDJ}c3A>r7!|qznj4ke_bn zr^2k4_9xeF+)Z(_ubL&!5m{2rcAD6!7MmzbHlrx$iI_mD!Z)e#`_5?{hlbhN$ML}g za0jmFu{66u`BH=Vnov=ZtSOx_#w{wtDy>{lg2md_jh&TMr{e#Si*FoSSYcDLKv?a+ z?Bk|DJNyO!?xTTo0K>o_Y4U|e43vcw$)Xh)lSK*$w!k>ORx8ZMU29Eg?2OwMqEN|%6v)#PGD4vp$2ukW?7(pVyXyP~3K z)ta>i1Y4`34vSW&uwP@W&RnpgMFyu%SV&%VKKA_`zN<3b*p9i&{D;udNdSAM_i5I! zxkMr+2L8NNYL*WZDOcf*ojjW8F+SKw)mq@7Bx)#HUYEkjlAoS=CI`a~=lZh6Q#cm( z!Swy%0fm2PT#;ChWQG$MUeE_C55Fu$uGi8rEmb&g6Fs)5O%AMLU1_yvPn6V0Gu2`# zydZK|Tm^vNuw$QqM_1Q^1whgrm>g@}^7-pK%x{GF>i8J{A^!NWa9`x-8>zrQofZw# zzp7eTy=>>ep`=}WP|IEqozWx+rmA*gRdN$vfFNn)#HuDQov`p*rc@Pp-!3Po^LHQv zvKe#g_;X3*mz#)RvrWNsyMTrjq$VRO-x2B7L28!xYOojf5m2G8;smBr`$kbi3A~QP z=M_sed7!N@RaSz7i5sk};YSOjhd#3h8bHkw2EUrS)SKKCPZ9Niu|jm~Cj zr$yvWz2ZmGq{noa{|qG3cMJgdoDU6c>XV;Q$imi@b2Xb66P3wlA-PiJkIS$|vZFk{ zH!Pj(F*-*LfJzy5a{P!Q31O2mpaQ#B(Uvz>Hcn41F1wYA{MD9*JPRwCRF0;Un-kmG za^w37b9FmE|3~c!M64YsN7dLb*a2z+OtZ=dX1`egNc*6s9GcdCo&^Y;Uyj`~gzZ3!(c@l|90Ya z+Mw5=JbY*tFi{@=8N-A<%$SqshaxoUwSGTx73%P#Sp+HQ{5Cu@;e*0=(BuL9j03x<+UTzJJ<)8$GBP`?1-B0mjOw;JE4>H|JKcWZnJdkUM_b57@S6_ zfJ19!oCKa)q0tqRvo95=T*xKXwYg7vEnaZcG{6x(*LIbqKM)}nvxOlZ$~|&%Gwt4K zr)8ilR~pKD8OM-&GMvW7%d4Co$bGq&xNL(cx{y!=*)MMFa+LI%-LY$2n4GJ}i#wgr zip4nDoTzm>&}(Z?+(@0Bh!>r!k*yMzS+Ibd!(OjJ_r>D{an8J@KE)IM#cP={`()F3 zj_2l1%K*1`#|Hd_ZFEy=>si%aV`+bvWd5Dvzb{Wl3&|0 zjnIn1S`T3OnW~gz^NR-kgLy8?<^p-ptPVz z&Ql2A7=)hE(Du*(@%AKOPdGK<{5p?IcrIp%@>rtB{h*KL&!!Nevrl z2P@)f*3(fxLv`m5wSEX1AAu6}Wj&J$^j-7o1?=~*T973-Ot)7!r*{;?YixO}mYvNa zheJCGCZa~W&5M06*PI;<&lKLOPE|FFt9>291@P4A#7N43d#O^p2jk(7cWmuaFdPi2 zIV9?KEUWgTco);MqP1C^#)SSZ13pKbH(k|luV|FNGhk0}KaHyzk5-mjfoIsC6)oQ} z2(!MLFlzLm}x@{EQ)&L&d;$Gi23nd#8?4TZD;r|js))DPJVB|!(n)ENJV9iE zbB@dszD{UCbrFIIc^5Q~GJ-N6c^z`x=hC~T*4V(jj;9f8i9Ek^4zIZH+AIH{?Z_RaJ7jHAPjvkX|cvGNeJ~VBp$YTwSUol&@$uei0%z6&YfF*s4?9 zOGzVXicl_k9YSr~s$;w4RB0ThXofXS#2hAqcr4eN&Cp9ZmuH5Gof9k2+$g7}w?;_A z=-LaC)4KH{qhY=F=X7gDuiQv%jb@I-xtC3_oRwpeaxUy9WEQksN&f)J@G$b@rL7v3 zvg?#%6Z*l^_O3m~?BzzUE=3FElJKoM0zQgVQmhn`k`*Db2r<1?4_rFNEs9jZ=SZR3 z2BC=CEjlu4Ua3MWb?{NE!=6LqpCMO}*wNI*t$B zqtxqLBuuvZvv)EszUJF=r9>WENcZ9LwXdAscy7bCy$q($-`+qm=@F|J#a{r=-M)_O z;=U-Jk|uY&qi;4dicV-ZHr>cqllaeYwxY~{o2a7Wm=pDN<JCt|HTW_e8dCz1z|o_Y8p2Nq;G%@G;u8lsc32;QOkO{F z?dR6;arwr$^l+U+TEI`ap&pfo+X>)e`Uj>beAoHfxe2)RC>woOz}Rp}$0rA6E$gCH zf1kGpaAQZg*uV6@2uXkbV|y%&rX~V36vUEMsWo}RwM8zrTAc4+NaD6+67=ZC4dusf zgubpZ@TeHJ`P_djj1li>J5ipKEN@~r1Fv-_UcegbTBt^c@? z*nzt_yao66;Nhgm^F5PS&D^gp_Zb zTxkSPQBTP92E9>+#AIMlAvK0^GR4SWEhl0}LS!;|QsfAWP6Val((@)whIHsLa_+82 z!);(m`2Fr6z#Y@_9H;yiTFrHgKC;ZDrA>F5X}GcJc9qMnmmV30BZ0^Ylo}gT(ds!& z8M265TQ&qDE>n&WigFbRIk)M;aGZb4c-d$y0fwc#!G&_xiThR8e$BG+C&pb|iXbT%(EmcL9$^fc|L=f#w7y80wvWl5p7uSQ16EEVT^i;Fm@cRL{imnC^d!Z?0% zZc46ljyO5FvNb4w0yBV$iqf$!EG8zb1iC$NCMML)!)G|AOe9Q;FcGO#=9w$@YlaBm zrwESdRB?_iLs(SjZ!uBv7)o(Ql^icB8-X}A-2suIIkE8*Gplq#OHlx^{*Fqg~FiYSTee9wm#@8C%=D#5RflX~})qbL;*|c|wcswuZZh zCW-sSU7mJaQDotvKakU(25CoXRAnd2psftJkM}GAnVXpznV9e9?5?Dy9T_7F&02Aw zTC=9P)CtLSx76|}tetDH(h1S$+Yjq$%&MtJIZE936mE+)pVlQc)mf#iVc~rT5xL?l zdnj%J=A7f5i!#;LU*|o;&r?`kWm#Qp@i13}TSdT7v065-U$v9teM+0mfr7lgN=e-X zxuRs9LLC_y81ce;nE7K^462}FM^i%dDlEVpy0ZhAuh35#_L2Q@E=ISrV_?|XG%aQT z(x8rmj9lnF7?eW z3;D4jc%G=1@_A~S95d5_m%+T9TWD_)<@VqOWxJ~&V!#KWGnc1t%O`3V?CkH{h1R;i zZ0|Uh((1a~tey50!6(VHebg7|SYUHiB(a%gKD-Yz0Z&aIT2xgY(e%)!a4V`UX4Fw_ zgIp>fG3Pfhp1H}V3b-d3f{t`*!)cCEXt&!ZGtgs!E-v5FJ6~q~%OY!kBCRA*N4UL!`6)r>9T3NZ@KiEqqn> z=cC_+j(e*GpTnAhp+Hw$d@IONw#3m&8yG{Q~p9U%djtNn+lr` zC|dZQ8l{l2PG29+zcbSN2l)yoVt7_KR30 z1*Gm*M<6iEYZY0C79$v3)?~2a#|f47*avG&1XINoU?vemdol8Vsf0p7Qc&b87r0v% zJ|u*C&dV@Q1CrjOA?r35dMZx)9gs;&gR+owg9GBT=Bm}=E==B0hHrP{nGIPjwl)i*>hJ0Z%!M`3Lm=Z4|S)5C{iR)+zc!c^@gk`ZSU?Og12KN*eEza=>oBu@5(DvX=gEXG=la5LNN z>bN4bZ@=Zxa%TTN2{*mNQsks={<$SgJQ6oqq@skFXM&|EGl_rLt zIU1_A={YYbEXI-ANTb+!ISCILJ9$qqHaL3Ks?2+mhY7*}pO>&NXlO8?owwgHWMG*R zZS^a~#@VU4f;e+{jrqbRVNba{VrNPbx87kwYrc+)qn#$~`XqIBiaEY+2^CFNMF@L^ z!TJ7POt#fcP4dCHHE;MSAOigr>1)gywAu)}$Js&QH#lI3jt!sRzHNir%&) zBTJ7o&5-kK-iy6JX?0^H4G4`E>5!ZT%W2>_3(lIvpnHqXj|!!)tlqMcB!~^94{|>@ z#$&tqtk|5=^7YdUaM?h)W5>tBvgKK8&%kKvM7peAy-NEggdInQpkL-n zi|Pc+lGTEO1bn+#iu&_MsS$Esm;WEu(nwC%Iket@+I)_WV(>lV~@yWL9!Eo*y1 zyJuGl-|>hkt;2Qw+7y@eu3u=i{;^hAb*m!;;-)K`R~Qi!7zBLZ4VZ}_-MD{|ezaSQ z3N}J!)OSn-d8EEfqRJOygfCwQh)Rk4-V+YMN&W3x0+CX9{Y~Co@{LnQrqPWHvhIsU z!qQHtVtM-w{S6I%ngS&9&Cu{meuATErQ6iZG(V!2N#KmHOSR293q^EXW>UNpkogC| zt-nyZkJw(vzP^HSEx(Iw7oQF?#2gZ$+Ndf7ng?--%WrI(Yp^)_ct-#9ij3#&@`|d{ z%!h@Aj3I}+T>p-K!y4uX_4rz?(P0N^?XCEap-O*3WM=Lax%uyW!$jJfN z+5i|BWk|}Zb*D)~5WRhNQ}P+NSI}m%Jn)j*C8?v}|q$f5M9lk^Q^JPhsA^ksp z9eIX1Wd8@j_ho_Vx8|VYPmMED+0*|YYXADdgY^F8KRfhhD6ACz-*fg4uEag0kO$;o z_Iu{cwPg+NN01(F@Bt|;0#1DXK;W7niL~OukUDL2LUDSvJR`A6Rh_sf0si_#0V}(3 z!=2np3cM+U9-gdz>wJhxO5|?yD1PMde$TI)S#@|Lu@m^sbX1%(D1KSg+L=5jro~A$ zv9MJ>b}=YZ-x4fh#MAVUCZmIMfz1>}1lI^kx{c#E5losA7>T~1wUFehWd{T zndn0KF=AoO(x;S~^JLppFkY+!e>ny+UNH~MQs-65+RO4NJ(u8?P6a6yc6vODR!p77 z%(qz@V`1L=zd6VSEH%1)qn?7k7xu8}dL80EzKo39 zb?7om5Up1C6j-kYl**E!UsW@$0vX$Tw5t_5XyU#Vx4o9#J!LTs?$wE@cvHs+xlulf z5~7*C2EJmjn88#;KlDve*UsZRPvg&?W14a)x#ULI`@U+1&(z;xt*gqnsZXD^KMU?c z(fTU|+Rcl!VyBIEx+sk)3|yYik)>u4fR{Fu+;w74ZQTP@c}m*tV+!&b)#W`#H?<48 zHk>P8z(^rz(nb$V+p%w~H8yYvC{AuF#bl>$DSb&Oj5s5fef?$fwd{do6aCPX<_%@|=^=Fo zIEO`I?M4Dz-l}=7zZ`6u2`lfAHvy<|1Z&8)5};!}@O9LNV&b+D3)w+l*+4}$KzYl7 z^rkQRiwHx9fcjJiCXtYYR@FhEJjqXswMPqqVWD44Z`h||#cTHF926d=tF_$uLsc41 zV^tZRPN?HD5upQqG&pUAw_QgIJv@~0GPfEiT^Uyobi)g9As&fgT};&HTPs)GOo zRuMVHjrt;Tj?Xc6@x*cH$nYV`Ft_HXVn@@6RMsO1Xz)y>y^D)$vug{blosbFM(1{R z^*N`^Dk2R5_PQ;+40vgtew@6vyjypyta>GPY{!$#Z7Q=wzjk^@078 zj^wr7+WkR}qx!Pjad1uJ{J849#@1ZCr|ahB{o49>r@AAJ)BTlPp(cVRTow0sRZ_Tf zE*z#&H4N=ai*-@?gdl`EyR^@Jr)^bfpuJE#9gw5gCdLrGn0{ztc9yyL z$IH6aL+oFqRB5T2FOn8t-R^X?6HF3#k@A)Em4+N8mgJiX8ycINb#s;lkAmp3@W zt?TOZ?5QlY=V~Ix?w;~K?pxJl8S7P(Nf1yC(MR>lQYv<}#SJ3GLCR4hCk*;pL)8~{ ztpAXb8jr^?I(T7Wa#STy@(ll?>hnh7ysQ5lZCJb$0C#8Uewm`%s<_%#-gR4$HeOvc z?$+RXpEOz&Q^YcFG|g={hUK^sdYDt^hWPNdYFPGWc(l^~b{h@js!5MM#%;88nb&5d zJ@bnBtmb&5)>_Sby;p2F=kB_JzNR5<-tc-!7m_*I_^>{|JciL z-ky##b-UKXfnw=az5yyQ6Y-4F`>hn+=`0_FmNXMhUjEhQ=*wHJapA|F1zPv?7Mv=H?6Ud}P^hAf7c({Ug6SBt(!gE9NR837g(~YnDXI8DQ^v_)P5gq$6Yp=V7 z^)bxU8P!Y;XVa6oGb^lCkuIZGLUJbDXK$mWi1#oh4+naK$<466FZsnr>Z_#Jn$Pkt zS8ymys&m8I!xyB1={nD zNiXY;H7jygdX{*swJqOSxbLsoH%Cx$#a!Lr&P{^N$=|x2=6XxDCNz83ql_!_?AA_i zy@|D&mv?-LZoPRk!+aFGC}hJ`dotPR%k37Uq@g)IFIn}}yE;v_uVS%$+;tDU7UHgA za#K-Wy$pjd=i;<^I~jC8%`0c5E>_iAygIJgA=KGD&`p)>DGEQ%;IOST9x0&Q8uvh} zzZtn%Z?(Ie?&fWc6?E3*S(-w{(&L%E83a8&ou;Xkz<8djG{lbZTtV=V3eqW;dkUu&O9S6XN4tho>~eNcToPkD$6 zSDiuy0afR5!YBoaEV;sF5p-CAZcQqnIGm8&4a8Q2`$_fvc78T(r@cWe_Ii=0s9rD9 zX$BBWTnxfWcSc(4k!&kH`F@;hxLdzRUW)dfWZI z?fN)OA}A1=+6n~l0P9c_tMCvR>yYqJM;?3(xG`HpE(0IR-VspX@K6K$>lkn}_n4gE z&#wonR99DSwQIfUyiqqijvbj8apmiU?ub2wAf&o!LDo;b!}B=rgb)K_UxM7h>{vIv z`hnESY&w8z-yFQx#V=?Rz`v1QvWx+Np2PD2O~6fxdj)v$KA{HyHgR8QG~HQx@?GO; zR^ujXa9 z>i`&ASj;$i)<)Dlnlgx8Q_AY%wuTxaSMFz@fCzpQmHLSesX@T6=?r-&QukB2f?2RT+#ej=DB z%EcUyQ&apDWNGlmIY=agZ{>*_&Z3;>roysgPuBS-)VNTwl}ndO;vb%xUZXzh&N2kw4Z~w+gtM5d@nppc zSOq3}>H%7II41z_11_;}Kl$D63|E5=u{UsPpVz74c#WR|6*O$BTMb+YyIF78cV=@s zmFjEUWjE~?4CbCaBFY5#jC~5r_miX>8+pT=Z||kuYGND~11ad?3Mt=ohuzGBd?zmX zN12VQdrds1=e*Vy2Y3nVUwnvPfWzo75*h#q|!>cIao6z}j*a37K}{Fe_38fezD3d;pT3=793j^SR5G3 z{~IdOMf!KMrI!#MV#)SpVu^6cyPl z$)KMmVKMKSfYg=O4Tq9{u|>>j-;8YpbJ0k0FlP}Wk=ezm#lqw?o&F_INCX?tZmaDM zv@YBrHceSeLpQ)O3T={x(!#OTxs5)IZ+qmoG0-`}#NziY9bFg$e8b!`&%va|%VBlJ zVH1(U!&BSJ)xJH3Mh|0+JV+N`T$#~&C4Ovy#B1B1`a!;nSeazD&bB#iMj3!y(R1vPZPs2MUIoG!4J zU0&XqjD?Idmk>4+g9dI!yYT4<13z!u?hX6rC^x2uv`NTxdH2|f6*#BbPEdCl*vFH` zZ&wyzo48d#`;XQ~luSH(NP4--yQ4FlHFUi9)RHGMM697_Qz={6AC3{7|1uXSkdp{P zpZv`}^M|oC8!tB(!s#+8u6kBbwpjRo(} z#+Z01;2NxnD(y^FBNg{#*JAsG7H5nTos8=KaEn!Z!3y}Jl~AL+9T&p$#~_a+9gs`9 z(1vV&ZSWEBX|$jMKDK`Ug7ohf6z%!8_H(Oco>cucA!^yRZaeu8r_xndsEQ@*QWfx{ zO&J;9so;}h5{Xe5T*cgKmK-af#NZUxn#Wffay6ZRa?^F!P%D~S>jW6`9@a@=*gxuP zE-a#aOnSZ)6Y%NzO_AE*ZJGHkE!UDStkm^wjlv#A9jY$9F4)bRy#k|g2hj}jhB}Kv zzbI%I#(FUODoS*kByyy1Q!k|`?07Z7wk+Y%BpLyyQg>4T^uyQL9| zpR4DQbM)X&*Lp#7jlWT6f3rgmBZ;ozmH*qd8A$0zTll}9_HViNS8o=F{E>0ot>V$@QJs8nk z?0%7SR`VsnAVISr`gUxyS|ygc6gbQBYd%O{N^SL0BCd^6ONgY(#5{0_C`|;l(tiAT zTcK6D(O?jJ^@E#?ql!>X5N~b;*$DNOyN_;=d;G5vsL*2O(M|#aT$EN-0U8lC*;p1c zbRI|6ws7%s_lh5K6m;&>hLnE&vOb-6KV9lVvg}5NL$0ZE=Aq}xe=(Zqwg8^jxp_P{ zF+Xleous`&ytQ>-7uXMGS(4K6fs;25@aF%&M$d%AhyKC+12kp`jl z4GpU!t&gXBMN^IEd(cq#Iwzd=FVOGtpg;56Tt%e6;89>mznZgwCn-Oj4^bIv7RWV_ zUSBwX|6qGe_h|xi`D(IG0|czsu)&#z#}x%N0J8&h^=0wA9;vM^Sh@ke&3W^}w0d(s z+zn?e6IsWixDq7Ua#Y%E!h7=vOB+@QrQNn#qoEP``0qwoK z;Q&W`O5{pQ+ur3+VX{;mUiTa^2^*En^1%X~{?VcbvwB3WCL@A`3njN}n;gD($X<)0 z0WDg|F0`avQj)!gjS?GCoM$+G2^||9yK$=+csBJNop;@4p9H~Bn!vr(*@RtKQc+St zUIZdba^U#o2xaW#F&s^)acJZj#Vzw7%`^B~1_i3diF>u6dIoV!bfHwKc%>-Dds;K{ z7<=Uu&7!V*si2Ve3;biZkbZqZk)Q^BI?G(u&yH9BMFNU>|9U}UBxg=Qamm)IyIbhd zSJl~|AgE3q0tzE}P} zuu&8oPnD?2X1(Lwx3QERlQc+cI%`~O{qHQ>?qGpS@$-=j5a*GFJozZf81~UB0}ny9 zjR0tuh*^s&m0>cxX@MyN0h@cDpUafCkiTku8uf5*_xJbx1Fqcp@@*4Our?!C8tP_G zl*?1;>2Z;|>gY%_{dHznT{T9}acB8emj7BMKWo!o_TkFZ-L$munsNDkNwim$RO z>`pHeg3~$AQq!)o%yl<=Orw^%^t2k(bGD{xQLGcU*zYy;mi4Lk8xTysb=$}Igyn_0 z5q@L-ty)hK0#OEmo)aA-Ree}EdREEMz`J+ORSMOuy~Di!8nrXswg#u>eR zX(o9bBY+hCYf5=vOZ=ymruhRrJUuQ?Wkm(@PB&^09u)?GkC@cAHcvtwG>k4kj-U0k zqoME0_;1(T%fJ##UrNA;MJ`)4!TYG+%mcs$eb)s8I<=~-jEzB*Hy&`21{wbpzYPrj zrW^QGzu&cpFXE^D^aMwYs6jc_#A|kX37_Z_m|qvXKIhoUE#V(|XvwRZGZm$iCE?U)rq`R~#=a3oEW2CFB1XxtID6Mo9 ziTW+OFD}d=LQbUxfuUOTCOF9urMA6cR~!eA+>i0L=dFUdGm1&6N@i;8ei#jlGX147 z5rdZ-kudz|+5y~w3=_^$HhrvmIAGUYT}nXJCZx!R=^2DzFJJR$fcqtl1hVZ|;N99@ zj9_WCqRA{=j8n`)qOe2|T}MHEg~yU{Y-yC!uW2&1G~ulQEVjsW$Op%)=46#sk-z)c zm0itqLj8nSL{f^B1j!!t9{3Fm+72P`ziNYF{8t;y-xfjok0!1E)PuzIFP8sv4-$a+ z-#5mnM@g9U&_Vm(bNeSu_ihjsqwxJ6ksiqAVLS!KQWb#-H$yUvURHGIMxvakUSE`ZBZQV$4 ziNoV{I%Zb2oXUX!gJ0&vv%Jqlv*bkgQapdGt26_8)z?|JERF*68zS#VcF$M&7Q>aV z;;`fJ*nCJ^O34F~3f(+_AP_An|0_h9|66b|ezXa){_n;jCVE!3|A5~~y0aUSd|kiP z>8w~DhpRBy!NdtRLW~#D_gs7kjsXNl;7PkFd}DBtkuH)iUm(9>AqyZm3fT3q*a3l{ z|N3Sx%`5oPQzT@iC=D-w4S)1n)by1XeZA%Wab(|p@49i{s@?r)#df}_{s`sWkCnjY z3qkyzCbLV|!_YY>qI+d}!_f0pKMW4nTOoD(cXzuqVHJ6?2M-1OnooF6#8Q7knp`>k zsklFSGhR5XVc`7rQ9j6Vyu|1x631hY`=%X!p5Nq!fpYJ>1`Chf?@ejFu-M#arhnQj z%rFyPQ&Cf9|E*#??3ux8DbrhrMSaC5LBk^Q?RyOWJ9sJs@+5w)0jq*kqU zebmpQ8p$C+2*n3Qr}X?w`l6m?A`QPQ9~tcR{4;vQRg0_WgeLYCuv`2Lxck9|!YOv$ zT)k+QDNu$N+D+LP=_LxM1BWL#cw%3Nx8@_1%KeoPcum=Qj^jnQVyvhEUcZwdJY~ULP!+vD))r=DA@*1 z<~$BH2q4GzQ<=Ous7lTO;a0>s?2qM;OSYJ79pbInqG(*~6_AX@5aP`2{Gt63d+v1V zjJ?DW%giloURu)|A-!)?;T^+@V|g9zX4~?7TgdYnhdsSxQQ>i7UuFwhgh7rlafaU1 zAH?u1pxJ`5Ir|4DQ7+F?&vH+sIXKCeCt8Q7RXyt+QRd-*Soq|Snc%QF@LPX5%iJGC zF#JW4xgy}2*pe$c>kmIB{f7G@(78-@f;PJY_TbP@FzpUIT7X||ZJ*Sq>ZKeM49>%D zG>q3WxSAY~m-4C$e=_e(H;tcAQsf!52vO8?!`iT8wu0o*- z0+)6Z15Pr&L{4K@MRL)T5dNeu`z2Mw!I6`zNJK&8%#XxDfy7eQ;P1vpjKBDN994;* z6?&~l0U2rC2*t01`f?q11ID*Xid5i|X4yQpoA_ItjIEuOX%=~_d1D=1Z*yI^o#VSr zgfGu5@?9ACWP=W&`8>~vcdU)9SO-LJ7HnxEF)`}#&h*cxGEIA1s`(SM%KBkia2YkI zMILCG7I+7OK)yKCqcZ`aw2F}>GQytTbZF~Jd>RkvCO2(F!Ae3l)MVeT4m&ArydZR# zxQxtc#7%SwdzR)@QY0b6Zbl9+iW)~Z>(J4Z^TG0pF5Y}!l@ewrvw*MiGqqNCVr$Y$yR-iy}hZc&vI}~?!2rj|3xV5ynyF+mg?!n#No#5^+ zZ=UlX&X;S&FI>zPY)za%RmsTo&vd_wqyn22WxlmnB~@Xk^43qS+Gx0 ztv=1{`JP~=p~MH+Lu#5mQ~7T`w5(8Z&wa%e!E9Lv9EjKympeXvfh3t_wA$@i6JxMA zL^%{}km#y&34-rl%5)d}t!vrM-oN3vBi_+0DQ(&}j*$UCdC zH(6z{7C3_vVTRp%R3t+q*xXb#tme@!@|ieja(BU8O-<|+EZMcUCz==j_Q8wnjE!yl zeq#}fi8=UfC)h_~4;?4<{sZIF3p^!;)_0>CmC-?3MjBcf)D8E)K?zJF`9Gsumx@&m zF~hF;)C_;DgAAZ`*`4} z*XP#JfPX)_xRd6@deqLp%79+0g1v&0xVX`8n}WHLxt<%3!-oazi;9~2>>WV#46f%xws({0NebFd@>O$L-$?I)Do6NO)@@ONa!oC+k9>-r;}flINz zK{(N_4kxu1`%X7wQPgoGg0miA+X1yM9Opg!6>Py&^$1^Odyo#+A{mxS^T;Bts7!MA zX73=JF{dPM#N{dx*=26rthy}YsqMRst_uJKx`7^9jX7P=)h2r*`>cW zemmnE6LT*mzF&9ktWQ2lDDR*^qsMCCSV8REoSSwm-j9Dr+ooa>Gk><4yDz6$NlpJg zk4ow8$gG(cS0@8XwnAblb7&x*#9@5)A75Q}@meo$y6j0D2+u^_6P;?s&Za+Jvnp>} zAFqSRXc<{Zy$@x_Ox_SqpDH# zHQ^BrlK5iHEbprf4gD`}Zc;e7xgCmAl@9mPBxvn#q94_+w?b9c@Ul{Hdz z7FZVDB!b;3>R^9o0N#V6zppV~7R5sI9>F06CZ3md7L94gh(_mk}t&6sdO{GsmyKeE}mnu}UyLD1; z&qRODs1PC*Vm-M|ZNIqe*2iZ!kPupX&7=$^I((boL5klN_I{4JY6hBGLkHhawf6E@=~I zep`wB%cUFFP;u?y96BY$+}mxG%MAZJwk`M45X^l)GXYn5UR!qq*Z_hO0EQ|9QO(-8 z?e>*k0?q9mw+E^GP9M)RFTS0-2HWbM$>4h%?6kGJW3B9x_QRVr`YiI#FwC6w+>to@ zI#5&Xk$NZH3$;ewuLsuINB%9QSZR-v8`SqLGg=gSzOP1V9#{xj*IW2`X31l_D|6~x zl<4AKzBTq?B#mj!#W#2|M5TH+P*LC6w^gNjY87ObeA#N3%ueP0E|k};zhWTiV7O$n z-2NBq;2;^r!{MB*Re#)Jsn?sE@zedyKZ^eH?Ns}&Za?SQ~yjmR(`EuKk=l+44 zPP;eYq}`sscKv6ml{pUUDpa#T~4 z6M<7exJ@M`?T{{*T_qd?Qui4zIcb5t(%WDGJnor!wdzT71@M&dy!2%Cj1rK`%Anp+ zS#LTaXToL=lf*$q>T`a`Z=ysPxI)Q!0=FQi$E?xJo9wiF!Fw%^5Db?R?Tt zp7BPdM7us-&tBu;D5yXzm7`L?y{f)_+kg%qao>}BN14OW(^TM#w7#PQ5o4P8a$Z@` z1($!@GG~3bUe7XHJ4q%>&%kJ@7hq#)`=r2W_m@2JO$~`M5WzUV!>v&};#+h&iQ+8Ek|+L+q-+FzQOlyUL#@JU0E zU+j}9RIe)GVt=kaFeJ~bsM4<>3t1^dzcsgS3xtl}O)8~c{&bV#iQB03rxcVX6b1-W zCE#!LKUHr$PyDI%x2~U+#_UNR!*CbdaYvZutP2_TE2?SCdG|(|GW}`O>lZPfeNA+? zrtW&?#aIz`!|<}e>ma-^@U9c}gX~{)Gm!a#J=3@uL-vOJH0RjryF%gRwDBQ}Pv1`z zRrY=#1GE$lN#0jtT%ib+vD}IrQ`5rD!@NDYLeg^?gzKyOgO_faPtLCY3fAW9drF|=5rzp@7=H6h6 z;yhj~^&hL~Z^IiDdG(5 zeH^{FH~o*j5_R}w42kqxgW5v7FVoPtY)Eu`^HTMQN!Sb`y5c#fSxDX4%|z~tODyx6 zV?L3?#Y$k$%a}zvvS;IE&A(6EuElQ=%)`Zo)swCP$Z~@hqw{l1R5@~>6 za1h$6)el{FH(gwd^|U2o4&BsD6XIX;z#$NK?~m4-W=Q`MDfSB79K?w-W@C;tI2;U;vQf% z*3J^OqC&6S=bP(6&3}7O#_q2aZ&^j$;bPv&hVA=!*>z{h`9Z5XuYu8H&wx^YCcaA_M^$g#-D5$i zJ=Hi{H^Q;jd1)kokNOK?`i#iba%GVbEw$BNivKbu=`)g{lIaCt;5)S2qCX}+;u|%i zN~UA6ievGRV=)0qa|wPuuRMe+sN%#n;-QRz!u;;e8Q;M$`sA?p{#0y9Cv<6QNpwR< zUodm$q3Q2Qxt#dESM}TRzA)sV;!nZ$)9o?d>SZqly_W6Ej2z%Z3)oza`U0LItH^xs z^={R>>o6;aIYII(!vEuxB%t87x@+H0ljt!gVz!%?;oARx)u(NqcU?2(xpV*qV~?D- zUR+^qseeyzp6t;d4;vp#k^OTAG&lcDX!djYB-_b!yOw!((#EgI-RGk4_E=(qk+NN} zduKM8E9+4t|IeIDUWCwTfzmGh-{eR{Q(L-ZyRHg_HTpZ@=gZ*LF<|9mj2!PP=DQ(a zc!?93>_Rg5@IFvwAT#n#GEDhWS@-CEqfjXS&ghjvt5ztV%4R;C@c$~_J7mREU;euI zU$TeOn7CjEmQ{_#i?)X_)?J3%*@m8QFqn)~QA^qeIv*Z|RHzDodKx0VrZX}0Aa|2z zAtdHc@Y~`rr^}B-@_GTMI}0fdtNoQJZ&LDloTtbhlW1g8@T<)i*~uG3Z@c55=PJD!^){%)I?|cgbVqK7gpkbjlgZ^J!WP=u&G@HJ z0q4w@@3`J*dNkV#PdT2-(O6Ek7g?>F-kP{BFZULE+(e1|`D;(GXWUZl0*`Gss0vMq zT5Ac&I8F@;1y`!(xO_ENW*r2O2wAN#n~Dd(%IT7I(l1K9NqdnwQgRHq7vq6^&M|lVNVDNj=)0T zZW>IyWXjUkrqQ33bNljpL^_M7V_=g_Q8Q5)-Ey6dj^TZ;+wUN|?;!DW_FS(sA-0`X~MI1&*Yp2aOw-OIlN z+||V3m&M3FH?~&K>l+wuIh0GgAO@hi&V9OAfLnFF6){Vq$o<&yhTyI*@j7Z`N?}c( zZsXR-r){8OsvZ~-#Nlq|yU5lOi97AbU)u7t{6o#tG;Jk?2b(Lbj_XUg(*y`PNvr;F z(Uya-oVF6$R~$Ft+TKv;1#0!K3T`#AAb4^XBIbNCa2UJzQB^ptZ#XrnO~$}&fAEM9 zvAuspXf*!g{;RH4d5YEQ>(f_V)Y)-R3FsD)DMP^Dqm=F_a%(o1wyLBWR5C?U%pw(< zQW|#Df&#>rE3vTuzS=}q{AETBhXEyb;*cZBx+KdYF1xfOXm7hTV$YdSWAZ1!|M!3z z#g#S9hk3Oh+SGNQCO%Dk6J$L3lJ!qGjwrfeJwb-Is$V%~7(+`AReDBwUg6OK#g*#y z0?v3&na>#^Z_N5d@mFPBY0QF=fyQRz$En)R59HL_jhF>=G5n#DzXA`O7`^a0^5{#D zZt-7RR~Z(*SoK626y&)#+gY;=XEU!g?EPD6AuE|WvHGx{YEi+y$u4QSqn=ugM+7YJ zT>Bf_AejttrvgPjqNL@?a!W0JGV~w*HO|FJqS66k7F6Yfy#u)1(Ty3*(-;1M_=$F5*VUqRWO?G(m5AW?+5Xn@=}2bbnM5LnQPWA0+J>327z)M zDU3wN#TAvV4LzpP&&g*RnVuv+Qk?-ssn<9LPFqQOdXKlC0`^MN?8a4jJuV5-NeD_X z^rNOYQf+7*r&76x)zdZId+$3dtB;1subr!xdwd@{#!nxYVJ#FAFXqy1YNx<1yZdej zeUg*QPY%zV|HcSeBr!?l@|Fya*SU|-qd7lJ*t+c0?>O#z{I%Y>YSxN}AO-^S?h5q6#Nkle_QvoK`$j;HR3KLZ1gCJ8&7?7>w|iDK#DA zMMC(nek*Mnqe*T~+H&)X6MQ9f5SOa4Q zG@_d^f3F`Kjqbr`#P?zL%&D&DG5+l)1BM#ZK%*!hp4o5c^teIK!x4*qzea}{& z`XFbX21P*O$-@I?KHsuq&H?{+OThjB(M>*3^Kf2_|7NL3Xuk14Sf68@ccVl~5Wj52 zPedjW~e~y{z^=>TR!6Wh}^Bip+-OuY)0%Bh&@ur`jy(;q*?O=BFl-w2G zU!M^FHcmXf(UI5T_p(I!G}NQVMA%qQba;N((?%4=0sI9L_Bxe-oRN4YLi@82ULi-j zPk8iaQ@4l>1|0Ur$<stv48G25W?EW)t*EMhCaZSSdq2?g98< z{AQPWCbE&IcecZ>_ml2_C!X9KqOk8v=FfWT#_@m+=}vsGz=IcVpOi2rpJnbb6y8mD1V6#}@aan+=PV*1I2uN!Df>zqle7y(sz11! zWij1~<7LH?xG6qN*&0tD=2;@`W4ovp#g!{~5^jqTY(TliV&D{T0b|e{RGa7N)@pgjeD`|&w7hT$dpAA7F^_3GeDG_09o_|boiM!14V zcLjDvXo3oH9<+ieXt{#_qVEF!SPAp|UH@=>NHQxNw~i<^CADekLp-#N|Aas+SJXLj zz(G#E#U-=7n*nFgrPF0H4wK~Qv}pz+A%6u(RYe?sOmZ<`=`;_(0SG%1a({`PTm9ll z73_&+(==to$W2-fVM46jDl`IIH#uME+9U8}+kB{mfZ*{Q{lONlIz&WD(wZ^+Z<#qGh8^(phi{yoVJG{WC1MCJQ8%B8h z{ukLb^PlVs#7?Eh#?Fa{p3VIim07odcjA|%cLaf<_YZyp*)Sb=;ui@qMpm#INcj-lcG`(~8kNV}+rFB&jwK=XG^1|P-^tN)i( zw+D+_%HP#?QP!g&ED(7*2jEj~gUX_RE{9^VL}oHdWL428mrhktyx`oP;H>``^T&BZAjOROCG~gW%XO&ZXY*(zYNPBg?ZK~qF3=Z?0@-QG z!nNOPzMD9kg%<~;S;FS^(XdEHAei>?uqevILHf*CL~PNiOgoTk~{duzqChQW5)FLnj2lC)|jc!#Fg@#^>5ju0&7<*w>MMe6o< z7C8oy9m?%QBjHPH7AXc;7YPB+oi`I4o*%t0BSO`<#0*@&#Cn&E+C3PxzcRt0^ihTo ze_Q_)g{}1~uUBK!^3uXFqKS~09t1-j;vRt2*V;vXDdZUU@YSQai4-jAgU!yR;qwtJ zYYS6}oBdI)Pxz0Y6P`9zd&r>Gwn3Gu4^BCzA?%;+mCVl^=<7Yov*l{2Op zjWT``;WMwnP)WQ04xJV>iu)7hBXYoj9wRLnyV_k z4)%me5cV#{?YCfo;6E)dq|q1y1aG(=|_f8~Go8;`#A} zKN>HDh$uVIC0BrjFOl5x4Lg_tAjujrbn+dzsS} zLfovGCk3rFwI_Q?sn)tk?y{aA?g{-l!t+Q9{jKVw`7FD3Ka(=b?!qP+ttn`>`bv++ zyZMFGS#GDJhh0M`Y?bX1tEPDFYT;sbXoK7$>ILg%VW{I*I8H{uED;Wjt)^L>cRFTZ z)@ng7`IQJIfjKuF>7rgj*1wyKn;ZY?Nc3pbN1WFs3@!Hu^hDBeUe zB`7cdI>aXb2uqtZlE8U^N2?v5WCCw9?Ofn2eAKM#`GrA^Gzgd$@K~o#PlVwaS%Y+E zYfi7LQ+V<%d{@()Ou!~_L1pE2_}c}{F6@QKuG+=7UHS{-U2n%WXF?y*-L7|4#?5SX z>LwWlI5BI)Dv8s8<%SZ$sd+_Ck~@1&qSdm!UzH`WTf)U?L9g7dH2tpPeY}%bpSHVQpwXD+yZ}5HH1w7U zMR9QcnZd(fhpZ;Q%Wrm?i1pq45XHNe5^vR_OJ?FJ0-jp%m=s0PYd)Eg=THY-+i|+K z-MpEY^<01h_|5bnGH6iZSR(*P+(h{_#zPFEU*Dj-uGnK%3Ndk)VgxiKe^$ z*EbS=pAs6gsiJDPpyzm2&)B%RYSX&pjGV>K=&`(bR=;g!s^_558L1h`&_G>*ROPO# zrrd*~iEi!Pw@h-0Sn;4*MN)J3`#r?%X28%q!9{C@>-Uvb9bng)d0W!S-P^pmSv{Vv17-Lt+cBT&iIz4Q zTxEk0g3@G|T5j8T3+kP~G1KCLo}uU&^0A-lX;}pi+46bK_Kl7IVAxEhZ{96_)7%ru z(n)JMP~`}RsNd3mEr@j)eFby!OeG!Vj#UpR?&lx}JF$3S*4b4gT#{x%B+6BhY4`a= zkt^dzoqYo)%NQ5VXx$@5s%aS<;*v#NKF;#d7MWE#yn#hJBJNVvRXyg4NsTqk3f-c} z3ZvN+p)C)R&9&`)d@f^y-LJOpJ2;PUJNC>Z(IJ(XFL9`VB3k@ zSx5t3*I0w^CfbU~>|?;GV4ZX49qp0liW_kjMT6P}aqZ#3`@LJnW4+;tO1`9w+v)~Q zE6F`K-FVcsW5UQf?Ay4T&xp9^=|fWJaEzH|;t)+qeZzQI&b z>a@xM#(l;8SLI?h;zpGzrBs`Z@CQFjf1;P^HzAMdH`a$*k_}F@^{8{g;5jIyB7&f( z31a2rvr_ra?`7%K%RkMLVMpA?35D-}ikEPlD@p(rNEEEpv(&HhZ_5^f5Bd)k|Dgy9 zn(3!seY(OIvK7MGrKoVQY3SwCS6$Y2G}=XxABgIq^RZ6Z9NW2Ie6@3NdJGB`V6?2Y zeskOSU2rje0L{^LwbmbQz7n=4lc3x1obr&)5q3r{GdRX`;jQM5AvRi}bP3ydgz;s~ z*nhaU9O>$?r0>$I7ygA8-(fk$Ze!uRw+zDKX$Nq*&n zU*0Rmi=y|(7nK#bta+|KEqC9M6h&6h=$5lZ((f1!CbOv53G%jHAo7zJitbc4JnuaG zmjA&DidJinB7SdcsG6x4GfE07iecC4(Morzy5oK2?z<(~7oS3K^l38qYCaRMz^pY5 z+CPh1rEFG9gHHrW$5N@nSuuYlSAc`hr~ir^lABxnwNDX;;pQ5c>~|mG z>(tS4dpBT~XO_U6;22Z*=Q-Fh5WC)r1$UKLtzLvr6G37~X_@Hcj99E>Yh!?y_?(i6 zU@l?tKT;J`73JvSAo|%+7Tuh@*NE;AEF9d7+$yJ24)~>5>!5%WX-+-p-n7cES!K9% z#Zu~W*v24z5Y2wcy2_UcjWV2aw>e#S&JgRo0Bfy!84&?3-wKakmm74;)9-%*bd_9{ zFKIeRHEwc!RYfXt_=^5rY3OTw8BlM;&XY)L3EkF-%^6pf$KC?JpX z)GJ)p3~D}Oi@Ul?Us7q(u48!~<(mkeCLz=DyAt(jp8R`2Ak%p1tQW*N`RMB3$1GYx zn)eS`Ia*B_zL=V>_~Wb^wmQra9yVC!_H!R&MnMx}T4^$kr^Au?u#bgyiTc*F?0U)* zMM3NxLIF;Pa*IbPqb%8(avW`mJG~r!t&)!7#5Z%eXqvo-)|#x#qQpoKk)^m+fMy(X zNhR&M`HO-%(bKy|9EFV4FdDg*b?MGYJcsOhqM7Cu=zFVkjCAs<>~_9-@pna8kzE=u z*+eDN0%ziRnv(JSrvJM3wZ^}APXCdeubMR&B240=8QLm{l6CediH{>TI4b`hoJ^`2 z*CVH&xO1V(P00(M)t5nIzEy@=(X`O5S^sUVgl_c$KtKINtJ5=$_1{^B&WbTYFc+N756Xnx+yWzN?Ut z4~~paeBXAVn{VKdNE~`j1r1~IPWvSGu4w0PtH&jk4qg;As-ry#E8|QX6d`W|OW9%> z?KI{#ximgDmZd=!N}nBrOG(buRY>GE%37RHVKm4DiV6P)t5aN59TB|9+!DW)r*%C* zNn$H*=LthN;FNAmIIcoD+lLk=3vxIMpP=O?&qbCzFW^SDwnlq$GW*v|12gGEAsl# zGD|U8;fE`DWJRupr8?17<;9v*tYuX4Rid$wcYa%Im3QVutizdx%o$l1^HVwOf)!e%g~RyW}hP z>qPPSrpIjukBoJITK>-rDvS~i_U~>P77gP0)1%2D>S=*-gvrtwQfUR4)Rh(m-(4#2 zDX9g?WbI>fbf|GA-}D=-O~_J~5$bZhm(rd)o=ZebjDH2xHA$$obgIr_!@y}hO( zw3jz`ETK59-gEkgy6g#ah2}Qg6d|SJmkI`_080`~>X3N~s6c@_c0Zs?Nzxf#mytuU z#Pt}VwkdR->)RMGtMz-0vYpK#r_T>BF*7TE*%QuFifQjUviNx;VJix>q;~A)<*8{j z5FxcMbxplmzAu1NpYN|rK1GBMO|?y(+;_J~x71$HR6FhK(1Uw{+{5pm3i(zG(*CS| zc|VDSr#QNlICUOUgLn#G0b;~6emUQwthj9=RxkM@8LuyBL-*b)+ySR!YYh11;P&7nr{9up)Wbzf!CJ~(wd%doZVm$rL@ zJ`awh;4C{NDAO6KoUjstEb9EWS3>O7d1JHGX6@1 zEqFV6aIx?*?JPFWxNhXc+P2VzJ6@VYn zbXg+8YL*GI@LYFpBE|TwxS>DNF>}9QKY+1JcHpn+jbCInGO_da8N1vyDJe;7{Co-u&WOp=B4Bxx*9?n}RGU=_h+k|1uqH7zTctkW z&I+zjD%ywjWpV+{arZ^v$^wdtjyTE}YQ0d2Zgv=hBE7C~d-lDE{Bqp4lfBUW3Ya?; zo=jHDso#j)4n{nd&u=xFvg+7pnTLG8+ClcHdH;dIOE1lGry4)uT7?<1LSWJxO?$a;mxkQ!zE$O{VPE5I;|0l| zPIpwDrr}BK4R-0Kd86KFR4xGUV$%!OGsNjn4jeaOY11>67%?{yp;LGcr#+`Y$jawT z$JQL8Gq#J{$X3+%*BP?UJ&w`FpU*huJADz{iZ7{q{NFEuJpwO%RiC2qleQ~^8ZU}} zr&tJBZ5|UT5rkOv&mhn#KnQxc1Z*B~CkXCXRy2CPfh~B#7Eq}#nR`6J#!W%osO2zf zSQOqf2^MA!Y15G>3Ti>IZC1k^HQWk1lG}+gR-bc*wF9k9No4z5RZPL?_5F92oI+t- zrVe#Ph`BvH#F_oo2W*PCR#cTv$hd@$(S=n`RHtkZhH{SaE^CNDtbG`PVWGYQAcPiT z0v>6C)5AB!^DGRGI1iCmqlQ?=8hgz)1-U6f5G=gAAK*{B`WeX=IF<+9xg)vHb{~rf z(OvGB`b{GYjRby&M*?#TrBU^x;gPv zt7QvvlXSPV;&uh$ltEPaVEG+{!VP)GgZG|ULZy_mo_H!}=NVcxJpsF;UqJcHOK=H_ z0hepfapAy4QVI8)sTUwWeMbJ3bwvElYMdQaB+v?9mTEg)$AK`?Vn(|zHA^Ddiu_4_ z!noS1bdV!C#Ug~krI#bwZS@A3D<$M&FR5^syvHZ|kTKTu%t&|aAy|QIYGY0O*6hsu z>F*s@A+uAJRoP@}HaiI}-Sx*Af>=Ahv7e!3nrZfIJ>G@LKCAZgEEdU2 zqm|F2CurA77U+T<>8~F1fc_V}(L|3aKxFc$3fLCZLvKL`eShdevChIhkiMtsF(MDL ze_L5aa7ZWX=HI&`Uz_e-MVc8ki%$CKqBY8L-zo3HJj!<8Cvc6G;y@arF!rZ9)su#9 zltS5xD!$Qj)a(kkB2|7pmpy(+?`Chvl0`UL@*0IE9r$lf0L5;v*^-<2N3iF&meI;E zj_?I}Uo)h%l4yo+*WUPCm3^4kMcUTu5$1cD5#=2I;d6WEtk&z1u6u$h9OtI;a@R@^ zzaCQtYkqQM=dO+Uz&xi_LgFpvi_B9BkfLhLw%L;a8J1T4@$%Kh7x3W-K;4_0iTVph zhDvSQre4uCGadV_zxCyH*bwieFMejojudkU7Ye7hP{O*a{?}1O%t1uXTV(l6-Gxxy-x%QBat|0 zwWmgAX9SjUgY{Z@gfx~y*v>e5#FTO1f&isej}+J(F`;X}<-x09Hlnr*=$}Zy;Zd63 zBu{lw@Ke|W#3zqr*j(wc?WetfMw_WBlXw?)IH48T0ByU7?Y8ix01&0OL-(Q!>T)o5 z=JJfr=xt*IW`LFU+J=OO)zhy0nn_4w_#w<}`YHjDT_#Q)5 z;ZO$r{7+AGi$TQLXVWAf;`E^%Sj|8mj6}AjG!4oP$$CyyN*lDaa%2v@`az2yOGiJ- z=7PCwKD5oV-RP86cj^WQ&Py`XG<2KT5XD-c>XKm}&a2w+dzDt0Rm>CGxR=-8brrjQ z=r30?UVRddHEmmYV&G~Nie@ZlHkLacSsZ@iYiI!VHq4_}WhNd?EU(Mn0#A(!o@(9d zR9|)Yb+qo`tF-dfA`)#qVcRI&_nWU`7$5`^Iorn#mi-!9jS1F!aCM2zq6FK zq?Oa%j+S^=scim^Fn33$Qu9tXZRf@RJZsUIje)E;=m*;q#lYZXSv|Ye%_fDE#DDbH ztaW7yA)VjL2xUOo_An))f*7T$9%7f%;OgWP5Y5-#2Z}PRN{0xzxxa)9X3$N|at+c# z;>SgaPs%&Ljl&7;f)RuH_`@t3F2@acx_IHJ`LerY#_=3$uFZYMn*;n=N16$N{M_5b z`?wj$FQogt=-WQ~tdi|p;9v9^jh6~qOzpeV5ZPp+4Y$M)zzU%jD#*3q!W2)Z+Agz< z5#;XLg$i09ZRorGZtoh|Z3hZ%TH_??aAWKw0TqyM+~wKeoViyFz=Fm+CT|5vok_ZT zKoE~^R~V%h`z=~`sw}s%i-?r~;F{2SC#pNa`p<0KTK|^X5E#!CXjenen=O?InCaYw1S5AFoaO{nEqI*u>rM#b-zuXo6A5NsGAW^hS7y-D zy@QNUCTMIA38K-x3b)0wbQb5YksGcV`tGtCtN-kaP0g;)T=U*to%F6}y)F*8e17&C zhd@ZjF`mCT5DM*%_2d{J1$&P1tR8n`teeCF$<8Y}k=FS#4(xu%gVDFm&M6);k{ztG zcX!j5f!>dWcf1DeCBC5ltbM4p@11-_mF%IlZL>c2(30HJ-#+`y%XDquw+qd%h0vV~ z`Aj^sx1S3}m9_0(z@BToOnU3>EDPV7Zv%zk{m$4o@q`fl4)aXv{dXzsS32%68c+Fs zdhMPS$(&Z+BBPt^-scw(ImR&|ftF8FWH-=JoY_I&*@@>6LJO5yCPtw)l(;Lr*jDYb^~I;jkwHk62d5Q# z9BYhN37e_sEwIe##}<&M%8?q4PT-dkE-9X8)KuWUll&H{PYVs|04`@AFzkj0G}skC zL4&+Cnv37AK8p;*aqV4_Xme7WQkG<*B@C~`QRrF-x7eD_m1rstIoQ!Q5dY~6HiV*_ ziJXsDh~_^q{0BO|Ae*r9%N;|UwN8aTf~Mw_Plt=D@_&EYGE#~1HQ;E4_zYxid#&oN zBH!aQ_K=0QZoO?&U;JRb&4gI~hsNz8k8%&bHv<9mXuOUvf9%RHvIF(o^&V{=C~q2I zJO^yDp7g%tizLGkA7GL&S?#OW=p{ev0$HbkKiu^zZS0}?b9&xR2O{SiqVlmrG~atq z@x411uVQNlGv5m|pHCQ5|IO*(PDvy>+jjWyK3;(r)~|*ZIH|<7`}uLGFvD1QDWSHv zL(+`D>^0jcGTZgI{I=FUQ$_fAp5PiPoS?!p8ES)9{L#{%D~(Xz8EpwH11di9k}oWA z{p)vwVQ)ZhsuuhDiv%`tk+!ql#SNwEJYx;^gdZ6F;d%T~`=8+5XMupWkAhYH|CrG} zeeV7JJBNpc1v&e3L{Hc6As)7ik8jK@C{pman+Kg`JXBT0*=XP~H3ej!U-7WVm(87c zJd{4IPf@**U91l>j>LY188m5p?r^~E@lEm< zss~&@BEX*w_>SK5KO1Z-%w0Vm-#w81Q4I$2az4K>e&4E&`4fvL3KM$<#zYP;WWoqD ziC1=!M-lvcm;*||@cPIuHcJaC%qa@&Hb+vxT4Hh!(Vfuvk|xJbtoxk}fRnROMO6nd zYdI3@HPgDDv|hdsns>Ha`9j}&D{UD+=yHqXJ2Lo|v&O?y7oEkLLqR^kN&7Rvdki_Y zqS*jxE&n~zkx!5;D6vi$B^|$(@x*N0p=Z_-MAqF$K3306+zGq&MeCIil>hG06vUr} zss_D=5JYs)fnibK^oFWt-o)OcPH`ZPP5QXbs03SgktfA zR@YFGomT#YFV|~ra0&+D|#{x5^)oIqPK<356hdfZzwX`=T%k-1Z4w{q*? zs?kxNmp2sX48?x)l>$$}3z1K|51At4JA6@Mw$V<;)iqD!3*R{XJL0~U*;W8Dd^@0v zch@s7z-72L7m8~O`2B?aiZEZ&PkoALLH%=QJ&xEVBy6e)WFp{T zWYhO~RkQk2qgHuuCB0BT2~N5bu+QFN#qbh~w3z2NB0bYqPS}b^6C06#X@Yprz9nVZ zluKdU+(EOh4h@@NBi$eJfph~M+M2e|>Y6Do)2VVA|6E2_KF;qG+}!V|UK&UOtEA8;w|vj*ottcKmCzs8!A`rHpyFd=LXWZ5kk@2qA(HPM&rDyr#w0z2Oz?YNl zO&ikp%K1$|S#0N?q+Dh*CoPG5<6cTZPe5e`M``}1M~$=R;^!ETfEv4krO*2;IP87i z=PL(%t_2EL3GXi}P9RI$UeqKmzRjFv>1}Rv0|I0HeJ)^yq@up(Z_8HzKY^U}zNyuz zp0;Ky%!rMNY#VPDl zrm0qOO_2#pn^?_BYOl&cCVXtWk;MkBqSv4f0@B))$L&?K}%!2ysd z*9c*pwZTffT*K*h%(c-6qN!64YUr`@;b+|^2L`b^iws`M`FN#y!Z}P{a0*i!lY|9m zj5iV!tW;mxdLN+4vH)X_r|3aC`98J-D^iFLvn`gIaZyU_n>{PhYnutv2{aRWqEj2= zw8%unj|7Jv*x*!c?M2mR0^k&!m!8Pbmh+*MjMRLvC}-Dd!#XCfK@kqSHQRa8HuQTH z;QG+m>me7&ZvrmpJ~y3R3k){FvLXvrFn$TekFB_{wdJBx9 zBPEN{?>eg{8eQUdOLu$sz=}1vF%y6d?yn^f=39?=146{Fa)+D*YZapPB>bl6o#<+E zxgqLi5@dbHo()QnSrLi!?fVdL?)kPlvc&Ut^rQ>VI!SatuT7X@AL7N|WJr(IMp!%q z=M{^Lnk}4tJD!DENW%=L48krUSuG@l$ao2V5E6fn>kX-7?I-hxm4i5huWnnuP=M** zXay@EhOC7OKJ=$|mzfXJK6q}S=RH!8;3}Jpd*xNeH-5M1>}>;I$o}Q!gT@J7N1)Oy z(urYmAnZ{Z+f@l4CtAe}q>2v(tT?3hQLP_Q|K!p157@UZ7o%8%1l55&N-^=ty(3zXkmw zzD4PBrsecOXN~j)e1>PSqI=&uNc+})#xPM^c}D)G?T&iCu+|Oh`o7)u^jSbXdt|g@ zLCyob9^gOgeIf4qMQL7ZtK`XR53D?~&fKoOLC8EO{44pGe>3rk$)o;_b^UJaNyww@ z4e6!T@5?&KANhPXy3_wiDjeXi_$oeH;g4~Bv~TS9ifOC+j>}c{{=@8v^Oh{H;SJjB z?H~H&)tDXXqUdEqHJYcp7<^HsN%WDesZO$~Il-)r{Rck6nz4rgSr!=;dCdza+U+Jn0q+ zB@=IX5A78?$>#Y5iy7=>w2eocz!RoKrCs*66{<$wBg_X4G0Bc;BFBEy8KM&1HB3@R zsrR>=mUssak>}i>%gwvYb$y>&d3U*D0tqJB1{THLLmtia_L}2P2BD2sWVkwS{&6Q` zKO}1!2S4plJ?D_~#*o^@o#V4mN`;*KV&~P&hZh)f(vBgH?i&ilmsjkM+ref~QtT_; zLD7!Sh^pR^FIO7xR(FmXL|u&sFw}fRr%Vnl{B1vU-z;~&Ll}#NpJ4Wm5JcILE6COS zhc!M9oZXr5{hazjH0~ZNB~{?-)kl>0j?3fe9T~~?cyOl%+4surmK}vBVWqclJ+)MU zYj;{Vdm{(gF%2nITrb6Zz^EtRZWlW(S(XCMb~ARp-R8u9@CjSyauk#>Abg<5=^>&m zUG5xHrw1o`eRx-lJw;?!T@%-3;qP0*8&$d$H7~6kL_Si3m2jI(A`H5l#s8U4^^cts zN53R_GE?{0+brhid`%;kdStN@PJBg`4n#TC^eVo3SR2*WYA%WI=#pw?%3a!FpXuJ(t4d|2{WAF zlJ9guW!}t2b-DEEDf7tLQUEXhaK!@8LGjZ18;j1P_4g5#M-dbcO0aVZ7aKuX zjxg7R_C|iDShmY4!DEC&t)gL)I?xNBk)#}42$QqgzxO1^H)0B^F?RdC#oY#H9=>=H z@#j@m^W$>d^>s^Ltt+cQ93_)Dqx!D;hqR}7Na%_7jWr-;_*Q@}lK9B;8T+{=G&1X# zk1JgE18$M6RlX3IlgWqUcO?F6kMz>__l|SHnXGYM?-2>#9o2&tE&JWvF7@X9j55_} zqb4m{wUR*r3r#xlzsiMyqSv^X8m}`SmjMPjrcMPc(@OP7DBvHSSJK=GogQ zH0R7r`6qg-e`^d`y)FN|^*K!uMle8|M@yTqb`Q4g6HLRr)uI?lMR`FU<>G__1_FuB zUY9co>|tsNO4yYJ89LxZXU$9d;etLx6(;(<@Tso^Be`{^DzemWOa(;rUzg>oB@@a_ z7-_TyWN8+9msLQKi7M^H$0C{zy;fqh7-8f;odwnNS?z7$=0kz-$vvHs{Y&GQO!Bimps;EiPlOs#) z(M2HnN*heN%X}G>i(}E3u3|BeS|)0k(M)#(72Q9e;&Z>}a9}JCFrwSi2d;pCOvAgyk z5}Nc92)!i{NCFA)^1Z)5-h21nx87QNWu4@lsb@0t*?T^FwvvE+9h1M&;g`d-d5r}r z6ky9bo7HK|K{I|1i5bJ7^uG`cDBQ0zvXV}< z2j^F*o99eFNNeSK4k7)U&UR(-T5Js6gx)4EpPNMT%|Q^x+At zkLrr|XR=})KWl!MqTi#yX}akxnt$ZoIQT#LdJSKD6~OdRLdA%2ucpcCqjt*w$=Etz z4xRur;Y4&RasDgsz9oQnz7(=?P+&bHwA1o`QqF}<>r{Hxh~PMY~k0BE;xDf!hZB$YM3!F1uah3O|DGu3L1&20}YGYz#hd*<&y=8 z*z)NkDwT8E5XK9azg!xt4(2Ctvm8+IC4*TGt)7vQQE}VuG2oQ3HR?G3F>un|4@cE{ zqUOh@5)Z~-pAPTlzsILY#8f2Ou_Er_V!~Rf&Y;*>ur_dVMQT^jk8DLD>bPiW7Y*>+ z3EPzoqW`BP(F>R7Qd(2>x)dJ=ceCD;~2+= zCk&HZgDw7YSg&q)ezCi->uN+O$>j9@X=b_7?zmuU(s590 zk_UvplTgQ{>5Sds>s_(Jnxdn(!+&e+uFXf}Z3Ylb1J4T`on2gwd{wF*Xy^y#!Cn1h zwuACQ@;;4+O};bIzmO~Hh(PeKri@80cSS2V6?N!>nJoNcveATkeUz-vELQ+KIlEMs zFOAg!~N{guABzRaRB`l6^^6(b$sfd-x`72-$VV%n8^1 z@;(=xp$hd8bUmZR;*kV#0jB#LzzQCPI_}oZJF2O9yCW=kd-Jtv+Hu6UDXC}Fm+XgOg{EY4H+J;o zpE0ai*xwo-tTq@`OsAR2dU21o4Ln1&sh{*HVxDLm5Ard!d{5wqM0cW$GeZ+T^J0CUiuOc%04ch*7eWbg= zXSa$Po-WxU(rN*J%R{zO-U&mG+sn6rQj1kyN2S6O5m$=6S@t;+QXd0JEx{1s7pz3LTG;tC6G?!=yDY)24z5tsVBPTeGJ9wCVl zM;F#}#a!R6Cqr_Ot9@iCULSm=?(8V_2HAbEZ47x8NzI^jVcs=t?`WPMLp znQS%MsHc3rWt!www+dM(xP2td@=y!^YNM|}^he&+oVc1B3U0_8kR?$KU+9dvR78{d ztPCxCms7suA9%GdhYCyZUy37;Vw>X6X|6!AHNcpZwaIiJZ2n@HSr~UXuT;IOu-4CPsFSb6BsXccZ?~^<}_IqrdM@D^k zTb{yM4;(xFdah6K2i%VNUGV&Hx2!w>j|+?98DHqH=q8YW)*0tfKPVej4&S_H%cq*X zHFtkJ@W0*>x=zvR7rbW}(oCV0bmTNI_)ehDzxL?$Iil_5{J3CaQbGT#sAZift$t5U zJVicf8>e9e2W{Kyr9MN_#-8EVCSFn-(gKE*f+vU4ji2qxe-uzH{c4nYx5KzJV0h&| z_ygW=bf@cPc~5n$$?L&B(RuWbj0krx$p95B=|(-DA&Fs>HEnr!a;)`_BRc`&CD@xE zD$T^+iXZ6@c@rW_=}((aU7KfIDjyYR67e6s2D+h~E)U94`Hz;}TSLM$`g5P;|7W9N4zP;;TP-(vb z1>doXrwvy3l364G3rV#h@UttNN6&j>J}m2+0r~@s^i=Mv9GzR;>1_}0)mQj6MwMpj zP^GgadYVqk(3BuDG#RV_W(}k53Ff88-)?8d$8VA;l#^mmM~-5*Lm#(`0pOwAQ62ZN zGM`Q?ke2(1-JLsF@fNN$a1U1;iUIxn5K^0BS4W$99cqQVHCVb9cc$ci^#PaAA}`pd zeqTe~TvB>d=sNAiFeM2r(u-SO>$mzL3Agp>v-1>ctrE)z593ows(DEY>yZED?RZ~N zTqel>iT^L}V59QxH-mh||297Vh+U_6^}9T(q&SS6=Jf9tq+NO~N36tY7E+Mwuzhjv@EbC3|UQN>%a7nuIL&=@Ouxb(xb z+?x4N=|`JAkVhNX%-O%Es|M^lyN?Nu1J*3Fv>Gi&2kF21N^50XA6kEua(!cwno&lY z#UpNplKK}X4QIsFjR3}g`hE?E5^a~teswqtJYf6XO^49bQ0&zQe!a_yzI2YD53(tG z#S?E9pQcq84h|7)-Z5pmmI%3;FS2ON(+0szIyEN`t4c;lbFy*CA}rc9)blg_EX$1KR{q2w0H$kkBgEG`}@== z`UYbH?##y%#q>#;akZO4I9~ji+x@5Uwb! zUgE#U8!1@+%nIvy8LMQvFDO&;XqaF%h__BaS6Y|KHq%&GyMDC_2a{C`1nPBurEoKD zxzk4E&yD^yPgpfesGX&vgY%|tfdrQw=S^SB{qo@Fj+QB_zh$cxA`>JeexSd8Sj^ka zmk4KlH=FIlA?wVtMe6gGvXicwZ>Tp_19dB1O50G_lz z?q`dXWrWxnYXC%(7}4I2yo(RE-%E!i{o;&O;T&D9RKBG~hoeIl*F!X~GA7HqRY<{l z`L3r*93iC344aY+!FLxkynJ2Mn3ZXhWJ;CCSawbh=VP<9ToNY1k1Zn`8QlRE>Fw_X zjjce&NLMgzaP3d_Yo<*kyZd}X7PD&;{UsmvWn$K)nK|XPH_}g&XjTtfFG5k`s-;)-vQ-4Wv zB<~)$!-O`94$k~5AcJUoxM3Q&_7d{oZMb6G*!^cO-xlNnt+%XATLdb~gkOl5m%K_B zJ`=vG5GI!)>!7fB=_ONNSE{K(mfT@fMN|EF$;!)s{A&ZRtqScCNbs4P$y`K@KKp8* zOiC-KKhf10!Lx<%c3l}imf3s=B?oDE&)lylmsNKYc6`e~{ynG1R@C*3Vne1h#P{&7 z@wdjz`&k&9tq#*b#2@>Mo&%lH zfz3=+#GmRK7s=bGmUCsBOn`;k9j0yc87f*wM91+^YgpTQP?{2=JqR-MEO6(K>Sj-9 z5jun9ZP>Q&4PV)3poh44Ma`do3hOzv+tz1z;!rn2lHUjyyC~%;aBARcrwllBmQklF zkN3aRPWs=!=)FLsR`t>AOBN)tX8}7{Y(lk;b?ECkMxzrPjfbq5c@AC&N zJ8s72*0fDT9z4rzFazb!V=k8Ks~>_XJ=iYYW5dXft(!3cYX04I+kXn?+q&BVx@Hz9iT7}r}whm!YA$M>}hls!(8akXfVvKH82yJvG zfP8L$nFy5_KvG_H^+I0byL3g)fQM=UP1li1f+X+K0xhz4EgIjrs#a8-YyFwmXh@yU zyWb$qWSPOriu*bcXq6CGtc8+8HTC~_SZEppO*^(=+WYqMS52PF;=+`Ml1|XuKa=BG z9v0MlRa?D(dR2}7Hk|yL*bhITN8CJA)^$6dC#kOy6RaX?PQAGQu9MF~1LdYgKfyX_ z>)^$Jas}zvkMMo9<}S4`5uC@g0i`MT`(T9x0cSHhl zfrrc!wwZSq^<2wPB9pJ+Z84wRa=+)4{v0@eY^ify(^C~2Y|Z+XZGH8g>>9nhRBhv( z6NBa^mT6ghBOD_up`hl1=s5}x<~kOuh0t~u__-gEEw z4C6)ae8Y3+;9UjeR#&q1%bP(m&2^IsIR}5%q0!$X3WP!n5b>el&D|Zi30oBnp2REA zSgS^W5PhqnjJezT_MnQnSP3-Yhu8py{xqg&k8tYFz~<}+WidypoaerxbD#B|dTQH_ z#jmO7?TeH?>Pl|}nV!DFGU``z_H8E?m&OMNP@qtEd>?FqPf}IF+LzM@BdoUnH z8X}Au)O8F|#^2h;JO=Uisy%JjfpL~z@@^Q!gi(b)yqX?5@%C{_+LMAox>M>}RoO0e zx8EfD&F=K7MPEvXjOo~R*O@)3)IuIkUeMc%l8C_r`2ziA9oF-!%Xj6H(89|;i{96N zAbp|W#>1rxJ&=vP^7jCb@2+*E9wH$>JP)?I<~t456*0CUD(<%BD+KgBAuF*uR==Rm zX}R8fy}vXR*4BU4gbLBQyNCE~f2ne@#GT|vh>0d})y9JG*ap#}bxv^-7dGG}f%Lo2sO@HMv*S3D1sEiGonjaDfC9(=}b^QTki>_~r>lEcr(eIaF)mwaU--*XwI{WXM zYK^DW!-WAydPy@kZ4<1Mv6HkwC?(#^Z6K?^OYi2upMDQMEmEKz4~=1}Z~U#K*$uhs z!pjD%l|WLWY`t9vz!evuGMGd)%t7;$t zF;Fj%_7|0V1xaaBV=N@lJgI@+lqfD*wFq|3k}(adEl%y=TSw3F{AqJ!nSNz^fBWHurGbqb(p>*qjNyc7 zu@;^9euH&6BWbROZByBS2a2Y~%T7X_z^J>RCOwU)2IqGUR!ftsF~PB&t9-LGSj--r zxW1r&S&JR52-naB1jk*{A)q!O)xxV6q7rC{MrhoijWdYcsYa^v{wmR8h{3359CT5t zb1SzFov5)JJDKw3IvmZjXmko>ykxl$+Z~(?(^8ALV!J@>hJC490|@YSr!@!5>$gVN z@iC%x%|Bg=vS;GOcXoc<*E5Tr;}xqM3AED)ifQ>ATa4sy=j-ds;$>0Q$FKjEl+M>^ ze<&$z#nh4aCK}pIO&xsF_&k)&f9I6fa=s3sJ-tepDQ(*wQuG;-_-B$ zikP9T+lglTF?QG9_QRNh;&LilE1khZGjX8*ojUvYktYtlUz5ppyY5)jw26^&emWFF7FF3HPx`r#r1H(0y-8O-iT6Ay6S42b`^RepR zLxbdyp|jBKpJL~ygU;cZ*F|CC%D0mnm^mZ4+{BdGLvM8+0@u@Aijjb%@jyvs8h7Qz zTUfGnu1M#jXhI(?AbKl1kZ(4O9z!V9sFe$XNTDJ8XTpD-#-ev#LyJQxf>qCMzMHiO zkbsB8phWE2^#KQxivoe1G~w&%ETb2%uL#w)_-Z$LSjSNlQkPMwY7ww3_+=XuXUPk6|pp`j;3 zhLj_gFg+24LNFNOjiZuF4=gQeh4?BC%hiYAgBl^v8P`JkUqdLvBG7!bX!wXKvugO- z92TU}7KH_kn3=n+YhXEIlp?Q)L)9dimtXejpd72B!yc<8UkM>*$p`Rks$IpG@n~ju zL%on}!%$xR$h3FQ@2iq);*IaxbtQb@6)1YL75DJv{XFdb)(`iEN1tfo(;i&$cV@rQ zdT0lU_N1|3vVXCqXi5E9Ma(CeQM38GwwZGpYyI%AE`IN~@tg`N{yTlXgs{G)oi{{i zAwl(HI^Su-xKXTkYVI80x3_*e8iQOm=Sf1k3cuYnJ{&2L_Gw|%%8UF=SFYgT^7yk* zt+{jB_g_i%MFzDWcqF{6jMHuf{+PXA*)Y^FCF#Qv#1^kp9ot28rH%EUkxQE%n^N?l z#8+YKb2Lk$GBp){U#1!EmY&W5)|PnXe3TUYdO8`}gpOJx+DtI_py175X83WmKkagd z3+6dS+o412Smz*imdoP?>w!q`xuhfkAQ7%4**$J863_5VY8NK`^U#3q`P#dok59jB zg8+fI-S02*xTN$NsDFN{LUJTgU*U52K5frSY6AQP94|}hF1yHHPq0-^fQv#|-BlNF zO3&K9kyw^;(f_L8pq*XFH)$3|$1EB>aFL*#&`40)Sr5ei61JOMgeq*+Eu$M*lg^j} z0mn@BrWJFA-UEr1I!ob=bjQ^71~Z>08^5?~IY1KLMJ*+xWzUpc5JeraXo9ltr8MdP z9HH%SsfGUHU7m0l4NnA|XXZ%}=p*&e$xcl|8c?)<_=Q`&=LAhrNGw`qnOl0+X7lzU zt&3U_hZYWS%)*MZ+LT|caS@o1ch0|7Dhe^}1|@vGIR&Dl&I*E_@jSw_)D0VXpf4iu&lC^!Vq=eXpIOT69s1i_D~1 z@N;zYgJ7%rNv$-084_5!?!`YWZYuLPFfOG6yk>f*3>*D);NXP1^3 znJtkAsRxY*k<*w~%}rZsQPRO~Z!hv@nNMw2r;1)|$TL_YjIHOW`_OIDvb!l#DO@R1 zDPp9Xpp!?mg(Gg%L9`a2MJqij-M|P0Ddy%HWhd=2+o*!%qUrCV%Wh%<)s`%xrAaF` zGB!ddoa;tP=KAFGDHTPFAnn2Kf2~AB_&Whbj9OeyoSY;^H+^jrd~6d8vkE6&u|LAJ zoWonbYdJ?aM?r2uNub1PBu=ymqBq2DiIJ)i+uXDvHH>r@cmCx@%FUC-qeNJPO-}E) z(?o^cxV}x`;z(gxRJ+2%NFc90!icYlt{Ct+Z*sT73_sODa;ec##ISp2MBiUikFJbR zMe)35{glm^TS-#)bMq77^Wi6zA!W8vMe*-Z=44Ky&1I(&3Ol)#M0K*tK+%(%UYyOG z%{Q!UWAd0IDSMbFp}$_EIqGgNKZ*IAR9e2E+4?zW3bBPWebTw`g5F8WUOLw8F_(y| zFVo^5dT~qLMswlEQvBRfq91b~2^FTyVr?}nXQLLh{Z4`e#XTQ6AS9M_D~5x_J$ts3 z9sRqHpBx%{0j!)+pO9@%!=ubSm1S0k5boD4oKXN>|&zYSXtgCG)N-Ry_F1EP5U;v>R&ELN&9 z&hDQeUqUFlXbVn0pGRkYvYomPjnB31;er9`R7a!QDJyADl)z`)@6fav!RhBwGtyxn zuHz**wpzUWrNZnClZ!7Y!fuXU*YZFefgu)b|~d0uv#GyWe=2qZKOi(e8!!G$u3Un7 zI&-$cg2@zdAAfb)zb6E4ci10RUj3!KO1(y@hQ52C+DzEK8rlifH47>2whybln0C4W zXT8m-+1`_AciTNCeMx*^T-Bi#YQ{6E6Ezq<7-?=fdrRDH#NOSUHj0bL=%!JbirYw@ z-dNyRp6ExJs8DdK_w3VL$1(dWCx&a?z}~z*?2ev}8@QyheD|}AlSns^8~(bc7EB~Y zB*wSqxamOHDiF1z5Tzarb?`R*2_~*vGZQPS(F`N^U|MEvZh+l?Id&5t3R3Ux zz3h`bc8-Ja*=V<0@z*<~G!P`1D3tGtc{l{n{?Vf5*~!b(x%dU!qgCD=k#(~UG0UbJLhcfSi!WO+{-3AvbSAdm&XzSHB9BmtL zBzU0^{D4(x`I=gZ@F+%LSu^j0LPqpR+-Kbf%T{^OmQMnkt@uA!>TxgY<$Fa_SzX8C zdfg|QX`|)%$_whL>dJeQ{m2I=;F~|ndv*7b92CyTH>k}aPowtS(c7Lhb3~)S2klIR zK!=9?1@UcnfPAYl0hSjl$<1udN`WM&U>HaDHNosmfuLF?S!P>~4aH4)ssXwP?kv$j zVodo;K@c&^+#}^E7&?53!9%Qq1|iadRFzazW@#5YVU29$>a-hm4y&l2CuU2q{?Rxe zp7m=nmZ_O#yN!uct6*}b;uq(<*Hm6u*-n~L7%dR9`e2ey>-Lt_#~Lg| zdwKWNa?(?$D{3cB$rdXbAB%{AFB8t~QN*MH)u2np&1CDGMK!U*A(~~;TwA#zRM2ad zK3j_iUjkLD?o3!g%5LUWl$TZOnAjcXtvep(ZjJ6KAWyKisS4{^i6>gyDEfo*pMKOe z>4C3k%~$GL9|J>zdiJaT$h4yNe(w+LKTN%L4mp}O;As6BL}xv>>si<}*1X1TBcjsl z7QjENheNhu-^$R6Y)&T{`A$-}6jYXl#)4VaQ>5MzmN6VqG<*tAtg!m^%g^KMe|~vKo&i3NyoINRSnDyiUHCubqu1*^j);1 zqhD1{ve$o-j_-GL;pK)Cj;o>5MFp4i00a}>0Dy+ta=kk;Q#-O#&a8XWNv9R8YuVVw z`{l(iv>);@?|tZ!@;y`+#jFg?R_d;B*3fY_(Q!`SPVxAlzH(x zH=YZ^k}RVk%90;I2}wO?OIBGPl-SEamBAI6K`s6}>_v`U!w#orX?GPFw!|{ryEM*3yfnoqFK8b z(w&iyM!#5`c7=xTv~ejUDEJ7Z2*a9o3^~_V@3h<)El}<)Q1&7Jq!jo85%PvxVR@K` zAf|o30x4d$al-aV*jb(Ip|3;K%5rjg?>G5pF-~YYOmtkCkw&%tVML3Vrbos?8Uasd z2Bx)j15cKa1u4t=Rk#3B#E#03Oc_u(mKz)E%4L_t#dj@ndQGQBbj^EY5&#*%Q602V zc_?(@C|p~wYMyF0i)p?p>KF>@><{W31(~LuJjASoegj0j-jV4sJa8yUW+h`JHOw7<+{o0qXge#5<%mxs5>@zWz^+|po3H{Wl zL0hadu`oT!(y}~Utm{R52)UmsIXu9Rrit2wYfveHs|mZwG5i6NB&pQM%SVauCz1$p$<}8)tSfTK6kjK|eJI>$C*x zEa*>u?$Pp#wv`IYnJ-3IzHvEy1-QF4%H9zbxT+N_2j5)fxD+E$_-1{$<;A+0V9Phk zVNW?)T4@a3Va+2tTy~==l4aKsT+X3J;%EW$^775N-RZ<5zyi;O@RcLh6c$KRQ0H_? zGEi&$ut==Odpz-{T=DWwam`;)JHJYC%`}uPG5*Bn)cx-ocNlemh#OiIg@e%sc&PFs zcPfiAgN3J>$NBhzL8nB!hToeX-b+boFL6v4Z8Ke`abQ*iUiVzjP&!aJQ~1(YMio zYDucmL-O|?jcKZ(A+782X{OJPS^$uDRX4Lv%3(q4TfWWArsei&+NWvLR{6^bVBef= zeVx+9jnjwi#HDpoPn4Z$y$e)+$p^z1V)LSgw}Q95YJf44t9D3SAFXpISt{_};^Tn% zavGqAGvkpdq+Sq8S0Oe7&maPX~Je zP)&%@Sluq}-Kp=8^`q66sU-!Y3&kCMvDn~hU%JkOb+N}?FGv-0CU>?*9k|b`U*iv7 z?z|lUQM(0JG3IOa&z-OdZ)2K?Ks*X^!}ns9s*5KYPQB*8Cky!ITfV9GWyAV|NsgPw z3uampP-{s$oO{RkoaNv>c&M`eBv&`)9yk`MoVY`$oU#!}1%(HIUwary4wjcp1+tE+ zOq&mQHnV#~pZb9vRH0IHSx7Ns1mE_VSBaVyP?DUtP`UBX;70+J_|&nL>~iVxesU;zj*d`#D0%d3HtGbLPL z!R?xlAr1y5lCv-JeyJPZ72@&WA9ENhRi0JPH)}~uZph@XeKqxPmi3w+CW~}0^?hej z@4xv0<(nF(U`&^wE1Z2pfR9nXhv0oSGhVb+;wUUuY=2Z5{LWX|^2_@#5Ru8I-lgZH z0}o5JDjC3{yVj2C@7%-@U*;Q;OOrcjY+Kr(x#BEwrvRR&|2krg?%EIw92h*mH_KLV z^0-pWN=^CTy6DWqrLCCyUUku0#OVltyOGRykpW>d>g$QmFL%Jv)24|^tg6*s!sG?| z(axNGrJjd3d=v!wwPApG8-s%9yTzy^?w!}5{O=A9u7m4`vWrK!aAPlI2 z#2v=%fQPJz!udTc^vifIcBdGkZ z{zpna&2W7^W{3nc~?~#bD}J)6?WtJ@xJPZ9XsU|SXkyoiiGp3u0-d# z#5f^r{RG%a87e;K449V0k2qSrBas2~#7YIe<6BI?+T7o9^m!$bY&v0+wLgEb>)G9< zi@ys+FYO}4%l}kPvxbU|Z%LqS4?`}l!fQi@+EwY9a4cLnxd9wETH%6u2Ai(hSyWRq z*;&ZB^LHM2dPaPava2Rh1}VLRBRMiv?xOQ0jjPI_^G-`c@q>Cl>uD+TiflMaK3skJ zd+u5VA-@wI(DGu?GQMA^L~_+a@t0iBG--#(McI_>xcNQKv~kpfa~caO5yPZgGA1sr z$^dL7RpL&`!&OcXDdo?7?vIy<5ZN<}6t;X80&m~*A4jgf^aHs`pxjyd{9Tsxa{}bN zfY?ascucAj3Y?yTxcB^f@GIJ^3G467db!zr}5kAPSIv+z1wX z410)t1d8fju7kHTZv;!tg_O8~#1G_ec{~U~=v>-up4i0E4*K51KR%U+Y+xO}cxeKu z(*;Q{vj`?|MUJ=ds@`?amrhEFh1^gh50z9#e88?JzNZK@nJk-}qpIgYa_JTn!;%Ml zsRH;zSIJQeUhNDUzNk^26rg&3oi1LpIRlyhRIU8k$qPa}3V~ibfY0>Csp0at&Wm;sbb@Y9`+K!{zQKj0UJ;BWL zcnigclzWEL-e37}?c@p&(^IaVq^eCg?pe7B6jD8AKq;BQl>)2X{#}0=H|OunRHS`Y zemD5FLoMa(UA7-T&2T?%GQ*bzH&W_ojKs$Ua!*VW&j9j-OdLl;6qbGa^MM;;-BrBX zs&qRY^tM{7LS8k!9RqpoMA!Vjp_GUv7sOBr_ASV5eJwhe7J&;;OC5o8^@Kdd6DY$0 zs!`YZ%VM7fb4Cw@W)l#gd;|!uRF9~@5U+Bfu6R4(YWg);F>Kft5StX#Zn|+$qFj5` zCH?lk0lmR5U9YAmNJCwMc&!=z&&7-Gh8VaK#D#~6{1_MS-*1P#4gQ+R$L{($uIIUU zTISt&MvJ^W!qAe|-&|iR_U(G|j%F_66GqowXd}5lOY-3)pqkRkw7F`%F~XsXAKNL= zsynR-+-E9zSwv=G1X`A$SDKn5%vb!YB%REws>l%3Sri-xo~Q0qLmgvDyI-!avq4yR z3;Q{z_-h65Hd6|&bGC8|m-&iWi_sjff{MHL}08nilYVa(*O! zGGH*AmLGFxK;do(yTlr{|JEM((*rntMoH=?;T8I2DSDFN#wHO8 zlB$rfRpgr=A@4kjCpiU*UKYe+K+?x7hLc5X z$<-?bNpCiIOF_O83$%a@#Rv@gvs=I_bA0JfUepbE zU}~-MEsS_eO7~@Hpv%D9!tm`P*Y8X>2`b|&6Cwj#{cD5hSIbX=DUMuoKL^BktB%O$ z6pEA&P&9W*(~g;mFiu!WyEmvml5+X|_Td*-$lc0{iH~fqV#QS(3&GLeK5F{y17@~5 z*9jPy29m$K4M`Hf0ZXbX{@>NMCGN`JL0S)-a4I0Az_hX(D%d;iiSd&Tvt{G3xG5g3dXSXe4At&^cXNla>FKB#)q! zyJ*#K1c@xD^O}!0Tj=Gy5vnkJHMIN2ZG*6UFF5h6oa~XRFiu!QswXLlR6!mzaCi1jUzSO?E`90a=9;~%eZi~1#@mz=F??K4;`;eew~e@y z!xfnRadVtr-)F}Nt$m=sl#+b{`l)twWAR~olnW+xd|0KTE$3bOvgIN#CT%PupE!N_ zEJLGPqx8KGOXuYtP#&)ED36zxH&*JAPl>eAtC13341SYkBPyPjTa^)N){OFEa1k(e zqd&4e~oMXgRBzm(tY$ikUdlcEpfsE&%>x{vn{>`Vksmj^^;$kVxz;F;{no*2e z;7zz%nnXeWC+wAg5eY<_t9%**2v8vA-GM8y9kC;CHv2kS{Z$4)lx@GIGym{s?zK(~ zC5Qel-LxLKAm}8KwbsM)^2EF?O9C}~*NVvdvl~J6zeerIyRNBUAESpq12obaO#1T_ zW7cM)x}sZ~rl9Vpz&5HUtK>lD<&{wk=XQt~Dr9rj=-Alj+=>4j&Bs+ly4IxVN2~H8 z<+zA>f0DZOo6a2dj49imQIXm&R@E_2lyCq5?=$l2<44>(gTPZ8@OC?lj{ zlvl~#AlfTBe8%XLD=Gpd=ksPpSJYg5i6&`TGf#;+Ow!wUth+tRc+2PQH@@bZ%dBAB z<8LAXCSFQjx7f2lzljEW$i3(Z8f&+?I41H%aEodK;8O@>|Iu+aEE%S!T+nUZZCxta z(4c9u^u<%Cb_VUW^5)IAwio3Tk`MoA{5DZ3)%vLP_^pn4`eJ;0fur|ZX7kJqL&!dl zV@2nb@E;?^Qva;#iYu=+ldy0Mx~x zGFi*6##woyiZW4wD4Hi6(f-n4hY2UW?=!VO_21q-`7F|5>^lU}=Q`QV!93azP!@Qy zHWNPz=RM6R1@1F$zyG#rTt2*AFISusI%{I1yht15K9o;_$uSwH8(!)HK|pz)N7^5GCtys*N^L^j;=u`RUNo7t$Uq=wmC zZ2W!2%b7ruweJBo8o>pNp1oaKc-aFq%|We&MfvPAXAzlIjbN@L;(FT2fBY5uPtP^|nAdS{+_>QQ z=Gx1k%!&3O=_byxnc}@5>6gXcox02u@@pju{}N#OvmoY0n|gvpO;0Pxba7<@Cl$L= zq4db2?0ZQ_(7l6SZ6X~4i#EvMVnh^yrDt1T=z=Sxj#}dik;fD5lJ%tA<0Q8=P^Ji# z?v%hQ-8y=h#ED!nabtzDk-NQwUF z!9It`^psS53LjWY`E!6MN_hhwmm;8=hUMMJh&SR^O~U$ZNbS0*Vug_l##uHuRGC4X zV9M@%w<+fJmQPsYjgdGp0h=cvKGe&9*@!_LsQdQgGJC)JMaYf^}mf>DTchq$8 z(kQ8ZD7_eu&0{<}>ZD)nPS+DWJ!+)?`_~hSWjC*yz78S`KWeETai>PxR-^qc81w2P zq?xvxtM?yBhax~ao-1~+)Z+`G=9->0BrYUj0LObtyqw~twhp&S-L^`-qCN)NAA9V* zH_oDSO?Q2mPOckR_O#3~uSX_UDAtX9j-vWzb;FA{@?8IjY_umCb4)_FH`h=XOJXF7P25tLEuemWD}_;jG!^C z(!j36ftlI41<0ePN!H!@bdn)3TqLdsLD9t)0K^gzqPa$F(nhWk6MQ&Uk+^4J;;1_t zh5fj+HnjkG><#hY2U3ywX_603s0RVuQWn1@9ZCYXU+?8Be8_V^{fL!>uL}%eM>TUl zETW&9du=SPjMPd$_(LA=<1I;a$dzKz#0hCfgq>>kS$&2?CJrh{hhkFo;_a)neR zPu}GJ=^*uMB3hCDazN_$(wJ~$y+T$?e$2q?cs`LT0|K;{xlZ^gHh{>@OY|ZTd59Q| zu4mR=8vW&!^P?=M$2vKz@O0FQyJa-1-n7rUFUTe#$5(GV2x%{lQ}X4(@_W%$b}ySt zip~?S-Rz_WDPd`l1g>-sCVXKDFwUQg>!A(jy5-9}qX9|I0v1}9N_U*fmZaEGbo?ZG<;fhyjxIy3tm5V=KI^{7whrM=DA(W`*zO> z#Ai17|Mz3o^2ZNyF?%@T&~n;6Tro8P6-LP+2L_p8)&bNtA?=ZJND3R0{ayO@NU`33 zz@cw$4Kj2rpwmuyO%jZdMH0MuSG(D`YzeSarX7u2yqH^ z5blCsYY@Wam4v*KMg9Xk2?-Fr%&>nFklOSJ1#H0^su(u2wi1xP&Oq5xmf0sZ*}m3| zSudP~Sd0#++sU{H^%xlZ2O<7bDFR-lusIKKKe!d>cz&M%Z+EUfC2as%OcGK303&;1 zu1E}wx*dfg31GJAbK|NZe2FxTP;9+b10$5c3T}JbsIof70^Lq@rW}~I`~N(~`q+%3Uni;n4rpd@N(AJ+53|Oqa}etG9@(nN@v`s4dJLWR ze4#V33bI+(ruY5OV@hGkmj4Yfhm+3_x?lSD|I&tKcR@RK`8jpCC-42mk2Pr=!Y15F=-krtRVm`Rw_0ab~QN>d%1j@ljoap zx#_!;S((l4Vbg06vx0kxb zR(ijn;O3O1cupF5OJ#oEi0+A1u-|w|%UG#!6N&GBcR*7npry*JCV8g1q{gt)+<70! z-6eExsc-q2`5O=PWGk0GkmR#7D6m@0C}+sz{VCycpDWSidPI3^MCeMS=8+BUDcBgi z^w?taO5-Z|w1HuHymC);^UPi)m;sYk*3yZ+SYOIovzMzFIx8PI#;d-cBVSMiS2*-f2I*e$L@|z{pnF2T&RWC^w}f4pC>vw}ham*X20$u0ruP zeM4)I{B=n|;Ejuj!{R8jF1i`k`0~89pN-mIHK}XpWD={MKX~5fu1W3g_LW}dDbL%l zq}xT;v~Ry^&pg;3Fm_+ z3`LOO2QDa8yADp;h`Jg>w@%m~Yq#*?~I8O|f@*enb0k2x7^ z|Df@m+)49gZyD4V1>STEzJCxz-_%Zbo3vC=vmD@jNa+@p_aTro2YAx*-b^z|6=T|quL6(c+s{LDBj{ypoQWd z+)J?*r?|UIa1Erz-MvUDrMNqV;2zvHND1zipfBHd?_KNNx1RkoIV(9cb7p7Gp5ON9 zh4A?cPD5y0`uZIk)4!23g?||zRl3r*(gr6XZoJ1=ZdoQLOmd}#sK>Z^EcpQx6* z(-o971_2YC9*PaN(U?=y^gq2iDnQU!I5$8N#&>2>?}N@MazzBRgt8Rd?4Op1+|Hux zxFVpw6<$PcVLu@7W*h2>eiFJfk!usjmC!_Z5}i$8ylJFI((aY{)%rM_TT^AV&Q(G*+lq^pJS{ceCE$7 zjqiLce9@~wZJb>wrP4+;(rDfPjOwZ%7JhbMO_?MdllTZ7HsmR&EHNNp0UQ!pX_ z&$4gMl*Lt46IzcSFN05G*B8l$e9AKCndbud#(T-n@&Aa2*zBn7CDO8K&C%8dj^E-1 zYQ%S#K2%;g+_%zn)CO8SV0YN}`dmt0vK?@3a?R~Y;y!JZj}X_FoKEXD?Eyq2(O_>b zb;|r1E)!-~2~iFgfBtg<|5t-uH_;-x(=rX;`866W8P`J1wRO&PDwg+o>^{r6`#MwmC%YG*UhUef?Y{C1(ZI|8+N}L;ri$AgMOb1Jz$*+x&uB^+TRz( ze!3`!=ZC&P?HIC(*Tr__))PSwc4d1XN3XJKvq~qOKmJXGINySQXW7&f_sxX~@=-jm zdJ#p)n46i~6~e)o6lsdVOUIbxH=3!c{)XOk3=8U|}*Fx)nvJ z3H;=j67H6;Qg*it_xBzdmYDDA_!eKub95DpxLLc3kx&C}U{6QzBj=qD!F51o52g>T zTSvf$RgNt^#>$?TX_5Qf!+<{&4tv(ztf?C}IvrIL@UvVb|J`la-M+yZEo5s=egvTO5>>Lye8%XOF=!TJMI7TwzQw(yrzjfZMZV2FY^M1q`17BF`XP@b=4m}8YnHvV zJ-S&Xoykn+DW1A|OTbf7W2V(_d278zu4$tnB?1aPda~pT$1%P{UKLI{vW0BtF2Ats zTH)ECY_D6#FDk+<-2C$fk9V|s%htCF;YyNrc|&USBVq%Y=Tz)HC#AR@6ufx040bsz zJxCW4yYv!;9VPIb-WSH%5+aR(I#1}68q+To(}Ufo{J8wMTGSD%CNFw-YqHN=q_SX{ z^G(FT#R;SKG0SppbE#a}n!4E&sV5e}OR3}>ahO5Dn#|i{I=7WorP_{XsbDQ#A3G>G z7g@5kC}NGZ-`l7nlhZjjsi?+O>xt``Qc;lh6LpB!*Htl;6gW|D&tRqhj2m`T$Qczn z87*l+ho%`;O^SJ2Sjt$lQT$lc*B*DIM*}Q(J2F{~44md}EAt{=NmRF0cFE%d*HgE( z@YaOdylzYS9Kv<*Y3J5wL4jiTW7*4`Q@nL-{9YC&f8FJX2310Syp+_twf^G*_jg(I zcY{P*ivf5jrh}9|GSU?oB&b{BQp|R*T@qRnXI48~g8`VI%b?#^Y%qBwGscspn*|BB z@9`~0dxliD-#WPSWZMX9gh#Kx7}*oa{;rXx;VE=fZV<15Pi7tW{l^&PKy3#F_dud> z?sy-Osmg}|AQZRbJ1_5s&Ds4ZyzZR%x`Uf+e#kd6+tp1y$1q{g?#J{$rJQVqe<^jj z&Qf{KDh=<*tj8F=W@Wl)w2U@I9F*>Jcnj7B=X@aoCGE z$b_?9g{*|FgtuHotX9E7M=q?PI2=WQ`?XQSzQ9Vj|0QK^e!{q0AJP^io%2e<8WwA4 z7<|EQ!%jAfT7}(6CS`W*isZu{&{U%mUq7j7}l<_2LK?&=gcx$@G3_e=H^Zcm4qKp#MO#bxhz95D+nJ07L zEH_~UpgO#rStL|fq<2FxwyT$$zGL}`S|IGoRGD|-mB6>zQB%C~Agxi;hNFDp@><8{ zZviCN{AavXbti(wSByJXr2gO^b$*ym`LtX9bAog6)RNr<7n zC&bcO>Q?xiPG-#iVAy7sLn}4TNkU5ozQ7Cqn5bzFvXf z48*9CuL$*$lVUY$-z>gMoMl;LW^foRmC-J=R94U~y39_SWzkDb!qcc_y%1fLwA6&F z21Y;iEk1DEgA(KP0-J0M6z|HJwrZU$iyRFA(X~9~HRba!sk-b(FqEq?wDq+4yX?cA zN-@!?=FIC9BQ5`a=3h>g`1_^oaLOlEKL*ZF|BBl#hHZf0>j{t* zZ<7BM>KAjYw<_cpLZfRW+A5`^trJ(7BT`xURvcOxCh;u5^A>A29u~9s-N#{S`V&`U zu8sJXPvX^!1G@nfpPSQ&DI};&Wn>%oT6cYO+iM3 zz1%b?c9?8Rm+`J^_oam?vC=;j!`@A4NQzM~>qbI#GpNn_YpQaJ`DvVNuItp|C&kOC zVWP`@QY-&OH;+NgzJuB=r8p~@LSacxe)dEUW7S_(_o@{vMR~Es9ILSKrFX6Ev8s*VcGgY*~Z-xTB|GI!PU=4KTZ+UwmZ2w z170)>zLq<~W6DAEkuAe(efQ3DZQ%{aR;zcqTY+ndGzk=osF<&AfAWVnx4y*It`c58 zRTQ9qr&7E=Tc@QIZO&$rrJPT=_jQI%JI&7H?yJTh{ogz>jO|$gsuKS5F3tty98&oJ z=SKS?v*)3PDTC;v&`cQ>e%_+=YE>=4o98VAE%TB|3fC5UDGwp;_bJ}6@n%}d^o>O> z9~k0m?PAq$6E7Oij_*&}f2=#`pU}2whaP*gB$Mw#$N!mZw;cjqI;Kx(L4x-~`rw)| zSa~}$EfY%HG_z6glD6|er0okz3pBgosUUgfliD55ndiWiC4e#j_f~rsWu}RSP+uHPdM9kO)Bxz3=}s@Vm|}4od*W*pNN*=Qy+q1YC4C1_*St!yH_rI zc|W3Vz&`Tb%JlBUiv?%#iSJwX0Nmc}OStu0XR1HLi74`9B$*T$1U168t<`M=?pZEf zN-sxq`XMSihhrgmJ zH|w4sDh-dS2@~Xg;|R@~uMIzF_L}cGT}}M^2F_TyJUY`6>fp`>b>eQ?f_?X5dRW8r zj=vmSWfOP5IlBqG$1J{iw-~-o(*;g>kYo&YkFRTDYUWhU7stE6J&;u78s`OnmoVNu z8MzNg?Wl4!=@=7#z;I|AS^}ycI6Xq5Z)gJARIkx0r{$rN@ED2j4=MV2lP5d7CcnT8 z?d}(rp)2#y4y#A%9i;dQ0k<&w}h-64~5Ljj~2MK zy~=ZH%-_QQ@*Yd&gI92b4?`aP_oUGFUW_kJe?? z=!cN%DjSUcWGQ1Qvtzo8|MQjudZCwmqu11p)S0|h48K<>Z?C-^tfJE>r`?i|0}=*? z0N<%67>n(KPda;yo8eTFV6^#Bsw+cI6Qml8ulr z2n>@gc?6{i(>-{f>Hr1N8?PLY!?znCu=IWG!nBKBI_-P+3;ONad*&9t4xXhyK0nU9 z-P<@UZ37ki3#>i|;$Bo(>IOPcWW&PT7t;Kedycv{We6s<7e@!i=4<`y;G z!$tTn+As+c_>=C_tGyJ-qzC2XF<*(~i-Di2J0~@$Fv7TGPUM!Eii~6=uSlkQMx-E) zb)&~fUQ}Qfd#a>SD}4N$cr8^Jnws2wP_W0r+XK$PCcs|<7{*mxDy9Yw) zJAUqpO)K1112RQ2hYI3Xaa6Gbzxo`VvQEaUY-mv%7oO(#g!@7q&UG|yD90F6)O{HW zF0$PDrUYmc zO4W@_V%5bgkv^P?B2piMEpfW!^Z4PAdyC!pWd9)JJWDRs4aw0fB^&c_9)6T0;eppL z6jR!@E23mevCVCkdlP>@0yWvFgKJS_fMdr?=8=w@H%gDnxqD3uTg%la)g7{WT%oZX z4up;g-EwKOSlWfv{k5eO;=82P!?k9Q77MBfV?@e$|F{oCGW|J{D+3()M3NVIG10-; z#7t%|R+nAUC7XtVm<}%eZo_V+7)3+}bDNq$IAku8T@O(2F)je$iOuGmYUfOJ{+2-E z_PB0cWVHVd!?)(;dZ`so4-W`vI?+znEMYPw1c?aS0{As7&Rp%EoAl-XDJn`sgKmpW!W-S*XJmgZ)jXE@J2 z=S)w~%;tMdc4d8NjI%c>+MaPKobly$^P&(Bzh@MgzADFQ*g9S)wH){$zhXRBAi+5l zuh7YizInrk{x{g{gFg*=2hV&3&sJjOfh8T2g(KrTqS+yGrUECqL>`vRgc!O44Zzcp z^1Lq7hl1=FH$bThFh3a!r(h^1Q6RM9x4W5xoykI&jk5uKc>~CGgf03rejB2j63wxr zH#W0QH-erv%`aqu5&^V=rz1TbTv@w9ahi=bypkcIt4L^8r_H>~@zs|V-sJ7mZsG+w znEU-JNfpHREvkFw4Yq-K#2=M_(5eH(dz2J^65T<&_UMB-argZz=UbU4%(^0J(hK^7 z0fccBu$*=SlLgXV>v+&I<(H!CcF1{c;lVdXgH?6J(;?_frM`%41@6z{SL zNFJ1rY-%kBDzY3C?L!>P_OvnxU3jG*+^$M1E85Z+(9TES-4`8UQ;w; zR%OZ+U2BX@Wia<);azAfdl^x=f?2GU7O&p~hiR%+Pf!>?Xg~%8JM*t};kbjS^b;e* zcYNODhLaWfV4%S4SFzZlQ>CaPN9CN&&^Zm9HiI+g;P#xy;{^3kQ7exPPKkpPc-V7WQx9^JYw;)s#R2WKM?4bV$ca$(_@(iC zE3mcz|C%hDANFu(hib4#>wC^g9K$_UhqQ&xnbQP%nNa7Jh!B)>SN3e&K0=u`otFO zQVDi*dfdEQ2cAXK&6>0AX*zOHN8P6}H>RHTc>#Vd7{f_L2N%rc_jC_GY(*(p)kjCJadF2i3! z=rEw9IVvT(%uNMnC(QQ{%6~DK$p&ZSnI99gtc-F1m_)ZaC_Zh9d}XN|@q8O25ORh} z?z4MPbA4+#jdv>0O{G#rzw7?tj)EZ|n#w&^(2Vv+Eo^kqaZJQu9XJG$>k-I_9P;6S z%2)=GTK`845Mvy)He;T7G<4VrtFaYLqL8;{0&EQ(Dp`|_IqA$T;eovYL|Li-x1HJt z?|*do7aU1jn*i<-7xSRc-R#dkj>USEt->zgh2qVY=fTMQZGj6pp3L?1F<^>^Bat7D;BaxEJUyn-y0p!JGq z%m&^GW$-Rh;xO*Fx!&g1sjgyMoYuPkO9`i>a2Dq3>T`LMU6XCo@~%tP1w(~ND0Lk- zJ4^$Mv!yajV|1O^@D&;7dS&R}xcjT@A-wD%@Vc{QhhWY852QDa;s2lMkHcERSK3$R zZ+JTLZo=e7rK2mX%SnIAYENrxpu7%;hxUn;^*Goi!mfqJ=ZM6DRnN=C`|7T7m+CIh z-og^w;z>+C`X}z}Gf0dZclnc?@L$eP!ajm=j-2YfCs3g%1pmW-hVFvrmT5Qd7dY+_ z{Wjg!B!5);4&%t6{$v6ofA{=|sXlKqFhAU65?nAwYYYB$=B#~hAR-VE<6z4%wf?0c zAMe5|W|{Z+-8RPB2&D+GNt7>H=E{T?BcF$~fxbRJdoYCE50xCzR(vuonFgIR% zB?5BnO;ig!ip-FQNRoqJZROh@@R;NwF`$CKujP{L$m1k}XyiAXVw$klPylViDXs~9 zEv=j%1I%_`an{rYBL$1zADs+d_=d7f|{t-j(vzu88?-?f5bFl-sbN5 z^E?XPu2tVvj|J}D70^Bdzgv^C4gX2aN>7QOynz=!GKfmNjPjHD+RCq#e2picD5<~1 z|F&l>UN-N&234H2==Zb88Ij03;Y@vAJ^wT%`;1YT#s^y=E!MP1GqDjAkAF#|D>rY7 z8&it{D7H2g`Y1N6}wbNn@CB=E&GcA>GfHh~>ywOQ9?$n5Zsb;V74=K6Dm3 zoIS4rniIo&!nL_(x4F(n;ElV(+TS^`6N$!@bjjcsIB@ryY2wKV2CL%}arU?2UtoBy zSptDw?RYo%{{CcfClg^eW|H3?T%I3v_2WC3D&q-!i+!uzvrkzb#E)NQs+B|Ifup-! z{ob*e{0{vv{r(}!J!9aecYdm5g9<_qNo~Y~Vxfeja3-plWI?|*Qa@2+3pgx(S3!N$QRn%X*o_)5T2m|H#4#aT{PG;>i*w(J+?_b1Y?MuSVC zgiMXA_KNSygXoH`DECWAQL&AqxUBfBjQG~fH2-zk4?R_NRnaTWSij*poDwpD$voDp zLSxB(iao<&g`%%<`o8w08DuTScr&c7P9uf}d6XpkT6#^Ai>ZSZxYN2lW$N^e{J8u| zv6M9xZ)S?$EK+7sw#vm0&Z+U+lN(5@OV1XYWi3QI{|fsRhH7g0KiP-y->Mbz7xN^n zWc{0?YZCCB+bEy!qm>Edp0|9pm~R=LXcP~<^`YwgZDUcJJDNqg*eoYNN3~F1nHXzt ziKk~(S1LC*GiYD5Q(sP3=3tqcjOS2Zra+av`qD|`ezdsjq;e|Fw!q5XE~cKzD|5+1Xwv0mpve~a2Dgu*nyJptsYiQy?697BP6iAu)#M!i^K~}?6}}hX^DmH zRvl9kfP&tWimAuLhi4R;B5D}v_`hfafvJdgEfFP7E^1Dll*f4F8)*CoQRUmTxFBH+ zr&c9)YDMq>k3{a8is=K9@0hCjL#AzAvFMN1BDEV$K+#b1)ZSN?94T6xjXXSW{1*4c z9wd~@6!iYCIbw~=Od=d<2v4sa+lE#p7#Fe$kC**c-Lu~_YL(Mw4!xiC30tGvHylBT z^w0A`zOOyfZ%--P3Qj+90NOpu-Ws$j$FZ%Vg#4QmqjFEdwRY%1zcYH&$+YXxE50D+Ae!OqLQB_rlz~=Y7{tu~0VEKdSmgK~f`D2sjFr8FOYCWqz-L?R982}t;L%WCr zRIXsfo|K$_wKbY-&F2{Pq39nOPI2?@7pLGFfNh)Un6}YvtxZ)xca&Q4EJrBp48|1V z-SEY^j)u|UviF36dbg<} zUk*DH;~ydiowz|1P@h*0xFl6#xX$8pi_Ze27i-$y1mK5L4S`b1b=P#YnSpfeBR>?X z&aGX3jQl$%TE6m^t_abM*dq8?jJGT-w*6=f^g>+8 zTp}~@&%_N4^v3)Vc)RvP{BXoalEQE;Pn_mmzzE8qp{s;^J-IC{n@Uj7zcJMgb=Q&z zT9Q}DJLj7d!Oqz!6*Fp0iBGNErhvxV1itVWPxMqVe@LFR2IMh}q}uRGkUa5K4(%Cs z@Mh!(*uR|?{=@ubc?SiHkC%%eJUPU0UH9NFJC`0b7qfH#c{o>TjH z*RC1Ov|}C_k8Mi8Z|bhmvZtkO33vlTXlS>gG&YYf!FiShs*~fq&^)Whw--BR#tD(z zWF=>W4JOt(I?cva!y$liZ6sc^pxIhbK)7&+`|!!+)*Vzb0cV(Y7`YwaTqN0bm$G8B zn^#xGm3guJQ!M^)-WK)~l_03ygZZgb0&F?n_9G1}bw>ghynW2!-D^s z{XmAzrnD~*rsM|!Ko@FHwIc#CS?;I{H@yjuywlP|&1?u?_>SGD7Pfapd0gle&e{8*P3WXIO2Bj;Kb|`z87Zcn&s#${pD@0tg1Ix_ zUkay4gm+b8j=RHwgOpT5Lg40@8+kP#@lwCfkBA+hf>SOp*xdv2_kQvc5Qfy=HBgiU z8`K}2HipBbN*{IWU2R44W964KDgXXF32PKxdRZR{W#Y~21`)vh0kG&+6w>X11uV`# z#t@i--faa_(9#V{YRcV(52ZbBO!eil8a>cY#9QV~FPx}nk4_$Xi!7m(JC;%4w})6z z)qj+^ALt*Ftx6>|0FUf|I)+o<{`=|O4Ue&H+-na;hn!Gvfvd6nD)+OoEx$ajhk#t( zC%Um?^T>NeT^oF;;c>KLi%Pm{ELZx*BgqWp>+P+Wjl_5+ty>=RzO6l#z5EPZ@1n}e zWZqR}e)j=RHT(Ad&23&D*oHkN*F$(QAM^zQao^> zz1IVS*gj0uLfvC7Y|#ODP({Lv!hIbOiwspWLZz%+h4Wn# z#sR_aDt-nHJ;htCximv7>OHj$(F%ZWY3lo_4uB?Ws@aSofpdVpb0-XM2rrzXON8D` zuBIxsP|-@Ge$?_{7!LSTi|&aUF2?MkLKo-&U+#1Y@Ao&i!tA%u^Om1;nlUenKIjrq#OrYc|WR{9!2gZ>-yJTx?C>oW1wtVmY%Vd)T_Ei`E2s@{2o?U zuf@v`gCSftb+iwM@qy1C`0Q!)9r-O9o^jNoLV7>(YHO%y7onn&%(h+XOUm!S<^C_1 z63azn-EC*Y|2w3v_{aG2%E^XVlGd8mPdX~pM$$Uk1d~13C%dUzRzBM=bAyQrf!iO( z_AULghAw!b?;HefU@Vt@lq-8H12%&p!oid9T(NyaBqp5ZS<9NvZRg( z5i-R_0A@g?N2%d(yLYBXrJ;(dj@p63zKLvX*`9@Ke{iIk5;fzuNR(1Uu8W*}wpYKE zA|?onjGG7A0BCp1iK#SHeZ;uFq|H=sNeo#1FrejZ4MOEJ6^o9prEzrBn|?{viPGsQ zS7XoVYV#LhuD;OL6EKX~eyDKc%CopR)AN_i;~{Y25*xJH?b(fYQI%vBXNwl{mae`+MurN7Bvj@ZXUkKUc{-6MbR%9C61wTZ`h%nl2}kN(K)|Z%0g|;LcP0( zsSK9|TN;6r5J%ymuN3XR5qq6HRLFjHmX{glN0pMn9*fC zlbbigjR{isBzKxG9bg^_dE(Xzw9xrmlU_*i6y45_wp`q&jZ*IGDT`*+WV!MEcWoC9 z#URn4(sa5s3vQN%b45+*6xRYq) z6PL+>M7Jv*Z@Bs|%54aZL&$VhnZ$yN%8Lkdz3drLrq>M+kgcs^$gzi}v2Y&6y^F@F zNqus!%nv9AaLUQ_R7FvC*_vF1l9B_TVL#N(WNk)!RcAoQC%NmY8gRoyS*Zr%FXnyj z*T$`knwqVMnnsHr1g){CMW0al`SRc4JCCc6aLg~T{Vv<^U(}2hrQy@_ni5ZlBO~!7 zBp+OUC}DpRQ0y0@SvtK3##nO&K>XS+%W~g|YOAJn%_g6!q+QQuPPK|CE@|5+Htn-i z7k%C0(<}88;UE9tO}e%?BZm2Cm~ru2{tA?VQ|$WQ!LV1Ktj91&F#O)IO>yX=Ql~xW zKGjDj-{|2fC*3=nSeA5vcDcIq#f#yfURiN*)6!P0B^TC+DANy_=)IjU7-hzv;S}3E zlS&fC?B&y1jeTLRVoE9%!xZ5XM$pf@gRt5&SZ>M8HG9fX_3St~x!IpR;5O<#{!^uQ zP7bfX3=#JU6Zc`<%n{DhKb9Jx5v%*Q@adc@$t<()Uvu7Df_2~557N*x?)x`(8NRsJ zLJyliSS~)a>jgQp+_RjEDMM_E@ATjSamJFWCnlzkWRsjdcZ9-eyeHUvhkd+kR+exQ zVUCN^n9*vo@wVsw$znj)wkTn3_t-fXsDxNu8s+?#a9kKp=1oNVIRE1}-^Fm7xq@x5 z*hNju5QwgTH}4lRMl6r$?vua>h=l`SqCPE{4OYa1p#l>K-adWClT^PLpSi%{e;n3F z#9NFkf8Tjl5JN$rdCk%Tg@X|NK+mZA~F&6RhOKxowYQ zSI!#Qka}F2qgl!!eA4MFpIIU@ggdJTFXjXoXm$OK`fAYA`2MCbW_d`Zl*+<;QT5EG zj9A!6E?(cubVa>1b-bDA)`;9&wu5Tx7^Ql+PNSR+cjW@zabFkq2M8a_=R7ccz57Dn zXKXl(0fT0*cu`b0sr)3uAiF|0LGO_YxU4*yABHCcgDlyKktwOymXmbe0aTs<(O z1;F}wq6WBWf_>`GY>CG+Z(ls5&peRqm?-*R#d@g06pAurtA9SjF>8E=V~PR)XC@Fz zp-Vb<$#qD!P<44OxPNa{PFga9XUS{Ch}S@`%s<}3nZDSHWuZY)$YxpZJm!kCmoJ#? z+)6S~QJA@AczeL{JI|S(!ia^WmHLdM@Vj$-5n4^$zhfE5*c5-bEH{(26h4kwAk+~b z$CS~UF?>;G%+Q*Uz3d5NnY58Qw?i@ZSgvB#OuYC)72eAprI%nD2<^^Te96m$V{U!^ zubu_k%UA5|49d(v=i&8rTOzhLPn&Hw z^LGPY=0nIU{XlBmUMa#;{!evqeC;VR3x2^yL{qH}9Ra2ft%V z%E4s0f6V0+lbcE22acCn)8=Z$FI0(!Q|XK}K`JM;Y?p8_ z51q~R&QBq;Z9vaK-w#`tps|x}(DS9xe?~IklVFZN9VihCGXs^!oS?urUa85d_AWuo%`wQ+$CR@&8Y<2{O-=+otQ9+y;=2|&_S{nu+6!M3|O2l%X&?=0!~Fv zz6(aQ5BYyTA#0C>iBlwlGaAKV+7R=6qLj1r z9$a8khm)eIMz`sUlyU^)r>)DI?I|3dsG06}; zWe&YNdUP>v$L0 zY|qXX&cOyR0#)JI?qHAi*b`BiPI-et0bh%V7l-)sLJUqX>ezZ}TSR~xxe=71PUbT2DITmp9GK*=F>pkr2+&%FV0kQ=x{%bPGTE_xaZI6 zO>#E7QUvUo8&tcYu6H=AvcL=dzmC{^fb!6i3nDV5_UiYiS>ED z5h;3U6fwpnMfQ`eP{Lrd?T_}2cq{G1!>gyroqe17j_Q7sp$n?<*^{q(SmRoOMj>M? zQmDKoiWBI&N?0PO4vacG{G?}DpZgtH=gJb?swmFjfMJLCc;=*C*)P~bkm$P4c+oSI zhwRR41*#P&jvG>ajYatkzPkJO<4-{kn2p+1re-X-wmcJAtFY%%7qoj9cK-QLx4kjO z1b!17Jd^VYUO4#Y6VUeFn6|3V!5#HU<^LeYkLfy!Q8jdi;iG)W?`z-aI*M!)*ch$w z4W92^V_$QOn_nND^ZiS7A_a-@xJc3T&wBi8h^e~dx2zc26zp;1l_F=X>UX%cSj?q3 z8D1lyu=_w9@cN)9WG&?16udp+wJIfS8r6*!x`O4OG!`S+VE2+#5U$GmTwDj^<7n@fG0% zGk$XGX0QWldDXZ9_ZKyZmu*=$vvTmxUoUY?rJx|)3{z5T3u{xUAc~AH|KSE7bTxG6 zMA7?cmM!9saxkdm?>+3rg12I!-(|5#JA*Qi)!bd|(0*uV&`VzK<7x< zX4f+Z0|X-VnJ)6YIPb;!Q9VMdnF%h;p0h)HaG~8nV&%Bjrj5@=x}hcu#0#32>1sQC z``Ie@UpIO8Gth9%)M?;7FLX1)DKbLQeRV0IosQ4bLNhz6*-Ul5!nAxU;eIA)%jD4! z!o5K<>>uDnb&}NrWT3Cv?($Ur5r8CXBZLB&{7){ZdBIVZy#sMuRchmXZ>CTrccKo@)kdKST7#WCBT;cy; z^x_fb1MvJ$;_2h@XF|UE52QxQ zII=WX9F;AJ0FOJ)$l|=1Zl?6sq2gYD7BDvmYDQ+G|Y+Cl}AD64M&iy7g=} z!sXW(^snfDK_{kUop2-#D1>4m5@>P8*m6rVF{`_5WLGPNWpl;?PGbHfS2mHQ*A<7h zzyt8XPJ&VJquYu8te2jRcJ`6oAk!xkqXt_iDp+Ctn|8*fCgY_>`^oD(qg&xgG%i9s z+SSF$27x3+ocHOWfje2UIqii_pmf{)Sec=wq>Qr6-7wMN{T(KqK)a#Zgm1Kg+?QXZ zOxodBb5--XkV&sdE0I#yFvv5tI{%-!@P*xj1~4-8D5)+IIgOD#&=NJrwI z4v{JGJeAMJMivCV4*psxiIGXqW#0a4Taz+O`~~1DtNnqKws0dcu=S9x=S63ENdxPx zo4>QV^IKa}`cY>u=8x=zkw34ztfDeltTKid6Lve*6KH;0w8aTbKfUHAD9i@yz+A#w zpMH4hN*{DArIGi(!{9;4|2&KYox_}@am`-`llQK}2oApwc0D;$J?g1tKe;=`O8w`D zClym-VcX|R%wEp@s9q8O{qny9{C{5t-O~?0%8MvmKi!^`{xeHKp42e^pNDutrAWo6 zxQ~8;qb?oE!Iaqx6Z=eu^TqNLt{_P*|DSI=q-Q6OLjlg>=Tdw*Q?T1n*rU~pORHbN z$As@60xpFbtOHsp@zlJnjx&Q(ZvtVbN#;OxGpWE_CY=%2vPv`QaG|=ox7X0i z`Wa#awJrQS$}UzH8tC%y0sv~;@cg-qmi4Dk4` zK%>w2$ev=Y-<47bl?^%CGiap|mtAF*(pFB-NCM%6CI zV%WD^*yWVgX*U=HbvyVpVfx|6euSG24NJ0T41F_1dEd-mD6|?K9GYF9L76{a-$v0y zvTKtU+BkS#`K4(yu}`!VL7ei}_}U90V|!khicy~0%at4xU&i`t{XD3pPN63(Y> z8QuT2IDmcTnN*ne?I*E#D?dXghe7S<5as%Ll6YkeX%oWS4zK&V3t^|=x5)$743WQ1 zlj`CykeRi|SNpQE-BQp7pI_CkZR%WXEXFXVJ^dnO^saMtqPa#6-Ho2jzpI;P&U-i$ zFElTY_c$h76>zf6un}!iM)o90kGx4S*6;Tu=!KWtw1R0*cpH7foQFj3zBF3>ndPdO z(1SlowK1~-vrG6@@|J(ih3jL^H@eRGHWY&n^%hYT=FxRsjIK=!8SPx!DclWSqS5wE z|D5G=$cd=Hpt`DGB0WwCnoRolPfq6)7CnB=&dL$_i)+yWa1S=iTYP~jAf)6p;Z#9X z;LE*s^vFbYC94vlje-2LxSoB9eS*Al-I*Qd<>KiztIlTPAIqY`?#p9x=rkk2=C`$% zF6N(^Bil3nSt7vU@vZ4JP0c3YAaejlCfwXtBDg}{`ys71D#={=^QZh!@m}#d{mXB4 z;S`}f4F*BCd_c8nG9Uh?x!PC3mzrw7{Iwl86bNT8Z4Ynv#GiXTKwCI49cA{f>h!w_ zlkE*0g2Y=A8s4h8&QKYCaY5J6)Kt%x3u`A%V-JH|eEe3toG^^hQ`~gJ1Mo@X6_Yy( z_?lu9jh|wJOWa9S(ykh(@WQ6v>XcpG*jw|jsoL_3NafG?glm+RuL>rDhs)DG-e-K2 zH8nJC(_}D{eaVJ9Cbb)9F(aC*#bZNon{8Hor|Ui5{O#h~2+2abyeTYBiw-{D<~VZt))Z z^FN_K^M~{wKH0wNrTT@d-7*_0m0yT;@>&%EF6$jrC)~-M^c@s9R*J=&Q|n^-jj~F& zUOF~Ny)!P(-I&CC0TuvFS8#dnvjwl!u{!zPyx@PyRQWpB(2OtlT{Q`nf6&_M8soK) zK)_#&eoO9|G;|g3*VIur3ZL8H%gYl=W1^x-fh9L>oE!I!q+w}d<|2OH$xD=)1~qyM zm!yuGS~?$R`+VjdoXmsyWOt@R>ac%v@DVv-5zy_*`Bk_KUNrZYnV?GH(yj4wQzoyIWi)m&F-e^;;%fL~=UK*R2>wF$Rye>lxpP8WbWEg; zFRL>5eX{z%T;fX7Lw%Av10{r)J_~#^vjdU`+p?9V`?!HI`pAL$Wbo&c=T^1fEu>4Z z7b4wW7R%fs@7k}f3cpLJowx*t;b1Egypj^~|GWo2y(tW5&O>c3vM~?JB<~)6{@~C_ zi-OR#UrAFprCZ3qm;OI{VcRF_QuSKEuG1-^S#56ej-(_Cda|R;gr+Y5ts|UjUk-5;^FaGo)IO(DN3rnHqYF{7h>w$dWOH}FH7t9)% z9eD!Jn_k?!uu_maesTM4`epdZhQH~KbG@qEF`C!2rWaNy)7N^{Yhmy=t^w-KKH$G) z7Bml>j@h4*FGevQ-XnTGo=6!@a(GDft;aotFEG2K!c3+kyAn~YYl5_tppP3&T3(DboeSruvwbwXCN&SENe z8*EaKyOWH+7k0d0^G4S`#!h;_lN#bwoAgQF-2GZJe%5GR|nFALBev zx?6D|ac#6dz3V2yAS##i;xnKbHICUV9>}-36P?Lm%7BKsLZp|>q67`(3Y?pms({UG zZidnaG2%^G=Kmc`;M|W@BwmqiUz9E&)@LhC_7M%BVpbP9H(X~nrB;I&{1&_gtQCwD zo@L~E**)PpsC)!O?iq=gb{)jxr#gK#EH6bela!y1+ivNS+@*|>o`Ca(sG4L!n3+E( zHQSEe>kz5)8(M;C3cy^MeRu2aUk0<=h#b^nhloc|D_j}3dFe<0sMILW%@8@OTF23h{3^j(kyBv?bd7$@xF8ba7 zJ0LHbFMK?aM$YfEUj!LuIX%EIg(YwYN+qUhT`Tjcpx&OI!Z&lZ> z?zNx2R`1%ox~sdMewN3jktHv*!cS$Pn$3|-{~(L=E{pR93rqb*)XvpWX%));5osdR zY^>M6qB=O@uA1L_O<$wdpMmRdpv}&W>mL4^4cGzJ`iXN<+yVzyAwXHyyAJ+<@wdov z&S7KR{W=xR)lJxZ#V8;GlSA>yD*iL+GO6MQ;geXIkSw*9`bi)5CIK~aRmCq%NL861 z9Jo~-*ay|SW18AAwcYgl*1a;pjxnueOe^SCanxtNSOva%@n#4oP&1@C&+?@AeqR(? z?uj$q=$F^RpCJi`NN>+_@v}DM=|(rc^eb0YcDEprZ=ZIzzal-zQL*#4&}eyoT~+Sx zVe{KSp;v>gVm(2ECWlZ(Xf0A&K^;m8HfM6j)fkQKgrdyeOU^*e4n6J~%$CW(&J0`h zuR+Fo=^q+O<&zn*tEw@PaU?M3M-UcZigJw_&X6QoVb~Vp1ZwoHDW42BOgm0I9*+qb z>^+bI;I}W|b{HmXSzV>)wz+%v! zC9Jy3AtEY-R1IQUp3vy*c`7jHHn9ra7PzPYTHDJqV z@RG(xCg&i7M~z3|N2^DtNA^d+BjkDuJPSMnndSlwt0WRY9_f}rZ3=arNL?&xC!GWH z4(hgaQMy$?iV<*Jbd@Yj%PWeqie`dH|M|qcL*61oz5iiB)FMMUKjxP8DV3P%p>|7j zbIwT~fMCc5AwOSuZqJs!2!f7UF)4G)GxO76qWP7qI0u_;`!{TzXlHjO7JA9sXljZC z_f>tk%6`toqjGfF!Y$vCGJG$wqL&|Eqn0N2^W@WQ_wh`mz|lk939IT5OOT) z4eVBA?x%UJtDspo2vImlMl7t;GSu9CFp7)^v>hI*t=0*|c zH^EAoOLNO_u00n*oB1=3l1?8LfUKvUymkxCj7oLmBDm-Ey3)GamKs|IZn?|+_Ni6; zNrg(Jv+n*j5*zfbw?Ty{gGxTec~_73kXjUzg_@xvAm*<{KqI=Cd36F}jP-2zyS{W$ zrDI2}*ib93Dks8N(6ed)TM4-ZOB*VMFzF)cqF|8pA7P0-m~{c*{+PYZvA|PL&d4v9 za1|jPhXuNFuJ_ZkGmUl9I_L9_k>x5!jETmTj;qutkH8n7QibY@Wzb4z0kSNJ-@Jg* zF%c`Qp;qv!y;>E{N;Z^Q8y!p!wEG(i%ZuV zCL6|oH%~T9Qa6%0kvWmuX$GY2$U>4ZlTy$!5l>XYP%N2ka+>y-ur9VD@11LDl{pTo zpJ^Gq25dQRttFk|ScrN3+s8|m!;%Ay2Acx=^T2e_4d(OX14XW=9JmeLfxbe;-Vv0% zz?HNZ#scXvC~>w^*!fjASL>Z)9g**Jv_VnXO)p7R4#3UXEd$;zjzTVC;tGFp-$?I} z_jH4x>Wl%Rrj|vX^=cy8I$-CCl$OFP#@mzJ<@Nepmt5RCN#v|lUr>}fFFv%TDlBoG zosl|Vz$AY)1DG|*PcZ%bV7(7bh>W3I{e&VlaYeQu@IZZ-={X!E)dkji?ndOZf|qCe z1H}(=aU0?tPQkDRWEJ>yVAN#ca_2$WzqQ;lSz&lpYjiGh6hN#CS!w#Fxe3`KhujPRX5OD~*^&L+*r2F)Vj;D}g?B ziUJ3A2hIyV{P=Yn;t0~hr_Bigo&#iWv{hT`TFYH0wN6Q3racVtd&V$(?eft(R| zRQ~6K5QL2Q(Yr+>1h9}sWGyD9vv$D&hc7;2BKhAG(0BVJuI zow%&8NnDvfUW%Qx9nyp_`;#>wD)s`>i*%wUHjQvyvYo+)4p%>ldgN^t#jAM_za+ds zjFG52LbxPULvL5c1H{m)_hY6Pk~^e2F=W&-ac*7}lkdGfB6^0ZW?#GFJ^2BZO3*{M zO=0bKDvCS79ide%0ReM=e6#m!J*ZG$N^@FHO4~k zo!M+!cw6%(p>HAN;g3mbpVEW@b$jfj9$-bKDe$qDZ3R0{!eM{$8*2F#=EK^(Ay4?v zjABvCXFuR*MuFR(s&=heuM1&j{sCuP&%FYS$piILR&u|9v%s@3xzP31q)VB%P>ajX zX=mQQnwXHWf1FGYcWE|I?eBKI)Qa3lQa$a{PwH*5%YBKBAr_sX@>goSgXR0n5B0CO z4$&>*?oug*wFI7{CVv2gP8hRj6+<5_%Eef*0hWm24qwEsG?kt%`5e}oxT<`pO>p;y zU_0W-^X&cu&S%^u zgbajiHy1zXDkwVK1%{i!jw^5#2v@T$M9Pelqys^9?T2tB>VcT`0?8vpH)k1K>1%|n zxN{3%)QcT{yHK_Q79Xn>Uq(8f$}5)V%o(7opiByClD8cn>jau4%r{HXf~|7iPY+*3G9EF9Y$izh2K zPbgh&*l=n$uRbN7r>q~{2%_-He^osS9Sod5J5G82y7w8lWa^w~OK^H+JmtB7fw)$x zGMrRnh-Z$dK481orHELCs;XmC52f3uB6`02bxO6>YXXR=$GKAY^J35jB(jQm=z943 zz{L?b8OLh^e&@QHuK-a!sEnHPp)eI&t->q#;~Ps^T(!pM)ZB-qoAVA|DR*OXOYtg6 zhftaKPvNZR%Hpy_bM7o)j?o;ZaU?&X+8lG~b9l^H?fQ1^u_E+avMZhUAnk!%3)^*P zfqjB(ymgLLfnz-o<(U~U`%xF9R2iL%szBl9}{?2nfr2{0kH)0!=dys_3Y(s-8?jc3Fl8fla5jROjM+eG#ZbLT1wz<; zRmB9EGiw)v6|RP>;{9cM;&}SKC1zVo!`@6jm(Cu;Jt2@y@Co)5qY5uC?gM9Ps(dgs zQcVeZ4h%%q@M}I{&=AQs+$6j6!Q!yXT|hD4$#fIy6q_f07Sp26N)lDsJMfNlNk`4# z*<_Ylh1=dFqD%UyX^v$~Mc9Z)%=}>af@r<(ev2UaKReeu-=HJHb;Mf^1mX63@S35a z`H*ZVNZap->yd&N48Pc#9R}$35s$U}D2jgB%Yx>GQHItR5l#wQ4B=9RraupcCLa<0 z(^%oU5ERx2*xhRcH-O0NKdth^4+5@I` zwfzAvuRK3=+6NH#(%qRrxCas0GG4jB=BepH;v)V=d8Kc1dP`5~ko_?4ov}BWSCcKD zG+4k5-zuDes(_=}OG_BC>{55*Cm`8!k`rE2i$H_P7+x22)bv zkm>XLH!-kH%B$Z9jI6>%ihr*8P~}c6!Hz!no7lq^nE`Zs_jjDx7;xvip& z;Gp8ic8}F%a(@lpCM-B?+m~_b7{6aa@@ZOo5$_wrIZyFtutYI9YGkCw=a)fSP!j9Y z%)9BVmdp|Zn3(1!wGPN=#Ozk)I?xm=r&VcmIXl`s*kfsSMT}RK6^ocTJBmLRNdvSM zXiOS0n?r}`si?UBP@6oO=`Pi)`^p@Ic>}9GSf(iglU~GXyGF!1Q{W#(vfyAv2*>^Z zNxjtZkuy5x@yLhc*I~vt5yfRMS{|)RRgYy7t4w!>)GMTsH%hw9~ze*!}(Bl4z*^-fgCy2LKD{`B!{!?ydGEh zzi)s8LGqajYx_G7%@09ghK!ODf2$hB9AS-b#wIE&%QNJ)dhgJv*ty&17;J=oq(f{W zvVGzZ&EqFhwq%YN(175Ra;YJ!Ur4Ag1swDp5UtwEboy!Uz;JS|C=CDE{xxiUA+rq= z&4!C#D~qdA9yquz{q>6v{}SUeduJ#0%S$XPI~^x{+vZs3kFYh4^2#`_vAXeB*_?SH zz}{2ydL_1T2|o`TC;iiP#`+6?-up|t(Bm}X=@{p;&~|;>4u_J*=6m2)Vrh0R{z3IU z`!g%dDz3AaEgAf>-h2Eq+4vuyb?v)sU->&E8sSr7l-4wZOU#+0ev_kLdkVxg`oKYB zBW?0}N$lS7h%Po{B;YHQ!q?~;BB*e_}*F8!^J#UC0x)lYl> zhEY?1Y=yz>gvym&K?0Xm>7kK*!umUr*wgy><=2D5QIrn@G%O>I{S-fu4N;9_8uN1dy0%!q}Y9)%XRi3LIvV)b3xNrol+Netn}^Ey;q;L=EcN3gFQ|=OCjRn>@%vHkW?j zX7+hym`1NzEjSWH;g+>vRga4Yl0zqjE##)&2BfFvJc)Ec78DdoPFfgfU^xh3Z&ZVX z<^4TFq*)+@c(pY%EBFSoF9f$K3}UU$f!IqZIBIaZbK4*78OU_)vuAJJ;ywRNopuxa zymrg3dyKf#zfdua8LhDv{i6X)>uELPEO4ZNfwji{1LsVdlO8l7T)w!FLAh>4rmx?Y zQ=ZegnkG%QZD&vGhTsVoppUSB3vGBb$))9tGhKd$8+pym-zDyQ z9R!u{wMS8P3y;5ewoYNIB{|Q%vbL*Qj_aU%M6H(V2V_BM6KU5K$o?X58#r&Gz5T@VpgVZrg}29QaNfC zCA^yJ$4h*qN%Y>x2k>&{PAGA8xF|Y3yA|W{b(-L9X@b<)M_2bIC|(>k(ZysN=qe;c z-YnZd8XdbE1G>nk6-we%MOE~kN-D%DRl@T4Fk8<4q_Ls-fhMZdv+SwC{mOiwA5BC& zK4tRluqD-@AM{2e?T91SV{#jm>7l(z$-7Qm02V&g$*=t2IP#hvNqCr$a_iKd9a9Jf z4B3c72)(&mKOf+Vb-%3!n~#f5WEAP);uTtqrL^MBfJUgrEz1HSTvwfvAhTQ;?F?XFxJzLzv*D&EAeL!hi zPsuK7E%xnQ14^{rCCYzH)`XPM4e;r0@-vEz4e|jBFFbLiuPaG(uD(PPPLO9Mf?hcz z)~k^T&Y;Sj9PSIh0`phg*YrDgAOBV0$fT0<3PcQ-4c8_D8#VsM&n?#=zO^imAxqD( zr+-;p`B63xbFIZTir6XPaoMG67VIv5_rLy)^4_b694(T7Bu4yhNQdPZ65p3-2hMMhg7?{JZmH3pNtQWYyX zF-!hHwn-%>-;VXjXt-*HMiUiZmhnv|U8j;}245R*=S`fygyLOhYinyND@|co<8_;! zxPuM3vM4WR4TWq9Io7N(2&p0#kwx*XBgAcHQH&_jzvJf0N&u9|Y|9DZ3NIw00~g_8 zDnwLQLcA~5yxnpnaNFEUC#t>e>vDwTP2vdCs7bXVXwO-JIkoif5XEX8f7! z6UDdA4|9UJEQ5NoA@$#>KpqwvN)Fy$qa-(beSh-hN_gD3!y8oh`#Z-5{VFR{ZReeU zvAbI1e9;&3g@x$qG7L5ksnd~&K$^+=A~xwvM)SRoR`kg%Cc~q@^JHvQ+MBHwhjoP! zXibeimeR|GxZ|qSI(0dpZ8U zp4&f{mF{S~Y>_>Zi6q+}(E6l*{6s}0UInhL zx?GiGpkABod}&RyqTSw=+A0AVZu8pd{r%j9FhpW%GL^x5yusBwK8rFuol(VOcXI;DQU;9;Ku>by`j4G4D z{<)sn{3vV7O?7hT|8J$-17Y*tX>Hw~;OWOpgHve=JEWoV~pOqLets485J6D3SxkW2nrUN49?G9<8c$_iHug{fO3^ftCdDeI564lt@Rq)Z4SsRzU`Hpo87_6 z1{m$2m~5lz5 z4b~=pCoA}}`+Ohmm;TLljPISya{Wcc*DQ!M-**h`EJhLykq;Dg={xqww|lI#2E=Mx zNYl;SyDi2uSap+b@3YlU{AkbS8^#xq%qCwHKF@=ZhU$0dcCg5jX+EMpKYs-^@R5E?zhHdX zV_$_;_sROFS#_i2&o=9^d!q!;HZPs*`X{mV8uPZK*?~W6m-9CC;_qdh(_2Jk^=DVl zI=a=X$<8$V8t!U1QbEDL=x61wGLPETRWl6j+%PGrAG)Kfs2#wwt}j@c*_n^R_&hnL zC#S|3zu2Rv{Be!p7s5nNZ;sn}3ys3Pg&32+uZ30BoH%v5XK*U^BK=%`XZZ5E>TFjB z{Z!-V^4#FiL=O-D;N&#aG`B0SKn&4!(=#;gd?V@bV~30XGte=^yovRQ8Pu_jt7R^{ z!2g`u4i`UwYd(-27>T%I4?53$&e1I$Q~wZgjVQ0gO?1}JZ;}#GTIdNu*O6Zv;;W}Q z{O}XB9b)LW5?sU0?JaXrHUP8J#81^EdWDXDBx_e+2F!gn9JCJ-<=IuC%^z>GkILd^ zWs8GK3CNxqt!PRc$;NLB|Ky#V(FN}Y9*w@ozP06lHC5L~Q=wI1rt*XaOC00E?CJTf z*Oc5{&K2FN**3p{o2=ggLN#nA2pcmv$B;(u^}`RBz6d4kRr78BqjOEIg-f$>7?-;u zG7|@P_33hrVP%SWjNAG8oLxnrMr1MbXhFTJyu7?06r$r;4s8zyo4zNGQA=*-ecU-o z45DXTi@u*M%B-rXhqFnAb&A=k5c={sI&jG`K0ar?&>8~< zK5LuL%1F(_m7os>`r4>K%vP5p`{#xy*=0jJame}oSFkJW@N9oSOTsbW{PEv>eEXTU zLwi+(hbL1Z2a#rfytNyBMQ?Ml!&DXf*|HKz%ZkFehtg;7tEU$8!`H5NCkDd3#}6t} zuO4&^^hW>Z%gzsO&L0Rn!on+d6R-i?RyfpF0fOA#J=%G^(dqW>9!;(;U}$FsI6W?` zOpSI!6Oq71YWy6xx`1DpKlY(94!t*L`So&HXJ|ny0zhc6*Mt6jVSH7EkZ2n%dv9+x zy6fr6J?K3It)oChBMiS3OUsD$Rp%2#EQw+w*=@h87yYKz#>B?bdcO6yKwG(l@~~P< zCHgN=;6mW_Dau~Y#SGyL&hYx%YrM>Ld($nkP)5cl?qnt>2{@-q&A_<;;x4{UkQnEw zyW0xAp+uT1L9+SzRE?dz-Pv{+D*A=K?bM6h`N_3a{e_Du`=bX(gyfSgbF}(Na2(7Je!x-?1xPjmM6A}+ZUkLXV z&e<*XEHd4~Nj(Y)1A>@=6%9Jai5dbWaGFFa`0Kb8nri64jO5qD?;pX}AoR2X7}WGA zQHTDbdS3LuzPH<;74xz%yuyDz(cjPtrkLcA`st8ipc?+wBLSCB2I<1$4fFG0$kG0B zg6LNTJCmR%_yguAHH0HH4Za{1PZ)S^agVu2E(Xdd0sl0__)j|!zrxU9#Bt?)7tl@| zdT3J^zeveSnbVW+Z7Lv`ZX7wxYCun0fDc)Ue?Ev&*b%3KlaYlq)Ii~n320zfO)|I{ zdO#yIv%-%W;SmQgjOCX=2%~@{V2-nb(YlOTCx(7#tyd3Yv>^(AcY;g|W4TlPHL9vvjwk|cOx>D&P=kJ>U;GA$~-li}IDgZQL?mNUal)`s9?JV?-w3jE2>X97ezZ;A3p#~dOJWe(}D@{$q-0!{w187@pn-NK`+ z&@?Eg{x1fY} zVTd$gNRSD-IW%6UQlN_?;d9LSYfvdE&Wcm>Hyn;QzWxCGJ=!UgA_*Bv&VCaq)DaK~ z+p7msSHeqKcT>{xHb>(;is->L&?@2*+tDd_rE2hITM!7`%7tE#2)7rJrlXdzB<6f3 zgt$bTB>P%;Vut$}B((3$?>X%^7@sA;elIk&wezE-L;rsMbHI_ydZjtX-~Z{@%6U5A zhv4B8RlO$ry4F#`&sB^u7z3*&5nlIqCn8OumfQ?Yd7&z~bepo00^-`6cJq99NR0fCf-o$GvSjx&joIIuy5)vxB_9qnfmwjX zE1bfqM36(sxGWt_K_dTWkuqR zK%$;Bi`U}U3*DQ)OM)N;Tn*{Mndc&BoqxaUFjpm`F!NzF2UwIBY=NI}wWZuNb7#_beE@hWT^r6sMZA>Ce7 zifryRBK)co++t+@ZjcG-?;dd%i!5hZR+F%hDvnm$rhsbZU78M{!2eaoQzhI^B1;u$ zqRSRj*lnY{2`y`0s%f4!>=aK54aB|w&c$b0{GkISxtN4>E>0kL9cvn|9sC0}SLRaA zn-pI$RX2!vMyVruvaaQaTtaT-{9Pl9^JQ@;y1T-TV=`KH4p zs#kA5bmf!eBkI4Id7GQ$XU1~n$u~0y^CQjA{k+xR)bI};{uZNR#N70ve$-?kiqoFNvX6q!6hC(!g;}EWdLgbybG9K4Ti7G{y;siRZ{mN_v?N75igLG{ zKzSg>$C#1(&kEm+D)0BAGUyeMp9rzz84HB#GOCGeQr;}E0OOc(BT+Qq(gA})I4p6b z{&@Jj-#R?laAx<2JQREf_#rz+l^OOH68XR=0%LKeU*ZX&9(E1nFH$1YoV#U>G0K4S zNT*QH`vh7olAi|?3g?o$0`Ep8ra3V=UST;b=BQCtvH#Zfb-J)GQs8kyZ?}4^xXPiw zYZ%eY(}F98mDgb{(nCF@HRQ9x1=je>1+fP}*r7W18k_d>T_w@F;2V#c&i@{z*HC=s zEnYK>I8c^|xfB0-oC8+xP%B;nc<1&Nt2*UK9FSC)=h&sO3GFF7C{I~tC2qxMfkAr^ z-sMygWMyI17f9jZCSApA^V#d*8a!C5U}K3zhaaS46^mdYMj^VqXd00K0%C7T?DdOLL`s{f~oZAkuLFv;$cA`Kx7BWX&^-yw>GCb zBlxUxTq-#d^c^INm>)5y6jWgaKjH7d7;5EQenK+n0;1XgIRPHGQ{g;eilje-nUIBQ zQla-ugG4|z;RE^nAn}Ude@Glk2=)k(%faHfNvX8s=v0tL{a|#H=wbzRKm-dBLkC_# zL}&@e-|8}%19ABZd(lnN51@Vr$;Jtn#0uDZGYvqK8sUx+Nd&>yAURlQD6}PUGFny$ z%25DKP$<>a`CW+o#1)|m-1iI!f&@V-hDaDm1X1M(<#Q0j3jYM);tk9?5G8;+xMzWx zWs%00sR`q&{{+%ekU{&wDb1|erO1s!<_c2Fg{20G=Out5&_nqv36G#6(^G*_#Mbaj zj0}c*g-L>s7^4vbEH6qyP_RL6f2!a7QzHwp5XwZe%WiGt z=~+rdES}5|`VrK~Mw2Ll7@4G|_R5XN9UhL#{V0*1Bw+-XBq*0EgL95T@p4f5<38NO zUz?yzF`76sNEGHrs%Qk!r$f+#Q?T{BtEZEKIzf(Jyy#GSWA2KpbVxi1qc|ruWW-Nq zhIvnakGqBm*qVOrXf|fTwK+kJ$|)A+@^c0|g&$VMm)AEe}pm3n@ zIsC{GN(T!#COf(_=wJwSeZoBRY=YeBb_zwwGY{q08zUogEmSaoG&|}Ydew2DM%H_o zId)uBh(zfXkerYp;~-@)t)}C^J&RfakooyH48nzoAT3LfKiEQn+XjmyY2a{} z#Lvb_RfmYK6CplyzmQxg&EC(98HhD0dp!6c6?ueG%JW)EX|C17ixw+=L^^!?6Ws=x zjUyAhC4eCy{U@iehME(ToQSLRfkK6! z0z=qr2MHMxcII!~X_0b;AE>;7ihyM*|A^op-DWp*WSPlvu#2G*5;Dhuv?ykyvdgrW zgMfX;Ff(2{I4qLrY>*Kl#{g8yP)N1piNX?oMU+Wy5IMbWQ>n|!^Vn3Qd@qXXggOm=LHU=P=MT$Ga?N6gy7nQ`;S^Oh%Er|rGxrf;lo2$jVL z|B7#a)4%KY7A40cjp@0>>-S{5!PU;u{lJ8~ zK|Oh1B7l3mjxbyiQ}x@tL#X~&;<2Av&7Lb!3z0OPf$aFKBwaVSoG6ZJubLZzFu1JzJ&oj0Rr z4iDb9LYWiSo!-unv8)aOf_NMHdT012hs@cDDr2n%8l6S5)7sNI4{+uu1t3?#Vj`g( ziLZ#O;u^rF_f$S-G)=yJ^**5UWg&RIj{D_vYqXa+ygSup)W%R3`|64m?=q)3BO=|nd#2J&7Z~@V}GNLN=X>9+Zss6$b{*8#%C!_t78!Z`xQ@Dm}z^Z0axNf z4*ekPwG4zm1sG;`tz_pi6-H`B{?3)ud)MK(&a=>0h0KoI!@x#j&yU&TtiN5ToXmU6 zwrOo3Em7!VBp!NR&Ys7xJymlXbKQ+b;0;cXyVChM8@Z}~_E1q9_w0_cirK1k)$ZVC zvn)1Z-w(CfCb?>G9Xt|bQ`5bN)0am?e2Oj4ZL)5n;$A+zZ_bj_j{2V2%v2|T4Z`lv zI#$cDe~SHu)ZKmBdMTz6LI|2}`QD%KR|J?GNKtM2GWLY72l|pYo1V_8(k3f^4sYj~ zzLG#GS6DjBNC`&UJb?_pMWLxy_NMlaW8>}eb{x|l!Lw1!!B zja1SlmY6wLL;>UW#Ck|Pb5JP@qZ#_cHK|YQ2?7Yj`_EIJEq@&!^_s+b8M?11f-*KR zp9-`~qdafQVLsSf?G2Ng`?qZFw&k$vMgycmAZa5>>bOSB!>jjH21>f&5&zt&y<+jz z2GxD=yH|ll|0?T_lK*9~3E3H%0T~bnE;q9E7Obaxc8a;fP7J&dB{O>?sm!ZS6VTV! z2fz-5SIemYm*jM0f58f{NVM&ezXRd(g}bU9r6%G}d}Id(B5XOqt)ntFpC%iqr#j3{ z$w)(}QN^g=^S}N3r^$J|n&;n-FWz{TEPcP+2dfK7yFEhQQVts4Z;x`{ZK|oc$6Y&^ zKbJNQ@1HVT0j^#(XPyKGqaC;MjxLcF^Y8l$y!8{JG+0KhTm+VDAN9t~bk75OYOS}) z!6E5d9YgD;uj?1H?^;LO<6F<#9YiSCz{G5|!MpKFuk9RPhPf3_-^aG~t4yIIQ7Q*R zw8+qgbI+@Ryi>L%8D=M+Eobhmd2(mG*S*KYmXF&eQsHgI^cHXl!%U^=;R*aUb2EK5 z?9-I3sW?Kr*|bmy>^-#&)IM#aRQZ(f@A)s^eB8U^mSVJ5b76IGHB)PT)T@m zbqnqI@@VDrjcHIL2HPK0t$iU6d90lgR~_O~1rl|$t_(f3H|0jGk|w!E80nLQ0x(5! z>WaxqpHz3H$EUg}-}6??K6@%L8iD5bfC%etcQ~J7jA^fXFZ06}4tvccJWOW78bic! zchT8&V7d0#?PPyU!?pk)j;rr?N3t!ZjO;L$uT#7V-(>X4wYBf~@FBRNO2bWWlyy@) zcI9!17JPj1I46(VI17=xr>ivaaVj3B%SoX_llE?y#JVXiGY){6vl`l+(P>*5b;)~g zblYTuPxC>4EWI&sSnT3s)mYo)@aoghqNtjyed}B4Z9P`k7nAe8>3$eO$T2385vQol zVwHIJ0i}YM(7se)q>|Uh zH0^TTpO1o zouf$K?xizk_5CfoV!O)(Q2D48hfrLJL4ZyZLEdV`G#B)TCbA$wiI*$opr!wF|o99yF!|sVZT0#2}bZL&QM>Km8B*0NbBNW|8=!JkNa2g7Bc z556ctrO`g1VNlJX!JCI}V_>nuyz4Sg51Dc1n;lzMEOjk9J;&nkM2@j^JHL&OQ+Z@{ zxQv*K76E&YQwzB6cAuLaKJ^gle_t!Xh{eKeBu*rp+#>MxVKRR@vL87Qj} zswcd=LQ#jkVa>4Co)^#8<5%dsMpI?R`;NyiBUuZT?alG)Pi7_#t49w{w~EKK)7!+4 zyfd}`^ZHp7!N3a{_Y<|YY&bf#a$8Rt)q zD+@6d0G7a{!2`TM7A31$T-Huo?1RtGN!{9BrJt)eu6aVQz~E-l=qmMg!_y`tI7h}L zxNGkMX|E%RWwC3{^dGt4&Uu|YYGp9Xx*76wH%~9=OmOjzUmBbsv+m-BQXS?? zeQe}$J=b+HzvCU%7rfK)WDH77vLsb&`3#Cs6jSug(fE*R2Ds)8Vkp15tx=`4ZW9 z((cG~$v{U@f~rUWM88W0gq{5Vh!w?_gzGzy3ytbAhE7635%mi=9M{ORg$8YYZ;(D@ zA3hH*y0fU#l1)5dr;b~=S|2}RzaKx^$!dFE#Cg|+4ECc?$&yql1A`X51DQ_it=;Q! z+NQMFn)yy2sgmB`Lo*S`Fw{DjO((p$cT2{B1Tpq7*}T&(MqSwUrXBO0T%&;U=eA

    ~(D$ir!Y(?&h- zK_A<8M&-ssyX7HUwTuQI(?(p<^f!>p+a6?zhIf2yoy}7Da8mKjcr&R3uYT^&)=-Jp zZOTxV2>#8hOlhzo1Awv0e%$!E<h9{+H{#DY05^1?_4jtWZ3I5&8(&Ck1EXK$ty=k^+vnY9bJTJhw;5em&MJpq-trU zCm$QVN#yuaCHWm-4!rW9l8CDC=i|A-Wdn#^P$>>-kW*$rEdLO&mJ^)t;#vzuh{u4U z%=gvZ_&ccB^I|L(&mkh_yzyz2Grft4&;FZJcRSZ{*-}w4~EO zJR0PD)&b~m*Sc=>_P&0NUe34)$8SRC$#nVuq%@wKNOvuQ zFVNa_RXZ+<<-^X{aO?c1# z_t4X)Sy#92_q_!C;_)^ZZMeZ>!#Iyo=L3(r4Sfdn^7ujm$o(mK>37=qsWt!Vi!Ujv zqj=m}Y?rUG5bGvVjle48ek|dx((H*}n-#Ll_r5FjVZ*c~1l$rlRtc`&bfx=;sQ&4Q zhNsS(cdN0&KN(2AM;Gml#a_B+o9%_`=ChDS)0?KKG8X5q-)WvXlkV}u`tL`lwj~IB zf$KHxS!sGI)L74x6}@=w=jgXx?deQ62Z`Y3*iosC=X4cMx@;R}pRF9;@CY{5u56Yo z*En6O={;PQu!`8MgEp9UUC|Kwo*-W-mOwcirLz_xwbrwOW3V9YT2_$kJfYpon~Vln!xbZ9~eSH zg-0i{Btv-d792}?k}U^)-^kda)YF!`{?sJmvy-vT6I-y-;$ylXbso}i+e+P=NRhMU@ z8gFvpiSUbId3sDtvwDm!UsZCC@b2;wrd$9}+1vus6cYcDF9V*N;k|phz}wNRR4%1Q z2^h@~LjuP(_%j)Y$rVS9G8va2s}ozRXHnj+ z8?-0WHub`cxyWW@qv#aje;r7v#1ds)W|4D%y;C@SP=JA*@0SqryTBP&=LOgH!JJ|x z-*f6EpXVu}42?FVaUYFZudBs}y{8JrW93yF7^x#QZIr2uWoMbuQG@wY&%r>oi4oPt zaFo}4zKig;%@@1k&D-*1-gvFwS1vH>Ivo7mCLW^&Eel!4(x%bet6lB;^;6p9w=uSE z*`CC6euwQlEJUM+cC5A7#srB-Jj zD{`=na`zpS?grJ#eoHw6{>9pMb-1ml9s%me%?GstQy@oqn^909X z=OB(WA_T$JGoF6kcyitCc@8~7=W=RuuJi8gGa&B?;p*=cRnzs??FHF$M!@>{c1OC? zcELkd!Tg)65izm-St1qqYab>OIhqMc@dfSWp^CQApgryv#aav5*=1S&_^*pfyzZRk znaBgMtE{RJG}v=ft+d$pF1OpQ%-2^T_}j!KlYT{C{bVNA*uzMCGj^Gz$uC*-s-zgu z^e*huKjnd=Vz>jMPEDm(=yd$yMOjem84H<>q?_qzj4K3<-px<_^9z4v6<})bP~f@W zc12tew&RI1NsBIE89T?S8ww%J&G2jq6u%V^K1lQSEUFb^=&mjv3|gr*31g3cqhv3b zh;l?1K2LY7neGv6k;HHEtOXf$o-TPWRwHzz7#8BmN^ebe)ZpxIti-iEBx`$22o-Nc z>SjL6+}F*Ov|jGA6h8~Z}N z*nV8zX9xO(FME;bgnfG5xrw>BU*DbvYkyBTxw6W(-5wkre!FnsV-|fU>RkQFvAf%o zvR^cSALe6H=Xs))Yh!nn-jrs0$CN;U)tLh5Y3h%AFP_*ptz3@*EWNroHaHaBUZft| zV=uMr>!ay7nqS>FqSPPgjEeZTH zNLrClf+P9IcNr^!KX(49GYB~f!Jwd^=rJMjh=gmMuBz}R6SwlKlfOquzFkz1R@9kk zlgVk!zID9m_J6X`*;;oe|=tRT!~$rFnS9-!^pF z44sX`tk0pF?>obi3{M|hnS8B#GUp}#GW01SvEFCO#(Lhf7Sn6 zmW_?!zrp`x*_7PvjOnC}o%D_Lo%HDxoeiA+V^EWp`fqhMCVE!-|0yrV&F5yPZ)j=k zL||ZSYHq_rblu)fL||^jL!`#|34URy8p!bAF==U`}_~f|7UNE z4F6Yq=N_0vd8Yj$3IYOh2029$uocKV=NaVitst&~&_Y#QQ3)6<1xYxlX{DSGBB!rn zHGZPDTMz5%Dp^~L%9bQRqF=4H+G@H|$)UCDC)K3&kXD4fCJ7PV``7K-Klb}a|1jjq zeb3A_&-2W0?&qDk-d2%?H!WG%B=P#Wx%mt8Z_Hn`Y^e@NUu>#vT|am9T-oz2D_AnF z={(KIU)=nUh4aV#_O{}j-~HgRxBhum^HHd&`5#R|xhJOnjYp~OY(31A&RJ1jp$;+A zbchNXJ)!v+zgkeR@GqUV?65Jd4fzx07C6q$xS5d|XQUf_)k#LX{%9|AekLBB$&AU( zifqcftl;|j^S<%l$vh$QZ|yA|z-5>H*Jt9!8;_ri%Pt!|v0&~^X+K^vaokNe&A)zh z6i@IbOo-x1QqsM#iE3mt?}=B5%@!2^U5eHOV|VHx;UuOBO9%mbYkb{@=2- z6LLX(&i}xF9sdXRk@NXZKGRwL{B(VOx<1nx_)Ni{kFL*8*JnBdpDFnB(e>F*S2Ol? zf32It)*JM3pWfih0eb8?x9Il$FI64x35CSO`AZ8I=6yr=H(#B6c8-^xR7p%`30iGdOtDoj2X| zt5tc6o}KZ(yS#npor}(#IRDFM{oVX8Kl|K_2QPZI|EYIQ9eUc^Q{TR0>O(*NXzc6D z|5*9VYi}<7@WpFyxbK7KKJu2nHYjgh-gSpo9@K+6-CY&HmlD7yokA zH7}LTXnXU(PL*XdZoazhBVDULscLiUlntjf)UFzP!=PQS|DyhlkDe_kee;dFhueHS z%bR`ZK*`ZnpG?}H+wSn*BdZqdtiGVKYva*pYy7wUwy!nLE`4+Dj`vFDwp;$xFA68^ z{L|0cOdjCQ-tlq!!}Xu6TKfCt)%)9Yy>|T0Z_gXp;o`Z4e;D%9r@u6HVCLh@hWqON zqwb?|<4QL+o_?%f#p#>3W!i1%(pXegv-Gf2b=K3~mfOCaaVM4iQ{T?tpF3vDrjkrp zQMS7=si-`)_{<(P?Th>FsNPuIb4T8iDXYKe^m@3$FYf(n&BeuoyxEgpTz8MtY2JZ_ z#RHelp8DeY!E+bAxb_>);I}GIY3$H@|AjOAZl3*>5gQJaoO;*(=`+vz$?U5}Z2WD* zjK^2?YVaOkcX>mn$G2R*tBt->b!OWIb4EVC^}5iEX&TNiHrefTqS2W)z3vA?ZsaN&rfx78JnnOeFj?l8Qr=aCMN)V>^d zex&Yw?0OM{nO-GkVtc z{)IypmH%{iuP1B6gWE1B9Da1$%IZ-Ex87Qq`|iF^8ix-mUEA1aQ2D;1Q@7VTW3~;i zKllFfSBu)cT$_y9-lzVYYs-Jq7+qVops3T6b)EMl-~C>@JzH<7ANA(GFK>UdvVG&3 zgKOq4Uo)+t-?+*?joq)S+1ohy#}!dy??W}WKJwVgx3By2-A5lf{$u{v|9p4-Ll>uw z(;q(Gcv493lYYYx(|FEzKHb9!>3`q6+XZ*NpZm$=W3N;+|Jb`O_j3KVxB0j4JQ$>Z zYyPeKi!CAPkIas;mfy~G6*B#i6aIFr`>U;gtC@V|@r|`?<@n!DOlS^ioc_b(c}a&$ zj_>xQ^t@MFjx6g(&+vsyO~Mbp^y$I3ZspGNvl}04`rA*2{x7#!C#v%Y)B3-j%WB8zJ+CzG z+ovvX`IJ>1oNg;tZE`xSxa|_B->}M_#ocG*eSON>`Od%<>)tLIFmL~q;(kxfzT(9V zzjE5YwSQKl^H#-};y!=OTQOqQ1GE2b#Jcq*r}sWEXXb#(vo9I3{-KgH?mF;?s*d9i zbe!33{Ju*YlNGDKQ_}H@17DvxP~Twu`1&6qqv6+?ITyt`(}tkvf)?sas{g8EaQIB;DR zf7$MSKdQds;D$FAw|{s4p@yyp*FU$o>$?ZOwR`Zf{cVfRJXSuTsPFdbuZ-DH*>LLp z`)3rL^>X!9V>bS-;hbytO)lzptm6ElUdIl+Q`EMgcI2L|-@E?bHh)wm4*TB9`IooOwneS;>xHXY z8;|DaPcx%v+34&6V?yjuKK4q<`(yh}IUae(Lln|9hOccMnb{$~pVsb#(SH82PY<*8 zf^ouh{&bFaxb?q^{MUQWXqBF(|03PkYk$h#`6rX_X`SKrZ^w0=coAr+t5p zzh#?~8CFpq7oSo$XUvxE9WrMREAN_dhLv@7zBp{(Z;HFknDeD6Tjys+KD=*xX6(Gu z0;k8kiV?-Vp31xV#np+^XT_FhoUU&jSYAB1Q}ytfXLicV8?ol1lD@qwyU*-CIdA@m zwfQ9j?y8(ta>^C^U*6Sy#kT8a=RdyY@{+Tzs61$y;pzh!tDch4Y|4erK;1On-d-0Hg?zW z56j=IYX9+^@rSp!Ej+zTd09MYV{K-@wjqV*ZY%$J+-_xQN#l@h`|gR`46i+R=aw1u z!*||3zRusd&8v@gu77HAmzNHFBOctR`odZ3HZSfl>OlVP0asREI&1yj>aWjQyP)C9 zgPY$e9J{D=>+TLwUGIapzfpL>yQTLvUT}2vbA{2nW#{ki`cd5jMPKMT=e(=B*5r*@ zb8$o8L6tp4Fm44>{Qe(w7u^fhU8tpWsT#UHL0?1-#&Bm7H(Plf-}h6FHSP|iyi*E_lv{me({02J~R70 zHv96&H~g}s-HP=;+!e0a^uew!uN@dRb8!Eb`^6)@@2!oh+TEMCbm8ieCB2@m_^_(? z8#T8yj=i~}OI5cE@}k3Qt|{qybLF6_9=GNd47lxEC4)b#Y!jc_zGn83HYZK9N2+HY z=~h`&v~yLD#oeb?yxrJi>VXFqpEatqU)Wp})xIHj z%!Z8(rw=-?qiDdi>SWCNM;gw!|G>vZgL11c+OzKNhJrn7u538#+RFY#Jql`W+_UzU zhCxTyzFgmC!yO+qPqRa{=`?HTb#!xzGViY+zM|CXE|7OIeqVIU>H|cKEBil5kNy?2=?rPas z>#@9_+j8n!wEz0}rdt##{i`F(j-OUFPIOv0uKm5T_r(3RoZabFO^VtLdE-Cox?VQ@ z?L$9%{;rh9hpPYh?R{PP9{tnvAKyP{%A$Qwm*3X6!;rZ>x7=Qm8C_9YtVuR^z?5|j znQ_BP*A@33U%J2e)baZtEIwn$+>TQ=J(>v~E_<=K%lPv5i`#f}hK*Q%Rp$J8`wkbk zT{>sfk-kgk4tjC(pEBcnmrmQ2)4RNU=Bb<{nxuL_^|Zss!q4g9ejB6C%eXXDP0|( z-oEa<0k`ifjJK73JMMLHUEd>ZDr>jLT`KEN-Fe%NLU(G}1BLC5Zh5tE^r(t^;!Zcz z4cNK)kA>q#m9F1?`jxfaXWjmj!sLn4V0XurWxw3r?e*Fx8~Z=8?}OcKepEf|;FdQQ zpTB6|_`>v_QMZfbzbc+gH}M8FSmpnzP4jxw!tkLHp{8PMKEw#W7oNs2_3v zzCRZA%B>x`XUodEUVApaUO)QH(tC?K71Ryfv-v&e&c{D$XftmA#f^O*n0?+=%``hc z&DIt){Cd@c8mmo1sOd-9^Whmy|M)>VB99NE-Ay&m9e1}3@75plHRCk+ni863K=W@c zv+7@4|JIt&GVz|7dVF$Cf8zbz<`Mbcv17k#zERux(8@37x8QNkj!*CN-oEaY|D)eF z&1w4E{JE_JZK~}4a8FC*#FpE=p<`RO(i(Ym=)71trH;UGwhXymiOg_FwnJ zcX7q6Xi5IO&K)!9VwbOtr(Kn4OGg+ngl({CSRR^*Vv0Z>T$2hZ6?S7TdNsFgl#XGdf%+GP$m2`qy(k-yE|W zIN5#axq&?vH};ojMb7dI0*nXLV) z&Goa+RoWKWHs7<`3$o{ta-%RSE6pEglz*IF6eMUhYLDf(BXm(7j(FwL!36ptosPt20A>@p?gA`3p#YiY2!eL zcy8lBhd5~Be9+;84j***phHZy`v4uf$Fy;v!v`Hc=+MW{W_xho?f}mn;JE`lcXUr< z_W?Qr(4l))n+rOC=S~Ry0X%nr=ZO*a|d{? zqRH+9cjKYR;JFJtcY)_F z@Z1HSyTEfTz*ud-a~F8-0?*U+I&-d|K6ioV={k+gh5i7ZyTEf7cWTF7Vt1p1Z(v7kKUh&t2fT3p{s$=PvNv1)gj5+MXBSxeGk^fah9` zG~4rl=N{DO9`M`)p6i`C*oW1jRc?s0^V|cTd%$z8a@*|z&pqI|2R!$H=jqyY_T17! zE3|X~2cD;&JDWJ*xeq+|f#*K(+y|cfz;hpX?gP(#;JFVx z_krg=@Z1NU`@nM_c&XneJ>ap{Qfad}5JOG{t!1Dlj9sth+;CTQ%4}jp{QfaiL<+3o{) z9sth+;CTQ%4}jp9jG60C*k%&jaAO9wN2cfaiLi8RB4m0M7&9c>p{Q zfad}5JOG{t!1Dlj9sth+;CTQ%4}j)20q{Hko(I750C*k%&-Iir z91G|Go`=Bm5O}U9c+EaS;CTo<4}s?)@H_;bhrsg?c&>+z?ePK6L*RJ`JP(2AA=Kv~ z@H_;bhrsg?>htuu`t0!+0?$L>c?diYf#)IcJOrMH!1EAz9sQo`=A5xxd(4;CTo<4}s?)@H_;bhrsg?cpd`JL*RJ`JP(2A zA@Do|o`+DM>!lETu7Kwu@H_;bhrsg?cpd`JL*RJ`JP(2AA@Do|o`=Bm5O^K}&qLsO z2s{sg=OOSs1fGY$^ALC*LVX?r&(rtDvgeVUS)tAHJOZ9a!1D-r9s$qwauDp-&hrR( zt`~f4F7P}8o=3p*2zVX=&m-Wu-mZdU0Uf~e2zVX=&m-V@1U%PEPWD)U=X$#e;y?%R zJbe$%j3MB81U!#`=MnHc0-i^}^9Xnz0na1gc?3L*yTJ4G z-AEe;^8j^BC&$7j^BC&$7*{f#)&sJO-Y}!1EY*9s|!~;CT!@kAdeg@H_^d$H4O#cpd}KQ%?kQ?t$kq@H_^d z$H4O#cpd}KQ~wBaEWq;^cpd}K<$wU&v-j;W@H_^dr~Vt}_<-jz@H_^d$H4O#cpgK2 z9s|!~;CT!@kAdeg@H_^d$H4O#cpd}KW8irVJdc6rG4MPFp2xuR7+97fakJXHe)vdo+rTb1bChR&lBKz0z6NE=LzsU0iGwo z^8|RF0M8TPc>+97faeMDJOQ33!1Dxno&e7i;CTW(Pk`sCk4^TqDFL1*!1Dxno&e7i zsLvDNc>+97faeMDJOQ33!1DxnE+ZRz4uIzg@H_#YC&2Rrc%A^y6X1CQJWqh<3Gh4t zo+rTb1bChR&lBKz0z6NE=LzsU0iGwo^8|RF0M8TPc>+97pgvE4=Q7l?V*_}e0M8TP zxtx?>d-i@e0iGwo^8|P<^C!Cx;CTW(Pk`qM@H_#YC&2RrcrHUSI6ixSl>pBZ;CTW( zPk`qM@H_#YC&2Rrc%A^y6X3bNv@Bb%>}1TiYVthGx)!U=thcMpT(8t-#+BO4xKf+p zx!O#BsLk|;+CYcpxomOF{jSF<+*Hopg%0n6$d&j&lLwc zEYB4OIxNo>2RbazWi2Rbaz6$d&j&lLwcEYF>c<+<8mTv?tg4(5mD zx#D1cSe`2m=7;6E;$VJQp3CM5bXcA%4s=+aD-Lv6o+}P?Se`2mbXcA{8Ow9E!ML(K zR~(Eh%X7uSxUxJ~9E>Z=b6ID>{IEP%9O$q-R~+cD^||6ehvm8AK!@eIld(Kk8;mQ< zbH%~9vOHHDj4R7?#lg6;^||6;Tv?vWwhVMwo+}P?Se`2mbXcA%4s=+aE6(Z=91Y_I zA851VN*6_lv*St=6XNW+(jbC3JFXTS4)ELop39IHjt}|+crHs-n+rU5faebI+yS0Dz;g$9?f}mn z;JE`lcYx=zhPB#&=MM1P0iHX+a|d`X`&rm8^at?V0iMfT*lrJa4*qZs_`|6U<~i`( z0iHX+a|d`XYh8QHz;g$94*qbOzA(>$=im>gT<8zrIrzir5&=4Z=MM1P0iJ_Doc00z z0XzqPIOW2)0-ig-bMS}LgT;L$^+yS2JTgA;WgFl=D{%~ru{ow-7!5>b!c3go!oZ@VMxKN*iKb(+f`vd&p z6bCwh=im>gT+jhL2Y)!_+WC=s&S#Gy@P`w+?RD1$o`XM}a_#jB{NWU5#})X)DGqc1 z&%qx~w;P}Xcn$^{+3bMS{#E{rSSxeGi8e>mMDfezp~_`@j|bO6s?;5qoiX?xHg zz;p12(`_B-0G@+CoN_@2@Z1HS>&qhSxCfqtKb&qmVV(oe!5>b!_PPuHaEgO@4)reyH;nW7#UEsM3JO_U` zZ4a(jz;p12Q!ZS0f#={4=YT()+F)D(&%qx~xu64hu5UN7a|U=0{&4BHRhV4xhf|!@ z0se4`v-1P|;S^`*IrzgV&h`iR!#Us&r#3sTJm5L_!zmYZ0MEf6PPw)}Jm9$p^*Q*% zsjLMZP@j9ibMS}L_UyRwpgsqGIORfrKz$DWa4N+?2h``_52sw{52(+_`@mJUU$JCPI1s5P@j9ibMS}LQikOq_`@j<`UBS6J>WU`!)be<19%Sp zaLR>o1w03TI4#qF4&XWX!zmZW74RJV;gk#G3V06wa9T!!aRog0pgsqGIBgGf0MEf6 zPPw21cb!Fs^{-;18!IJkS9=2Y)!_LVp0y z!5>b!&>z5a@Q2e9qa9b^52rZGbMS{#oYevTaEh}$2Y)!l+5YgMJ_mm|EtT5-0Dm~e z+3PO&!zs>=EAWR?ob3*-wQ!exe)aT$2r=@1g5AcUm z9P|h99Q@&w3*!oS4*qb;h5i7Z`>@^){%~4G2OY594*qb;1s%Y1@P|__=z#hh{Nc3B z5911W4*qb;g>eP-IrzgV7seIT=im>gk0D@OL46MXaLR@26|A>|Kb&%5p2K>(4?G8d zxb&O*OwHs2&%qx~xu65;bMS{#F6e;I&%qx~xp3VDo`XM}1O9Mo10BF~@P|__=m4IB zKb&$w2k;#H;T-UXQyb`j`rHSegFl?M2lE4X4*qcZSj~}2Y)!l z+5P~3IK|oP75KyHqd?FBpPz$2oN{e{fIpn#>~$CX;S^{41N`9>XU7%z!|5xp>~$CX z;S>itfal;3r(Ea{;5qoiDHn7A&%qx~AI-wJ0-l3EoN}Q*fal;3r(76Uz;p12(?`e9 zAHZ|)hf^-h58yfY!zmZW74RJV;q=iq%n#r>_`@j|#ue}!{Na=f;|h2V{&32LaRodF ze>i=sEsQJRIrzgV7seIv9Q@&w3*!pvbMS}LV+Am-pgs?P=im>g?ST&9IrzgV7jyv6 z!5>bKLVyma&%qx~xiCNA^KgI6JPuA5L*r2l&G&&dzi2htt<6f)1$9!5>b!wm-lh zPI2~n1^#e~v-1P|;q(}fz3zfPoZ{^D3jE;|2RfiW2Y)!_LVrMg4*qa@^aymo=jY%L zr(76U@cB9T!zmZ~13o_oe>mmBxPtl|{NeOi74!$x=im>gT$mqFpMyV~a$#ISeGdL` z4*0{V4Rip{!5>b!paXag{&30#9l&$&hts2KFwcSK;18!_`~T@ zI+!28bMS{#F3b<8&%qx~xiCMVJ_mm|<-+`c`W*b>9Po!z8|VO@gFl>dK?m?0{Na=f zI-ouWe>gqb2=fE#bMS{#F3b;DZwG%k<-+^`o`XM}9=){lBZB%I{Na>q=Lh)1DbDr> z_`@mA>HvQ@2mImG20DP};18!<&;j*1_`@mJ&hrR(9zlH${&0H46?6d4!5>b!paXag z{&30#9l&$&htngt_Id^WaEi0@1N`9>2RfiW2Y)!_f)1$9!5>bK4TBD-&%qx~x%PSm z{&0%3^Bnx)6bCwh=im>gN1Z_j)aT$2r(Dnh^*Q*%DHrBB)aT$2r(Ap81%EgP{NdCF z;|l6?@P|__^as@E5%4?$o`XM}9w~?Z0G@+CoN}Q*fal;3r(Ea{;CTe~IrzirF?i4c z^*Q*%DHn7A&%qx~xu64h4*qb;g>eNu2Y)yR{NdCFI-ov}fal;3r|rQ!2cCmJoL)Du z{Q>@PinH?r{NWU5ue;z6r#Ram;18!b%X9FD(<>6719%SpaLTp)0se4`v-2GM;S^{4 z1N`9>XU7%z!|7EI%X9FDQyl03o`XM}a-lzf=im>gT+jhL2Y)yR{NdCFI)LXf@ErW% zv_0q#;5qoi>Gc^HSHN@dhf^--fc19phf^-}2k;#H;gk#g0XzqPIK3JK^Bi~%{&32L z`2jqSf#={4r|p3bSZ@b^IK9pUI)LXf)aT$2r|m(10MBFKIrzir)hZZQ!1EZ^+rb}B z+k@*atha+doN{4)0MEf6&H;ZowZZiY*4x1!PPw21*4x1!PPw21*4x1!POq>*f53V> z_`@j|<~i^j{Na=f;|h2V{&32*^8@_h^eUe15AcUmoYevTaEi0@9Q@%FXZr*E;S>it zfal;3=YT()+CT@?=im>gT-zVu52rYLUjqJcdcDz(EAWR?oV{LwKb+$1`~ZJA#eojs zIrzgV7y1Ku4*qa@brW;|&%qx~xiGF^y&e4Flnea<>+RqV=YT()+CT^JJOQ4AKb*D) z{Q*1&e>mkre*n+HA5O2xf)3z0_`@j|`U7|l{&32L{s5kXKb&6Sh3ggY9Q@&w3-bf& zbMS{#E?lpG=im?LfIpntKnL&~{Na=fI)LZk52swv0XzqPIK37P*DK&T_`@j|uDif< z@P|__%n#ss0z3zQIK9dZI)LZk52swv0XzqPIOT#4;5qoiDc8(%y?$2Rbaz z_3A(9usl~B=&(Fj9O$q-R~+cD>+OmI9hT>^D*zpq=ZXUzwmw%J=&(Fj9O$q-R~+cD z^|>q-K!@eI;y{Pxx#B>F<+%=ZXUzmgkBC z9kxD~l?CXqJXaj(usl~B=&<#<;y{Pxx#B>FtQ2Rbaz6$d&j&lLwcEYB4OIxNp+a{@Z-=jVz89hT>c109yX zyrcAbO6sC;JFO2 z?6CmP!5>ch1s%Y1nd;bm0MBLY0&&nEz;p12lg$qF2k=~G46tA558%08!ne7=bMS|A z!5>a-&>z5a@P|__=m4JUtRlagZ==X>)|}e1s%Y1J!}QJ&>z5a zJ$Petf#-T41md7SfaiKR0CJ%}fam&P*X9Dx^+6)UL4N?x^aGUeF)F zb1nMX{Q}Rm7zuIEAHZ|)hm)lk^at=9{Na>q`$NU9IX)GR&}Mb0pn*8sAG*gVG8{LJO_U`<-+v}cn z%7yC{@ErW%lnd7@;JFJtcY){N52vXL{Q*1&e>mkre*n*2;5qoi$wCsw71ZZ0@ErW% zv^}`)0?%Ej&%qx~+k^f9o`XM}E_J{UsLx&CIrzhAdoZqm=im>gT&n~8;dCjs{Q>@P zinH?r{NWU5`vd&p6lcd3_`@j@PinH?r{NWU5`vd&p6lZx3{%|h% z!>JAW19%7ys>JO_U`7yRMW20DP}9;~;6Kb*D){Q>p42RsLV zIBgH+Iq)3(;dEOE;|h2V{&30#9l&$&hf^+$E8sc!!|ApY`U7|l{&32LaRog0pgsqG zIBgGf0MEf6&INxswLyOX&%qx~xzHcLa}Rh9{&3nJj4R+d_`~UzA9MiE!5>b!paXag z{&32L{s5kXKb%SuJFdVVPH~p!;18!bs{{Pu6lbqj;18!b+aKT$C!1~10XzqPIOW>; z0se4`vpffXIK|oi0Dm}@dA9xle>lb2aRvTxiUS?MbMS{#F7yZR9Q@%_nt~3f&%qx~ zxiGGvJ_mm|i>30E{c(xex2@;18$mfezp~_`@j| zbO6u6A5KeOpaXdBLwyeZaM~W|fco4Ao`XM}mf`HU0)IHgSsmaHr#L%5z#mR=RtNaQ zDbCJw@Q2gVA?N^}gFl>d?fd|LIK|oP75Kv`&dzi2hf|y#SKtrlf9YrdH_)#q7lvUbQiJ{eO*;?YTQYD?ee%xcruV`sP)Qz6 za>5HW1s6(>&TjzfoD zG;LDnj?InQW#^9ne-1DA%S-Za&S^fX+?mt!=gnAlqkc`#T=tR6fWY%wj{H9X!n@SV literal 0 HcmV?d00001 From 7421ecbc2d5d40b2579262167f753e31844d7101 Mon Sep 17 00:00:00 2001 From: BwZhang <36587493+ForeverFancy@users.noreply.github.com> Date: Mon, 27 Jan 2020 19:34:00 +0800 Subject: [PATCH 37/55] Add NLP in README. --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 27d2e7c..c7d11b7 100644 --- a/README.md +++ b/README.md @@ -107,6 +107,7 @@ course * [utils](./utils) * [Web-信息处理与应用](./Web-信息处理与应用) * [微机原理与系统](./微机原理与系统) + * [自然语言处理](./自然语言处理) # 贡献投稿 ## [GitHub Commit](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) From 607148588453e1365dccac8205a6ead9ca1cb620 Mon Sep 17 00:00:00 2001 From: Michael Zhu Date: Fri, 27 Mar 2020 19:51:22 +0800 Subject: [PATCH 38/55] Added two math courses MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit + 概率论与数理统计 + 计算方法 --- README.md | 2 + .../notes/P&MS - 20160422Revised.pdf" | Bin 0 -> 362298 bytes .../notes/README.md" | 19 + .../notes/README.md" | 17 + .../notes/cm-uncompleted.pdf" | Bin 0 -> 172193 bytes .../notes/cm-uncompleted.tex" | 417 ++++++++++++++++++ 6 files changed, 455 insertions(+) create mode 100644 "\346\246\202\347\216\207\350\256\272\344\270\216\346\225\260\347\220\206\347\273\237\350\256\241/notes/P&MS - 20160422Revised.pdf" create mode 100644 "\346\246\202\347\216\207\350\256\272\344\270\216\346\225\260\347\220\206\347\273\237\350\256\241/notes/README.md" create mode 100644 "\350\256\241\347\256\227\346\226\271\346\263\225/notes/README.md" create mode 100644 "\350\256\241\347\256\227\346\226\271\346\263\225/notes/cm-uncompleted.pdf" create mode 100644 "\350\256\241\347\256\227\346\226\271\346\263\225/notes/cm-uncompleted.tex" diff --git a/README.md b/README.md index c7d11b7..0abfeb5 100644 --- a/README.md +++ b/README.md @@ -91,8 +91,10 @@ course * [操作系统原理与设计](./操作系统原理与设计) * [c程序设计](./c程序设计) * [代数结构](./代数结构) + * [概率论与数理统计](./概率论与数理统计) * [光学与原子物理](./光学与原子物理) * [images](./images) + * [计算方法](./计算方法) * [计算机图像学](./计算机图像学) * [计算机网络](./计算机网络) * [计算机系统详解](./计算机系统详解) diff --git "a/\346\246\202\347\216\207\350\256\272\344\270\216\346\225\260\347\220\206\347\273\237\350\256\241/notes/P&MS - 20160422Revised.pdf" "b/\346\246\202\347\216\207\350\256\272\344\270\216\346\225\260\347\220\206\347\273\237\350\256\241/notes/P&MS - 20160422Revised.pdf" new file mode 100644 index 0000000000000000000000000000000000000000..a4bf740cd9b9945a3c297ba5007194128fa56adf GIT binary patch literal 362298 zcma%?Q>-Z4x~+$8+qP}nwrzV3+nmF;ZQHhO+jrgM-em8b^RS+(UaD78$=|-#TW>|G zARR>V`^vaVnM*p z&dSFJ2ama#^lo*ZOdn>i$ zTsFSYRc|YVWTSq!#@Qvdt(nMLCBZilHHSP}j|FSIx8QdGIhpK?fm+DSs>!1iBA?Fl zalinXoSAR~#_$oaIq|}KpqZHPGT=5DBwQJRh^8SN1e9n2EWY_7#$PfM(-Sv%qY@Q9 z-(b)OA<5S4C??H>(_0vA8_&xH0UwbgATG0ioE+M)P9u=R?ASbvxN|FA$*+w$Jg7Yn zt1&dc^)mu&)io=8b+034EhuJmGm7+{4wFhxF+5x^pr^EFwb^DrzHtwfH_(;S{izw2 z#2jSsaP0dJ!PvHSmq#ghk+1<}SX+G#*roT&&hAuYJs&w!Z;U$|Y5&yTku4KHEJ+K+ zU0fmlNtEY$CPZ@^u?=3}-&8HMW|D3f{rgvquC9bEtx(?I1rmP^-M)55f04%yCt&%H z)&zLzOv7SQ7Id(K?rQ!&SDE^|;Ca$x3xGx<2$H%$v22@E+_Ay>D-M(-JvmWh?+q@v zo}Fc|w(6_5lQp%J9MG3T6%4)nMbQf5Xe-1=y_x$Hm{PMgMfWA**0LL;60SR^eTgYe z^Sh@$YqKdb-G#|Icd-=JWhUHD$9L{RnH|F8#We2E5AX&|xMj?*Wy2HAZLKG=X(g&Z zCyHm6PAjJ@WSkQi>gqRtkK8$5`^hNpB7|GuM#jp-i+g#z4oy2Oj-}!hI7;?%!0dz4 z-b@BW|9Rf_$)*M!$OD8`-^-Kp1H;P`m#~GWqjT10g~%(1Cy5IxyU9%>+?S-Z6S=t^ z26{ARA=bF&O4LXGHQ+?bGFl|pphZsZmw0}?BH{=94vPnf=f6~4*Z2ZajHa^T4^Zr{{_*>L zyno#lL&Rg`(Y8>eNPUQoz|*JYl~(ei9J#xMesCYju&Jx=gae>@0O&Q8Y#}FnQwq)x zYJ1OV3o-Lv5n?g=FvO2>-S1saZB48J?x7lao{^gXju{GHhCCc+LqNff26XF7{ad_E zKe`j-9MDO1O1;3Wc%r{zV^;yW_WE-P-CMsx(A!S;f$N?xP8GP#Un}10;)gsr?}Gc8 z|@{(gYj%qAxSIP;qEcxYTZYXVaJScJVD zr!L9y;uSf9XQV>fveKE-W~Lv_1q`jp+M#*`dC0W&@Iph#Q~I0EpH6ql2oc;kCX%~b zy>2t}=fpJo$3RTL97$J3g%Wn~((Y4j;aw{kEm+nuqu%E9y)*Z$%PL?t%Sk5C?AaE^Je@8jOl0oOX|i0JgA+fgY;-smn-BtDKq0-BN;9#SKCi%Tm}0| z*YY^4Av7*WRYv2wrY-%i8MDi0LB=b>r;6_fGqTK&3?7pwUwHL_po{&Ypd0=XJTn2j zN$zZ;}0*a75|S32@BA)vq+b zrg8fT2i~cp!z_q1wQ|-S=r+6t+`Yy_4YIPOQ;M0orCZ9((aCwpt0vhv5$pAAK zSdR(fxQgYNUyzy`+iW+PvD>+Mjr3?&$imgwOzc%UcY2(hg-e!w2>j`w(D4yBr^}m; z`C(5~t`lp6Eq2oLcp@pSqNHZ@bE=Mu_yA>WeTsg(<)ax2-l)>EOt4?^Z11pW)eEmG zKAe36igN|10j-<9t-5PQnAz)`d;L6gq5B_G9}5e^uN7;UzkFkqoH~OUl5~%Rn?KtN zjaW_}ydArXnY)K;lQQSE`_ZA$e!j^V z`?X0Xwe9QRD=|!-g&&Q@fRwE7@}`ohVI;Rlne`Co+{plWXZHl|i2Pqey4S~D*Cfdu zIW6(ZndJhdnh$ZzDoalep7Gj5-1;z|tO_J17z?T>&EFlU+NCbpbEXONuamf5OK;*J zx(Bv4tGg=OwM94Jl7@K;g)P{b^V~GWPdNfZbwpsy>j>=2K;ejYvKmdGIcT3&;gV!~ zGK}Hjq58X`XzsGeID+5@joUrzEFMc6PEMzQT&0oESC-r+t%z+_g}g_qiLv2kdGLs< z@rdb1XG-376iT&uhUfc?jMsRR0!P;egKBn1w==XfihUbTj&yC#xj8Gv(DXmb$Pf({ z1BkOf%j*1TpI2N?j#A90zdh7^7GE&e0!xL~3#AS*=dtv`M=fi025tN^JwUO5b*cC6 zWMvQXY{Y0si}gWfqtub6a_etMZT?o9I4e+H8h$J6aJV@Fx>U8Sny`1)%nIcH0;6~V zPn?50x|vMEIIgaMAchT`N!`E}(f>suZIPrwDubMIf*Rwn!r_AeGKE;H zLg`U`57$ z(x~814c(XT^!|P;pgxwn3spG*b+s)CTNG?>lY}ebYIh3>iE^21ha^$~#%DX$aRMOYDXwGHRHG}V6$A2=x zU0D~xU}+t-Rx`<>TK3)oKY+pQbmD;g_yV)9VT16}D(k zp5?m~hQ9%gk#h6QcpW%@hP|N~tMZMX+ZSW7RE>JPu11qqQmbslc-lzV-kq8;mw2S% z+PO6&;u0wH?OHh(*B*{w%jq@2`r5m|nTw-vc<0Z0vk52o4Fd*{A6Y+)g5qT^j)2b+ z|9MXP1(b*2#rR(g66e1$NQ?}Ood0Q%uG1&&4%tvbUwxsv{nwpO2@yOL4b0|s1FjI`TkL* ziVjdH_QInI-J@G36ar|tX#f0#^hffy>LJl*^=F|smL2_*^UK2zCahHafKdiF1xTZt zQ|E&R z4}p}sh8wb_Q9fFOS(o$$G^5uOJu3V|`+4T2g7W*|qle184aymhx*idT6w@)vt<1wQ z!Xx~^EBTKBBNSa?7K|olthSEl()6R=9Pf&m*KyqK8)I;2A|>u*7?`oX0z-9O>A{7< zC$L+CnfOk?b(@2Hi79F+34jug*B4uE7jsrM-(lCHAcIo$Wnt;%Fi5fr$29}4&QBox z^KceSz#D|Q?Kb2WMEvpQ*Wn;y6DHUZAlp5wjHHMTyWzKMZm)+Jj51e>I$tFpfrjxI zNibqCrXHb|Ms$EJr3>1_s*g=Vo#$xvdE+u?)qRSZV=^1JgTI3lv~xQW zdj~_gCLL%K)q|Aq#O%){5-70%^SoX|G>khYO8{;8?04%5G>~k5h$N8(7d)$((S0U26%rB=I&7?f1`|c#BD` z3ANfns!5|6rkX@y!q+E%t+DzaRgHjOL)7xfRN)EL*ZPn1a<5lCs-bw*axW~Z(D+1^ z=3<25+2mb(fI81A<_F+1fT1CRgnY^CLwxyO6&zBE1bRMMIlkDmo$k_8>(wf>rCc3j zIH7xoAXwd}0KTJoG5wE#VKBo#8kF5^unNXh8GmiYY?hp)B)<0+l}{W2eX3CRKDn^o zzrS2mECdw^z=kU1DD#ff^FJhV+bBbV=legWGw8=IMf9rV*A^L+zzx{ zNnmp<>5DW#NmmT>(7aOF6j#wxnf3_2Iq@Mbtbuz(*n#ZrHu(aA$C|+K$T!IkY?$sa zX-?Jgzkk8+)tI&r>|fA`Aj{r`x|&-xf;K)MR0;%F@gIW|w};#4MvyM`7=ydh!?lg3 z#=T{>aMs~s2D@`MsK=3RC?s2jkq!YUv=i5K4qnviZUsfO;2qrHb@WN*kzR^L)IYr@ zka&ity1lqrUlO5NI0M;N=3X4S>u326PFY?om)A0%hK*jv)?f?ikxE}0%6rw_r1LR3 zNe(b8aQ$~^SpIUx*QET#_LY~;CbPFL8+7apG3M5gvxD<;AEj5e?B9gjzcK4GDK@wt z&|mQeT7w;=3}Y2z0hsH9eUVDyFFBngwhWhy%lSN6Z5^eA@ax}f9y`p!aT>B531eSG z@$HEOE8>}c__05`$i!1GpIzkZ z^7ST#ApPg%bzDs`L4Vn7IWyg&pPHkM%OCYF!%+}iCb>?az@hI`U2Xj1pOAQMA_n1X z7j45agL0_9S%=!m41)tQ2yp`mHQUo7I!QDKwkabB-rBoJC3)6et+p)_@u^V>S|4X@ zd7_?~POB^D<#}4}alU`L(5Snl0KZJC6vlQDPEi>*Ti5CJo*WxkPZ#;HQ*$s5+Iq!` z=e=R?YE89(T75g`^lm%!d>ga)z+VBtTsx;9Ijy?#z@qf@56{T-WJb}0 z?IDfm`6lQQ6%qT~4vESictVc{*vOqD%79#!YUIn|D9pNI?x5~;Lu zK1AjwO;ncr*o6NDNN=@+|DP(x%=oWVjG5!Va-wc^={Ou#gq}z0k2Y#XIn{Ss*aQJ6 za1sPWYDWSbuZ~S}r?H6MS#`gum#LS;U|_76jcq!0-=B;9hbPN}h`U3|2^3*AO8Lpr z^RUwM={UOsi{S3eoC%ZhqN5UJ6Jj)vyVa_CHYR&|6QJaQW;RF)nVOCKMP|*9XMrvt0&AFqO_XM9wo4mAUHZP90Y*F5q>R z)&N&{0v|*Ge%eV`_@PS_Iw-#D1!4hU{@UvGg!)UmE0bh`2l41`Q93lb{l?#E0o{hw ze=xPH@~N?aHbH}Q-mUemuJ)tsN@M{QaVe^(7J*Rp1KsQ4zFJbyg8!;f*{Qm;s~l(0 zy_LW%3F1mU$f9FdRtij3^O81=`!91XBgvqyfGh$^)F@9p`OjPMaE2uwduN474MSjU zZ?S4Dnak+CC#l2%@y~`cXX@YQO@D8Bzcp8yDfM#3;g*L(vXJoc@ZeDdz)88^>0Mwv z`FQYb2r?avzDEHUSv>5CNI~(S>=WOWqQETFp$Uk8{oVkwlJfhw7fFHa9CR9lv)>pY zZ}mN%NPy4u61YdWsy{)Nlb*L+h|8BnFt3N7SPb*qxo@w)p05Lg$0b9EO3za@q3v`b z>#MB;S#yN=n{TismqDMHL<7T&ae2#H%Brvfvwh_L;jQ^weZQf9RjH*1HO&z}*pW|M zCvM@(#u%%G=+l;JnLc3pE>x#e2;I6 z@$sVo&eelX=>yGX_=IHLH8?>$i@$~==GH={$YEvv(!J{Y-Ta#$d8`elAqM*PtpHw8 zr8B*i=C3{b5bN;sGG`4lc7ECY0`$m%!KVQgX4Q~jolx>Ip#ifcEPHGEZF{EDKG$sDUz++laq*vYz$bdJ@P)>Be5{EK-A2miA7OjY9DkM9KiT7PTo`#~)@s}qR5 zW$EyOnXBz|WvAIHqt?!wVvTtR?kQy+v_AfZwbm{iLr*|#<%O`76&2`Bio`9Fwc78d zAdMxx^XCyB3}NZwe-Z3|73?#zGcx|C?OfK7w#Q+I>3LO`v13bA6_G+A?PH4vna(c- zd#*k=#LpqJKqhE^x_w=ovd~+pVuy?`fMBDxrc5Myzt2B$7LFO_w@Su_9Uof4;b*J8 z%}lfR6*ftPV1KneEQ~0crV}Z|<1XE`uWE*0Q5tafejWX$=NNb-#;G%5m>rTScMVH2 z$A;U{#Do(FWuFPV>6`IJF5Jt6Efxf$X@+56#z4-88 zA@cIcz31b(13@H7=Lc-02V^wB>HeX5CVYqiR*Ub_@8`YeeG8*DA@dJ`IBYva_tK$+6(8GCpPI|Y zN9TLB=PEcIM#r7jhY*$Wn@ zKp?KBj(RkM`u@5rX&|?^xwP9%2jq2r_hx+uHi(SLL3i3&$F>v+0Hesh4TVQ`$Uqtr zR5Bd|Xdfb@LR4`3&y2zoHS&3u5KQ2A79>ux3vj5gcU1ulV?G%ekVE!9sN4Z&mIfw< zes{SBcpa)I2nsP9pKX>22%R=I_= z3~^nSol-LtZBSZ((XD_N95Y)kV6C)hF0m0}5xon6%DR9OOKY%=_NSXNkGcB4LyoBQ z&_fz}A(J>xFyGQ+zxImoft)9;MtCJooPR6xuv6ql^Q z`hZ=q98o5D-!EZaOQ=!XYB;gsikN<_*l46hv}fnXK1O+TjBV+GOQvgd%y(M-qGn^S z9?6&$Jz-1@4!=s!=aHWV_wcN2Z4l#Av|&{EvRe1INKwW;>mY@vNMiUG8U>!gSpi8R zM;dru)uKV|{K~>)EWR5Qdurg3*j$be3sn4h`9%olOHhS~E-L2u{W(ydy;fKGR#@0N zqSEU~Ub2@D9TEc0n`V^lx_L>`?y7xE6P_L_W#qzzjACwVN1sSS&04WNFd+s+UX1T9 z0X|Mi%I8?w8QAJQ`l^4C>+mM~(VzF43!8gXj6g3GYve|fY%)>CW1Pac5w?%uDxHzc zz{$V-0l1cB+6w)5h+hdXxVv=K=P^l3knl&odX3w?G-V4+s#b4ZB+aiPPS!)kfyPbg zG_C$FuC!wKpt52On%pUl9-EA0CE09YOeU=VMpFy(Et|%#|c9FZOKG6Jv+6 zVaw+&nhYw^VQdG3yj67UfMV1IpHx;v)SIMZL62f@H@pp;KCb|vjcPS&_6qAT=c6XE zn8{KcvL#Cz+j%!L0+GCyEovT<)>` zOx!!MugS7+>0Jf6N~z23dQplBIvHx30^xTE-~_h1wHhdn9+l7i?efxg_t}MfCb7N# z*jWB4hV)$CSXZJ@n7c}NH|Z`dcvd3V_%N!d*p=7(sJ7eTwa;~HRHNxBvS7VD#Qf!01q^>%O+{qjw}$uib{>Ey^<*&$#wm|LVwr zDL*KAIWZIIoxN(@kNf(wEcY--heTR+`)LQCr&=?E>S+e!ym0U7z?&M%NN>xEJ<%al zO=l!V{H$9+6KGF62E2~EjMkBqA|1TGzn?w}MXfU1%GGiXdl*sUk%F*vcV!8zYf^E_ zGtv-k|Jw{cA}C1KZCk+|cpbO!-bLIv!{U6Tb)F)eG=EneWPYDWNh`H#M}rJTmYkL9 zCYbORNIeVdOE-|<&i@Ua$P5zrzd(=uU+q%ZI9dJ^dc&Iic3WaF-LKRxP4iYlP8`fQ zeT)j|w*EO8ORX*7*HTbgET9Y0e|mAMi&Byknld@qV1zGOMIFb)`4Xx4&@}vi3=qp8 z_^={|?}hL0G`c<_phb|g3lHjPHKGkDr0B`&{FHy)!21DJ=68SZ-x?z)Rkpl@V^!;+ zcD|gv69s(XFx~pe5)63O^bTG#<&H*24~z{tAW(l%6+u-*&xbr-hQojeF_!+o?tbZh zX?5|Yb9uX=4Eif8+xN_B5s<}#ZSACz+@HA8W0XKaE-&pfaFh+SQ>m@%p#yB0`4k+; zP4_I2LzB*cKy?q1mQLV=$->m9F?9FQtdKT@$`?^ocPPMK6ySCPUjj1}>XD+mmcBtQ zRQ?cJXG?+OTzO|dlWG}@(>HOFQ^1YUW-)LVWY7w8^h|cnfAoXHcLPiZf?k~I9n3Ue zCzQ#F+ut~oZCZfsXTGjGSDI^>jjGk12CAg&IFBQ5{%YA_kivTIHA-(zr<87R0WhOKN!W>^4vjsSX%+999i~c*fXdP=ldDOMs5JK)zZAz(>Yo4{!_&wJ&DN-* zwjL*DRVsek>mX}DSlFdT=IG}G@k}P)j>+Bx=-g}s!iP>4WrwVssvQ-VvW!hNBCB+ z9A2<4y2deC<#Y*kx1Q^4uU(-F;oTQBfI&e(M1A6^TV`M&K@H zc176(qkg$wq%H~`6Kf+R8wA~YQm38XAv2dlF)Bu8)3+p6JiX!JP#=B zuaG^`ai+x)@3+g=7e+ip75F?yN$bq4S62C{bmOnPs2g(TV#~n}OwlgfXLziFdqGkK zAMY}pVe==Zw6g4>Y<0!-6o~dOfj2kP)_Ounb^af|c*~U(l>OLc$@K^|{#fsr=WB9( z{_-k&1$%Y3X2YVi4^7DlM92(;Vo2 zH^<=&QcnY0fBNUfYcImx?iP=SG~l)d=r5=?=u>v z8wS1VDZmFI(2I#z=817I^jdQf?gI|Dp(c%ssMkZcR2!lM5d!O@{W*w5b<()^PgI+= zitihbylv1YXW{cG#2?IO=#`7r@W1j3=z3u7=qQ4uys2c|j2e|=O|#%Eq$9wiha(qk zk@+n5vgl)$dorC~ney~x#QRx#2+iU)N?w-lwTrtNog-XUp{3*lMX6ofN)oAWXvrkh z;wTMwqh+z(d(-#Gz}K46pN;XVcn!k!*VwF=*?vM8Y=+y3#ywT!3v%1&#qzjGt%K9c z4wIYqKj4`-?kNA0aV!k~ig7ILZ2!r)Wlib0O*VvoHg;!iVpO5bI6VNX0>DjSIa&q* zc!_u>(m+UgVL!jpVesQ6GV42QnKpH4npPZHuk1yczgQL|zk$Ob+Xo3bwkwOqtz}W*IQ~*4oaBR)v5dX#@s(?X;r)5k6+->PNjie zC^MLRiK0B;?UFcsSa}4tss{A~5)(MNIc%teS{hj&Rp%p}k~;b#9UNu|g|yUgLrsnlQz~{w_`n%2zD@FobGlcff4_GB~=`Ruq9)X|suR!*UJTHsN_j{GH zrHZss;Jz|li>xr;4h@p9NawOZ)QoLD(uq!(njJ5e+POS>j{7syqQ-ozUBE!xfpSnP z5X0@r>_dPF|KSK04h-pUv(DE5NKLQ-s;FM-mII;8gyba|fLDd6$EfEIE8cuv#((**t%K9K`tGiaZtFLCCt+ zZ#WY!g2A=`B@h`};77wR`X({kkFB^itrzQ8>}z33K1`BA|Q zlP#$7S6vTyFsS8k%S@!Q zC?=f)D%=)L04b`Vi>PvBriaN7ZAs8jxCkW4Jton@+;MWnWPMDL@%pvHrZ9zIU#aOtexo#3_W!s`RqHJ~F zRgO!;$TQ_CUgNGhxYlc8cL|Z^z=KmF@9?F|iXc zz#xzqWHRw0?~Qyzv)?h$lr*ATjbkhEM&uEf1uBh+ga6z&|Flu&j)Q(XHhRmIk?M)D z1s-Lk$0E$n{U(=`-;{1|?N^M#i+|CpT9pdW%a%HrVz7=+p+Vo$4zW^@;>oxTfagoR z52=RH+Lqw*DQI^fKgnes>m!9AO4s32%*;~@wU2QG6v=#OYmL5z9T6{a^LNZfN+ z1%nlR>R@c_?di6|Ql8v%DhL0OD$eO6E&IeWtG%EKekV)7x23{EkcOAHvDrjB3zCI3 z@tL8NN`HRA3Z+ql>Y&!-N+{2{gs>y_J=`z7XbrGtYe`ODybT{_5ZCl>Rq!4^rMCWr zTrfv9B^IcYS}393WON#m=9IG}vESinn0Q!a$jketE*Fz&$FqMAil2<7|0w72!Q^*k zrEdMhN~72LKvMO2&H1gQyYrTy$lm3HIf-Gs^n^U*m)83Ay!cC;aHsaaklj(l1PY!tek>4q$lt_(=#)S9*pfxzVJe9p#G1b_&2Y*O zLMJdw4N1H{CY@8_5F?PW?QD1Wupvur$j4a!lJ%Ixon|_C^+4kPb7_3BaZD#B<|mkj z_t`y8l33j@x%{N|JMJ4V?V6;3avn6wf_IB2g$zzFy3VEkpzu*x;*=2# z#tru%({gkq6SYJukZ-Q;s{b031HolV*xD#ZNXc&T@*Z^CxL`Iqe;=BkQMYrw4a)!r zy`N4}bmEJN@M)mLiu0A@1QQe02T@G=HZe9KR}A@}?%Fbsv!K_3C4~Q>tA6MCHfCEh zLSTg{@)r~VfAapQKa;Z7U8Z>WlmFp6{bCd2PKxlOa*Q0ENG;~gs{X_}Q^rX&IF2*jp7WhKqLvcok*KTWyDTI03h<)~nbJly(Q4xMa5z7lPDNjh z9l7o~&PI$Tv#Qo!t*oa?3o^}3tZ{It7K~0w`;g9ISGy&c4POKq6hvn$wS_V3KzM(M zmh`;LxgML)#0ts{wr^yJ5|agzof>H>FpzuM`U$zYgGWzJ1UzO*?arXUL6hJ`tNO*r zIk?C+R945id8W8y>0IeV9n}k6hNb-dS}@-Kk{6^A6!h2CzogD<|Nbjljd(dg#v%QN zxZ<0m;DNA!=yDseW7hH4v3o&|KDqJ4BrzKGrP0+7kv4x82ZQX;rY3|8kiYeMIB^@U z+p@kd4wWp>%mZqwv{!WnnD?twD}QZaB!M@MX-G2k0}so$an2^X%?8xGy&F_rM}f@j z^IPFjkcEYXjIlT_=$ot_faLh; z_EmO!%~M%_k{j@2N0#SzSGH?o&CtYd7BuZgsNh2T`Nk`ppYK(}5SqWR<36+_m8!lD z2~AkX5+S#z%oMRQpFy3-@!3c=yhiA$98V?S>v!ZyJESmOdJ0`tmFKRYY(QUK5b9az z9oURlvOuT497>s?PCgEUV~4r!a~h+qOsM$@Ku{wzm3Zv#?8mKPVWXF^7s=KUpkYK1O)A0p>V1JB^CglbCnYbd^ zPt>ZDh>LM6Cw?qLZJ_bqGY=G4((keaS0``M4&+5y{iXid7w9B#QL5l@1+3ocR`$AG zKYiS+^k(!0lj9A6&UD<;%j2c%JZ)nWf<=M4*{`N4bSIh7f_kE()#bpB40ewE1n=3F`SuR`c=zM9;a{JM{aeWEWq(g{>(dwd-haxg&w`h& zd%NF>riveJ-7Q-qQK1OX8K>xNKR!2c3fYg`2cO2fTW;~io%GK=?{VS^sV?w81H5lh z`0~u^?O~+Frp!g1 zM8{#o_UU+8bma?M7l@)Y#Fk`3$IZQZf{YSgP6XcGNVe4Y+LL9_Zu=ctHwB< z@04jcMTq|CH%M?2Ai^;(ZYvd$KyltbQoasuU)PtKC*c58yRD|L9Xq{OR@6>xek~gS zKZL{-j;!bTSkV1*TvnTTTI&*27Rb2Nb%TAKBEE`Ktu8l7evKbzFh98NZmIix-o~SzgO~Iu|9?UR4I+Im@EU?4{odzfJMdE5)NNlNsl0oeKjw(j% zDZR%9u+69;O$wZzm({pv2F7h0bGt@|tp-E#((?(k;X!u6wf%~YXkB-@FOy`^+Rji2 zK;{c~ceNkBf_Jyx1a6dE6?4duCJ~TLcW?&=VA^uZvT#^dl&y?VLx-Sk@`A@2vCW`I zHYYV50~%|gfEjJ3E?_pdx2h?rL4BSK%6sYIK{3V@*>66wH4&fRuQ>)!ib)Zl&G^|u z5tJhB=KFZ(K!QI}AfYod)zC3~2c*p_u|P#IntNqFImj~2q6cdI?BQ^I3cFkUyW587 zwTOc*c$>(DO?f~&nf2~~JqLl~NPO;jZa_3)MmAAIgZ9zl122Yo>Q0B;)b5EG$lI{4 z+i^uVe@5QsU)uptt4qKgr95N^C?behZ~pLLPRfsjzzI3+b`2I6eBjkXJ;LWiO>hei zmkJPkU3~mVTIYIwmC_>4R;v7u;Y(&b#tTu6^8$uJEoB1oT)VfM0W@s!7l2B~K4OAV z_Cr)@hlo%|ry&EV?^sa&dUgJKZ5|pE^R!lT?6nY2H+gFz1g#494qbvmUeVjJm(XWE z3PaK{)@s4jVTPQUMdCwiu8Yj_9Z(%w>d(U`oz6f{L?#KFD>jitgD%=rB0+_Syv_5GbrZ8hV9XHI)WuMxJ zTHj;JWrqok2FC}h@>W(}4Q%j=lh!;!g~uGiS=Th}LN7(kO{iiRsb*|r$Zk5<+IRS& zx)XUZ^*$rc?B4-dTxU*j2xwXSIQrm7?F0EhIcOFfS2VmVENubZ2AdOFYT==k)*FEa zEg>Kr=V2xAh1+J}b_rT!yNwb8@kVV4a1mv169jB5ri?^LVMC3eDHn?b;J(`&oE@w( zY(J7OQ1zHbHs~&4PW0iQr=lQhY2lu_r#$ zrvA-u6OL~S{={Zz`;x2a4yds~C2 z#M!!_9BWUN*?zQ)N0k1dG)%elqlMfFo-)$xcxjYe-70`Bl&i02NQ&On#w^c00b<8Y zv2w_KNn{>68KYRM?{=nU^pzrCwu_i{-#{wiz({;_n5ukSd2v%p#A?j^v0Ro)ud$-S z20=~yEneBU^Us!(&gq@ywRmyh+nw5t4DlLyvK{}%USOXVT~W70pQ6f*YAA}_>qdhh z>GGojLoW58Oia}{XxI!Dk-Gmp7$^0O@9}ghOpLyzLWEWZ{B2J5Ra0D<{wMDSom8E7 z)($xr44GOBBuPY6Z}+)+rDmt0y1 zU62|}kia$;Nla1HOz*?U#6cQiMoZEhm)zp2xq7dk`$*K9s^ZemjZ9qvVr{kaRb5w* ziq~i=RhMQj%c&H;Fy(`co6H|tERO8GIn`y|DD$b9wPB{g=f0eY5HsyhlCpnZ; zl7R=7E%n36I@6)KS5!Pm&z-1>m@DBM$m2kpLHUF@BnLK*%7w8Jr(tc#ejAV2J84&> zLmIT5Agot940VD*J7&n;lHD4F06(OCZ5!q`x$Mj0zpKLb;j_OZm7T0NK7RP@QIu5F zB9QE)UHDxA4zKF}EZow2)`V`|*ix(vX&3)2zE6GT-FM4)?u~BI91Jky#XB?}z92O` zbQz+Wy1pKj%C*CO%|dZ}{Myi_l@1^ql*I)+JJz0T&GZ}HP>CnZ$At|}?aPu6;s}SQ zkCc=b^oQ^%?N0=}9A4)FIVFA3Zq=jueHs2+5|e0c5gZs-Ra`q~6uI5XQh6~k;ImlN zOPzOIhuC}=W1vQ)kE%yPeDfs`GSIT01PTy)?~Hz&>mGQa-Op40<0O3FK4FsZ{UaUX z4|p})cWwmso+-mP2;G6GrQrAXaiT=20}h}SeN=f}xixbf_mPW%uA96OUsNhJjx}o% zf6C8?_s3Rp#V=I@^8i1Mqw;BNJcUV9Gnf1;fA-&GYk+5fAmIIT4v zOVWzi{Ym|>21~&i9fCb~69g#j4rIBqQP`0h7Vok(M*`f7s&ww*m@ zBF5B$P9M1Vy`pG-AJ@^Rd-qTGcxBEuVw;DG=a>nuXW66>wL1^{UBJf~lzZBg1 zdR{B?&D-ni_?iC>%2C{A33U9{fx)C)nhf!n{idfAKdqQ9hD5e@Uz7IVl_%dzb?g^eVEy(#?TvWW!6DuS?d5h_E?;k_E=plz=|_l1SC z=FU}~fl1Rtdyjj$xpi@3GsNJfEsN&9uT!~5m}hRksjyI)PXgijF)HMRFw|epMN@0 z(fWKnm?Ug!SVS%CDqrgjb{6H1vCX%cMh@p=+o{f$)m%4+2S`IUvy8NW>SIQ1ZZ99( ztJo*?JKGGioIknExv3XpUacPcFfhd@FzWA_ozL{{X3g4hN0!?3#6_(A#SDMr94K+m zv&`K2>2i61vH0xLS1>rW%GD21*T(&aP@-U$;mFIf0rGC-VchbaRQEI!+wJpF#4)f0 z=PEN0zQUE}#iA`*}2BWcZ;RHYEYyH z;5-=cpEMdwi#erC@s@j`X&540>c(NHt#FpeGUvLl zH?t-}AT4PWQ=3nqhomFQcd?b0RU4IOd}!n^XO`v=NS_RxypPhO|ds`F0(wwu1#Mgi~T= z&YbE!9f*if^-h&-kBV5I7#r?<KN@S$-H|nf&gl$n3^4Lbv;+R;^Q+FMqnW%yh$=*1y&-R0_`2! zN*?`eRTw6a#~T>Y#!^JEpzMB4tPxYN^u8}0eWK69 zd6*F^<_}moW{wDh>@JHF}w$0Dp}a&h+{O>2mP z6*~8qitiYPcUR2bQVxhu7C-q zT+5yw1SL{h_GpF^e_V-+EtBb>RCOB~Dm!iuM{R z>QP&$@{z2OO|`}}$DbDj_T|W2u0%bBssFe0cKkC$Q29?Z9L>k1V;6xnkwK5hkcEit zJZJvmt|fl5jCOcUQ4uS{O8?b)69%dP>&!*(r)lTgt=|YjB~0*~!WCdA!I_G!zI}H< zzc+MXUO*o}+a&^b{D8;$k@A^Um)#wcg$CL%LSLOw!4du1c*{!z?zKV1HeWLl74mI! z^r~c{9#oXjp~v#%o*|0XR3qi}WbvMb5nckvUW>UN`hr?bo$*{{kFpKgQ0N(x$~PbL z?7Z@Q@^RzL!&^0Vnm*5FPZlP6l8Bcjhuh2J^Hyc8x|#&dGJJxk%~^6E-qvY)_g!d5 zsPcG>o73pL`n{g#ASiA{WFcTu<;R4lcV(- zlD6tt3JY~#1;GRv_FQv5Gl@Oj>s9KpkSTXh{=?CZ+Jm*=FTbJmmi~zO%Cb&HRi6OL zEneQ91ISZrO9OrU&L*{2MbsswBA(l{!FMYIk88Q*GfXjUOlBmMcTQ9_q$sq*0b;@9^4mjgG(pp zSrbCG(=m`R;w8I7icP=Id=CCW<-`Dj&~~=*#*w!K7u>+zo0a8bzl_CE4wkeBk2GfVVUUmRRTa zHp`;T3LQ|_o~8CXeKpm^tX$U<8QhH|-~Wb#w2#lytm{6`9zJcf`MvWU47Nr8m-7F= zb;a5LlW1|RDf55+XwN@?)EpRbFoPh>_;On);ABoo>#Bf1Gss#)1ju=Q=i`xUDUBqv zu^C??suhCOwD~C>r`O}rH2EM72#Urp-(H;^ZX)d9N$dVv=>8>#0zkPQEh?<^Pfa(Q z$ha;Ck=(^AxJ^}4t9~;_lIh4NJpAtDs{IEGcCr&S^blSCD?d)Gy(LyTL;bUvAyjya zkq_r9*w+$21XRp5%$4tsSt@`sh=IBw7wJl_F-1^r1E)qcRa7TO!aix6utknzZd78m zV}COh%eQ2Hk&h8>OPPZBw}I>5s~1cyRYU{6!`W(BRTNR|PoD_htDDe_rXT`xvkHEi z-5k1eQ#>SiC4~v3v1{hFNj_J*rYc|x*Lp{gzMY(|Lw%4^(QcqiaBR)X>=gFxE?>o# zJ(-o8gNSTIGPA-Z@y0a&w4VI&Oac>@vNlF0B3Y0&+fZhb+zcHNNX`5q0Wewrx$VrE zfK-#ScOXq@7aJ}6)Ns*pMj)6FtWHRPoi``6p0nhky%T_hJ$3<~N<`4m(t^*c=|1AK zol|cCN_kHP+gL&-!=q`SDMh`~yF2FWOQDsp0rBsO{1t%}BI7{_nV@`O9=;2|pu>Az}`g->3%Pkwx$tec~5GJE&4?>g^(oZa6 zQ||4fVJkK?;5MVGs)^u49FG4BS3LPtXTSfYz+0`uaqL!dp*OL(B6TX2VRKQAw=suk<$$ zy7}ssv(?Up0#YBC6xWtw7^U+f3+9F{?x@3;j9J8tzJlqc;O%kzSIo?4L|*rM1hr(? zb|LLT>@iNpyRi0vAGOl)3JWrhaBv|7k+vq9y$0MrM#xOMR#U2@oNY$2u@4rNQX+&G zfX8m1iP1U`7!Zz_*%(fUnbe=w0+bp2+{Jx&Fj%zXEO?QV#UaI_^(G{+I)eRLRIo`q z#t!V$c}T|!@?Mf+9F%GDckWzFdbk6#aQoSNstfY@n7N7{_5;Rg8t*VSDX_LE;)LFW zqM}PfK-6LIMJcR<$9$&G#VEu<2i)nM=X=p0ow!4iw!#LR z8fnhc8nCW}M@OaW^Fb!{jTs0V+A%bM9~WfZCuPA{J24y1Wpj}lOdqaRaf(aA6C11k zW~-AMM}Z(t8<2Psxa|~MMjU^zpCe4BqS=c=9fW7H9mt;Gp4fSr@5jK}~ zBSD*$`+&L_HQkdQm?+0o?YAbo=1bYTqRCn;n^B{sX}Cpg;M7t6wGbXI^bDjQ%a`wF zBE6L>LPX0;xFwAB&NAfQj`TZ>`Kq~=oU0ku*4@Y~z`swV#@N`nW4yF=>Pf0!il)VX zErBgM{2FplY?6GNY%TvgG)hv(PoRmuA)I`gmA|$SFAxF{>T1%;gBcyKq}(#${GGpj z3++PN$pTeBq9bs40Ii6C>j!%R+G1*V3}!WuTB3T4Wt(U}1*f5qv>3FV^-(#rSCc z4lNDf>aE&uxoHUvAr{#+*wa@Kf?qas)8Y)rBNQ5nRCjI;z&gOd!vQ zO@KE|cdVm9th;*YttEM5a^B*awIEb$E~0KxtxMJNrir}8J85_AlJ<$Q%5?W`gfvad z%MYzfaEEy9>h75A4GOZCU(tmEXTwH8(QkyLfTyaR`02LD@q*xG^xIPcSxvaZ$RM6( z3TXiy({#~R#hwTSiJ-g*T%tB->(Zg)fki7 z|5A|uCtMpNGyQ)gQI7w$*0)9wdr#Hm+jNADJ1e_B0Hx9F{f`j-h+dr`5KkDSG6@F` zg%aam<>l4kAH`>rnyD@T0Rj!Kp=ngVc9p%a$rs(>v&3SX37rZp{?2{f=saferH7V7 z6K-{5W7Tlp$}DQ`as-i;{l!ntj=gw)ub$GNvU)$qzx@fH{W@T}7+WhH)+>?uy4s(O z!;Ixm;Ubb1<6qS<=@Soj|GiTeAjwhf<^O5{XdiLw*F@g^oYAz@lm#I|P=WNwZtvj# zZWWDU4nG&X+C?>7(73i7+QNxB4=ls1X~TA$hizb2R7_s_>B}+{Q6ySwRX+(;Zxy|g zq|Tkk8YawiBF2ru@~%VSX+3&&W_(u`kS$R1{kqc)c@G)D!7#6IBH-GJQwa%(ZkYzX z@cqBr?EAI|5&8^7uiv+|MtGOP5tjg(@bY}*r#2Rz5Zd;Ef2rZ0*W1iE88aN|N2kF9 zPS*m9pzG|{Y4{k^Wz6GJvR{jz1@AOq9|0a;3k<68+@wp4ImRP4FMV^ z;Ylz}(EuwBV!aKj;NZ8dN0Cbd7AtuNAE;`e+Hvdm~SuWu1hGjVG#Z zq$4%PTFX>?kbf0cwvUwYDP>LeU-EVxbzVuII;O{?O4?Eow=+8?2#ZuO!FZIK6 zBVEvayrfXLT*+k*>lQkk)Q;}|X8igH_N!gw=SnEEHzb}K4U`p%xnxeJ(G&i`3~L$( zpHzg~ISJxW;{Er)2x_GzocRTK@dkkGzL!HCAHz_-Ak0EAUv6SwXTS)BWE$%|^C#x)d}#HlEd3lp)hn%^6=mX8$>X~x`K z&v|Emqx>C9J35Abe;w;+-pl(Ohd&TWVYc zk9jE!twY6r6u0D-eEecDd#bXeWhUH@$@C6*Gc{U+^;9KAFG4X@Yz~#B#}zSBSU|PL zmS!E@y|A`$ZcEPA2kBn6q&^#Z2S8LUXfg+bENx;yFS?z;bV;16Yo)=;fj%a?wiNl2|@l?aZ|ab)>o->nr zPU3!0bekxzBz40w7|r~&SRCS<-e9BN(#|jnr$Uy$~{5Bja)r*=BnM) zMac1cmu~@)1a=Bv&d_1KmX<O7@SKg*ky)>f2<@LRe{$^SAyP{ zIf~qI4Q*?}?eqkuEw=__!lt=vZ@xS3nObCAV3X+WK(2B#f)X3%9Zv3`+w|LjfN;HW zp*(G28ta+a(>;Kh+XTDBK#T6FLt32K@OnB#v-f&cOjF%>W#foOM{<4)=(d%kol*M8~z{cg6>MwyD%Q9GkQ}8?)(mM-i zn3uM+X<{aBzVxT>2toJBgg7);e;sdq$bV~|^Rj4B*v-bJ0JL05fkT9p6H zjX}Za+Te7@s_|NDXQE#mcvr{2q=&tRpH0(wb&84dk55HKHh5e`VA9y4{Cj>5wr4{V zK3`RyFk=hgw-Tt=YV4~}TmQVPY@A;PYes~5D7TDGWRHIR?2^^m`zK?Bq;hi{<8Nb< z^~SjpZb&doq?H9JEo&jEyck1U88m6{Y2bNdUH9S6<%y{S6Wo^6p?*letkxA8A+npJ9=FY_t*cImyHWajM~$L`7wsfX%w-JY zY|q0Al@}*fk;dn z^Kezwo3T78?wZp3h4sgi@J!jikF7E_>u(00hJ)Gj{F)g(hCFTGl8=W8n8aG*g-fHc z_Tp0*vO#)68o9G0InauS45?cRr@nhaT1Ss75HN);d$$a-s+8jL6l(=4IE@;1;}#&&DwbYJ z%i#c7NNf?)$b+W^wX?|eq(vGPz8P`mm0!P&!DJ|VfmgX{_~#EwTx}|J1ScTQ z(!skhV(YE!MrV6Jm;C_4h0QYm|1ypBf3i&&=~@3{uKfC+Ogn7{m3)xq@hT-lP_9Qr5kG3>9;M^Ja* zrCR(ElF7X|Oc5TRc6^>Qk8rMu|k5M;4W`8jqeJ6#^A6cbRY_hD~=CeoWsdzjM zjdlylI1E8udT8|8BlblM#&<-lx%ULxI;~NS zBtp5d2jL{`YL%%QXTYlJ65~j6BNV#I$PKRU5P%{R44Hh)g0;leR^y_%{_{Z5_x7>( zL#a}rdtA!P?g(RDi$d%B$J0kCGlH^c3SN%iCWJTSDt_ZbCq@4QQ|0&)o0{#5 z?Q?c6B>hOHCpOr>xwf4qevzXRVxM;^r zU+5dKHT4+mDi6eh(;Lygc-PU1Z9SuwHpn{MLp0rs65t~AA_YiEZr0yr%fqYcu;4$z zyaTGj)Y-Ra&-$R&cIO%bnc)G5KlX-kz~(qX5q~P@x1cwe_3J_?sG3Xnxa+?*m&^VT zKwRlP=Sze+%(*feYDq_pZ;nmmPunp;A$(Sq8eEt_9;*HWxr8==`~4|h+JrEVp-HQ@ zLh14Az@X>yLLg2FDUu2Q8!6*ZPj*EYK0hLHFvBlJ8W%B_)=ZN}6q-9Ljlf*}=iA6m zWe%!9J~{eQYT%h<_ao8PXQH*r-A3J`jCg`|#9Sj{M0tut%1YM?0ond*%3~{yFwhBh z-bhT+XuApD>#F!6z>+RAOkJB^DucnX7Aksm!m$EM8*QTC47ODq^d`d~hygs5B{;^w zWWPF<8s~X~sYD1+!cSkalA}6Z8jY<@9Y&=BIc`95zVGPwiLS>5{kz}IRfx4-=&@0D8FS_&7;5)Ujx`2aMn!`++q>N(X}SyGPwVtbTK7uI zNUIEB^v}KQi`9)%_ZbBSvSWPiuj1K2WQ#aOKUqQYgP|sBjaM?gfIlU}Uv-iM3PHaXEO;piW)-EuC-3-q#?2>t@=8O2+irfvd&MI%>67YQ9a9*n*!$!MVp=b68$B+ zA|W<0+|GBlR(WjGdVr}n$A&c)ZA$ILW9Fm2zFLLuYP46^5>9$)Y)}qiuR?pO$gtXv z-sQ;4!H`;ph+;t3riJ<3GyX5}?@@%`mntaHD%S*t4&?P3ny@LKu~ z<6B!MvRA7pW3#j`{jhhm+f}r2^*mk^+^HvHrXJbtUMJa8O3hrrRbJD@#wG>D5Inf4 zx8I%*wWo{5nrO$RX3TNBP2N9l&8N>`U>HLM+OC_ndZd|cA zUSG-#NER4o;6**d3>trNQcolu->tIpN|AVk`2dxTUcsyjygw|bZ5|sjYY|73P|4gm zX5I(l(I3T5p)xfG-)sGS3W6%Q4Usf|=2T>bpK>y`Og|%gcz)5{Zs*Z19X|+P-K4Hb zUT>oZzb^Etu8TQM`ZxjmA!--IN4SoeC1}}kc@(b{qp9&;S$jxGd}s=#HQFeIJ~ zQ{)>ScMT8elpC{dD5VO<(+X5@*7VKoho_U|iW;58(LsI>`-1D}vj}|UR?eq=LEb9} ze`|${Z95%?duE3oos1-%#3U!qF*kMR)atY}b zFCIWLyzPROtTwZ{@pl8#5jMLb!mEY%j~#Kc;c3tH>3*pGe9e2Xi`l@311uW^U-vAg z&VVXZ{c)lptouH9`vsbz6G{Isy5fKGV;C9Pnf|{ig=;M-yF*c=f4bt%oTx}v#pM}V z9)_6Tacz;7K8)KGK2iLJGzt>wPuSO2jV-UR;&hma$H)u}Oc1lqg<;R%N?TMv6m|bE z{rz%#R&xBv#m|GywqdnhrGS64mCD^U(6AF;w5}^uqYuSj9&sw{7FK|h8|JqO<65k` ziv%Fl>zi0Dt$X-C-!J%$+4!_!q8#?avC$7BL&rBi9=me|-LMp_vSNZ|)b-u^#qMry zc^VVsu)QU31tj(%YWDr=bNniF3_2T3k~f1;dq!%P+)Lq=tkFb6o#eMdDCD=!=KI z0Q7t{euchV;0puz^We<$lS5A3$6sKKZMv64WXWk3m?AxDfm;LBzX;X_GyqljKXg<1 zF{kRbXR#(-brDKJgi8Ji{2&Iau#rip%I)%>NOVs|v%swdiS%{MmrvrIX7@4V^-&#g;`78)x-2XXgykamq1F0=Txden;V?63gbnm>3-liuUj&}IYpW}MbbWW%a9#0 zMV%}D{9+qNN~|D$%7(0^X^?efY=p|zez_@=PKR_$PH`iw7>=;0hLZgxt(T!kTBG2R zlSU>EIvZh2A%N6yuot!k1wBKa$f%HtC`Jtgt1vEymZ!FI>QbaAeAv#z#1rFh9uuy&w?{^xZ_O8e*z38(q#6-!#>pn{v?g~{Y!Vib zJyFm_S^P9GpUH<^qKc-!Jcy5)*crY(Exrh()m*Ape+nd%*~Ky96WED+_*uKVM?SDk zWZ!gr6oBPJk)}E|&!P>h?A{Q#yYIePb=NU!g)YPKlr&?;Lbp0Zeu@l%!yFxcuunAf zptl-cXmso^BOzZ)v3Is=QCyU~ZltSoJ-1(FD-Kg~N-qR2)&CnDs5%YC@I&J$)a3ytBdVEe7EwO4(K09kT#1{=6ZckFc%G;l4 z6(M*i4HX2vFL%nEx9szMD`?$;;s}it1HdY&u6R(CYQhcU4+*Skw|E={yipc~p8-Yaq&PzNh0!gC)|4FP zxUn_GKxb>}jg3z=ekLhEiEGFieRaD+P&!5|Q`Y=Qu*t5_l+ES5JG#imQZDIxN=0lg zmGh#(a5l6=u2L!~?~&Mdc-d8!bX(%c=j1A3coa>s%oK>dWK5O;W%%P(#usCg72s0H z_^Jkc^2)R`T&TxwER)UMtg_JO!Ph2?JGAvIQ*8I*$BvoYTm9n7RY_ugE5T(E*U|#s z!-(s73o+*R#zqPIu4B^M6r5X{9CmY2TCDkwwC85KL(J-&Y>!YZEUKF*t7?$LMB)*K zJBP_|kWgIfpi*XT6;)JL1$7T}Ecnuq_r@r$@NsS|)|#42um|Fyh(8;@+hGx$0-h7{ z)r*5yWL5ko2$@%Z2Twxs?1lkmd;_N=JMMu6BX2urWvdrhu^fCyui%1tWGzZ z8-8F6I)57QxAa6OYo8LYEWeIo<8D?ZHIXcJl@eNO9Bfrmn9YWo-+sRLaAUWn2AQ(2 zxkiJova!`tcpYMq&6&KH0!?bKG<_HEkdz5~RBMiKZqEsID(*k@ZJ3zei(2j-L+EOP zB91rLmj7h_YmP^-v0Y&N0MqhGlNc``q0Yev1?9p$xpY3-30wVHR&|+Y4cS8j$a*}FD>dVcaxAzVYkYD^%u%%%^wS6*&$zlx$gj!p@ggF9+o z@x4kX1(ow5z8DqpXlbxal9M>7t&+%-!%hvH;j9D>SNMZ zD9!aBoMkG!4pRXUx{Pr6cUWo(f-|&3$Y`JE17wwgdq|}&XQ8r6Yn(&n)tWGQA)yjm zbH!olO-P_{)K?$TrX2p#>hr#5ocusANrACh{DF(Fe*IyltXM@(-h#c;<9$JL&%%*j z2X};nGt!bkdK{LiYe0?$yIqs7bRHwTFYXv?|0NDb$dP45otZ538}t~{(_u@6bnX)`wWJPxHJl;;Fo*EV=dIT9x3_p>LP7J=arkU5`?|X}@(w%gZRitY=QRLm8X>ZUR zLp3a8m&8QkgWp>#INtvvnEtmY3j2ThW&Z@z{~Pd+8oAd*d5#VZlxVME;_xieSc^WwWjDj+mkZCP>)n;gdzKeXLTiDh5GGqSvZtze;%(AwJ#F(c|jGVAF zM@2-r>vi9NE&PxLV~|%)Z@$dorkFaLh2~aPv0LRj3E}=yG71?G@^@lFfNCf5XK6k^ z^6+2rfG2U#2NRTb3i3H}qd^T&&tqI!lrc1K1z$pRqz3g8z)cFj8LiO$)SSlr$5cDh zRJfmLPz4D@zJA!rSYS!4knrA_!2Ro~(kM~QW#07z^r_>JDMJ~RTWsi|-~ zR$x;*J3F-_p$v*~dgFFIWYu;U)n*7)XE4un)xn5 z|H2&^m;4EHk<7l7VNVF+0th2ytO#yrQlwKUOS%J@6UgNfmR<2$BT4MoxvRnH zHWOI!jV!Ywtmd&?7rssN5GpH?a|RB_NR9}2-Y3F_(Cv9aHDSV51S4h&v2h4Y{aFy# z21MGxK63-Z`wsws{yH;j_e+0zM>IB6V;ncVtq!5%{+x}Dd_ZYhHp3C=#GI4swsku@ zJk8-R4wHt1NEiw8`2&1!@fX0vO(4s6i4K-(18mkkOOPVA`ej%Un04=s1~Q<(s}Iq` zbV}nW0>;VF4im+n*NjXRO@ z##tg!Y3lI>PLHML!;C1nF2u+FL@oZ~$6L2dwf@;%vM2ZF$LUQ0ZiY{+={roAZw-}Whq9^FOPgFP3*gB26|~`_PkZE zw}GBD$quvmtM=WHd>bJ5Bvkb1vNa=A%{R``aS^KQn1^A*A4FLHauL`}@>6Ldrh<7{ zV`UkDrL0)f`@Pq;CY8u*Y@Ae2%hnOIW(Butg1^He1;6x&;8v;hTuAo{k0#{%hF2U< z5Gze2RGaFK!4B#Y`vdR;!_ATfAq9QWprOa4Hh7vUfIU3nBlo@NVZT4nE_{z;IpzGa3!99wEOxuMc{U5-R}74z#}t&C-OpAxQ27_ z94a07PV4*l^r#X#I{{|RNYy1}e&EL+M;~fm+3~p+I{dolg#p(C{imwkdcgYd_OK;Z zjLXJv73$VrV=R~vEH7*i+ShPmeGJy#e60UY?aZ8WG6wZN>_yIiEFW-qPQGmgcX=bz z?jROS&&c;MfK1!o%)~5D9LL#aVxA0{bzNqc+}%pInB@t$1b9Zh&mez}w{V}mUjgz(6KYJQ`)a2rD!-NKz0y5po)x<03#h>He(~Y&Z z616|rgom8&%4i2YBE<~OD#!97^EWAgwl0-+g8Bhuz4fMk2nbf1tsGM;9QL zXE^*a;O7?o^|o}MR~i%oAuKj!efdmsTs^oNAg01Alh6N7g8y!e`Wew)*C^@<-P`m+ z=qioiyXUp#MvZxO&7dApQUfxR1}5bj%#12~rHV`Rx>T6+IP%FM5eTyheZ(Km51P@X zJQIe^30P0!gNgL&v&z2`8p6>wSaQ!T=2Y|Cdv?|B08w$n>8e)a`%e^s*Io=T*&|BS^HHJIt={zK|d3PZW@a zSweFgG~WnpA!na=Vw3^30oln2Y>;^J67@9s?4I29O- z@)c0642t>cBAl6&Ra=lzB4~XpSC!uGS}-3`gH4ng&=WN$-^Nx>g2AR;OYaXYhsDMh z#qaSQsW}XqZ&373LM>u&OG!n++FXa`q6k^Wj3x2|)88``A^iVD=6w1q58glD~J*x@tj8SwWd5eJP*0O;I zNJ(wFxiENdU?vv@2wbR0%>7yLVT>(8Qf)APC?-QKi{DuxH@qxuq~B4MnvG>uf$*Gj zpOo`QCuSo4x@F?~O&`ER@B5@IYF8Jrt@|DMsCUKYywYj=X8ATKGe8bXs;>{5&cnQ2 zoNGV+oM$~w)A`v!>*i3oXK*vGjyV$5@QnW{fdQv;>$jbKGJb~T<)FkmCle{bBvZbl zL8Zte&`lnDNDk8EuWnoPr5z7=qVsE%U@k zS?&~5KD&ta8VN}TvmSN1V*{PIAHX( zjvoxltK?JLZNb7#!t+J9Qzr?`q8@DmhaV!nn)Wl#-uCSAZAE$@5a5AmautMuc8lU zqiNG8_9>s@1OkIUKjp0|0`Q?zM4YWOCsi$y{b-Rj+vaO?B*;laG9 z(N7yTN!G_?YNs!0KR`e{^gtRFhFP*6zc~j)nLEavR3&X@s>Hu$)G+b`9#DbX>|rpe0br7foqUcK)o98SO-v z%?#6nL<$!TL+<0WIne-NzlwEUl(0X19HFO^JH%RxGBaco>{}I+@3pC389(5fg?lJTNSqSQD?*Fdb}k1C!? zI*h4A*m*ZnOugURt#LK0v|K?i>2FrKcxY5p!5;wt$5YY$LeYAkYLS;_skg22X`1k| zy7w$>b2B1y$CWXQb^Mv|!10%G&iN|Qb`dmxm|;$_hTd;;b0a^W$Yiir9xbEytsAP? z4btn$a4Z%Z#udU7TV~b^7miE6xv&W49tGm@ktuo=oedjk!f!R-)f%{4Pl+OE!&~p~ zwfyGndyURb8|nRK15^SKdoKKa%wf!nX`0ka%hsZ{%dEB@W!DwE4>R!MXHjb4`zmn)hL-@n>XQVpf)6PfWW-O_vbzlHNbO zj}hc0sE&0inrUHtlCx{2?OfDHqbIXmj9!;}eDo|AN64d{fQNpN9lT%9z|+G7tqxn4 z7W+G$R4d>~X3*zi>xyEG+?{kB)sux(fmJ}uOU<75Dq$<{7EdQy|650`NKH06rL9{m z+{twFj$%}{U>hqp<2aYw5c-vdadvof2W8n!bA`l_ab(WDUeai(Rfs2mLD1w(-7^}P z^@!QyW0&)XMSDqaUD`Ijc~(M>)~a=*b|Bnl_J_<5LLEgdI^248RD1KccIQgg&qWVd zqs$-MBoNwbl7}M@5&A8b&vOm4B4X{WPPNOUzw3NZ+QbyR8bQFo8Ac`9wq~5@p-Q#b zHnO&t33?y{CF9Bi@nvuSqUY#4`yq|u76)g8i0Ca%(P7?+j?9du)Edrif}Fl7*BjJN z+e|7Qm@u_P2_co+5HXQmJ+_^XU#?iGHBuCrS=(3&AYIvnJ8A*L&JHZ5Tki(F8i##PmIw z$*p0bC;gsV4?x0TYmxjY3gm+FLwOLqBu5VMC5yM9+<5`KC&--@S`VKc$ASgLNU8b>0PpAjQwtKU~$yWjW_F#xpxMNBdM zFYrM|Cf5HzPW_wZwfmQ?{b%MpIe=B2G~#&!Ho}2r18{)QH-gvoa73RtM6}cc0&Dz! zqI|Lthixxn#qlU7CQ`8@y0(!(}y{^CEZclhV#WPVFMUWc80>vaIi_hVS| zW@9Z(c-d-RrWkoRy`3xkgXyC;pAcDC-Jk2>|Ip?cd}KgQa8N-H!NcHqU>q$9=%aMZ z!Ebo)ybxIfm#?v3h?^2)GgI$zYVy$o6sS{1F0PyRCRRXYmH zU^hd}b&qY83ql-5V3;7P!g;MOO{mKE2Iz|8qH-@hE496AG+OLF|tkTa2 z12ZNt8mwt2DU%;lkETU#gWi)CH`-DrDuOp>^DBmc8qyP9 zRaZ?qlc+&uMG|nKPp#iuYWblV%wV;u|1qLpM}eObudca;IFWUbiQXHY4unYq`ZwenI zoIQ%sIG})J)NP0pScxh_TzXOe>WOasip!2_jsyP9UK6KjuJDs<8Nh~RbCgihXElX@ z%*LloeULKbvJOb{CSE9n+HooXucD3tn#@jMt#!xDXOYY22 zs`r#dsS$4|2Yr{AV-jmQGRNwnT_xUYDPqWsdG_#}^YDO4@x)`$Q&lL7C(-3n-$h^P zKyz&PB6<%vahGBsvKg!>Y>B0@h(by>t;Rm1i)caIp-8Njm@PxB zxQR7bAg@Zi4dwFgvee?m>kWF*IYPIb!hCdo7y>Nn1slW|SV2Kv00^{Os2_{d&?Uj* z&Ez=pWX!?+lImWuuKhJpHr7!!eK`?V^bZ?m<^-H|47N(CKylejeZg>#sY%MW!~|Ti7Z5dN*4y2Wbr-VjAiOop^kZ3SI%nM_ zt_K}ZAB$lff^m~6-d}}Wp+fPcofh+`{FazaDuU)7p?a)9yKaoTsLC^|yfq)L`!527 zJl~YA!Vv)}n8h(U6b+^B>vp(Xh8FCM_w2N0;f8>|m*abq#OhlYgpepwRMVCjm!EUH zh@b#i0@SzDHpg8QOy;}9g@ea^8^*Tt0{?aO369+^YR~&1K~g}aZGVT96!J!8^ zCSELokzFy31Gn@;KD3O@)XMe|HfZZUSGMzzFlPy3TB9sm6qRcVBG|ZU?L8kP%W@lW zsmn^L+qA?JO^p;|2II4!!jyk7&WV3ozRRIW?Ww`g#Zs+pse&L-yY#uCDeEuP_6AIQ zrINSRe5H}=yewOulEv@%InbMR+)aPL@FYGObiQIUKH`ewHp;*a6LpQwKF@?L=f1%N zRVJam>Xee)mPp6nP?Paz&JD}U-gyzNXSld59b3>E9X>)H2_GFTki&&l_k{~XLjUn| zY8{@l&HjZb%P=r&#+P1@!5$yd4`;;WlqtU%BrX+Yvv=+{*qX9yz3~Al#n5RDJgvog z5+@}nEjuefZx%mF4*J=GrwW>e+Zp1yy_#6mELdEDh)AYsX&0fXeaTMtQ ze|vhQW&4Wd`4iTxCu;PMN|u*NcgOIF%kii}#KUn%me3c|EFKjb(S_4=I`~yeky)N&7tF-UZFKi?E>iHRJM z-k6wbRdEAL%iWz@a>a=ZNk+@&Ie@m)N#50&xS(VgTB{=8YB!Wc8J)g|cmKUMODWip zGOC*X$gEs&o+YI<_gVSRqpMHE7AE^XpOz2gGjOk#`eJM-DJjXUwY?zvzlX8OBolR-{09rJd~x=M>B}Bof3!kh)kBWvIhR5BDkqa3QR{gh^t^+2i`Y=FlS|2IKLH}fi9B|O186tLu01P<&!>!PAkyK@BWu=$r z3NJ>~c5aP=1mSbSmv}=~-v24FfDwa3Yk6Mg&$N>|z09~gZ{U0&CRV*z*Z{&pQ==Y7 z(OjI~zn{*(gK2(a>`Ae}H84q2`=~oNIWek<`J+UTOxc1FMTE=Bf1@bDD8@l z1_1OPakK6TWc-=bG+{pC52TDodQ3MQx4Z)Pq2$j?0fq$3*S347d&Oop`Ai$uLAd0K z`Ib*~4$}XB7<;ESO`=6vx68I|+h5tXZQDkdZQJUyyKLLGZS(XxS9?BdUCjTGnK4F2 z#2d+Q>5+A!SD5gv3=z?CX9g5vNwQS@TA0A`hVrc&EckBG@2waQeEk7JnpuUl78@et zoI5oRv=#x$7-dUVec4UDB*4xv=mZ8_Z0TT>2qOWJmcnFp|ILwsS6Iq{NegDF;*-Ha%>p-?ar(@0?2 zh4*%7#^K5=6G5b2BDcr%@C2?cpHA(>a=zLagOrCmCN;mVq#vA%q90a2*ZrM=xqt{T zk&{Y~O9FNW|GeJK^<>RVap18@yZ*{Opk_I|x%hLaZ5UBjnj@b~ z!LuvMSdxMcmxE8HJ+?%5 zIqRS#P8AhDI0C!>QCEu+OJF9a>4i*pT;g!+MUFa`Al?EzE=vH@D+4Q zRe+4JLpgKqVfD%-z9h}hL2nN+p4j;pOi`xNF@0J($+Ol51k_zc$=L%qvgA;;c9!5g zC=;=jdO@g`*cJrc>FqPNw*C-P5i=bko{>Uv-s4etnN^XF9+nLPSqbr4u~r_9KckY? zUMbd*IUnxpf!4E5HuPpoeb$d>TbLp%n9J4lXKw~`t|`;2E&@-?y`eDu=Hj!NokM?9 zAWP{dW21jq(zDhcJn{E1Sl0|D;k7Id0ME*<)LOU$yB~MmG^>nhLGY`5a|kCm&e#{H z?Fp{-h@9o)X(G6;i$chg;dVM%N9Z_tRXBC}qX#0U;zhkWN}khc{Pf)>v0!YIA2Nww zQ?qdhd6WG@1>DYnZ((HM9GoCw_R5Qde`HKhgqKva*nJKL?$$ec| z-Cx{;R##)N#5gjr?ZS4xya^*+Cs4CJRs!|~Q{fs?#fXmLF+4zIT*U)5qCynB*cYM= zYGR}S2tBy&k?sSayQROy8R$%Ni}Am=p-0cPA6f7azx9BnV}}F|6+hl~1MpU;Fh2ZA zPDvpWZI(_M7gKYCt+?Jc`9~RAmM#<`N=;Up<8Cu^?w4HA->WZZ?=H7%r;%&_Oc9TC z6iy}rQ>$s#@Y3+bF{FjS@1Y^fq5S9?>#cVTe$cbbN>E$u5oG|5P~Cx#=LwR0U2`8o?ci=|R2{1-HCV*v<08?nwUK5F zSHE!2!?IB_NESytmu;l50IidT_gU#L9!`l;sbs>*J%z|%kK2agN_ZLR)HhRtjbj=z zWn+{mvsLlW(S>%fAEyQ#EKKkq0d)ogFo_eGP0bAgm{XViDq3OO++>01Gkc;RdZkq+ zjd6m*5_Xmn1qhZGcS5bF-xiH9Rcm|atSa-EhJ0Ak)`%IayKQUhR{W2TmxAGpoDO1> zp3OLqB$$o4)zZXAxMh}}{Znkohp=Hs3#OS^tHeYHgX#;}99g z3SK3fATPDH6*jSPJ~~uXZM!_$+^5pGV~NF3acUE5pfV|<%`LNriyr7sb1s%jk>6fu z*!NC*+s>JJ7Xmpb4%YuQrg<<_zHzjwIi{fQ6G43)+Q^`&tAL=Jg1FKR2W(0ES%3+> zQ7cuKeINQbwo#tygKk+y7^qe+_nYcsP`jl}C*}0ZEeBoy0oYQCyDXSFkZmugFMigCF_(Mfw$Jh6VBWJ`X>7N4f3?9nBEn>aR>1j`kVDzXj2r5p*9| z)0Q@E>_!LaB;P0Jg1nCxd?Ah;axfi`&#J=5J z1w5~^_`e8X8hb|nk5en>fAjB<3Bddxr`91&*|<&if8$*0udPvw6_kpFpfb-2guIUm ztf%;q?7Wj{0pPL;*_nAe6q?^Uo@Pl~q#Bq-&6Y}q_-_(zZ?g(Tcj7VWVm>NF>?7_| zasT@6{Eotfu$*?+9m7^=z#P@_%tBLNXEQm=s5e^kS~rhG*Ui}y+}jr*?p#WX$W_mo zE`a*ykAW@y7ycJ5P0eR=%OzRsE~n`jXh$Y`EYugN&Quau+@Kb{rj0zd5s+cQ#%*iz zPbqc)77$H{i&<3+Cjr=8657dpMhP=kDEuKC@pZ$(=fMmZtsftHo@B znyx;b`Iv{=Z73PO3v5K!PlRHj7N-BprS&5At7sbEs8=Xe`=)ROV#Mf$;=;iFa(U8!tc%ahT{V2Ah9_=Mcyb@D7HnDjcn#GDxQ*!|& zwK*;+joARrJehWm7zQ|Njd1)Rjh3H#sA=~v52XvPYtO(~|6zeIs$uVApfg5;zS~EWGdg^u|=SGUnZdf$G_X1H%Dky4g#bI=Z!VFyCg` zKz9L`=8Yy3U?!;}mRM!KKEQ-tswvNK*PX1Tlg+)QudD!Haff>tExl2 z8@g^wxuJkJHe>|H$p$CQ2jZ?x5XMY7ec+#5G-!uCR$Pm?jp_C`h_q0;D*25HgDA4M ziRrP>4K;_m1WYp@nBo+aRlN#DQTfD|Rcbi-vjLbx(T$X>!f2GnUZ*{Pm@lfU+O|m4 zf0gD_uS~0b@J3ZzV$7sw)~h-7w$fFTpQSmg#aNKdO^Rh9m+p`1z(zx%TB8VFCU_1s z?jr|#X2LI)r^UdDKx@I2YDpjaZbSU+157Y9tOesfA0W&PX-0T;g-IGz22M?|u3vW) zhAuHKRgTE-*pP?vg1chd#(COLsYOOJ2q-%N*|B9)CKy%seKR-<)lU0PSKwlW1!ppJ zW>%g`=El$B=5pTVF7A^hIx#eX_kN>lx@hB+OVz)PxRZny^=YfkLasZpwU~bifqoFv zOKtn-qm_`LFjlsFh5jf;Flo$zZ(NxWUv{?v%vsN`mi~S!VmCj)$4#g*sO=tUv*f4Y;TOr4sxe|; z%1rDrof(129+O~8J!GMlpk=BmJ@PeWqcIl&0RQEzx55mScUVGlW=+RNU|!dP84PQ7 zditgImu&=bF<5)(uWwpVo_mo~s_CdW>8NWdo*Dkw=vm*>199+s;h$j!|D=<(qRJyr zs0HUpODF1wBbfz4D=qt3naHeI zwlGhTsg{HKV7fDJER_R-)vkm z*z4iD9zpZOhcDgFlZmb39rQm{|Kc|KxzpQJsV-g+Kki34pY%1Bv5jvAaPVoe)_rJ+ z0~4z$j_)~{<6~*JF@0h!f^GM*Hg2??k0z;E9rufH3k2C|n``oofCJ@HJo5#)9>-o8 zpkCrfppA4wLOzULFf+}q+x3f^ZwTlT=$HSku>j`(N@Lks{-bQQq%~%TBaYa0LUT+B zY}R3Y2AA*2Xn^M=^$Ra?l@Hb&%4kp!_z>~Ow?b0R*=)7CK!!SjNCLGy_gqV_ORN06 z7Mhw)UxBkK8*V(T;!UT^w_I<>87x1LNrU$h&HJcN)%t(Dxia6LIW2cB;rJE5kGnl@ z&%rnXrsB>}E4Zq3Tc5-0t#b$XLopQ_+@T=3eC`DJUhByLW@Nnppn;9@5NB z{JF1GG$fReJfj-b%i&>Z`8V6R1dwPNN26C{g{s?80mOYB1ImNsTUY=5IRteO5pj#5 zrkAZPdrr8cn^P()R6^R-bNZI%X=;(+5sqdRRDHN-}Ej!3VL4_{B=d$=!WrB(>wrvqAVNdP|bm3@YH$G)+%i^ zZqGxzj}%-Z+(r$Ln{8uWBWsE(O>7k-$5o0?=B{5e@E_QsljwSi9fnjTU)(brsj1Xs zH25`YkR2BkI2|`GK_M6IR}h9k2pIkWM!)eRAPz{(oQuSh84+uE5Nh&c#7~lmOBAQD zl2l)!KEyK{ltM7DvFGg&48(I(0&y{4rdL3bIey;(=h&O| z{bnpDAUtlkzqdGEY@wrkOid6R-fbXDO z;Y7t)E9IM&r}`r9WR%izIEeqo-O23dFoHJqqm1KDpj46tju^ba=xKZ9+JI}0UrR5ZO7Qe05H^Xj7V;NHjrgyf_9HB!-fSX&GckFi z8&ppn*skF0kVxiBBs&5x<--N?Arl=|$zj{nybqFe%T3eUgm;>EP->KLSRAD(lXIp= zTQb0u1=2~A1;#*By>gh2*~(1bTG{P)P6QrJ@}z!L!9*W7o>xJibK`D~-NGAL0LJjp zP(P*_WL={lQ*2=v%0@OZG6-YPc)V}|(mKvM8YwH}Zov{MiLhA5iFoCbFwb=(4h#gV*u@F0vE}b=vFz{I$mzs)ZIKUV z)hVvl_}RO5mAs7HY`6sggylV92xpApLU8y-B9Up`EX{Duhl%^Gh60o#(JUMb>dy@< z9#_6oq>>FdJDaY*`M4?a>5Vv(JZ4JNR!6^(}+6X~&V@fLmuZ5gq3@7$jUJ z@7Aj6k8D_EBp*|yW7@z((L87^QjZ;vCjNR%DtqD0RI!GvtzwP|2V0SB_)OsyTk-S z*X>}tQ9$u(gA!QHN9lsbz4+*>G5b3kijzMHYP&m{ekQtpd3-rauY1_cg!(9%b&s+A zd7`XwQYH&*F}C=M-N=<$Y1wpxdxk689{XV4aOw7w8l$oGRq=zOVA~#c!bo#)dG!!w z@tC3?box7Gd6P^~Zfkt5o3+@|-7@TMLPgjzeO@}TEOBPCItln?ZVTJDOT?aw*wm*+ za^9U#&=!|F7U5g`?Av*8R3PO+;p24_ZtDKOdzS0=-WNa6&O!?VAb?6D{RE? z^IBgxKnnT)kSVtRN~Tzu{$r$52vTXU4rF};>2Le@?1opP-}i=cO>;BKg0Fy8m5 zNbZ-i%`Xsypa%}-b>H4jSf1oO^bbVM(JM&p249BWtqk#J@Pd&GS`Vx3kc#3?N-&im zA;VW?uEDBrBXgYTTuvA1nNKAq1=>FoRymM!mdp=AGC3k}30wph&AW)|{KYy2JkM+0 z^6y4hF}{90jFQkzLDPp(tP10@wgf%|(uT6++=!s72xqRU2wYzF)-aXE0~sZq>6KE&~uG6tg#$c##d|pIpCR~n=Q}8X7yC$AW2FPhax6Pc!~x# zK&cQ@mzmXu1K;r*CZk4n4BHc+yY0SNPU4Ckh-uHXm|NbB^oVP=y;cn0 zLQWT{^V}^9b|njTEoraOv>799saw^Kg(%5r%WE;O578to>r@$Y_-`K~_GjPiqeQt_s}+g|Rn!YJiwLZVX>Fj9$tG@FsPV)gtEU`GO$fm4-ExGADwD zOoAC_zY9IL1HKL>8l6QAOdz%|ckFNZi{BY*T~j$BU(3T4I{Ok>kFGx%)>Hbqq>2~d zlE5~~DQG4lm1uj68e7F7AL*PT(t$4G`QKwL@?$b0`%lVy2i%McSCNZA%ibzVV1J= zM$P`A5U2{ID&j{o&PFjd_dGHo;5;>rB1+=4T8>`E?()7jtZ12H>VN5Mm3R=d5)ufM%Xzp{ydMNrc-Gu~nrx2FAUCa2qBW6xLYaJ=W` zAc(t`ACz5(vQJ5$OWEN}UvT#%SjWv3cOxSP@gQkfRLAYmg9F{WWJ@i620GJ-I%3>7 z9WPgM5phl+xe_83{uoKVc6TJ2B4B^v9pRjkzDIWgcf)XeW*f@YtLtLEj@CFCt-w#& zI%)dHCOpaOfmREf0L>1^gD4_~61Cyv$@_M`FdNv++n0L(y3p=P*=#^8H0sYOlPdG_ zFGqIsElXMLAbylq35<1R4Y}q6$kd-9#%Yx8BUJzqNM|@KCfHkg;n4Y$x1F4qNh3X*^chxKGuBK0=MPzL z5WXWP&PtJbI-ZHPE#;TUO8zZtf}RhY-JwW_|KnT!U*SEOIN2HhU%tbVmUb-(8?4`n z`s4v;AXG~dAmTI^0+H|zT&qBIg0G218GkmgHGC(I#rAmFq||&v7adR4T%{xyZzGSN zTlq`zUt2LOrD)tyflp;><9s4*>0~DzS`p0pkC$gvv^u`7YNPt}D96=%CL+gjhLvS{uvUipP)((^hR=HUezn zMLrPg*z{PWWeBd6rzt@x_GXGSGh(7`T=@3z2yl2{GEJ4Mo0abqAZpXUSo7L(?#C!0 zTT|qbfzL?syT=ZbROL43PLj?ry71(DbPiEpNe>&N5tY_6n+@bUgT0gky>Q_4LcCP`C=E5sYnxHviOUEr%t52d)_n)V<9>~s0{sAhJ~MRo z2n2Hz4FFaUpDG`9Bo>!v0kii=MCuHHeL=4AS{SNf2LyqoB+R;LR7g1m#^58qIH=)R z(Gs!aCojUu__OIJgNp8)KMb&|q)$KN{aujllLmhw(c~9EzlqCS6@ZjSzmiA1m~03Q z8054t)|Ln>NBb=W`k*jrH38sy0DCAo*p2iXjC6kP1FFLx)xutkD&uSW&FELxP#YtJ zCbwt3jDUAq60-mmTGU^b;HnO?1`s7vzA4!)cy@Dc(G-E&0IgsS3RK=;&Q7o`Q$yKG z5hZuG-xhiIq-+iv!E|&LNzxV#18QMqnFb@v?)U!OQ(qjEkZbMV0R?BE)UI~iBjZk7 z!Q_8)($VPMiq!H)~Iy(_ql%6SG=~vW{{I@#Un|`5Gif4GSA;eMEtc%b_)ctg~Tf%x? zn7{Oj+>Q#4ZCJu^F#QscENxQx&tg5GvMXT{?NuES12hP?QM28SL9d1u2)m>&aBnp0 zWd(FjRYWXDhB~a97fLp4XH=lG&D9Jh0SH>L)w?KBB?=NbmUAW4Q_DG2HAW+ktowK5 z`uXLx$lB*UU+(l_egBLJFX##x#RyZQ6|y3u|6~)ALF*DcTlZ04s!xuJRrWYHbrYEL zM`hWy;Hy)BF|?cUXI&~>GW|pqx3)oQKB}V<-GIkf;X#|n39;e*PS+Y7@3=K|X1Y?B z6XTT>v-wj{Q}AR0D|Y*s2r^CuW)O$CyI5AJEQr0J6agdzLhf>p6IzF`+nIXPFo!F| zkHnebG|FzO*Aj0r%z0y@YNk4>pORVGI*mxb*@si~-6CikfZ>7VggL&jh zTTIFzXKJSBmm)3Xn5&tCG2+ud1T}>wIAlQ{oH^>Uj?2TQizDv0$c00*E;7~z?Z-U% zbN=%CK7-)`LZ$=%Dc7Ec7lLQ|?Fd{vu}DScLRolLW0iv<_vLBg!CHdf$J_7qA+6ax zUO*xQ)WnUQtReqhjn5qFp&(B+c0ea^Xd1QX;+vuyS$7pr#^TvmW$4bXrXpXU_Df%E zAw?bWwniOM4yXhOS;80u;?3FCq+-4V7ZTuxJ>Me*`oNSOddwDZ`&q{+*zSR3ic8cr zol!hgSh;z~$Hx&9@8c;CP*NalTw~YumDVa&apNP8xWN^b8oHtMtJWTG_=i~D%IHD@ za5KB6)};_Hvy=0g*Xmk0F@5kU3L^~7U9RCao87J^&dCwTc%Pn1;NB2S?zIw#u>;4Z z$U6{L7vl>T>|Ry8gG?bN*M9NG5i`f55z_{+W1(tcYC?G=Z&? z;kLDvI!6dpk3dbhfB@DoQQ9ycsVWV`sKJ}E%fU0!gX>&F^VB(uMY}Siw27N8>W<1D z>hh*f!J|5-f9d~HYqcjLLTpG2wlJY;&)y??-gNQu1Tj?M; zMEmOpR?Vsij&YE6^Zj|^)&P_NhgQ!rCUM!E6|=oH$?nGsPt(h&?ef=?xHhnvm3O6g z2?#A-R!jkZNWithQ~Ocu66=#^Wu?S$aFzC3?@>$`dI4@5-AI_)qe@P!cA9pR$Bf+B zZmTZy>1nAC@~v4j zsmIgro?kTm)++szN(SQ&QwIM+){(ZXsx+XG6zuIq}xiwIu8cjPFiMy=QKc}>1p#W*t)0tMLSCG@(oG+drau!_80zdE) zgZh_Uo=zi1?F?b&8GhlLPT+S(d39eFveav$R31)*)L3)6af71fd#BK`XJrA$o(AzR zn&YyxycmM{CIKwxOSrpJ1@2-u8`!nESc5wENm-T}+$|&Irh9mF6~9l7P%ER-w)shmtG1vI_MYpP~*agB}k^U()%ukB@LN|`Dp>Dm|D=f zNwYw^(&YvUT(?02cl%9NNg-SGFxoj_8fm~}%zHKc5P<+&+dma|G?X@t zjGbfOf=q8x?L}n!*ne1|F~MZ={siuu6F$0)8TH3$GG%XQ{M}v+s@G8##&>K2FbXkf z7T-{|Nb{ggF<$bK$QP6i&s#(<;d_xD$VmHg?}oEicK&;zFEFF}WxeZT$L>>$W4)-j zwcf6)?f!Hzcpl#+LUdg_$w}ElaXfaRu@eFc%nCgF=hoC6wJh46w>yo~f@ zc*BL$ZF*Ug;HKd25~7rMj!uiH%b3IvBveL7N(dGVdJPoA zc=>g|+Taf+(&Eh}RE>`D-z|&^GziTI-|T}Ao=Ov6!o`ua+-2AoV1PF9ih4iu4w@3T zzp-2K}3t8Tj~3ntz26Pc1jPPFKYnc z_}0Ad9Xn0Js1K>Zl}x}$!0dS285*}mhf^L=wzZOI?`!G`++=^6q>2xAbUR1tz4&7| zCO2G^fuFTnflzRkOh+vT{losvli^Lu3R~k%sbkTqPuqS|K1&A<|__#^2It_pXc*O5c z{u+tCVK^Bvbmkay<1`urka0=DQmT|$`tf*k+B}mDejVb`FHx&G5gO>QuMb2x&piZxGs;x1d;ZI=4$jG;%n5{#tUk+Z6GjHF~iLt>Juxx`A{0%f^ zAC%>SLEUsnWP;UQ5d2-i%DDVKaW$6JaVEZ3-5*p%IH(_z!#I~o%SQV{-}AhH@dKQA zcY6MR$l8AiDf~}Jz?IfU?4by%{>ephUis{r@~Pw#x%!_*arU1$TDDXujYK}Hu`9UNU~iYdwUVpZ8jIdwLk-mzEYbvr2pT6l3+wr2ocBT29>;n?+3rc3>a!3eKZ|;`lml>@bukwa7di9dG zG01D&z6WuG{QiTnNcSp!pvRTCr`eg0+u&qsnE^YZ~-balj z-EQZ2L!-{F_qq|mWNwcQ{;1kFgg0j9s9__iX%_|F2p#3iPo0U%9_M%6wbnu8bWUSj z7e0_wD*sRp`|KrM87G`5lh*RQd~*WYVa22=xNx<4=6`Qvi1nF9`#ZWso$_Dtl%Uqqtoqx6D8?{m}=-AO#@>DB1%^%Q;cQ)jQu_{kck2%sSoe0K+jj z;XXh zJRHbgEJ+#ZFtF3gI}*3fNYg`&Yj)gAXw>w_f#cNuRdakq#-+AMGPGw<6PJE>i4JrQ zXwCOVS%qJiH8u!CtMdeO*JpfJjh z6nX{^N!mc7;(g1LyRqvGTlcG-k6D2<1tg;r-v~_bT9Ap?AD$=8VC?m`3JCF$T@xfs zxf@N8k&+0W5*fi?dss#O0aD2C)80XEp+1WeRaD9YSa{ba%{|anVP@`UUt33-bvC?J zpz=X+V;Q}BQc-4OLwvUo!tbpaNbftKq0_fzu>0J|-a^|rs>pQP&U_)1pUdd#r763P z7o~t-y0laiGFEiLWBgs!9X}MIy<(6 zw`XPpfx~5sh3{{*PwyzK%#BcAc#I_`;`LoAK|DRyM!mvO8+BsOM(~g%FzBh-)0l$T zeFr)M>-D~2#@GT=)e6eNJ~J&;6IA?G(Ld?;N_i551S4jwFWZWeQ$qt&i_Fai7|gIV~v;do}_)oU>R89fyA1t^;v z6e?Si1wsg^9q$RIl?E7QA%>H;=ACv|O@*jV1pmtUFc4q#5DLOtMQn}MU(TH65GQLh z90O{$%29^JuBs?E(|iJx!wUJ#om)^e%N62ET{eV0ciZaVX)h++Jc=CC;8!L z&2wz}FWA^elYjQ}Z#lc?-=G{}E-C+V3YC=9(vPzAsvKjQ6jJNtpxsO*nT_a$f2nx)y*>MYU-E62zC!BdxQG>z~q+fnV@o`A-c z96=O8F|+|CLZqGS(s-p8*WNb572ML2;iloS;k8uvD)Py3V`FgUZ@FtnZ0%wfJK=B43F2N~nNqa6E3>Pq+SIO0+J%X`Wi0(_(kSKF zvr8LmA?tVruwkAOMGxch$Ne~$CO_&x^TA6sH3vP&2JoKq)hFuMOM20lkc35-WAMJD zA!VbcCItoW-nwToI0~q=mRG%DJKMng`GUxgRc~p-ZVs?XN>5jctrNrGc8K+|9|ZM^ zsPt3|0zI<3djF|ok|@tO7F~{J85%2>o1Muwprd(=*n^{;lHRE|;fImzC(I~;V&#*A zbRwk{zFfDd$rv$sZnIG4HIf;tj$PxcUZbPC1;{#RsqqCII~n8w*!*o8f3oxXyZE#= zvLW1S@($SatJ*iYWVV^^=R~n(JUk(JLGHU44lquDe74yV`#R_&c;y=a&-0g$Z8V`Q zG=Y{ai&otcI!8Qh$B+n5IkBf7R-tVhHV+ujZ~u0ECGQhnJww&=|2XLUcW^h{zh+KCi|>ap^gnJVW`bS>!b0 zOWu*fYo$Ww+iW4tM8iqbP~Cc1EP~~RLE(66TCh0!m_M{_j-jYango9ZV^ssxxz*cF zg%(^~sYXdnsjA#kQqGSXWK`us*H(@;cQ+j*Gs`_T)d{?DV_%*C9_bjn^?=CFK^AGO zRs&@$P)X@5$JKbcXU%h1`3W8HCCL=3Z{cCb^va|miz+cr$}~*>wzko`_y-)644mZn z(8yog?@cNLtR1C{Gt!N0t3jpMA;(iBnP0QuZmUFa))mvV&eW5uVp5dFudK3&n{q1E z14YarF;)tN1QW1HTN2XN8EZsoF))XZ@b@^fA)CL*De1obRTAPWpRFcm(OI}XS6BX` zKrxM2nWv0nY%~`-@C>?X47gy}lDzV=MC!_xw-C2nmTEJTB?(iCk^qcDxT=9f>8iZ; z@uS6MKcaZ9v!H_+)sr}7pj=J6rPL_ML+VT~Y|M|u|0t>@BOVD^&Ps?O8RjT6n~J;- z7-w=W5Ld}1v*8qet8)Y3Pavzx@l5czaS&p29XUw5q{$U%gf6N~FZdme7ep4?t*}q^ zMwQEqGs`m6IVkaWvvFgR@bkyZJFBD@SJ!-Ak!`di@V>l4X2g@yvH3#t@AtbJwc>OP zJ_$SraA>U_EN{9_U#1ds%ck~h&*ZhwX6)9kW9!UrTk29ghjp4P8*Xk=I!gHemABrz z&9#1xjqQ zV{wv5i)deDz{`r-5e5#(TPX@KBM_N$rTBP1=S(2~@|lrwrAUFhmTi=D+bi8Qm2gNv zjRGqt6cRrjNWZkP@R47CUt`J!MS1NT$p$yC#9{#nI_AAMew2JDWJM=QSJ04Y0h8N` z-miqngM|xhBeo@}TvMi>8b$deVSOZGrYR?U9CqqpUdl$E+Kpo0RP^n*XEOJ<#SfAX zFXP}su2+|z%rBqa?N0^@QYo*qU=+k*C^Qg3m1nfIXkK-`JE^`vCZ)^0%0ZBVmlQk4 z({cIkN$_4!WKHJTLWHd`nRmK&NU zB&;5@yK-FSXBD1vvDa`uEe*u}tkiKYT*~_U#&D0L%?zk|0N2V+&8k|En=qUeIFX!% zrp9(e1iaEeLWSJiYk*;c_90f_N_Pr{d^ydAZ-Daay@z*>bqD-BdT2u4WqPD(*b7i8 zw^FPd5FfPnS9fD@DV zImG$Dm#MQli_Bkp#6U=?PCy=rW_Psa8`l{NarP+LO+ffHXk9J#9 zXQl<6u(&nwNKo|C-yqdvId=2La&M8z|hg8>b zf+$f4McYV2y-DVX2nElKJZ=>FRV?T3v}w-W5U;0@22=jk)aQ)+-})*6_|=Y?@{v%^ zP|vK{Qn$6z(7CL=MI}T|OOh(yr+${)+>rZ-M=uBgsD4@ZE=*g*y2iT3?=T!e?v!2d ztxUZnrP{~?;e$z&1a2kHTAa@qo|49X8xtR>OCNt(j#kJFx9_qRh=eRQU?TzP6YP8= zdV4E^?l*{fP)z9mts6{?{}o1$iJkL5+8h5f5A?6S(fvQ|4Hy%ZJEBOTzuuJb_>nq+ z*98KJK_hX6qadSy-rh=hTHG%!+&VX8J8T0Dj0c3JiQn!>MBCmq1dpx=RFEnu$1E!{ zUzX%KmR|Us!FH7(HMSnnDwV^RJ%X*@omBex3Sazl`^ z$SuN7KZ1FeX2C>mfwbdrlO-7IU2L2LXczqVJOm6JE1WRz_79E(MQB_lgBwL0`j*3E ziC~%S8DK7qC`gxRUC4YFVixFBwgVa-CYNGmEagX}%4DTjjEg1M@vdb#Lt2EPeL1iQ z`3LBs0(mvD2ywt_?s;{AJY1&4;l0nUYZ*VTdvqk$y56W-U~Zd#;qDod!g@b88){R%`i2ET zill{0?M7tvqMZABSF2;uxQ#iq$*Gg-JqSl-`_%8Y<$ijw%E7`D#yHN$>48Q$IctZz z+_EX*lIiK|po2rjTIfs+l}!M@4`TU?2M|c*H>x656|&La4ny>68XyQMWQOX_!`?}r zt`#HDJ^^JeGNI^e-Ol@rgmv`q#%FWd`rNHik>U3Oq^%pHyii#&Y8fU9Qua^TU@Coo z>pqW9`uCvORG>Szj+NGpmEI~8?T?pR(;1Wm(rZmb8c$=fEK&MZZpf*-L2jU?o|f# zj%+e?-AJNgs@B+P6uCym8@^u6DuKbDnjLHci#$Vq z{2QcJeskfIlvj72B=e2qyxxHZ^N#!*c=dIsu~&Imj7M=-w{>ElJtPs0$gs__eTGll zhh(6o@yf^IITWKFcRfrAek&r0FfK*7XMgs_wX4&?A?E_wb#W?u_n+LVof$0Y+#LHmo&4v8KejGVj zS(WE9p`)lPI$FY`zqbAKbgzQm+nyUGvjalw?93)(7O?Ed5j#2s!7lXbUl5A2bUx?a zs~b;+@96zlb%aceJh9$xx)5+~R;>mjzEKPcXpcbNfRB4n+kL3DeiVJ-*sWDzC~c*x z8s0XaZ@G@3tCi z{1rN$nl(EIac4n1xxv(rqK{1)z=|0E8X-C^JUeuV`ub{3HSdaK%<2ZIeCci%fpwPNyl1_wd-tCVTP+S&4JfuUMx&qmCI! z=3JEUWTMpl-~e}m$PH9`TmtlVo}NE^YM}@F@g^8Zn%5p0xD*0!v{X|v3|^1%gGZaw-VNt z&aOVa0MaYrtvjZshz&krf(;Q*?KQ{nRCx6Bj8v2K zO`JhmtkNeUg-rP0E(FIQc_w@Ib$4uH3^Ba+19L#j{Z3Tk!;EgYj?bM6F+)Jr;`zMF z3iF|XiPyB0Z_=pAE~WXwN}_FYrzQuQhMxJw>J&=3YN=?JzU_Z17pBtXvCaE-NBOS@Q@1}rfKy)jgFq_(SdOUzAMWXX+#>x7Fac~Chr z1T{OlUv&ki2jdT7{|@6ZWOt|YaXtgm*jH0p7ocqod0_B3VR9a?boTkgr$@*hRjh=f zs7|y`+p?t0?_}K@T)=1OJv4pKh^oztlk~lUp^!e-PinC}cC<_FxhFpH*mbjJ>79Ku%MZ}Pni3&B;a@9;#PZd^?AFV?>l;ag%v0m z|6daK-@(lo89D#oB-8&$94;GT_XEv)D+#7DeVYEf34#O>GBPp|O>pwzEbl@Nj36}b z>xatPb%t9lccWw~C=qIN`-*bQ#of|`+)K>@-Yx%@=VfOU_2Bhu%g1%i$Fui&liHlt z<#lOnAoRMsH%2lAK4%w?fCEr;+~3+8KLaP7M4ZaN#?Cs#M4TXe#|B>ni+%$Sd~g>p zR*-=D2m5zWao=q6OJi1aap5vadDiJ3Za}PEpaOf<>qZ*hODGm-5?1jpw5`TGF_lBc z->knKGP@o5h0dfs;-a01)U#Z3yER0H3KqKV;sO*-)D6qpg=D6@^^71#4SHJz#_`W@o;Pj~`EEH*P ziu-7ch9Lhx#?Glr5GV=KW!tuG+qP}n?y_y$wr$(CZFX(%KJA<{5Az>xX2!`I`Gq)r zCePRTEprW~&N$QynX_#7b;eZ|dDjMCS_py%zUBn_&u=gFckdO-hyRX#4q??e=0T)~ z-hQNsh6+w)*RR>O^K^x1E_P=#%Cx}omv4Mn-?emY(fuHum88$ z9hQXgilKod%OZGTOi9QsCjSUoi#uoy4zY=4QjmY#&Q<#XZvWq@NbrzkK$8VAL!=be%|>UK%T(97>j8AGT^3-CgAly>EmB~oJ2poGf#$San4h99*NoKuyi*#2{D9!-btv&a&b#ITb4P6R7JXe*6S?ekFHI<}57>t6i z*^q4^*}zMhiqsO=5Fv+ap)Y|>a7l{mVQI074YE{BIP@ZWI^T7NVp$>3mpgjkO@FPb zYm0#3kQHcqQibY*AyN=_qr4p@m|cA|ET@=r=~ZB&QZcTJQg6i*C;4RT`uQ>Z>##G~ zCj(GtGVkLdc#?Q6t>zs^lEK1zW96YmtyaQrcoZ zb1pd8b?y{#N(3Ilb4hGc5@)+lhR+daH$Os{*YXfuP{&}L0Y)F3jn65ZBV&>>l|s~P zs)5tZNx5*Y`=v{FZw7QXmh(f-tduHlYt?!8k613&sFYiZOfIt!wOCQIXOdt{QxEJV+ufaqQo z61f`P01nNMi3%Df^o1gC7(>ev)Ov%5lk#dNVMEoZPBbqcB1SjlTEFZ+C==^-n0)_L zX?^c={3CE=SI2&&g3t{0%^6z8vbLlD-F}qWTR?I4vL*=g*rCL8?XuNYF=4k$9)s9{7a+A=s01>Qc?DNU5Aeo+o>+(hEff(M z^2~u#w$43JQSIz)nJN!s$8i-TE%L8RREN-r&I)Enb&?=9(0KlG2$_0FIy?=-TEb~P zh3+LBFr(=`{h#&0&w>sZ=@Nv=0La-y3`zoVM7AjMv~t=29?Dj!jf>iz1ae<7F|OYF z6ACf>>QTXEQ^#qTb!}zJ9L#yUW5L;wv5XF%;Gy->dal8YP=}5Y5u1W~AuzfGM7-c= z7nim(JR=T8FKDILZNYw&rM(LB^sFovKba%!`29j{yRZCCLS15_#g;2nnC8a7z4}43 z(x~SS?Y%@$?Xr^mXC3$1ddP(T@;_3t7D0l@DZI?@MnQtz&C^a&i5M)PCOv2l8w=>}3?Q6aG~0j= z$4|e06t(xywT`sWnapa*zr_c-ow)Rj+mFoCOSyku*zq4*8vmO<_#d%bZ2zeb{$aOp z*x`Eb>dK429W6zakVuP}`@zD2uMGpL&k%@T3-nW@M>WjR@Avd8^=c~Bc+EP^dHTUd z^QU&a6qdywiA$(@Q4}mbz(I`%=>BEd{RlrC`8s~hq5x27M2+~WV$|_CD00=UkF$sE zv1wfuts)#Zb^c>-!CwSgqPskXGkMrVZ%qyj;NMEE_ZMs?y4Z1lG$(MQ}7G)M()8$9splaoLwcc>eg@P;UtrMJ=i}VZx zjb@|8s9|w+_hu;oeYl;mje12MMKTG=VUAB?GCwNtxEPk{cJ)vK?iskLmHE_tnxQgX zE&R)$R%m(BlmQu;4|uVTbH^vN!D{g;EJQgM!kF-|GBN3%kMj82rMl`uH3dcgN+8#s zXA*SmPF(XKVO{DT!eD6R3-Cz+F`m)bTuK+%jkfb7@D?3^$){wd2v%oqMOUfC~AYO5^h9%rji$ftpG1<=0Ca>1I zGsjIwph1L8Mv6?zWLwQobV)^zO1Z~?FY1{a-A3GuOS=zOFln8lhKJ zCvKUbzd9%&$2EMjYk114#sXR_8#Zt@0{;2^>s+;1AQVhh#ISHKJW%jLYqa?J{aar2 zhL{u(4(0|zGnWUn!w>MQJ@8FGevn>(dMj@z+dQRlp{REw{cvixMcePJujJmz7+M@8IO z@?${9d_LA7%s0nOi_Mh}(%cZuT-IzlGEs=5?Z~q2OIx*ni>FW#cshlh%RSesyxS=H zkb0?@ku@ODk#NVg;M*pj7X^YyHB34EAH{BZKT9i`AlXRYh!~dS`jb#S!)6OOo_aGz z-i0^N_{F67Pdn7yX_nk?D4qeP?CF$!lw7f`JV-e+JUNsmo`1F_L`=j*x*^Kf7lcNK zOgcf|$(j(P)Yl)`)hO!?;>MaM=d~DOPILZ?kQnsoj0E)5Us;qj64{%h_p=BlWGA#d zVQ+w`CJ5I+@Z1`;l5o$w6j$s=Yf^<1o-)7C0MJ?14odwZbprwpYnG%-Tt zkIk(ffntJ?8#_G&O0_Ju+00go&@me-@+Tj~x8{wdc5PtpU!ZztYXk5q2XW~3Bh&4J zwvinYw9-q-(Zk3>bw`%?_BvT~dV_Qb|UAOq61sPY=Z7 z;xch}gJfpokA3MRV|yg>wah`SewSI7meW!nRf@4#E6%Jjas@VqrNxLPGIH{_ zQUxZipy#n!#3+0IoJLs0lg2Z|eiC|_L(OR*8cRYwzKwF!AWx9r)fRH82cn+p*&{C z`=NF19@F@j9+gN?^iS42{;KKw%_HS<)~LDZ%ci9Dk3lQoGyyAsS(f%Dt0|;TMZi~N zUt@EUu0vlWc4Sv?i*phtDtUieDan1{>Q+ZssKNB6FsITqiIY+Qii^MJhlarXnGP5K zK$V(uUA5~CX*uGuhPR}v7#aoxTiNr|VleL;%s$xCexfq=8`XL|ipkK44-m4NPE>YYueZ#dyVEt0g|u(;6cDgf0cd!Cc6$I}6X(91@ueCs+|#p4Cf=LVCvhH5Rd`pr}48BpT$y>o{Zs zF{WnIJ|gF=049Z8^iR~QCcq*6+n#!=I}`i+wsW6|YGjUN}?E9Dc63~{fD3sB%@s;#_(#%CEF-(HFF>QowS zB5f|h9?b*=@ec2CE)FF*cenU5K3vVbvIAR=Hb{UR-r7NHTpJHnmTnruKfJ<;c?ja( zX#lD-X99SjFxyWnJxush;NVhX{Bs?H>+1(7Q>V?kYobrhjXP$3=LV_g(!MEMOK^ci zJ7UoHSb6`6{B;Jhan(6rGDq)nkwS9QvOjbzyv+bSnvc4gP~5k-@;>_7$jx<>U#NSV zgDsvIB76sZNVYAPUC`bu=Rs;tm1BIZ*`1WN3P87x(QaV=Pm$-syhW>fWwS%AwrITB z{gJ%ey>82#8>g#xZ-tL#w~Zy==QmbQxup48Bems9e-TMEml{Fv9-DfFuJ`b&yi3>k zr0(u@YVR-jC4=vO314Pp_@AI#Ol(YS|Nlb?BpotUDz}4Y~TL7pENoVvm33HEgOM z20u=}3qDSDpI+sc0U+vF+3rHcBoA;{Ya9oW{w)2t#E-(r*YHZvpD16wKV2X0iQDJ; z`MsH&1vsOLK}p7zI! zs(~Vrvlx_m{By5XPXr2KW<|uhYGyBKVu+j!y~4s$l>>W4UoMOptH8T_d!vcYiZV)R z_;*js$EEyO2u5O=9KDk;2&wL)1v|=m6Z+(~a~{MLOv{K95i}{x8%ZMV3OW;dAxA_z z0KB0LgAqY46yV)Al;Y6}gs_TN=2?d-42~}#m~k{5E_kYOmS-jrMu~SiG@exIS+I8= ztYr+M@_~6hHy8>#sT6&6|2rWz#N&8;S5E~DQOT`F-`4{;AHo?ILQIPfp1bry8v`9xu;S)5*Mssn(Og2Ob{f72D${Uf$qERUoJ zXD({S089bm(2@hpFC8p&9iosLve>2!Q;Cfk`kV_xY;S_Wtwm^-9jI>#| z?L8aP)QHsR)yA}XS;36Ion}A zF4ztrQ$w`Ne-@rvOmKv3lIU>!hvn;71>B}CXjzy1rvG=g$Ayd(cOuhKh%xQGRrvUM zw)u2<4Iz~Y$Y7AzpH2z6phhy>rNRK*@@x9*cnBDW$RaXe^&r8rM$5gxv{I2PV4K8P#x83>Mv(KK)ALH3&D z@sJ4`LG6Mv!A02YWNxf`8!^$QM|P3OO_JRPgdB%>%QJ>C(Kg^xX9+J$tO0)<8V!y^ z1Iq!%3HdK5b+=LmU6#URZj9ZBbGa({@jjFB%Ddwv*b@O5GSSrM`2B%#%c}<=?AW2m ziyoCGD5I1G*3>%tN_%~D^|BqteM2t8HA=7jB~CRq=XVcPh#`iF-9SDfMGVJyWe^vl z%FA?I(Vd($T1Xnntbl-~&k$BLKgsiDakR^d&^C}Y#(I9up$WicB$}iQ)p|Ymm=z0; zE@L+`3^|=hlhi(&L+ZEFa=%7uC3)y!r{$$k-u^#hYLq_yqW8M++F5bC^ND3 z8Gkn%1RXD}Q<6oFEg#Fif&*1VUeZrkLYj*afSH&E8H5l&K0O*h3zr$Eo(w0B!_afsOY!dxHTR6$*n6PPDvDU5d zMQxluc~6FLoiMSap9>~X(VCV+oYL4D#dE6Q;mXLQaplJqm6)~fPNwZZEexRqBlR&0 zQA&P#&D+%$hKkMK7;sMmavStjmUa9pE(gJk_11I&RUIOjtbpTsgUIVyIhnZ>yepYp zwOMkybt+JiyzL`+G|&o^bD%yf*Qm&fRz8?H{TJWC;Iv=wYZuoa^rkjr_=vXxAl$4c zTyPVNfZwi7(aM)>y7j`$vt2sLV-8XUh=^Tc%lxi#}; z6T{ke;jHX5t_Abc-}hCO_>aUIU319llBr^iw%|joKQoi&M zHHC@o8u8n14H7rr-UVJ=EoePB3w=hy?+Br+mB5EHju!Ez`6mh>s zEd(I^h`|aqsyZjW&X%ZWp?l*G;Xrkth}=9*?X_pm-ri@0ZeFjjP`!pNnXZZ*j?YYN zv1skr;FSysXX_`k3r>fjQ|wte#C?$k*$7O8i>MZeJevA5jIr9BBYcLB$0#i|8MUyo zhB&KcgZP*2fWcsIi9-ykpjg^uPOVB@T7S3NJ`V8v zRHFTdKd`?SA^H|X=Cl)M+P?47CILA)XA7@Ck1>4Wv&?^vYOCRKE6Y5L&OV6ccziS6 zJClEPr~fhnel8=lFC);*rb1f`#ez*2&YrKp%2|Ygz@wAFgjU}J> zd+Lhb*_9Rz&9@By(ZcMygIY7|qVU(U1ODx}_lo-9m!7=ZfZJ?6cfJTO)VcqHSY&T7 z{=jU|y1B!Jc1pgp0?)&qxH#>+xp6Jx{PfxANlOe`t6@4`E=Z?0XQ6P@pZUAegrx^w zShc5RvsJEqjXq`GHnP066#Qoz6xwwUMMXMI5VaC?eJi8HXYl<99G zwB5)|P~nV&vR$|9W@?oCNi%Fh4N`w4*wE|@FR~YTGt&~gHm|aWa+W|D$q(gS@-iJLc9Qf={li-T9hosmH8E`}CekgnQ?1utx=_wJRft@nCX z!Pj?goJ7i786Av4q%;HDR=ctH2e;_E7LvF{>ArSM;g3(yd1YyE$?{5cV;37H`&@+# z?i>Z**YU*>wEe)?MciDN?GI*IvKPSFA%wqjz*0G9Ny%l-pZ-kS1CY;TSQL0r4{w%g z;%UYO0@$7krv*=__)@%f?;?^9-XUY(weWwyrxX0(Z$vS4GN}@+35U6}3}XY|U`41y z>jy)L4yK4S`+&~s^jO8rqmC{c$-K*Mo7Rnpp6gv%m+zv0ddQ)k%~3&1T4`u+6>oC? zg}J0QX~JAOakkRUGDPG=H2ksVXff*i8I)LHSh}dv6r^ixFiRIqNZc9-LB3eY0q-OV zPI*uo)`6JP=)Xn^q>N}dkqNgwbNb4BqhIS#+Zpp%V{y~Wj;Of$Tz4IJ#;+9@BCjH6 zp(B{T`lXlkXNc%1v=gu_n@U~+^>RzHcsw~r^5{E|>V+(2H-`6R`<9QX^L-2Z1=^_{ zRR1r_Q^x;^OvlRkAHh*KYP?a{j0iVR)GE6|JPSS+Bm<yzaQ~7&q;I56)hrbPG;UmADf@>G%C}=4<7N8C3cqrkMcnV`rqwVfc

    KXU%pd0+pR=%cff z{`wu(l&>n%$`gVOS~`mWDDioM!cHT&$5>po?tsAI8Cr{PKKlJt@d&nSkhhjQ#8GpU z_H0$TI9*W6=WyuQUn$8FC`app$%%O?H81~Iq<;1z)i8Y?z?p&z3C<@rF%>QRmMRug zC2oRC&C0MA>EM2KG^QHn=tDs*W>dCz%H!{HQ*zab!(^*4QALMP^>4ixTwT@M8Bp z^-f=0s-(EVCab3&F{C>QXtfwj-dUXg&?TQMMrQP;_1Xu z?q#>vm)sZMkA=LA+`Y6XR@k$IT&#H7G_2b1w`5lFF$=pbW0P)F5-T)uH`v^lL%%~q z<#^cR2*!?;_Z(?7uzefmwlYN?kwHcuaUWRuJi1Xk!{X;|vDcYBNQvHC>DHq7+xRJo zs&u-yY(`v>BkaRbQJL1e6d4PhRe6fhLq^lp-X14 zKMs$k==yG51Vo5mg}&N{_U?fYa3v9HK#m_#>q;i!yf?B0`27o4NslIxi9S-udqAv5 zCpO{vJ^29t9DV(nl06SLbc1(+sN*O(^O;CKSn*VaTJ6d%FL!zEO?HZMW%4ns&DHie zX}gi?_Gm|cltzN>b0tw!BQ}63-;S(LpF6;z+>>^1cI8>Hx)MeB6n2H15Q-B7g0G@uiGrM2qx$L)hu zE|h<@pfoWiYGg_-#}z!T z!Q_(l;Q0=1BuPy^}|Z5^_tq!?3SN8TM` z4In{p{Dz{fT@JrPYtSuSlGES{Z!ck?qXt1r8Kar0D3DfOJ#GZn$}*SAWi-wx^a^E8 zq18G=TwgyU^z_ryXH0*X|8gQj*l6r-7^5xVQaRP5!+bm}b(=>%vqC>3!+k8K!I0ci zE+)$X^g}U`T$m}i`7NskE&VOlfj(LRJ_+>bi5f>=%;+$bU5dCSfD!)jLziFwn)IBJEQ@X{Y_{c;tl(+f$w+e`-iU@1csgS_Ar#E}cU zh4+E0w#+gO(@6jDm?Ak_bengY&R@kG8%K0fMIUapQ9WY%7zdp*#7X>r%Co|Ky@%mbWe?R*C)xU9AzK^TC0Xh#ca;zK|61+ z>ym5-nsm)L<=NDUq2GEQc>D-N?r6b-lN^E@PdJs$;pL2D^;@OGl!;ce-*1NdnIVzq z?0%0Y@pET4+R|*+9617Pz^73szWI>@?Uv)2`JDy>Pm|dA^2@p-n{|6IsN43h`aVho zZLF@zxAsWD%kZwPJA7>Cj(NE3*&gIsq|k#HB;re&WeUDlPm(zy*oi{@n8ClOJ-8an zt?QUqznHmS?dTS7Klgi1A*Y0$wtbTGG{YI9DxaAf@>hAe?%HCK%bi(KRW);RZEeBK zg2&LxvN1O69k}I+$(VdBlp#`zr$kcmR0PV$b@h@GGGi)|dAaNjOVTc397Z!8gCivC z&>b`a#?J9wNzlkJ1b)yh4W`^{@?x-ihzx20d z!G8-qCHLW|8S1o`6p4eH*H7vW&jGxJQnh@4f1yM|o!DFT+DUQzE?`1lGU~W_`>xDN z4ZZR9kPZx;r_(b8uIa%4db)=s{TB2-Pw)f}QYLeHrnnaj8p=PJ^Xz8z=BC!gv1j5& z@GZbq>UwkteQU@e+Wbqp!u`xTFG2TsIzrE41SWH)aVRXIq) z(!4xwc}WR34MIAK;AW4k^+R=s%CUMVoo=uqW!O&wQ`u?pA`&_5+%L2gZF5qXOa{`a+ zLDgJcZKR=Tp3)k?&xRAn5?7%bdJqocSA45_11sX1zk>U6!|BB5#0&MiyZwjRTwvfw zKChopaOybqoDiM=ne9b}r?9Xv`>Au+8vBWhL87+sW61c zDCHPLN!(LvJV#_;|7Pl}F|V1WAyW4g!@&{sc8jVym}K(WI6q(SLn|Psvq&9Dd|a-! zC}oY?yx=gH)wkVq{dBZYRo#IW9_tKhqJe`e(9)!ob0o{w63|5?=^X#mkX%OT* zpnu|)uYGl3RXnEY0g<<;tR3jm9w(vqtm^mor}R*E(0-D~5pnYA%(|IjVv8APPLmkL z)3W%)Bw+Lw1cTG;vPqMssdVK!qp@*X<4-ZMjrWi_DQX{XiaCK^zEoF3mTWSO=iME1 zfXasgb>-;bEWy%xCxK{f8mW2nGTkYFllql@$fqP-yTdid*PHi%wctFu={GqYK#m5j z_1XxyaLUwY(%M^rqRwmcq18ZH=W)2vb?{N7(ilWWW(2~djkwMcnQPjEi+_$C3TGDw z9O0pFa~ja^ukuBMKF9C4vm2jV71$c*fu+p!9B5-YOFJ9nj>r}k`i^`3~K*ASv!IiiQm(YNte*2I#Bo}yy08DI!8M#m}kA!x^yLc8p+^<=F-tgiRgD0fw z9My3x)F0er+60>%hc||n4;3z1zpnQt_}K2$2NDQ<`9j~f6tR#b4Yv4Jzs6XHy%ett zsVN_J$Z;ut&`W9kSS+H`5698~%*$!!z7;eWg2TdAd6}R@pN>E0ckd1M$F(0oom!?_ zgj8#Y`3;?YfxZVZ-@$!#J2}kyccM$YU4)j-eHENaHa42U#sqYP6l$6|quyz!Oqu1h zb=J+)jUMLFrKfH3WaUG7&cw-FJgKe2j_)yLGCBd`vXZW>+PC3%g1Bx{A_WfvA zj9BJc)$8p4$TiUFSXbGHmRYbfTgTL!yNncaOFbnifQetg`yRi z`9l5*d?6_JEqh{FdwafPWmQ9W)xw4OwJTQSOUuh6`H_E;+)OYgW6DV5Ou|S^#ZnQE zx7ed}P!sbZ$>Z~(6i?Tv@-mYVRqK;EktCH9wNdB$OuNFn))XuLmacUej;=E=sd($4 zDW|_yEHGg?^^WQ%<7d|{GJvb5`9th*PRW zv!{yjm4n`HbaoN2EeO}W1ubE*b<9n%xP}Uu-DYIwPh5_WWkK!W5s^Q`3Ux=Q4@D` zB&aLLvKct(=!ko67MQFUBUKv_Vi@ICWh|V8K}=2Hx{;3!)3Cp`tNL^wb-}dwQ<36G zf0psLb9I}AzzHGW@4}vNcwBYyXCV565hzY?Zqrif`R%hyHC=02y4qV>di!^-`q{Qs8#XlcHa*gYcnHNd4q82a z$0VaJgkfn*^%+KyqEsc38sHfZ1bz5rQWBe`7i6IMAac|!e+!Bg<<_wh^{cHF7DMuBMAb_HHQHg%vuLxyS- z@Ai}`aFvAwf@oSA?2AU<=pPs`g~O%+rkT;IY7JAZODm(%N~TIOU<<^UbFvD3&l{rS zJzk0dhM8-rv&${j6&k20B*|%_f~;xwX}_O_{k{MwrWF)SQ((LdyNTj4QxlXn#gEZ4 zauS$-7}maIxhQ8ef60A}GIz3B#qxKt0drDdE}77++BCGtST?)ke5VF5@Oij~^856O z0dU0-5D0?$_!eC=>Bp`nLX=`oh7;Uz23u8a;IQx21O81{IzY2U>pHR<|C|K3_5L8W zn(6c1BEtrBk_wV!SO>g1KJtST3DudSlG8`LFJCP=a8^`z;#%EJmV14Gwsrl4jD9wa zwsSLSOE-tUMJ9V8X`k2LR^4KMI#QvjcANd?>S}wsO2xDD9+|HiAz{x>@))u!f>o!0 zJ#2M}rRYmmNDZk^tfOKV!Z1dD!M2HV#SgXVz~mH~Shug%J@59Xe|AIqheJ!c|fs zSZ{1-tVY}SfHg)nI{4~~=uHLK?Tbd`eZcGMU+vHr>=_Dly#2|JI|hqy%_2N}8N?OT zSyCSGmm@JcR-(=a*^xSLIM~|KMgq_&s~?plel}bMdtGjcXh_BkBH;!|UT-qW6U1=wY~Q zXVAMckFzL=q1KjmFJ3rbSw45xtWs%VVQH|vy_C)fOPw}bsjpI_;boTcM$qk#1>%l` zdgIRTW0)VXRN)~>t2oL~lG@UT;RXzsnB+06P=-;_wdASq{%CWDS-ZM#u|N=20iHT6 z5QHlbn#={W(0_ngdbg`h+O`GQ)w@bMJ4@i8B9_62X+k2yYB-%HwpZASO=PwZ1(oQO z{P~C$+|n|$;aa3(t_d{n@@{>}zZwY|?ak7Tclv?OqVpWx2s@gkSa<1MfuLC#-=qwZ zumEYnW$--;fuj%1$3G}L@jfPvf*z(8?lTt{=4n@zDfwzWKO?VYa1AQqzu%nC2? znr6;4c`>f9FmIm|IHz|CflCO4`?!DPLM}(!LbbF|^hb&sCF2>baMM1bTrtW&n`fA) zX)qaMU`pjcLP#D;E=O4$h!o>0{c{|Qb}DpW%;=inA}FLCtISkcp|_%8^f{E6B7rkE z07s`ncV2!Sy(2RN(`Wy^j(=aL2ZagvyoLZ8+D^IcdUFkOKmzb}VIj=u5TcLD_UQru zLP&526Ye}SC?K2KCMuQyGgl%goiKoWEt4Lj9Uub=$jgo=s){5!BU@fF%tnv3u& z67_1d3tSD@8XQPP-<8l^(r-xo+UV5V-~d{J&ZP4Ji4kjOw+D739{LaT3E;E6od~+f zixNyM-ooUVf(mzCT?H?5YGz(uh5t!?ELKucGUnySPa3d29m!a0Mlv$HlhU9}!k|;E zDNJ2+q&<*lARxxHbswQ3Eg$_ve=D-F>bY`(Nb)B}E!m+9H7gzan}z#>%uCd#I(+|o ztfKh``l&0DKby44{?nR4iv!CjGYSk)RBSnQmwsfwTtJT_^W*_Y=%4}u-WFB~*hzcL%^B zsqixBvoVR!~FRreD{& zyp~_XG^Viip%Y1lJbHh3*Oo(MB-Eq~E130lScIhKrsVd1YKXjUygeCa^jTLHDqd;G1;GGpI@ZG%#!R>bf2WPSOMZJmXhroe-F z%^IDa@R_eKn>Vd=U>Ex0x*T^21jb;>3`$QB+(p7PSUvoYg?xImaO__zHWdgX zt`BMJCln3h$j8*ledt5pDMC%>B@&hgT5ZoU8@P(PB5STlF|Cv;Eh-Wy1QWoiqxZp3 z4JHW0aU`Sk#;HRuN(M{grBxppD-M3WoqJiOv0vel7|tF9k-ln zR-lW)m$J=EMfNy6+E}MyBv#2DkNus@v|K3q0i{y!&ec-e+LMd7DdijIAJMG{S8KbL zOS2({6~yeH3#C;ReKk)Cpyc3Pm7#ghASgAuOA2Bj16}m%Nz&v8TBbg0iRegDA42cF z{8`i!-1y?GN&5I?JmUap^@F9@(ii#%!YWPiftM^Yeed#99cH!9yyAl&Imer+>DsAi zu`QHcX-jhj!I34m(N|w86(w&SNYFPR2b?3-5pc&#r%|2| zN}B{;?nJg#8H*2Qe>PRrV(|jJWctm4*U8qhY4gKx+m9Ny z_i16w7HKsk6z!HuLfBJxU{j|z7HFJ@-flm?yVV@@FCJ)=NcmZNI^d0vYmPplU{niK z=76o>$VKhPTdcZ$?Yf27?Za*JjepB@oZMsm#l^p6Nal-+_UshqwD1+{8DM2sv#)(_ z1LJ}HLIW>jMI(-P%%4>pnvdQlgd{H#G^}~I&Y*%BydRh>;3n1DrUP;&U;QG2+V`A; zH=QdZjs?nB2T(>Xv5Cq!oebbK>QK)Ab}e%dYJC=_mH zY(*Dz>m~&JBW;QCJUg-p&4v-B-f@I*j5x%|FbiwU!MHN}nAo7KGG}xGYGh`Lpx8?X zgxh{yJ}aaFZ>rimlqWYSI$JfHZm$>4d+^mN!@#9&>TnoW4#@DV=FLbUGk{NjVayYN zkJvf&9@bK+p-TJCWTWn2jYU~;i(kT~TD8YyKsShrl@ zhVvQdZ?3%y#jd06f2h{>gM&LSENX56AGawInU$@rm6#&_k-W2Aff4E$IlNs;qAzd) z5}UzEWqY#*b<$3rpPViC{AlK#;9lh@Q#C(^4{5;O}8Nt*fbPQy1RUHJkqQTGxMd{k7}IE}pu+v`Z-LDy>&@pX#V> z4o;c!boePwvcROJMp^wBI7aq(8ozNIoRV?su1QA6M>ms4+bxEjcJgR5FS%cm(F)MQb?~4z4U36NPM(Z2+xAA(3yZi8vzc) z*dC$92e&s^1u(7p3G{!!?4a2?1N{PgU!fXr#uYu9*sapRDvGgiq1|Eh>eVP zjVv|oX!`wUys_1~B9$C4awbsgFj5@4$d=5Z@D%*xE>v(V2elB9%-gkq`<^sbI?`4K;h0}hJEb-^ zm#8vojb=0brYO>2C@0E)ie5JLu13b1^2TuYgh9saX_8k+h8so<#K@bYM&4vwPz@Ns z6)aNH7md!p?~)h5;2CKiH0q@y?J!!1?vqHP3z7aL(%uifiBr&v-y?6BJbvm16h;(@ z9BTs^KTY!coC_40ZMq|T{yiWeLk9S{8aWuEKyU`V0G?qV>}#eL3B*78i7&YqI0YO< ziqq1~Y2Y;3Cj*hwY&O={)j4WB8oR9qh>SIMdyP?q3rwY;^xuk(6~Q9GsUOe2F3j@M z0kxW`rHtU*CR$admZBIRS?js1fDLmHz_|K5NRoUTMi-GMn~bF-21W=RK_|B=Iivbu zQr#Q@!^4Mv`JlVn?=#|C%r5(b!|6~EsD(oe2EgM?^i3x~1RVx@K?ofMAq$L)_LK`FWw!r!WmV~Od;N9OB~b9Nrw<@M5ln)3oPh8FY^ox zq4$6#sio;<`QYz!mM zdIHs>80}<9R+i#ym~eE;b69d=X4IYO2kTQ93b7ZogO1_G5J`s2ctOm<5CvP&_n(0? zvckl-AEJ9`4SG=yS|PzO-v=R;xCp7?55PG=`kdJaNv|j*)ic2N zev0xVat2$s8$jRlHgXTA>9hTY;u(I6E1 z>*6MT&~Ip7W_Me>*iEmS1pSOtU>@;xOd%5-XK2l7-*6M%;dzK~>I^V*ElzvMB<{2) z3xbXI+S+OIf`Vzvva)Hq#>Q#%!J0f-JWc%QnA*f~wIp-KO2U7aIHe9o}6LrLOuD2W2`ytURm$_lP& z=VsFev#t{TnJfuf%Ve7YP9&~2bnCA;e>Ldaiti?`T{bCP`N0)xvfcDxDMOnQ3{a7< z9^Y(8+)8`{GoTI?fO|i3KrXniFA8tm4u!{eCf2|CTP2llG-YymFACG$zzfNvL$Br^ zuwMUF-936eedw<|Ghs<_=F2&a%*30BEXd5Pm_*xXSxHGn)im=orLqE+mbxljk4J+h z5up=6wvSby$o~HWtG%^A3$=z;HiQnct9C3AOg?^?2UP2?D`R2JE&3bB7{-)i8@GE~ z`{`Hf-_vl9lVpc#c&VbJUFmOCc62DY`_%D46a|Os!Smo8Looj!4)`p43WzDYI@E~a z2wXpKEU>jNzChZ&qy#&MJ{c}$?M^#7&f7xx#&O65IT5B`-sGdEnK&GZ5?hQ$v9q>T z>~=WBlL^A*5+`ZXXNqS&lv@4-btoOv$VTkZXkuBdd|2*j+vh!S0Bk*UXn5HAcZ;cimlVjGj<1iL;-qFS3wb?67mKy) z;B;}mwVS52o@#}yp(%5~oR)bVo-PZY@4~9Gr_guMEL6)1rxXILurl{R2)r2rct{*7 z4|RlAhPWZxQQD<>1>VM%SuGD*FuVo)?-o$i;%ez=S=oX&c7P7%C;ViV_meJgqw9+< zSl$)xTG@r&z(5t|!d7CK90M>51Ai5QOTr(8uvAzfv+x{9fj7~TrL~frN~d5cevdS@P5Y;eeW&} zh+M-L>lH$=M*&teIP8syEqMwUc3O=MiH&MK)@N~5H^!s709I`E3z%+sd=q{7ZX8yD z;jx1g-vnA0fqx+*WT2J)5NV)4bToT>%YDQ7AzUUb^sDHvG|=B6!%_N!J4X7ni5{2D zX(t#0LAd3uIm*LFk2((49ZL3~R-r3A6>YD~oF_R?F>z#`cxGdxSV>MNxm>Y)QbvZ@ z8V=WpYkmrgn^c4uU0V@bZdWD;y2eJ0MUu% zD!@M!5u)vOr^N%7r(gE2(%De6&uq=(=i~{&?>uIw{X>|>M^SU;Rd0X+bvv zXwZpIa``~i>}Tdk=wJZZVEVi?2xowIKkEU$+uIr3YB~@fK2jjQ2QE%ZSI)?#?8VF+ zsKSf3dFjh0(ds1q-xk?_eh(c*=k)}A<4LFgLD1n1)Hb&{JT(FQL_PW7B<`cv(TlF} z4ia=`MX%y4X41PU5+R=s1js@T19&8z(I}ahiQ}Z%;h0KJeH?G~I9o=aUztcLe^iZD zJYJkW2^&nxGqF{fvCizov4SzmUba(v6F5kCLBGIcxz{{k%95(UUMlFZ?WH~D@2Y~K zs^6!Pmru>uaBdFzOzQzXA#_$94P!kdxl|2(R47CxRzQWeog~|-#4UJO8x2#5mo*~T z244YlPON?_Km86ktt@p#I%)G|`u97l>EJY6bM1EE)3az7x*;w6GmJ7|t<}vn+)mvR zHm?tm?bYaQYIZtAV)`}iLI&_LZOcsPKJOs+8XIXbZ0+@SS*@Od6E+6|f_x2M#=uns zb+cv(Y(|PwOQvNB)MGHVM+fFu0eBXL&&4MV5=UFmZmmx%wp&f{`og2Yzz z4zV%O1$QKh00}OoByj)s7qV5CXwyY3UTwr@vUo7L(%PCYI+EA{yI31wLRWd~2{oNX zb#WFmRl|kN%{fgA7UX0~B<1BfGdy*5+8pf!9m;VhmC2Ek!k#H|-c&3#$rS}KeYW8{u!vlq zkK_Dy0#E47>mrm$YhYo=j{77dup5H(Ds_C1L!09{8k9qmL$zdu??dl^z$^ z1K{#Pxibjq0=fP`;38G3^ z^*?hYOx&$J1C>%rss@sWRFXzZI&24SWO6L2nMennVxE|noD&4HTp2@k56U06dnt!G z-eW4+TY%Rk^B_$VC0UU^raNo$=4*?9 z7D#a@gj;sp-=-*72EMXn_X%p-)B@Y47CKv?@dI*sm>NH0SY z?6IIz+F(-!0*>KfbOr&>FxvV@kdg{Q*U6A6ahURlG*WeCBvcKzG|x*D@eeb=7&Z>; zr{l0S+`XJaIuFp%(Qb)7os#B)tSmxVQC&t7$!W2az$Kd(K1QE0RbogE6JV2bM!uqC zS-lLR93CWw6G7Z%x}90Lz-*FQ@B|@mi>bK7nppIQ9zdKN^s1JBX*krT#QyAd6=`%? z@jNxQ#q;NDo~xKCe!Rb2nO0>MlAzrVg65e9@eLogK<## zF`6>=RDrIl4;ScP1oOc)^nOsM_k&u&JQ6f`oEAU&75D`+4<9!Mwdw=`wgL_c`YCM? z?2TFapS`+R-*X72%_HC6Mse>rHbmWn!xXlWv8*Na9x9f&&8)+UBupIaJqHP9Y0#lx z%yuv9jei=gRL#MJ*Si%Q)<@moohKM}OeUj=GOJNtHhnrStum#h;VzpE7a5ID;7>d{ z#GPUdwQ!7l!?%Chn@Q;t|utr*lAE2{Qh%tsswG{Q?itO4CO(P~qu(GFs5bLOo%y4KXc zlfF-f1~quxdZ^#D@rV6~;dw#O55B6SS0!db{GeZun6aE72%$#RFE99l&*Nqd!Os%S z=$@8{Ci>xEyy^^KW%QGILo+M=S;wcXfBooeLJtm_HwQ?RGFp zA_4mPdLR&q)Ij~vZe>3>-w3j7;Gq(kG3SHgcM#sWIrlxpg zp%v@()q{8Z4I~+;NA>kVKJ-IVJnr|wSNuK!#-}s4&)Jm2pH$VU2XoP@U@rHfIZLsJ zG7YNf3m7Bg`Mbas`ZE~_bTu#8E1ZaC!UPeA@lw z2n0{t68o3{j?eeh*}SmLhmN5y0G&jmzk+P`ag=$@NorJGpkq2WM?~+}8m0y{&+=~t zD>K}=R6Et!i0jG=3UD5WlaXP^?Gx&G6EO4aof_YdSF+{FoRMsr)m|J2Q_CHvBb%Fo zgipLb#6*5+N$Mgc%UWP`;&+VVmj3HsVqHBascZ9f@KvTq_QRk2R^0|e2z+B!pp1Z- zDQMvlrYdUlppFIw>?8{1 zs|FEdrY;owuOHneJNM?Po@Q;WZvD1jDhIzfaH)iAoBc#&anQ%GjWH$rcpur{OsZq| zf|SLBJ_W^*3aj^9A4Tr6k%lOGWsREtFXK2~ugZ`XwuAkA)8=qyVH!@UEU#=g*ZV^G zl-vaPi9ffhRIT8844f%h;gm*gzV`XEiAmC+$rBAmBkf8~Y6j*4&qo+I)vQWYCu0+z zXzPe{1Ok>xd^v3pEvCBJb#v!xE!uz5pt*I~Nc_q696b zv-d#XRxT-1zqmZU!P;Q)VfEgF>ED-O_L{xu8`5h_w0fL%UU}je^Aj*wYxcXG z@MtZ;08yAna-B`+67MvfyX#rx+iV8=7HGw0S)((tV!nc2=jqnz56J>Ny0RmaZS3If z*xK?Qsl#%Wj6m)vl}zfar@oKpjKgD@-j4sNsY)CE&Ub|@v9@{wS2hKOxy89;&S3L5 z4MwB?uVq%j;FNAU8RL< zdwyxz*L$O3$0~m-P}~Fu81U?xd{V8$o|}z}O7hS$`YQ_-4h5Tg;zzCFNG(?X5&A)j z4vId*+Wf&lApQ+Fg1L!29Ll}%^C%XHX5>QLti7ts|5C2UVM)Prigi&PSoaAqD2vqB z|B;Wa*|*-lZGGa9>C7S5QFaDEi*ECd5P2LX+YGQsd^$>fDr~9>dcC4TzKq@yk)^3= zs%YwCsD~>b(x^$Dc17x}|D;+?d5WVr=P|?ntPf_>7wyGM7i=N7@5+jeSyH>RmF*Pr;#8xb_Zm(Z=q z<*N}oDLewcW~|1~b29V>qIogK%@MH{V@dDv`o;U=2SeVV7h8 z7ZblGNxwO9%O9qlvJaM86V32IJVS?Wpf_B=L$9G9UE!T1Xj{uMa++9_vcX=^IcF|r zm{W;`=FBnY^O>VrniIu+9*`2GG?nCAWSHeqNm?MJIk+jLrKN ze65knwhls}%rdkmBi(&r_rd&lZZ3pF*ldf>Qy1U5wnRy4F~v6@dCmiZwbfBNr|u_k z4n^DP6`a*f96GN}9ImRGHB0?QZs@>6R`%d_%`~p$U$@L3F z1@dVi8*qF%nVG`=p%48!z3=^lYilFB>Fw&#(y2DF#0jm_je|tUjtV01XROxwu>x4zhS~5w3duA(*{wJ$RJ6B z9^EzgNYZHs1v&}Z13Kva>ZI??c0$I{(B3sn`vf`?eR@j7ub)!k&o3*hB{D$9lqt0~ z+L`&M)=qsW5`E~_jCOSNW2AUkDm%u;1`Ts$>|i1&XE}AtH0x2SncL^{lH{b0Qmed)An^d_s*v~FLvIuf&xeiQO9fRN7v zlY}~SM!>!C0)e8;3*1F!c2b2y)R@jARj4hDkOJ@sT%@1epm&6qn zWuI-^0pKzKAMjS7|9gEUltX^2aJ|l`la^2!Ma??Cu$7MKBF)v!e0^D&Iy9*NEH%xre++Gf?vmeXn9 zR7qA=v7ln6eJ1>)4%lV^+w7*<-LnT~AUyx>>WQ3ItPU&(h5##F;^D z5N-$#{Q<9lR2;Yh~`!`3j}j0Y>jd;ZQ7PeQ7gR3OhP2&O!%zUM&^_wEFQvQ-U4YIoNj)5 z`*THQWzYTVGtaDF|I~9&Ju-tcHj?!SUv*OB$&eC`VHBR|yNgfMhmf%P5Xnj;GoO?U z*T%$*<*BO6NLx&vJz;2R{4kt;WTtSWs+$6&CxgGP!-ukULc&iBStJm5T6eV*1Uior zWhI2u3;Jw6w+jtL+CtW-H3UvJtX<{(Py8EH8vrL3iBXM z!7DcO`dqboF19Q}+2W*-$?5HM%nlYYY(AvLn^+iyq{8w0s(j#CrIc?>6VdTViN>I_ z+tOXwUG^#+{vQ2h5)j70#=66Ow&RI=KXL>W5mXS9DTEHS0B?h7Xk)k5+6Gpjbsa`y z2Uwl>Xes4vg`AL4061*%TnMr){^QLmZR8$k^O>~~wC}dpqK+MnXi3^$_o1`A)kHlf zk290bHCs5(FeqKv>Tx()pE4908XH^Xvm&#qt6O90$&*{TLSgITdCQjvS_2cZrH2Y= zk6;v&VTYVG!fd~tHrRCVQEgxnB7 z=>N;uyTCPdrF+9!D?2-3Cxmbf_YgveF~k@`2qEM`5<&?Q2cG}wM#X64T>+9$1aXgOGuWwJ=>2*4t9*fEH+iNG> z)SmCHzrEQ62<2I8J@@DTH#F1XO!lyEFSp38|IwoSoY)`+cIregWP(gJ)cFBI9}HDz z5rRw#TxyePgXntL>x^vZ3U6EaHc|Ui(5_c8jo{nd=Kj4(L-(e;L&ab>6x6C;Q#J_T zceruJulcE_mHIErxtSuSG71Q_QRvoPMv}^4pbAW)of|Z4#ee-5uzdF&d{wO~__jqWF)p=Qj*YFAcJ*lxO9U4juXDn7Ohv8Gc5W~lPCy*M33_r&6~B`2AhKnzR@o9J8mnf zXJxZWSPNMe22-?^HH5p(;A*9#^hrt1V>YvSH61mqE_XOqw>fUuvKDk=R&CK+z z_C2t4^IOekFuc<57dsgjY-#%JTwaACu?Y9o8@RJVGc$bw^LVSdZA<_uy$7swy7_#M9qn^V zzy;i80Rs`EZP$(AXZ;*(*@yfcP#hKJzYjAl}A8u$5OBNqwC$B8F>`>uc4

    8e!pdW`u}<-ZB;@5)DCDg)8;9;?;EHoA~?Bx2tj?J&B*t1c^(o^7tz z3n0*c`6bBs!ymx2)%DTg0hKznQbQF#pUh*Qq?(iAzC)nXthQw+xda_#B*X5`lq?>3 z&Us*6alCJN19J{TbVL#F{C2Zev7Ti8*0#-+N4qw~T6<+KX)@MUr`VSHnw!^mY-VY} zVy#Wvs@=YZgX9J6E zKua2{ZJRgSs*R+UENf)7fyHf`+D^2cYa`m^DP@{6I9#@?>|e^>EW2FxRoT5VZW*S; z(&1~4W7Ny^u=S=g_1@WJ*Yt&(6|se$U;pFAv3l-zdE#UIaVH6Ppe4x8nVq2r(@+}o zHemvy}b_o zeT7mYcWyeBBnlbr^4G_U+-5oDG=mT99zNgYM@qMm2Y-)SCrn;#XzIh)HEKJ6*l$YP z^Oybm>z{ufvC=dhCBKKC?*&1H{0aI?5gTDRV9IOh)hS_)Mu9!EHg%42)h0pCNSz{W z1n-v!dV@PgdRdLEB}|vwa#k;E3?u)vY*8r`TOM1wOk2CmXxtJG7Wn;JnsRcs2)SIZ zcT3l*jT^W8sQ;N~oLig^>Vf;}xN|y;7zXY*u<-oAGv0EV`Cw&nCUBbhI46siPz}1o zm004+dFs7XK4;;j39v={dIaPYQ63lgyScl(GpqvFJoOaS;JW)ENs`1VXCnm-y0^)U z(Bef|34m1#vyBF~6X_ptYeE%++kxY~MO@*8sYfgD(Sz*9##jW)MaOVxQ=o%NL5BTu7T4ph5E zD(4y0{3N08Hxn+8-!}0ptH)#g6+&*8#|bHP=ckJ1*&j3F_z`xM>*JFoeCfIU5wrNR)f&!<3B6cyT zQ8YvB7Qw-Y#?lzu2HrsibQE1?_>xhZ>RZE+qozYpzISr1Td{Wq7;>zZ$a91;5f9tb zHmEbM2hXDB-8FJEQ-uFu11Q1=Ys1pTsu0?X+a7rr_xNuQ915E>?U&Hhq?WCAZ{F#A zJ{0`GldXKdi9SbRG{HSU`>q(zt~SwIyqnc)t6ZMEo+l;N18KnLleUG!(#}<@q|S~G zslK+hrbar@`*iR6^-^_aMW#q3l^2v1WM_{_$9|}0mHOYz7R4y?ELhSN@;1)(_j88|y-#Z=GRPRj$klCoX9s0mCKqxh@#g0ZjS` zoJOz5gnoO+>hhTGfW1}zKxOp2(dVjF_zcjdk5JH5bBs(|tSu?>z*v}R&!32e;l%e7 zS4Q`juJl)(pcQei$>TBgK0D<0^wFR7ytIfTQ$Dvtdwl25ciYrj$H2amm|m0icl)ZB zK2p`kXFj1$#=CkhV_2G)K9DaZwK^U_xUYU=^ab?rk|FxXKLXa7GidO0a2S^-J~sv0 z)F=zLZ64fT*S8~jLK_Ne*z3@@NXlZf4&IY+y$qiru9xAirtmT@G%l7|Ecz7v47~@# zq&JHj6i-se2@*KsI8HCKgajy&PZxw4#@u<%Et$ZeHB2D=-Br0pBzIT!@813;&TTY|TGPAl3&O_(x>nuq^62?x3a`GvIE{Vf4!YVR}fP`cN zDU*WS4B3XF=RQs28SY-H|NZCQZ{j`JL-+Ez!z4lLDkZC#Wwprz^75p-$g=XhWy|u) z*$s6@<>Go zaQqUO=?=zhEAyI+@V{x+iaCJ4>YChH18tyf&{Vn>ouAB0fFqybtuT}6{^6hsU7lBiGNECSx{4t zvRjk_7+XP6T^ufvWH3B0B~GX-a(R%=6-n|t;2xiWVv3&eTJI408`nh3TESYxYGQR_ zyU<~JtZtEMVUg8jQjkSO3T<7TTj9RHUNCDHaDHzVkO1#v1DSZjn-;`not}_8h>yhG z-$^tb5~L@v&gL~eU>J1h(Wok4$q|h2-3A!-b%ofRoWDECkzvt}N|F^mwWqyx6TRZt$Ui z%=glUPNym|*ga4tDa(-YRLYzpO|YVN`{rxts1z!+hevb=*smx;U~s!x<&rP?ax)?M zI^>jXMAy-aRaUDuT}Ec8y5#O-R~3@zK=`z^rp;wk%XPOV0o3OdbwRQI&{b)A;YOHR zbl}oPpU~Fz(nk#`#g7x|jw2UWA2-i6I?Ob%C& zyWDG9JpFO(gMoXHJH+{rekx5w)gD}V(76?g>gv+E`ub9v(^<-wNJ`aKD_KhZKpPmN zvBZg!G6&})zQV-x>M{uKKsP6B*3E|{z@$NV)OG+I{*+b+pK8IVHm7Uo*b7_4n+&;s zejluB&LC0y9(jY}U=R9Rt*t8iKs~r@qn6mgl{!CVX>hw6qOY30o{O+C_HXfPXV-Rv zv?se-y8EZTWtkZYMb1*q`uy4wlzNDdc^0maq{wP zfyEw=jfK0j9y%s6Pv5iW9}F^aE)wU1J$*Px?teE?IB$X-^(&R56Cl)O->6eu`wG0= zEQIpWGeU#BW^p4LEkYwuPkw3l6rrMQom6FLg#PryM0T%Q-U}*$) z^aikVzAzQ|KEB5yx4q!aGoqX5va`sbCdEaPyzaE_U3+;jql@T4Q+MizbXLeI%rSU5 zh*s;0sW+ruZ3?+nzIv5{6IR7WVxw>fz8E_ReX;J@=eT}fcTdDUM9a98)-6ujN7dQH zEsrfyx|h|}1)JP%f?rfb*i5EoqWS(d(%C9b`iyRe(;A1Q1v}%2Bw9;psdAZpi{}F6 zOjtgrS9ylIRyv=e7QeR6V%)dB-y|h?$Aa5Hnp@KsUh_BtLr-MfKGAD4yXy}P8@3N< z6{>P@8KkBjU(yv<7?&46*CFNYDuRjtzaWelQS~w+HpGR=skC z<{#iGoY#!LW($dSPUU1<3vz=spce9IM^C}_0~7Y|`~7-t5RCyzL}l{Cc6iMC z0HDyj9;+iWV1?qKj)(gUV|btz`rs(%PSTbUbXy{%Jso(XMVqECvkswmpCgilgh*^u zR26Q_G4Fnl@f_FbregVdTder{bAJGj} z6*&IPu#ERrY^T!;KJvTx{0@F>lIq~Yqp?Z&qN@otEwP(I;8%^5tgUWQQ#FxCxIyDz zoM}CZ&MOgmS%9>tR<{M~e7mB&_#6v2|;G zeOb-g{f!#r zE=w)c0pb3EDh0t4{l(Sno<#D9|OvdJ4ID!fr@HLMSTN z?3D}AX958otPu*?diI#QgL-f9_?*u zYE@dzQw5%vUZZk06#GExr_KyLx;1rGIoP_6*02Bu&=?@%TAg|mOu{w$;OTF@KxnX6?&!XvV|-CZJ0by{7~T@5vQKhNZaL6@2K@n|>O zhb`ad`rEHp-DE2}m6;$6@~x6#6g>w8;{l7pf!;@7DvS!hM!8m`IDAVOJ>~eZt7eO{ zJ^$M$eyyrXE_z;=i3TSu#Fk$(r5+5A=kr;OK_9)~0yv4A&VJ3OpJ)^J0ev^P(J`ON z5C9`+EyfuG>+G?Krd==keuw?*c661ypLDPmvMOlnh9k>o;$0OBTc6#&t?I{HhpV2- z*Qu)-%zk>ITZ#Pq*7d>Q)~&7W&E3t9J{o8Z{E&Y#pJF4v_VI8RN#-kswy9nd1K#dr$7W<3~BU!pvOC--Bqg(po8G$pgX*?M&99zo(kwxJWcOj zW7~@Ikxkld;LPnK^+#`UzDqYp??8C6r9ml2yU>)-X0&99dE&lI&B)*Ij0BMec~Wyu zF~|ez@2}~4WyTaMOPcXH@7G@4c#mtpGI>XJe)K=Qn+Mz6!Ezf8w zQo6$7rY5CjMcsVOKY zLa)Xzr*ri2x*pDT;=;MtAeH=Hk5RT8eTODm#2d`UPKojO6=mLsC^&P zTM^}+qJ1N*r}4Sf+pJ=z;}hnvs!US@1zd!WkB*cr5(T%qL?> z0rNQ%rln1D4#a8S71DSXh{+GdfvdP#S@VJG=`$JcEG?bJQfDRsOJ)H^DgjfO14lO7 zr1@80J>073_n3Ae1dKzOJapl-vQ~FEbbY5%A^jr=P!P3k*8mw2MyA@(kYm6o1^b(P z+Q=P`$ySG?DTV3gW7;e2E$VmH0galrj3HMN50XyPO@2nLHYm4|?4)neHLV8tKz8Bc z-=n`PiUD~`raFF9UIdiq(4@=iu){DforgA-%D~^{DWue*wR+GvNsey!%RB#y4$74V zb%9|^O5mgIyu8(v0a)$^bG#dEy@iB=0u*c~B(Du)WxOd`A=S5(@Se zR(uUyRo2%`H%ua5jZhuiesPJ_Y(f8HVgEZz7u9`m9YuiHtQ!ojNC{kbsL zR##EQ+RuV4b5*P9X%jTXGn*^Q%Qd1%p2@`L}E@7IDnS?sjaZ1BK{6cGVB ze6k0KPOlF)2Y5ZPze?dny~k1)!zh+MFOLma{z^;T_rEu|oxcU!Z?a#D*3DkAcF^kp z0~_E)wU-*^2dJI&n`jT2ob--HfloUr?gy>WM~vQlr*C;D-wUARQ_JN;9c4?N&+%hJUgD+zNp zDdNLJb@mcNS?onz^VR3XeueJ-G4`HB4>P`rU2!>F4(Ju}DP*)XxUAqp?2OgZXn`mH zo;_$RS-Kg#=XS}fHL=&gJ9ekMQiW>Z$y#TLzHBO3=TsUL&}`VY-TE{PWGz@-4*1O^ zfvli*!B+4Jdf|U*v>U)K`b9o)wVIp%it`57fq=e)v}HkI*{Ub#uW^a%%#ZyQ2v%?j z<_0GnV_o)*3|^`U-R2%A^{mHOW?R0~W?Zr)qe>eJWh^M-X?SdQMz%;IqE|J;{y=qi zwsnHRET1i%&-Zv{EMnz-?8=D;_DuXb%SBe1AR{x#Se#N5_ynd!Z`NBhA?QKZ+-6+> zsBovKv)16defj-&H&ln)4?uUbN*9a`4R3(wJtlQHcEJnoG}h>)+T*J)Lo!Y9=(1YN zD>9h~r3NjE8Ul_Y$32#A-P=q;4Cv_FuCPWMVrZ8y-2eew+StKUxW~T(gRb16PCkFB zFhxLQnhLU#lgFRvrdmEs<)NM1cs4i_^L@e%OA2!S z@aO2TX88&=+>Rb!u&PA`p2Lp+FBOr90xbt5Fum38_a1o_ysCO^xe}#L-hd%_7ZV~x zU!cG9#vO^`HYg9U*GFHVua8P$C)Iigi_t;fjwo9rnj=_@uLI&At%A@bMqwBprrOl8 zmr6Ri3)O9#^Wmz9U9Y5mB1A8u&p`%IegMccydQU>JKSN;Agh+8wq=+q%DKr&N#)i> z>3NG}vQmqoymS_|avI7uo;9DDPkx{#H%$~d56OB)wllks{E`ndL@v$#jGT({(&E(| z^iQ~PW)~)OKtucviqfqH)ZqG9q*Kgmq%y*T=0 z8*3eoXIHIou2~?HtqD{;R;AOeQL9vIY8z@A{QfnfvLu4YUz7h(Qj_~<@D1gL`?A*M z3Fzl?5Ail?@DP`F6cr`ZR3Zw9&w-L4(4H8`F1=r)-I zRy2704)lu=Fm6zxiJe2}xC)%{^^N(2s^{Az#C!3a_1(#8Pd0~4qtJUkhr0pDLS~qc z24yN>a055^1v&znzrXftSWkcN0l*ye;zM4_A9$aBJcT~PIo9ZZNt7TL{1mmLJ95rf z`nPxLGpf$gXPVElqf7MK#JMz;%*4}Dh9&C>){j{KggGBaJD!$4)iEG_G!U`rI~Gg( zIy!6$snqs}-)~cumfGsf=0LzET1c;0t}S=oiv4gy%)CDT`&O^gkRiT*?WPlW>G$(F z#aE6!CoNda;7rD60%qC*=7G73v&7}h7iUPy>>)aMvKXtO*<)?0(F7zs3r>)u!5zQ5 zG=fe7AHI6poPCwq1>rV6-)8{slg>@rG})0Morj{l+SqNY2==3XTWF_!2>elA!xg0Q zr27^wvRaNnY89VYlkIHC+lj6Z1RVZh5b>UEas0$DkP@d7tNcxIomu6n+!_y1oWDbU_NF>~Us^m1v4;sz$Hd92EE) zdKCO$zp-rIryZWUDiNn?OnL381TXVdAhXq(JO~Yn2#oxAcF(Bg`CVpR^m+4_iTWt| zkULBo=x8Qh>3f{@D$cdrw1yRH*Ak6pGg0sH5K5Pe*#7d%IzsmY_0gGn)O7YXk!Y94 zNiE{j&e#wV+-XX!nf{qLuk8%&?Q~OG_ylS~j*DCDWPxOfoY= zH#a>ze=7`_G;ik8aU|W54 z667paouk4G$2#F+G7b+>wcBT{+4I_76^j^kI3y( z={hAMg5-&J21E*xM48rdQJJH#2zeU0;g@`Vg*AF>L$(AeP4sG}3vEDvF8XwOA6sY0 zxO^FX`tG}tsev8qpoXB%sC zOG$bS!xPjhQW}Nry(KnY1Vm!zequ}`9R4_-O}UhlUytoqsK zZ9Ka|q*a8K;IC$P<&qVGD{lj})P%k`c^SPWvw>B&UoZ=k6{Up@$~<%)2po22E&3Mh z$R=Y^bX1z#2s*y8x}A=1PTXP>l(4SiS}ppd+UvB#cMQHd*nkd;#8rFGa%5jm5z-@u z>Vf|aBH#ic;uE~RC>mlC2*{6)qT6e-4P$^nw|6L&>wpEYfVUtsMWE8xsdCX-Ebs&U zlC^t=tf~%xd%S^@Cn1G$S%wpcM-!-qrwcUb0J;MlEG|or-s8T@^rcp?s#q3Qh_<=U z;QsDqOUW9AF+vOgy-J}FJKT|oxKgMQCMAh`2L{9i85w%LxN~GgC)Pcjg*Wwn=(0qI zKmxz4ge@zbZVzSr@3>~vWz7TAQ()Q$oXTVP+L^i7N>Iv<$B|hE$jzFj=ZkY?S0-{z zOnHYC9{;1Gwd}Z{K|r@HJ%!o%;~|EguRG zT2ZqGo!GA{AlXUn$$&E2k|Cs;l6gJh93pR_^^qq5dYihDt&$P-6|2Y0JMRkLg>S*+ z2n9)XkQ#ryFuACz!370o=hWwG<)xfzy^z-l-$tYVdhX3kG6TaPMx*W9Ek9|Gjb?%L zZve#Uewd6R;~&cvReh(=jmp8R7e4<_@PhR~VAH}fddt%9=0R_#BsEchP*@CXF8q;* z51v7qe@o6trQ<3PM8D-8q|fJKW-rkCDW^iIxeZD|Vspy4e@#LlcDo3{{VHQ75`c$B=cj1zE~c+R_MteTl~- z5b;=I2}_}<6VyFGSDctJON509y1VI|bJqL`1ZKbl@8isj>0ld|i01@G(;DX^a22;T zP&o1drvg4J)~5|g8(u`y#o#{Ht1^{N^C znYp!c(fllMdIiR$pCiTjl^Q0R*3W!or1${*33G%~L%?u#SeM12M9J)Lw5yY$klNS! zLYB&5b=%hpgyh|clUMBE3a-0+Pm2qjuy})&$j|RcW+N`uE`Ug*^?AX%wK{OFCd5x_ zwjpm#E9HmP;JyDFoYjlvq%cQB9omY*Z(UZ)vJCdLZ0S%ZJfO*lo?-v4PvP^+`}&k# z`p$2rJjOta+T+rNT4)hB^P`_oR6viWDrnQ#T8`90v>gn@a~DC{24Xp5?vYO1!_aP% zS1nv9N?rPKe%HB#C{ zb)VotLCA!P%wC_V(qak*&EQLg;`LE=6h**MLbZ3V)@j%6rFU-#PhN&R`e0b=p+DWL z@Y&-tEEi}w8|m}i%vu$f^b$hgTv686*4QYply{V`S~YXr9}ztALz31dkiZ_kXSol| z9x(~xM1mQYFqu`!NQ^DTX~pB|QT810X{j_#FU8d=5`p7*QbmaO?O{W}U5i>UP1-kD zWC4xe*>HhsHG-e8Au*6a(r+0!6Ao8|d^HTN^kxv&hN&K{MoCGXxj7kj zinftQnHB=IL+LyWZlZ4Vol!alFwHWcwxM3I>-+d@;TU>{dz4htGRKImW?GL7vWD@r z=oUgy^OR9xsBkZSO6?vR7!a&~>M6k^wBt9u0_}qKAJ!^!3doEX_N4rs@Z(Vm)Yu*2itJ2D;M8U^Bp|03@;cKDhV1KjkcRRY|g+0LUPRq=2Qg2*s$&(OD zhw;!0>-4csiw=xc2l#xq6Ac?JcCAdQ0pFryAc)Tr<}n;6)y&j=3+q|z9j@-K2vt>e zw>D^On^Q!>?zkvxtUt*#A6z6js;!cnl(6bew9VzWxQ|loY%RM3A7; zs#GVhMUOhoX7Dw;EqaK)KF2lYR&3^>1OqKBugAH#U(%1U58{aX;|9MOzJsawYHDk$ z9W}I#`QPY}Ax{)>_hSHesy9M4*pc4q*7)3xS}(X5RuQDWfa)VDaT+9jL)Z-c<+S_# z!bxH>WU@Prp>}+4r%$b<*5flv)b@s2k2-Guaj(yZw7yial&o^r%0&MMtuwpYxBYnd zDQ#J}ud_4R7Y--qBqhmY$*I}dv;1xkw4*Y^OU(3Ag7wTW4RJZAJ15bSk($PxnZU$z zPK>7@Pb`i+0SU;-Bn|OIb9}@Ach>1SWFoIttSC<8)EMA8#?goe8FJ>{vfpHZe77C^ z9cSLB^nsubk?^uQ+^SPl*;G^SK%I7n7AS}6hiVJNTNN^nSRyH9Y!jFaX~^{af>LCr zHV4}~HOGi_-{Nz@Z6lEl5dFr^-lMK|^{IF4>3AF`2Y4a<&?ZSxoQA(m<5`ya@?OzEB3iwCHGGWXo&;2?r1q#Li;WpRVK3j{kD>-T< z*k<1_;Qq10a^#xxLpri+yGL+`$ZVE|)yR5^^<&mfri;tD=-K*hTSn@Cw8d7ncyWC_ zzkSOVzL?8ZDEKK^S!MjPA6VY`(VV!1J(uite$&l#rDsH`B|yq$E{8st2RYf25GD1J zl$(=6_7FB%a-*guD}?|Yo>UTc=ApLg0|(7#26mroA#`o~p*Stx%fIIykK4n!#NZW% zSP@*|@rQZgii$@bO zA)JaAjhPlo%uo{?BEBp$gAw$#N6}f;3AjKMn+n+Y{D2LTC>(H5R9%og>I>r zf;&rs^llD?P^x4na2u&id6#4NaZR4b<42=tDi&S;lXilnzK3>{L!==&tkZDWyezPd zvNT+-X{0`ZNp9OOYkWzNZ}S{8c=(?{p4s^aJFTYC5KPLT^`xrDeDJD4YU*yu6UnHg z>Oz0x9wD<>`~(U{GpmiYoAm-~KemMORsNRZd`CmL z!SCPl{Ju5&Mn|^@i;K6|%;qgGZrZbFOS#p$g-ub}Te2SpzWpHIz%)W?Is;w79F9`< z!)9)0i)@DY!Wd>|<)=|G;{A2gV5)HkpGmvKJ6-`UdXy$`6AW_(b!YI?=fDkf(-Q~3 z%U1ZPd08sO6g88oMpmSk`oO>Xzr z1~LX@y4pH>7(=DhIcu#dS6!9O0Q=6KJYk^SoqF3z`Z%7_;-sPG^tOJj(=#^UQ|n_} zG;2Tfe$1((eOAol5%co-%eAqCJ%w`qVyh4mt?-4INfQa`;h6oVUo)!q(@vB}H=+&- zAdua>89lONo0hnV74Ie7xpfI2wvRQ<&AN7^ODX}shRG{c;1A_X=x-D(~ zkB7>o=J%n;k=DUn+*(FUvMbZ4q2oBEU>c+*fg3l2Ws*oy&L)3L!?Zi9SgXtndTCXb zqZzYlMZf=CK*AxDVh=(xa^rivp!OzY^F$6qPQf5;G@2JJYG%LVH)!rgRjc3@pHUx( z{d74vy%|cYvz4Q(qMJinMJrpCXBbzi;m~H#nkgj-U4i*eU&+x_^jNRFfId9cMSM1F zPZF^$@gWMhgvl*JTvzs^j~V>z6}S^^G0)IQdxZH5L>dvFpI?-%$WBiW<%b?V!LFN* zYUjf8=pNeHsgTY!HgV{NIS|6}bTEU|ky@GPWmBZm!u5mgpf&cN9=pW{Cy?1|GI_z< zvA_9ECO=H!ckrRd?e%zKr@<$ldY=Pj!;4eXd-jN_OW<*pDmHL% zlOV5s@h7Kv1UfNl&=8l=N3yX91M2x1eSJ({VYkEV3sWsT_;KRZn}_!euK<;%&7vfP z8YT8m;C7L8$QV2RM2}v3V)a_e4F3A&UTqws5aTOfB6V~`g|+xfRtL_^G)ddVtCF(A z;pXO~DxEHgll*A1SS(MHKS&*(I>U4i;&z%5A$P6^M(?mWc~sn*$Cfd{>70oyc4lJQ z^FHuS`kSy`m!^pmE*JX>Rqq8X91DSv->dA<7}b8X{i?ric=8%Z*ND-D@ek1TJPnXc z?S4s&Qi+u{&4m7OM{)x-p_s>jCc+SQ|Tmu4~fY zAtKB?);-peJjI5WOtK5oP;mLySU6yEHn7wC`Ze;`zz4_$&c+Zrw*5U~vu)Kb)6T@4 zIl9U1=bT_En3|-~mMbsJPf`kn`C7d`zdi?;7J#y{RF+GcpE`#^X>Kf==$%M?XxVAr zpg7jnSgcZ}M$F`{ajj6AmO!noOveV5_z(l5TZH>$>rf@Dg49UCZrf zzfx)poIhGUYuD4SeN$H+zR*G(Zl*3kLC|{M9Kx&#zcIB_7ifKpCeW`z1HM4&g$w8_ z{Dk*uGn(S==Zv!itP+-kCAKALR5rDmc0l>lu3p@0Pftr_hAPr%YxA)22t{h;Oxpq` zO{tjMw!oCfn_=&%2KEyrM0RvQ1eAs#d)VQn@WGGf;XCBCt7;Z$6l3}q59?kAIAxNb z(`?_qanUQsx*!WAfr>@-JpF;F`y(YeL|gT$K=iW7=`^v|MhERSo1NX{yX%r>U9B_< zxsc0$CY1vJ@EQzWly1q$BysNmH}31|(P!L~oNKInmWuwHTX5y#F^|EzG{s7J=zj|r z$xI6ql~p5FkmZTKb3;jbqL?^y@?gBk6bIn0WJDx}T!w3JZZ2rf49P5Z?yP_kEzdxF zYTv7&;jz|C)Q0m!pkE(Q_PyX+Y3Q5$SOac=YnP4GkQ9=d{@BO#FI=I6*%e_vP6Iqa z-`KnIBk059s(Y*v zaB~7I*t##NHjGA3qE2*Mi}ATbn&|IT5ubtG8y!(a!fLjoV(NVr4^5FA4s{Tm)CHQV z(3&-P;!qpsVA9cc{4l9t=~*sXssq@XZ|UgBDB-Daw_;9eQK~?Y(GUvNWz;2Camp7>Vofl1}N4A zDeS7o#xNxDPm8Ol=WPyyXAh6w&t#LMLSOml@!vxi?#26_zI}3nUlLr6L1T)X=LYBx z?e4LIt$Pomeb-AwN5IMLm!4eX4-lGm^we#%4}GQ~FVL!1AA_23Si?3)Cyd^Jj-98Q zx>mr8@^~b9036c=Xb*Z_7s2Hcr}ME-a0tCaMo9-9iOiXUmr5Kq1v}SXeNGcdlG~N?4Lv6-pY*8ZouS_u4r_Py!=XA#U zqwheXzyOv2$&SWVa5#CdOP^8G<)yKA4+4lp_G%4J|CywP`4ZW!zA1%Xev)Lt#wewnkczRT#yB!C!Yt! zA}`Q?5m4zoU!dFfSUDNboqG**0=3#rg`>AXL2_;%gy;hxK?l%>pa68@XKcfI&{gg@ zsYxI*;K=z2)+B4Q%}|z`l?9(W{NmxC{R}$23%$j~@R=X&{LwFe3Eh5&zp)Xn@9KgE zLA_wf638)|Vc+slXg}QlAnfQ5(96yLP(Fi18ea(A{gEGD23cYmWH8|pOqbD_#5Pkg z*QK)QD?Ra1Jcn*>8m)BBJK~?i;MB}7)+Lgp_fOc&D_y3>QSf?hogML*fYf0pQ#KiX zR&A!BR(+J8UA`b$;7Ct{n1%5w??`$u=v0xE*>;Xg1ytya*`f^?0d?id74JtMeY63T zvTeb zTJ}15UG&0JcXTfFe4wRZBO6mQ!j_ut`&{G53k@Ev&J(+ciQY0@CbN_M8IGsp_PCAy z+xE~g3;x?|^jV@Kmb%4uVQ!7MsABQrnZL58CaEatha#5Qzc!2cYxOfmB$4!!&W9CC zox^@UgXnTr{IdtWiy_sIcz&O;@S>gfRp?V>gR5w+4*y_suX>} zleoO{T)!|A#zHnnz29|w&^y3huhU15fBvdoi|U#-divNns|TU0{@8H+vCly_M&3g& z(&6vjo5yk#JVSLd9Z;n%Au4gV%>mQ{L3_;5a>dHK$4O zL0~E{C4k9?+EcU9pUYc~DHp8OD&MJ!qo!j!%*`BWmy750b+2lIGj*dz@q zT~=|9&Kk*g`SO=7)6x4$XV54tD-^}Wex3hebwu54-nx8tUy*YKSRVHU)1^3@HXpg} z{;f8Bz!I(Q^9s4N5#(loPX$?9S7oP89Pn9k-*Fn0feFLNp7FXDUivW*LU^IRqN4r+ z&N^?QZ|6-;z2`hgZ>TJ9LSHZ)@XzF-Hyj$!E~I_$HrRm=Wf4>4!6&OR=ZK>K^VXeW#Z23K99lZhC7f1T`W%i z7-G0>@R>gRTSmss_cFNel5$4I3u%8sY7=@~Nx4FCVHGV~ccJ?Mtp2$Yyk8H+J3v^| z-FTeT1Z*ANk%r3o`*C_V>PYZ4UwG(uMCRA0B1V|gId z>0nY*hTON_MQ;~?Rp7~sEmr>^T9fpX)}1?C;WQCj?NQ3)YuCLy*|3eIPc?gwNfSM? z>syQN&ZQM4-E0gv4-0DhCmVLfKAwcn%JSr~|GlQjb{(8T6?Y0n=;Wni?a6A#*XZlr z1kOVz8H&3WRZDWNtIa$|^pP*x8y3=^xj1v?Y%_ zzwz_{7#983tyB4rJC#XLc%f08H}p+d<+o3a*@nh}45-dGs}%vQ8y*#OJa+~tfk~}K zV^`5N^p`yLhS*mv$6kwm(C+IYC~Ykm#K`TkOkKqz(qQtw<&UN{5-31gX0-adp;QYn9cVF$^DM=O}{u9WOTjp|tlOzSW^;KZZU!aShxQ zg?oU(qN6B_7QNNX7YLx-tWQnN8UsD()2-cODyC27o{xKg^D!gedWw%-)AH=;8@uCpb zdj2Gxsj9|1-RUC>*$K4t2S+Amkg}Lo&0CaUd%HOI)gxhz@Qfr9lD#4xbe?!KgRG^E)=R?A^-@Wis75C(tZ=x(Uy5QU@>d3OHy#~S2K*`@Rfc@p$QvtC zB&KH5pGMi6+gCdSee9QlSxT7(w3H6i`OPF)X`CCRL_kjFL-)r@SQ<==v? z&~dPdmBW&-9IQ^(dRC)N7V7S5E41d7HG7?pINRFF(vy?Rs)~xN*0RM53=3qka9Q}j zK}0B@lb2;Da$D2Op<}cI9Tl_hWBPqKP!q$8bpHh4gBbVX_?uniJ+)hE84bBwY6M3# zUY=jJk7y%_W2XH_YzIIuxPopd!a)^K;H_;{2g6GA7PzVnHY=kdS#w|~9HqZ7qzQzT zLxf3xg~*9iRb^^nY)BFE`;E^$VH{x-(F;4zH2Ypz-4by{*g`B=N~MCkoI?j3M^F$L z=vRKP41^T#Pfxlt?L|N9QG|lZ9(qAyCwp?GgvQL{B{#ro`i0w;!ELsK3E%Q#?om>~ z60-^z4v0Eikv-p-voKO$zpy$GSg~SZrbx7~u)N%8T&ONBF7_<+Je+)e)~zm|t1S|q zb?IEEie7H~pE<=IFgX;>q*m?%@1-i^bdz|Cw2-uOqS67Hc#no#ek)4h< zFz6uY7!=f6V$a(GL5o7=^apKl9iHL|;u)aNpq9})esqJopHwq?M@@UIAv|qSiXDSx zT8m{Ft#g)X8eOi1%N9PMhNt)Td|gA%i&v(xBk?m4M}V8fFa(%my(kU}I!8&z2dbcu zlAhOPW*mIaYm|TNHt4+X*grDecGWz|S+d!q-8hnOyS~{LK&Q}`s8gjNvnw3-fd8_uId@@qU7L>05rraI-MyM!3Z+z9@N;GHiL0+0-aO`gKFSIU8*3hLjE!t*yd8J*9v(+rfg|dpi!VJ=xURpOW=ko z99AI(?rQWa13s;FqSwBSz0F=6-Fxs=y$*f1FJfQE##uF(U$bwlc26_})?uq@$E;27 zIFgro#C=9cM#U7Av3jBQSuUMm;oC|od8=vq`ync zbiXad0x!MK5uOqB{D~dzoWV}UNlw3?x|}O5W}0-rOQzNikixMOUUdflSE~PyvUh=N z>dfQJiI+FI|m z);cW9xUO{>r?pnAtyRadj>G!;`f>etoQ~UR?QJ^UPFE>;{GW3Y5Y+CwuOE{fLPFrV z{GQ+C`}=-tDmDA$I}E+AnwK2|`*)#UjmKsH+mmo~P2soc;dvS)NbT(;@KI@%y#jTf z0h?56wEogMvES+?pc1QDy;@sw}Voz;tvAv z03jXtGAXb7QvxxTuPGr2ktAiZsbr=iJdGvOO^ZS%)5p>%)fXeK8M8}c0{-*IQ!>#Z zM_#EI=f%Hc)hlcEXgkQQuiJZ$?rqvopyc;>%mktLg0)8#ijZYi?%+1RjUYU>U*Xz} zmZX$_J^1$N3r%`KT@q|8(6+}4K{ z^MAVaws>Z4=fO-?GyvCYf11%L3M0IS9TPnSAw$UU-bUIL5x{&`#|5>#KSd+W2xqMH`#;ZNP0u z=RW5vsD6a5unto*9M=0iWSF`brk@$1q43n}t`mf{JmRlS&*iE0aeTsd;Fites(`;Q zMSnka3h+*yiq79k%D#Ch`$qewW-2yV!&*!GL?*Sax3e?5`DZ`N4qGgX7G;Z4QnDAg z?e=WB(WuGR%qR#vFjx1*_|u)!>E?|+&1T&POiE!F0_~Kzzzp9sZ5sWsDB7ejRinij z0YsWs6nx7Uw1#msuMS(|-qci8tG6o$4d=TpFAoA7C#p)=xH`z^lxfZhQpplk zfdiiyPWoOBuKs3&+UwD5;4kLy)OtO-otJ#tkP>P2_Ib&b3LsNb7SN(XH)gqc7~us$ z_Hnyx;`Z7D&~}hunFFv!Ps8ycwJleEb1fUxL70S z;KX;4>*#nc8%@wi4^_BU8Ky4iFR1@NP=0Nd@(Y!l9|wJk&((?O3Q*GAJ$kgmckw|ngZj$zfE}chzf;PEyMv`m> zJp^+wg7^7?rq-0q7dJNZ&H^gWfKakBQLjCnUJ0N zjl_v#c$;bsPePWjhG)@6%?0oVS`@wYxSK3)Tge!VU(7ExI!dZbu^#hEB1Q3tM7fk0 z^Qd*~m!X2N-_0Q!)7CYHPWIqO;0bF2-l7g<|at(M|;iz{oh$n`=&U$eMx^=jAS zFa2^(!^USRYwDtA+cjA7=sNYIn>V}c6@d*EdVPR_ z!NIRV+U3jE6OpgKX0B+P9miUZ-sA4Zow0lltvtJo)yC>z^{`qjnnxcgid&t~DfTDS zh^a`cXn9LZ(W=(gq9<0aEFwKQISvP750nKJRn!r@q-e=~3u797=3#6X#Sfgm4~Y|` z51ao7!UU$Vg8G@9XtHM--OD6QLK1D5p^b#7kS-U4n?&lUUyy>n)h{Yb9Wk05x($Y7 z#{NNbCwL2Fe@|LmdLRrvSGKBK_vwJ6&u_y7nlvDG7)cwt4XEv4BPX)#CKEXDb!7dD zkfVAHpfxJ(9_Y23m}Z#(JVf8Zozg>6c{{sFtDHFe?W=Yz>T7TI_Oj`445r#T`?bFnNyT~H?S5{e(x$)7acX1wXeurv0wpAXnL|~`Etlz zwyXq}JhUo54Idh-|ISdrn(C>YL^x7UJ;1cbiZSi6rZev8qZp`CrU7u0lsK7sVAG9f zJ-`Pv18&(Y6)Y@iRs_}QV3o9%AVRXpLDI6n8Hl$u)seaeGp|4V<;FYh?CS`~^6J4v6AV(^J zbzVngTeluuG)gg88<~({Sxdn&UxGrc;+L+rNr<|v&0NGKrBu~v#KA;)9={bnbi;&TDhBskJjr)@pu)3PB7v}|6L}t0%hHAf6=N}M@;pnUaCDaiEF&g zU4z%MoRkl;i0*_A`4l-NB?^TgwIZ83dFloA4;G?n1R0B6LU(1v6yBqx{?lp3((Yq| zDx5BME5u|;bdHB6_Z2eWlBiTR)5cFjQ47}sISw&MtXv6x04UJ3J;&?F(!xBC$LEM# z)`ESWARopp=1=^dMjgj_eTVg^#pkm4!H5byiT;dn(S!`l1+zYXH6CX}lQT6ndE+oz zFJ;5r?5m)vFl}!qNQ8&8NIUCOki|~Az$pve zHcuOc+%gOmy&t)Vj)>E;henqc%ljRFTyxOg`WPr`%*%P?DKzpr+Wyk3W4dRQ z<{O-YaR9`*s!%=5NpDhg7X9K8l_=Y3GM1c>Rg2@d%qfP%V`X3n$;_Ub3NS*Bzu>al zT_EE!>8-L{ramMNoVHZC&1WX6Y?f*mN=W#wdp!)k~{+50nd-<8e90DyY!5Lj<~i~!&O^J75d z13I_FTpy{Zd9?IM^rr)2Ara$HG~cyxkKh4TDXW1s$XaAkb-_iC&8IBm|uC zs>IlZUK?$1olLP#E)m9qW0r^{Pd{UI2&3=UMm=>Z@TQ%4(bPMbM|q)?ZT`#OI*aq> z7K0|wnvvFZUJs4|zS^WfBCFd=LIo0AJahDN@JR$2ebtsLE1yQTCpMFjOT8+7JpZYc z%tGn6+w8Xf1sm1_2YB)Sc_bMvIf=;8W4m3o=CZ3IO;}x)KQU5T<<*V=eghvIciLRm z<2S5+RnDwO*x#-O-&CY)7E}Q~8h-`wiz^Bu-<#{{-=j_dfQ%tv1WJafao~eryqS*{ z#KuLA-7PBHWSv1K=AS6k|q6;UwQL z&mKi=Ou-5m%H0AQjz^1G(Ro`PP;A|3Ois-{3G$J{=p%@Lkv&4X2*PhiZ7`ekdd!he z$G`pZuq+8F4;@*8-Z{PQs0#FBf*-#>d>O9RWfWW8NT0+$LU;|J$KavU3#i}VrTkag z;$@0BSdLK(F+52`ZNTeaiLtfdI`#K1N28Rls$*HH=w+To)}G;8+SB7JD%2J7c)mK@ z65F%S`W~&Wt#-M5xoHJyNl9j(`F|28yhoWgSHnrBV^OBdOdgYP)!mCdW5YomwfEJw z3K&{F=2)Q{obG#9`pgvTlovJG56aO0Q@{SbPlV*(-c|U)I`p}Vlxk$lg8gLJ@7&{; ztgA?osDZf3?X#obEn5o=)G@uq?sZjd0fd9tGRpJ~9+qXUp$U}ME0doph)WlPa;M)@ zjgDnYA%~7_BDXXe@b`dUBkA2-w~4%lu8~XHwsau1&V!{SY0_2Vy>_qnUEm=tt-Ly5 z$qtL`S81J?Z#L;IOjzt|>GD0?^4 zVdp0L^}DtbX7b#aV|DQAaM0#Ia{&`WT$d?PuWgIrYH(H;<@b0fAJxGqQPR=JYSv?x zM;0xpPF>a9TvwO+5=>qFp!(CgI;l>g>g^`IZtF`{$!2>lj}WE; zJyW0`%~scGftgs$e^KYPnO{U|-i{afi;26egY3n1-m=y5*!;zDFcM-Enbc?u zsACV?;;TV0y-8vYFg3H&yA1N$G^w8EHnn%%S~=u0n_W?2PJ^N=Pm1=N+@1Sy0JaR$QO}MpcrN#H5iM0e(yRuXD)Psdmi^ubGC@J zob?pb%Ufm1@5g@L-79!HwFPUepWi*FKwCgf*sAXC*ldxhME zjP;k_r{?a1?Gnj4xlu}&u+hikCjvTk_yoNN&$^^CceWC};34e}(4eU(MMqpfuxV>m zg&=$Ai<93M5vzW)<8ynqb=`-bZZxphTt)7jaNiijBrqi!TDCgv?^LfvZPs4il?z3WCs>9_%`Y+f|>l>6;-0%Yi)aWJcs7x z5a`H%{I%u!>9u-YIBfG?eeusVCR^2(@R0i-&h9hpb?B>rxzYnNVcb$a_+>S9W>Q11(QiWDCGHwmmd2^147TBTNDs8Yt6vQc{yzt(*$$3jcK; zJPdhsU3<`c!?)uFvRl;}H}3C^0LM zcae(8z33L@ugO_rmW)-&s$rQdd0c*#sXRBMsIbthliK;^8FqU{IiGs(zS)1C4QC6f zp({n9`Ps?9ctdAp!+%sZ-s|3=42J2$oade@=zpj#7OtVA!; zNwN!F;sU;=j2*v>olPTPn)H{34M&*X*1M3qA5UV|q@6k^jF#+dVRF1Ge|AaSERm=r z==YaUi?hU4SC?3l_z)J(Nx zP3t~{Vg9>^>Yc&vB`11e3OZsWRp84|8AzerB7i)W>(hlyZ+BGU z;hq>nS|NMdHFs~e;9K;4_dYrLkJEmi%}RRxp9g+hX|g!`ZEn81{_w=3OQC)IKRb?8 zoovL`MZQ8`*Xg>!`Hr8J2DiK#$|*yvp^l)YQg)&Z?RJ@+7WPe>**X@nnmry8zHhZT zg7knwxSmwyFLE8j;D%^eUlBQ&V(rEOc5ZkNd8!wUA>KMnAWs`J$j8u^I#-=Jk&t!j z^gu;3va=}*0j|**K3>$%q4k#K+)p^Sm^J(;?Xjn*s%MuFNlDDgwO3SE=al3;6uvTT z&E`!vr}Dy>jeKCc%cgQ67>9j7NSIE43aKS3=C?F?n-{}V?W+QLLiFa9-g#HUtJZdf z%q32hOn1Y#H>9_E>%Hy$?^;gv!6v&0)Pg61hGK6k1Kw$}SPGSFy z$F^6yBL^iqxm=t`NDMc4!?Ia_!QdP8m<)8BcYN z^oDGfFdRTS^i_)J5Hx`8q3GUE;Er-WW7eXZ<)d?$nepDlsv>S;qP##XmX~XbibQhJ z|A7378OYM;ewWckl1>6YWZ*clwKnOyPpX4co17)1Y$TLXzwnd0R{$&6yk@W~CwWoF z4fHYUZN8vid;s#axqn`MzS`n7uXC*#+UXuVg5{%fkH_a?ueZ8gcJzvYR%ZGdeXp>= zRN%S*GVE8--`M>jTV#d2-i6_~NaKb)80x~4&;-Qf1WJQf=^^WQ`H7X{>Aj1mv*a#&qX+{}PqpAGeZ>s`f zdB1WozqV`XqYFNQ{Vz#)avy3yKOFM~_pCJ-{bhFd0YT4E``W|o@hfka_ncLV+Dan- ztgdfRvAZP=4U+T59>D+5xd+`mkNWb9!2+Xs`$qBw5BwFKC10hA{3_pLNlJlglYtsT zn2}cNftX8PtGmQm-}s(w`=*KEWBsfK#VpbWei`7G`4b?caGeyGE3av9PEzS9Ox1nK~p}pNXSE+Q)ud;?$ zTPrGLPT5bx{-;)DESEB64T~ABAf^$XwwY7gyYS)L8)$|9|M~)$#0v8kz^1~I&xnd; z%U0IkSh*)fwt49`e|Bnm{o$9wl6;rmmgPRY>`++aGxirhH~fNa@DNP+ zme^hC(1mu>(PkZW_mN+t^?d{c0kzGHzGuw9wt_!P?KjK62)TzB0;!z2Fmp-xH*}c_1w0`0{@EYxu^2+TRFS-qQHlt6C z=yEAqLWBt93YXb@;21jiL#Fr@&_@@{UPDX3Ym|MpAw>DD8kUr@u*+E$RWl|k__Og_BQ#2cl-bZ~XLwhWu&OB!OZKqiOOJ~%#@mV> zaZ_Fvok1v`>~Ev}2KK%1|KX_F8BCprPI(;(!msq;+B}A!%_Su0f@DU*Qby-{U;|%8%axJ>drz zseSUoaXlZ6Qx{ji-{JDO-8&`$vlpv9p6ZK}+@1AzlemX)BddVv-dI5UX-Nr>%?aop znIqH1rKITcb8~eDjYTtOPKGYyr#r5u+iluMr46?%U;5MDZ46F0qwS1EnQIU;;4Fq9 zBKqR5__o1qV8xNe8$Vf>k-QCsdv$2^`dphzMu+`paO^k@1rBsQ|Rxv)FvQCHLh!UCt`C%a#Kf;CIKSjjqA1k@~~uX>1ht ztWGSjC=sH*&>&{|Fq}7rZlo%KW*D71tdwj514JE;c&fl1GcQI=z zjWWy@&RM7^<1d*%zsyxz+t^qp%gZY>6*-F*E=(>AO*$=>n3U1lNZ)**|_G*cBufZMvH#vBSfR>EluI! zzA>6$_Mm#qvhEdo4`={a>d|^Z<~%$22()|#>>!|spC0-3tI8`v*wcp@I{)n$L8wUZ5{b5Pz%H+ z1-rW$W@ILyvrL|mXpp19+y?q*gWmk51W-~3267=exJHe8!`)QsoLVqQ#sY$ zQ;CbEc}t^PnwYY}hZ;IZpVsq-%r2NuVqC z-owU?aCYJ1ntYG{S70xj@LB-Tk*jJz@BQNC@Vr7*Ad0Q45hnn5Stko~et8EdB2OpIuS}!UDFQ)mNP)8f0NlbkH3d3ddw#(t zQN9SCrlra4@aOL3|5Et|P%Bm~C^QdjW@0DL-4yOIh7Y`ywUM4-O!r)Il@iCRmUMSl zYsbAs>T^|mX{}0CEb@7zQ3Rq%lk8ep3=M-&ep@?)k!7|Fa=n6_91)vg*8m;*n##?|A|5*V!5#242I^ ze};iA$A*zgTj3$*Co5*s!Ey$-X7*C8FdwOCm^QsXMi_m$!)4?Yjq|pO#09-ObDn zF`;%WZ&~=D!Ij0hdbtncPIdQjSWly+pA>(c#4Jj5l4Sb&8WA2G?d=B3Kw2ca9MzlY2?QRX$Fn5Kn+nN z4f~}WJ<3|vl!Lc6o-uURZtsr-N~@h^U>9luyS!j8YNU3wv)U5bFLH*3>C|zEXWDdF zMsjV4_pws;UK-p>yW}qG`pvHW*NFY^i8IRhf%1r}J4c#atRr}MXxnRUtJCq?M>He> zeqCP6?+tnFw$9ab9`E!QUjWS)Uqn7-9%u}H1Ld0!<9cRJgG`l&@?sSJLXwK%$>l9A zWL;}3N%@9kl~U>VlU9SBm&aKvS)H`vm1cHHBL9&#nUWhHUlOXWcDqaFJ?eS%@yAO7q|a9($;l~cZ)+=A zylRz5RU(?KIw#-HFnK?~fPoa;+jAUD7ENto(<=orOB|!ooy;-NlM#dROifTs>5AS} zT6#y({cffDDK*VW;2N{@sED%Z7>I);z-MvMZ+`P(H~L|sQK7Vj9f5cH&iF{ux7Uwu zxJGw4UjQ!CJa(_wNO~p)0vu}mbbdB;7W4UK<_YA^1W%z2;3+S733bpnRLg^e!w&gX zN`lank^id7g0*bY?1*fYSyn;Z;L=mB{-q_`Xp6h)El(|J~7hmza_KpJk3LjYVW=7hk(~D|+MzsC(r)7;p z8D)o_f7j>#{!0V03+VG42R8r*vGgkcli_VZF}!XV$;cd_dyd%zxUvZ`&N3;@86L>|Zy{pa1Kp zURe9W;NY)aOP2gP4#R%Es=2#)^X6aI+ibtyy=~jC^@|tFe=UDVh2%c#`p}>}%A`Hb zSDm!G(adV0Jb%iO5>7QjCF>Z#T`ZqiD5t5l7}ts$?SqQVEaMC@dzQKhwNd{%s_HzA z_a|K>rCBkigp;BBMBmE{&B zlT>C3g6i6^t*OE4diTv)kZH^`8X~LlHZOGq@Uo2-%CQoqG!#RuSl5U*IJUNV)=xNk zMn^%@evgwNND^IlQ099h6%+8x4%B0#41v)C`V`Wy>M=nw905O@7)P1#_?i`UcDoQ= zrjGzL#-waol3=+3GM&#rO-H&Tu_A@B;u%$%>g5)&-`cRE@~J4ss1M!b4stHAa#$oQ z(?X=g>kx;i$-O;1aT#;5v18THc1Qdu8h-M+Bj zeQf({;>f%5P<1gZEDhG~Jhv_ox_AuzL(Tp!pa$pERjbc|Fpi2 zIKV*Soi7!kpptX5IGXQ8Az{gBJ*67u$n!CK`UC<1`;7{@`TX`5PRihUvgBvC#FQj0C;H! zW@-p)hr4!}e(-2X3t*)}^p!_zGlEPAF*xqh+l;W=N8*?o>#KM@Ii=c=nCZ*PgaWk1 zgxX~Qf#yW?2E_3suuy>ZQ)>^dF0TY@v8CNBF`hoT&r+)t$mRd;&BZg_@=IA9Z{4}U zov4Gqm{_6OcL*d>p5g&IgR6afT@6u3?-tjO@x$U&p;r(dw=oKIc! z+1A8xAJ|!GED6g%tw%fMr#wk_m|2reSw@YUtpRvKD)Nsv-h^ox>tMeUakyogA;O3#Ks+H9U% zU>cMpMH|APoVxMqiHT1LLgz-QPPx*m)cDnk5cpO{pa!rHULM$~d3ggkSRH=K)59f* zM?AaHfw7?_)!l}0ip_AYWicIM}lSCTUx=T}=zoDUrgHmDz6=Jw)R7N1qlFxQ1y z&(L{b3q}CJtLpi#*A~)3K)P^ z`i!w=y1oD|x}S5km_z#uQD-t{saV{}x8~v0iy%nRPwgy>m>Adky*f5-vsO)X7;Ex# zm;aW#c5URk-bFcbU9!4bbQ4GhGcKQiI`w<;8Cs=m))P>^@>@IGDu9Gti+CCj<)@W_ zab@HfB$2FqQ=72~HoLW8NbcWy41^Q#+TeFsuCoFNve`WJtFcx@Ypr7EqkPsA8C-y+ zt@6-_Iilf$GfUB!BtoCn&yomLB|IE+aN_}vC1w%qKUOIQ=h~_8VeX*DN>rXd5AL{D zHd|No`Fu2rK17#p?K7E^^xC*bE(%jA1Bij}cjG)oIIQ5Djq+@|(N*p-T*vaV{8XIw zGa6?Zu-j9YH8rLB=Fd;n*VLpIO4YGg@^;Y=(6+kt*SXB|AQIm}U(im*4 zUSlDHYixENN3&Ug0T$^u%|mB*tpFzsL4uZ2iCm*z+tDQiHYkr=gK}Uf4}&Iip#DZS z??$-|JuAqrH2ftMrl6tC$54RYbl|87bkL`)jGDvkKE1)aoz7Zb-}OXzIFQ&t;I1=C zG;`6xFrg1c#zH2WcZI?ff_}dxd)uvB=+eXlHb!myqi8@S*xmc;D)isDL7!0a_vAEy zUwIpJjKdH~hBzmK)Sjc`>mklDD!QgM7U=l8n^pv~u;u*`)vW zP^xL|6mJhRlgSd@z4RD;#ObLQ0H%?T`WP;xY?m4}&{C0K2SpJf*3J#P;R>4J)H{c?TtR_zj*AN4W zl9y7vJw0H>2+R{|vN*@WT4dBT31Gz6Pw=SFDp!C$h5{mKJKU?SC7_jdn5db&!4H~# zyh-!dW^1HP4RTDYUBm|xx(Cy6^Cnvq(cI>wgnr$%T73JNx!)8QUqABgQ=~{r? zsJhow1&krCoyHr3ad8Rda*JYs5eEG**g*iV9cxeKg#;(s#O^0)Stx2Ojp$SEIUJ`v0v~0!>R8XyIpUw!u@~eA z*z@wAryE+5x|$X(O3LCHs4-2dmgnc&l58^tULRBmWpc##{JAL2yljftpNUFnU5dgf zqO^PD^>j<>bc%Y&rhL3~QE6*%&r8J2I`)oBiOQ#NepaSfgj z`D??`q4BGJubW&5PWA-qwPJk`u0r34>q0V+LT3L6^|?8Jx5W{X2>7K~?|S^IiMgnQC80LpG}0>#hh=MMTn5wqbUkb7(k$A5 z5;T9xT@QCBXN;v~Iv&iF|2N+)6%raQ5C8k6ZXg9rSf^e3}G>eMMEe0VF z=9PgmxmH`mq9<0}shuqLFYKJ2`ek+!XF4&>w8jYTeT@;*=pMAwBxPtZQTFA?$6mF{ z2c?m5hn^q|6<9}^o-*VbcjO0XHW{_9$omj!9dj%1TnNgQ{^L*?xdN4NZR9dkEd}lL znV}+-HLzW0;qw*l$a-HPfi5$ICv+Pzb(~;Npg)4SU_E*d*dmo+F8U*UhrVX^!oXcQ zcO9O*L8)4F8d5_I~ zjGf36^VGa~yhXfr9&S}MH$KMWJ=WN)u;KzkqJSED^;F&{mNr`0sqCbuAUVZgxNjno zXC#yup*@H?J zmCy=PYjdRfV#kMl74W3h?*6peNUoc^U zDY3PvzhZz3fnC;|B?NoGZKZ^6h(-G%KRCwVsmKKB)%ze1T@UL_RdCF$w^yJ8P#Dsf zsv=**lYVt^&A7%yT7l5q+Ur;acMWK|b(uLPIgc$Kg<)ZaFeQS58tsSR1j_o}GSLM? zbT$n*qK*0JIL#7xAl9WnqFsBqbY%U(5q-8!*X~Sa?6C@cz-_|KtYm7en7zm_1;VAR zPqaSzC{(2A^-z+PmIh6(CfBlMkeiSYf}w{6R5MiQ@97&)1xYmX$xIf^dmT(PAR($d zB#mhqYwv-jCfjCoQ~t)Jhc1clQa*V#DEEUZ_Sji4 zVo&aq)zcue0TrqR^4Q2;qul_ac{r;qiFdKLi3+%eX(aX*0;D*cb{G1FaM&YCo6F(Q zMlLv977x^!%(n7Mc+G-EI^kyeMz2*R6&oGFgDfF6{_9xlX)k*fbUdFh;3!B{rt0+$ zX^u$bpoYEJQG7q5eYzhgEWLlc= zXec2=Zpvn=l(Is3v=XMLFQO}7`PuFPb*4cH3?{p?0u9%%iZ77;;q(e4Y1IQ|h237! zF-*&s4Da2A3(;nAwG}^Ywwd7ZbieieL>$8h#E<}9zbnO4gANKLV6~}P6bPY>a{s}` z9*wkT)25YST(8kf#Jc_qfB#md`537DhMOK&YMtRP;)J(JaNa!lLPbA&{Q;Py%FsPu zM>!?`MtxWc>H}nK{!TMonrW|$sx+uq*_*W(& zWM9?q*+l0Cjp!P~@s`XoFdk1oYk->RrIxur^SR()Umsle+;h}c)9K)o&pr!lTguB9 zFOKdyYTjYM=6Rg-G&e7Y%O8r}y^qLbMlejR3)aK-VYC~%IM%crd!+Z_^j#Qx-BcFj z{+>4Nbb1rY!(=j!4`=~LE1J~zji7i_Z$)Zm2(U^kNejBNu~xO?P^HeJ^8hHVbr{-5 zi>s?jMh|u+p})EUChj4tse+wwdW+FvF>j$EjwRIHi`EL8@x=>XjoLRcqW8E#B`86& z8VD%RR=_q*Im2zz3?bZ2-S1|<3gW?zO0ir?^W0o&%Rs$=WGY>ZZqsS{J~F=kMNJtB z*C{;U2K5AJ1|E8G9CPVa{$rd%WIEoZcK;|z}@n_43bBZerJETwgc(9=3<@A-)Lu`PaEVpYm$;EyL%@q!e^eXmmX%)Lnlp{kxGk-ztkF4H&d7?uFaeOn!LQOjhXMKe}=BjYX)ZedSxfr(X zva)z(R#v=x_Uw3RVPSlc%@!9Q_Y*1Oxf)Syis{V}Ydx6ERWb6C(e1;D#cb>cpga2L zX#79}Xc`1w>&ERB%h@aW{4yu13Yw|0FbBZ_U*rPJj(iHU{{%xmKY7x$a#zCb!kw%F%BN3#yIc%&a!jhpwr9qbidERC(}ONJ zaHf*rvS}qE5T&Gyoj`b6O4R+LwckIn8Nm87yLGEmbPL3z(Uk0z?As!M?(}a)&HSZ& zFl&7OD`h%};cLYh#sjJQPM6L?9i!cdui{)Gh;H;%zv3T%Oy}Ryks9#N0^xuB`3z8x zOF|M^fOE`z=VIT-*FL`rc7MD&#ZgtUw!?weBgqeKN;#;74Uv6lXEgUbgpN_?w4GH% zt^6RXft70!=yl=xN2_6Bp+YywL_+(IjQ2Aol68N5Y?69tMujZ;=j-peoYddVriGnK zn6dz#mK0U0NuAn8LwW~j^O=TrE67a3uaNLE`|T@|#CchLMs)&vmga8_@1a(@6XN8n zg9jI8Bpd8X0nmb8G7a@3w2L}+&A?d3!;>q_IVR0*EqgoV`B1(P=MX`lE9jWgnkfja zcp|8Hq|K2d)Tr2`)+$PKS8qPE3+%je!=rbR9Hfkl=y#v|yQ3q6kmw`Vm*^#Dz_>gRS%ulNrh|6wsb|xfUV4cChryjxr)N(JXLBfhp=DsX!R}>#z^BC2$x0rtftZ`*zeNm5BN@P4Q=FH{R$mg zwy~veZH-%4M8Zp1)UI{h`cH9Z3a2HJ$9^)} zWF>$TJ*y;go)*_no@WzVX>^R6BE@= z(n~(^gqo%$UA$Ngv$As4xj!j=6%C4_YdSqzJEYcgdTb-7OfQy4=~oy+yPqPbh~=iH z8MR_Ydx!1-V#p7fTK%yKJ!meo&dLl8jAIZD#m&;pIhTy1Z(gfM{q&|^b#1I&kv;NZ z8Hm5*P_GduXmqgJrB?(ad)93PPtz;E->cDhQ6Hw3zDHyhnneX}4tm>WR`wjb;nM3d z+5R!#@pm|v33j61eG`2h`F}+@7gH5xd=L7 zoUY*`;wl(}@WX%q&0$ZlljoR^%g-6^KJxy;o=9C)LSpJh)dpfMt};aZ0M$((42D=m0f~ zm=bN$x`{*_DihRRb&?FMMRy)mWHkaVJ;(804Rx;l7g$P~%B|>C`l$jmw`Rj8cuRd| z<-wi-dD54lL?Ti)5fh^yN~NyaljlMjb}~WqjIQlX$R2bz@6AX&V&ErfwQ(8B*5pjU z`eFVn@6q~#bY_co4+(UpK3j=5AL{Ro z_T9aQ&T@})Zn9>@l+WmX&qO|dUa{BVnCGvqo(I#@#qxRL`(cJtie;046H!WZ2gwU( z9E9>IlQjh{n+m_8RCX-3B--lDj=2f%JzfZQ%7W`IfCM}l^%IiP;xBlK=<1%`Xg=jJ z!%HSDM${=`t0KtfKOsk_Wr6Xka8+5VV!7`FpfSr4s~3&GwL2jVUA(LjCJJPh+BlTq0kqfM zRydB=cl~w>8Dr#*f5T(LzRLz6xG7`<)@@XPz3<3pC_z*>s#-{Zuf8J$eKGVj06|Q+ zSpifFx$2&c{bSTi4*}h6{I<^04`Ke?f4hSMiMO{Cxf5aey%y^KNYr#<+}hJrEx{8F z95(OpEAyl~GWInq-RWqOX0+Z5jRWBDEY3pGKXe(fdyzx!m+M`dd>SE#!NQfkX1%nE zvPZL%bTd$Axlhr;pe+OqYc4yBhM2C^;+8Zu70b|CorFz;yg&*sgSK7hgxBqQvy~sH zPqgka>!bHxh$*OvmB9C3f+9)Ac{)(*u4)l6hAP1dhWN z%zfxguAUZ_;%fr^W&*du`>H&C{ncAG5RiWA@}8kMCmFi{_GeG?>3D0uXphrNdf!D& z3v_t*Zy6M40vUX&x{CM9hIF-=-TS){OF3&F&OTEa6^dFbznz8}WG>^vf5$bNKV7@D zivXAY|3>r+ODORE6-aMTJxBJ*+4|sd4PWWx&zla+R?%to3`iiBz}!VgH?z@8j!A z^vvLk;c9>EwNb{k5_QMu_Yo7l60%GvAxMl|Y~k1Q{ABmGO=Fpc$J)fNC}N;dI(lN$ zw(7o8$idBc%;uF`)<*L)Yw{;cL0$<>I#k~|lo0A0S1wxeOY5I(s{%|zyBOAZ_^AS? zU#ZT)#HwbLIM3jXFs9Z@hBzHnGxF4Iw2=`vEkXa|&wqMu+QgJHxm!l~N?#gwN^e!` z4#}|ixkwB%;Qv+PBggJkh>z`+d*~0g*{vf$s(ItOnGYqEbf;T!laSGokkE zQybeIs1$>0bRhio#}TTCCVl*0vo=}F-|$)Ux&mhIKR4+N)Ub9I;~Snr)g`MLEpA7> zUI8f4Ta=ms4*|T_)p`}~f8`o?pW)_I3~}0E!N04H*@N?$#9xcAm~reRf9t3pcKLaa za^8b4dPSsU)%Y9d;tfs0jihYz$5%RzYE&^~#ZXdTPi#E5&o`HwZJ(U1tX?-|l|{5V zL+n)v&{#1qkiS09HRa($+kHzx#uv{@1zZ~B{z^*{{OU;$;}!LSwckR;9R4~?1F28C zwp?n^)XR>O-gRzIqc8IZVFJD_v^AW>W8s+{D zO3N2c#a#`ZQWD={0Q=kt;;wDwOI#Ef?DwqszCRCySB)K+INXST9(n_eZbgi1!kk9E zc8%@-8N$+e&IvQQwrkj0AYr$+ItR$gi23aq~+Y&yZt@kTo-n2 z8|3-NqM7HJmTk(0vt5%4M49PC-hYMeT?QW`~>u#k49{$mTCqhi)+NQ$vZf#9c z7b((tG^M!vwE)#C{1eGwca0nk5-p0s5(#ScB z2UuTO_q09a6?8s)sijdK65*vdg|8?gLLnLAn9`*rpwVW1vNZO70Ib<)axf|;TahKW z9F6m$OEL|dN4B&8I{6hsU04Zy6L8+33BB*X&ZG#sko+=*Cvjr{x=q??zL4z;uGndy{3AG@N1>!lz(n*4=bTj`Gx(Wzjy#h@ zOMMxX_8GO*NX24*<8pJArXrA!jL4ha+|9OUahI43dna6_-;BfVHV5d3t*@iXhM{~& zAjV-;F(;(1rmD%Y_ggDE6@*kc>$qXDz%%9LB6;G;wb2m|!RszTXd0q;O9YNkuzx2U z*te*~yz5v*VmK5tPMWRyL`J=+qiO?#jcC4rhjnetcSZXpJuh%v3(oavn%^d9-~3k7 z+_4SELz4ga`}IESE$=3}sEd@5TdqueA859w;3;3=@LLPcbRN9~#o1AYS`K~PN?FC9 zU)S#r-j<)0C7J{;oh`uCwhvCf;lI}h!G?Q4i{ERAtBQ*&i;55UN~k$6%d;sk(9yBc z0W&gb3U)G6Qo0&Xn{;$_KV-yoy5GB7U$;Zm!H!XFXoAy&nGe(d=B{5=`FQ6 zX=;^#lsunF{n47 z)6v!~+f&4*L*NM_OXM9#@c5QU*tu6oc=; zblj@@H@t+>HCxW3p9>${V#ZT(r1%$srwJPOLA%&(<%IHobRW8}WfsS@Qf+ee&fH^Y zweN)cB|;Ahb6#`ktAfrL;;59aKEeY^X34WE(jv=5#qHvNB~$&CpR3Su{QPck@cjCT z{UIVAxI{@mc7d_m-Dr!$eSJa+n`cUy(h!e#rB_dB-Jg_1;n+yu&)0!QQy$2$G4eCO z(rKP+p&8BFo-z^Nt1qVy8+((AB-N=-T7cDsI5pcG{J;j7ukO<$nie{&SqvjY=Ba}@ z4CY0y>l51M34UzcZYCyqsyNB~EL+wr1+Te&+dV*IX)dwehp&ITQ#qUtq4aI9M@xPt8t; z^+y=C#%UF34a7@U9>YLv(koU758Bd-;zqflpHu#(?8`WOCN+AU6{ErgH79<@4t-xEadBCrmtSP zXM1}#$jywHb{)))PfDS-U)$X#$1qoL;e(NujekIZzb-@@A{S0*c6Fs{obkxVKYVEV z*y5=FUGv(X<>oLs{v6|A0iN#1s>!I)2BIGw9@$<;dq3;9 z&2m@FA+6hBTfp(lU(zL9&GGxY@9-3C!MM}MOZsv8TA+2c-AB8fJ`kU8Lxb;?+cU=X z*5))dE5y4iAggXAu8EEjbl@KIce;xW(|^nXF>WBkFDZHGn{Ea{bF&dQ#N(%ADiPko z%Ws&+-@Z&~VMe{EYhT?eTbq?Utw-{%&T)=NiCN5p!!E&85X&ca_p_#vhO|%(mEX^)@|vn(%aX0((W%S@VE@E5 zZqT)l+O_DEMb8;Om5l>-eBT@Un^!cPMydS_(~h6Vh2k(dIbh%n#A!SH&2pW5sx0)j zbVD;&d8R}&zk7>JK~YN1d~xCnft$XFwDru>`E20Hxa(1NS?>BjkF8LKE(rQR|b%k{dBhas@t-obpqusi#?SiBM zn1`3MfKOG{aal3+5e*u8x==TMyQ&H42~$H$Ohoq2#a$Lsfhf!BLl~K2GSKjo`ARwv zkzTzfYcWUL`#YnM8(@*Oc{SS_`JzfOuWMXQOK+9~3u^lV-esdxirxf8KC78Xs9vv- zyT3mKzdL?OFD@&>hzohGB|H9?6Lrfxyw7^-#BV2TqKn`28%{=EL4h7Mjm+OjzfwP; zV&P)Lm1K$*usLS$qe$KCfz%P|P6LMV+Z^Y8uz_>KG28=AE3Fzlw*y@U*p!S11JvIl z8;dGo!G8qnApd%0Ci*^=jpane^wQWvs2sPWWMDE7mkEEQC;BlgPm554lD}K7s;~;p z;p94wOH~ZktnxS0Q{;6Zug#don5f5{;t&dW6>+z=7Om*fVbCBC3>ITBYBQTkjs-L} zCI@25rQRYb92qR*uDbffm9O;~^ywMmIu3e2@B&*td^W9j1gZ(St%K2b7o^&RQ>Nk4|=4~cRngwW3o8Y!6l`s>~vP2#s(>-Q({ z`@aMB8-v!)NGXC$dj5KZCdd?#&H#koY`=80!lFE?(U*e8efxuBgWKUScV+iu;4=cr$|C$Bfj=SotS_PPCEE^x}eqjPH_(4DF+40DGFhMK~3u8bpEcE$tKnci3mAl0meWCjRaODuX z65B?+OI>rW7wi^HCn1B*F^0~@<-!PgkUS~?YHW+R)cB;aFLWH^o%b!1iL&re)AJXz zm2W?)C^y@5ABixjGIs~nVtfP}ysnGR9=)q~tR0m`XxZnc!z{%|zi;j1sc{G%(>TuC zzX@cm!ZhGEmrbtGx3TLtrsMMWqsbxKjmDq^c-G?Ufe?F1UOVYp?7hN_`cvN?vmQ@V z2a`l|-X8oia8eWVq-czLkyhCfhrbR+FYls9yn$4oR?KXTFtYJjoQma)(;=w^_`&`KQI59X z;TEoSl=wm*vSTcd21W<7k5kEdCzr7NjI8t|Q6KAbYO=6*J(uMdp06>+CQl$j_lZiZ zEmU5TbrM9W=1@&%3|z5;CaURya?nREY>Bp6b% zV!9N4+ZD_dfPAE0TG-WDX>!PIzGehqjs`yJ@Y6ozgs4nilBzZ{_80%ylX z<^*6o%cKDDE>F#%aLi4}%H^QS!6dA0p5ddQyc)yY`i;~Jw}im8B-?v<3z{n^oKJsk zRj25G92(+R1dhc->Y^tU$Up6;@eRL72xOor6-0T5R?iSux`+<19lajZ+s#q$F;r?| z#5LAM{$b{$YvcdpuDnksb6fpgBd2JHS;2$LaF@7K=VD)h$n&5!E%W|6s~(6#sj@;p zWg*Pq{lXIt#N2*=m_uYzXi`8Cg>GVs{?7k6$uUuxm6&j7UjfN5>V3JQJM+1sd)@ZH z?x0LgxB|Uh0ZG=m1}gu8;P(+`3HqO_46@to_U~CiXAx0+QGwZ&WCd?q&x1VtEA6lI zpDlh}ph&%l3P6f`ZY>~XgAvYSEyLu;OhX8=QKlQFhfT1rGJ{0&nG2B#-Qo!tPJ)vYpUr>+~YTamnZjf}r#jx0AwE6I6%ZE&Ss`+-c1T z7t+Q|-OB71B@T7Y+r{mWKaSc^Ay!RY(ea!?MdV<@Rn=xkpOex?q#|EHsg#!m3cJoM zN#Ao}l|R1Isdlz7F!)eV9nBnS2{HZ<@QhcbL3Sf^^uC4t>)meVdeOsdJbUQ)26l)& z5n^Y5AGrbDo9_#4^t6PPTDKbw_m>Gm0+An)@`vleok;QeR8QAirmjeida zyN;o`SMU8Xib$#-Kj2Gx&&rpP%*NpA5!LG24}YYPY}4fEuX@CCa+<~@8q`HtmC?!5 zGCSn~JG`C__-37>CA?z0_e-*ktf_a1H%Ljfygr}Z&&Gg4@%853V=V7_S{sWNV#{zCCQpI+de)~0~N=L2Ajkt>FbXfahqMmkf6!gMyGe|c<0vxk`UB*l`n zotEK99RP!*0ge(*e$GLA_@9rKnC%>l?crBZN>0cy8c;yvj#3V_#S!6tpE_X!v z`RMLVu+fmCY~{)f$XL+I`B?K1XIC%i6}M|e{`gB9)}=k^Br3g@%dw3A(!Rta3$C~u zXooAzC~iAS>{@t_q~&Y?$|gn-@a6q097&C$%0b-Sm7~Yxbd;?Y!cnJQ5t3HE56s$U zj=YMy8Wvd&tcEiOABju~FR!OoxpdS4{T?Q{w*8)N+#zGz^^mGGNFBB%E88Q(t1dr5 z++fnxS3fccy<)%dYuKyEJGZ~6`~y0s_i9Sw&mwV^u=wn#xF%!nYb6oYzp@c!(&H=J za(l2usEML$REf!3EJZSuZ#WFozf^O}0qO+jHZtKYif8tauY}V*F)+wOzH<$&AR~dt z@iV4|;8x0{RztEUHxU(wwiVYi+6GBCbN$y(87Y{mbcj4!N!erzO}Z{D@6GJhfWAsG z*Tf-A*`fFRP@7{wWl3Z1*v1)E7=cgqZQUf@LAQO1!_8Ujny4 z9Zi9EvgT&H=ZINl*iduyiT}@f$$l>vj-qujY8LYtG_s>REj(A(s=3FS51;52836&S zFi9-{g$_wW9?EVzoffM%49xxHg`m#?;EcLs8R)gYzw=_TA4BiuIs&g$xn`15`sDMF zpk%1s>4?45b+d?9tf?f}d^U(GhejlC!223;pvjM1sfkGUo}f#eb&vD)_#V4yPs9K62wRu~f(<$ae|e=~Y5|iz9Qp zWSs5!{FIWI$tXr1(}-ijRoPo#NlyouvPjG!gykSDt+F$ zrQi>>&RVl$&9mG7hK}&;C~9aXuH^&UmLO|itj=x45t!myr)t&VGD};@?EoPg8oFR` zfGJMY@N~mo03VH(>m%5x!Esbr8kkov!Nr&~MHVm3E=kjStYw8gs^6`OYM?b*DzP7x zl)2m^nIjuM9xfD@MwQY(`fTe#bXl!u(Z_T3TJAFrh=v8vJB&Q;W6o;qw_?S%U!KPw z2>FbM+wgTCclj8|6{l*K8?X_lwa8e=fi*!dHDuIF0vFnUbDjX1j!fsNOG z<8^8xGoYeXErH?Pf?9K}*l+#~bo+@Pe6ox3(3p0yxXRGoW?V8_Tm1Kkug0;-3<^+`aKc5lWq7mt zcw?!YMO`7e$$~LTnN(k3(jmuD87^;z;GgYg*3Iu(JI`G8GTAUP87?G__0rziKV*zD zQE(fL2SmJ0RZ)?!O6D=pA(@er(b1nmkR-b{aPoT8%P|OcJMxOL!z7 z0ih@u!R=l;l3du{b5N2|jJ~bK3ymT=b+dhEs%ums*y&5a<#FDrlf~j$ zsZUKkW^6V;t)Y;v#)_6IEew$@-55ZVc|++gD>z4&97Fd`ROzY-3KBR1x~z!EeM2fr zO0-R0dvMvEr@DMQ_2rcQ&4Lfyqb4>a=O&p9D_m*1-#;WKjl;sSE1lQX$^m8x&d z_I7#;aHXMF!l3=|e;|+ZN|fZ8%jMo{g=+P4`-&QHRMaSEK?%_ zfeG{ia$^b#a}?;uCUIf|$1j9Gf6!2wOXHvx|B@=#=-Xlug~kYN=o{(X%stW?k*f7~ zJg^5QxlE7dyEXQhu(bpPmr4r6Cf*k?<&dL8>*+cU0~W}84P8Tb>IQ<~NJ@y^QWk!v zFCL7;E1kdmd#igr6QzGb?&$J4mD=|6%=InsSwnnpw`xElKXZV;TX7UJ%{PmU|4~$= zt8x>GS_*@ETALT;jiKlRpO>b#i2 z-`YcS@HVU0h2f*A(`R^-I$U{)_(W-{cIO z=+cBy9yVHx|lBhjNkhF_vDT@)M8JH~@$jFYHA_k{LsJnlzk-+Ca9 zGT#DDK@ogBn%LiS{n;Gn)8lKd)%pE~Re>Y1)*5HRRuIKf2WpYgq;Xt)=VJlO%Lb-Y zYwk!c`=x+sRW>((i;D?>heyXmC4;j}rOCrHWL=96+S@b7v>ZGhwHgSSPZ;T1$r4qP z-)yF5YIJKf6r{eb=grSrOb8WMdLiK@M@FrN;+E$36^IeA)7GB+&C58hEo5`C3CQ4G6>{De-fks^9 zMh&)OdKYt@UBaiEBG<$?T%Lu-F!UShxSEnTmJ)MoY?`YQl=ExW>{z-d+<5oj>xp^h zaGi=McuW#X|5?QFM3#*qmf@^zH5RPH1$|Shb1rTYqn!^~BINvqlr}BcS;0hQ>16hV z&_8(QbGz^~+hMrr>hUneb-&w%`C22P)=}-M&l&uZ+Hx&_nXNVgal2V3V$^@XABLdt z<=w37-&4yN^oWgQl5c(DkFE=^I`J82u7$| zsX#|1lwWq489?W~7`|>kW6$|+(8u8gB(K=q|FAD$zC0yeyrEz0)fuc5)o~*8|C=7Z zgo8AKA;@~+oNTE@2T}!EQYN4JLpR>zG+nQDdPF>L$L2 zQcfn4t3~pEZD~Xrw6XRV%TkU|3jc{GA0BUC6&uZ0=GSCCeVFk5$^T{pNdfL5JP_epG(d-YZg| z#TrKZ6u-(t2nzgTjq;@q`GUG z!MN7>lSEXIT~xHcQPgn3H{7nZ?2M((*&STiRiog=diy)QkgkVMXlH=-6%E+q85XT$ z7t`YB==ey!4Lx7?P0p}~Rmx}<^JCu%YNxU-Oa`$G;ZYFUec7mOgJq@YnAMLMXvOTljLH3C?-g{-UV7s0yucj2VM8L z76ts?(5H_f1ctf&-JE8miVZ*h{Cjc~`?K}k)8U?UHjC=JDCl0V4Bt!n%g>0ryJ(FL znl62A%i=lJipAEp4zh7>#0dRJA4GG-FwftCc&Jq$k<4S-Ic{k)d+K&(a7uFnd9pE1 z^T*JhgW+nlG!-Q_7aHG)msEW}_l+nSv^w4IY?5S~H=l+@b!^>v&D^a@c(4!Y_ats_ zd-!UN;?s1U!X ziN6}f8vr*^mkbQaT2ZD*%T<0Yv$ajejpC+gaxsDPGWHEvPA3`46hAk*rs0wW7%A5zmnvhox^HnjWh$RFOj3r6wAeBl5-U?Rj0O zo@;!c+=vO*bew&C*J3KvTFVU2op9c;(g5)^39G9_?y6Xrw!OfPH zgh+JQVtm@ec7ggE!ye2|(oyuFlV7!&k|&1gpyeTQBq*@F5#IDHw@>?}d`40o575I( zYfyjS7Md2Pvr0AAUKRv2Y#iiI$CFa(E1SN35B&AkSo#YtzjWuh zOwmfw{`<00g?sqWNoijbM!wV1=^g%^kk4eA(d+(nAgH*wRPkK!n$QnK>5sz)xo|ig zF{10a1QlOQ8+4JD>_Bg2IioytBeUNIG)0L{(pux_!TL7!sZcgFYgFj0X+UD=N0dhh zT{&Fb*v;*m+K;`wZNf4o=!#Yp3<|Tr*XI57+KisVPWfqIqm?e_2f_R_LOupBIHQR! z9uJB8#;2#Exc75!!Q*uzrX*J1jK*;}>5R4fa5O~=Ey^DyDNP(yX$h;I*@3ClG)nMu z(xLImy5ajeDO1Gx{7s8dDpmXRyg9RBcFybEw+UfpWEeu( z3lN%x3PwyOR)3VYr^1D|Dd}Sw*8}3iskyP{j-;rlB>5rUHk`*FNZC zU*Vc8&*jdo<)o{#(QqyQMpmM_q7IfddxV?e9Rj9Q*YUIZa*wO}0FflqQiUjwv%x#2 zj_CRy8p`SEO9(vW1)t&0lZa2!Fc$y+c_Do)uGPkx<%{(_(k zD7e!@%hr6i&6WI3<|TPaJ%y7sby1q00~aw-uBr$v--fPuIK-=<`c%OR@#>=LS=ja= zFdAEp!Yo*(qm4O7pbJG9o^QgCb`Ve!yM0;Yg>wI|M@c%C=!})ke+U6QPLjriJPTt< zxh9ZTi?v>fO>0Pg=L%C+Q&+4hoAF(3-zMVOi%`96!3NM)sNB88aa<9PYiv*5%xROu zjQ@u-!D+?|_ZttnXG`!it#R~S%4Yt@unuoKm1T3hMpIMUq9mRW+FB@=;z*^y{spHv zFyH2{ndq`qI_x20LyHX?J#TNHng=VP)2X@TJa$Knf~CW82mJ-nGlsLyL?NHGWf-jI z?Z<#q&i`_MSqv%E-OoZx$ou?#(oOoyb7XH>Ca3+VnK5}7wvxaQ0*>i-NqSZ<~r z!LuaVM;kp~(Gzl%(rx2a41cU(!DphR&M|0GeOda5O!Y6~6`)p&eV;vc@mFpBXk0D& zGo;sYce7IxB7_T!jyBIFxw2LfuS_I?oYJ4#(kBmx_-%+r$HCK(%vX_#4odV1OV~!l zh|#E4_H}$Rvq8tQn34T48>Ze)JTu>4V+0n?`6ASGcyUO0{Nb-Qbo~b7u-|3AI(OO2 zCc4V^Y_69EZE0(awaxR(BS9HUoZ#ERB3rkZpZOJg=H{=!5Od^8(R7z@Pw<&_+j zF1uA%3-QTTv`L^z8|h5L1VL(0Pk$~J)@{wH2+jR2leYHHn%}SZujwx72-qMKof3>1 zFj1n#*kjYH?ke+|kgc(hxovP;?KkM*wN)##fqW6PCRGv<)+XIiowQjwl6JP+Hk8ai zY`a~JY=MS{unEz)M_N45jGeQiJUqO~{!6nIG`z`gF8WK2Go$Fs(c{?|GMr7I+c=GJ z?y@!AkRsWXCS6L9?Y>DcQ8;QY9IqI==sUdDIO4KylSYdIL5GV%d>q9)LY#dUC#2?6p#|6Mj?OCnbI{|0YOeBG1;PhaXC(ScJ0uquxR<^Lzdw~NQl zu%o~7OKK~6Y+dqY)ix0JG@Pso#l_2Ld&aebXD&}xfZF>*jELDrs?+Z@!3vbe$l&gyi4uQK6@`El)Tz-UdmUQ)}-e}@szBQtzx05W}4L81?wqK zzlVp~RxQ1&_ow=iO+64^L35GB7zYdYO(Q&{!NpN* zH|DF0HJ#=n&2F-wZHSmD$dHJ;feWF0)LRj~stINlj%yLm67LIlsA`@ZxQ}DA)N^Rf zP1m2UE4EWl#h(13X-rqd)s}MCQ2*O>>+W=0y+eu35XM$oD-^If&DA{35}PpM2B>q6+gL0mq7mkPVQ2o!kdFz%gga9q5%9;SUi$z8Foq5i3J;2GTkx9uVaNLyaioaY85`Zn9mIJ|GnuzfqL zjGOKrzCu9n*VzhgZTM>gI5g$7>aBc^wjOLn!#fhfk%j%b*9}OTQ@CWSg4^+14R*Hp z(M)&J3g#_FbU~k$8S~I3c!}(NHje)K`2!|S-a-(xJFf-5urF+s9PJ@Uq=OE54b%CDcxlt=A*1hv^$|);l zI!4*{+caYaExk$K{|VW+4u zPvD?MIDORu$ssqRf1G{R$n@fF+DcScveC!4+y+lRLoLgTpa{L`sEt8q_#D(-|0k!E zsjkhG$$8a?ZJKCd;fmy=d^@b7NRKnQ(oX~x>56)2P8#>!0-fksZHKcCg?iu|i#T?& zF&TUviYTg$If^grA_+2XGH$(N2r8=R*kE0nObPOOcE3%$%Ael~1VAuk*5C**jIGv{OEEfEL3?Fx2U7SII5F>1Iw?v7LprLUaP z-adRI{*D~(sL3B(9`W6BkAq8B7p^Pc8H%w+l$7OC9i*5pUOKzz3IInz zloRFisoL94x@=Pf{zR$0ubkm*h3F^F2JoQ*#X%<)Uq633;&&yecHJ5_)k!>zA&Qo2sG)X#U;LH=OK=yAE#O1&kk_DDk{BkxFa!j+6!AuJWhmEJy5D;TlKYeV zL`>mKu$Jt?`Yoxqnc6VH5*qx%`(OH$EomRWr}&+P*Z-Fr;v6^r1j|5Rpj+}5qO#4$ zH3eIte_0>mW))|aElxg1d3Uk~!9iHU!V{W6EZk;^hc-f|n1__Cc1>O$hbWx6Put#5 zpFYqAeBO@!7K^z7+VD{W%QW@C8~GAio?AA@>@2z)tOWBHXI)QX?^;R{yd-92)->za zzX((!SwSwdp+??T&K)>hW53Vc`i(^I3^v}p_BHJMFPk|{Nhnkdpe^<~X;uBjnnYOQhh;!GpUF@CUq3*S7B}<~wWR6WJ_uR=UaC@vd zem2n9(zVu$Wn^N9!5;iny9M6lrKvRCp0fyr+pY!VzF&*LKS=OcYC7XB4`mqZYi+iE zL*q~TU!V9tiki$l^3KTbJO**DyYff{V6B7M*BKPPH8*(dp^ zU?=+6hKL{JJ^9hVjIzFLr$c=@^11UuvC3C@9)tO)-~TsU^nc&AiSYKsfRv7fC|9M9 zg{?Vy=(2tce?^S*zx-;< zN|J^mpRSZH_d_aOIRE{q&2^9@f$ZS8r%l%_T1WyWLqS?>^HkAAwH=+H@|N#&7n7}J z_`xsTqSUYXZyRYNBH%Ee*5VP#l^!IC)c>at7TC-Bix`}K6)Z=3`Z>6D z!mCw>E0Hz0C<`Sqh2vu_Lqoq6&)fnNOaD!KXema6Ty$wfoKwSC%*D)ERJ^JCYQ@R* zm)HQ(VqBL2Uc;4rqc7aLI6fO-*Ua6aeWHuYU^$9A=~3TeQ=vseVb5!`)xHC-rOg#Y zNV6+)`_Hkj;qX6@KZ3|9q1**+C|8n%db>7l(6Gu7($g0;Osun_SYq_{-i|9 z4+LxY6SoWgL`tgfr~zaz8XFnxr;=2AP5W$EE+aJDOlBej3hy){EErQDv+#N$iDe_Y z`otlVzV3nM;DVn>WGz(mHGL|e0g-@F$6RH zKcq>wY#Bw!ld=H*{*J7)Q`>~>Nrv%obW$=V4j>GfUIbm0*j6#^^~+Y)Y#S`*gbm?G zC?*X1s?ina7}IQ7v)8?jj9&{blss8sqf(!onM0!UOAK4N<~MaiGctthmNzG4tpyuo zIw0|#1djE7;9F~c=h1Y(t)un~CI>t$7cL@rbiG`O6TP%S=M&4aazeKSGaMWvdLf-8 z%<>M;&c1xS5+B46cibMQ-OfDa5)eh-gs`94OWHASm=)$h|`(MCj{w60X z&k`W+bY!T%Z$o+w=aVF!AfD5Wud))c@!WB4HKTO_O9zjYQ@!g{7vKS<03Hu6^bhl` zL>@|8%v9bSF%N0!X=?TlU^4VaORxDy4-o}L;GZm|xrzQ#s*1;nimTU?sMG_wgQcB{ zb5f(>%&E4*2XNLA>Gr*y9^*hWQ}?>J1EZm}(QDr454&sG8V}&%6OC7IE>R%`dqSa7 z;#)azS6gS3Yip*q5QGQ7+he{>6)*UzizC`|f%ybdU;p)1dGyND<@bK^{iovl@fNdx z66Zf~^N{0-)lD1vj3;#qfcJT&L=E+)Ci9vKbhiq$-WoS~0z+6Obo_b-wnVq5iai_R zxM57cjW4LKd*S-e=W^v5Yr$qASH{!u$+eo#6EU2{zM!J=EXGnj?1Q_>!u6w2M>-g& zJOPl%5Jhg!W{kV+@Lx*}(AC-E)sdfSkyL^8qk|3qZ(ls6X=vDodRv`9>~!E(aZIUF z%@DfNl234zP5PyD0xZwWoOmin?BP|Fn61SLI#5%hK%e|5>Rt*`1&cfa^IwUemxX8` z=+AS{RcojY|HOQOeGXsL0PcV|7=*DP3O}W zTPPbG(x=2qCBVrVEbi4Kov+?Emx=e|uW}qZ%3Ig;vK1y*TePX)*kjG>VoW`aH~H(^ zOzU^EpLBRCd~1(YPilD^%spPSN7g22b6b(1G%A5eP=Avepz`civ|&FuHa2gj|32aI zPlqp}mwa9<&S?sJ?6|CbFYUOIvCbA_7C4@I1;k5h%hI0C2*V6cmWfvDvt$-c2q zP|VmnQ>1Uro7>{HqVjvL35OaAWMI{s2&FF{_XoU$1)tv~{a9y~X%J7G=8%sxSNJAh zP3l_hiQ}QR_gm`NV&*PXMoD}ke$JbX{hvK+&mU5NDQHB|nosh!T*f}~XwUUxCHna@ z)Va{LLS7#7jQV$mNjcQzskZ-pWOI_PO7Sdq6HC~5TAW$;t>)9cy8KEGq9%xME;D)- zr|B&RCKxd?Otl6#6u`z?4wIIG881wYm7@hG8uV>5KB;F_SyFR)X;K7wu_-;S^qO&8 zOmUW+aU5I$Yy5Rj_Dhyraa0<@&K}3)zl1Iu%OfrA!);05o^E1Ev(mylTk-xYqz?pm zU#SLodgwqmS{kN&IZI>;h#hP8dK`8j2s<-s#OG`0%2_5VGf4w&o^~q_5?bC|f{$XQ zBFb;-A*#dRznJsX4PVy+#imH5;zwo;KMaBoR?Ox-*W0c-gN_dbn4qA}xfDx2c(M8s ztb68%;0D5fss2B3F;ler9H2N={QNYM5pNJPs<20H@@}mYRR#6a!k!om!sxS3m>-x@ zd?49FUm^xalkK1m`0R$JEA0p0h4W;ErcbMXH7SK;#->ldpkbj*Ely&uHgOw7ISu&m ztp2qh@JTU@nI|>E#-)~+;7Lq%Cf{=2>vZ^zm|YHZXdwaf!@cld`Tt(1xB#Ptzr37; zw@J_WUL}uEB*w{sP;bP@e-Av7ML@P`zmRVW?W6gLO-%1)1E)#B*okRIBc5r_c%LF5 zV=m~Aa4cGEsFGIgROo?$DxVWczLhDX=!T#q*$W^$-cr{OBbcCqF$M$@h}&75D0{@Y zf+}YVzj5i=3299-h{ReI_A*esxZ`cGvJ>X8wpOiZw5Ih|kwT&m$`jBS^6YuEQoivh z2tW7 zM#OIfaj>w?Y+Jlf-Dv=k0v*^8(Ghd! zd+z!F9NP18Jo|EIMRPYsx-&!#E#WL9@}oIk2^oR~3`U+Xb^)osqH{Hfi-Lxf=2>(` zPzA=*8Ltq$?%72E91j>8V3NG+7M9BPMmB>-1L>)JFJzQkiGJ@96bE5*{w8N;5$ZRd zz}%tu9@i7wSd!9(haZVqtD@G}OJ&|(Q)a!3Igh29f~oj)%!kF*GlT5x8Z8?6*qUqP z4C^MnpQ&JRQL8$u@qd{1@EM>k+6I8dC&uYXx{m@mrQmm>JQ>Kn!LU3)$$M;0EAK*p zc8WHj1m``n4Q;bwwC1SKh>N6YXpTKp%vX_85Vu}MSJZKUqek;^2$!`t&)i0cy$d?9 zB&0q^=;!P@hc1fgI&({G^QXZ(@-tf!Dye>0p05)!5qgsMvsKBbC(}pLt=0OUv6Xaj zwHR|xqC`ir$Ox=c!%&@8gyLi02oTBkeyMze?C5VNDlhLiehG&-ehtNw79|p%lBTen zJA-lp6F7nGK91e)Q05mpN63favl{Y7l-TB^YJH-9P0N*U2@EkIWHjb7+Vng?s)M6Z zPp{o>iN5EoR)<7imlv*8cTA01t?(DwQy|Z%K(3R*vC2;7q1I03zm{>1ZtoC){sTpI zouFqmi=a}Q{oxe9QqUGp=8Qp58F+WGM@<@9WacY1N7 zw0~i;K1{Ki%-#XQ$$8XaMx~XFL#fp%%I?j(P@g*pMbs&mn$mHV{lU@2A-Fi`lGYJw zk!Br3Ia(2VOfL>+lV%K?YRI(cktPCnOs@`iPM;MNqJB#5Sj0gtHZQ~*b=?o0cuLP< zWmN@?H*hIm^4rI#E;7>B{qPj;d0)}JBXqvIMnLo;p?WPcC>k}j6MB!tLA6pNLVFMN zT7#FNJwSLcwLVG@A=L0{e}%*`hWGyYNi@jk8@Ty~rurEQ?Hk{_AKD-4mNM@TqmRy3 z<`5Wdb7;dY2`$a8f>GUj_zN^^m1_oRY-8l;XO3<5&&ugr2PA?PdKX=Bw*nc^n8`F=F?!B6e}kqBndv%D7XJAEQWfVl(YZyWKx z0{=3sQnQP$X<9jlKSKu0j#Q3t5ESf=O_UQ?+(qpyY_Z#>*!>Q5)yWd|n!k78?j2hZgk_mBOkn@$SYx!GLg4;L7w9Hq^v*D?Fpp4mc<*&x-4a zMP~qwW1@n{#BJX;$>wUKwb3rEGAShbT8tW?W_t>%X7ggsv*;OdKCvvT<26g{$7Xz$ zk($k?>DRC6FM@FwwfxJHo7V{}*>0PZ2VvzVE0fn(SX1%S_GAt8P?1b5C13<2gs0=I z7knAG-d)svT5cMcH9cR&{KkVyZ^lqr?)V4I02SjHaw z!wPS~d1h6o)L(2EaI)WU3;wL~4TCb+*s1G!KfT6&+?|hh@ACOVbawsu@srT|?`)X; zKW+H`F<1tCb~Z*f_W#AA8JXDrzb2inX@#wdG1|+-#MPLZz8?8{z#iT>4!S9x#eP51 zNl|2-HPjv#iNsYbu7Ph^YwKifyXvx_#Eo7AsY9ro9HC@J<>78lL5_?9qO?I6pi~1R z65$4JLFtc=QcPZkSYeiWo3-xDx)e=*Q2g}!Y3$PLnC&>@mE#Q!K?pg|ecn{>TUYtn z8cS`vpK8O{YF$15D@vS#U``j6GD^z#y$0ky#jYCmdd5QAJ9WH#nArFl1^{E1{>hg^D-DO{!|3Fbb7roYLI(Ak z1m=^!Eok6N_9`ojLMj%4Mhn{Bl{@;?tc5}GN&EbpFce?C^+R3juCpb}`|$YTjvBGl zb2?t4qf<{0R1!Cp_;Qe<+Vck6O7dQCIQro-$10)v`!}^B)r@6)65kzF*wIO)CPf&< zt190%UevfJ>cVq*wav<*1cakiYo-Z(m?Jf#JUB(Zvg*Ch<>nr5t1|f;<8+%*rLsq$ zRX(L;08v^o!+M`2 zCtFgrhPy?_q-BKaolE;f-MJx5Aw8!mS=@*&m+#j1hU0W~MRIFY%>N?yjPg6ev@Mud zOo(~HcOqOk%hMDwT}yWM;YV~53HEMC${y78 z^mTa>_aJ~srRtgV2L)ekvlG>I-gZ+i>^uVJ#A(v)J*-eRpeBAg;-1HHimm1Bm{443ywvdnMx{zzvSeY*We417!Ms{8)mMrcV!pGa(_xm|->}e^ zp@HrEy96bE%><_eQF??J(63CYlR}!k`@qLiot15u*8$MH}jqo%AqaL>0$1ya;Bn zfPOlb;3~V$-44~Ee_KL}KydQGLfjs`z3zK!oru3Ys(5TLD>bE8ztoN%63VT--^(`~+Xyo_0s|24nNQCu z50DO`;7tt-lomyE?FKxZ23uHkbFpB_@M73wqjy%Q27LO@&$c`Uv{x~l3V~e4VDHp- zkJqA*GE%EO=%>=jI}GXtxo6%H{TW^XyaTE2SLp2sqmT`PMF zDaUv9GJi{WjM$zvNy|QHQ!AQv8!f>Jc4_}lob*4usYLVN|Knpo#2foRI)8s7l>hrtZv<8R|LYY0W$rhGXJtg;(7^+l z4PiuDd9>>NJpS2)QB3<}C&UmL%yq4l`q)G8R3od34`a#}CGXIPZ_1s51Ay31#_RIo zfY0A9RLi4*%3os6tI z^7g_t{iYRd;fHB-H3x1&zd>1}t0f0ULf?oitfdFV6|*uZbhc-W1q3?PA9(Wj9w?P8 zpD;GNXH=^O0wK4V&2IZ%>?>Fo(INjKuwq@%a9(&S48xcn*sAqz%h|5Zp5jaYBc7M@ zlf;CaHQAX3_3$e?1=m_y2Qp9YDk>e#LZUp^UhXdY7WIy;!ad<#%+4t$m0WW>1dPhq z^)k@nmD=YBjEuI?N_%t9g2OyYp(yk9-$Sq7?k#{`9GM|)553HJT$Dsx#a3J&+mrm% z+=VgZII2Wf+-Wg+DW4JhqPhnob*=DNzyN)5h&pmh)VwfNtU|9&c9yuWz;L^!#MoK; zi^Y`jsGQN-WS&re>RuUv#l{svIq^47_%K<#sM}=kHz%(nwvIQKw(HC=O%^VC#hTm5 zRw`$o+;TL}GPh1}m|}WNc9{QQtCY&`ErfM=%0E+8e&?#N>@-R`YKv4`szg7SV3a3A zS==;mR*T=TRBjxV5j4ZP`?kj!z|9h-T{^d$SZmd7f#JubygLIZae(9Wguv zaV@*M&#rgco(_2bc!MEbyyqyKGEe13=B}4-$Qa-1?@VV+_#YIQ(v2o5E%kq|-nCAp zTd?u|L$az3|o)@0w>G+|~&d5_o} zn*4PAOTL%5eF@ySDr9GR1->|F2CI^<>b4!e{Pf2^+%0XD^e^?B!q(tfbGG?xWn8u& z%KcuFm4u#*c8mb(ayhjzzs2rDeebT7@qA?d^ka3E#yo!37M=1AqEr2e~mB<_0T~I^^oBKsDUhb7R{ie04e=K=JEgU#yGj>e`z~X zfbjpnE=GFB|J3z=TtOxVHb!RF|HT(%pl4)YV*9V^kGeeFl$O`ox>hzyIc9Sn8Pe0I zj7W(IkW3tc_*vl%z{$h@GK~@F2^W$36KZhBQ4)eeQowZiAybG*CJz7*2rCt>j2562 zrBpO4d6$V)6fA9^3YwgDukIwmdw*)}zG|)cs^Ml;sXzS@T#JQ&tOcod-O=uPHe(RX!Xd!%Jw3RkYcrl2 z1p!b04s)ZW?K0GfyqD9ZB^*?1>oFj>s+;O(>vMsz5<}hT{`%SNf*T#HGYR6o((F2V zalie2+qJX2rVfg2-R8OdS^4Z`rWL-jo#}t?_dMD59vyCU?E%~L2FI|m&~_2C+?L$Z z4CCR#Ab3DANaNdw9U_2f&6XTM*}h1S;)fa&g%Nwg76OOzwGQLfc~hBIVjqP->bOtQ zgBK@X+nueQ&=S#@_8y8>L(UsrGw+J$#X;x+QAap{8DpZy`xulF;_bNl6;A(k*3&lm z?8WuKIJLz0N;3HZ@Lwm+acSB$qi}c@#~m- zJYygGA|5*c?7B3bGo`y2rC#~zb;{ffqC4zK_^60_u4~*~W_|e$rXCJ!@YUd9y$!p?W2{4Sqvzm(6g|cq%p4x7 zX_Vr4YlRKo=5zdn3I+3?v(3`2B$J2XT0y(}=$^eG=1e%>{SkMX^8j<{{JOUo+dDVN z8gb82wqU^?=JC+pa69lH%u^7}g#)EEyoYj6(vtZlQqJe6+}eQbGRmZY_ofo3n?4iD z=UmKp?ze|mJoR5dbEMK1%uB4wLuZxQCdP6&l0+l+Ur zN|>48WZ1*fz967VOTQCKW`eZu$kQ^=K+8KWlQ5KZ>;?w(xU3c(Gxc$63MGC=eo}&6 znH8D(r}sJ8OK^6d+|GjNtb>n(nu^J-gBGKx_+A*Uk+xhx&P_Z&5Br~J&2Hif2{^%s z?1UCK$%6LH?HVB>N92=-z?MtY4u?=hI2JnG`<*twL@KVQHTm>@^IaIM-&DbyfIj@G zlA#(4tju+UGrP=iy{91}1FkP`#=6cV|5?O88e(D-4>=RP^hufSY%ak(x5GHWu|j#x1N(WT_IO42cM^} zub=nzygeO1yK;V7-uLs+ZTQUSn_6YeU@3EA^?&P~dz`*Mlr*e#!o&g+%Db0kGm~1j zy|9#Jz3uk7QK&5j^C@-55-{0KFMgfaFHQCC^~ppuY}L+uCD2AQLBY?N({j|M;_*z=Ry^Os{v_CCY_;%yr;GOov80 zZB9#HxWG@p;s`2qfjg~A#b%+iAG9Uonq#puy16@|J00gZP5uT;!!@6}NUmX4#ZWz{ z?zND38*p|VCRa3-P*&P(zLAcIHCPUf&ar4C^r=d3C>Lq{H3lSKrws`-9WbvapN_WW z+^-Y|5rOK%3US1YC|d=H$bsmMp>QGWOdDY_zbg7f&?^T#kE(=(g~gP$6ZCXk=?Ljj zVFhTS{+hi7Jq^=$I_ z`eWOzt_~psPFpk~1D1J-jQ;mM+LK+*KPRTtk2pn5~nP{PJo%(9A>~kZ@dtz2VSXXMnK0$Or0@Q%GCot`X z6WB9GAf#gWtnLq1{Vl#0i}d<-;3ljENv?+|Ru(}yjh5%-v)C?Y<9Xeme&Y#jT;`Y` zKkQBZ1jT-#J&acQo+MZg-Ph(wWZ%Vd!U%a^92rG6Cv=C}*fyv*9H_E8d;`zBu^MFd zJOHW$+Te81n8gMda-VSxoZg@VkuhyeB~C(f2=xb{lsSDDx82tH9!&K_=HE5K* z!}15(Eztww7`BN=M&EHSYF{*pSLc3j?FsKkVgwtprz#i1qYEVUGFIMCB}N~}9cl<))XK_@geKzp zj^dl90a|tiZJgLaEpCw7*>&KM@bw2O4$|=>rp4pe9Sctr+fDQLY{skS@1@x8tDAU- z5{T1@(@c7%21AA(0Uw`w%Gl2jx`X61q#`k&@i9DrLwd|6Db8Z&f(^n*6xGf{oj74* zbrHrtP1$g#BDa=RRTfrNHCb+^B8No}4dRJs-ZKFNPxtmkLLv!5t+3+QV5H`Aj#n+n zX1e?~N}8EbrnV4thba3k1W>b3$0+d=MZrtgOVxjGDp_9uFtOPtO4HA zdxqp_%91{zgKe-H7)_5|lVMt%IE^&LNLnw}6lmOn3^b7_%Bn!C5<^HS7G^$#unLrs zA&+N`dpv4xaQ(vA1Y%`fRRskN1p)2OryD1#w%K2mVml*eWTU$7O;H5i;o+We&U_;~ zuX7{stvI=i-@UTjtDk2X$C0GBz4p^kV0c!a$qOyf|LELsO*BC_i3ym|1jG>pzew1p zUV;zkf+2G3aKSCbTX{y)Ey#%dH%&vR_?rrUV$If55D7xWHRPGsr8X5<{U@+Vw(l!0 zZ}(#cQMDC?#n=*chJ_QC0QRP>W{e67w4j4069pvI$>Db5D-fHhMlpBzKJ@?VN>fvrvxb6?EeTh+2Kj}Gs z1HKgF9)Es%8`|e!**;>F44Mnbo;+&D>|>l0!r0>q#~}cn*xln@A7oO4{Z$xnq11wd z@hni3GEj@%Mbb1{D20~=k=2u_7K%m)*ZO$VCV(eTCVEqMe{W=cT0YrqyPM8;EF4%L zJNMvzLDKH}b&q66bF}Q*8XwJx47bT-kAB6CTL7&NSnD9jCIVMJ;1~Gf6Os0{WdEYl zmOOzw+}7pOEQPHEAc1$6RH zYvz`G&apN~MuUg8N(4j_kXnnd%71|O99(_a6|_Au{3OE|>Kb*PU}6+`FkKVW8RGjP z0d&jS{e|EHF**@qND@{o7KAdSbPHhPksnCC8|$H^tKEY0(M{^5QC2!yX$RUCUQE-unLB8jK%7oVV{ER?^v-orv31vZx1r`F&QHfbTae01gU8jUEf_4Pf* zs@~PSyPFB6`zbpV+zdDMUGv%7+ZbI`&fe{|+>A}#dL+uyaqMaOm>clyK-*dGHtOGx zCz|1F`EZ>~?d|UQPS@q$aoOW=SR9zvyy>!B6t%#j(ZhPa%b`UMX{B!9?LR&_>CC17 zOPl(qy`|V|!)Dxhd-oLoZauo{&Yv^IERQjRfS zO+?4)Op|AK#r>ocmpCh9Uf^!91AHr*xi3W=k0N33Mlhe z%ErU=vDAa8ludic^O&?RM6`j^&d{6r5r0^Ra&lH61(sRSAu#n^`#=csl%G$6<6kna zWd718X+crZ8J}l`$)kI|gyDz0SU>z)jKbhEWP2)`$+K_00@RuuA|_=vG(i2-L3nV& zf>D0l=O>GLGW6K^4OqteuF%wn$n?mt?MW9cC)wm6_fXvpgjfBuQ~hD~m~T9HVwO(H zU|2lO*>4K{D8b1Mg#ddFKoCSIUpt=>Ag1k**tY8fuDGX0i^xoGJJcp#t0xfm2$>n& z$5EK74*F3*{0U&U;#R^PJ@Su=pRGFj{KcFaLic^S#xqGWTL2nou>#n=QuA8{qVFW7 zz#e;)z?}?Ou*sDZ2^F#(uH{LW3kF?$`10(f&WOpEN4f~PWo{I^Gt=F&eyBpJ~cuxJBlh+)`ENBz$sklY;Lla#idbGmo>FK77b-fb@Nt8q$P@)X^t$+-O& zq@!AUFUrw9PFpW-bX*r8Y0!Kivzq8Ey_7IH(wM^^s3p`skuyWktwZECFwk$}MvwiT z#P?~i^j5w;KIb#`Xt-a&=44AGixMd_yh)Vt;PG1081f6Oh_)M3G2B z<}KXXux9lD*=7(w|16|U?bLIdL!^e@;T?hKdil3bc5b|vI8)Yk7XAV@#%e?VY?6yS zdxXA`lW}0v%G7RV0h*Fv9-btLI^|94k+2$UW)aT(33#Fz0!s#f)KKy*-ecRVb?*u^ z&?R}?su)Zq7>XXqsE)kdZhL+0eIFiC4j*s3%2gX;Q|V$nw5{#>+QF{VG(+kP>IDK| z2a8T}aEv-*hytn+>(`6lfxSlLxIIBWHHs3w;&0}+RRRk%?_*UWqJa|<`pY2#g%;;p zVpYe0a(tDbx_kZO5o2%Q`^Kl7e&DG(vUY}oiYOO_VNR^XB+Ixoev$o&c{qxn? z`x|~B+oWxbPet|M*8~athsUJxUnDI*-UpSbh}*>hvNbyBsn)pO&S8w&d}We6^G&JKd$DusSAz4k z>l zR~KuK3Cb^JdV+A82MWa(ry`h=`ByGTK1_o=h$3r2i79-2^T>CC!HqrAcbN<;jOY== zceJ_ou6gS8iQ`kh1v+OiZ(e)K@Gl^X&N*ZHxRFRMEKxgxIsasy=a{EHRK|han!ZY~ z$l7H|Iv)2_!>{sBOr>mv8o>1_-yd(_GUveA_dX~3uMq5%QDRHjR*qz%4{T93{s7IU z^lI4czDsfkS=gbrAk1A_WvX-IwSo?uuIw~zQk*1aE^U-N#Ggb}%}rEW-)FpPzK~b# z`&5$I5ZpJio=on!=Oe7^be9H%Sk8Km#+YoEz2{`8*-66&hn)O3eQb6xy}<1}cc}$T zH73b!8PgTmrpwGMZS$$tjXgcnua6739DgysCy`fs2fCi;p;#u_y2Y5E8(Tcsw=V&= z6x_8H`tg1~i)Ih#Sc$)uB{kFRtflA(FyXiB1t7z`5{iArp@_X)c7Ttn^|C&iJ%qik z52}2dnKHNDAI1qleoPuZl0TtaRCuGxX`b&}owdF9JMRMB^kLmVYC6$IfF7lXc!_?G z)-vbF!GpAf=>37w1tZk#Aw#znL(XOLIL>30o#@O4BMmZckLRVMVremVcrT_ib&Efr zmQ3C!3Sm%84L&bmA={p%yHBtGa8RNJooLYayT z!d^rR6>WW@l9{Pz8(qLHUFL0CAxU-i2d&OqESo0N%E1|@k zf1+_!-%fj#I{-5k+_uKs4-=(3M674USEJ?ZK_zh-79W}Nf)L0O3r|AjHc+$4AGj07 z4N~|Ut1&PAHavF)32vs<(Lg8O4RwRL=7faP#W~))b5hvv^S0!CF?~ikjEC}pl&~TY z(7aO2LLrg7`1%MxXv*UMZneMc*iY{04pbvGsjV_c32X2dd*_%A8w;5ukHR zv+D)*{XtZ=;`gdnX(uqmBJc6{Pk5ino(VoqBugC}!m7D8EPef{ukSKA7>alhg@;gu zXsZ5`lP^LbEqK2w0og zoB6JILML<!_1u+$(=$$rFKJe#nxU{#LAtbP+ue=xVVZYMYwtfojsEqQUQQPx_ue zb<0!=KXUw_J4WaPvcsp^Kz}4hIkUug1RN-U7zVIiEqcG+7}?iS4vzM1@C~HOnCpM- zZ*vF7?-JV(iOdZxfjU&G2)>Z#G+|-bbOHqH)+~$)m(L?a`~q_x|1ch%oE#nTbT&k5 zK~0s(TwYaGf9rp#P*kV7_$#ze{AxOk`ec?*jEFPm+#OQ~IEw`m-2*1MO%V->-f{<+ zA_rOjO%}7(4MT0P7k4D(k2#T`b?aIw9uN*&}V zgkHPTj1>vbb43zCP2JT5{E#gq2YoVw0h{9dE2!hk1Rzr{_v2Je4@+km9mdgYP~=vx zza*D^G4s@3Ul#0Y#yv9AN_u@d!Zj;aJ$tq=Ga_In^q~2ZC{a_HNQ5M2^k%bgqr_uz z$oZk4H2v#(i0#-;hUGu>l+|4T<6(;pdS#5ak_85qG*m zxcSsFyz_HVZR2>Q1T+4Goh<-CD$0y*r*ukYOJ{_N0{4~ySN;I;FhS*;E2(W3*O!GV zIdk(~&ippwuqF+fr$vXMtKwoJli3o{KBzcBb@-z*@KE|m2=ZP5wG;@}Ta6xB9~D~Z zC<3ZGB5&s_^De+y4bs-JTgTs>`>D~@t}7k)k}nw{AR98cNo73W9wf33rJu}IJoue` z-#_;FV9t^znKYrN&ps-8%p5-5EnvIz$M?YS=l!jC`4QuPDlIsu2|6*RinxqhHLX}B z;cz|4kEhT3S(fbd2#$-oFl$4c*D@eVP|4!Ui(sZ~(GZ5JmXBf7w5J8?I`UmaEr<62 zMMQSVk4Xer)xT5-U07K7lNFOT6QT~Ng8bnzV@|{jt0JqLHt4U0Crf#e_Ew&EF!l;= zw0^VFdw=QFS=sH+QLXGet5wl~s2d8c0X*P$`9MC!_%PS_o0{fd{yR z)Yydjm_S4pstyVw)|76sr*6(n5V9MlsN$6t=Yd5~(B3GYRW=S)V3DMs9qY3t$q9Rv1FJqlgdlQ|Pjx0jqrH?l{`lT7_(;p$svVx!A~}a zL0mL%+(F0dd2Yp0nTIb;A`N3FH&fvWgoCApv1iU4s^BuGtO578qEDuq8{xMgLbS|3 z*g@7qUaLE9M(NHKlKO4dHiF+VZ5zrd#soVitv^4SI4F zymfyc=3%wcXHDRGkm^^ctR_s1cgb;@ORc;baE1! zR57i63Nb{#s6ZHq=slz#x-W}Cvu@oWn3^-N@4U)!~`#EKY((`m;_cP6p;iw9QR|S#$SY>i17vm<=sn;;7N} z)sET(d?)BIW=?Aq;-g=SMnJJpRPMw)znnh33Gt9RK1)7TKG~kD^CYM59Bhc#QTfrR z3)&O?l^|Ga1-vT>*Hg$}70X`@*0O6PqG(YuCJZM4=yJjU2M}iP#P~~+&hk}6iNsPH zLZjh{Ku3`xK><*GEsy0C^hYiPLDGy)=!Kz!C;j@9vGeurWy+-?uQhOL{L17vy7Oq< zyE>A|#=)N9JW+kC6#7!s`hjJi=O-_qmUiqfAbC{CzGsZul}Ra0E7kN!S z1ANn3Ip;S;>!#I$nN$g@Wax3wG0~(k6k3y1FoBn5I4^YRg_TnRc zk;jefuj|#5x#xOXt37T<2>Fi_UN77>18}6cI`i+Lg~LTR7aR_xjXBh0FqK?4d`(*W zTQCoydqlm!DuUK|f2=mIX6!w?IpVn);E;W=AoBst$o(?qXbtQo(FX6i_@(iG?&_X^VkEH+#5kyHXE)P&5EV$kW$W0+! zhbU}EvRuWfE7~))erj5RvE|}^9plP8gVsrh^_3y@9m~{MITG*blZ7$jwCiXv8o2pM z_-|)aPeY>jLoU0R&#P@Z%>$1^=S|7*&g{L$)?%wbj*2gm&cM-_<|*FB>c;d+tCN*> z3zp8-x-II=1D_b|kytKoEcJIPNuNu#%;}L;)F3h7*S7tbmFOrx4frg_fnA$_paR+o zV#1{(=XOCRVUglPnlx$bD9m~O@D*r#XMMQ6;@m8qS>B|LE4tE|lLE*m0C5Ge;e^;f zwki0B9~odEh(+GA1F{fDKcIjJXGX(34Hmn;Limr!c)!zM{f7us7_+Dc=ZTH-OnKh| zV?W9ZlRA6xaX=**T@giVtTwo#7{RInM18aY1ih;F35_JKNNL^c3i4aaug@8dMHN~i z&aEEZnlZ2FTM}EF%{k8y#hfS-80J_HCI`kv;aj zI~p+_^izIBlVb3TRBGH>)!U)JmaI^yk;||YnAd7e9Z!Mli)Q>B-8yzF9VKli9q31h z+MQZa;r8-8L6Ded4V$T(dk*&4-JpULf45UU6wc7bko9Zog!OSBykT>xp%IYHdCx)Qny;34a2;alXn*_0In>zlv60ba@tNX+h zney=*6u;^|Y>V35F;XKw?=`8eSA*AWWQz^vmjO6b?^$}FlgYX~4SDl{g%C$f*n_IcO< zv(mpHq+$3+8x4gW98d=970R#mu|^dbt>+kE)%{@!gNfr{V_uR?ljG~i31RY<061f6 zu#&3?F2#{fH(UcyD3(Q4ythY>4$O=K(lJ3z#y0bspc{#_jD+CA1QUxqqB$1|$A^Fm zwZj@7E(}yqLM~LWb7F`UHl16)+B!ChZ(O3_;L0-1%F407D4#Zq$Bn%J6e9_<34pIB zZddF|Ql0Blv^{nwJPyJPGje8z&xj_YsGQ6|obIIP9am8UFx($iX7Oy%&~2{R{%u4N zWnU{~8uLAfD22mSnpJ)wF@;;|0Hae~@NaJ%UX1`1%Xg=1SAzN`Oc(k0%aoiWmjZi~ zkHZ}UHM0)Jqyox{kn4I0n}g-rDs2F?%RK4b?{cUqXvoTu z?bLD?c=M7{K3Sg3_MQDw4HvfQXM3vt$6oiPq+3=`r~6x~xI;Cozw)vjOBQp+y{>%! zz-Kb8MH|tPNj$Ifbx_0RA)9-foO!o1Gjpi=lxUhgbjld^rQGxUXs`I1$m!+v%#dv& zRhZwlZQ$YF%uV+QbP{byR9cz{!D}5>3En^YZ5f6W3ic3u1;T-@c|kt@$ozW z=J$=Vhh;d&t`tj$ZQn^0-(?1u<4MjsBOAS&mB>^=siH9vg`gdgy){#!>_zXe;DSFK$kj{p24L{DfVL6gIy_?$Ecz-bfl!K1bo00 zAt&h0Rs9Q~Gv+(WnAh-L9rbcuoSLJuUqX4Js=vsPy9Os#HF}r*r~IBS*7si-bvGaG zVqkF(FYcI33+W*%kM*aN@OdH?rX3^9T787nx|Ea*B!6Ig28fF*h}dC^{A-ueER{|k z8*Kw^V`Esg-ll|d6)nk78M#Uw&N?F3Tz*6JWkL`jH(eMK4!! z>85{iu>Ylw^zmP5;Eo5))o8+CiH`G4F%)+pOS*3%3**yAe-tx8kX5SH}3J^9Fq7g@? ztY$o`WJj0sFoJUZsaanLCgAhupLY-@yKpLqt`Uc}Z0s)-!;v_zrsUpyV&y?}@&k41 zBsWF{6x5Onq?TnnyAa){*r(YS+Lsit3?8dw5;{Uj9!R#Y`>0GxSjq@dxJSP_Z_|lU z#HX$qQqN$gqHGDCIJe{bsCY7Fm?CpbeV!1x8p664Qsr!e@orsVv~9Cn z1TASU6!Q7qNPo7f-^yFrg#0)ac1RHE4D)67vsB@}0Xk zbg!fn$*#3!AtJ67_?R%kAwv9INr=@ER?ecBJ5?0&Rp9dLp_FQ}15_UPrPPVo6wxJ1 z{y4Bl2s}m8i7#3&^f}Z($|*l~fnqdpWQUTC$$M3bDk#R4lH&#WM9g~2AXW1?c*JZK z7~b(^CBYCGog@hrdxz_|fe{jGN+wIOX8d{_Qm=Dy`mP+k7^DXC4q4Z_E|x3imPj84ro5kE~8`dt1l4IsvWy~Eibgh zvguM$EQ1?WQ?kd)mdDBdS(z6mGe)fwC3xJsA1b4G7hmx!E6?pW$8b4S5>8Lftw^Sw z6}t+1Vq^rnu6g)I8zgR_N6(*<4u1Zieo2Pi>o#H|dUNRHwA9pj;{1PXE-1(C+xd^C zw{yVD{z5rnW}=oiWo9$10x-1{Ux9r6R8B*O1sXKJv2bHq#pMo{nhA6dKa-r z5kJ^kWvy|4dM;ee(epvDy~tvyNnZCZj+U}cq?@oM8`(oV!SR|sr#$b<`hJ4x^#+nnqwf9mU~~NadWLze#a-vH%>VisW;opG4}K7*&mI>^a;9?=p+}>lJ`=X;eUb3)O0%5HH zWO--G1b6QXQePY;Ent)911%}1_Wol`ksfUT#JCU7kRF$(+A$kbveu@dG-L9j4&ujZ zPAf#BC7gc#yua17z&^(#JsEug&%GQ;AnG821G>WBU<8x?Or0L@{<8kBVmhkU+f}2Y z=}o(-aojuIgGq_iYO|x25y6gtg#=yC4p(^hX)+E|l2(z(Po`bEFQ&R2!13W(ejU1s zg`vB9*LKe2za@+Z#2y@2uzt$GzVfJd)VF7UrejD#;5Lp>cit4q#p@th2T$&vF1xji zTs&Y8jHQMu-2A-VTv!8S#$FJ0Ge=7fogakTKf`x4^B6RVFIY$UGEn{9C&k=#kUiH)C(-eI&mbx+mK zF2$oUM7Ab$EcAA-djfSH6=ySnK72tRG4jdxsG)~T5<6B^6bP!aIuu1mGmv0rE7VU+ zM+(2;$28FGz1v9>@-fQZ;Jof%FbxaAN+ce&4GIJ22-D%tFdb!o<&@Q&K zE~nfr zY6i})%=Y%>J&n7SE7hY68N5S3@FNRsNnhXUsS5z4%g^YQ1sD*uR3txVOrW_)7Q>H) zgW`pw{C8al1V$z|^)#OCjOJP9xdAlT0~=NpE2)@HRb7I!6s!!qQgvY$vyN~Y@kKHv zsll3ByfIDrgCh~h-=gm~iM-g%tB($BvHQ~SArzQa?xmQTM%go?eq%7pdCaO-@Jv(2 z9n?2NT}b7IAl3+XB=`25t2@-a^p-iXtpmRE^~yY}<*~-oaXj}fMW##A<|Ya4x!v_j zuf%kRNIUN>W8wFwR)?(fkWF?v&uan7>mdN~&_NCX)4x=_MXxSy@w~2IkI{T9{_86Z zYmwGNi83W-Ie=(n-tI1Z-z{d~;Y55xtqPq1HyS)h|0cdo6F$L3BhQijOQkZ?ML;}f z;L9tS{X~dYPh5l$)VHh2&5hz~!_mR>KyIN`vWqAd zC1W6{4QNtdggjD z@`~POZ>yIzsVRcP9iu6NGaQK^3w3LYMT@k`;12$J+$>TGgGsg1XLfQBgWcDIrUJ*; zOW?GNFRMvan^j7W5VZvrd#QJFAqbd6$5)#dsdo<+SMPXcZMzNsfV^HI|1dMRlUa?^#9Jtz>z&L# zkls9*yt$=q&VqgOJJ-ltWmJkk#VaYdvC3B!UK&KjkO-LsgFv(foz(^8ITsjeb5|r1 z%MB>s89GJIA7Gp&52IDme4VbOz-6`Di$b9o-4T!qhDD1ak+9bbaEyTAHivhG)8UW8 zm&1aI3DdrBfXr~%T?AQUE#N8O8!HAFmm6$gR9A|Adq6{IX^Jyabr_9yzuAq=rEbYh zI@}+*iMyb{tPAMky16>m#^~rtI-Py67F}~BMTp&Mr`uL!$CU?DRx~(FWFV1K&^1m+ z>`-ME4OG=!3OO3m4WG^Js|SftGGS3aCvYXIs?@lu)Zns8rQ?7F#0+pB+8>{dodYOr z%^#v_;c{IavCf{08gC98|7m|gYJ zz#9z)b1qIWxso^DkSiG+4Y^*A5+y;I9uKc|@=ofup;Rruir>gHaefJ`yv+CWT%51v zr@-a`{!1RhZah2G&ywvD%#QI>_E@sL2}%_WDXU)b)Bq0CvFG2Sx7C-_*f~U<>Q`6{ zEt85IuarWa<(6;xt0hFvk!LcWc2sd=((>gw9~tb=c5Ll4W$wX|O}i#f-`>)i%XZ5v z@f!B_zK<7hC7r$9@_U4^jq^qJS*|qGgu98m^x_-6W$fBx+h^b=nd;3Ce%a3d9QjcJ zsz#&nNy+777|vtkEt;fg#0ZUYc|75yIPy3I&ry(oGy~EaaC5gsv^Xr`B2enlQIYEE z+K4egy;B#JI-G`A68(5#m!P7A_Bc*W4TplPV2g+YACyW_mLj+@lORkrpqSIq>kNKIwb!G z+sJnAD=rU=nIeOW#$^@UmGPiznnX9VR-~Z(oWVNKz?T3+U;!9cHbg{xd2L+0VEjkn*Ao;Z`JY2E6%8K$B{UES+>TQc|%#}Y` z`b=TP`Mdwf@bbby6hAgCbEbG4_C0xf-*cEe*jaw_+NQ;BzH3uy;lnddXzbhP-{f?k z-LQe&*gEExQ`doRVNqan5xTCm7iE;hzdOr<@Riic(dk<-Xao2P(_TDJpfi{te7^3V)ry zD`7<)WP7QqS{YVEZ>-jr2ge2t&Bc^4q=T%%6;iu$$Vcq5?R~Fo&y2*;&K=*mvvbyh zApc(Ne!RnMHoA;@ zef7wZf`?PSM&rhhcex}_te_x~ObR0`HX9m2=wMU^dB93MxJlBZ^2*AZF=GmgieTh*C z;!704;gu!AA6I`}&5~-Y zhqNk(i6yG56EUWkE2c!E5SURFkQG#d^-M`gWqGB7U*)J0R|0;Gf%O_R23K^Y!oGYT z{ocTvipNnd@|YbCqsujZf>x^^KYpyoV;idskGCM35$@1sQ$x}7hSA(Ccd7UD2x}bD%@DuU&z~-LduQ`g|XaN+Fxl+<+kSFoE(m6C76)Q9Ojg9A+ZK!=?ye+ zN^k1=@jtJczk-)%z*^ptIGp1_o6P z$%@qfvU4esh1#StZti++l!y;Juj-rIS-4fpQVvL(Xz@J8(?VipG)6tgIs|Oh;(0z? zmtD2FlsY?B#`#u|um9E75i25{>s~#HrrE z-*V%_Z){swUe#!Y+l?lrCRi{?}(*3`^roP<2L=rO=&TCY6M{|wNXj^K*^c&KzA5 z-57leRzHk>85Q&>Dn?tQC!(yGd`ZX@GM5~H>_>{k8W6#|)Xx}N$qB-mX|oB578J8V zJ}AOl(g)_IL|@QHd_Ef;Uv6_bojlS2a%(iak>fdfiY=vE#be4KiNxbpKFt%#A(zok zn(HJ%`F9h*Ce?xG1E#6~_%dqwbJRZjW%S>E6#Yju9{_WgI?fY-+@;oJEJL!t zla6mz*s|U2SoubvJVf|6@OzmTN!?bmBGZmPKHeLVMQrHooH}RIjAlPm%oO*X{q{70 zeW!cv*|TfAuNAIkcHj;&vRIbS_by{+?rNW&sp)2Rbjfda$?h(&8N2*9p%*aS3>Fio zj1!H+rzO8$AIfoz9qXzM`Fy}Ot3|;BIYA&`GmfYLEIw3OU3(1ALgD_)M}Uh8qcH_& zqU9iKr2*Y!BH>E5XbhOoVVkWkO06yyD~ZriS96X^MZ=1tB_$E%Q0FBc$BXo=)gUo1Rk2nC>_iyO-v3}Z`F@O2FEVN_40AN8#2%r<+@JNOkRi}L!SHJ$v3%&akeZT+ZC&J(1*We?sj zAHBHsJ2MkYpE`1POcO`iHr7u9Yq_oaDa!#1u>fye z*yRrzFaYiWI_Plu!a2m_)L)q`bU{);Dyi^75)gA`%@1#!EX7j7E#(4m4OSxYlfI zwDlqbd)>jB`KtqgEiY9q6kUQ)UoW~-Ssq(!qS3TBdtQ*rv? z0uEb3YKjw%#^^sj2-6Yn3X@fNt|(B`tf;K8aNmGNM~F$3!-mra8BvIii-(Chx0UqcPro?BQebUh;GT+p=Zag7vq~_4E7s3Yhmw zrXH6bK7L%*9XlqUgsGn`=u59CEapj7Dejb8@DBF<=~De|t1{=LRyp|5zs`-RfAPJy z@y?yNlT<|I%U|>^XBX{kpHANV_?l@J4$4n(OoR@;r;{?)&LDp%e5&*x4p)%14u38A z$3_EVowWv?jyH|hXzcbeg;94Pr;Mv*7(@rnXtCSt9W7d|g%mtTM?!RL&7qu{V%Y2& zj>|Y+DSi&m8O@ZB8B{bVv4v*P5CzM7;Zo8t}E^%eZ(1*^@< z9orNs!S65d?{9mptnm25>(3U{eAU(#DXoZC0-##|7?#*|wi03SrSyP7> z$+Pf=wRpAs;97ZECa;~DgLe{p^wT$c6YQ2lom2DVLY8$qD>5=2}cnD`>&{(bVk;g1J$%UJd6Pf5Z9fvcZeDMLP zfjLM>ejWrU+M7O73g(#eYV)S#&COetcOZ|~=jG{K!9c*}KxR__-o=DW7N^tfio0rE zjM=rsb-?wZ3jjd*3IRyH%cTxM@qsc%A5EEkkazoZ>KJn!-tD%l&PdP;dRJ@IT6`Iz5q`j5>U;u>)-ZL?lCX|?|;+v zE`U*8*SYZ7d!IA!$C>y0{nThQGn&yGAsu8H#)JwP6RIIR6pRTW1cX88x%6i^7I#CCvyzyv#(5{LSCsjc{@{$1Cx3H2q!#h6l;*hq79_ugk_B%EH4r5TMh z5_9(2YkzC4Z+(kuujHt(Qxl=k5e_V23-QwGrJMLqD6NR1Z^;Rh^|OUZ4W@x?yHcWz z()RJ*0IjTbkqe{t_N@aykyIKF#5cv#dR^zHFe{|nINX`bR~fZtDd2aIhLYHTd)ItUR=*|6gBSbb9Zg_+HPTsC;>T*F6kXlj7}8f>V`@ zK)IIR$MF@}g?SD0(R?0mBZyytJJ*3B1@x$hiW;t52MhP1$AH>uFX{o&d$S%CUNx~kUC zXL#r*gvhxXps#JO-CFx=bwK*_W6MWtYXZ4XlU@_o`GFMr)SPS z308si;vVVa09Z{lcWM3S-{m)C?M#HJ!dB7%GC!?iNuL|Vd$Ns{W}IHBF1 z1$BHKp$O&@eB$T$r;VLFxaCKI_jCXq=%9ZJ+C>ijXf^}TrOH=iqby;hZ#yhc+n z==CZ=7tgC8r9pWL?QkxUJIO$SN>5ydqfp)lPs4iyBnPw~3 za43aV0%3P00xiu5O3kzq#iW#PL{hP(1WE05uEtmfYtsEajD<9rm(0StY@TJU!k=@5e;Gd({ z##?)eoy%7(Ipp>qUUl+4_>TC!U*3GJvvcIqFzABs7e|(~#>IZIs~7aMw?{@dzjK+9 zGf5o3zAJl)apFr#*1CqNV_Luqf?BTD%k?IuwmN1YE9#~+v<9%~Og#r_^3D}aAPZT1 zYnIQFP&eaX7~jkI@DAWp{%JuS4qNNpY@Ml@Zzj=fAcIg-Q)_AQzjjWjq z(kN1=6YU8|647%LM-rbVI4z1Mq6rsg75wqMm9VO;Rkb_gCPU(sjk zjz6#4eDEZDaeLSD-AmA)fL`!U-E)7vTYQK9e{tt9`}-~H+Qx5ynczgiDJ~P2&jxEw zfW&T)02{8nt6Kf`yL;#L4lEiVSi(7B!Pqdz_?SO`g@J$!kyC?b1eMXJR0e$bP{Jm* z{EXmpy<9#A&f%r!S)TH&)k-DyRc6wxXp)3HGR!vSGJ~cHcrdj8W^$W9nkT(WwYmad z-lhh;d-?J{HGp@~`~7`=yqWLnb(+H;oqkx{yll1jUS=lH_4LSc4;!{`+IBJMy}J4) z;JFS_CWhaAa6_2)`shU<%`tdo!XWRI{RPIG|MfEhjLzDrj?3~91*@=OV1wVX&a6}5 zn@2^udd~2qw|u$KCa4?^y#b^R`wVXxJ~T{VC?y!3d4s{kTe>aqvIV?q0ldX;$yl~o zSc`?{@CDQmkz>f4cyb#03br%`touyJXCl}YnHUAH@GB4rQ&d`&L{dU&?Og3r?OH9X zy}^LF%qi*mEv}Seu+^qZsp^su(?ZQ+Ny?c7z##1`4Nah?&Epsqf67rwYCw$7KqX+Q zT!i#l`jGV&@59+APCWEK58H}e&qKvYsCyp1G<*CWY#G0mhi@0JA|Ae3e1lAffDPlf z=@>HRpg1O9h0iD@zN!HxhJ9BKtQO2Ro7<;JR#$UX@wkht&1O@4>HY%lfxuYDseoa&r_B zNPnIMOp&WWHW?Rdh)huwh}cN{B75VDYs1`u;qlery|Dw_!s6(IxlkBfk+G z*s|xu?u||BcOT#RBJ5wid;jL+@2}oD*uR}}vw88i@_VwMVhaf}Rbbwk2#+zwlJn6h zAMp7wqFKyn84p-0Sln8Ct9H4Z@pvfYG+V7srIKa{oPskt8Uc{OFU>@RNN?nHM5acO zNGL>nQXC(4%|f{Fvp7)1?Qs~7%L49E5B_;i#v^!oJ**nxsFkOTcoOgH8K6b@q*T*% zLV%#PovgS zj|9<@h)|Y@&59vS0Lozr-2{LXp>mS60q}EKmDw(yKRTk}4_$iQ%qxn|*}pR=9;#~t zbM}9e7q_C;f%jp2VZ8X>!`HzO2=5g~#RUnJ6JHcx5qCqVnZLja*}Lxor+DU?_}Tu> zj?H(@0vo#CR=h1fSkeO8E`u=mysLOeyd>!!1jSF}o9O!2f}~(kdQ&b}%8P87U?>!c zDQ$S6O$4*p7?#j~Z4r$o7fV)U2(S?%ZZF{jX$#SGI+jXSRmE#a;eWcIEHJT5460G= z!oFU zZ(;%)gvR2^ClXspN>XYm&8Dj-LB^C-euQOMLb6RI;pB2gypE2<1W9Z{{Lmy(^a;;b9wRmDh>bHe!29g3pw%wkIC@fJJ#*}+CTJ96dSg&D zXXk)-oMweMv|6UReZ48Uy7O}lV28k!SBwC>*@PaYID!0)*ZdEk@9%c(=@;@mA{kDJ9$PO-_c3JW5bPKFLR-9Rv2MgZpXO9lwTJx^T z`sT9D;*CRx;JUtNH{J$%aZtRmq6Hj;{(*tNeQy8wHL;_$?bjgK$Nuz-JtBncq8{VU zW9;jMpJ*2UH(vAaG8%?w>`WYZ1-&a8QaIvqoyp_#*%ew6t?C#P4pPn0F!>^c@#Qi> z88YjTU86}5-nXC^>}I>&<%&i8MNWO%;3H3)=-H^L%U(F z0Wk)_FknC#!({`!X8=^{sh?yXN=uhbm-4&0^p_X2IyYIcr8%l8+D;`&r;5j>M>Xwa zE3cB3u2O_@gbifigVftbyKtfp1WY6I<4@bv>PM@v{L<3c6^DDCL3N#n&EDOdvx-l)^h5(+&zQA2nwYA`f3 z#4^88`9KPN#BWW;(^JaaSN7(AL)G{sElGnkliXoNse!geHRfbgtVyE( z;`ju6UzuET#0`xB(9l@QU;pvtKb!>lY?Jdw=9 zOi;#(*Tx^dI4q8$pm_QxKVVhjVR1J5#o13j{b{jN^ov(zP_?MX4%su{9mpSEF#fSP z)HWM5!K;h^YWzerg8pS_XuPYsdSz43ChUI|;_u{pWJee|^}q6;)|kW>LzE5 z7(|(zNbYpX5lTm^s)FHg6gyOM?8X@#{;p9_MhVYRju!*Tk(>)wTGg7d2Mvf0vGefQd6M2g48hwfULk(oHK;T0>Dj5V;w6KuLLA6;f;lo4 ziXY34iJjmT?)zU1yzz!i_rqWQ!;vB*&K3uCviS>*>=p1HY2mBX6PH+`?7eFrF6>tSK$Y!xP7%GCG=6sGzU7-(5v*cVorbQlY@zl1%jMxoNEh@PXepb^~hyat)1x*AzH)QwJ~ zdx*tB58htcl5+CYT_r6{sTr}N!c(ffG%cl1lbv_BiS}6=q~TDNpho&IG*@b+WZwme zm~4*jJF2t^!V$Ag20$Z=BN|wDys@3VW#)C_?nB~Dl^H0`+_aiiV}COA%WNLZ5k)uv z@38#C_ds?z;O}jGTlV9`_x@~rJ>GBoWwIp;tn3G10G=0r|Ep{(ST!b|xx1=UCayro zR=sk5`v~!w=7~o64cQA!8ppQaXN(*yI>T$o(KV7Y(MmmDCO%i?r!g!D2J0(Yo8(@plt|d@H+J(gi1K2x_@y+Nu<1| zD*eEdI;xLI{nGj+seqLdtj&`n9!two*+>m!Bav)^ITxs;Zvtc#kBqJsX^$`;OJ>+@ z3cFo=v-_IHe00R6OV243#!%Eu&plVnXsn4P{QfA-cEuPe z$IVbBl1M0#@JkAH-h?;dP{m>nt%IyXL&0n`Y6WLJuMGtenj1V7{4|I%!S3L;;6U(n z@N!Vr9lRHW!62uQ%Q-jXA>=sK&+so-F=+|_oBpX3-_g~Q$OyTL)-652$SVC|+KlcL zl8Yo11fx{j2ovRzFeyhqnocXN$0LoOT|oBHiy(VQ>BO#5K(=x@gF{2n~EN_1=Q4^obtYuE&h?uGal}L6{KYRt}mAb7L zmjpcwQ_94jG)ZB1x+D_zWyN4x&Tq7G4TP>_1@dS_(&UI|4ZqNc;V=~*&!##ssX4RVyZUWUZ@!su!6N`I_zZd`Y!?w{6{5kQfoW=P4pdjGE10g~JjQfdwSg#f2%dso z{~?hV##jO4IZNJ9-_Q^Uhw(pG!jJe^lMzwqZOjdZ#h&g{0vNV2rn$mgj>hhmR12xk8U7ACcW_;4u5O6_Z zVSNb}2BrWCDL%(Q-W1?y?=Ky(8RBdtZflT)4&fE>HTe*fgWsB+8u3BLA)R^qvH^u% zCyuD6tq`wdJAk*hO)37kxahq@SFRj-58l|hd`DY;J8RszeBS=~oc!9=i|-b_qEWoV zE}CbAL*N6L5od^F;(GB;Q`Qy z7eA4|D?2w?g$Caclp2j!tCh>WDzYb4pfIAW4me2n-#Kq83cUE-`Nmlt&-0`+&|qL` zVW4-!nhaWXq{l<6^y;iuoma_bYe+|7ub|`vzn@EFWEm>O8;;k+rJ7E4jg_-L3l%ao%kfd5%4l}XFX{4%JQfsCwM z)+-y3u`;RyV{_*9GM@~pk<9I9{KOL7g$XZ6d)Ip5T<=nRx6k{k_bu;)SMK#XNP}-S zT^SZ2$(5`lVrh%}ORZakF+$bZD9VxAx6A3<^2En%wk#qgX);UFB_xn2sjyLMLYabV zDv7Q=!a}Ifa*1FD{sB(neiNCsINDu&XAm6eUE6=4Z#MYnHS31I(F>j%EM7;u$2Sa( zZ(yS^S-f6sfr;Wf?ET_(m>koQ#~^fb33{V%!;-xl+xEY>;Ms4DH!Qh1AT9*Qfq47& zZLs>IkEEEe4co#SICfAoR_3Olk;zm>gTbOw*;zVi0012+00|Mnsy6F%R=q~AQR}Th zjjTc82-eY^H!^op)D*LGP?q3h|V%=kQ#9f_-R8yZ}b`4jYy+20v$3+o;zMB zPbXl>15zs{YT4W1D7*oces~(<|GFiKgdANg#$MKetmIL1Q*}$|nJH1Sh}R~YTAEWJ zX*!yw2$XO+OCzoR8$xZ!djjRhFQBWRuSf0^^T7Kcu~NJz`cI%A!Ey1O1LBpz{H;~* zoj7p(b}>cvkWTz}j4`Tc#g=qHwSgA|Wk9J`>y5S5wiy~6TiKar{Pvr1Al=5ea3mIC z5O`+9#G6c(i}*`0ac=|!q}uJ-TqY6!IetwQQ%`z}z#>80*jNQ3ZFZU7*vhwJ_dJrD zHcgCEEvj#AZf>ndF=a)u6N4C~(&q_zr0hzL z&Eg-;5+RdpEM&J=QTYR{GhbEZj3r`};hB-7*BH{;A+#1O3@PlMN)X)?_0r7R4Af_Q znfA=S%#qAQMy5xJ40hHD6ygJclCF)$k`I|!o|TetX}+DG%cBercqYAW@f1Z1Y5Pb~ z`(oNuQF7oFIqlL4mvgLBdWWhdq5wUhsiIaB?YpPK+C=u3B-XN}5=v7k3>zNcL#yW6$m@H|o0HK=0#IdFSOj?5}!P6mN)`_wRhxIrGieFM-|g zo&Bp9j$ez5!Z>8-EHkeMC*mhB1L0EfWq z#3t^YjcIrdF)E!lmh#s&HZ|qy>oYv|**!05v;xWD-M#Zwh)L z&c|URP_wm}OnqY$ZNh8k+uCtNdae);q$SHy7Q74wzAn9s>VA^c0BgX|xq-}tF(~w& zMgXfDr!~TK8~F1!;A;Cy8{E-$pbfT>RILj`4!s`e^*()CkD*;-8>81VZH;Wbxt`c| z4sVnu(yt7hY)k75E$t_M41Z$=^B8@cEVb`7o+~60p$IJrL`X?Ms4Ik9>RJx8pz0al zp8==M_|^=_&H&*V>u2nk@!1S^bjFPtP;CP*+s14#Yy;i40gOJ$KB@7C@+~tWHd|yy z3v2dabJ~|Xl4Em3@HR(SP&sWz1G+W68mQ3_VUe@8c-~A^eJDDqF00>GOAVsyq}I1` z>7X1rf0_JLP5b1QE|!GoOT{B95hUr8Go?}kovM~@a+V52WOzb}-6%EB8A|w-bc@i+ zBL2fu3yX$aQ&|DGsnTOdWy>3-ibEqkvk3i>M&L1)lgJO0Lk9vB?luNWJ#>{j%C4FR z|E#U?{76f0-HLf9uOGhoHrN1uz4DvOK=yLq3_(00{-iISU=zh(d=9pDM8z%)YVsHy z??^O(uiO(k?xQuu*Tz2x$596OmlWSG-b~nG3otJecZ*B>Nw5X|=j?8kiq#!*3)|nF z|JnhS@vDEd;+>-#n;Z3pOjF&?31lB6_=|t+Y#IKz`0fgE%cgwmPFU9`UR$#y zz8)%8e!RJ(W4WZ){qORdG8e{33Bt0}Vw+bd5{ZHX$930sN~pI7o4+SV^^l1uaCi!sVD`Se!4! z3l=zm?w7X_W2c=>x1RNVGjh+M-SL#z3&mdBu zcvSBj0+K8+0#eGDxk9{<$%Evgg}k_myL1Kg9~OTPs=$VsLGh17QTz|F&)*4l03?3; z121fTv)BN+e*jNB{8Mo|cvUe?q&o7(3`yYROu%+j_ zqreQF6CZ$$U})`%;uZ1r{{$@(-Z6{6m47UI7O!grs05pe=M_e4I2IAGTV}!Jvazsf-GRL*}59JY+I2SQoH|AWIs`{Sr{nZ)pF8c|=bmtLCgc`0hP<1|rA|9jfQTH_`T`{L zaE3|5Q!YMUt)9%~q$h0 zz=_=OK}&IVQN}GeCSCwha4g>-7R2|&e-am@1n@%;7Js(&2jZJ`tw6>#jQ{Ol0yn6U zxnzrmTdm@Y2gJw4fBxmHMGH2)`@8SXoWJg$@QT0OUu+R~2m#*e zG5;3nC%`dE4fgfT;EE97l1X{OpjInQF(w>y+m&*;TdUUx7?VF-7qIa*l6SLLA?FMo zKHn^K17*Qd4AIhH5tAaaZ;S~qtf`4_`Z-v_G?wtz*Iue?tVMR#?&JmBRfpDdSsou&P1*YTY!fo4(rLTR77T}j z(lD;1!JtnhJONLD0eZDh4b>c(y@L?R;8B@AABltl1*chWo@<8Kdd+e^+#4PaBPN^) z3*pf)t43ixF(ECZCyWKHRw6U2yMQW`D!6`H* zYy5tx?Ju0mWoz&a1ieu+)xfAN*myfYcDuQ;z8<7A8Nej?gg=22*b?J$h8SU5jUF{a zAzA=H?pkUF-R55NfEh7n-b`8tw^hW_lsaUdG{SS#2$gjDqFhj0B*omxTAZYZR@TTW z+nn@>a-ni1Ls`1G++tYLNNbj6>PTgBg6zxCzG84RCrwQ*J;mVgi@((K`iJ%wo1&H> znH&kbNrjyv8bJA(4M4+nUlRWdJPtMaWbwB6L-AzsH`zLDZmsVf77J*gt!`jh^pDVA z7dIUeFC8lG{bCJZj%~g&R=4rm)ojPxP`!Ki!;?eN<(*IbzbE^TYXAKy#D_2MYZjNg54(_SQ7a_jV(_dsgJ$CsL#MkEX}f$i)^48mse;}M-) zLkUr5IUGKdN-SqHnH+LxrSg`7O-}~bmO7$%mIcK1#0o46HF^cm63U^V=vDM7E-P** z;QryUJ;QJ=z6h^@7YD&+amS!If80GVK)GWB;(y9-(7Gnk znR#Wzq%#rWn5RdOj$Vr8=aJlCaF7J&(}G`+%aI&$Ye`noXJ|L9HEcB;F~~H?V6f^< zMCh|k&=xeM_Atguv|Og2Em(<$%yhwD=vMWrph`u>V|Y4_uA6dM<$aS})>4gfGEqFW zcSZ8Cr6FC?HOZAPifK}Rv7#zWx~d1@mSf@@$KdPW&hy~DsC!;C-xc2g4csptZa#Ps zy$)X~b`Fo7X1l>hZjUxkqW{?S8vpM-0Bp*tgkPhUlv4u&clqP0HTteQ6(5Gd>VRz6xuq zZKPl}Yt_=;SK~_=E9kXA?bixg$Y{H@+qA=4)_}Bl5rkMOj|7`Lj}XT=$?wz_Na2@g zfiWECBF~r#Yq_o5J`Qn0tU538Dv8BS$;MSu1dq1kl|9RpDIn|mODb)p#=GWRu2gl* z(FiF0NI95x+eKrRK)qC=qV@{8Kp5n?{^7AVhfz-kbisIA^637tHT#cCt#)wX*qdy& zc;}jUo=u6P@agegJ9o>EwTNBs_vE{l^)K&TB=y^k%@kAI2jauqqtu2^PblScWItxC zwCm?T&+xp1)ZP6I2bQ0a$rKmC(+rxpcLw=f z&0XfO58)uySu+%Hv_i(yAu=Kq-%$^M!3>lnF3UCfekz(>iZZ9nU@Yy0FHvBcBpM8H z!5o>4C?z_l4pWrG)#$~aGX%S`sTb?A=)}z}XH>=)H?Da&1dOP)xM&a_D}Dwwzn85# za5S@L)5GmI$w{anbv12#9ATGmu!Y}?F`^j8sPXzG=RH;ryB+XIXOaMxyfRoyW@-?w@~nIVk{KA`zblp51PmzP>Hv#52OR_0i;Hz zfGNPK?Fu{9ij-{JT;Pcn^E_kl$E))Ot%vt|$efZEZ2aJq_Ejy^3dwX{OKT@uuUE?; z7a67tH9Ypq1BzknmpKMI>1~Qp1#3nMX{V$Lk8UYRh)>!*GLCdo)7>-5-Qe!w?LnC8Vy_DMD0eaw@LWKSkG* zW>rCwJ8^Vu=;$kQf6+X%XTeb%rgsE8VH4OhzK6RqHpDI$=Pv+fz=Boc^YA-#JGBnX zpI^KPq4>s|tqVQ_tHuQ}!ERdr{s+77lANnk{4ZM9l`{r>9z>Wb@a$QIL0xKJ{MuQ) zmB%K%@Fk1S5wY`j6M5c5w55!8iyFy1E?1Q5j%oEqy!O=!c_0+3BGoY=Ey#TiM<8M} znUuZ=SQ-IL#2*nN!x5H=+>21|@wUk6$SD3orb7|Z#OjabBN0zXA`yp3i6ap3xFn{U zi<~ST3zZ4h5xrogpx0u*NqZqlb5v9h)~4 z-&?bM)y4(yr$7g6?F<)>iXVx4i?`x+Fbmee4P(c^?~8CvKRa*t?!n{P(*dZ053phM^GD^>m((-+BGNX;9^enmH_UGj)fm6}dpWp!A z^8J#W0bR>1S@Fe04nfMKSBBB<%E{#fr(kOKX?K&#!{kxwn$6ZDGD?-IrQ zuEF)Y2gaA6%i_D@{VtL(NMYabE{@5_yvlM#=AmGbO>b>=1X`M#6*)NoSvFat;#EXQ zLv0Dr04#+)#|lP4`NR?MjS(CsCE^#T616N>-`Ggwv7R%z+7QvJ^D->{Nfs8<3+=}aqV6!^mQ=?1k5u_}D4 zVZt?%nraM(fjShAhrQmQhcGOg&J=tZNhT(j&9*f2EzK7|9X1;x?k{|^pp`SEI=`>> zNG&_p2lT#uz9T-Q?)CNg;IQws5Bge`w!GSc*0yYI+1G;lTDG;offk@=$Vhcm>uX_{ z7GEuEOSFgAhPP5?7)N+vOqdUMhp|fvdpstC%|HOMu3)j)h&H;7q^fL8g$7${eW3z} zQfgbuYOf>FAL-aFDM@y`e6loHUMCb_avet|xKwOkbiu)oo2)qn#J^tjT-mcZ)>N8&*Tsi~X zzqNUY*BxH5{o(n8;4}&r*A!Fee?}V*wRWH-pD$pq7e&?TkFT``--pQ_5FcEAl?^@f z^t`^_+1m$t);%$HdmKV`eH}l5mW?gC3U?H5t&*8%{qf9iJiB*nIdF=%;0G&TADkE7 zKX1*&zAeKg4eg15e247s7)Occu}HA%bamP4h&O9$;;hJCU6)G5yv}C68QbzAZ1a%L zHjp|+d(PBH?BocvgC!$O6N)LROi?}KHkn%8HBM*9AETn1ZgOcgS4HBPD*Ti?)-veu;}McOIu zNJ0TqslC}LI&8##?lzUlQcY5?Xs#s5N`8R%D*rCw>Mr2LLOM|Ih!y%EU|J z5WEidgVQRFxL54iz2@Z6?T65JK_57u`OB?iH}8vA6x|E0uYd(eck6Prm~3o7uD#$h zQM0$`ZEjxPv1vaD4qsf-G4u+`EE^u~KP}DlOHO=M{(jj!x%RT+XY`dZ*OVgniMQYMBM~;L8$Al>#aj|b?w|-4rXvD zbD2Y@G2VsTBkdSQ8jGb8&Li zT6yeOpg}qd%aJ`{^fxrp0KY8pN10($-4Ho9%|y5=12XUf*8CoDiy!S5f9?>+>soFZ z#D8VcwqgT(V+g)q45QtjFO)~$-gsESpP1i2mIW>1>!@j9fc<(k2<_M*p4lPJ11H5B zZ@09Zxi$`gZuij8Zt?zZd1`#+b(Ur4%u{VaONt+WuKQp?aSqjpBv|^#Of}Qa%woEj zc}x$SC#a@RpVifs&o@6gleCP_YHXavakCP{izL9;1Px<|Tdfd!=gjeT5O1P;Y~EuF zzWP+pSMklS*ASfwIV(325kRvJ-~4o9q<0qcnB41?KgP`B7S=aYZON3-o=j#_nM~@d z#HaMjHxi%4w!b}LJQg#wD*L2_@{a>s3J<$O65~sdE%J#1*VJd z@^>LE>gt*^dk%TdQJ6am?3;CD)`znYGmD?)pM{)g)~spMrc;xA?6JpZKlwO4S%q$V zH&STI)DnUcc4PIm_{}BEW|ILz#$yLlbozh6398p$x5Q_TqV{f*sOnwfFKzixo*<)JtDeVBFtB8yflXF zOKFwdqjC%DrjkshY3CICmH#GxSHgf&PjR_%UMc{lOG;P#-6knIq@yUyg=3B=Tv6GT zoQ#~bMiZe%BCE|hWzT)fQw)cr)-5YGpa;eMshJ-) z&GD~nn1?otm)X@TyH_pfBR<+au~dFb_5$8_^;8RP2KZd?b2=vn6FQ5*X*WfbDr=-l ziA|8HQdteAs#Lw4FoQIB2R=h&Jp@&4ZB;rnt=*5v`F&nvZ)|+bZn*%yA?Pt$&DPls zCR0nB54ju2PBRGhK!EXJ&+GBHW<;GXCpCLkS62}uZXPKZ43iUmn+1D84}CCARaiMS zgfbm=-F8%`BTB-$LP~!+37F(4Y06F#yH@Ls`DC&#o51UOfr=$J#B5|j4I+TG@udPj zitMOuI(d0l!Dj=0TgC>rVkFw)qtNt7%S4Mz-{xyex9w{?(srs1e{re}s_SemZEY>K zI#wO>wYz}c1+?9+UKeCsf(!DDxm-oRSQ6!y z7#5G55T!^sn=L=jRg?t^IILC}pK?JC~?P0@4^ys>XX@n4?(3ot4kMGMD|mp?w7*Y?MMwq|tu z_R(+uk7W({gSWQB!`t7*|7|ZW+kRvC%6IndT@QBb-MnWriLvF3OdONnk^Mb>6qMA3 znH-LF4hjwvHX~Ow>QIMq;1KeKw0ayfXtj_C7oAlqWn|#QIaHfQsSE)cruwRSgm2Aq zUm!rJ6m@Z#G%r*xmoo@Z$@MzygBwN)HPxh}s6p@+0zRLDiTb0^#6~TCyDM+;>n(YU z-sN(HsiYX0EPz8}n~kCTLla}5!{kj&t})(7en&$g6~}h2*T;Qv=!-9nuZ_PNe+!5F zGA7Q%)fuV)Yesc~GnlUk#Em<3#-&OLglll&l5B{V)WUo^G zFZGa4iPI$+>@U^AXbPMQSh+B^t14)jB%n5N0|R3N1HgMQnPaE@O#BD%74VN8sp6P; zRQyiy&2R?X1ATY?;%{DQXaXzPp7E_e1Tx?pKM3xLJliMo$mL`(^@z49 ztA#WM!hX{Z?OLrJB13zusw$nfni})RH}xTsZP9c z;)I~rJ;4}xqu+?s$f#V&5Y)vE8SULYw1@Pg`PiSYv=8IA+hOB>fVZf!i$_+jHjqpXn#RUD~( ztM=2{iCVT+FqreTfy^(@c7{ z`jK9;QdWBEHjzlaC2C8v#7HW!4d|b1Dl@SsmEosyUC4LH2ZrroQ;u@i${cLk7=udB z{x>@XRAyk~{o(}PS59Yg+}4Njh8Awyp0Sm?pIIAh0ev^lBvWk574dD*41U-U7aig; zaY&R$8}Np){$}vfhe@!59UNOJeJmL7KLw1y%6{htSTw(D+w9Jwzb+3it$VsKGdVAY6I>MmR**g+Ua{lRLllLtJ0H(uaG9G6SR$V+3O zM=(bPjL)MMo6YYJ2BTHsa4;Dp8^X^7F)UUU^!~IT$AJC}c{0Uhs0ge+g`q|&<@P4s zNrIkh3Q-|896KFDv6$QM01gKk;nD3zz3$8IQ8&uC``oA9Nbd$(Px>Y`=v-Qwo4H^U9!HrU>>dgF@u zp!vLMMye=F=tKBs+BK(E`ENZrRxTJbWQKB;ydEK?$*`2yVv*huRcC{=4fjN^}~S9BDy2{ zK4WFh3D`gBH3%^pjft}`j25a$1D#UfIJ?b+ER3{(4B@<4EC#&ZR}}$hghbpeN-y`(vG=D zJ%bT6Pv(A_vy$)*IRb4|HNl9N1eKVogp3$%O`$s28tIx3|}ek65qQsc|q4sYOu9DEvlCzFjd_0bPn}1w@1i!Vzqm#1TX|9*%Qz z@)L4;r3poWw4^{a$3TvQ46-<#QSyAG5O~W8+MRQqYn^X7*|pBCPUsXY&b;3V^iH5b zPJ`Z}ml&KT(}V@2ExJ>6$V*g?O9EWM=75tS*aBOMKmG!&FbyPp2n4X{S-S ze`%IF?O^!|7~{b<#GMkcKC1E~JtVO#y-EN)s@|1o!~-pg_RKIDNFRCK=8&e9B?N zv8ye4$x!&hRu3pe(_Gn7e1%~Kv~!?rD0-*Q+3rLdGH(*c5oFfnOZIraWEZ5AVlwTs z9I-$PA<$W0kMSjJ6@7f;c8TTIJ#U&>fk(`{Z|f>~v%rj+0YkCadr zn7zMq!@%|f#d+H|tsLknuH3L`!>&GXw(s5jC&Y)z{o>nq*v=JroAm)6T@hCUD2h-q zaD3H)qhRBl;p6j$)-TvO)cZWG?Z(Cbl7E2h%FQI06i5iFnv@$yjR{y2xdfhOq6|ye zz1VSlV>%*d5=mZvmiI) zriIz+lq8Hv+Md=XP$E&CjWED+P z9xutEQvK?3!&9l*Nm|&_bmvM#IMG*>bZ5$*pag+QlCDSIQ{1%u`YyjLzfxy)zaw6J zC_cv(`{J#zTUC6AM?JCWLvw49|JXzOvD@6Xhuh$H_KH0_EXYBj53UghZr)7nku+$2C%=hfIuDZsQNhYNoye`>!%ALb#+b*=FiEqH3~wHh zM|{5E&xr~NRWyZQ`3OSQX5#B#k_Ye>PbsS@S6fKN@hze9Cg@oj2nM}61M&IVf|KLi z2tlYVbw>mWj0&hTI-pbORK~~=?}uKPAqNKUz2`+brzH3FCAo#l&TKAC5hzW1t7yH^o}4mqn!*>nXhv>| zYCIc}$=Qe>L3wnFBPZ+U1as<6*lWKJW{$6suO7b!FBJ1&FSs7Gi&k;JIQ@G6FH$Y& ztJ69LyA~}(iNg=)9sYCRg$d`+hQ;%>sPEuG@s$JOVwIt*N2UR5#NWTvCEK!K-n@l< z8F9z0k7!*ojN^^hWiMcBjxtFm3vQiDR83C&TPpA_vtAD~=^7G)Ww{9HBt0kh;u`^r zFL6eLA*#^?$m*Gb(8X1`xL}ZTRk6{!STadlXjIrX7$%BPG)z~q-BYA!T63Q*?QPrLh(jn`YBVUu>&wot^HP>R3~yc^UxjXT%1$)F2jg$^R`4P? z)FaLpmx%X@+frt9AUl75$C5RuXZWFY_`$6=7QFreU~XSpc=5*8-5_~j6v~R?^#lI! z$mPNJUJ)M*^vLEc+&HgipjABd_S@%I_V3=b3Y_Wd-?eeGq)BQPizOaNHPg%p%uHqu zoGYkQPN%|+H+FL!wyu$SxkAxFthECa&di8c6XvBCuUYR|(^vSfTml7VHby4Pm@rcV zR*aCOdS-?Z|4f?6;}_+@A2YKs2w#HTSqmM$8lsHO>Dcu3?d^UzD;@UEs8=>W&Od$u zEP83$Y!mA2>}n!*Z4;Vj>%Zdr3Wk+m`AQ?FQsG#tW!9`b>DuJAhI~F>U*Ft3t)-=l>QBG?PxmzKw5A~oxF+ckD+ zSgOfv2pb@Nn+AiXT|eD7Jv|+R2yruJ0}G&7cZ7HF$t1wT0E5tK&QM+qUfcSJiYT;b@mZ@iCq$D z&JvLiV{>^jd->cf$vo4R;mKj$Q#yw4N(2+CC11%Rk;DUmOT@)uHt{6bw$bj?bu94e64%A1pUe=&2LYMo;VXks zHVkEhUu;2zNa{da9SRxcSwtQw!Ua_7qR{ZQWB58e>J^Gjgg zDE{{Ui+6S$aC;9WkFERGf+vcNT~H@(2iL?pu%&oR_Fleg=86sC(DmzzhWF0<{fE02 zJb!T65IDJT)r!@dDeiJkxNxld9QOCb+b=$+hbon&q$9rQY)vg+OG~<$WU@vPjV2@^ zmopj-O9MAW2HzO3*G&PsF5yU6Y7#XGTOAn#dXY{YStytV&PK;&;$N*{G+J#i84uDy zgYh_a(P1J=r7P5=GPNmui~5rGq~xjH_9(uYWR+spG`tNAqy^nT()R{|x8w~rhEdRB zZYz%Jx}Ci^U~_Jh4ahFbP@fD?`3R-Mo1ZINDvbmr@T;QmDDj>v<`M-N_9~_Fd4+z zs0DrSlcRs?FYZD1#=}1v9C&uy8S#^N3-F$}cp1%uhmL;snRs*In=0$ol?RI}!rPB- zKDdGX!Na>C0=_!9U+fZp@afIoUtSe2jOS>grJ#>Q>M$Cr&19;oJ=J8`z}L?jU3Mbtd9KP>rSlNY zf^%|tV|5LYt3M;?a%8RQa9G$NXbManu%NoSP?G3-wwI+mc%Z2g2#>QyKSL%YC;~1mS%+ydpc~wDHYpspeB6TgviCE%!axY|c#{518=xZcp z5mrx?M#xyJS8FC}qqrB z^vXW{Y5hGt(oYUCD4nGg16Eg8#-|x_6%JD=bej~~`UBcYmiV>1CDms-$sk?n%BK9J zz@vIjl1DLva+T0L#V#o2R-z_lSo=}C-Q2Or>#Vy!c2s=84ILUA6t{5ej}pasaeUba zD)WVn0}uCr?d;02y~{pWv;G1~ub98v>)*9t1VA`k9PV7dQ#`SM|6cLpT{wH0ZZoj6 z{o?09G3H-+a`}eS#7BfD4DwsDefR{{;JE+Fh{fVSic9!hlrws;f({LMdIZQFocaPj zQh`e4LS*kF>>=s|jzcQ7TmhJN1ook~(1!?7eQl{%l9J?I2&ol#8>4b~VWXSEM5-aY3SqQNLg69J7&Rmk~4? zO>ziwQ}!MB=-A~6rnC1JyWpUB|NLjg9cRQ_eHV4+%PaP>|I$)?U-Tw2UvmN9_w(U3Ms!=fdEDjfDkl`Nm&6NJg<2OO%Zsww6E7YWS*9}e=mrNOm9*d9C; zd^Px1koD<6S_guu5|`@aG)P0+p22`5LwDBc%~Lr#uZhUf>5&hm(N@Iz&?&s0pCa}$0^JBmx^$8f zLbeq2mL+tj`qC*XALX1zvoutD>Z+BGZ;9GhKC~;`zVgg9iJUG82y(e8c`#{_8PLe! zMTRR5*SB-wRT~?Yt(iYo|HAEf4;X;{qo1#OUS+*BXPdZU=p*stwr&td*S7)xorAY! zKd$@Ew+BaG^hXaI6~$YbJXo`QZ2d#Fdm+CAMQ4gB@lc`_ECUOB#0}z(Wne(!b*>P9 zk7MAUFj^+gbTYHyil33*Poc&%YgQ!kc#Mh$gr0cf@j!dyv}C5U-O}iCIUJT`2gvy9 zo2R2}DuHIajFD#t!-K_w%Fr3p>3o5J1`vg>2;pwPeGriQeeeim`>34GJ;)Mm9r^&O z$xrGO9Z-QDXQw@Guvq5E>DWMq#Ze3!ARgMs1gQL{-skf{4d?T@o**z)g*WCCHk)IX z%P~Vm#{%hSkyJ;EqB(2%9YEjV>qvL(>p0T!X~#r|+=w~|M(pSiI;CDD2klCHNo~$U*jd#{`2dKOvvk^# zS}ku))?k<2aK6x7BeA&}glM6@mbm#3YmmNXEB5n<%9yEd}46;aU=b(HT+)(V;d$ZCZ+MjmMH>NbR71Boy7+`x9r+7Jl?k}5QpDhd+9A; zk2=LmxBgB1r9TN=Z*E!C{q+R6FZP0AB9yupoEK+O9Yf&vVYvhxK{%E$iE|EXk5A$&xM0vMe9V##W569YTmjFgS!TLKqnSj4?vNWsJJS zC2q!;M;W0cZ%Qc(6obRkd@Z9a%bO)M&9XFame4HASe6i$rdfie!~c1nb0o`2`~HTJ zW!bVZNB47oUiWn!+i`Tq#f$LWu^rqyz$02EIk@%#G>?(p>Xf`I{ySKZ9b%*Pp^z`^ zPB8{|v?c|_jLc9iZS@A5kV~ z;uIwlOQja%Of4XPzPef&mLcjJ1KU__u^8RBXDp{`YK%UEk(c0twK0Wdi0OEv94T}ZKb?nNr#Q^Euv;|#XkQhJg-bdz?hT?%UeyclI2yPoJu_`q+r=B<{OU9!g#4= zUXIOI(qsGDJB*rLMP4g%m$;AoNwD*^;wR*~Sg`nyxaj7 zWP7%4T`{(1;@-RW-#;=jwthc%dIHb)PTU%lye@Xa%6iv15rw(Xs;X>A8Rmk);1HpH z@>*7AGw5`xDmhEa`D%n9Li!me1{1P!H^01hh zSJ4Fq5g`xcg~MSvfVEnh1!k(RajbrPnm&DVmALQZ+_ERBw@-UK+JRLzSDO2H?k(zqz7=v?h2$DA;wIqoE3YM~JGDuD`xRAw|vF}~bFX|+(1HYpGf_tUd|1p^zRnX(0Q1DW37oMXGGbeKyFb+;nO&wcS6%IFqj7Ez+-q0WqF{qfbWY9L#j9f+8(d$AuVDxr`P>-v=4%3h1 z&qK%7F8&$L#0hZEEbzf9v#}YiI4LZ0N4*4yQ;7uY=e1N?!95q)l!vMFAZqEVd|h2* zw2_H6qL`}8698B!0AMLkx_F$YN-mL0NTsMI6`y;H#fVB~odrG>wtgHCUE< zEbkA+shTFToBV+MoTMLY2k>tb`rF<9c{|0l0}`eBd!&y_>CN!&Qz-=<9U}#in$0zP zYCf%@$#!WCy3yunAfS!{8E%b5)zGjajx!~4M>FMc=(T1o{~H;N5i_)Nm_(RSR$<0{ z*7D{OZa(o4q|)xv){!l%`3)wGDZT)WG%{cL$0|m172Q|9k`vVF1Xd0CV@{;?GlCN+(rs)r+xozaTpRL=c z(C%EntN7gU;uY>X_?P|PUwL@?0dUW8&^Pq>p=}R83SK&T(|lC4snAcI|6?-sOb&1y znR|vi^_OtJBq;#qQt7H{3Ke(k2MU=?>_?Z%zkH*DaJz5RB07Wxnu_ZyhQ>IgOQ zr>sIuiDi^pCeuiP0x@IBSfx_KsO4%x%@5yUe+E=uRcNfjnWMSL6 z!Y-Ge zb%<(4X_RRhEt21>*6Hl(vR;V~+Ly(`7>p*J7QvQCIl}qf&{EZu!KBri3=~E1R|7Fk zNYw<4@bg#XmQu9vnzXZZd2}gAf{xNGJ%qt9$LSa7EA&-bHijWFH)xvXI}nxEsLbE> zjru9h$8(-e1@joURt$q9rHK8_YY8x!G3KxJCaCR&55(6B?@+r6`%V@P&`-Z}_VD|c z<__$>dg9;_@&T&*$dSS`M~W`;Dp+$3m`AynUnix*+|AE7+~oPU{M>&^-V&c7JVZUP zv2s1FH`5-o2k*(QnPC`<7O@Q~Z{4_{RGN)m3mOGltwDf*!FZ3~FOWvBV3krSfK`vZ z&O<3`{YcuD1@>wW;lUefbw+}y5tR#>6dTN2t%FXm+PT@e$N8G`J*UWt9D-9?RV88w z_zS=&q*jak20YbANF=RdP;>?@sEuQ=BaIL@B(Fng6Yw@8Wku2o`Pv{4f)t=P@rtk` zAjUCMQd~fdBfwIer{=;hMA@W&mtJjo!-xmsAmswaPig?yO(yp|u;cMnd%)+rzkTdm z>qsRxj8639#Yd~TSf3X>AuoPKULfPO#mpsk)z-PZWQN1`ddJ9NjyVnh;g<`ES5+BsG@NXM^ja$qo2m>u&Xa<)D)!? z7V%fZ6j`m_qXgKb;U_edQYk}mjQZ5?Mv_xPuLlnFflhhHuvgac+x8irkh@pyBJ;rYk~(y_buDKBYT2lij&-AtRG}Z7T4WU7*Agj z|1DBRM#vQK;EdHOb0K1QC*cM6vC29pe3Kf*Vwm5Xe+KR&bcmkmDK|yYG{XR_kqy9V z_`~d&s{>W-a-Ey7*$B6eMohW)%^HpMGMK}l^w7kroGzEvX0P+YZ;(@VuiY!rYOT0$ zVjkB5E$WxJ;YHGF6>4{Ft;9HJSZyG&2hmXm)>e}<7$j;;mD_@7B!CfTOS4mew^OU;0cD9iD2S*lQ5(Hi_zDf`%<^(Ea#FNcW4I}e{%-WK68NY5> zdLiQm;=|NmA3gKr&%O_? zfA!MF>0R4StpuY-)(@Rci60o)G@hL1jKwr}BAWvWa)R8y>8k>Gn7j}zZsf+N=}k@C zAuinnCP`_Co941%Fv(-h70@reA$}HSz+R$-Xd_tg8@5)|(IIJPWHPBXWGB2KyHp}k zs8m!_G!|D{m>kZ>J zc#{D&HPjaP66+dN=w(t6DhxeRNF}_$l?3TRNTm(YX1pOD&-+~dsGs#yet)xwku8?p zEqe&Vz(p|nA_O=kmvs;wOb5)!I->17uNPur^|(APH%P*Ku=PT|&EeH~(dVOit4T)y z2Al=dr%3?Q7r9Fp)sY~n(p^^bHfB7gnWCGLwD`wmTI!u!btEE%SXiGwfw3jEo=y?;Not@dc<*kgn2P-fRd zKPRu9JbCKWK5o1x2lkQ&dmi}CWbujOGVW})2dKy~@}1$L;_2eiqZ`Ng`oY2d7GrHJ zM1Y8bQP%2rJ0syR9fDac9k5#MPK(sycUOt4kyZf0*Vv^f44>C%VrWD0-gB%y{4>1q z5XP%F>PSaqF!E@Gc8Vg1?=Zs1bvgJl`GZU-coD?T=WCrVC$CVVQL7DA7Q~H{X5D!) z(cv0|RRcUL-VjBpLojy_jfReg&W2tHiBx!vY^J6zhu6tuHfJtm_gK9F>(2no3-QfZ z{J8)+FpMz=&zaYcm52-qeuYBKqv@bW8#4fd!OM7&yha4+Etbi_1)~ZIy%516jZ|)s z^CKET^@Qu-8- zkY|f``9NS}$YEa9?F~gI4oq`JBG-R%bibW^0<@ApJJ;Ed-z56M6e6!naI zXoQ<{1rZ?56AXInl!j8vjRYbEcq(s#WrB__PjZuJ&72pAP#AKW`UqY%gehAjLRM zJpeuieJSn(ZhIgDwgK-l?lW$;hlL+1s6jG0HC23Rs?be6Q{2G4+>_zRz9}+U2ypwj z7ZL+N45qrMTyBMAuX8|EZ$ioXLh9F+UOOiiYe=wvFb4p_8E zIOIH#U^b;yv!*(i%gwlvR!FU>)}mFQ#sez@R*}eWb?EgdMW11b^$O+1U^z<>nm$7L!@roQFy}Y$_e~Vvsp7b%lG$ zqoaueA$lC1%oB%-1Bb}t9C&5<*&odPipqa?+cHY_@rT3Q`(O0q9MyHJL-MisDVT?t z@pSNCpMkZ`S^`PljLw*Anc7YTSc6n+QC$O8!Do;t#~`Y~npums&P>_ii+1l*mKr%_ zF!(S=I>Op(YHR5_f~c;mbJ}eNqovxcLmFYOY8J<6v6iwKVMSYQw9$+cxnjLPhwh(J zX>v{{jnux|Ss9Z1rV)mRCMsl#f)(TM3J9{$*r)*7@M`Lx2n14}M6UC)h+k`J0-W{L zVbOG;g`XuU(*48<@Z@Quky$qEuq3#foQ%6luRnG1i6a;1q{ml|AKynMp4+!7J9$D> zH@9(iYWG>UIDg)Mao3Cg3vEMR=(b7 zFS{Fjhn0uYsgy0kX0sm4vv>rsf~bZs#XU3f=13y~tH&|h@QN8Dp1!V}sm~<4VF56c zU=qGgIln`NrnhKI5PqbCFVsEfv>_+P%DA*TZ@gOMu`_lwiIck$^~Q)?Y_s*CgT_{| zo=h^yc6)tT+60~gpRWP_UTUhlJDo)ik|naZre)c*$8EE_;ai9?n&OQvCqX!?Ejqoa z(P@FF)7fYe(Gff$Lja2UK%k)^MW@iuNE0cLnaQWo%bVg~-qp~9lDygm-k>gf&By}L zY5^S>DZkcbz+gq=6_pJ0oMM&J+PHwUN(%IhNnvh_09VO*<;-u`2Le7U-~<*#8Tbcf z7BWhV3CKKx-z6PK4}e3vZHy{4YBR<@;JUdl0Xg>i_>RM5cKhik_mAHs4zFFy4s9jR zj_ukoK3sg2J~p;{AiHjDL8}G(NNL7j{G6NQ-Yy;sgvnK42>gh9;_&3aevA8P-^)M+ zW=AjW-}+>1#Y zJoid}7LedDjLn`~Z7@&!F=2bdeIaT4OYtZECgBu9VB$TJ<`eufuGyB&4m+ z3Zf!Oq8?hKFUw?~1$m+q+U5qN(l7&nn8x}e6wv{n+5wgm8Nvnbfj_(v(N)Ew8OoOw zC8WHp$ZTh2>U*ABrA_$|L$+fo5 zvx>GOT5G+I=N#2xcuijvkno(ET2|LfIC8j7BF@#m4ZomsIAVbP<1=I^3iSfyBQ1li%S?oo+AK543m+v$@6y4GivafVhLZ|i0WkCpv4jl z3KIrt-#8uaW?&LiUjrDfr<$zbFlnOhDf|N1$?jC5IKzF^vFoq@eC?juUvmc>Df0C3 z!k6HgqdUN^eHJs5T;tM)-{pF~FAf-O^5CMw^uy< z#=0v&zh>{gvHfM9z7MfRUjUkAlGTwCKr_H-p`1pGgORAJ97;sXsiK5_-^h#{ru7%W z-7|GgcRfx)0;;;OLvPlIaF|ob15q^q3IO6!Sd6SZhowqZv4JS8cWR?yuU9Uw3d1+r zU&qwdvMQyr)}__Lqrp%vSFIH%l&RUgnKog8z!YoC>lrl-)C`bQG($6lyEcGoj6lFi zI5C%>jbIHCY)9p+Bwj+ZjC>sxP+oP8TbO@JmU&cKBc+9%>oFdDgcqFoQUj+cF@bck zmCsX3iOR@`Fjs{)ghT1^ga)pSe9-i&6D7R=bq^?f(>A2;J|)xk;_eTw#nkP zNy!RsJbL2b(4nn2jiMWl&$t_U?b|S}T5iSR>-$r9tTluOv!p}M2L9rw8fj-0Okk>r z2F!_`Cqjf3x-mP&P|rdiMH6ChAMeIs9DNq6+QpI73kqpZ@aOnPDhuv-=51@H?V?De1GQ(MlY{kRBx+Y%;mc~Zh8h~Y z+PXTPsZ*QtN9*dMe#$L3y74RAoJh!xu{ip&R&L70<1k1PN`Q0*<&2!)2UIgohEy_) z5iy(@+tO(wnQWygifFykN~)>WRswbY5$lr7 znTqh)Yb?e(G%wXAk_6(;0q~2s(j7h*4k970*?73a*V2jw>|}AEr8-rr?#?uFC++PW z@Q$^zo#}L^wX>rmZmq8$vjW1(SY6g}E3L8Oh-j^aB};W{Yn*qaaXjS5)2`MMfg75=R&cX5SCZ^3KtNTvQ!EOG z+J5}Gp!QDFYhT`XMW%ml$ELz7+=t{^P71z6^#0T2aPcJRDqbb`-rUQ*c(8xn&{$^K zLD6L46{?B96+Rd@#=^@&x2P`)g?DL^WLGFgH&Xi!?B2io{CW89z-}tU-QTif-O{@^ z-K?W_9pG*rpw=?b-71(%1R*5?x9pN@;^zq!^oz*)c~-Y&mp;1 zUbn=l^*FNGrPi9>Ua7SQx-F_pr!z099YTS22;|QXIy+h=sIe_2!tk&}m5dU}!7B(O z8pbiux}jS=X9luo!CjaIg@Cor==m0HCP|@hqlp}1n!Jr}A!hu;W`=&(M#FMJ3-5Xr;HmsUG+Va%NitJYB%)xv-bhwBweC0Q?( zs%a-pYABkPkxB9*NSw=O+VGvI9Rmt`)M^hxIc&_=sO3p(@}VT9q?5p!31;rjJdk-X z^Mi~S-OXjF48kTqC;}pM?-4bL$Ye&OR*N!8+R#zIr~cvkN9$>Fuzq#@=6b50wbbP5 zQ3tEu%-7tMnyRigbUF0s@FG}+HDUl~4KEmO7$^hY=J(^JU}4E+K6k(iVkMjcVpQ2O zQi5@nW%K5f46LiMC5TT5S{|Nnc=5?eseI#WbeyJ|D$>*#(oW=g++*_rBOY%pOKyDa zT_wMj0RDKyRR7C>vS!C(%SfJUCK-qwxEq(wwGvSG}zI-7^(niBEccfizj5u-Ka@D3M4 zFJhpfE>bR1c6M|!+0Kg~el9P@?Ej{GGqf5}dqYD_pX(qZ!7}SFrXehqTl_fkYd!k-LaIZY1U@uNYJ6Vw7kLHAvI9gu+2m=VWPCS!OfDBTH=sm!VkTGUN?)>_0AS zGZd91tI(T2Upk@CPp;agVx-(X4EH~=#QW6uB;qH4nEYvRnSSuaZyh`R-+w+Cx7wKH zS%=5Fcjwrj-1*~wXd;PaCm$J|+Wdo8fscHIyTa||3f#xizLomp7XeeejhpH20U>gN zbR4_*y?;DZ{E+(sNWhXTcR%+_?w>~x?<$9*#l|uhwDez@7T)sfN#kq zSH$0ki8E5S_rMy=S*`VxifW}>>sKjMPC6z-+dN7|9JA!hl_80wS!J^7Q9Jk^R>g)I zYHA`*E5oSl5uWll5}~}F0D@qg%gbQcNE3NN!Z(H`lB!r0-mbSh@$`mn#C)+9co|W- z<;fh(kI6)YKY;kC0*H?)Kv4V-Ghno#I%hEOa^6%F=F{X2K_CrQ^Sne_ zu$*KhD?Q}A?12EltW-+F71z9+4I*5_%qZFt*(*wwOM*5U-a84*z&v9mG69%JxNC() z9I*r?)0Cf{EHKoLqeTy`m>Vv9aqmBJHvn_=%P;@WLnImb<>gg771|w3m+w3(-dOaI z?}&qkxYLD?v)rabl*iZto<9gCxE%+%KdkYuO({EyN8g~AEtRbynYswL!SxAT(XgJr z2J5XFSTJ=Fw}JDl%NGiH!*UI6NhFg^*>E%((5Ktwns%x~Pg@AL7wOJ6!H5fMs#P#s zScKdOSV?pfS>%;EI=Y)E2kvdc5~{bpelby{6q9-ceqtSFqs=Jn*xPz@xkPt1*`7#Z z;EhwK)6}RnJcLFGJ&KyJ0T}ccTSBc)*r=$e%oo+d%27jdQOM^DyW9DeWIIL-63B@) zBTLMIr^p?4TZI)sSdZbXG)Rm`;r^ z$J66D`FrSxu*ytu)m zhHfIJ`bHJ*L`wc;n;*`7Ku%T-p!za=Z6)8|#8=lHU5_o|mLF*|$=$f(!;4 z=qI_8w+PN&`0bmU-uwl3iV^X&BoxD8GMkl9 zls6o~{J~XiZT(V>K_x?s`>c`mO4Jg{XSLQ>8)P!6Ngbd9i~68N%;dX!7oq!Dv{!^5 z_tr}>IJ=(c#*Yb8H8xvQ8+_rLSX}fs=@lBKQUPoBnY^+w^fQoxjt5b%${Xt&Bb3YG z_IMopz)IOw(wa4ipYbXyAi0}l$;mAeIL9izb2E*WN_=PZuGgO$EhH++BiEK2r z^2W$ROOre{e14IHXX`c3->`#=EL`spSS19ryB_BmUt*<_r(|{|Cni+--yk;srnzab zFyzvH10Q&cf>y+oJ1!~ zkZuE?usR|Lf{mV3u(7JilX4kddW{+FD1)2>wS?48(W8=MbkaBxc| z(h1}W)%jG~YE?Udm2x`mIXf@^(TksBCeTEw{e0d;F%&jRJ);y*Gw7Z*DD^p**fE6G zrHyJS1*O%hyVdx6LmmXxZev6F`Z}cQKyGH9XI{ff+s^JtH<`YX134nXbl0W%JBdVw zhe~EVRKkRj3PQ%pres%Sw3(7&=#dCsi56C$N3@P?E%c(S&J5ov1eLBz1P|7NDsfYf zUczzI^@l39h(u z+cs*G&kz1_3hd_gPI31+oGY?5uHs|w(Hh?S#dyPKCqikiVm&JwRC8YIl`Rtk?6yb`zsV_pzuPQc}%s zU#G|A>g2=rpzA#sIqCu~LZH3U2*s@69nn&ed7&Fz=n@5oS`bL*I{Z^!{FrZ_Yib20L*7l+;?C&rHyj@_Ezypn;3PK;c5oBmWiD~F@FqCMT>@$D zC6GLNlv`7L=lb;J%cCpBvNaptPOpbPGP7)KhyL3SUKc;cO-*wrr@6*z&$uOR@JAk|c+gOIMPa6nnO{4ZJ{zvEO@Be1@?ys%w@6R>Gk}=+$CXyulPu+Q^WmtpJ zBhVMBq`?Lp%N25YuQbz($2r{@zpDl_kTm4$YF1-*aVjYdW@>6OL1|KC7$IN&ZtQx#UGrd0`yk93b3MD>CxRRt?XNS^Bf^ zOR)4sOQ6DnUTMV%Puyvd_kZI$OW&oNM{C0b75+dm#WNDHVE=D__U7{2xTnBhv(1O1 z5ejf$4gTQPjp1K&uW_GqX{xP|flJ8k_c>M$5hd0j- zkj;^%{cI{u#^=Jo`=jH3o#k$CKfP_$@Ob|pwf^a{m76#3-?%X|)VKegzua*Dp+ElK z?D8zd@=kX{^eUFNiSWd*gz`60aA7L$kJ~;xS!m3cRsUmlX?H#$Jy+jr$(s! zz^0wcOS6VgBv-{hf##7WSXeLRhym~sYY&C0C35KBBx;>EP+d(B#z?c%sQ*(Rf>iYSk@amZ09KjOy!T>r z?H(loNvcJgMYlxMr)2@4k$5GrvYaNA&l4i^FK@0U`FU5xB38u`tB_+X5PbPv$pv4d z@!7H|R+^OI8NvJ!ipTjN5nrGbR1qamc7c&$|C<9+jwdw&MTdjCe7JuZb&X@d8(aw8 zNM`QhbTZ{Bp4-3c^Un`oyS8%^E&KK#9b3Cz%B)TI?;fMpgX4I!n!jz@y=LiwKI-S7 z37m^P`sWke%lPl5eTPNVV1J1F(&+;KK8fUYC%J4*%}UneE}nP=CI>Kr43IT-ZY~AV z6CeTqg6V48&tV3^ZY-!d6SiRY%bi3sqO>;{lElCAf zugz<;p!UBShX&a|PKiQY`^_e|$iFDmE>aYNM1Tn-9fU63HfEzG|LFA0i9@Q}2E$iloEX4nX>7mM+eXn<8tC4!oth>u z7gPLAyrl3^DpfFk3@%RYe6gEcv2WkiaqdG#D?1=oh=A!Ae-WcbTiU^?2_z>u!5wey z7+Bh#DfYg|$mnHD6@BFDOb6*Y3qns~9Sj-wZ<2y|2IgB)tmeYA%{|8^A2xzLMqtqg z^duG2t5gbO(Dgzi}U0PL0_k0Y}V2qitAoqKSeTBbe&N}{mtX1F@<>TtYj1RGp@D1+OBgDv-5@Sv+ zNmbH1iO$FEK-?U~Gpe`0yz)I{+q?x_!^hkJhf>krSTy8q!nK^T1Zj{Y<> zvf}nt-`@NEQ~l5W@R3KhK0MBS{8VD#B+xuYzR2acpMwPXt%-r9AH5TZodfi~7uOzn znq+(S?#)fX{Lat)hvYNykBLSiOWZ~*A#Nw`B$u$hP{?L88ufOmN+o9Wxm=evUDMNz z&TrJ5W@9yWksZb~0v|MVis9+KeQ^Roh1q~FjPCF7)-FZ;BqxZW13AhnoOiHPysPUj z`%qsDg+?VCSLyW%V@@iS^^}bgWo`-ub7w;hCLJ+S?i#e*uE?vC&SWG>8L3Q?2Rvpn zsWcK*ilpEt(NM+0;Mq;RhuJb(nzY! zz(kqZ>RPliZ^)TpL3iG4wj()fM5DSi?C249Q{8-`Xzc^?p$CT^8v6f+=m&>>GBh_t zIhz2fZUXX%1El+gnj946Xd3EEi?wdQ$HNdV=q{&VnDYh*D&p>NuXa;vH&9aU@|jGq zmb??1Ye$@l$C+`RF~=a@U51rwa|~`k#Utew)D{y?9OD&TC|+q~M3|lniDZ&jN5)IK zSZ4$SN_a#G-(09rg(^`$U zu~SX)q(ANV%w6N&d~*s|rY>E&cC64hMX_%UuU9bZmai{f6@8ccj)75~`$;>n5PkGRt>J+!Rn(VxG5HpO226|jK^SKpD!bSxVGc>DwSXZ+(Wpy{jk z=$^vG56OWZ>DWfvZ!&Um?q7k28+;tNA1BivcF1FFH^XB!)B}$kJX?3w`~Bj(+$&eQ z?rOH%o$b2tN5F{?VOz}>`Z;%>ZWV-HW?td0OZ#{7rxE~=7Sy_C&%d;AY*72dUD&Bi9b>Gm?#&sxit^;{i%ifpw zdi{6Zl?XOA*01y=kltD=Tc3B^IxIPLZV;u0>KriAxkc=~_uRAo{`=P558sHEjFB-8 zwhZ!ttgVHy0fJ@OQI?XkDE0FNaxCu9nyfjNQMUjuWn)`fSQ|y%38UWaTC75Z&PfZV zMG*qmxqL$e{YZGw8-SH+XsGw&C)N{w&+H>T zW${zHh%6@YvXsNGJFlqkGMl^V6*O}@47cL<7=(wlQoPu`_;%{{i&!vj^{Q1=YwHj( zit^eU6mU^sP+)sQf-1a3LB&>ask;&3QP%Tw{J5s1$1=Z35G+4KgL)nUj3tS%Eu~^G zu9>Ig#0M|VANDc>cf0~SfDd!BGKTU1mrsP!?|KO>*(zv=Xt4CwH`^%q*bDqJu@dU$ zchGMM+ofMS^^(faT56nBLcDP&rdB6RXbe2`jIT72pQsaD0N-9&0Fi;NAdn>2?vA~B z;wBsV{KQ2LMqTrhCz=9bfBM>74~T{WQ!W?ysQ<{Ot;4(azt5d?d4T8T)6cN!4~*cI zLtva6Pd~kTbkh@uWr3$Jr)#oM_9GKJ^y<2NrIJbYk)8x51$U7I$AGwX}mtt&=% z4U2z>9mRR>iFYQ4dyc*R!O2wj#gBj)Z0ciEJz$`?s(&b)OzgdMsHy2dPtS=}Y=3sw z@XD2Is3!0N$L`zNJ+Xdl{oW6N`MIeSJ8`MsV&;aq*P;6uW_z|D?-MDOPVMxEb|wZk z?H=CCYtwkR|CC%5{{i77K4%RomDyy{SqZ1prV+yks?!-rE81+e*=lGFTFF|3&^{YE(^JX(6mNOSY+qt|_1#7kod8JZL@FEa;T7yMboOv~3>XH*0LL+s`BXV-J z{89OPatcy zDlkHk4?6-&#Mkx&kcJhYP5i1Mq2VWWJUzS!W^S5V_!;sf&~il!$-SED1~erjZ(v>EOucZz$RdzZXt=>V80uIG}u9pfi=p2r(muo=q$^q9p*B+}mp`HF($-isS9~h#CX^TcP(> zNae2hsnEilS_-Hz&7%pM?G^G#8>mtjnK^T0%4(vP5YD7jVYbmb%(ZM{`qK zn(hECwH;0AbW=xdi%7S}2~!>?;JyOvs zF1iKXmBO;ewQ22Tp@jhP%X_CeMFxh`U66n#jFV zc&V~aCEfYVbfEhgaCrUB?OQj3KckLLT!()q3Tr3m&jbC3(r#{Oa_&VsQyk)UCzD`+ zT*s}r3f_IUcmr;s`5#I811jl zP?QHC{%Hj?2(hoO&f#()KCJsM$ZQ6KLI$0|Io9JsC)Yu^kYP9+8lB-H=$g&zbQ%+4 znC&>1SJ?RTuTT(j6PPoB_e`IfNK$QrA<)G7TXH5@9Z|<4>8Q1s*@Qqyl|2L?30C98 zO`O$Rf)zX`_=VrHWh*}wtq|%hgVth^7*CpkPkfRWc*Hdt_81lF_LzT+q{y1Dfz${c z{2)WUa9``u+(|EsKwrYVg? zuh%F{DCP1gBrfb}eU!sdPpLH;AVaiy=afoBit-doxg3t_>N?z`HW-w8LeDp`WkfZ6 z(}=Kwf|}4^hG#VbRH&r_C66T^5p5Rj5uFh|FA_yWNDX{5eDJAAEUI9O`Z`zlJdOel zP+ECX2w@ZWI&N!KZgD3t`*sb9F0R0`Bl_l+DoZf|X>qxDxNuYDrCu*6ri;r$EV=A_ zQBM;m9s$}3EhFt;sb?%4$34N_r2gs(AdVirMO-;rT**y#_kjR8I#vAa*!HcnHpk_) zF9AJ|<5F?|Cb=%oVAI zsEEYv@r1mnw0w${xa9IGw+CHKH{roDxoWr59dT2z)NbW*7f zlQE%XQ3)lXM2uI&`_Fpx$=1AD561OVdQ!~{GUPZj#gK#-$XADeI`nYp)6lIDt)=vg zHx%+R@LE`uW>)9RnF&nbt;-K0pdLez3%i{)K7J|B<`kCl1Y+&7axdT0M1O({*+I~g zf|XJ%3#zs9!nd#qz-z2%TI2JWt5Vkzxi+JyqG!o#tl*v{&svGgtd*jn>A9=ZYo}fH z)1aX+CfQdwO}x2Jwb zFB~mMT_t4mHZo7O9$&ygv_-)Dme!IZC1^W(i$zwC1O=&wdD=5md7-Yfiy4nscCV?j zdV`@{So7p(btD?_1GDJLk-4=;J|vG!gYY!@_?K(Nwz;+RQU1o=*#7GF1N+6c$EWvB zPm7lnw&!w16-5>}bej_IdX+o&2zzz?)7+F_9z2A21!fkWXy!xz-A%^Mu;e?T%&8{>Rw8+7dAzn8pgtv|Y0k2xC)XSCp5)#>& zsiNgXFN>EYy86({0+Ua>-JVp+?hl8(F5DPOT*&wEZaM)S2lOsxi>T3pzX$2uCfL!u zRlZnGE|UW}P5|3b(ga%(zUv4bJw1(N4w5+ zNh4hyU4!t!nJy901qK~^9Hh$;MGMC_93&qHD9rWmg$}HvWw7P77V7yHK(sI|um+d+ zb~!|iEiH{AM^~?0;xS;K=!FNU1^PdNXOPkB6JCu*?R9!1UTExILjwKMHTe$1pn)U| zj3H`Z4MT=;16q=jjv4rU4cZ{gY7D}xhNx7ut$>Y|Y;z03{*8?A9p91UH{2ON%`6wH zf^iG=z=9kBfav!QE%}sdT8z}f7{Qk=$L${@IIG)|9$WrmqoWKnVkG;$ByECDz#^& zQg!RU8Z+W+#oggB*R*ZhZ!dhSv!A{5`$yKKvv+-GW{%u6{_%L>iJwnCa{W7hXC=eO zx&GrAE2W3^$s6Jsq88OBK+aa#tL!yIl~{|EBrm8`1~~&$k#(~=9ia`@nQ+6lrltYV zVsXHO`$jBqR`ZO$PN&rlfYolr>7b|fIgAArBicJc4B9T_Y1$Wn?lpBGPu2$vI7UYD z7!Imb2UZ72XJ9aJCU7f2djbYSz(dK@cBegJr&N?(rBupvf;5>d&qQTIvQZg@_U0;Z zA?5iuKh%SUP|g-BhujMzUMb$ikwEe%C2%uza=)9wR->;K*OnTK%|fUnmmd56nLq;U z{Z;W_$xW^GPxzzshPi=EAD#Pb!&@@_#jyhg2DRt;8#hPGe|)s3A9R859{SdwdN)6C zX#3dQ8()&$qpFF66F0#2>u9E&yEOvq^$Rev1&A&pM=T)$8}6>})wAtvyCox2)lvzW zN?Yq=GRdT+twFCh8nYD8Ng89Zri4zb>s^dG=@x~EL1SZ}?O$X^a7_zn^7#gv+E93F zgXLx_rOP6OC);G?=>&|Rc_yEYVGgrKA_nszV;*tBM;a*wXas6X->7fAO=rCg`>a$O zCZdAwq^-@$SB%Noyn^PLYLe&jbp14EC_BLXNM^qv;_^9GyyS=Cf~s*u*jVQq;;|AzR-D(Ctk~HR zr0s;w9u3yKF_pJ1D>f~W=SgUq7dE}cl-@)OSohlI3O+~=60Q{M^pcw99JQ&qojg#a z#gj!TxxcuR+VJHRIg6f{vxRHED}&U(YD; zjz6#@w{=-+oSPVO9k~nK-`_ut;<}l8PqI_|J;Dg>JtAx-cc3{j_| zOATt@AuQ1R#&M0Nvdcoxl9#TIZIm*Pu$#DL#?KSk6QStb)@L4n9Q9sKoH#!Bj>rlg zObelTW|&0(gfb zsG}2~e_kk{MhsXECOD2#a660MtK;vEzdJWO`u3q{>crYL>o;s2!+jSE_m$+D_(z13 z_&e58tyNXqp`)>DRdTz%N-npW9F&sLQzBG^Xjrkh#%0kG2Eb4T1K#vP%wP@)BcT~u zrB?AT9^mvPNPOzEv>9 zBem-av1>u=ns3xc8)1=008OoirwW>f`U^KCLbEgh*6Nk8^0%!Ky;=h5{ z?K##gk&smiBf4-3nZ_=%I_$JTWu~eS_nJ&rW7MD%uK_FBYF6hk?x_Z7d6-12!>~Mu zm(FN1V!czVNvD~=NU7O8NlFz;ERdzm?~wsk24phPpmMcxvyxJx+#)VOtc7dGT#C(K zH?vSdl~D%xx7XxwJ=_-h;yeykpC4Ez1{ z^+BJ2a8%|!gbT<6Wk6q9N37_ADZQ8yLxc zYUxea+OZ?&+>Xn;-}peZwvYe=VDAJtz-^!4&JRrvZ<<&!ca@HEfAfnK7)yA|B>6!6 zW5NQXU=xudI>AQPQxmCCCR&rp+C+16ZB;xjZ#1aYN>ijwL)AB$Ownqm4J}2_G*BY) z;;kD`k@XptZDU~cTES}44P=y1%H`3tMAD_v>8jCzsRk>aVhN(UT1U<+0-n!nH1i}= zo$y=`toNy=|o$Ebw{jtk;{^I5>}v~tk$3i z+7PQYgwT2`60hJd_oco-m&*k-8HIlLsq15shFyWp-P{W>{8` ztB6^c%vRSzW0EyIi`)UaugzvUsb7A~6+smIwp(BPId_@+LGi33Kn6g3_J>o)a|!ap zW8`eH?~9F73hm^oiK0bB7pBkt<`ex&&{r6}F?VhI=iEEtB+%0lAOmA-S04P}2V0`6 zhSvP~k9Os9>%P7D{K?52=e>OSAh+@(a_K7O5wL=K?%DBg7s6Z5KR$UH^ly6orF7<0 zc_-!?_NPciEzBCVTCcnshND{KRvk*ExE{u&I%F4hAb-Jy7MDe6wNT7c_B~ba5_|2K z+j7-Y^|iGPFnal(#>{e&k1PmlrH%qxshZylD49qlvp=uhvjC4G;J^65=lTB& zd{l+hyX0CnT>OH2cba>r_<0~p%D`yhBDJ$npy+8zU-+2XRrnil+v$tz4jeu<_xiR& z`}VDCSl0b9*j%J2DR+u{D~;GlS4@(sN#NqHP8L6#X9clO`_hn zk^8OWOYxr*W+F^96KU}GGmen08f}}PW#N&Th)mY{H0FF-$tv2yk+!x-n9}3X;i{P! z!!VsPFifb41Q>;Rwhyc&{6yf^joER$x)RSDzn)IxR zpeQ8Xqt_amVy$g}kPA~nZ(d3pm{>IvYg77Qt*>m0G1U_NB{2|-6Vd3|1R$9NNL;)% z&RQ(?Tr|NZ#uDR+sl?gD3kiuj0h9^Cgoul0JU&EVe1om4w&#uqz~KN$1^NPHRRE}h zflzG-?b$sY0*VkYVF?#lWY#(_-nz=xTOGN7aRH~x?RMyGwqH5GY6oyQZs|cp51!Y* zrhiXQ>CrZd9yg)3#v@wEdJNE7NDw6?C|8|dc9Wkg#S+_HKrPk1|@C70n6 z(DyKxnafzlG#;N%i}C6SdM3_zk+2w|bVm}V*ONT`JA-D8=u^C&>F<%(mU4#mR*UCC!BK?XBGFAoK{3abNUJ?Amy&`;m=@9b*0CCy#hM+zRf}3bwB& z`tqq$uU`f#uy=S>&;FAj+s|^(ae?V0pJ%#ZG50eN2Gje&B)4rp_jGpRz=qk}+!r*- zy>of_HtsEMDz|K@#icMF^yEMvX-*ffaZjquFV51hMB#@oWHMj}*%ag4++raNoBxY>*gbu zuQtSll(n_W5T%phkO{pObWBVhQ9?xwl#()}6jib+ehIn`rZ+n1uIlO#-Ta`8g6U-x zb3JX@iqo7j3r*$D{6dj2zL!~vh!a-q;|nvQ`7MpIx&XSv=$ua~5+I?&5Q%@C%pGrm z{$Ix41-z*%Jr~|<@2!h<-MY)Nth;1cvhK1Z%eRd&MmCOZ9OGCHzHk}BFcgT(P|9Jz zhk7VwTyQBveJBS!Jeic{r-U)r5+=|z4~LUx0w+H|P4lFIlhQONCj{jK(Kq*?`gyV`aaeHX3+nwgDQUB5O5TjUvjV)t2jY<@{g;!h}a6(YVXy ziAE`zhL^!(Rar)+V{|f|OhkApDzJDX!mBFHFc34FMQC#sIqZ1~X}g_5bRTJ~EqRqK zgHI_G`w6g621Mz3vlV?Oc2wXr568E}4nE^D<5C05^ zz7ZaSm$njGNQ_8ByDF`61|*e~vmzOYNGddy45L)WH55@6f*b81+?0_DN(D^HhT(Rd zM6|^J-*1aiC$*6c7=CnNc zR|yTPBnyLUCSdgi@bsEYEr+326goGZJQ59th$s_9hJmK1xdXfSK}XwRWpJFI?bsx>-4s zaT5jU;8>yZIXmh;fLb@R6Yc8xnkchw?m4^S$J(AVE>t(2D?zU+0169AiiP=_-?^0n zK6)>X(AYJ|`gjo)ypgJnpLTPfg77$CxQD%?E7uKg7+-h5Oit5xb02-a{-{K~cVuhs z?sD4u^ds`_zPEQw?V7oL=(XbwJyYQCK`+>{2Qkp>;g0riShL~xHbOJ4~>&*i|75zIG_bf5l;C?^tV4`elrmJ)f( z?TprF5_xMQc8gJQ2}W!sFkUMuQR@Z3git(XFxx{cplKon%_9Z+&n2nyAQQX@vKInn z$*2*Na1OKbbU-F+sJ2)yPrv0&tAbd7kX-9DT8rGckIQ$IlcR2hsMJUA4Xr7Tb&ob2KXG3rmtEj3oYa_F9eKb}ilZhdYwp89? zkflWD&SDihbjjz26`d-(yI@JE3OUb`_=&{RWECwPWNkjLP;}sHQDPoA+!1J3(d~q{kl~8QeED&RrYlE{^BE zAtl#=4;;zwZ`U%Td)A)LJpGVv<1T;JOMlfjJv@kOJwmv*{YG?O_*3YI9JmjTkcH=2 zl|2@hI4FC$q$=oWgf7T>2|67Uv=x)r?2k*=$vn*TX9; zdN3dm^MJ}I1|sXPuf7Sqznjt@H#E%r^S!FSQ zdo0Aumlf&{{|El6p!5VpYM1~J6c4mQe$0T~mOH73_ola3b6*47IFNCV`qp=EXjwVF zW}lH9A)l<@e0=vBu=UAf^0Da_*po$2RwF8GU+|Ud|o)tdH9Y_riCBFq`;lpD69+M!%?QhkIi$x8oVX zHUJZt%tgo7JGig7uRI`q-1{kawF+x!6f{--iZBohiRHvFv6}2>%d8!Xtx=m^C?x6{ z8fb0DOA@J6{?g)RR|Shv7;eVxu5{Ft+K^^I*+R1sVKf%d42{}A6vco`SY#}Fju>1` zAOy#9R;N?}8KJVNCRC?YS5*QzrCK$hMempQFg+K+va>I~_>wD%h`Q8e3siEtFC1Re z_i}S{dsl1AOXw41Y@blCCn%b3&@}KoTMeL^)o1(q>R6RFQ&*QHfC{Lz8m;8z=C^RR zA$j)jg5+h%%gL2~)F)!q*>E_Wu6U8?fuUeek7i&1^&8J--3yEh_yHq_vA3%$yL4&x zA_$;a^CqS#+yvK8QcTL z%JBC>h0<^JLx=5GtiKTpk0;o_CypCk2G&^nk~u{G4($E|pNgcipU zh<*g?92E2y3!c1YUQ`(>DlCTzf@!E=$9F#wS_4g(dq6C~3x}%tW_~PR0j`*>0Ow(h zniz~oXQ$KWt;m?lsd1`e)YRtgOP;k+`lHaKiPA1`6u_sE?-WpWe4jQjt%_KM1J}e z`VX98cYo9o4=&hfY8h)D5v-h%HF3t;&K~g2zk;8Rj>ao6+UHoMd@d&v}RX^vL*4 z(8nElMl{4h3(1g&X4ZjPuzx#vo$KGu{U)@bx2wPN=`ZQk+@Jn)4>$^J;4t?Y0SNQ6 zKNQ^)JR>y3c~+uOs3j7$T8AWSR8VwS=I>Q29rN)>gWHwn?)+HO2!IdSG ziAj%63;9Fho#OrCW8y2~8{#`+2`^OBiJwGIJrkEmDKQqRIiF={o{w-O z8Z8WDj}*3h6|My5gFwVUi5ZFu;_~50(*9lX88c`(31((Ch|D<$c{sO*3O-Kh=qjBmO2FpDgS-@Cq2w#OBx-2&>cP$SsB9#RX=o`%$i^ZU{+C%n6 zJEgRv14?5@ET4AN9}Aiz0B)mPMXNZqO4sNM+=Z>WWY8ptWNn&FjYWm%FG%3ub= zh;J1(&CsP zY9eFE4Sbx{KAaPvVWD3hXj%}bEg}l>%>@jE0A_&%9+!nnuMWUK`{Wcko$H|5A8)2d zNcE1~wWpi);FD4A@z=qIZ^#`}Q`8kQo_nAClKW(Wd+*>(W-r;lJ$G=NrN%dK_phfK zu7c&c)2Lsz;aR2VuJGqDhVl_N&zl_%iOHC8H>Rh2LwH(8Kq z5L>BIDkTygA|L@xlOpmhun2Q|K4ck@sNBOEy%`Vc zjCf2~d_$2no%R8r?*;+#TAu^a&_Mo=5C43re9VzA{NPk+4orKt<8?ppJY#tXQ%pr@ z3~;ylj`RgjDBzw&h|uEK!H=Kr<1TZF&7gNoYn1i$sV%;{T;}s!JNjGR1YKh8r1+E)ec~-AC zvPyNvnExvmveu&d)m(bqyloq5@?C>yL5!C7K?Ss65gQ#-p*D~~C?NQjFH;yas75eB zeqW(Z9Pi!u&!6o6lA8fyu%joQ`geb#qUqMurQWabTW`VFq3IRW0?B|OGm`SQPC z1jN>Vzr_u5PkWlcQF7Hxmb*>1tz!;RA50#|ZQ`mrs=z0t80L(=XCkc4M8Zf(U77VO1J(d3rvd@H z#{=`ph0uBF#B8Xt(j)Q`UId3RWobg}VMvb{rXwB-`rinQ{Uau$1-jA33t6)T{>B+Sh#kn&9W#4|q3^e7pSc zVGtzaAX9Un4{7e#L=)E@IXB(!cE;dImPJq9Ld(X*WaNwnc>r`s`^34fwVghX74oq-; z6WpJ1|E_lZ?2jMW99%DVA`OPAFV?-@6MV0S+&21JOXB?YJeT$}O7xNNTu~`C%Q`5^ zuhJ<*CY7!<;P;oCfVDCdvWd_nhQsTX+DglQ2?OkMLWZXCVZsfg08nl%RcaJeiNJvN zB>*B;WJe*^R$f*{pxxj`fRZXbLmpBc@B}<2hg2!E%0e z*sQEXov~VZUEb-ep-`|aXrJNHeBOKDDJ-nZ^1O-_Un0V@%UI>F;7E9VdsV(uaz8Z3 zM0kVYw-3HRgsIfr#WLkAlsA8)c=OQAcPwIZD)*Qa=dO}F23L;l?)VXTEO#27KPLA) z3E%E+->~)M4#hi@&GGf0m?l1KtNL(<*8L^%b%ZTN~O5*$Eb~gH=Ucc06b-T-b z;jsUEq`aA|m8L_BzoNj9Oy#rA(1qK@gZ7S6dS}!7j zdbUmf;)}+mcBj+SStLn{vuw7lb@8HB_~q|c_V4c}mHk8gH~J|#@e1<_si2Jgue{Q4 zq)Jw4wQBXUWy+eU zt~r7!U-a3U>PTl5=&Bm7ny8{SRgG4iuEGM&tPbv8c~<_7NazYpb5VF-^TMBW_JaM9 zD-m*I1VqqWd==3fiS!axv~pEA$tH)Bo04=AhrBLtCYf9{9NQElvGSGyJ`sy4SM6U# zN{Lm#Nv-nxr6tM|{?~>ZB+B%ZzqMh5q^%!K#lOxIspSuUn-85G+6m1@Sj9O-on0%M2Zt|^ zNF+3Neqx6|MHT);09-($zqMU6N4Q*v_v~uK#EBM_Afa*Eo#;J)f1ALL86@%n8Yj5T zwUA)+vz1#V+Fjk7E9%#Ouk%Dz`hktRNGmq|K;7To*7mx?djM=Fxi%8)m;@mo#o z*EfmeDSA5k`cJPWy&o9RR8P;->yG@pv`ymFdYH#4cvJ!^9OqmHpIuq>F@1% zW!22RFNN(L%;ulCYJM{EFNYGT55Ra=>-RP>{e4{@AF2#-muUUkmEg-yKfQZ*&&iXg zPR%^_nz$p}<~XPm{2k~Y>izjv>gwKI-NDISj|SJjL_S+T#-{gfMx40NmtPnD4WWd= zd}$sjwr^Ufkt~qG=uVoKXB~t;tAq|5mFI`1{j~Tkuo|XQPOx&?;jp2l>$W2P4)ot^8DzR|2#-8c24qG=_ zDI0qjI+{e^LT95N~ge3ZZ;cAPiwDgNiF(u1ioIYmFx9NgVjLZ zLG_fdq02Cgt13dN!2ow0rP8VlDJeOnRLaq;Q!R`%RlGVs*zwz^}ouy^?XUykK>QnJWSd=zNcF! zH}BoG2YkG|`FKMYxna2DXlfl@LvDUJ@hC_4^pNYht=#>u-|2q;Ydv@W$jXxs#qZrs zeSE9w+D8p%AM$*DPeos2JYj;ULn<1`_k(|A1KQG3sa<lM2j~B>@9~bR-sQTf``p z_9hEKG#T{zvZfNLw8`7U^em8qmxveh{mSJ;l2{6lmV#x|T_G15S#mlnt37XnVHjL= zK&Sr#u>>riZcCIckYfmQ26GbeLL4Mkajl0tfvlmq&EAz1GV$`yeLek zUt-Z#VPcyI|6Tt>KV_i&fj}%mSbBPv+A0&a1hRl-S&uDjiCAnlON4SRTC`+IDn-<@ z^`x|(h1XxLWVEGv1L{iI7)oBEW=ai)Qbt`OUM=Lf7C2A@u8W z!c_cMEdPL5;pb4`&3e^&R4Ij=H4oO{^HzeVaYa=O#I%FC?eY(3W&`Fs@b^F=3Cd_) z`5slCv~?cY&Rk7I3GnVaWU+xy_U1BG%|Lx>*N&F)-6`+rMAN<H3BBtI_~(c^<15|4t&wfy+`(rPOjUx_DTCh`*?HvK`=2kID9Yz_KmIWpXdYY z2gkRrTSxr_tOb{%UF`>QVs13veBkQp-nG3eJ2Hda>)U${9p!Fu-^9V_bwG1pzdgYP z-*0LD5WLRq;2zawfC8MH+1>ux*`A9)9KSSUvpgQGJ9(X*zLh@xcEfd{uYddcwIetV z7IOa~`V7WFh_G!Dv4kX8bI9N5(v&U=hy2N~#zi?w7qyt>v{aa^^aN14 znCZ=8%V!B*?FPJ1OmAH{T3OrBvdJ+a*Z%m>lP9lkl*l&kI`p$MFP76pnBl(_Kt^0{D8 zTv8H6@q8Ud+EJ7qApKB+&|_)$I;E8IHZ?8D7bN>GuwII$lU??z^@d8l$aV=N7fb&2fZ6B zK_!+@tW^6E+K+Xxj@Hox2xHjtRo16BWfDPUU}Jy`u)5MrAiyZqKz>zyQ%w?TM!iW* zDJZqNxs_?<8ABMNXl^_O1F|*b{qu}gh4};coT>n`n`L9k?+^0`zKeWU#^OGZZvzxa z+VH>&A3DT}fdn#Jo|)~t9EarVe!fc;XNCcD?Zv?!V_Ya0ziwpAj@&fAgJV zVbBa7Bb`xJ!B)R^mxlXtu2cdB}EcajD{#5o+s)X8q(bu zj;`_<*aZt-EbpvK)-B9r#Aq~r`B{;M(Fl-%3P9gkn#PE$!80N3w^vT5y)YJj<${ZG zxhl+N2ToP(2BWcCp;Th6&puYxowj!-TbH!MxW3~e$g(BfAraNu+FO^G8SQ1mY$q)h z0Hr{%a7jl;7tvyC3Ab!&p$XOof3}IG)l>_+q^oNQ+d`M8>LPX6{1i%Fnp>eDB}xg9 zQCPx8PD?~$u?&V~GD61BcZGsMUwJo7mkp>3nLeL27&JPcj%O{c@)7k5eZGbD1Rb$# zOz%wZPrsSIlD?4^LVs<^z{O<9q|?>Ve254W8IEj*a-*Ym~g;F;c!?g zF!==nQoEEEtA*^e+_5~cP!`N*KTl%}d3G$}IXh8WBqz$_ac0*9&+nFJFpTBlt%bo4 zenKyl7osoL>`{yq<(YzOLWOw{o|80+u?0BuOrcvWl?U>KFvgIt-r;%eRNnRTgJo#> zB;0&y1}-7oR!b(5!otCPB~SE>0gaOIE+2`d7|;vdG>+Wydjz~LqSp$JM?>o;wv9R+ z+}O8O4beKwU;q5iUhzfiqP{==;mkBO8u3qb)KIaR(xKynka`E8E3=n439$dYo$j?~t!=89%Ul<*DN%yQcQS z$ZN~G9jMH-Wy3bSz7)?I;T};>7-lEA!8mJGQvqj%!E96OWdQ?lR+LnlZALv4PE&&F zdP<9F3aGlc7#)=8Ya=qA)UL!NmoG4dj7GPm5)(F=OqE7|2-<2bl2J6&G)fw=#Uo~c z+Ot_r9^op@GV&6639S^xMcA&xaWBTjz(aR=ELoSthv_;O);&qHeOYUKD84a%CH^2T zP~w9daoQH&6u%m$HpNHdH{+DGE>uU_S*0c8r{m>yc6(iUoc0R|ULT5)*d!zpiRzM! zN+u+CB|AHj5Rd_^_y-!}tjUAqN@`U@EJk5R0abw#hGVTrTqM#kH+*Pg$-BVilYIf!;bX<)P zYvMLq{Pb}f_jsJUI}S*iBBagEfh*hNhitoADk9X zr3a;b6F;{-RI;D!@)IY*rnPD<&tIy;?|9L63x%30~G)JTPI<@rp~tSYOdfrFx1 zjX6Wpgi?o;>(6C%I*kUG5_H)&HGouVteTMKj)qoH8k<+@<%HvIy{Sn^=f7Z>{at; z7}0s*^4j$jJfl9zU2@!vH|+{m2?syhds3o3wSIf2qN#;U%hg z4kz&1FBDSL7?8n8a1Fu0?N-Jxl?j)MQK>>vwCv9%j3$#ti!QJQ<~v~@K;A)VNx+q0OC({^tGeR~-c1HF`9z7ZjYB?FqeaPRQD#)tb zEp!vM&NOHKV`D$t^~2mNK2X5&=Ab1|w8IKKJ4twksoul9Bh?!Y3MQ!Ne5TFh$ue z?>Z5yCdZ77f_wM;WtmoZ{q^$-y(IU=O9P2q6?g}QC+G$?Z2NTV;6B02=MRPd%O8Dv z{m2{iN#FyQeSh)er!(9)+%?(i4)ayuqdb52=bo91;0d{fGxhg#Pp+>0g7;D1iuPbl z9~MQF6%^u*JR>qPMg)~N7|I+zFNFyv6(Tc51xkkbYsQNiYpZqx_eeZ z5i*$IR#wPl6_u2oKvQQ(;>~Imc+6%>B%&yRUBEYc?5NdaCp@#$VQM@bwvzybu`r%4 zuqXswxazYPzBp@-{L4HADIWeiC;yzsy#Qm6JSfHxou$;8z3#sY?LNB;QR`{*WKKId zGyTsSxL<+90aVuL+PiuEhRp}oAGT1J$**$Sxi?|?<4^YNA>RXWFtHo#<3@IKuXXR< zzHZlldisD?asPO;mpcl^XfZdMn*pN)MM$`R7mW-523}w29l(pM-s*Cdd&~yEL1glH zy^Kz#QJ8~>(*BGH<}qlv+D`c3?o-Z`mm8%fgcB_x)L_Lqja{P%k;sXNN*IcYAex|I zR>m@Rha(6tiXey>AX!P)Wwm zIh@FIW={P)n6rRzh!(Y3@)tMXw!U9e2rzNhG#BLX>u6pdB|kR*JYguXCp5FgumWYQ zP=w@{D+cPST){cHN+e1daeh+jt*n$FHBcBnh(sll>JmO3 z@w?p~Prw;PtW_v7QP6RGU{RN;`NiKUxgsGYXvVX%8t@SX)ky=Qj488~QF2OBt)R=w zXhpR|5F$btY8Qaki&nTkPu_+(MzvNgDb;EzYakS83=9R11>Ot@5t1Sl*dL(fRG`$D zpCC0F34-F4Ba1Te2p(CK)52(nS8)nm5Em#gH4b5xDF3TPz1mqmmigl{7$<|K?0=nS z+bfh&qtRK}fVmCbnmN7PIV$q@MD;vF((;CsEUN54OF~Eq>JXip8K%64avP|#PtVRA z0Ba@xxv@K(IMQ&?S_vL<--tkf`-c+q@R1#Rez<#xyB}--ivrwn;bd+Dc|ce>&b>Z! zWHYyIoYtQCw^QSpI-mw?%!kIt|JN_Z5AI5T^-=f7|Hys+^STC5O8OsiE?gHahcT_2 zVBl3ic$iOFsYareC@flrWb_D4rH3v=2jkn-XB6;y;u5D>r?8QlQU>n+L+8v!qm!|q zqK^eEXC17~W-*rP^yV@P0<2hAwLW7h)t8m&OD(jJVh9E!UI;>_QXvIeq*kG_szNGC zN~nODQi+8!Ay(#=NMuB4PSYu0M>K|hCriftBDk?gl7a3*vr`rtT&xy2e)lM)O>}kU zb?(Ve{zj%gI=*M7`J%A*Tet`B{^s)okDu;1@ZtT2mb=tH9-8^+2}yRZVRn;`$a{xo zuJ&^uau4ng$B9zYMA*Av(i}1SzRxpbd6S2z`H=F^-kwYdZdSarmT#`s+3_? z-_=F5v+ZPiyPxf8?T~hWj^<|8($b=A0!>ZuS`uc)Y%^0*%4}wnEWhH?L@o61wY5pU zRwv0S6u3CYSLP&Hk`@SvYOMk3RI-L_g~45hlG2cKzw?+=fO?B%7ECJ~%@T&whN}ij z25pBS3>J%lpd$6kq&3-@97^s_9!m<SSV$+DASRsP=qzh%W z7>|+U13TVgW_iM5b9W0qJdDnn&Ya%{AIQ_H4&-YP@Eqj%5Q{Ynq%4weuta%k*2313 ziWVqZ^M$uLcl<%kTssr?U2M*+Z6OK@A*M~>M+ATf3GtYWw zeod|Mc@D?gs23lPf_D?2bH6%QxBJh2dNgtS-LJVvF4wKUd-u=9(sWJ7kt53k0T{?K z`{Er7mga^j*NZF2X08rg%Z+3PUdmm*O}F&O`oSB2O5a&h+tRjc&z^N{FLw{DX=zN> zjr6sxSjt9g7cI(TJ$GU4Yd)*~F{^f%G!DPHyjN5)#~rspdN|UdDS7^q;M$ z@W+K%a8jzQ5{c4PA%?NJbZS4c?Pj*B!s-QKFYtQhodHl500G}lAMm+cAvbWlrJAZL zrPPY&g;|$3BV|h+@D8RMQYoWUB696&elLtwJ2gl&8y?!U(G-ERYFm~j(0;X1ODVOD z+D`2;EzMBXT7uA4Q;aDDy&QWX8?x%ljplOb=FVl!Cf0_bzeG)C01Yy_CGr+=q*1LZ9w)HCye*Z6R!qeP)ATh&%IQPCl2PU{pGj+qcCi3m! z6rjQ3eV|1mY3|ThP0E$rseRl`%cj>>|8!(#BlQaRPw(|m@8wRDUC@S%-2W^31m-<9 zq6$VvDe%v1l~^J%6KXq^OeD&Jfk0V27Aup<<-Rht!EQ7f48AgosHlj9!)`|bJ=5I40v ztDy*rp==c8q)=LXHXP4j(jqi~7GQ#bi+Dgm=V8bYOdsM?@zZfiNdv@g9jAjqIjNW@sv-RYFf+iAaRc6sEmA(dx`^<$S>;I&gd*Xnyt z-MT3EG^_X&3q5C#d^tE;9FXLjesiW0Y6|=>2&=C7Ew-qe$3EnSALKR&;F&-(2fksv zx0!nvWDf69n7|m=5kGqzG;r_qO>EmVI&x^saR+&VVh)2k8F%q;j`(!>r+e4#<1P>1 z+q`xV7(f(k-3L63tgX#lchIQjuJ8M-Yx|awiFGqo)Nbzm+uiT9(6^qZEfbd9NwTGd z9$Edy=#~TAduyiGZ9~&}X6}LLYhexC$x#I{M$~|RVwEPf)a2CabRwr(Dy|}bi6KGN z(4eZp%V*-a=e&G6=;!lx8)N$=$P!j;abb{UCDEuTPSLIulR~H=dC;0n)>eqcMzdVv z#8pLC^y0I-=hV7PG@L|pF*WconTp%sL%g~=h#rFhD>O1uUUyd-P6cctku8u43j~9 zgHI7{EME*5nM-Y4&{=xkZ_XJBM%ALBInlvf1QQSX#8i&>`@2jHn9U810)T7B<-Q>*VrS04;!0tMf_ng~K03Ua|YunzD^;-_EpR@^& z=IY3Ib6dymeHdL}F-=Vi>o0*Wc(ERyOQ&nu*g{0F5`}M$TGWU()ohl^ zu*@(_AjE=YQnbZMqjsW&u9V4Ms$6;zygcpqcObN@m8~QQWg3RyX(oL-O_`~5y1u=w zEhJWl9h*DGI)oi4h1Yp9S33adN3bdw-Q!1tiGNgS`9}mc$LC)B$R^IUSSwB?2vwna5vHC0ZH|p=yOO*H&ojj`-N{M>FQ1wPV{_T;nq?Ru+ z5~i?;lu;%$c;obDOePPe?r;$vJYZ~RZ50_i(j&Iphg=(7q$`h^Ep#A?=9Vu+qt1VE zrUHw=`3GJt0?qSc!i5B-sP~1s9fjeXJeWN%Uo;EfLZvExa}1@v!d(A|hXW@WNDm@~ z+|UQ;6#sO-3UGnS7t?~;(d-4U34x|*i)vCh%OFrA1lX%Jn4B7>6iyy=KKst%a|Jb0 z0eP}2Om5-CFTF4V$iun2luImAe7)_gLi_H9EfNWx8=d0*SMG)@4E_yRb5q0Qp{^l&V5dQjHF40Z?4L2U-oiu z?#s;ev&U!1wjRlr!QtEyGR~cvPPgm_?meLE?LF%U>yG_6T=xNRtnA;ubfE9|UT)aF zx+-yUCUG6Kyp6Q%o=IU`_$JIPT(h_tvXwO^^pdF0;-Oqhc%(a{wMg}iUUHOvxjnDeXM9j!~+TUnJp z)7swJo}}YR9tsnWdov4#!rI!JMJ)@_j~CXowA3u5Xn*Lfd?_t{J{t)5&0ashQAQ$4 zH(bqbP(iuf-ui|HgNt$T?R-Y9R-)m0n9azD0;`q_v1&1(lK}`K+y#S9q6;yYGQ>lI zV(BjWDoxR74c3^-GYmn~1Vbskjowc0kawqdzxS9|q{IhTyf?hhyh5p$_4qP`7cM|A zyI_IEjFkT1Dm0r-CL6yBJ556{2ck^H5^o_V$KfK9{lzQl)$a@`8E26-bJk9wG&H9| z%#U`2=F@xl`BG7;IM-i99;fo)8D3x;t*84bKA+EnCSyFYT(rQ#lrJb@PWMY>W?FZR9?d2z*uGzeM!wAi;UfZ^O zxW8_qZEX)hNMPLh?{FXcD;U9QVCd=3qhsn3f}Y&@3scQ6@v`V8QZO~@Is;?b#g{NP z_@#3#h(r}p5}Xl~mU_|aykI%uBb@McHl>n8)7z0`#BN`339H_}bcStU8W2;?!e$0- zUT0eCm^zF%t6kVyTid#j3cB4TC`eZ0(GDA2H@cLzMc}hVShbps*nEN(ZK`BR5QA_+ ztl44-LC0>SoE{_u6oI*pVPS(0TBWoBGX3 z@H7$2pH?%~@RX>Arx&t>5PptGh(70~EEBecNdn%5!<01hpCCX%=Qh{13z|boP)-nl~yPY z1@P}G0zPI*X+xn@3Uyoa{Ib7J6~Ak+1Ouj<^Ib-6Tt+z zBbVx5lW2c?CVlWA87HH;5BS@RWaajCn+EB%c+JhEGQDg2yD522+eLl7OqQ(rC_iWO2z0vmp zq6DN^Sym)!BVcH3poliaKJ#j}ysS*%)e zl2`&rUdlo8DDEg8D4r=wLJCBLbqt>h6-5MUtbjp9lLc6M6k{dkcD(T1!4R)Z#@`LR zid*A6%%-@Qaf#-+MW6o+zLh>lMkN*t@u)36NG&erR4T=5snqbnDqoCD@l+(tS5baR zN8$SY;C>3ppu&@y5JIq`#r*#peI|>~#q!CuJy9J)nm|QFDv3coMPWazb(H`xmC2mg zGFg@SX5Sm38nT6J$Yh47!|el${#)m=c*`3bP6or|HZIkhS@D*ZbXpYDvtuLAAz-p#F@nZBO*9}We>)At8^ zHZNY%F|)m?X=Tl#g|*waZ|6>fF77wW8d_4XQ(y1qT)WrqM)|gn`=6q(gg+zn2=7DG zf`9p2lvA?-orI=Iq()5;;dD)nIGIRPh(l7Juc}He)|P9_olb`%9AwI@#vp=_u_YB1 z@@Omuw;2?ZhQswJ`Mv-nIz}iKt5NpI5-_g4AWzuL?hI0}iot9ynJf*X^J>IoaxPn4 zU5dz~k#;@Yr#+O@S>@Bwd7j@?R!ztl8A&KvWtWnYDP>Awh1Lz+2-8DaL$*d+r;U=^ zfK+A!GRkIQEg`QrWI)RGXR>96*u`ge&%%Ez3b(}A*=#hLuE{?G58!W8l^yc!^zHXi z*7Q)CRHi%AH`0_m&1wx9Uxgu^HdOd%F;NN>RH;ym)s0AkmtZDIA==)AJlJeL9~{;N zf;%1$AoI`0ktXI-9+mj3f#?7~@;fk)k1o-O+MHCc@MdWIeDE0M?VAT>=extHypVjp zP&o%{9V_aqz}1loNBEI5L0)>aVA=#IYQZCoZ?`U=ow@CE;nkwAyiK_+kEe4{SCo8h zYUWFdWh7Gy1vN7BDUdw*i0Yc8GBX!bqzyP)xKo^Ek~_lH`BLCINaX5-d$xbGcIx_* z<126PUBCVJgPV4MQ(VhvbJudPW4vj3fAcuEgT4zMakAZ8+HZ~SI(6wL=-^IIG_;I< z18%K7zi#Bzx^Q$8v~+Q+ z&)3?jRN}Z0^_dqfVwwQ~Ps7b@^Jw$w=Bv#&n;$lpNU7%LR=HFq#S>#nrK*8xggamy z$#@Vn16LGH)A|K9dR$5}mRQc_CQSQC%eG^*%UUn|BrEGAlUi(mmfZh{eRidAKs zn!L)zAVn=^mDbGSWP+EXV={?E$m8{Sah_Hc@*VS$zJgX@o^dum{`DN9fv?5Sj*aEp zn%HLNsLmJ2g7SLF#a#nW7$6snN}u_jB{EiQvIr-~Bj$>70I33dVJuac#zC({V)X)^ z7G!RlGCxe%7cx@5`Er8!HH=Zfrr2+KNtEm+E3=gyEsX1 zE!amUSAS2oW(EYea9=!qxa%3geI9NAG_~=AZ`gEqmsH6$+@st2)$73#xl*V-X-piN zEYnG3Cyc@ID>|m7#nraYRbKPqM5$qP*E;SncU@s#-j}<*{=}ENz5u>8pWjZi(`bIc z_v~fSJ>h?afr^#arX-@^6e}eNG8(O_(s~g;*f1+4!(mb)A6=g-t<870CAVN_9q|h>L+n3@AbjWVBc&k;w2H z9Da=TQE5uCyl-1p8MFq;AalnHLf%I2M(>;62VMahBM3<-3DfA<>A2#cb~-@ND{+*T zIwanp05H@PMTV)H6se?ul%iY$00=zv@C?AC(8%n#S%IDeMI0$qvLDD}JD~|9(C5HF z)QJ4Ke~u{hoECo3(2MM6{GbeP#5}GXGcxjIHt-w8Footkbj#Ja-L3-&7mWCAG{|T* z29lqCAqzl1gzSMsq@`sr`G-~UuBGH>9K{vtCUak?&L*Ef%X) zbD1OpDjBzgFJMX;h$9fN84it{CKf1>It-vVo3 z(BOLZaN30?7?CDcIxUc5%x07q4oB072m~C#XBI2Q0&6Y6g4#`bl{Z6J!Y~f#vd|U_ zWsDh7cNEotRl1DPY{Ho0>8#j*M;o+ayR*`XJ-5Holwe5Atq@S_G6}*YuB;TBh=d@@ zO1+tAg-4+vJYf&(>GIH0k0*%wSJ1!$*q!RUnLr>fPf*L+vP?*JMfO1UOh$)fL$Zys z{jy`SH)S_uA{lFOWMs30x;PF-78DKTp&^XW69wAZ`&77xHJ#W|+xX@(QZ?{P|wnYJzp>0QU#v@+vMea7~n^yWWl+pDpMs(Qd@cI4WYIu0II#eer zK}`%6S{uv4ZGQ0V;(CDqnd2F%qXoLIp|e&8LDV4n?=)eAp@T%Pm!&BY6S*u4;4_&_p+`f#(6KeQ`24&VrF}rU)CUM3j9amw zkHee6k7gTwWF?F?vDIl+LBtPX^#Lzs%g0X&B0j<~SoAn3vuj$X~h3F)%rzCouS}KhhQV$e_9+Z-L zy-J0pH*{GQYxibUtiqa6sDO=9iRuOQJSQqel2-)J1mv(_li;-Ap@7B`WwE&vxc<2? zIus7aia8rH>*BmcQ6DzXVGqqbYRuzGUM-BI&jCpmxo-I=828yQD(XJsZ9r^u{D@)* z=Y!bX^Hz_gs%GI)$nf)w4SB5ncNjoX7Zp3^!Xm(ZyJ&t9z;`7CJOriSnRfx={Uh-N z>D!S7e>5@k**0=`La$Xc_Zs!S4{}Xk1Oj?)%_o<{!Y{dL+q%C$H{yMJ&yn$yOQxDT z$M4f>F0tZ!;P3muV(ucy%zOqi+{Kw)paqeN?t4g=0vQ-L4`p}%%@13&x54#0qjJYfTO%n^Hp_TW@<`Tiv3tkfl@6Xj64zoHIyFTRk zv&2#`e5M=o;SFCPNhaIflSUmxw!{-Jr8*gARS&-lbi#iR{tIYHsk4z4fLOt-u&p?~ z;_3>4lv;sYIt(OMtQZ;?!V99c4J|BH7PP8b$#RNrb+yJ@DR(PcQ&vZ&6;FD|VNBf$ zJY;L@!o|2I1wDTg%y(H)9(Xw03Y^~yDXc%dg7LKQ94g3xIok}+LXOuR2EEqcwTI`SQUwN;d0P%z ze{FzjCF5p_>L7wfX$Xk2h^)hDW&TRcX}K6IJ?p+nRghhsL;ea>tT|W9@@Os5dLk1);oi3W=;Y0pJ*VHFd}r#9zF*gL=pI)E+QCXOcZmC%{?pI6 zd*0}>4xu2|zisu_akJ&r@Tn){|0NW2FP!{jq9L>8>VE<2DN?LH;;K9IfA9PKZ1>=< zhJE3?m*t9W-^-oSd%Bt(@rhAjSBUm}0Y0hY#-0%*{evGhaU;1pwrj1oD}(t*xjUjy zg+C*7F#3lXG0{czlDE$^R>u~g>Zq$pr)#39rlwkj&*gIXGyV+RO}vVf#o}<-?FxxR zt61kgQ3@%xc?CfZ3?tov0lnJ39OG~Y0RM0g*D^7~>u(1jVfZ70nK}~7X zT7{yo3T>@b(P%7|sz(V#eKMI!r7<3;wgVY-C(!{CFqTsj3@D=*re|3XdU_er1D|$e zt)0g@Z*<=2q#tww>oPzrn^-or?DR5PN_8&lT;`$d=*14g4w7iY^LX2u^GqylfM{dd zV1(D!mTAf0)$C$iR=?iQOAMIxWmp2wK~>pI_DrOiL+~@}>z$ zm+zc&uVkC)`@Ptbt`^Hi_c_mbmjCnrU&s<>_*a^dhf%0AW^-R-4WGuewzN=$s+1^2 z?_QTx5b6%l!KlMP9%hESKyw!u?i=YF?W5iyz*z#QVPZxyREHtl)z?T6jeT8VLx;pq zFB(j-W?!oSWCh~Ts;IB4LqoF+lIJMs1(sx`!!+oj0b7k`dKfbV#1#ld`pXM7g!YkwH;x4;$afh=oPrGx0&s@mvi+gc#pXHZ5c0ah-iXO%_ z*WWB~+zD#e@aLGj8bP6k=cg0OS%RmC2q}|>k5iYlKKT-D8C8~|cv>Y|B&qNg3bK!T z0!eJKC*Zp=6t7Nmo%C=)@ZJpgfb)=b8-}}Ehcge)NXdaPJyk(6`FlVMn2|f&&3P{5 zj)6O)`5PR2<5hka-#340mw)EM%>2Z*@s92Zj_U_?pi9bqz`aWz>3w6{hJl8@*+T=P z^f%rdzkYrE&GEAbJ=)RH{N77ooEv*%{KD0JZ@E3-J!i3cB}zAh6hHrZ$Cz_iLK8al2W|ydSb~Axi?Zr@qu0+|8)}S6|LLgaMKi7ey;0sh+ zyr!N)*!_8fnLtdA8(CSkp(8;Bba36F!kCPz4lZB5vcl&J;21dgM6(bBKLPi^l?3ULq%2-M-|<;v`N&29&l%af#sbY z%P8_C5_B#j$z`3Chz@{2phpCHMZ+Regx6%DpIK}?7Dj>!+#5pNi`GvXT^82lQq;~u z^t-VzOHjBx7Wl?-q9*_e@YajO$OUwuz_861%Mge)9$8csPA`pp7j&F3tz$9dr6rGt zyu^YAR59We^qqzQedcKIO6mt(C(_fvm$!4$8MdK<8-DecOw3Ka>DW2{(n!UXsbi;( zEt~G>ndEMhAtazvxa7J)6L?n4?aI+N_U-N*%TRv;wt%a#Ge^d`ck%a|jUQ=m;D+Ye%3f0{eWJ)p+E&vL4~45Y?E7=Az8mhS7z^vA^ScTer_ALY4t zLyt-%x5eKjkRr_>v6+0py0uz|rLMhWQ(X-yl@c;pbF-q+VKVtETrPuu^@_F2ThSVd zw`MZSm)CShf}v3LN{h{A=-$-PPPyG4Pxt1bAwzevg&=Cy$2N#*y2jra4p$GXLILxt zm2k`4IA=(vE`uzQfR7{+0~pDOSl{EsS};hgBR0UrR>RcPta}pSYUd2`YP6$PS8rL_ z-Q9~GVW0Hyd!Pqyn5L}B;i_;{=>7giBrnn|lc^dT>w_Wmk{}U+FVSX+AQN;2DLL&9 zx`TsEY7k>^p6u;SZ64w&W{AzqX4hs)PHo=2X?PQ^reu~2Pi0&gQbA=htsU*{9XN9( zm=*%>lJ${jgxCFyu2{KhC4O@Cs)0EA$>Hp}wZlwvJ7{N`Tfk(?Yzs-WFfGF^XIpNz zh?=8-h$83#H9T;0fE;dbiLM%0yLMnzw55GmqD{S)0EvV;)sxzodOr2N)H|tS%B)H*;2UP zDY3**x^#%7Q?hu#-GM$ynaaSw+AO(yL&kx^{R1#GrQexoP3`%& zO5ao6nb4{~9X(9i#`7PJh5}EG?-=Lq>ss-*|vZ3-3G<=vKCuMk`h>}oY#EMm2 z=`CXr_`$AFXtf3;xTP~jj37x>+l@whHI)S7+Jpr~@@gw95?OURE8zoHanOgFm)`78 zgP=bMRs?}Q2yUT+r-P)2uPKp1!UI@-C7y+Wv4<2V5@OOr>#pd*^LoJQ zNA;8XEBb4C5gzDOP5@sa3QwE?p{4DQ^xi^m-l+W`X0 z1LD`(g%~eoq91qK_lFa3kQoJ48mLCAB28}{{U5J2rLd3%Gc(VBA<&ouxRzw8vIzW)zoI61cO;Wpa z6Xao_|C~J|-ZK8+^dTUnw>>) zlvoZn5;CG4hN4Qzin=FDWfHNgW+k%{sZRAfySvwkS}?8+lyog=`C*@CW#gYIUGpCq)d4@OU{>5)D;hMvEu2D|-7^qp23e z-MP{Gy!U%vNe|}pe98Nf_mNi|F$1`GM$8~WH`CA4-=k?K9ie;R;YYM+mIfpABz=>n znoD|0$dM9&`ww!MrxLwPudA0@jXN0dzSm3#N~(HS7jC7hW)$BxKUv)eh(4yz)ki6) zzCKm=N*s2>pIEt4wM?l}3Ulrl{zFBvBnUs}t|=%$U^R$HQUF>&rN6zrLZi5{(9z&Eo9+k-l5s&X0!O}!xqIW7u{U0riy15q%@;So zBH!p>w7MpR1nY3^C{=?>zKFX^732EU@%9Xlqt!)713Zi3rA(LPi`y$DVtRwr(ZI>T*2%;w+{mzm-E-{f|K z3GNWsz`Y^`UEEbbgGS1*XL$eaUHP}RKf7h`h9CU~RE|FVKSrPa<5BL|D3|HlHIfgH z>?g~=GuaCoz|c61c2Q`|ofyx5Ogc7j$Eo%^&w*b)yZznqBi+aM16%Kb@pXp;EmX8d z|Ax>LNt`9N5*hGI*5q?5ZfvtcIePnITebI)7aj59JO+d;rT0LFLA zENr>iV&JdF7dPyWg8Q?C# z#23_2iZihVjjYAMINt2hv@L4q6*+g)d_Y=|vwxgFUZBQ|ltGx|9zsgT)*Xi^WsR5W!hW=n0nbl+>24t^?HYk@f3o*~YI- zagVs4Qv`Th3b-$mwt=Z*`<@0pTu4GX^Z)Cwz~M}q`|Cg7!HqY?!1tGNP49CbeCIoe zo(5gW(cRB(JJA1+3MKv8#Kgl>8ckoFPS0h*|4cNJcce$xS22=s*AbQ~L0yDGo@v2B< zrL2X4TaKO>gqzX^n5qpBYls1`jzD11b;K$d`)r&Oi=~(0i>OEU&QV>!h(W1#JGCmS zk9X8bt*LI*{@AdlynNVT%MXZRHQzB7^N}*NYJ%-V;tq7v6&9Y@| z^^=jFo=UH)s=OR?FV@4%Z_V0O{px;aKZ$iy*Y>aN*BYFJ6PH%Hh(sE_N}JsqzB zsa!lbFgUP+AXlK}W6nxRxT&cVKu#n^6C|4$P9VNUI)UmhzUmCRWo=G(Moj`GMY0xW zMq5TCNHRe{+wgUC;O)Q83 zuBr+I(9|)S!3b;&JQJV-#Tg9Du2tk|TRi^D>&u}>2Q^DFsRcpRMLOv_7s@Wk@5j)U z1&y;4OBy4XvZFAaXXN*dbU{6!KmZ@%2cB>*BO)Yih5RbAL_$Lc-&mmPTFjGBszv;P znq;{MY1&B)2zuw^+ZPoSh}B3?OQVdD@C8h?#Po=bMN|e;D(|AgWP0x_Wo47TGLXKy zZi`sEt#gPwD!Y)#9NSB6rN+0t`_CYd@^f#!^EUTOm`llCJHLYRWj5Q+-sa|F?CDr) z&f_4-y$^43N5K~AHm7X=>-mT6J9<-lQcXKHIreBNGkI+5m}|$-gV@`2dPvbn&RpSw z+-G&EPr%eA%j7h7?Y$!neeF-abaHch_nJR{2PD8{^2iTNvTqa8M$0rLQ%9A zpj`o`P-v?$Ji2$mpx0`{J~1YSGL%asQE2yNRY5c)#p>8{Lc&N~63R!FPg`GFVj=R8npaTmmp41Tgss@eGtS z;bAmupO zpH&Xl7Q+}m0k-TV1Z*$;Mt88Nw5Xn5RN-=%wjO2IbRWi z!g*li;=x3uf~Ic;QdLCQWNK)tDKSjGJCi@K=_F_Z%;wLyH-QWsjwN!havyVJpy@+W z#=XbgZ*ADrN4^>*Pd(g1A4M1Ob9LKx_;W*{HxC~@d2oFCR9(mLN%B~Js=vLrbIlq{ zaH4xabYg36{?;wB?_-S7v_L=np7;ltcQgj3&r79&fRaLJ3R-T~h%bY$qLJP?0O0=p z6ssyx)9`$hFmwrBqERB0kjkO*Ric^FkSA0gK_}l3bwyPn7%-@)gf>@>zyqF(K5D-Bw+A>y$Xc4RDe0&xl!TpyorB91{G2#k`< z#bak!=2uW4(h7{{0$)KfHo&;}1x*Z`FczR51+%O4Wm&$+0Z52U2x7`b5<+~u^h z`4^7m?^FM|b)>Ghd-BNP+?D+Y$nSk^YkT^?KsDFR4T#?Q;DZ}CCSQO3!UcjR^e{Gk z8`?|-j!fIhRu&P35!H26wW*Hs5~fHfj_?8ronEgp7&I8^t0B#d*=$vmFo>-{ROzol zn|a#Q-e5;Agh`7u3EJ&&bhy0)(NY2IiID=fxP_Eqf@L>wVW&m3bMSMZL_jiO5BW7 z!SuzffuZ#bVq#4o76TnLah*<@NXumD1XU+hWBs)>yFROsI@=;`J#8D?Xf&;XW;H%S z0~{OMo@sjrA6lK(W-Ij(4G^3-N>g$|>Vltio0XPIX=@wpQ`bA|NflMk`XiZo#4A&u ziq-k*;QOD;!tEFB?txea-$KWQA#O>nF%zha1SqF3;%oNdn~lC_e9!s5=X=Tbn(rgu zZ+(w^a&!kB(mpmE%lPDh&GZ8OpT|pc7cndWV-jL&%5#e#0r~Z(x6`tA>OJH0K zZiClz2dIYp47qg%jYggTF2HQN@+K+GYtCMxmQUww(|`953`6Q?NY4yu%io&Ge=w83 zLrSSzIUBqLBx33o`1-+{V{@ZZQ=@Z#^Do=K^~YnwYjW=m4Utc=wQl^k6#jd# z=@jr#)CuTE0b%3*Q}VI+JW&h&2kTL*$#j}drQ&Y4-D;Og#SSq|C*f9x2y?5dl1Z3& zAP|k-?{T^69S$pk@WRDWQDL>p5%V5v2v_(jUd4rTD{iS-QN_Y+Rx6e2OQ2;w3m5|M zRrEAT!e8Z(8I5wil##;K!ZQ;&V{SC7QO8EJk#Z$N5WNJV4{-wd^W{KEILphOgp$@* z`iOulK&k=(!gs|-swp4+vQVmTLY+aRN+}lWb$VVDSI_%N9f;_F4*5wP?2q2QkV1CAP6bqCu5iFI4ifaO&=Yq};{~F<~uLwH~KBa+k?_d4}4b`&R$hzmS86ZeD3xmpMv4ci@k>{xw7E z*7R*MIHvp=p!@Frj`r(d^P#s6y>aN~O?dUzp?`XQGt7k?&~|Q$*Ar^2XUcblTfxs* z#$mUcLSnJZWRg{c!=C6Ru$5)ZR+r1{HdI&ZLqUzkuftOkdgxsBX1xkgVa?0yfKW)F@M~WtueysfPZBw zh!8!{A5u&`LDVypowlR*Mqu?u7zKRf0BQ%YQoO=(s{}gbmq9IV8C_y^>E(DZV}u^1 z&(c@udnmbwzOgKjLAMud&Wr%KKp9BoA}O4mi?VrK&s`{R#TT-d0!h|_CNfqeFYMUJ zump6Lh6hLdp=}%8F7Dh2_xT?eSc$N~EeM@S7H#TyoJVNjcvCe{89Sv2|7rEHiFM~^flN(w#;Doz@^|F{CtJZ6 z?K0`0WLPwe-X@wQwgI-fw|cmGw3=qBLC+3A!0$moh=AO?t$Ih-phz^>wWE5QSE6m9 zNVMOFp<5+Mb&IowR4^^Bmfn`(7Mf^bTSi+ZTduTRYY{UP;!RLAHSx6wwEj$t7P({8 zFO9z~ECU=s^7%TdbuH?X^1!1)rMQUhU6=q`BoU6I*8ip&yr{J*IPAOWMdFuOO1e<* z!XRHf=81{e@5Xr*w|H!^#CTljy7G*vSaFK4cS+)lC8WyH7p6qg2nAqa02HZG3B#U3 z5m@&)p^3PV$Jdmjwx<{%`o8kgIJ!;3i|NLpi9iti@pm5mc+CbeGu$;ie_&4Yop9^4 z&E}>KfcHPGjMQaDS`V4b|1=G@z-0Xhw{4p9>!~rZN_D?~uY#HE+b)yQ+@DI=j;k*A zB%6}_Ke^un;}m!63221qA7?=k1pW0-L2E^S_rCWYc3ve(ajai91Uk6GU>EnTpCn>8 zzzBJ&yeyo%16*JKiraGUvx+BIy#M|xqW*_{?U{4$U#g#;#8C|^>*Y}}+E6okfLDCHke z8zP1t0}R#;8niYTLW65rB_)p-5MjVZ<{5@$?vcPnf?g7kn4c@cr%poHP^=#nL;>TP zLP8}dOU8wyI~L~&Hq-F}p=OblJ6<#am|^qzb+Sy80z9kkEZK3I?95*{oxglZEak3T z8}8t4fmhCOn{I5H*ngV(FY;`@ck4CCc1NT`<|0?yiT+d z#(Uo<^w0wai6|&%jVhJasMmX|V7415x7utDm)I3x0;p8!Cn_)p|KK@qmW(qW=A z;Y`g?>rR3$Zl1fo`NuNDk9Qx*otn_=-#%8C9_!|Ag4ZwaIe6s7nHTcAXC}wTrbH5O zl-n}%@Cx?{*||k^g4XA*Z|R)c7wb6KHAZsNxiw?tSpS>c=bM0=`uxa?Bd2ExUjI!a zxhwv2!UQwg1W^Z;u}lEES53eauMCC4QJ1CS68P#o46#TgtZ=~uqo_2KMk}WVy1p-6 z44Z3`v`C~u6I7;fom$-x6;Sm9teLH=N!KOQ@TM^h5&q6cS69K#O9F>&@ znE`K68nqgeHwr}x0g0Mk!j&$>-Aj5In6$%G0Cv{?x3yP`W#Nm1OBl_TMp@m0h%t`C z<1qX-qLI%b?p|Q&;m6h}wRIMZ-XG!Kc_jBv-fPx@0p0Zu&V+S-2P(82U>$}pX)>xJ*dFLOdfgkWrbNBk7b ze0*sBo?yXGe+YA^FJ1Hz1mX=dppJn})YK$tz)A_aU{Isf$mJzErCOapz4Az?swz^g zLvfJYXVXO@I-8HKhoQ)pb5t!;i+d31G*u#zP;NIxwWg5eq@d;K>e=e*`ubGLo~ZIy zg+iqz_ELOWgMM$>%b)?CcrT0=9CA&@>$R8wt7%datFOl$W=1~cVlzI;~dw_}0?+9Ihm-T`5f34R=z2U|rDFU(`%dTddO$cN?3Z+HMR zgGeP7s{VxloC0N~3_8@{DI+v{4-K}kjg&8#D7;ST$T#WM(7yY zd*~4NxBq;qbs+QAH!gj%v*YQLH$gq6sN*K*TkB?k;$3d*Kws;%+~uMEx$R@EyU44P zx$!lk12e~VzcQA4;nC|a06Vf*7mUmAi+2(h=vt!Ko6Y{CMTv~hrZnqx7EMGU@zM%A zbPaae9r2d>{Qh7_hLrt492MQpxp}_O`5L&7p?dE5U`bZ=_5 zt}e+MESY4|8u6E7w7ie-qhQ+Si)pMe+#uF5Iwh{z)?LWT2%$kcm#qr%y(YKA?Qn_W zE|gua%hsfuiPs1c6M2G{L|{^MPegtMgEVAI<%Fmzo=(TBL`1n*-E8f#l5z5M5mly0=DN{$qT-5A^gSjHN4(6U&7E7+P#HwPQ>iF*ICJ!GPI|P2Q{?8{Sj;xvBW;d;2zXhhazq-`aejGH>x%{qy zfqc`}_LG|rruUF{CUaYcL<;VGaDD29-KS!3@7yWp4S z6^7DM646J>6cUQEODs~U7~N%9cvoVAhNzOLBvO&|WegFOBDV&eX(_sEAWA_a^e6^& zjtnXhA;N>1NXda*Q2nS}5PiZ~N~D091bpd8XwBf$pec%XAku2eQeUYXc{sRVO6J9X4`YcSFt8f8&*ustk>r5cY?4xHO-l*bhB_)R z_;SGn*G|Y_%ph0Gjb4vOhiZayU^nUYA;dXhME4mM-ZlB$?tsJOFqsWfzB(txtYKm? z;V@{hx-48y1P&>@Fr;X>nDu%Cgjs1ukH7<5XbGSMF(8M(QccNZN;R*4d?6cA_9#iE z@X2bHDyr0KYK7S~{z+}^|LG&I$IFYn-9-E?vjFo9O?Ng zEOTkLG%IvHb(9UAOPg41)|>IUHyHUr$cOZ;4LOy~k3j{LwtYo$Y7<3UdBEF1LI3)#>Xq+-F}ScMA8hB=Hpynrv@>vV;u64Vl8_eDaAzGQp3CA+S$%u)7))6j|&z zJPWNv&#AHwiPJ9{6)2+@ET=D-{jEAO>9i7HL}zWTZ*@Pk(!CBl*iI;Ftn7?$#9d@f7#wBzLHR6src> zNvRCPvI5P1E|=OiZN!8Tox$kl3}oJ3V0igFQz2gQOHS-C?bf_M1=X9Btw zWmfHSx=0n}a#;)(Y_{4`9+N?layb$tk0SS_R%M?n7(Cw>Bqc->Ae4U8oSL6(sxkxsAeT zW8}xNMFSl|SXMNfSV5IVFqn8j%*%-PAFb#y&Df>pP$mPtu@_B=zX5IednZJ1pWs5| z-Mkj;2lri;Jjcy)k$>IwMp#TE%C~O-8yT`(Im!JbLH*qt%ANag4R>&g%gS`UYiOw$ z40HMO-Qq*sIzqsB{!TI~eu|nR;BO-gCURKMK^(# z1R6=GWz#Y|+N+iWwfwj8M{-I|$bmr~LawT+iqR|GNQ~*6tvQMDIhtCzjq)Hw4r!qz zk|$gWUe3)Um)j%~o2?{Wf&&4!-eqvQct2&gn4C_N!Gvq)HT7&gE|52|I*-D`&+8(d zAW}}LRDLg`Xlx_e(7qK!+9xbfU?w;v1!Fh@UFbj}jdrYbRfr@*p+F2HuTg0*f@A>^ z)a?0y=urTS20(A%Y=A_#GlaJ&xC@?brO-~qCQyVe7aEUCD$-~h6A+q(IU!#WG8J;F8R;?q82XP8MY_af3X*s}1PmI(=6)X+vQ2SCf(8nOWa=h5zc}!`T zdKSDg30|4byQcHou1{XRymg0+{?b<`U;bC-pMK8G40XQoX-#0JDh4)kyPl-K1^nFm zTn1f}H(~7U&%4mE$PAw4#=p2TI!e1x8)uyR8+4gc z(RjQniK3m-RLbitv+DKMGAB*e(RFmY-Rwbq7;mM)yQ~e7`L_3UcOle1iGUtMtWvY8 zXSqhHluIOXc{6&Xl+Cc-c2dK#8nWFhs#;rJ9SD>nb7iYiXtQB0&`^@eMy*<}L{lOW zB%|ZhBX(TYy zet(&>3`b1eXpVd?i>Juvv$m?zD!!2tHkYpEGt?Bk$F{TWt)gYExUo}TZ8TP+T1uKV zWz(^e<93S3I zSWV_$BOn^1jcj9Y<7ne#<7}g3r15OymBxFGbR+sJ%Dst91XJNtjS+9Nm-KocX+T5+ zdNdm~&uA!@255MVW*8zya5ZKzs&ipLedkU*V6$^4V%rFcmeW8c5p8}Ki2c4jLvjUaBcbj$ba_U*sp#y zeo#j5JvjEmpD{oC7jEX6u7CX^={Qaz4t;(TsO5e_9?x$= zm*q4?mG9e^SJI+x7zA^_0}_21B?Xtcjxp{xjIOImrQzDZX_IYAX8`5&$^q$d3GjOa@H8`|#^OcvB4`7k1C1UeBX4%rR#ts);lV)r>F^G1;r^AW@a}2LfrA z$zq`mHk62;1DH{9<6NR5inGqjM9d{C1(mwA1VshvQs5PpmLRE6ovtp1sy#8Vo;9%P zXk|E1;rEwYOsw6VF`0NaMHZ$WYE2@Qj-}ui6%JSN;=SL~fMLyuW>iCIkV!IDcZLYV zct8`@go*NUC$INc4$7%=v_RpyDL2>&J6}SiC~*(*5O(h;XU~J-|)a=v=RJ?jsdi7O7->k)*Gn=v$EZ6v0?Q2&~j*k1kfDZgSxxwwo zzs*%~&m7x(V9nor^$`JPr@7g^M>CmyW1^ZXC%^yC`FZYR?nP`Gcw4-(42}X!_k?;>sC5&+$A8K$}2)DnAh>lJG?7 z#VWl=Y}5y;JxQm_l{BiVn5rLvAvAP>GFC8fE)Zwp$cIZwR8^Hl?{j-ycBj*#kTEjUhLUAfOfnLIeg{iATeHtIK+U|y zyu*CNK)>mQcEETUFM+G*$PO&f4)9b60}a7a9gpjYKrpz_y6Qz^ha^e&@CE_xVX(;d zFHmeOHH-ynjQB$45ifAB7PtV@kF~Li3O?!D1&b(jr5Bo5h0d~1Q>I&L2q=^^=&;mt z!5nr|11G>b?$qZ8&dBt$hxU=Vlb?|mau}Zc3mn0nI*~rx|K0Vs!GZ7m$=(~g5516| z9y`|CbZAsm4)${greH+Rk=lmFauCr3(6H=-R2nE6JB8bALTY{cM2y)$EoB z)T=WeM5FJW68c)mAN&d3}PSY6aggaE`61iQyV6%qU~A zFhz%ao?tM5&YV7LVB`d}il~g0^~y$MVuq68%+&12$Yf%r80cyEC&5I6VfBm#$$-MV zB9Vj!tDxwhCW$Z3aJU*hAa6->A{JRF`4(?pmJPlm6BX%iLpx;-AJ&FZw`7Ry}YcM?*y$T&|`XWL-621FK>ilF@j$ zvZ~7Oa1(BRzROAwrO-f1OC>rBrK9)TKQFHGlGrTVQmGyLM>w@ScOf6TM_(x|hZGPnvp9h;BX(Ttr&%ymERh)Hvjdj6Hkq)Xv zilARouJ!v36+}2pSCnTQPG`nIGqtaRL72SA!A3$s)Pun}wi!99bEyud1C1d+At@=b zRbX^v*%JzeYBSVTqx?fwmSP)d=%PB?ka4v^02O9a(F=B`_F0JwtB14?FL3*(b8u6%=uNZch zYvN@{iUVG}JQCpA#X~=x%x8b_mOlZy&rAhE+t@D};H!JV(*c5}1ieaHL$ zvF9!rUw__G0m?oe{}%VDNIH>YCg^>ieU_j2>@#lc{(X))wD<6?F*308ONZWg=X>c^ zXx8bbmd3;R-@hf1XOQ(6;wQ;|PK5;#vBN8}^UPWX{8yghK<&;v>)wNvRoF+0A#^w^#-zRiWrj<&y zx?@#bukUYbY^h5W|7W&x>lfbO8;gt zit!J$dY29&BFKSw>7bzx{2wJtAA_r7kB$KAqD7PK)B)>I*cBdWJ#cVMXmk6flb=5L9Ofiz4v-3k zti8h&oKz|Dw-20cni|@?`B3f>RmZ*cY2Sf66Sr?q+?n8B1ZzaYZ;c-QZ2m}U?ilDx zT;0vSf^jyUM{Sbp;;$7Ir0T(M&!<8jhsBS0%(S4kS}hlWiV9mWXfqmZHWzZ`#GMv5w_)axsi%F4=gREnN+vL$A@5vYxfwo(h!TBkOmrGy&;rPuOEb|03}B?$`f zvp^}hC_H5)WhHdE1lLQ=lmyk10-;P)f>wt*8;VBaNW94vr_59wKN-%Xk!A%n;8Z$U zPt-H@@aO7th zMw*h#tg`hod{Zg=hV0w2@5;oq3jRPeEmNsv=_oBCYT*WcMGr^Bz`nAaNuJb!C~JeAu=jd6d$eFN_JpOL#w+%axHHIds*o|(vhNIuAmsfo{fX%Fen zf0*w_13>iK@NxIU7&>m5-g7EL-kaR_*K#SFQ3S^|s_*Zc%xgPN?U~+3o;ZE_G|<2J zBIXhDJgS#`AYMxtm$C>E_2f6^f*!{uFgWi_g%~{ou0!J$fl99haR!xGwOWJQZ7?X% zJ+rc=O6devrP2zVG_qo2dAZSuO?dD;TcX28iG)~~5@m^!R!fi-#?NCTM7DP>lFVQm zw7akkR>SxM*`T@}s3>H4@wu$4oG1LTTAi%Tm2o0M9jDXo^4MPnap;hJ{M-1@+aNw` zA9|brnU&L4x{{{qqu+>U#Qm=8E>iCTn_atIB)hbRy3QmVC?dPG5l*@v9LNU$gPPLNgy!J{AuvgH+gh~-c+ z87HPxl2d4kz%f0R65kxB)(gT(eXw>^CCz<~odM@NzNCih>G_r-sUaYIRg4Spr~ zgbh?ydh45NS%ci#81@+~^2QQtB4%?q!jgo$ygcn=*;FcR!D5`l=TiFQZ~$I<36m6aUmP^cS@yJ9FUl#k;+gdZXnb*y^II+Y|!$`kodG8d{6q4 zlq5ocK+DhOmxk)=LsGv@)T&6KPAW^JKqKO<7RlXMy~gd9NYs>**EklnqSS(QW+Sc5 ztv#(&Yi(MqO{-KyI+}(rNT(Gmjl9&-`K+E%5X*Qb%pT%7WUPFVw9qImCIuS>p#mg( zyl7Z6&I2Tu5S|F;y$I{3d29f5C(mjLZEx{-XRJ8pDR9FIfe@y+t1S+6@R+wkzFJT* zC{M<5N3EEwQcLjQN&LD}T(}2XT_L%xC`$FgpP@3;gPDA4<{+r})vsp2_8DN|J^}p? ze&yFPuk2v_JJM(qTmw$O@!-M!{H@b#j+BSMT5eDONCkC_d^4XCF7JWu+%$PHSGRjN z{W(yK0~bt*U1;Ls{&@b(K*QXKKDDpuS#V+E^9lIPweO-jfeFmGp8w{=&(C+|+CKrC zZ;?lV0>v|jxQCM6SQk@Im*;uM(tfHp&rY7A zpXDCBcwA~ad31_v$X_F)5BD59wt3f%`2(lv>fFs;^ruI@_>B9IZrGwYCK~1xoRr%0 z#Z5`amaVVsy2|He2jS;GCg_qHG1s3Lh+ihk;29``sg%oMT6zl3o1JE?gS+8edAWf< z30jxPtks%DF4||n53ojb5{yQqrHS%#CR^^&>*1E9pgbP<`P+Gw6Dz(k;d+!Si>8Ae zKu$PJCI>+YXTwVIf}5@~;WU(${r{c@45{Hi-64LB7S(JPBya!lStzJv!t?O>i4b&X zT}h1y%U=q8>ZRvmocnn9EAU)^&=<##9V3U3ZU}#Qcw^VhfdhN#=X36Tq76sBxRyg_ zWJh9OFOB{Z4XITn*2Mp9sNdsTO!yWu-gh7rQH>{wjkd z*&>6o)%~kMq#m6)fA+@3-dIES(-xf zT3e*W!H_!46BWnwHfbKpbXO>^6sY$3nz2?uBBc;njSgpj2)0gPE#XCMHmF>Tm^~|e zT3lx)$#)a?yZ14+ZM_p=+ljtD?z0&(v!P>FB{Jo&+!>nEs%H9k=ik^)vk$Kvoj!bG zU22Z}_|OYeQzN9A8&5H+zFv!r0jguy?*rEtYa~sR+zUE zRJK#Y&lB#6=d?}rdQ36sgsaLK{t?&&4I%}vL-4ehgc8vbnXEaDH+PxV8KSBwss;5h zhgl6MogfqB4V8GKh1xhizxl(`7rYM6K?!|ahT}RDNb7z{i&tKj4!Ra$V+L_8 zeNsAi%HO`LVYKe^fe~m~-QywK(adh{{UhYirh!@NLVhkwh=xxC3FWs&9=&W&!l<)f-^@7h%cJ1osJd_r?~W;yxvh zbDu$9zK{D~lDEZw4foJGqMPU=))0dv!|K&fKsPFtHa057B_*`H3;-vE@-Eu$bgoAg z;H=wi$yQ@asiCtqHCdX9D^c1dH>~M3z&uR8y2lLf&so}5!q;EOJkimSiLbo`*3S=i zc4lgk0!oC4pg_%F$qd2`Fk>-@HYNfA+#O{T9mqtUAUZ(J#cU$+#1qZ+&AbcGu3x{R z1h*;D(CrZNet2*`YwcXIq7xgJ-H9d7?e@Oaeb64~vOOkDR#I7s`L_@SyG3uoY&Tkc zX64E@l)5zItwaup)y(RV)z?{VF({gFNI1SMX^bM%6C0UDv6l#;)@z)d?2uM5r<@2 zkS=%}bX8frK_Xa1?ysVzL41J^X94hm2k6m$#n0^2;*5r`5EKS__$QgW{0#mgisX0% zB~m=9e4+QDFE1|$tZR6-oRqG7i67PK$_suJ7e$Tf7+>Dwa9!U0F*hFmuyvQpvZZ}*&@t89^{d0AqN{71-2Vyq)Mep@ zIgYz^Wb1}aZU1-zg!ckwflobmU{j*EA+|>Jv#&l?v951y^M>5+cU1?)nKmyAb+awi}T#h@Qdr!vnbx9e;^}|Q@edYfpPmkx0 zoFmuHgXlcfaQ{V%B5h&Wu+QI!)QnYrPV;g z$5w`{9yQl$k=0j85fSP<{6)bk48p1iT*5r)6%|3V8Bsn#e_d8q9j+E$hWftnWo|Ee znHsL^u+_;U)hLydr{*!Jn(5bQ@);VarO!!8R@y5)E4?DUCKWk@096c{gUOA>A*0@!UL6sDgn=j60eP8 zJwn_o#I!mXzF3RfE{p#Wm6c#{p&F3HdjYkCfdbopxmTzUc!lKais;OP6QZF9+r+`? z2YvliCZ7@A&u^eQXY$=M`Q91254^=C&?R>nyve1|C30zOemr-!S4>Zgj!tmXck*wM zi91`L9eeiKXTk1gVTR9ezmVJ$KLI0gf3d^YL3XizbD76uG**QtP;kld5&B%q|YN z$|-H*6Ub68s_iyC!mFc}W} zDB1|*9{5)wr|Qz9Vlh*yzl0@m)TJoT82bwJ6$D-^817Dp+4% zV~2m0mU1}iEH!o5dfPB=&y;OzYnID(0>?E>#o%FUO>--TAu2U!9wZI_94SFt`Yq(Q zYrb1Uw%7F6Y_7RpLw~#ZyUpbFX3&gm(TaG4n_;AdMm^<}rKXu6nrkd{1?{UqhAGeb zP-Nnh@GMuXD%(u=ASRWw^gWuQ3%x_ZSub?2H)49=0#<)1pJSxhQW1Fa;zF@uBUYd) zv{`=41F;3zpP(Q^UEc-O&^~-_Xmy#X~4~qDN@l=sFVDE)e|`gpwDUDnh*T zIK2vQ+$fLnE>fOC?QRc{5SSVWOD6-bVEaJ0JwOHXdhu}HL$yxl`)2anrorK+cRv6l zITv}bck{@m4SPsGH?Vhq6K4Z3O&K8D>-_n*xRc!L`I8kfayQ82Cr}rKItVUsow+G+ zf*YcCfaBaI(TcsJqkFlD``m3{x}OW~ZOz;s-7?a@bw~dZ?(<-H`~vmB!(G|7uKfkj z&V6u;?R*_HNq6tw4Lac!=5kPRKbO2Oju41ZCl0c#&Jzf@tEwUqwbPEM-0hSZ4JFO1 zDGKGZUzwLM5{dL>@MRb_xWSh$#Nm1pi)Amv>`IPi(4cjIxLh6wYC35~39eqtIvKs5 zVYG6&S{(>@Jg%xDMJQr#tg7;9&5YFw6Wt~xd4^pejCrMmNsrbD>gidD(o(`oRnQgu zENBHvhMf!woXuIMlT!N-f7$asT1WX<1yiukI#!vD(%>Equ$5x-+_?bvLluW5W9tjt zdrL>xd6VF$miPvQg(+mjX`BV42!i>IAkkfTZlRBjLJ58zvoM$D<*|Lxwom{~OMoz< z=JARPQfC^f3G7O9e)>ZGS}UnKme(K4U3=jWcb7c#h&Z{2mT|7z^wnc&Fa-2lxjWoj zD%A;YtG@w^AK63y;K9VigNJvh@!Yel$4ysEPUZ-|YisIRmfFg_dF}Wsmk+-^XV8B* z)zmrm;~C)R`_BIXbLo}P5`09hfZkS}i$n|w)ICl%wY4p4co}Sf&s2ip`6v^O#!%xp z0N37z3$-CdNKxxXl0eGx)jj15vuxS&<+Up4B{$4TY@(OJ0Nl_5&_WE6T98~Bs4a)N zq@=tyurev}wJsP>xzrQ^DIb*9?vzYvjWke8v1M#3T_%MW*_N6L=W^tq`(9zOgN!Z>B7FgQ?OK? zHUrJ9; zOC94*fmZI-gQvkT`Jus`PjElxTCXRz`ZthQ#<(iDsC5bhf8S>Hf_l(ih)5vAnPE8MW^J^UO|kToA&z#rxrUH5Clp2n$g;81 zRrR!^fmgqHjy$QhZ^Usb^Kl}r=fy+vzQRNCZ21`m>V@m}{BE{J`Qf7~>^n8aK=w(T zJjOF|VhX8y{NgfET?Lh=&nMBT^vTm$_A$G*(h)j?n$^?PK-oVWcI|pf_cF!C20#vG zH--hxZIh~_?h+lNDmUvtFr7|;tV?opDBURRfKi+*6+ChFq}K0NME!#5;nwuisbkme zsqrg0L--w7&jtc>**;cfW=zO7Hig>5*h_pqP0)k4T7~`)#XN|ro6KlY}N ztlY1|6eDgtK^KDE@SN$?xh!Ewamh@1&5{_vbkdbgd^hS$p+$857`1MIJN;z@-zA18 zYlqci2wH|m3nmw!AKS{n;r1Cso6rN2aj+P=E~JcW0khoVepfuvwm- z@g|;*Xp!aVZ0-()meO7s-qeVi^B?bGU9jMcWbwE~70+A6P~_z?)=@@AHo>kA!C&*#)%Ts-CU?lbS~Pkx79KVld3 zi?xola{N_OrNUIIs|t>6Pe&zwG^e)Rc$TNH0JAIxl;Qz}b#NOTKLE(w)^SVMau)$#2 z#ddBniKk5!aIO|%5L^sQ)%NT78cfc{vBtC9xSg1l8DQ(JZCqHNv{xvXz3|-aY38#C z6CD#x7qj)c8vSQh=21*z+O6z(SVIcd%%#DM!0QlIQcV{w|G^({D30;<9EmGWfv)Y-!X4Y4P4U)t!$ zD+)pP@DfskBHlr`6Cs;Oxy zO;dZlH#z`?DiN~BR+tt2`lZ)k5m^t2ymYy@M&(1DKrJ~BXW3iljh|$(k6TITl8j0a z_}Qmpd8No-R$D;ly%6?o?UlQ zn;AJ219BBnxDa^iz`rXU0j8SLuHps+$8<<`N7HHb3c>8%p+#pg8E&Q&-pkRJ>zJ)su@goqy9BTD`22 z1LJUdl)v@A8r!WG#_!+CikT}g)<=~|^6^MLL*Fnjot@9c?INk6O?>8<(9wT5d1$1^#Hly;_3kOgW}T;!7@ z5O%0P)sRfzKOGm{ITO2zXhPfTj}~RIYY#_-T789LaSVb-N3jt4=UvQjL>!j-O+->18!p6jjl~op%}c?EL8zGc6L=u>_NLHD@9A z8ohOXeqA%;-t2j>mL4w$e3ha&n}bf@wdXK@RyT~8b%th1vh~+qL#|jMOTlzR@Oen) z8WAJqOsEqE4^;+N4xPQ^mu_2vQ

    ?&K&$h=np52r>t~xUc>2*V|KfokZ#2$R<9Q3 zAssyhk50z&A(~}DuE)QNy<>F@AQ}PtBoZ5SKpB;tmiEHkBeLrBj$qcV?#kouwkwZ; z$z8+n6XN#I5BZgShunm%hL*PgiNl8R(WHXj1 znxdi?`=dHA`Y(%aPC6Q45ZPIy`c#6j5nk^qvEB^pR$F3d)Ay5;CQm3e+u?Qvg?(Td zrIWJWJB6>^Vq>3Fkb1s07Qg%%xmDtCj*@%qu3=tOL=r95C^ib0Ar(|V6099~GF8j7 zl0$ro7}j;Ucf*AQwb4Pl5xS4f)>#JzOEijLsBa|LI*fpGTPKW6dX%jJxxo`x#30(~ zzvE|l{u?))GtUx2#Beb0ePdBB%}Tg2$IGr|#cB7{+JT=y*y(Pq0sWBpbJLA&YU+Rr zA2C@jOT<~030H0N4u#JgL3R7p8@}M*l|_%z;9SDB(;At|n+>IXujkO$jy`%a)HX(4 z+|1%OR*o^3;Taz8bv6kqrAsEa5Js3an(iJ~;17$zWhqrtuhDtujn_EGhB^7kOO`ee zUV5yp@l|bt7XM`t#s{$OrBd_Q@_o-F%?7BtFvrLV$&_8g@{z}d7g+D;xUZJ=FRKmg zpxd!tL*!AGNOfC&k}FL0iDJl%vcK3URBrE;I4Ua2FY%*s;fcOtRblBUU!j9*=lp`lxt;P*d1XHB4bMkJ5=8L3Yrl`lvudr+=zTp5xFWkR)bpj<1C~e46tfVb z>Tck>A%Kk&dY?geAR|F@&Y|P+r(zD6*1w?G0g@Mzx}fc>fsySteZC~Uk9g^DFQ8bq#@RELW{6iYoNkn z7a7R|0iXJbF&wlfo-Vh?nP$3;UP&kYj7Opf2%GEcZFzZ*InDLg-V-fAX;Jv3S}GX! z`RZp{7K`14;g5PRb#A{uP^&|2mq!xkJuGp=h@^4ghEn9en_sHbu|==z?~~mk6YIRY z?Nf`Hxf?IyHjeD8Do7)5o?NM9w~0MB-tdVEE2RQtc%+LKzEr)h!Z)1S&vDXI^4JYn zd9H4oqXKTk0Xi|GhY&xWlo~soolFBC-#qhPT;;GHdeKqz@c%0L?M6k)mFL%N=Fne*bcMv}Gw31IUf3A~x>L zx#da4aZe5x+Ol1Wy6~{W?#wP|NbHm(E0WQTNsg3)a@job)fL4EkwVX z5Dz*1V_NYo$yj&Msu6Gm^-04cwB(e5c3rS;ylQL2VpgOVy3dH7mRvNvDkggW7-4H6 z;}WhLQFCxPrMy8re*e?LK$0^(GlAFzqw2T0?;htB{Jr6(7Hhkd{4(zM6qg>iGy}X= zV-%gXo>r)fNnR>Ls5U-ArRs^HN_3%jtp(fc)Y;-vv0Xz@7k`~_>&52qnu+Q#eOF<1 zpUYKAZ?i4q8S8g5yvpbQvUMWRr)lMTV|#rQ76wH8Bny`050Ys6bh3=n6YP8`61e@kk+|N~#({Qr z*Sn$0ix8mB6UdfrHg+`-v2V1M&o4$2goYGE-tAS1y=*v9l z81r#Es>Uj>Pxj86c8bTA7nLb^JZEL5T~50_M)o#?j#tyZNVVo3IR*3`w2z4e-r_NO zH{Zf~E2CgJB)M|87~or=ujMHp+y&CRk=vPnR~818uy|BMgpe%p5KDUqvMfzE7VZ3g z%s&30>jIMLyK!OxQ|5F*$KJzPL0 z=+S91)@zdV1fsSkxbN}cEy+`d$<}4e%OYMuwp5ZVu#vaY1I)|Scq)-`8+g9HHyk>+ z`+IN;?M*yhAsP1oeojge=FWQlg3>1VAjN^H_8s~l!w)AguKd{;BR(ed@3;Ivwr?Q= zhX0Qy{~y#he>j@4V=E31QcTtF+PJe!V@DDx@as$de9^n>!O0Y3uqyQAU{Oa8wlM?y z>9e%+{%l~+5Bh1B$2jV*K8XYUdh?LpU!KOKP#Er@Po3Cg#5UG1={VOwpHIS8KZVg; zMH2~6FuPfhR}OXMmfImq55cp~)fmIRe4phr?@p^< zSc?U%`*x4Ae^nT)lY;*Cl*Uj0wSkf3zViV5^HQY>FvBuyhH)S+i?&ar9*1s6!SV21 z$;fc-EX7wSN}r!y{}!sX5%fzxh2f_=H^(vG$u(VpkV7U;&_S2Oa%;|Y;BeYh#Qc98 z5#nxRO*VoimY)_hmYMn=LpoAMyzGC>{&Q8Iq7HEVcB~g`YGc!QGJ05cMv*_kVWwY^ z&x$3M(yKU;H(8=Vcy(|^Alcw%NznmXwrhC_hU#Xf@1{fhc8M)${AeR~lMMAnWC@bI ztk93$X_ot|tbH6LeL^V)kaWPB`Ery~a4NAjE(w zeB-pJ?yl#{n$RK9WUsb3l`G*J2eAP02(MOsWdVw~*m0Yka!btx`qFBBWhlMZ%H26( zovuR!pGwe#JzaJW4i{bLqd)%#6^l0Xwd!iQMWq2>{_-mans5NX&&q;A`U2Ckj;jjS zLw%wtviVQ?*hj9G>nbu>SkKI#m76Y%Im!jLyfBqsucYS8v4voE zCW~gOyiCfY53i`CQiiqmJJPF^E7w(QsQu?o0AS~}f__{a@c8cCbdBnqiZyWMqaH!0 zQ)LFE*YRxX*ck!25^Mlmtr$v&w6xny8@3Lz2?shFS^KXm9WMfMe|I*Cdz#wo&sOU$ zp48-}(%g5@w#d9rj6tzG#~RXPB!V757{`{iY*}?p9aP09<1H7^hYI))O_DuRo^x&& z$Se1L(60P;(Cpxz7x!b+n2lq|tI*QZjgdQsSC?($rA~e9FT(3&j*{wUG;60RoJ))l zfoy%~Ud{)m3f!RdUvB%rLT7e}E-jHW3iClsjJ! zHX|XShSkV)Mzlt?Z?F!|{B1WZyJ?dplLb9=8lbhwj1PTO*Ib>muf*;r~AuNL5Uw-!gCH-;%{k$)DKpX`!&+p_Mn+Oo<|+%%>Vvh-i0 z^?ZDO@=cGMWcHdk;;)j5YrW>mQPo$AUoOdZYdf)(=V|X$V4|<^nAhI6;-CF)`m%o- zfoTcp5qpknU3QE&%U65ZWRI*oxh1sN!GS!o1uV6Q@td#S-1%%+Lq%HZBGB1R3hZeD z^dO|!b=`h)mCa6#LiF|BiDFg8L*z%F#*w|yZz0aH5WIl>syjEsOyY1)45%sFiD%0S zt4?;~+@5l?khD|#+@5E%kcyM4cDCl+o>j9@Bp=&J4{BTt!G28#3(h$Z=J zw-sb)+;z&oUB|O0Z6VTztQvp9pk0=C@n@}ow}Et}OkJw~3IgOizslgi6K^DpRQl*kDQ9aZ2!3jR^Qh!2aI80R1Z zN$Dpa^&k1n)dtZRm;p8kuuaDPp`7%oN z6WFv{VvA0S$qiiF{@Ri1{Yd0<`eewmCNiYee&t(WsZ5s%n`H4|C?0RR9WNyZ$6Lqb zolfQ$pH5~OYm?r+Yre&wS>!x%jt&scPS35555LT0Hso3-p zE>_kY%GS=do_3VnyxijADF5BmcjYvpUiCc}+K<<}Doq1*CanlP zdh$YPjAk6bRAfDmT5sPu`6eEH>E9EMD2wHuU_;3(IPn9#eFGqV&M~Q~69=AxwCw>K zz)YQtmfvzsaWCmU$46-gTOJYc93ph~gvEibue|3unp zXMF9pg)d;0u6`Q44n%McfoAW8;k>sPib?wEom>ZghRiKm5|{MSwvBKuc4mCw|^tud5jSet^Arr59VhTKSp+;V2^d){nS zQ5F4H+_*UZCu~}NuGSoC77m)8P8_O~T)dqBfOPlpq~!Vs?*9Q(UQQmK{}t4ABSW8A z`Guf7(}LEJ0mUO=_Iz|R5|v6j@)Yvo&8}o*YJP1H$!dW)@cBeD$!VGW10C(ectpK+ zQpKcoUXW_4l@QfVCTC`H<`?N~<#uJ1Jf=)0|7>NFbf!FF4jwk{A+k6t^8F@o=m2si zaI0G#7Hbk1?fch%rGfptvx^bW(+Kl0&f1_ zzXUYg6x@ehWACcAG;9P*z7KRWplZ|q9!}v;z@SOF@I)XG5q#TW);@3dOW6YhGd!FS zhdwgFo?G{Gu}(+~gDD@`MP>`RT*|j(R|7`S;U=JzyYIciNDO6q zxZl_k!b#20QMY1JKg-zVeEkNos5B3eK}5g%F3TXjPBp5d-ntMzo;fZYQ+Q_xR*3lg zzSo0@j1dXXF9wVA4c_Mz-gn3x*hCCMBR6)RaW~yia;Xbd{kGrjIxc-;h5woT{4lH; z<6ei!+KV+b;_B(fDeJnlhipdb6+#_JMkp$SGw7=FcCHR3KuVDJ5A|C*lO&S-2Hmf8 zpZ~=aqRZK$-}X+8lc-Mr!2IzWi9VpxPEc@P@JDjbq`I#gLKhDl>C1aT+e1-5g_yU8 zuB7OnDR`HtzxliVQTcXFmEg;%?JAQ&t(DI>;+9y1lu2nTY4#OlMB^`~hOh~op#P8kbUyMH_u{eBzVDVEiSu?3weY^UbY)Xpo{>?d=7FG&( z)RN-TTlS-p$cjJHSj2d3vd9H|q;q(T8uFR>Vkke5vI>#%*knQkiI5ni^YF~n?mo(W zrUE3%75^ObL|TrJPOxU9q~aALE#(Or-03MY!$r+O00loplEuh*tWY9aN!qB1&&7`r-3{aYnekVKQO&wqJpL-Z%$BclVfCJC>0}B> zNldO0-mEg!_iZz;v1V-Rby|aqq~0 zR~oS9aZQA*O&&JPJTetch9;y&;5K3-Y^i&)W7aVOR_+tm&7g6qxcHqBTU)w6z*_kRc;%&0w|MX^-kvLKC;;ZU&7|D-QbmZq`iV_>XiG|9 zkfQ@UwS}?{b;ya`0kSIxM%Y!!Tvuct{n%?E-ORQygA7~W{2Z;Vh;wt3yV+xV`d6w?=1~z3i!2-;dfJBf$f)i z%Wj?c>lss?>slp4T1ZQt=Kz*>{(Ygm?-qQ>V9w;ChJI#F8qJO>(v3AMCB{DO$9JB@ zZZ*fg0au&L%=V+cwL;@vvSZ+byazKQyV^q+Cf>zTMOAGT z1ov>OZKv#`Sih;(-{kFaw*ms|x^<79*QnKWW|rh=_KRVM!X}V4I2My6WR^j@723U= zF(kF(<cgZ~(T*r7}_nti3)(1D_D)9mK^=G@K0Y z*jC+F0m^ZO)`@Jzhpdti>a_W5xxkO~)!lr36QvIXNGS)*lFhW=XFznn4Er0}xud`j zTG7US+2sp!noeDN6@86$_4BkndTxud2U&X870oU16f*p0ZU{;6A+{`ihB3mRWBsu- z+rweg@czzW*opK->0Lr>?Orm=7m!l-*nExWE0$zsgs0znk1$6mX56Uhw9CHItB25` zDO!)!YanIYxe>}OI0BQ!4eHyJ2aZO3e5DjJQjn91@$G+x2)#b`DSE(dBV|1=?8U)| zJ4!i2_;`MoMJDv(1`PE#sfPQkM;Vby1Wonr`3ya1Wem_c%qHLKnGMiO^?uH332BVs z$=Gj<6`(@nUDKKlkalBYWB%vf*udfDDhGr0r_+*;rvyn>M$KA=m`#Vs>hHZ*1+OcA z`$k&sc>TnNSVU|1kdO`2q*wKCHzYJKa) zQQIHGdBS5}$+dfWX{CIeC-KgE@mX7@Q@wNd$Fxbgv7(vGs?6)AxApU3$~ykFtu2as z#~1ge+Q{jaQ>|Ul+B$3LVAZi&{4zPQbo0wwtIj|B7N?S}2g@V#%Q@Z$Kh0oc zFHf_q;jM?KMO~MQ1``ZjB0Y%i&$=pJK;5RvNK7p?0O{wRf5@%-j2>*VdIx7KPo;-gUrFQ`#V6P z_WqyK$}#x-94l-**m-vZh@|A zB7NkAUhYK6TvdV%@0Vals2?^7Yihq;H9JrDs7nRKM|_#EFo=5u@DJPOE%ihnX2i;U z$bJ?27+EIM%kD}%z&;B8@PK=2J5X0;ptdZM))*S{2pETBC2K012K-b&fBEWUf#ClZFBrCMhKu(p?lV#jt z!0!5@gLE3Bsammqf6{q>(!4q`ge_tbGw?FU$p;Y&j%7#han&V~8-m{ZKjPG%ZBKHp zu}e|CN5rZ0UWZ=vP?vIysqBKO0j4D84$_AYoL$5{WFchFp+Gn6SmTeA0E9`tqtq00 z{JgG37fkV&ma3>#b8H`e4pw*Oj4!J8CM9^!scm%4r7M)Z{&~w7=#+xEw!4ke#7^AAk( z`pf%BgLoXjcEl2!>&3lse)TFM{FU%7<`i!tenG`j0y zTr!O@m_4K)5gA|!bqH7DQl3pAdS4xSKH1{WEQyjxdjqb)AH9B+(Mjo{MNzR@(Cp$v zRru7^JEN_4qaFSb!`0L)Ca)yJ-aDEfDu*5gG~y%AeMH8(`-nOo^+B#S4!9ot!3!*2 ztd|e#^tDfy=PggP<9b?|Vnb{r2X>LafCtk3xQ@VzIGv*%U6&1s{$paoUOFcH;DL2( zfAbv(h>VuCvbfaut(x)XL6kL^aMZOJ#A=$p_^SU3+CP24u-w(i;T1BkhW&6 zeJ>bQk$~gno~>5TT3ULGIDhl1mUh|{6I&|_5}y9E2aSe6$a(^sOk>z3Qts_gW4{K! z_xT-siH~pRE7(pnB=VRJ1&BXCY$^l?>WEs^mIm#<9$faCQ&5`C1R-@LD`@j`Bcz=6 z#1sCjx;1gP-}hV;_?G~*+FP?VaP49#S+;9IeD-NNUtCSxRMy)n3&;IK69F%ji5W*P zlO(7eyO^0jH0pj)5*ro~KiIgCwv0IkEDl}r7yn8c!vQxA03#MivptN8WI3JbY5$y zVh{LTLwx)UL2hx-e9 z&bm(F{P8oS{xi>CbFO#usO>}j2O4Y2@YV^hGtt;{ODGa|d`sQP!uhXJO3PTEGjc^e zNCOTcZVwMtmVoCk^Fu|Z=>;o(*kx60la(TSPmgyUhX#9~dPro=iGa!ti%;2!ON3|Q zdmAxCKw&441^~-@a))0m7bUwRxwQ5{Usx1VkYlfZ6O7=5i;q!K@nuUU5y_aUB0MyH zpdzF0z^8i9m0{cj#ZB@1M-Sg!53@R_!@tY=AI5BCp}d1B7=2JG77r3~dw#^5A8-q* zkO5Qct1DPdmC3?GJ<0}ezDla1x7$VFwU(uE>*pB|3Sck`&XYZ`k61?u+XIh2r2b-k znMMwm)>AGj2KhrYwZz;MYI20pEB4>@=u7%OAKfOGsgaYV?$2HT0=Zr@7>MYFdagn# z+wO8hDM>!`{(^fll6-hORMeP`_RZP$PAjKdbzy%0)A|Zk zwH-qnU`Ey5%UCj>rZcFmp|i2+kMq7?tg=MJU$;QB=7*auH{-2SbgfcUBf?1u(sjQaB=y^4gA~D z;81Y3aiQe?Pw|VPD6f?eKc9^`zmS!Xji5QdfPf_zkGYiqw-CP-pQR9&kof;y!1(Vx>CnY%Els*G(|T%gUqKNIw%aZ_ z^&-x?lH0CMx%|&bCa)MS0v#gDG7wu|23Ox;Oua*CDzW*{8N9pNtsE~a;mk9%erqh}@<(xIo^S@e z?h+$a#*?NCt&&wEz9`#FJDmB#lcx*0(y#2S6u<64H5H*9f8k%M9gCxg55P*=8AZK# zQ@Tj!2y~SS)&dc;-h(dM+4VX^3T=%8@+HeWMds>IvHOZ7+1p+dQEi1XL^DnER5Szh zfccsv1FXg`WBy2_ZP2UJ+uRRIb z$_x+q+mp#l0uF)x3FHOUaD?hI^rrCKx1F*6d8+w$jeG3*o(5O?e@uz*`PGlrg%IcX z95LRgTueHQ38Y?qHZ0YA2i9&6IrE(${I-#o#WBs&lx|Y;9{!1}un$hanQ(j}DhMTF zbwyLLYsIE~>SN;2)RH{}$@>u<)*K#mMQmmf`;1oULdnUZQ{*O1f5AE|8QqUwg+JJI g{?|wD;c4#f>FaK7gTlkl#mB>oLPsZ~CX4dl0Ozw5eYdVTCB15D^EZ-^d2g?K_T2nZ%rlTa(rdL*3dl{N0ik5swv zjL5@r!PfSiZeWo9s9EB}Z$vVUn;+*{)sMhw{Uef0`1Xz4tI4L%4;Zc{ap0ECs}2Ei zz80YSAWrA?n-lFPWLI<9^rSET6GbMfXREB*v!ryd_$@Z+u30mO4dJFd@6E$6q0VAU zE-iT`Pibf9)vBGZO)owtgfR|e30$CyXqi?5OTgDwzj4nZ**G^Byc0m$4MyXky*-rv z*rgLEbA{+jgOQDFrsiz{D?1k)cxUZqq3K#VKZJC-lx!zblhl}gR}M~h_K-i*KHMod zAhn%75fj=DiimhxtdCQs$`X0LL*y3xeFIMblfT1$pC0@$a#(<^pk87566U{<;V?ztX7M@kcDW4TZP z%dv;#QK8T&3*RxP06!hLw0gWeyAdrY4D35mvjR)K{bx-2K$BJYB>==_;{Aa`-~%pV zx?>yuEa~i8$S`RPQEctFjtZv(VH!=H#4{P#b4^ZP{~lD6ay>sEOtGSg#|WNNldJ{F z_6Q}u5^oXo(08m53&~ZiRQHs}9s_+yOo&sft4CDb?ds441x|06GB-TikPiC0XG5H# zeYB^TsSnUSra36rm`)4f0>dqxuvk@?#Xl2bMLT^ZDEWYJmzNDL&X90s?2kh+NFQo_ zhS(3q_6Jz0_AeQXfDI;c;kB`Om4{v2Lx6y6MZsucrK-tOYjreNA_to!eqV0=c z)FS2q#Chc2kTcpi^w;udv@h1VwQD%V&(BpN&YIx*^KrkpdcYMA0b!wvm{Q?&o`pKUC>`c$!!AbnUi)S*y8^6nD-+SY# z74w1M1^ey^@M_+&yY?iv7t?xvMOza#6ybdvO1)?OupM8AG}z`TaXW#NB1Q>x`-!C* z(WkRcP?4wCYfqG*JaP(cP#z<92y*+1i;*X2Bir>-kc0E+$C1O-CR-3e0$Dq zy`9drb2A322h41eclXIpen@G3Y0Ic#WszXT0H_vE+;72$vc{2rL@ET7r7n8sgVgNz z7%-;~-_tSoy22!zg1;-#M>2o!+Mhlk~Idc>AF=lZkHl?Gs5FutpA~19fxs1-O{$4yq9| z1Aad{+VMBr6hfb!d*7SEwV5QJBXzGGuJLH7!l2>|hFjrn?#4RXp;H51WoPW^tK@)dz~|RGPpU*IRZ^uttus({=BlQI=18b@VkC34_9Tv7kL`0Uz*G~+=iKxkT^M%=G#%^ z&;E(Q2ogyg+TpM+uR$#|Ue#1JEI&TEMO2|Xqhrh~-uU8|;OZJP)6gqF#a&Ut_wU2j z)O>R&3~AhcsBQ`!cPo2`+f6v9>|R=MGCo+?iNm(R0ntAj5skI4I82#UTmsSiVi2(i zs)=~wC6NO|wi7r2*OK08aqOKm*qHnz^aIOT_dS!Znyg)UutM5ARra04l~r0R+>=&^ zsw$f}pnTAs%{a96;Lc{DE*De(^r$E%vvSjO1WOgPEa`x=Uo}>DT_re7OgfC$8};r< zU+05zUb$P7ReAL7Mls7ZE6@mW%&TY%=-R5!=_`)WuUDqI4?ipxVk2`u3NGob!aiSr_4^A z*lc;c$Qd2uU6}QFcIQ#n66C#CUBnmLiSwmbzoj$9xEg?8L4Hl>E;RGK(30d4|K(m*OyW{T9OjC3NF==`&hg7( zW^4ZWxaJ%1L=61jcE!f>Z|sVdgYiGy>hJ#-FLeKj7YZn#|9`w_A+`CxJ2noeFK%yU?Wme|yb*Pen*is5HR2Rn z1Zub`xnaQKal13WmiJmfVVjr_44-L-Ex0qD;Tdedff!}BX@C3ZRdwU#k#-Y+PoJ8- zSPLFAtN?l#3VN5Gy_h}v4K3p!13MPd?iip$>NtIs-ueM9`3O}LouF2^K@;3LR`VSe zOS(6ISibZ#E6>scqWSqTo<#Ly`l!AUBB-GhpeOIXwunOptFodqS~g8pw?S@P;wRb4 zBRktlLjVgnwSwo0w<%9iv=C~dqF&4EARO^|U{?D@j3x(X*axn&w49sW>F6UzHedfJ zcj+UBwF!B@C%UKW{ZOoxf)Htz`5c86x$1Sr!NoPN?7?S4j+oo{hf04;U+VFK#VGl3 z%(@tj(26T2mcFFzon?Lb4FTw?imREM(Mi79_M{2CQE6i{UHA&{yr#TM(>o>f^HQ9; zc6&kyuV!S|-Tl~r+gLYU33bz~C#=w%Vhr>~_rysCffO$}fh=jEYZP{sQWGQ^+>I7S zl~9J55p|I`D^D+f%&M>pxCFMB#j+7_QF~AvoCRJC+DTKsEm;Gv13V?S+tu7f30${! zm@3zE%c7^I?5YvAjFZj0df(26U$gVgYkos3V~&^&u@U?Z)JM*}hJq3vw5lVY;8N>y0@-v>o$+)8#=E@ z`M}IWJEReLFMR~|Ufc43Gj%Bf2ZIENo#r?upoTc3u}f8dMSPoAP|L?fcHB>FRUkJ` zLd-GpI_e@IL6Q5Y$%03am>CgEz86y&^W5Rox-2pGmozi>RV@fmX`xgxsy~95#XHKW znnvE`&oyHm>PE<|llZz`b5V@u`$Q2hWS$R{Ywr^p=5lF?+=;8;Bj;>{kmC{aiV#el zm|lL|5mFGL@RXm}7U)I>f-cg(!s5IFn& zth6>DT5olTJ09u#I})}9Tx*8wvkeI0;8<^G2-Sr`T#-qIPIvfs zffr+lid{}WVFqpIp;jnbuCGv#+3V?Nt>vj~ZnG2B@|}UXHjVS)NGwX%M@SZ?aE+)U z0iRM|P-&G%K;7n%04B$X^B#3J5}!+ysIppJ8rr2=zr3SbzkF^S6o4lj*h78dhf9A` zqRQ4i%K-}h^mp2@=c53AewxS7f2fI+tk$SP**zlf^Wevl*Qy1`G__aBJ%~Z(13?-< zb^5$i0~W9grKSoP2SY|uW>F^oBTZYU9E8}Wqmi7hv%bh}bM@ycOL^SS5}S!YhK(1W z#)X7oixgir2oYyCgM*_N-LcHC{@gAq~`2p87K^U zHw4dRnqSGALI34waBSsO7Z_qphHhK-n#CAZY(}&)$DY*vKR`SdpDI)vosPah(QK?` zhghw1qt}<0Dd3jG)jAZhp!ORTCykcL@7XC>v;gz?R`*P_5m`Y9XcHHqJbsyupnSXS){AD$&azH2-jp~DjO^uUyyfFoxP~bm|JC7yCXRG_@#BQa>^ze z9?vjX9gHJrEsMMN-TEmb(q%)Ps_Jc_>03$0AKux(GE8zO{+wXn~S&qkpRVDsSnef}8>5 z2i8zCr}ORWt7C#7^SPfHeHB<&^2Ko9I&yoFDNTsBJj7|eJiEbxGoU?80}d22{2@EC zh%wmvc zNSl2B^onjK=_?Vif2RpND@K50Ys$kzC-}0~_>@3aViN&&D^+))u$s1gt+DBs?5<2o zwktlrl3&$pSU)0-oxUI~RqSePBhE`}@955KA&xxU*Fm%{EWgAL#zt?fgf5tD0&Ov~ zdO?j~e(3@k;d}24u%*r2Y}*OVkc&6t-GlIW{4iCE*#mUFilamXqgacb(WG~H@Ak$i zw+GRD{;5BhF0Fw>)nwr=PHbTRle1s#blM9yvES9RWy_L_Tx7jV zehQ+f{E&x$$4mhN-B`QwoCo0eE789iERa@4H^}`t47X)#{M_-5o4pt|dk)h`6oxEM zEeSpFjV3CPS-D;avkX6*{5v6!i|19A%2Y0xn?cEmyU47d%Ys~N{AebF!kI+jm@Zsy zhErT4V-@U9`nT==_sRDwtq)%B8(O}KpZR~&i-Ymsq&7wlM&|!XuW1b_yDe6Pf9O@l zhTV+e_y%SOOc{?Csk`x!`nH!A*;YUQwD9%iTSO9hq`0sl;ZLBhzMhQ>cY5!`)VN(L z>x}a&Y*yYl!gSiXIeK$Cd9zGkf*j~kUEYbqd7MtT{5--~c{j7&ndB#1OXnAobqT^) zTn*!xV_}!i0FfmJ#HmI?f~+Zn#4Pcq9bzBNl|k~8LlQiZfJN5T_}-AU-MtJH79Hy%4To;x2C&$hJ1 z1(R8JX6%rrZ#3`j-|dKEZ7GG3o}*3m3O7QHNDw_|X!(Gp6G>sFFAk!%v1g;E7r|G; zBa15$i4tulh@Ro(KQ6*;O~#T#^xdq3*^OCTOfX=zfVEm(RhYKpFt4Vbzhq;3#q^q> zRq2Q)lLGu|RMLPzhzs(&Ga~t|)ZryQtq{uu`q%_>Omapgy=jtcu`dY7Wl&;;3#Al6 zSb`qJ)J3#LM|Ys*1sp>Q#k1HUruW+%ufmgplOQ1wR>!$^`ctt}Jz*t2l(tr27ZZI{ zmVqQl3MpbJ803I@kF>SeE5NpGlu6xetmhA;tm6DiIS*l?lj`&Q0_Usif=q;Bd)Y-9 z;hYCkL`|V(jqs~;%IGK?RWjqm#?J$TdcMJmOJ%^(j*Mg24x_is4g=p|s<52nSy|WS z1+m7g4)3F`3@!)hVdeJh<@e<}E5>mzjU z6z;n&3yjGaZMi2RI4T+?BB#x!i-WlDu!}Hd^8U_2Ayw5;Xi^f2Hhy+9HX#1dK6uoX z3?X{LHifWq)e>ShUO1)faXC-h_AIQ!?KwV#N!$bYu3=-H!|b(FZ4*wgmnJhGI}V2; z?`65Zx0j{=``jQt6S+;x?uPgQhMI#7il*FW=)EX6c&Y>q?#aM4{DSSthTW0FQAviA z7jyClaYOfU1;*FB{Mo$umyWR6rZE%H#5^qLsQ;F6!1(=PZfutJ7F1YH3mwcQkQqJ}rBpBE!=^8>F0L{|C|`HCJ`r%l zl$}YI!WkMFGnxQ`|E1eO=i2)cUent83ECvl=hI3I z_o8vdwL^OU9N9^hM{5gr{PIKI1ZB#?gEi^%qO#YbsCe27vRY}UQX(0?SBa(Mf`w!U zJhH-CN(klnfrx>m=Z$kwbBycoJ%q4;^jDbL3Yt6w+&4FtTk`|JY z59nWTwRDkXmWJ{&oA#Eyv23 z#T7(Ey6rz|4dqlEiM{>&XVEk*LL%cqbllTkjoE+H03)7t(=%(8PnvX2-s7C<>6{j+ z9#0e+=QO-zWiKIzRI^?N#-EBtN>!PT1biGbUEprzkyyr0XX{7#QDtc7;gq|r&ij(M z;L49KcyYqmvzMnd9`ouR{6zcbMg$tCG_2-H7bm=;siyafsXE?kDd}9wN3ran4hIj= zN4(@j-q8{u%xH+zXg9OxKJDpR_rEHGJ4GLj3hoN*dr4}0HtkQnY)PsH-G4@~)o(Tm#`+J+#()Y!bHUm|U`1mL1kl zv5GXlLWTKz*)K4y{$gn`F`wGr{Pp|Y_cNThRs1jfX8u?FW@Y*FKly#FDHXT*|M0t& zMUgQJ(~%v7l>@~bjt51&v(*~T$_td)25q|h`yKbfM54rc-HO~o9wZdkYskar@dzy! zilXW#h)5ri9V=q^#OVG|=l%f}4S>uuZdgYpo`tA}LPOgAWBO&A))uezEXz$7g4(#Z z|L)^^dv;jPEgd)uBS1b`KWIMe0=Z4Iwta)$XLm`i$9r(l3O@pd~6x00CK_do$9bcpTb$SPD7m(Tq0mj=zxOz*6!pg2K*W}0NFf#P&geB z{|`vd8sc`Xstvm@RW$U)Loc*5mhVobi9B#(R2(QJPT)r2=gI6r>wyh&p8*B2Cu?fr zeKt$|G5;A;DD|-Wv8pAfgMo2R8&eMx?+0G@*&j=A;uheyuy5ogpT1F9`-SwM^51L~ z-$YGCg25e91=_J-1$n6k<}83Ro=~sFTVJ);H^}%GUc()r(~;Hr2#iK*~*`0cBy3yc?t1!+&%E6^N`dEEm@3 zIWmPsz_PLsM8)dJ`tUxfWvYcSsOyS!0;rp)H3pr9Z@Feozd!|W z(Nddf4@t@)o5dak=13-Z31T1N+ghk$a^>y{WpUq{z(2)v^2GM5m@IbE z^KA*`ra99hzND!;7auKp=jZ%Ge0ZIz4+IUbvpvuTZD z_dR%Ml!5JZh%=0(Nof#d6Z^|jSiXelWtq_CY3eqjC9R{_=~wx}l%XjHouHKCK(#2# zQ?bhKTCiTn)lhFYnbyJ33$A%wn6Z0q}fa)ziaCoL^b%(1C=5XKt-2jjJ;n+E&-I!7V@3B6ak3+_;-gy?NSVX{H?< zO@?qaT72sAZ3c_6E56DHL1LwDr5z)|jU?{a&(Ha+d$<`@I8~#5*`}K594260wEntF zH2&cd5n$WCp{lJSs|Vk&nag|0RrGr)g_JT`6Sx@Leo1^Muu=xw^QdAEFYDN8N^24; zh8tn@Y~K4It5$%0+_}GcVQH0Qen}#TB&DayXZOV8Y^XrLa^KvNuvpWCiZosE( z3B+S2BYx@W!>ckFoY_kk)_3XVW)T+O!S!K?_;-?>9<6$mEGCW3)3rQZae2Sv7HF{R z({#>FX2r{zxi49s7%L>#kEz7NZ-03W)EZ6pI#Wy$B*drjPipPMgGWO*W}q5MR~tx| zRGn8S@rc{Oij~uC*qac1Ml3o20}r<1F)y}%smMGELQ;%C$42ka^i6bCl1Z~kFNEKh z^;Zb>kfJMT<0`-O=1PuCOTBa_dcDGyDg+G^DI>#1MK;)t(fH zq}wYia`itE!r=)Kvd&D832uWxuKeZ3XJ=O*H`2Fre1|~bJYHKY$r_l#T z4&r1`kcCQ;r!N}c_h-61$wP6=p$%_ZL}`nUq>+(C!jhiDRt-8G-^{*BTVb`V^d2|J zd=M%XfhI`c1@lL9JlcxtY*F5K+9N3*K z8Y#^_C*TDdjT$t>j(IFw^^Nrim0o;n5E2}5;81QTQm3)TCvg%#YgAV zwVDf)fnEip3?g<3Xr7G=5qmHk86(~|{hh~vY>0IYcrs^28%hx#Z_bk5r@L-5%)k_J zGD0UC0aLYeKw2ac)()}jBrP{DPFAr7g2N|=6V{|MPU@sIi79ux%8zCL9-JRBIwoy& ztVHlV{uL+}2^v%;h=UKuBwt`P{hlW=^+jXirY1t5VJ^;wEhii|uagHdK4$J7>VZJG z^Q6qvPv%ODcr?G{-k>>mZyNpxF`tbJx{tmj^(!x*aE`>nFnSj54E5;5BlSQ*Du?Gv zRO|F5d^Zz%=%L+Lvdx~JbBxTdJz50RAgIqQQH5Y?9m(f1+O3cJLw6Q*z~3(!_Gfi|dwibEkWe;mHsG5icBB(Jj=GVt_gAVO@HU z900R&@sj$dY18!0ae&RG*w?|+#+G6jQ{-@lt!1`J&;z`!)9+nDpg@(@i6M-1fEWLs zIB0?ZD;TFC^!Yc5_vb8I4Z{dU_sRj)cF8-1M*k|uV}~z`ReFB<0tz`CG!IbuK(3mgfsI|ofESF7YXbd6V@2t3>d^N#ZUt1Bm_@d+ku0|z*OKOSdA zdG)~(7gZ06iutDuLK_4>W$56h=k2`Y?cR(oT-iA`Dzkw>Gh)r~Py{rJ`m?3vf_&AhQx62YjCl}ePxNuJ#S4O0}woG>yMGwj($o}3KgrE)&m-&v?N)btLw)LvXkz*F_EwJ)|+xZGdHIB%4CISP{E#t4_ znes)SfCp{F;98cgY~(G|4H-KMn1P}&cRUNq5#Wn_eE=T`z$*b8MCJweAl;z+xv|Q^ zL`KH0o)QYf13&drB{IQ+M?8dlICR26`Z*CClkDCK=xx)AQ@#i>nNP@m&|bn8$|r+j zn_Hp+80DS#l1J2^HdFQ4k(^n8ZKz1#ei%yD@fFeJ6b5|gdcljiEg@9chA_RQd5*=^ zd!f{r7k-Hb(3%982Kg-0eDi^>Favrcq$|yeXFOffw=)K?&HyK{l)_oWkU>r@qc}96 zk+LxZ6b4pw2JE$|ns5vbLyy$eO{Abkx#lGzahU#g5d!ux&3~{S265=th%h(05t>)H7nDd&` zOu01BQOx!o6 z54=e-2jlpfI@Al;lO)t!i}$QICVM){ zw~BY0d6jpak!DAI%6bAwGTRwQok7jWPK2sHCUtS_rem934Am)0cv$i6&1VM3nrC>v zN!pu+X9|Kh)z>>3y0rYouVy^eJ$TUeGnm2OWRp=;VpjsF)h%W0t zTM7g(URaemlrlKH*cw5DLCUaGw6~0c1}J_lT*ceGSQ`%t zV|f~XQfUt(PTn;`AFp?5&(yf|hiBT&RHENDsCJwW#;`sKaDn2(*{b2Se>{STGP~?ad`7l!gV^+= zScdm~_2?5iPOsKYf+*5bVb2A0AJ5K)8v1L-nO=k=j>A~N2K57$`g!P(Cza6JR4-aN zSj8ofgF6T@+B^~RGRZ4EYlajYNIEhGvvr(MSPm&@ahH*Fj$8XJro8rGW+s{5lp_r8 z>LNI#V_W>_M0~`WKFZcXo_WC;V?gY3U*?BfpV_^q7w^oxG49q3>$9Xm7r4@`<~En> z=;7ZqCFeZD)cT_CB}q2Yymr0eVPkrC>=`!ui9EQGN*QTX3=~2yjc2;tT+N2JuWxZ6 z(;rk9P^2*;(}7DB{vu-Rq=rhOYiW|*4KXe^fP8tB{W2K00PoFihB}kI! z!w_3w$YJ}p2mB$BbAG%<=7rAN@8zy%>INNf-_*5%b{s(b;!d=wV0~UP6>w`(wdu`p zkv*F+pcS@Y$9DQoUIf>3v4$13+tk|eex)a!y`%qc7-src7-nT+`=2L>V@(;mO;LpI zS2cv|VZpxZnW-6HfLM6Y1tEG7gxy3OV+}!|B|t|@Ki}QN0%1w1gxI1b0fV{N)xq`b z*;m)(3oDV7VRV&%%DtqBa!nqU-fgwspBdByi3;s)JF0pzQMF~>jJ>*tw;$C3$7w4$ zx|&sK)mz@3-+)!`Ulv1_nbC?8<3RZL?#xdSYK(ieLuPR_m^BW3QfTis4HRXMLt;Bp zR#H?;b-_}@`ZA7^%vDmEDYABT5u+rlBK#J`@>)8qg{AVw6}re1O!RM6^L6pA_SzoR z5zwsX+ek&`aU~To7WYF)t;1xJ?E$^z&I_AM8{DipG8{NtsT~l@CZ};@s5?5@i565( zJJU2D+hiTuYJ8CgY$dcsvG1rIf(iWkAcnq<0|U&``((ZtxIdjf&|iM&7A`GB9t z+Gzo^N-5E8H+z&SaJ{TZXkH!ITD)4U^*a$a(#iF2-c*$lofQEQVkU!QR^ui@D1?&j z_Bg4ATl6uhaAx3O5CtadHuv)No^#;B8xQ+tC7TMR;ZB?)?xK*mW`v3?M+~GEpJmo2 z=J5+va4#f9O)OI}@2%EB=yr!XrA@c=ByLv^F_KhC`*hQ`-H{828N=@}UheKkqs5x3 z%iFA>hkXGu(!!`Lnygm0Y?&(ljdu`uabfp!I@1ESat4xulBZ}?(P$eZuR0u)iH}L~ zM2%ka(&7o!LXo5Sjy4PyN{Dj&n13>O7HDIZ!9c%w1|{i`VC&ZhbHUJj+xz}B(PGNs z2H6*P0~N?LLJo-!l%?nN@9oZ7=*|#Zkx>eVPk%D zQqU8V=VK0-D%%~g;60lx=I1L7$M^5ag9MGyn$x4i8#uhR3>>xP*g$2EG#zeA2m`#x zHAXJ5v@RkZ-pswR55iPaX+lv~U(WEmIM2LL&Wu7X?lZuv?Bla3z3?TkmxFsEfv*Vj zZRj5Nr94%)hxnB}yD$!yCgHdPfZYJCfCm>_=UjEY&6WC#hdou!q-*(m;9@@-I#}Kh zH0BVLni`L5(aS6u?s}V;7X&lE03U}Wl#Ad)9Kila)E(!YQ(AY#hCEO36cmNKDHw%C zR1_@qg3tda0Lvh%`K&s+N1E2n+BsrzAl>p!JQF>u>F@J9hCP5)Hn6T-R_U5zl0#L@ z_A0EfsRMKW!C$VH2M>iPdNr;s1KipCI*KrJANU98b-FeSdHZkcQ8#`>IkV57ZH{%k z6XQ=4NWF5UCQi@ngS251PWEY|Y&0;?+9<6W=ZKYFO+K{JWYx@AP*jl5>)LyQV!N&q6SH~K}}|5Ai)^kq zr+zO`pdWG*fc{1c zYJOUld`2CX+`v34h5n{d3h`M^JcY?l%eZo%j5eyi`j}OhmbcEB?BKmu*zlYFx&0!X z;Xc9D1tQT+@#rm*!)#sBl3Kz>%SUw|XJz@V1jNYYOT17W8KuQTks&+-*vEa2HBs6a zVa@gGMD)E7K-Evq%qqaYKfjEgFSYRE%xvjo4r{$^@8aPNYVCBOz#+e3&Uy%v07DSQ z?{d)PJn6|4>is^~%d24#{BNvc{a38wVET`Hnr;o5IO0~s?oYKQJEpo!)B1`xz$>^b z015xCt1HSD_yuvn17p~??B8GVJ5llFKfuEG+sB_nG&jJ$9YtC9b|ly!1R5>ZWz_$oY=w0u56hX9-OyQR zqnVrt2uSxF2s{`&H)$Xl{Dqw3<&)yZ!_`>co-yt4(!}T{Q;Q_n!>Emx?KSyxQ{W?- zlstHI7Mo)#hMa~JBp@T-M7jAg(g>X9i99m-5hu!p5bcr-O_~#`^trE0KLY)Cjc|Y& zDHU;OW zN6YtPy_=(H%N(NqumNux8BjFwg0JB`7?eC05QIP8zJMh6SEa=)5RHz*MG42r#0Gse zjeR4{@e*<|XrH7K8h(7hqXAUaYrYM`-z6Qm9gu=u5F&a_?L`t$J@BPIKS|M8~4|19|{* zp$Iz}aZ{E9$9i=Hvnk}nB#9M0JQd^z!=HyzAoPR^z;c&fZcB)OqoN98+7Z=^198hdOd?>##S5 zLeONL@sf=rcYEe@>*U7qWr0~VU}t9SnIrcdXE-ivmcVN=J9ggRXzbWWht|JS%?AJh z#s`k`b%zzYJ!Bq^Od`J#&b3Uf)(qQC1Uy6T#pltD7KC^KCm)+@!b(+tRe}vf~9ynTnb$*ScQpta7E8C}XnB&}e`|#M8$N|o1 zHFj|`grvNNZ`>Yj_*rAvY+ufx?EHA1c3%!ldh8WH5C@%+=1$w%nIEgmV3eRjO9|Fb zdRZ#|ysY(s`IXcZSlV+k*lI-e4uW=w3x{`33U-pN*7@y`}7;gC;v z5mSoPNA;P|q@vEYx%R^#i%QLB^8_apBYIWuFehidY|>1PGq5t#c^@a|kKf!NJ1gx# zm~M;1ZqU>Vrocs!zZ(jcF5cpqf^T*74fwdE0OGH7~Wr%4D7X-b4txSKG zEw#veq#qSLJ-x{0ygKstuUs(J9uMU%w3Iu`paznte>P3tHICbAv_D4 z0^?Ht%NQM72EQ_dXj1wzrn|7S{8|EGpsv2YZ1=}*QNXwB=OME-38>0Wsypn$%768G zAkt%xBc?iRqEFn6ut&5dUxiOpjeY(}FX1dWt�Z=s-=T@Q)eo1#~3zuBmKX^0O%3 zvxAqE=m%z~*qq_8Tq$m-FCQHmFI3GAa&Ph20=H==9X?jz#Z%|QiXQbcT-Gps{yrj+ zv;w3W;H9ubGf+{M>is5yW4!u4ucyzrJo3 z9OmW#%O?!txq6d}OSAwGMgqfCkD`uwcRRaMSMkl&8Xv571LLb(tjz2?I*1@?;T6E5V&aFW zxR_*%%7C1y{6XdHP~&vN(YCxhvNP`2GSft|XnZngO{@^E0O>Fnn*(I?1L?3k>jq@l z+e6VamtE7D4d}5B=;g+{72c6ddRO{^ts$8aaKm*>*xQZ58H+_*%~*3^%+ChSUc@VzHeyX11`at1*|(tH?&*o;Lbl-;;+>>@!w7#wg-F6 zgk??7n8vtiL|uN$w&0Nm;YVQuavkGB_phVPLs&JH(Z-KmlL zu*Yw~Tfr5*$ee}2hYzHCgzA}nVD_*GUXH+D9Gbfo`PM1y>v{!SxTJ~t`Rq!^mR8Tb z9@fr<%~Lk5MQ>shPVbTwRv((LvKU#5CO+$vGk!2eB3D~GO5)+%X;=F|>K?>9lZ9j= zF+^v0d&A`)EQPHP+hA&8ohzd33!?Ztp^P>}iFd^Xwx*yAT07_gwHnBv#XgfBaLNml zSBRos{dM7&n~|4wo~d7Io~3LFT{30XTXhak@;K%xNGn-%nVx$#6|T*yPU7K!PFEV~ ziQFJp95k)2Dld7gJoTL!3D9+bI(DB5G2zQ3nmlQmcDDYq0Rs3)Ppxl6v#IV1p3I}` z;}bm=jM9^&i}p>*xzj(+LHpS<#_hkG?5rxKwf}48yo1_Vl3*5mT2Ma%+F|6dk-$!? zfO1RHhTPLTo}xVxco!drigrXr^A`*`$xOf#9%7E)4oCF>)UgZaLrOs!;KcWeyYIbX z%2BX#*gW7sn{7?=6?~2e<$8tWMsQ9B!W?&2P(MEAEFx9;VBhdM!_?r}XCEcCU88x6 zpOUepoF-!t?G#aP7l%+S$E6vz6Tt=bT18%R-8Y7$8%n~EmW_IFOQmsbR1=6QbSv?c zFu*I0=-}~-5e5wT075$EDF^)nW@J&v1HoOg&=8+wBKTC@DJ+!gOr}r~kL_<4H_8Ia zI99^XWyqIu@oe}Ykx@WY)XZMb z$3U*{ey?vtw*i-2!R2@JT1mP-uBE%GHyybId$oxIjln-qLy83b;yHAH0`x$HUEByg zXy6U70^dCZ3ptpl-rf}T!0iNH&1tfML@=c3X7_CY!(`G}XZ!XIp7pj3cs;y-lVyKs zGV|OFFpqUp=w_RK8@6@YCy2OEF?AtGV?@9Zq_$c4vW9>2)_Lc1ySQ1?#RE9y{i4U# zjx~JU+pT5lmVBn-6yS$LVOG3qmImB?Rnv=)te^NyH(fSo=!3mDa(8u4#B|TionBaop{8>fX`JRYGdbnvNamhkd+CAioQ9vqfwwI3IM^ytZkJ#;w+^HrVdMfyn zDES(6yboj&Y~5sipTj>L(B5*XM+ps|(`2US1$-eCUQ`7XeJbLY*DH=Q`6~G;RtpDq zV7DF>0m&(_12h00htaK6))8lBpczhxp6^`R=~eOXm;kkYnRYlaePm8*GrXX6PK=-S z6>B$o)&_VfDUW~WATQL@R#otHRZC53dq1)5Cf|7f8-M=Y`tuLte-tQ|)&HsPi~gS< z&!*-@E63x7W`Nf|bQOdm5mJb(7%w?}twV~)Ka5Bu$%GX2%!e8VavVRe-7A^U=07M7d2n~h>Xz1ebMNpolfrN9T2Wq9 zbuiYw6H7eks5OZ)#yx|f*@pXRW&3uH1L`&xwEr*0-XU1DU|H9lwr$(CZQHhO+qUhw zrfu6=)3)umZsSFq9e2MMr!yL(F&b4dGPC~7|0_c0#q)c!=ynYwwAgz_>G(zpHGK1XUOJ41$ zdrrU)p!ouNU|26$mNQce1BNtFf{6`;KBi`ciX5pIuxy{J1tP69A1@a?T7))`5<~Fl z@a5mC1)x06UEk;y()Q{X?6H?A+G_IICL=8r*21gkz?_=Xz-R!vb~|jeh2FFl>p&cE ziJRGFW3&rRkb^n<71YUWy48{_;)#vMl4>qDpTsy{*`XIUP^?nl_lIQbB$+f?OHGkG zxn)d~ZYT#zUU;%L0AI2b%b#gRUr3$`YE^)EcN1XbfH7jX1{u1g;->!KxZy&C!4we} z&ei+W0=2f$c+~)rZ{>R48GXN&FW&_Kenk}K2~_4xBQjvgk&VvvdV(&dqAgjX(;doD zd8WW1%V=Vz=rTv6HdY$k^492pTVx?Yc>w;{0R0R}H*ZTTnTQE^@6}RTnG~33m|G1{?@zz&2%Vt!kN2iDKJ!&A%b2k1G6kQcsx>1tojwrS#mbajJ6OyCN}D;p zUV%k5W-<2cm*BWZ=jlE>Tg{aw2Q1TV`-aF>P+j4{>WeNa%Ypd3+%yv!)RJ|%8#bf> zsg6-G`%^Ajzle1BlZn3WV}y^ zS*Ac{T%)c++A?VW4)@cLXOGh4GHWx!>NbHlLeF;>cvF~vwYH+UTR!1`WaD)DVm^{K zL*hzd++eRdsmm=cD`;+vc#f1T|6!BG4+f^9Z}BFVQyhTmc{)!Ez`=9YP1Ft9wr4rttK>)fryeJ*$yz*?IwPZ>d z`sr7n>*+7{Z8ZA?v=R--L?Z`IqOe!EKR6bT9}5Yd5)ECt;g9#*x#inFI#z^^;_-eZ ze3EV%3(x3Pl0o^8r;(j(vP==@A9R_Ga17p+I>C6L#a~xa;bo+)m%wPtS z+-DfQo%{?6I(2|VhN1qG%E@;Ml!;V?F!5P9!}cxX2d}c^7@k@*NBe;ZPg!e^KAcc? zZrIDf&?)jDM}8qf*Wu2hFXs@T726~Z#bY2Tv{dGNlQKKyT4u5-Lb40PL6qiA?pd5` zhzC=7b~izxmYMPMjV%=e3RxIst(u19jVO3Dt+Sb!=9L99h^>T#N>v?_S@BV!9Eoln zT)ldtjigrOd<*%%Ov*FQU*nVl1gVWu)W7hHCQLM%kJLS(Xx^!QHl~c>& zDF*55Oj!XMY8E%VF^FsAEeE?&-rUYTwSAI6NWIOa^)u5Z=giK?R$QX-p#XS;jUf%I zQZ0cU2`6sru)~`9>)_0LLXmnP&X+(6AdPHL$?Cv8VaF>l1Cft{dI5HsUb6~~G6cZ5 z#-o4pmOgUmF*kJZThFsyXyEYuxao9h-?cg+sH!zg3mM}v)&RzCK6^noH&z;U-bP@T zR+aG1)=7=`*9a2yt6tCG5}1##l^@4)uMBwTLcC_p^r=rw;)xO!bd-D?)+7K@D&=6e*W&??v9y!aV800 z_`LH|9nz*93pmv`EyJsh7RK1iU|Ld){8*Ns9chj=`UI&r?e=}b|LP8({I_3}^M56K zGydnK)bYO>1)Ck=UyX9LAVibJd;}xe&p7|j9N$*J#}eV4;Fd11aI`1AnZIk_0&hur zam+Pa$gui(FngJ8&ry8QQ8alFU)8TVZ(>w+QNJP|zp@Qp7a@bRf5E6en}y-qvTPAF zmVENqbHa5%fWwsYY$&<<*W2>G2JT=r*8lDMKK?GDICN0*|jS35QcT_w0P~0(rqdhXYcft5x!HkP3!GPt&KB}W3AWY+(pEXAlkrY#@|fz^Yc7*G zXrsN8Kwa^x%OJ++D^G1eDHFSp$cx#!`=$+dAutr6&esKi4=6)d z>>Rs1yD-)ub;%a+Wsp;F%ohc)vm7y0`DoDrFL+u8Kc(P^n(z{Ls4?baL`ORWKO$PM zVj~e$MFru=x%U*EjL#D0{37_^1^+ak1K@NcQJe0NnIHp&9IkXR+FS9lZy0uSF14p5 zKb@2uD2WVahzaR#NmKg-SI~%H!9h6bGg1Wl%o<4tlSn0@JBQ?=WuhkMgl;`W;0SCv z6z)4=qnM_b*<5P28Sp&oHa(S5aaye_ezH(`+ex{SS-A`K`h;V?jTJ5SWMgDHtM`? zh|6Kiw&P;Db7lNwyxg;mW8OgmP`-)n)S)0D8A^8&A_t_19Z4z7@%^a|fx-Yf7Hfr@ zM2wD=jv{;YKtNVPi@!a#hScFYE1tfU%fHkTQ6e&XK*_tjP6T zSne#h6+V!mpp{phlY;%nNMM(w3#-8vT|&*0upj+1i>D)hs`=GNssG0GEjS2R3ppZ& z3>6{&XfgImNF1Lpq+hIE81F((TZi0IHVpGVFm)p`|BRI$WZ!zw$4182Yuko>^&KMt zOB7Jz6!yQ;aNO!ahGw=!M8W#vqlve>DqbKn#H=$K*HzJbs=(#&> zB@B;VG*}8tEs53S{xP+@^jTR()QZV}=BQ~m6SZ+3RB1j@lOUa9A-o=&HB@_aTPFWx~&A%j{TjQa2J}IQY&>>$!(y_G=Hh*;oCH&P)aGjS(8GS$-F( zsHyPq75@#XD?!1`h^aN|x)HJT1?}5)1NIAcd|cWjeVWd;QxQSbMO&G+rY#5NZL*^u zLELy9QS)afcyVhWQMJh_oj$4y3bS1j(7@StIsU9pVh3cDJ~rZo=0(1eU9V*!7;^o1 zpSSn3!sh#Y8|rJgeER+`p^S;?e-+9Ym^uEZ+;^=mYyS_&^>5nb?99NL?lhSs;Y6Xp z`>a;LZX2%#zDQsJsHf$(S6HgiRJ)-i8)c>t0)%SyZ07Es7jETiL*VclJ}W?W{>aFx zb2s}oKRZ7E51`v{)Qq#;co#;;hP6xJb^6b#_{{bI-5=?^m%Sc;kt?n%%!=#x^Yl}E zSWqm0bP+13f67}HubB8aYN!@iBrwv41SGHI?D|I_p$JY^-6r3oX z^-@Qx@Wp)s@fcwfoMLDO>EaR|@CLMR{RZAq&472a;?>2ZvLDavsT&|*j(QIbfefex z9*kcCBcUVqnnAEIg5F?}Iy$P^x?7&SJYcwR{9b8*;{$j#1^OUVVS0bLDhCj@A*3>B zD#eTEXE9EBE3E*l#e z(R|lQT-UtGz_Dd~ZqvnYe^6T~Phn%gZaEf{6t{;h6g3P@EBUf- z-M5WzIbp|YOLMn|#9yBl$Z(+n-A1GYT$A35X^(c$d79iQCsd=NRYsua$Nb!sbIY$$ zv60r{q!>7(`iULfUtY%Ky;|{+xDj>fc5ZINIT<=jwAz4JPm(of2T-2IP*AX?$5o7M zTz#+MBF@Kr>!2a%KGQJSZNx3fy*QMdP}T0#S=@S8~b$SK|$)tBx+_R ziB?X-!%?OGqQSu_UWw^E6vsN1KX;Wop%q(go|rH^ zH}M1imiRgJb7|p}sZ_IW(2hlKv013gvt)mexv{tYE80=a)vL6f`S4u!u&_4b+w=0C z_A;P0!*zij;jfMTiS^v~$v67?fnj%U%ziwnyCN zL~?MZn8)+dW+`Xm)j$g6b%DOByzaYINVB0#GcK2K+q@w{G?5tEKb*enLEHuhr(4Hw zkD#-9q3n9;`MlZbc?ZM|m?Jv7@fIH_AGRx=5N{>lrq@3EGqOn3S}JVT@%j8Cj$QU> z7;r#ZxC!8GR(ojo)0qpCJXfW2y-aM{CJ0}=a9gbCw1KSLf*6l#W<~pd`y+?W%tOl>G;-^hNS0nno z@=zs8$e&(dV9)&{Hl5-uG(laE=^`xs5+FL;g9Q4k19EmTUC?Q^uw&O?%KBTg=C7$k zB`(dDUX|@f*5h&UbwYe215o&Z{u2%o+RqBLiYw;ZYk$4W4S^uf-2`)Hy#wWZ;~BTx z^-F<%zOu2mBJq_43W&Ut*oJHfv`MxFw7ET3N&%JPsfD2gxV_DLq1XlmRUyG*C+20HK*} zsG-Qz#Sn*R3lYUeB7=Akz(DOoR2)C$GcZbaqJaY7YTYrdQS3Z~mn}?pQ_w}Flp3)h zMkGyHB0s|tb(4})$fKY{ydgM+QwU=Jo@}b;;71%=`QLqpj3xxydcjz^^`16ywxIL3 zGxpbH?=Je%5wc2S_4qysfm2A1xWp%4OtT|xOa6zBil`a3A7GL@R zSg^R3-(dvMPPt)};?8|CYIa?wSCeg9bzRw-dPYPPWrzSY<*sVlllj-MWi@@|kO@60 z%fcqO<&zTJFu>VSHYc(y|94wi6gQ-fKqW41c(wA?F1VQ|3KZx-Ns~>F#X88;b5#Ou zc~^pw&PyCO>p}A(l=2eWdSoWHX~SJ78`{UpgW5)oU>9>H@zoS}%CLmyTUd#?7wrq| z9k~GwWS&+RtQ0DbqA$_AAMzmZ#M(s00prhBB|u~ziGixz`1n~!J>2NZIMnCJeNqs3 z`uq2>kN$LP5O_ZA5$~59Z`g@0qF%KxN3@-P12LTE2O*KapyV?7jR21R#gbd*QA27V zohmspY2(Sj`R4`VpG2y5SB+!evvn%Zb0!rrbH+VYDA%A-S#h^?a?}>8B;-pM9JKDT zss1A%9e6>y=gS#1H^;U&EOOy$xs`4ku)FEQvQ?cky3)enOn4@eR31#2G;Ix}l-TxG zDsx^MLcBy%OMlw*zhS{^*w+8=5cfY0fGVC2ru6bgR?056^l}7D3=ID;#7@pG1dJR^ zZ2vz*Virb*{~(;s=;+38wf-C4?-M$m?W9Qed4~moZiZY0gAHPt5Y=W!e=M|&C37>h zhm-sD%@1FUVUd=KGi0UR+b$G4`uvu3%H`U|{9+Eumm7A&(7fa}<~}tt*E#jQiMbVG z$%WLF$w2B#VI(!I9L4Eo_dw`JoX9mOat#SP-f;uD2)-Q#6o|<+u$0L_|D$4zmkyM_ z@+Q;U^fr04t~sEDU=BD4nBl4cwRk5FWYJWZjB$rYpgio=KcSNkNL4<&pK8D>(SUY| zD`?O#q;h+=11Q3fJCuZ>dkQ2_vJTk1gmuX3t`Oj13etBcC4i&g1#JmFfCNFRK?8^kf*Jr*^njQQv`RjY+K%E98d6$7 zZ4f0OizpoZtRUl{fe$JzNFss=6p&WB;Ld1ZDK(FoCZvZc4I2WEDi+xhTtS2p$|Q@< zTVl)-5C-xr(8VaZV2|!xlGsj%xgJ=E2w@9kC6Cq)^OVE$#+;xE6{i-Uj%b4^!Ap99 z&m{>7VTe%>4nX)^b3YaEV8dbq{4fPJoh3xAh9N)m-+^r&=dlu$_L(L|L!^ z-E3I^79&7xi&_jbkjQLnxtC{MORgVcgPa@jXfY8MV|{uKaojbCwC2U7NMe!!qNYI2 z=ZLq01k)3{yC4Unxk$L5+3p%5+n>ncWD;v%=`WnV66vGJ$E8@}VkKKo`E@Sy1FUXh z#gK~F0^P-o0CSwh5wwl*05n_@5M~fTiT=;4Y&E5508!Y3lG*QyXmqyT8AGvQq$ZSk z;7wC1L))OacrxjJlu%}>1Bo?!QlTY{6PHxbfi;J4$T6qCA@B5+-)C{99O%!Q#c#$q5Xfw@J!TVRQ%Qj`Jq ztps}%74wK8Xq`)pJVt7o#I#wOP^Mu%ZT?^cvlkSV^aWB^KIo3txBe^#Py=bm6mnqX zk7Zxlg1Ci!>s~<$&8YPV+;z)2{bHSxDFl=`srJ0TwvhxJ9J z!iWqZjw16cnV4^97c@?)h=7gEKU#2RV6In?KBVgnQ)SVYPM9pC6cHZD3Cv%rXX0iE zdQhgG$-#q?@fG7Zjtj~Z#9gry{J&xHfsaVEeD__`?Sogsw%{K+ef(c1Gni# z`)e96*F*NZKCdVHP3WEeK0a?|9K1at)fFJ*E|MwpqB+VD1LNplDYy9ezvkdRWuvF8 ze5Rx5HH~)UE=4;3`kxJ(v$?RrBVHXT0yz&3))7S7{@(pbABb)Pjfv8r3<6 zbLVlbTm~p-1UyI3gCD#d-D^rBDQbWoZ%AYdRK~Zt_qkWDBGpUh+8fP_g<3k1d!1w9 zcXBh3l|<%E*yQ@N-3QrK9z^!5G!*oE;89bA+;$;%OAp{xTPB~};>}m%8L8W{+pu+s zT@*bj^#&-SrfZzGrf_2_?+I%P>O%GV4Wu3mQ~S)q=XSgO4#2aR1U^spon6Fn0LIts zcXN7i^Yr+9zBf+ccVT~jpYBHD!~5X!vv(HYVrq|2 zjDiSDvI#?CSn6J-ipyq;0kg^pGa{aFJ4OJ6*QsN%^p7!7Jfl>(s-%vvn}=9Jy0L>@ zi9|~)iP+V6i1@huis!wqLh048M3#y{Vv63xgn)%?6UJYuP-LnQ!)lZw2Z)8@2hnzK zLmAYOo-0hGcbP`T@GCa9jm8R@FrV-QhRbFs<5yRUo1Z^H$GEbK0F`Wpla;q1?r3aZ zq2tQ2^cSnH@MR!ytv9!I4s;HM5#k6P{DCx4djPGpUCO)(YnCdV#Wor%85ze9*ltzn zGNfY>^zx#Yv{UD2xIV+jJ;g zn>0>tPF|Hx zg&Oqk363wq2ASOX`{q)2qs2&?nE8T#tp9y5T+BE~pv;mW9qUw;Q#FH2Ggyx8_Vn46i3LgFJ*yhIh)wn8@oYqQy@R#EHGWd9FsC%B?>iM3M*69?cb{# zy82qkK?eBB7h74IZJkC`%2NicZ5pO3ceRdfkiQmmuv+;CPsXxGppmZZQQbaW@9=7mzF*SCd9!yaY9vb$+pH-o%d5dVhdN9Za8v75(2Y#?<9+^?K)d?E{@Jp%wJt&dJ|Hh*jGSTm`5{%?w(=(G;5XrCz zA{!P#Ho_sxCb&9K7f~jNHGD|M_#s(mq4 zr3<4v8Ua;B5F;lj;&}G!=-E{Q7Rs6#y90-q?*P(R7esR;nLt{SKqkxDB!<|#^VH}^DqIZJxK6#Owc|k{~8GbWK0+H2uk8n0up+XfVdo?;gDBGjU1DW@JQ0eD;=?p-#b%|I95R?@5|*I`%%5Rmsiqz zx~B_%#`}Lbv%j3^3W!6J_WhiP9#(t2aoFY9$^20R?b@fHv$q_8#&BSN>vTdH z+q92{Y$=X7ZJ77~!He9KMNwnhfpT}82K(~HJqttH2ZIJuo?2lKPCQiGUIiIi>Z8Sc z!%1gZ-IvRWvNRs_xavimzbRojd2l6Mnd0>s>5A0|(nx8&gGSn$aigZbH`uiy#Z4?_UcR|f)-cIML)NPPbDwAKYnTif;$q%vghub7LrxIy z&(`hjrT#Nr)~sw={wf2MBm>AG$Q$;}h|eL&9!_BCAp zQxyCD`TIlr^Lz)+^SO`jdl=<~Eu6fEDm2xwd0M~I>)jdoF*VeMZ3&;>`wj`=cbuR7 zH$;j)(=w}`A08NLU{99|6ra`dE`3MAF$cng7y>cRkiLQeYe`3aRB9;bMiEo*3pJq00PCYy_O%D0d!LoplT_HXQWdrF5 zs{K$Xp^>F8F;P`euCuEt(ah#fGrvdFJ_QclAF@$s>^QErG+JsMNLteJ`WnLt!;4^# zXn^ymwt%HG&>5*z9|>WT>Y0hqusd1wD;o5iam<11b8J=hj%`s^Y`1vKuu{Bl*;wad zq4n9re(;?5$>Ih{5?h6>{L$5u3lB#0dA-xq@A!0f;4ulmX>e{aphnMef}?DYqhssL z?dgYg=LUHdckszMXq^e9elKFGKMl=C``dYOKq-E;xjOTZ)FM)F7X8-6%SLyv7pQG& z%Rc+(8tl$Rq*W_09qUfasP>!liO>P=b_fwo2)j@(^yIJL^v*Vji@T{`+eNRpO6)nFBi;NI}97>)k;8Xq;=fH_h9Nxu*OHOM$-$Y8H9a$q@eLa zP!A38ZW~aYu0@S?`Db07GPgA_H6rGVB3=k(lv)e;SEAWw+*Q-G!WZ6 zHa5)`Ymt}isMri>xuYXfC8G;3^|Gp7FBq`mK4 z%96@@v?-qvP2c%9bu2Cw3DcizlqsP5i+OcOL;Bf%9x_> z-M}s1$CP-5gko03e-V-J&2IBG{^eQ7eZ4nB`3-(K=MTkfKWuqop zv@xwWsHPY|P`;{HqgmikWHp@r*3Fayix8YcJ8JAMOVcVmj-nQXWS)121@jonn51%8 z*d(ANS{SE^YASNd*RFmyV`tuWC81_k&G=od)I*EBuRd8`8*xq$^!N0%nXwxD4-;Q5 zGZ6`2e0i!H&F>GuLcH_Ke_1|`|G@)Rb~SSOKa$P=(QO!o|9=!3Mt0`^m~r=2_Ladt z#q0x?q6=IEGrG>9bAYT}X2UF5Xl$({3rS<4o>4-8WRb>Zc-i3dddci+YQXJh8(n`J z*d1llXUmTzL;(ssh$)$Aq`6FzvHoBzQxnccvy>{ey(l}M#QCc2%7j3Dn!k`=%R3O2p6mlc#O4uI9 zD}mt*+7aLv>`PeSYKd8PM47SBpRc4;8cwm)LSa&y!KgGpoO8A~bVcXV`$f>!*lBysMbIUywIz@>Sb zbDS|KtqYt7?owh_IO54bh@;l(bi!@;DaAH@!X}^k~(eU;e(!U z#F?bFX1p4PcgDMqg4eW%+~x-gSAR}6`-etxG3T4dC1Aul+I@_cx`(+gvA3|dFmq~RrSN{B zLAnou1P9T4oiU_?$E|*8sBd|M!a6tZv1Y^u2`U#I=W&~I)EuCvYh_Ey*~>UPax@FB zCz&!p{m*J)S>z&VdqzgChNeWR*(vccb2*wJj+yvLlDhhR%u78IZRyG%u+G?XHo(;t zZVp{A_b^ZsJ7PBWRGe@U5t%@;8j9LxJ!Cl=s4=yLLjIF7M?&?%OziO{GgOJWfykCw zZeL&oMAE)6XRB z2J*u=7ZKif$MEO>0A?%!&0tpROZI&`1l2cHPMIGv24N=_Q%~GYNAk35`)EEHK6f1& zEBH0h=R?tF<6fOQIcII`?$Up0xu9(==Ti2Yb&X58nLu6Zd4V%?F&w+}*7dLe6hCTP zPSRz>ucUa+Frdl4(7zW=2^-PgC4DTlyna`03Gsizcsbmdll3nE6t@<-fTx73*T1Lh zN$-5VcZ^}f;Br%Mo`<^^{C>~)@8(nY7E1&|>JR&FHLZiFt_(d-Od%mWUqgy^>qLNw ze?ng0hS2YVfhSGhRBF7NxfAVV3I^-wLzS^Rk}Y+RY~)Fi8xrUN7A59a6~SU@Ge6R% zmjtQ#P;($+J`aTM*SD!(?QDF0rcewL1HOGQF?7YetDfCilXtI+vhY#8wn~C~!u79pl@XZKmwR7A>vflGSjTCE8$zLt_I)&aqu4WB zZ*peimdH5-vD(GI$o<`^M(iEk1QGh4&<4!a8@S-s#leH06&YfHT{;E35Tm-gHBFd) zW$qnS7g1R&mi23;d#XG2a}{M0qr<2VY`>`d=d*58idSf5=LcI9jA%!m4b7um1L@wLv=D$4^A|6<%xMyHJ=vVTcW)A3KH!7Me7`t}Z>?k%zkqxMHy`4=D?Bid?Z+*XOO6d|e(W!5KPvTPjV1DzU zb#*30?FM^9a0|r=oHeW+1JIZVL(^+%0aBaOnPm%@{{6luqv&fVJDw*TBq++zX7}Hq2|=u$h%hP@2c!!e)MVBwN3^ zMDf?@ioP6(k}0+XhM4s5Bcl|vw%sj=Sg2b9i0}D&bx?%$p1B1%FjBKrx%$$t!y=;x7 z#H?%$pra!SkW+H=vNRPci*=Kf6cml~%k=d0OVSgx%VYG?P0I_46!SC_3XoE?aXcV7EWu@qcfLWtvKrV%}z{tcz(kF57-MJ1* zXuq1=Igi^h_mlh+{=XvS@;NMp-dJPJWt6Z^xZAnguC)Fy-+7b^^Q&8{y1Tmk9kyDV z@Cp4fX*)N)c5#-C^ z#j|R(ybW)!+;-aEl~uczmR9rIb;r3IT$>i&YyHnTUiX{VH{adc&uwldqaz6+vgAr2 zqaxtJ;4;w=@lZ=xFx#L!_;+g#`(>%o_rZKf!yFlmTUm$;#Gp}Wg;Ew3FEuUpm^8I*jBcdlJ0`1adP01`afpr@m6wLAw5#w9X0sj^P+^%|Hro%RogBjj za?;K94L?7>8oJ=`jX^L6HQ%Jj+!*#tPGI|gUC~V#H`1|&R+Bi{Wo!<&iHARD1@i)K zQU(?v*yEWT(X0Jor57+C9&94`bD1?;Dr|{uf5OD^ZFuaZ<~N_ZC%A%jO-x&AR;EWT z9TC#;<}yi#Tvn$wW4x+SZ#8>TWg9JI+2{gW?rU~X>?LFq_U=82>>C@*pyRK_Yz8U^ zJ*sE0>=&|aX~bZlH9jiDv>R8+_O+hZrynBMnFHhHQ#(Cvnk=8msI3ndZIR;QjFF$z zngp{09UZBfMEP}us#NWzyn^_0TO1^{q=N#R;7(IDJQwjltLs|Knh1?K((qrrV(Qy8 zIfV~m$eG`M%YtOD=$#COH6-cFcJmNJY?eWcxEO=ILg$enLlPN~)re9h#;`C2d4yxg zMiJ(adO>7kNHGpL4?KlLX~^`*g(6Xhsfdyy$VR20umnZ+3y_Ku+sU;lxjBivhDqx| z>ZOTYi4(ge6J_NHvMbdqANeYp02BuU#KV4CH=!#N(T!Oi#f+(Udz)%onu6__EwVx` zWB5;_Xj;*-Rk(+fN7y|1GNsi}npvl`$FD1vG%sDcv{Y|)4sz#3S`zrqXj!rtPfcy& zrl<8SAoUn)N9(R^-^Sv-XPb4E-%$+yF#3ZW5pSVe;djr_sPmNkI1BR?+#%vvZo{A1 zX?`MD;XDYn!0VY0XF?Az7zKh2mt&E;kGYKH?8yU%mg$Pl71l<;{|MsLPe_>EbaSgt zqd#xuWcn{`3&W)>KW_9f1Pm=0zVLVq97e|oH+w5^460Bd{PWJP#RgCaDgJ{Fw_jv8K1YSFDO|F$ClUx^*vhr*K*_~75Cb&U)2q6KO5P|=J_U11mQzo9`G{zz$? zO-4|=IAv~_N``8!#%dp}(lr%087mso;qw~HCk1p#j@pZaOOl)@Y9)#Q zT3S9s+u4U|bWG7*_@nB7kH($T5S39VK))%{&(4JT{Ya4Wezu?&247)}T5~9bcJ|z; zp)U(@ob`Z@N1j3LN`KQ@L=pc$t#W=#LqVm?Dx7jj1bW6<#<}LrO#b|=W(f=UG9yqR z6|G?p6v-e1E<9^;uliIqo3VzgmoC>Rx0v3{Y=Q?nGV9v+4>IVj0hdj+9U5JsruZ8^lRwEe18keRoMT^AUUJge(uyc1^JzyMy^SL57BgD4 z=e5hL;1r%&>*wvf{FAKFq_ijl+EH_b$Of{Tp6*3Xd?x+D6w+l4;xWJTq*A6vM1bd;Re?Z~>!WF-}%jA;%Y8qkSy>tE`BMt0&|=$P6;_0bz+CewvT15ojGnCF9e z@oeM5(r(7B>C_I37UoB1ZQX8ugZ^a~S%Yi}Auv03>*c|mHrTeA$ZHbAw3E?+dE8rB zEfBufE&I80-U~cIa)*5AtSu3SGCM$nLtW#l{!?c4M}?BBq-LDhmTR1q&bOf$X0{?E z+(hra6V~2dE1~#6KH=HatvIaCo5PU=1pUNp^Q|327)QZbh)=vY;c9(DJB#-Zd)MaQ z4AoTFY>NDRiE}*2No7mCUHkC6V$vS%Ixj<{rQ&t%B>WOYWl0Ffqf6*D82!q5dmTE9 zp&Ylifw&@Pr1HP|Qi2mEyYIrlV_s_XD$#*`u%B@8MWRsF1L*hMWA)r`vKsI&yvI&L zxkuWuzV%YQj`6;(M{U${b1LM)l=Q}jg94_Lp1PMx5+Hc~biTsxoRZa#Qfl?_lTlkS z`IB8n>fnE$dI`A0H$R;qo_z&(D?JuBh2LBgSBs;K~zrRv<6Q0_~&YA(=Bl%#K+o`KB|9X32!vQ}(3(Si+9yO|) zS*r!s@fD&|n+j^h-#yUn=EWx`SWlULxY1{g_bY`vDt{%y-k#w1`{$k7`}?Q^=X%bZ z=ecX`)MY83s$0MG|08g_Z&I>;FYJ9zIAUpIpYxn=-CV@f$WtvD-q=N}^jB?QMc6Ll zYwTt@yBvm2_ilwf_bVbU=m5ZJ>lStC6WBG&;W3gtRirjKID7Ddq_mk zBO_#uZrkwSBc%pR3asB+Pf*R?h5V#+N%-pd1wzuJXI<9Lit%RR?W4f%xSfsp_-$eE4TP1)&SCW&3tF{WM>3dF5B zF#liIlPt{a|3M?nRfp72Ht*y^M2=t863_DfUD6|5dU0!6p_8j#5-mNbt58KyhX@oA zLTW@1(r8S~IpWro5DEwg!39xNpoI8a)uLK`z5e&YC9}@G?7F$FZSx79cQ*GEyldAj z?^DMk?=j~{zxURA_tpECAIR##M2Y}`;Zn`KtX96udMRu=2B(yXT)t4x_Z7-Fj)}3I z!jBmBmtD~3#f2)5i83z>MLb!V@8WChN%E`st7(^`Pm?A`Rxw%bhwQ$lm+oF=nneDh z?a1+aM>E9%iL@Xj2x~w(s1KsI^EJ=&(Vjf;rv0*x)6yzdvYH~{lJu2Me&U)dy6rc{~i z;uI4Mr&Op)lNKQ=<#Q8viH8-f$cGE?NR`@2P)Em4Bqg)d4qNVW?s747js#HrP zU}qAn%vOoQr9}5gA#%$3lZvNCo=81f#flOsVLc+AcRu~vMQIi-R!n*#Vv}l^7P

      (4m1V7gFiGtSoI;(F!e*7q zfFiphyF$BCyJEZYo06Nd6Q#$B&r0x$_hlg~NX=)CmK3S-G)3x))McthKiHNuE%DlN zHYM!}8!A@9I!P7aXZL{-$=MQ4N!Vm%@_bZ2k|WU*%H(pE1NSz_ zT?SsSYuYs}bBelb&uwL9{QUQ4doJ^s)24<-j5=O~a^t2X;QOt6*#m0j`mYXy;)$9+ z%wpw#ETkTa;C%oX3zD_72B2>nU0zdU@-wO7g);&b{uFxdo^rq%##aQ-Av7xY4>jZ@ zH#w_01a@qdx!sy1X4yQxw{5}<1MEExvyJrH3c|08XMm4}4#s4U0Dl=0)}zc|HG@fzRA!CT=uf}(e>c1*Cn7ZKV#vU&;sATVECAAYo}8O#nEVyokI z!nZ{GoCb{%1(WU&&4SQq2%PShdne2!1FDUK!Uo=C<^!nL03u zts$L~je`QG>j{6B3jm`Z43<r{Vd$)N>d1hZ;~IOPv;JyQqd4chdL)geGIi~y4*J}f#6G7h4Sr|8`PvE-#fp{OzUZ>VBR{afBgs^-`;~1MDW=={j&Wx1p&LZvg-Y5 zmC(jLNBU|4m<$T46SrF__I)E=_XpYqCZ#J@4wo0WFB`y3M~I=thmlX5$17C|D6!a! zSvyUPfT}OvRZ0^Gu^R0qG6~)H2$`(SXE@1S-@UAU-5S;}e#U?JQ{m2lz+t{`H{54i zDEmyV6-MSyw6U6JC?s0=Xnic?-6;tY=4#}P>C7%{)5QY*gv7bZ>~G)r_!6RL?GH^Y zN@{Hb>fcElxw6HM$qt-G!J*nWK|%asZv_Vi44k_K=Fx20hN|_q8RTn2Tzxvdaj4oN zC`9PvoIGad@yeZByN6~jA~rHDR9aAOkAUYtN9Ql?yl(MBLp%PWp;fCYo6tH^Y13np zyEMCrc6!tD|44`Mvc{W;gCy5!^fSa6Y0EUMJ+; z2XpZ_5>%php?W(y&rj58Ew#KuW?7T zd5`0Z*~;!w8qmFgb64A5k@99q%zAf_!bK4jo&mvN@=e)ww@8w}X$e*l`mtRL6MyqM zfph2Jp7TPO?{2CX`6gy|CyJbii2SnX_mRsQ9KB=$Dx=$vo=ZH;y7jx&kN6RBY&@LA zyPDmwX#&Smve~aEX(xi7@45zT?XiEW#Qz-*kNyPaJ-$V0E3pOE*qPIRTP~zrkmGRE zWQwf6U<8eYbm-`%IiK?;ME~!MFNuYOft#vPz|Y1YYNXN{Xe|DQ*dZ) zyCoWD#kOtRw(Vrawry+0wr$(CZQD+I^{K9||2zGiu3h`$RLzTdJ?E(R!8-uJb87jW zJ<);W{q^`7ZIDwN$BhNH|WrXPQ^Kw21b0lMM5h~+v+@3| zJuRXgXuMUqVL-)@qKe?hNX?)`-F<5&VU_%2z!h~3_rS{uy@!(ZHeg;_g}9-$H~ILtHc3B&f@f6$H3~LvWXbz7 zm0_4$Ae1_%t<}p|BA{FO+t_yO&n-|k40>Pg)o+zWglg!-K-h@O^9bsx>wFft1-Coj zaITA|wX)iBBcHkPt+RPS2TrS%W|$(u&>k-C*-z1r)x13KFS(b;Kg&@&#_p87AEmhm z4v61WK2n>ckXdlBL0rxX?(BFjz54;t8gfPxHUSx@9U=AG_@RU9mxrTu1EaW9EydPJ zNeETe$~YcbkK9c^69s`Z(N}r8xni)1=ZdC-YqlI|OHK^#_%@$O4p+DtBYDR+0wVe{ z{7`3huIta>i5Jn1y8<4@jkRP&P+;lr9kS3jT(A44@9v}Z3mU(Moa&2-wU-j-L9U#? zaBQtwRK{gqiHhSH^_s0x&mnB7utCWYV&LtTQ0vF*cfgv`D0)kl)PvX``93{^+w$@#+k zyo{i9Va|$L+%NmqEM3)}-&;Q*2|KCVee(7iN(S=!n_J`37Pfhbxiw&PZ&^i)d#yKB zgvQO`pkpgg%x?`!=SV@P3J(<1(o?98A2}^>9FwO_GEJjH%2cCPwT213Jc1f)98a`O zv_Qww5pyuil&eQI3a%ee4 zw@>f=TreG1j^-z72)i4x?5*SeRfMD>5Y;KK4nfYGbHOna!{=c_F2t`I zZi7;(@9@u>tLdG_sOl~wKSfn!B3p@5zkl+N*HAk+N=lg|3@R0U%()#gibb2e%moLw zC`*>Vu35eo4NNgIXysOyM}xMC$$GZ_A|cSWunRjM>1iyH{p{)rW@zY;jW?NFm){{LXQFeWWIf+(VfF+{xF;R;3*2QQ96yrv|*?_iaN;4bKfvu#JffNky_zEDSw{xL(C>743^kSFt^KY>)fijJmiG!!7nV#&4jwhH_ks z(_uVTWvsa$$>pPJqi{es?cMIH2pkPY83!a~zp~=O?BRi($&cXIJ7~op9J*h2M|_5+ zR67BbsA&sK?i#BRx>gngOaq2jL|U|1^ zmhm}EnWFvjv~Fugo3+5P;7=ikL@bhs`%e zGL?WTe09luYTZSINGdjEv;l~kAC`#X@QOk)*`;imtu5Lpd#&eCcQeL+iGql{qT&xM zq~Z;tlN6MH(w46^yM$iF_x~g7LSks+dZU`&t9Vbg#%O-`BiCqC{lXXOa*+yntiro656x5+|w~Q8S7iHPIGr;PZO}* z6lilybYp;$q2`inuy!tcn7tA?+>ckkd2@vTGdNJ3tb+FdAI|HYRfA=&D8U9|0mV02 ztC!clm1us5LFaXG28lGkrrcB~-iXj-JH5{?f6c~WVx<`xNL>b}bz-mG>v%XMoBwEp zf+DhAqS3dFKEHNIgf?lMM^kY8p`CCOBvqqgOo85igE(6j2(qbLNkVeFhn8YQ%IWJTGZ9p zIj>`Uzyn*sv!DX@xvwvKdv3ywGNN$hr<&#lfWB&WWpTyP34JXcDx&eo(Zf9R?{*5xIHLroW;vdw?w2U8+a$KUG>T=#C>`{Px zwvH-?FQL-0_7=Y7S?Eb#vnlI#qIxiil}pWPa%D0?_0Ma+A}pC&?jYy7*W}b*pYuy9 z30R-D)dN2ZyZH{VLm~=aU~Y&RFW8#Cp6D9Ux zX4dM!3NeMwwT%m$ARCjxx)SOlL`rNeSGv_O!eT?uukQ8q+!;7&p;9MT$~R->tGbjs z+QT?V$LCVcQJT{$S6Y32)*tlo{S+IGdStV@oYF+e!4a59IbBQ?L zpOMG1zy;k76FtArrPmUqobO7MtR|Qy|#;aVQ(M{ zd;%*$Ek*JB?=ww9Evo=)y;3^TXlr`WZ-1_d*+H(-r>T^L49Dr0kG7NT0mq{w?3srq zF(MtEQ1I-CQ9MjBqs&JKI(FyG2D)AjnNDD@>2Jo8JZ*bAtB>Zt#t06XH?u^#UTwpX zI8D?Ja<%e4xiLsy*fUpq7Hy)}7~hP(Sv}#8AoB>a8OaE86sxi@Fk|Q#9u4zA?eNMl z@DgPXRKHqUT5g8zPFV(|wZvr%Zuw-WZ4IG@6w!wTBx8l3c8NiF#6I}p7V}6frI8l? z{H+I&i`Ku=6VNm0nwwzY;6jyoP#CG3Wc0~lNbNE#EC{#*v;=b(z!+;~O7Tqq#gNTB zR;)ou8$53}CUy^J`CjS{>}kvKYp2^YB@CA?VqZ+>2i3z0p+})enu&J0t&8y#0f0~lF;jQgk(&qjl zX2MK$LQ%L@_NNTSF9R(0JHd;L_43Ddx(ZQL8Em*v(ea-vF3w{ zg7mxCoLJqeC)o&QQQu=p=x{daKI~0N`N2e{vuD=t;D^*4u(5s9g*v(pOh_LJhkKXf zj7zwD=F@wPmny4(HBrPtoKy!9La@*isnD7CGabUq3hE4RlG>98{v)GYi*APs3m+3& z_|{=>7C8Ppghf`Sf6zZ=Vsyln^wCFlT=7gDuLoj9MXb5l`W87# z2u(`k61scG;RwTWS>$XMS<^9u8vTL<8xENpH+;J>^W3S_&$bmDrhI%TlF`Mw-S63bBVHWQtao z#(kn;2oh;%=nW}uwIuw-?&Y`WgfOF?0tXUs<+?Tw${s}DL-YH(0cJSAP^_$#lnNrN z9^BGbkqBrpA3yr7LSy0V2=H>?*w(JY_(t!9DjPU@AHGH`EIR>~e-Xj7N9gL~@CJU^38v9gftR*sUL@bR0=j_UVkqB+fBS$H*01+^{ZX%XB%IjHGDLk%KOYm zF6;b+8D4-h)t@j8AW@EUq(t@MSL^|zR5=(=C&iUndkVkkX=U18G#sc}JeW4?B>B`b z9QUCNb%5->rJ;oAYR&SnRHD^zGh?oh6pY!-GD{87pmjdVRXsxL0|%V4Fv<$XzEa&WS3sjc~(%^&#uNlJZ`6lQx_R2Y)B|e zW%CvRrxO?o2OwGV^Lu6{Wbm4oNu&(GWrK~bc@h3vh$yBue6N1+I6Hc}JLw<$+IoiX zv)beApy6AjZPcj#SKiL$Dfaz&^I87XL;!Oy=j^gJ{sRrnG~4di3ldI}FLq`Tob*pt zS9GwYWVysMfjXVWh@$&uZTut7vBU+;p_SB*0h-?*QqAGbNPL@d)OV7iNXa$qIt()L zB`76gGfdCu+%8S751u>As11&m*>;(=WpG8z+t;SiPfB}VfWYJS7ytGF|05OszuYS@ zu>X_AoTY4Tr?i6NGZSBgwt-*`2AY;kR&-S>9ahE8yc<8Jvz(dKIz;1HtV?m)$DyTJO=V)M z@5k}h-^cTsW5;#+jaKIF4OwO(pQgrYqt0=sK`Y}K+f7$j+kBR1o$DpG-;JXseqVKN z^PJHJCRS_M^oHgBM@OakFZ20uCDQRFe&6fV(x_N9KHS_RLQ`--j#+Gv3 zImhc=E_kkxxilI_GgzWX0W*5M+9r6obh(@bGBCekA@@c{T>%~1;~`E}reeGBoVm0~ zvPiT{4h9dG+1=4g4D*Dv`KKGoc@oY!y6QfgZq}Mw`q>R zRyE_8YD-ldkKSJ;Z&jr%ZB2^xG9tV+WL9N(uRJ34p%~FdfvpmijCcJK3}r&uL(#fs z!1GQ zA{dT@1d7N5Ibc&_{H!Gfhl*I2xOHyqyzK(Aa|q+C%{lv3URPr**t#6}DLzjAz#?2} zODQU+AdiaZ9CD7P&{h7oplyE11m$==H_8pN-l%VyZ^%!LLk>UbD#b55Tm^lgr;{^2 zyD&BN;P;^sGW@LTK%cWu5dmMk)F~u8ZAw9<(_Xa4E;=_eI>?BIVTr71v&A#g=(Wp3 zqhu8OY*)t3d*bqGDn}XGxfH7KhfC?5fLDpS_Wo@@sB#+BND)Y2dsi=X zZ_7}S1}^YSSvF`@IMON#7Z4!3bC7*sLX1I}BOauIxw*PHq)U7Yekn_X0l=GI$|dn- ziO9p)`dWui6m-m==yiA!!v%BjQ4EI5g~87LXwY4$Kk-4gf!v%~Voc`gR5K5)C$rWJ zoMdj3NI60pee6b)CZ|}x?O{)XV%-a{d7~Zmj{H9^_8o!tv1&#O(xF`mbjEi*u9@r! zv0({sj*wA2$PwOOt_!g$eNeq!+yYYFKdWEmX?)G@~n21i+0}edZ%dMY@s5Ujd znbsvdkUG(BU_XdxQ)koPWom1&wX_am+wE=pLVK3^+n#|Gn>UhVz{2lRq2buP$&e8V@F8b4=ta8)^YU?oPMKQ# zDZ^m6PTjBt9@YoXK+aUsvSDR>Fulz%uvX<+<9vJATr6`+-?M=#cDg~Q!+LQsgE3(u z5;WbJuv=%&5<$g{u=B8YV@3{SPq<27to1m(aCY7sf73y{ZNJ(zye!dCUrlBbI;gK#fkViaaJ29`~bV(has5Q}1OBj*GFtY{z$CuLik;5Q=o@mKx4=z`6`6#M^FCJMGp)S9>*FvH%wHgb{!zv#7- zY_)G%jW)g*;Dq62iErP|N4M6jNAV97*o@SeN^l^?^(k=)X%GwE-7NEqJoMW_kKm*D zDjuXjkT}}qCFLKs4_2mDwO?Yn1y|NdvS(M%Fdv&X=mCZZVIMXfHH~DjU{^sRT!C!okS}Mb3;#a9enB8{&1;u z3T2F$oJxX4eR5%WRTn7I9g++fo^^jkm2lPXzBBj%=ZJ^*Cv--1V6yL0tx|I?!LTwL zH6DmXW*|#)_^zi08*NwiPl7w^MI?`S?KLjM8G$i~9s%Vf>p2&rk4CXs&dV9=TDvgw zElMCuo4E0%S~%S5t4Q`Nln8?Lo?6V;fj&$n_MKV;3G^xYi^C% zK!S<1<9)vdf)_=KsdqigFvpeq8!5+&qwU4#glmUmhlf}}p8pTAL=J2whkISy@#Y$o zfGB+GUoQ(?UJ3{V@XD5KqRJDA3w{E9l|zlBH&qS)tA-w*`G-T96~KT|rEF(Hy?tR5 zzL-IMY)Dl}2sK;*L|TW`&zMboUp~c;^NY}0VP~1>n?zz)O0Py4V6jghzF*D(JqWYC zUQT_yF;$&n0p^C{Pd`Zuk^oe z^;MPEEP5p%;hX93slyR;4@eZMKyAzX0Lv#s+@%d_f;H9vz4%R%Ty$0LFm5TsD5Fa2 z5OO8_&;|sl_eT$0Wf58MsSt-Z7y_g~NmP^uAn7atsKBtcx33i}4VlH%ju@bcVNFE~ z?IzPqz!%VUmHmfx7qGM7p$I!Ep`^){hl-4XcPt2s?+u*Pw!o2D$H@`=Ra(wDt1qX) zzgbv_CInAV)z-(?=T2CXP+Hfw_+EW`0tjwr+Wi3Ro{!GR0`MPZ5T}g5b;CLPD;_jsp`jj-cAqBf{)~QWlZU=@wJD> zs4D0o6ymPCwjeaI;xZb3F~ainUhWAeFU6U1~ zR^@LJ6AO?+bV8G7?F+ZGFeTE!x{O*?ue`>eH-LGaq{p2z_OY*+17)1j1>oicAW70|@0gc?pZ*nApdJ(%u|sF9pElH@c{HtQ&#@Ko~(7B2@T@3%x2 z{pPpV4wUPjl5FBn%XPm8vL_4f-o`|fLvYqs!+q61@Ll6>3<}WXnc9 z4e(+rqUb$^DZd{b{`Y=lZ?k4486hLDDcLP04=Sa7pwss}~Y_W-1$pZyzO zpW{FHRsRs( zJ`(&z>jZx(2~AJy5b@koDop&h=9~)q0L}%4ecc_H{&vGs1-}mPu^1-fdPKC$5s5{L zb}CQ_Ue`^B2z;d@zSs)nN(_f}`3-m^VhTie9WzUgR^e8U%dhbxe(~GY;(YW))vk|S zN5Rp)=o6=l>il+=l+2;1(fl!pv;dIYUu`i=Ae)o8bS9ZqJ`W(yXAU8sFbI(R_H1Z) zjd!H+p%fw? zUYlm9xiWZ};&-eAkQCZEl*IMZ)PPFp~MO8;h#v1M# zMa9K&2N}YVvTXNc4WD;Q1kaO;Ne51opwyyGMwAgp`^?d*W5!nL>ygZ)irsS#yp^dZ zN8n9zw!W=Hn}@VdR^FCLtA=D`4Y<_@$cKj$Y%P7CzRVO;rW@Y{i=X=g!zs# zqjXf0^l!QcshxIwrv254Y(H+@nWSdEp>bVxgO=lsNs;9K`+CBL0h4CrYc@0n&R8{Q z29~Gb#KlEtt-rQ@juIh-Uo#lXn9=5AA3et=vV6$vtOnMnWoiUA=#-Xn@%%9Cf^Xm)N^LbUd?Fl+w&GO~6 zAG;E`HLuHrHipF5nr085+`quV7;8)k#V+awf?EDs-Ga>=n3@g7ZM5EBZ}kcE;Cbz$ z#oz^M>d?6LofUpCcQbaP^^5)@`{uFgRmJ2n_och}`kdy(a|)c@f*g60Q&VZ)QKBjl z@sNU&+}9l9uVUA99)I`QeruPdTMUp_gA;q)wQ>09Jh`ti)g^;nQ5qR>g6h}I{mttw zDvG%#PPMQwWl}S80T^pnh{Nf;6{U^u_hSENcJZoY1!eyLb~$};x^2n^@0Zj)_3k7LjCw;9TFbel8ORmV11+@wkwDc zMZ~Q&fx8R}7aaTem4ldH>tI{$07#1`2}j{@wt0{;*so+~NZorv^a2x5B$(m+~DV zN3>XcOD107(l2pXVl=epgDZ#0L9@%~tx#RoH&3$P?@CcWhFT5OLN)M-hmcu;5?ZQ4 zE)lMCtmN<}zKFnDzXz^a+J<%9KO`+KNFd7l2&Al|eYf6XodqNx)M^ts^_zLXShe$` zTQ9guw0Tbf3CJe|cpfF|H(G8^8b4hy(lPkRhbvAhi)`7qW5lq-_dk1Ydf zW~*L;T{abL4tkQ-m!HGj;$l`#Esi;_pXIr$G)3AH`N`(b#{KC}D9VYUW)b=jiSTUv zdDQ``kwtpRhU7^v=n!L>MBsEqu*&8{Fj7x0o!J_Eg_934;WHh*O^n;IU-7lQskmuo zFoV}of1MBKf8>H5#<*YF@`_;F>dvbQ0*i-Y0RAGnXLfX15;GEFX`>Ik2Z|{cbzu>& zA4EP2kJ3Aohq-zTkAKlQVpA+tIJNPE+*%lo)zF)j#DS5A5R`Qi0bV@c+dy2xBiyOB zW2?%=pe%xmT@w2xCQ6fgk%rYo$~Sya4xSnb;kJ*fiy_bcv&P#9fUDoYN@?J7JDG^S z!0k*rs+R_9ou%qaV375UvlEX02z9gWae2gQ+INvMx#E-x-6OIB)A?%p`E_%9Z2UO@ zfC{^d)x&RnLeGS@Oa0ihbjW*JRSl3~SKD*Ec=p}fHqk+Qc*;5;yYFb0b3z}kAFkX$ z1vmFVl4$?u5mt%TdI#W5-bd14E8V_6vQlobb*+s}^t!PDe`3V97&;<-JZQPPZKM9c z%rk2m^!j~xV6XZ|TPj!Z2>lGkKOHNjfDnKH80nf0x|cA7*5YhRp686BYUFK>San9% z3(d%kt4r{WKdO5f+mG~*+9V)n-<3m0P-}U@93hA7F!T^C&A0JIJjtcIC|ZSmBCSSH z4)q5fWbcvn|5*>2=>Izx3e5lPLjJeR6YB2e9_De@@S8|dPaxDB_}L&^a~7hbdD1= zWmMy0(?oJJVoOSNP0jQRa&!!IbxQW*VpL;NvSW%@(qyB;W71>*4i3n^x3RP`k|an> zRtypnP}TSMqoX5_@U|h3H<2@!bWX^lG!hI*fwG}85#urnNSilz5=fu$wlYX~u?qq6 zlh4h7`S?Xb(4Ei3#eDAE26b$VPINDHLH}uGgISYVV|v5i#zsbUV`n_i1%WpuPA>sB zx}m=4Gp5?l2M`Z%$2q$B!&W_HpHBu|<1R;@+!rs-R%*T@4ZQjv-iKrfr>@yMKN`Ml z4R>E*bX;!d_I$=UhjY2I-um+&h%>VB1+bxxMbR+J2>*!UVYA!aK0&^6>qp0(ZG_S?t&vJyHcg}a#P`V=l2_9UBZ^|9KjG=r$Cf{-EeqRGM?}RU` z9<{1Zo;=ve?(d!N?eR`KXMOAn<7Qtdxx4l3AOZsP5VflO8+!g*B4MU~*gOAk^hDo6 z!`a&}{!jEYgFvLde_nsEe3`=i9~xof-@n~xe-Y-~29AOQ;VWW^)0h7*@TfXt{{IP{ z-)tBD68~@T6rkhyPb(SxHuwve*SWrzg)+}R*A_!Q>0_qvKc8nLDxHA*n zT0PuaXY95Pcv<5@Pqv|Pw{L2M0fZTB{>5*biQzvq!2jPf1p^!FKSaOYsZ%yMY>2@x z9uXar0C%ZBMsU!;Q+&OV5?}`)8WZzPMEKo^M(%qpc+alP;a4KiqiA{wl>?Nhk(WMe z+mCE19VH7BKiu0pojiP5+dDcocr!WIe0*R*QOSvEH|+1cSgw!FH0+Fe#Z z-9E2p?+0&>^QJhoLkvDmXmaP zxYJ}WleX_0bUE46csttLJ2|>t?VMS<->wo~9v+_#@A^GmzOLURcb6w48xIFtM+0Y} zdK+5VonN1~w(-!e;FD)l>a4T#lBNr2)4@(s+;1BPQ7S+BrHPa~@ zH|tp|wXQd|K0Q9#TUj*~9c;thA?c$u_10_bU66(v+KVzse+N>SQIAou(eOwFzz zDp}Re_0IKWIOROVym(=iMPWrc#hs!SDchA+orCnp^vCw~_Vtj!5HwJQpkfc%Cv84? zRP*cx#QhWX^&hckcM{dG@$+V%#SN>Ot|go3Hy4V_S`b6c00!*7ob27$UMCareA50h z1c<4qAQNaoCh)v8YFp;)W(+p+e0s;Ad;NtpI}oDvT@e9f5e;QwnZ>-qYzdwO57H-T zmBn1@U~15^4b!JgVGPQ84WkC}8gbkGz^o2&>jYNI+BPSGNEy?H(lAy9B=gJ-0yPmN z!ux5Dwa0pjoh+{w1#1QCHNiE3jLOUUdQ${@4PdzYWcwHyc%F>jHhjo@abhoGL|KH# zh};dZ#voV=%oXOCM!i99ofO@aZTXqJY#~)J)lQCPMQGBn`qHp=GyY}%WkIVM^wn%y zb}P})DgNtW@$2^0y7+f^gd*lJMTb-4P+iJON!m)u`F7JP&+S@8)=FearA=~~>e|@- zVP1!!IM56tC`ouw1^uDs{)nWI?d91GV5W+=v@3?l)HPb$iq0*z$MfdM_OP_8gvts> zB=b!pZIxzLV@nnx;mLBbF3`n_`dkVq%wWprJ87LD-Iqy6x8pV7?`S_?oi(OJo~~Rr zNDs<%1(5~kArJE9EJJ+R7(h1WnKE}+smWpzlL38W&dR8;_bAyz;nLW`=E7z{+9?AO zjUYHf!=dBhh-e(!lbDtQgz&YnL8GDs{h}2Nmq{j#<1-pAS`L@Y7Ho+z9I<&l(9IKD zsCvHg)j1k#=yP=KJ@!$B%>=eaj!g+%*Uxbrv)FuUN_n*z*%^L&iMajx(nv622-!Hq zPV&fF#C_NyND^=ox^#Kg+3nmep(Kr(%b<1-*r&{ND6;HWtG&d(d5ab%5=@Z`LQG+c zj^$)y@r+~p3HgaAMTyXf)QNRzOsq-@S_)csgvg2sQ!!JQ8pr$p4-QaR%uG#;O-(X{ z^j*m>^WUYD)K?@cUt&D2_HL$Kdyt-=3hKljdpLKb-e@x@GycXK74SvjEh<2cIWm30>!|FK{ zs&JRbw(qc*8R!xCURTpEPCgmb&m1Z?giZ&UPhL*Qovy7HvG802}niqyQubLd^kH2^k+Q8w@$uf zTr?{pIOCqvx~}aes)Fml<*x+(rt%}7$fVAGj4De?*9!Zz$T4?BwQh}y73+>V%dJ`| zyjjBx%g8;=$Q_$TfF_bu*gCAB!c7UB(#C61D22wgLYnVgo$XbeP`;vm zNPIuG8@#np7nT{?UkZ8)4>avNyD;OHI;ivX4n<%bQ1_ElNTsZyU)r52*@>xwW>2fg zWHxmyH@aO2eQpLTGdU89G~5ZMrstT(>W#NA2u@b9RpE*lJ8?}Uuq2cok{~U?o-=yA zUQD`|g=p_26Qk$w%iUQ!*DJHKyIuOdPlT6S{H$6R>H27;6yS~q@0#zIsAJ^7M$lsU z_}n(Ve7v~zvR|qD$)XP~2DXkqPf`*>KSB+WeSd(}`%jJjrITU!k0t*9rBpI7u>5D< zjZX4}g_Z<-$lp@gApM*B`okDLq&omDAxJ@Rx!&pW*RYsiGMdlF*}D|dKDyO|^Ga98 z`J;7P&CA3dS%BM)x!m%9%~ced-pBRwBZa+31GcWs9@gsA6?1_Ul2UoPPfZh@z>`lrQVM0Lt(X-9-A#) z%G;ZdJGbn`lSR7C4+gF-?Mcg-&^jL8actxBTW8Kp=#lyRZIxARy*p3VcUI2H5o7q$ z7S5zZjJ@mT3|+~U4X>p{H(@F-E|`dSf1I zX+$q_8l@6&8IU4MA(FW1pC^1Qeu6+jeFG~0Dq?U++(qP4bNQ35n66@Yjg_z{Z2#X4 z7=!aL24|}cK%SE5_2xx$_@O*!ZZ&A~WIQCnB$$l&XZ-Vl$^d1*0{AL+-QtfvDPhBT$u@EQNoUOT!R@ic7+uo5P%!Mftm0?ry1;$2&)t7h-$9 zTy8lFA9E2x0c{ufz2MiOkvuDc9Jv*Z2dOKHkvNEv)XxfwpcfAZeM$!ETF+KszOh7q zmdEAVvkOCTGzMW~YMogXNgU3SIG91k>(mtnCSCt70#z;pA1h3Q*N_S;A{(5CycVWw zMKZF|ZUo}rZWH-H*PUG+=GVw7 zCTIB)M|uT*I*3ye;4Z7&lzd>3@{%!(Z^@9Jbo~b7?8y~~%7YJoD+>XjDtv!Us8Ut= z$Xro1XK91hYjg#}h`Bfrnp1Hre#@Y+PjLks(HX1VIr(wL@BeN)GC)8YM`F6&b=uEl$*^jePk! zR`2+%KzTH#RLqRK%Dpv&+f3d*qGgA}ao*N50db&D^Bk$u&em>fk~O+R^KFJxI;qcvOCQRb1)LoZOl9 zN5al9cyQn(znv7^IC00J`uqUnDJw$%+X?j_n`>!f8&fAU27E>~7Dg7P|BF{48xtGr zKkgYXJKdd>R=UW&o_WQ@?&GX1>$x`&>#3vQ1(QiMl3C!IjR}I}aSqT8v4s5if2APy z7jgF&X-7biFhj_vE7BHuapR^~lFLA(fyXOKx_5JnD?*86_!ilrzw;{;W8qSKsRAfxp;8$n*Z$L`*) z`^9!>t>cW1_XCNiMx*(BRpMBBBR4RjC%;>@I)C)w38qJ(qUcnaozI)uU3qdwrp8M7 zh|FY+a9!h_Z@LyRGy?Z}d-p;v`FNM`)3__~to0x(Klw;T0hwKF_6|y)gs6ZRVsHz( z$kdNY=SVOVPVk!o^Un+~WVeRzH z2M@6`|Leu~4q>XOwuz`-5p)sLhi6X+FMn}y-4pnwyO+~@Gkq2OQ>8xc;Kkz228g!&kTO3cbH?2f*gq>nLDZL~Bkd+z#7z^Aay%G3ZqH%IEp|pIlKG&t$^%!S8KQ8w&@kMs^O`sfpfhn?%U>@*hWH*9dUXkHf!P>wuN^`LIx7+kg`Dtp-yywu3ocZVT@b=SrBjG^}?Oi=4&H*Rtc?(YP~>HPt; z`H~tGe>T5G&`E~11-wq`C3Ypju@sr|7JDieaH%Wy;n(cBmua$@5fB3S&GtcTyuwFw z=?Z6dV%Y>-DX^1ab+ZEFXX9=D%)S&u0Ole2;p~y+GM$<5vQ10W^~C8#Ck`5W*7st9 z^ALD5b#3M=5)(atQ?wB)1R%84$1zfV+xD#1h zT8A>3WBdyC?Z%4>xT;Om4DQg68CE->KGFdLHt42ks1+aq%+rVp0`46)cIo77xLII_&Xm#-u1@sf53 z8G}`h1Ub)og^qk_hND>Zg%6|8br$bJzoJ9t3rHW{VRizGo?$K|`R(0|?aV(M>qpaW z;gi++h2ORX;>O}=?wh<%2kuP9JVs#M4w@cpx2V(YveL?Lf$kTq_)}jU6Yn@#rv4a4 z9WOfoGteR7dswrX{g0P7T``hx{a4+5u%pLq7x?FUHlQdTHP5iTfb^0G034x1lw|6y znI=QgBr^%#P^GYF_0Z;p~5CzB_DQ8gnq zqG=@&*Ass#fB6S7!91YtFGpt>yGrAF?Gep2vq6g}eDLn9B=t7XBfv-|0vjwI2?c8*pk z=G)pqzk&JEl*;25LksNG$Tk@x`A4dcrt0r!rA2LO)U@+A>n(LR%cJ$uWHD#BVk4j+ zR0n489r4ptLwuaOr_UOX-)U#7+I4$AP(NBWh@4Wd9s6ujO>o3w9bs>H+Zy_qe!)+# z#2DP-`Z&F}VT8R%7@G8MU#aDiV@vCRsWvnDp*`Kf+M1jZ^m~k({gJZyolXTeR4ChO zZsZd`MxS&e^!NXt8;6O5;^@7*AN@Et&XFMRffLA0qk-st6xa)>_*4_Er( z^u3ryeg;3e5*+*g2qOXJ1aDKlZ0CcFp4Hv6L(KcjlZ2g@kr(G(!WQL$k$W@Y zQ$aQ)ce?}Z57hB|*^2{)cV}BUJU)%!!yB5*1;ufzg@Ahhd|vYkWEqevJrzX{`suq1 zpWL>3M}!qSb(AKi4J1fE^;#$;M+84971upfK*&Xi5l|4EypPC6ylzyXniX(%_>2>~ zpQ?Pt|1^Y3)URTX?#&>WE7QE~@I|1)BO}eC1omec24wbDEj@gEgqv}(vc=k!R%-fh zB)u+azmn*zwG7t2!TKZ-yEg{e?!hs1gpXbPGGh|IgW$OSXy#v6^iqu3IlfZNL;LP> zxWRo&G;eGH0Qua`6v%zJJiA;1a;|2b>hn~ncRHX|s5jz}NIz0s16Jho^aL#7K$1Hj zu@Ob?^0|yTJVpPbFO@`rvPY(Bd4#SF!_8fBSFwNa9b(9@oppszh?AR_*Q3Wt`4j%? z3z`v*Yzw65_>O0Vt{!^lZ=dyX%M=f;<@k0?o$NT$T*xp1t8K=QN%#ykC&Lj0P^|x1 z&6SnJ(aFI8`}bNyf9S4C1mt;NA+C0l+2e|Q6ZM`ttLxc++3ACGQxe}f-7Fr^uO;6C zM?(_g^tA*5Q_p4`v*O~H%TI96R!?f<`q1h$B%qbGzDQeYDJ9JrP1$jdWJ; zLzpXTL@iAcAu4V2!jl7ySs^B9ib&2ASS{>t=&R_WYL3-+KKGl2dX9k70QIp0lC7Gh zagM{`ZnBG@l{`tNiB|v8Q!2UsvCm zG0&MtM8Z=((ggjB<_vIz?v*jmlICPs;hZcc{2N^m%&;aTf@AS=XMUE8ITu|Lx^slr zg_4y$0m|Wb;-6`AUP@UVp`3Znb0S1nzF~lIgESkI@Cq2&kY!NcU>_8aaJG%PxkF22 zQ2$F^3>qK@N$DdccQSMglDIu6E65F}3V#$!NStYlg5p0YYFIe(^$oRlWE$V<+TSB^ zk&@fYR0Tx|0 z^Y@7vNBQBxj~86Dt!)VhoE+O-CC!_ja-ABD$a-4hXJwMU)ueoEp?MLMR72z14DK{V z69yGkRVsh9Fhy_3nk@l(P_qGsTO9Mbrj5wC2RRI%^6_9xv>D_QIV_}FU~_U?+K~K@ zv{@SCoOC>25`Ir+F<(ro&Y7o-)*$(tX0rku{ zSrWLn=1-d$LLsk>#M+QqGrJBLYvX|{nMbn4b4X@voymKNXKk<3qTrmC+L{UJe%8kE znsdQ{i(d)24rb-kN{XN;4f)9S9irKTq6=UH`okQA;QgGkqnrzN4OGJqUlc+P!6!z@ zVtS?|n?}9XrJ=A~;XJyqt={_I#E?hlFgH#&oaBf@+$0y?~%H18yYzeMU5 zjx#*oIX(>LOQcJJlFduIRgdo#4i9M67!Iwu<_a(MjsY+%`8cql1K1r3k6%ed@LIum zni|tC^Z4t5TMJi6M@_(B3UEg0g2uhm_f2aXxBL7gmTVv73 zxpGB)6JHxh(Ob8YXYtX6>i;#*Y+@8qh*L0`BovC%p|=u+0O%p?U7Uz;PQR?!-_j&o z`$Jc3tyQ(4ue@$=OM& zsp*k-7Sl;Or+6bOy>_eCtX`HL%uhFqu!!vHS{Ya`JH7Zc&*zxjm&XQn!7^lT=@WBr zSbKyIPRW11h5=z^UfJIA_ir>}D0xw+gzworQYkBU#}rRAt>^F8Gs?)unIzt$0QE&H zWWp$6#|C{Uvq6wMMa&ti5ZWnW#`am>xxk`(*O{GO#0u{A%uR@9qb2iPWsYuc4%uJT zyVW4qfiqC~*gjN*Y5J8ILOf?sP&gn3D|D}eVLJWoiM9e%*`F;A!P^Lv!b)-h9P!L;L)HVR#xdJWIpzT#mm=yyzf?wv&*VuwDlLpMTG6j7FQoa7#%J&Gj2t z)&K+6c!^$7QM|16m&(dec*^7jWd^P=Pr+q&{8Pr~2Fm6QYvZfHq2Q@?zE!6|5F*U@ zzdRZ;b(qS`vGwQ_%w3ncfeuAG^=d9+l(Tsj^Y=i-!0$F?mLQdxUFY0))Olg#E$>U~ zLc1`H?7pWLFJU2N!lTC{uc^{v-?s>>K69a5Dm9voVs z8~8En;CrYh5KFkgl}vwgvv-E5H0B8fGl#+HmT(}B-0(=WsogddjD|wc9y_tF<@;M< z6Xpnj1R;$eQIW3*2kZ?0;qAefdAkGX@2T0lq7zgFrF^-pB#)y?IKh@sWYrZVBFrx$ z_;3*BuQT#&&cEGpW`8n`-azlNVLSRFr=qK4MNuV`KnR?l4zFr%iii$#X#eezqla~7 z#_BDA<%NAT$F`}sLBJAajrHceFU6}xEN?$x{e`Uuj%I-}7YJ`*6ZDs8f~`;17BeV$l`!Y34A)6q#WWS}Ph! z8?CV+CT6qV1{Sk(R;s|TzNOrvQ<~rs*TK#1)q~FUK$@%$oPK}jnT|?%74&xRTmhEDJ6@2#*EKYz%%QFPJg@%T`hskgqD ztMynWmvwV!8<>+oYb=eRXYG?r=CcNj>z>F9tK*%p^~k=?wwo8$f%D-43;OU<1&z@x zgTrfAXblQegIL5YWY*ggnOkpvgwC4{yMXSm;Pq4|;o>d9!!HDWn%Ogit~zfEwpAxO zBVLASLxJf+@6Vq;WeTjc+hIdVK>-K334({Wu2fYj9_3keK(J2H`cdj;X&Vu}V+K~C zhETRjPYf)blE%`v6G+e|lgYFQD~i-E3wRn@)z=zl%&Lb&mUuKZXp9%<)XB zFmF9-|4Vbqpv$v2vSJ1*4*nLL5>% zpAVIgC8I(UtQ0U*i(OQc{6 zdFkhLIL8=A#9+3nb}Goe6?9yV*7?{(O1H-FLMLKX!m1^F_b#ov}R^26G$UvD0 zwoh84sF+b+>5}9?35#CBC($X{fm4Z>Q!iJ_a;M%CSjHctmOxe}o;+L{1^#1u#VHnxaU8*Bdf)6(v8 z*}6)uxZWzIVVNq=RcO6+Ii2Zt#+)&@ZN|u@7nnSTQ!OmtrBiYROLb{`IRi^7jmAD& zZ;)tmcpuM+E{pfFGE8fvY6|;!^+hn?@WROs$9-B8*sJy%l!h5iWUO@>AYI57DpeTb z_^e0?veFZ)ejcRyNk)nmu>z+#LFcVaO||y8bb<7hP9qpm+Y;bui*i*VWR0*PU{_fj znV)Y5>#J2PQ^3if!&G1_v`luOxrfm97~B_p&(Oj0`vZJp>7^rwyTf?g(fLuL!QT?AQcowKmeEL+=V(*p*cPb=UTv3;v!FA2Wx zNB7j?y`nqV>(*n5Ta+Dyh5c3`mk=m zx`K2nQ1TkoHfnDx>WV@7`g&WbD=O>dc0FqauY%7{uG16(1vqJTp`!hl(ONSEX%h$O zoK0nt9Hu3FqlQj&b9k`u-^MZs<%b)Er^nMEfgyFTpmWQkS`tE{%NwHX++k z&4_6O*tqt<3+_UVzPt@Rd+^AS8b<9*ja*Ootetdaf|rvPbPoG4HF&&!;(wc6uDUv? zG#I8%oxrxELX&rHSehS)F^g$dB_olY3d$+s6mkp`7AF04Wd%8I=(&0^|ykG#yJ*j5+=|Q+BQEI40 zUk`m{>+G~KyMMn#-vE=g(#IsM#1;-cky~ZR$sE9jd#0Cd$6hcC_rlz{Qo^4ujNh|( zym>r!%l)z{l?CfXdt;*(YRAPJ1iBt|<*EP)fsn!QBdo%96yeTlBZFDkS>+syNsJ4J zxxi;&*J_;>T!0drK64!HLH`}q+t^=w;u$XJ)ehVR7a_Z4UjWp-9CIZ`3J&QLKEQrI z^IKm$?G&v{49nXb@SVn4fq2I2r9JE5a!Wx8qLL2Z=U_KV4cXDrPHCN7|JL1-x~G?i z(SIG9k%}aoy_Jn$|4xc6g0K+mqA`xoh+ktP7{>w3*;c=%b_&#lEEdhAhK68Jqbw=W z={U`U*v>j659M$0kf7Kob=!3X0(J1-roh`O>{D8R{!g1cl`apa;ms>-@F(CFQF=E` zGiRER9C%Fagt|w*AcVoiUBH6!Y%P(qv>Kj$4IXhDW9!!powUxFXe(rLTJu3OS=g6r|!z*~gB2Qp`%lP@Hqj99)MV0{=4RY%gPv zte~t6+Ds8@sXt+jQ$P+vsy+f6a))+zCxh>A}<~C`M^w}p2 z;BvE_>2iC$?%@Nr7t>aF!jY6p!_G{TsXGtC7_AujGp&H{{~rFxS{~VZbXejEr!35> zvl~?-gB?<72ghnSJlv6;wiw4HE%YJ_f~Wz!4-krhm&rH{*e5v5+VD6H_kC{Yvg>N6 zmnfAwovUX0bj~$loc|lS26Rf^7^cUu^SJ0wHCEX8q>5L{24MO8S-+vpiZS`r8awQS z_CGD(?lV6A8n|q24%>(KG=x0)p$7VI^&yXUMB4DmhK=(RM}OWlxU6|0JUReBXsDQX za?vnrjYsO4)vx?yQbq+n2Y;w5T8j>%w4{UMIwZ{MPAR5u_%YVaPgH{^fD;ZjztP|H z6C%&_=nbsHVtDLsGHM%CWXv=kKs%$9Bf6TWqSPMtIv3^+d4)ejyz4#E=;l`r@%b=2HxtHA_y`!mH=uW_P)B z@4lhyk#O9@?A<<4k$(XmFzBtvzl#TmmS72nHWK>Y=S{?dB6#*@IYqHl4SGj>j>m5~ z6{TiT9n^f{twLMx+&SqPvx=1UCKG4l#<_mv`w;BHiCRhJ81oMuPF{bHX>dNa%HXyt zBlhz_HMaVR(^v%zSVmS8-(SoNOGZ>(1LU7cf5B>1Vuh4B7GlOOg^H3iu&{?1roF1_Q zH>jCc*Lne4_%hH8j&wD)pueH-7sHp&zyppl%c1WNT})aWEzBNtC#435n!K638MV`A z!dq!{RC1{xWUf@%(~p0DVDIxKr4X(KM{Tl7XPUeH&VdGNZ2Lf$5!pZlonBsRc7lPG zHc-9*T7S6SggCHmA+(*_QYFCtE%6!FLMeG!+Ct61@IT~#veltdd1l5!iKgK6;^OFJ zokRz8mNLep_Jlq3=ds}!{9iG!HU`2mAdLZ20{`5J&l9vM2>wU#)!^qr`h_4U4Vr@P zAWa1Ud@~BDs5}baEIVHYJ+VYET2>YfCSo2IN~^0NNxxW>g)R&KnLBsxJiN?ZfxrAm zVYAIu*d~4j^lQF>e_l@8oMVwT(j!ad*}$%i90^{osz7H4AF9PbU8 zV6>NO54>$aTcR;<0&FUyD<1b3fs4-egb!6zfmy*6cciI4=owzq9827UH!|h-7r5&- zJfK(WgD>wMy6f>bgqRHorBxXX{h2Gxy@MIy$ckL9<|^KKhl>S9)La)wV@9DBmo5SI zxpO10|KSfne&!6Zwn_E%et%o91F14Lt4qFk!tLD_Xg`U8d)OZe1P^!m<5Fo4hrWl0&Lv!nPI_XoPViLcXCSY)kt^!U zTfa(%F1k8gjaN2*uTklBflX0g#BY%A9Ju2&+}d*PFE#MgZTN&oZ+&UYFcS#-Bg}$B zJBz_dplFK6o6w($xLLuq)FGYE8#G&EF(0^UNpfI2QKr|?bnrh%{$K^Ex9(cQlB)>a zfTipAmdXyn4X$GM+k1)4^)kAH{t~g&{rjoA+bo1<%CU{v&IT|pI;bwHms&_Ip#uJj zZ#mRcQ&atZ*x6Uuhc$5bqDL3apAR3?&YSl@cw(Htkqy2*-0L9GZK8ec;}R&mMlN_T zLs4tQ7$G@OUTqOGPUBRJ8Np8UTG``RvgzPW z?OdreWk!d<8~QGeu^BGP%BS0^^?e*F+|*TLP4=dfY6I%Lq~G!`a^qXP1XiJ~U{xG! zKOwDZ!fpDZyH)=PPf-EUtW5xVh4AU>$QNZH6%h=g*1jf+|aGd+*(_zQ@d;u zW-)Z4ca@6PdeebJwids&@o}gFk<2nK45doNGCU9^v~ zVZOjR!&C3hD=Tx0t1!4H*SRN;rldxBfCvqp!2Tq_fxkjLGaKT-9yuT2&_O=@Tjk_Q zo8)arQ$u#mi0G0t8g?NH7O6T5(hF2Au2KU;uNE)wLYqxiu;A$p zVk8gxJiy4PS{lN>;V&Z%jr1z6Qvx$oTVYWygi!2p@6#*KnVb^Ha7NuOcn9SjIeErv zSbp{$a1KhaZJ@=32y=+Z&&RFG97FJlxcVhNdcC8N)P@5PY~PJb~1*_Ff?# z3yp_pbOKgrE=a{O~afJ}qHn2}DFZM1)X9C)m4v5A$KW&2kbf$SiHC zGo?gVqF#SEGriJ$=r+CSN6VsLUTQM5p+7-g>87%2SDZdrZ*QDoN`~LsZ>@*u6V>*0 zD4~O|&id}=;HuM)pBMleWK8aS6L^Q~!sc=pSJLqQrJA43@qF=VGTf=itX*4F2^=+t zYbt9>(OrFoL<(VzVHJE&hEhjP9JaGxMY>iezbn)gEJSz3n^-&6WHx_cG*}-FS4@#u z;}V*#m{d|zB9$g9k`H+P*)5-?siMbRJRvmrfY<*(>Re3N3{w(;8vP(0X$s_`>V@!N z3340TQYQE|Hk-s2Cqiw^j~-1~3(|=tl8R82vvzzKQP0zp9)fI6<$mH+ zM`1e^L)B5pgI+CW(_kN9o~S9RvBO2NM4dL)1^WCcDa?(A5f%_7TR-q5^?v9wnN#MD z#JQ73E0b87F;zO@Q)kfXU@ON(yfF0G!puT+XV5|~&)fxxWPwAqtLy1^RMZR$=t>3#N8lOH9)R!2JSMXTU4XDa3!ddHJU zcxc^|aF4CHGV|GERvQ8@p+)GLWuzY*CiJ{Eo44CU+=RB#(iTjkZTFPe|I4Gql#ZH0 zDPNWpK&TfNV4D$>qtZ1xCSz_Qmqwss#j$-9>gf-BJqwB(Qr_ML?%Ji7I$104sO zT=sUvp-X1lolQ>k2XxrmF;`*9WAly6*~h`B^hdf(;;z*I7K2UO2%dV;m*SULJ)gNT z`_)cuoqCd_28$!LqF7d})mqiohXf)Oywo1c7~8Wulykfv2S;}VjAD`!9M@jBXIo~Y zHQix?&nh!#jooP*>;QqePy77;wh;{1C6jfT(@Y*5BwaEA5Qm9+W9C25_3vlCXZlsc zZ}xgNzIm1}cRBMp$;LDvN))ubO0pK{v%SP}`eQ;D`wws#b)(N6?5^gWJK{f}h;6h1 zT@;^SYbXl;$R#Xl3{HjwqB-FIBouD;eIi}J!FRAh`c)(v zjeG^x`(jPOudjLHF>oHflksMk54xf=zxb-69(dsgPLTSG`!>+fFL0gGJ>K?oaL@i| zG9BDcV+}pBRH)6OU$}=Q06HnG(FkDB?yh{_iFNiG|FyOg2UG7586n0x>Ke9NuH3Ju zp{7#~B7g0*Dw&p|RH`zAUSC#RRaI7ARAgbxEaR(dCc+0Z!1xjy+c-#!x;EI3RFJJ8 zr}^?Hk+|xsKXYwHx?9)9T{HHjTwi}?el!i1(*q;P`^(T_&<#{e+TCxXi$;cO1Wr)i zfIb5;Mrmy4xHi-9O@UQu&4mk~=KA&Fx37be|NPJ4o4ug9tJHq*h!reLzInFo4xf9M zqucCNR!6x>=G&16tDwzRBrY0PFM)B*)4Na~A$~|TPPQJ0BK`&7rRe<<93c0npgSk$ z2L_3VWw4xR4sdKJ_>pu{z+0nDVZoj`#2P`@VNGxgdTSdxX?H=!mf0a)AZ502D|&mE zmD}rUHb?ZFCpdh1wce!hxpPIi6{UTQY1MJG_oBpk1-Q`(bk|Z27`S;jnF<6_%=#!L zqWTaL@54Ct^7Hj$Sfe)ib#|pRXKGn971B3^YHM@i{w9AknxkP`S)XrmL0-<}@k#gx zl&fttD=*+zP>U0#H1-!pIY2X-%j4lQ8qpbJ2;5zlWr{D3N|PyYB9jdH{Q=Prd|`hm zvlN_YPDtQSqtRIKr>xERv8+7WmR1(R;kU4Xax@$c0}rII)k~H*xQ%4sHaGxVqA3Xk zdBjL1!r>N|^kj~ZBeZ^7sb1-_${D6m9tT@cIGAW|2Cv~>a67sI$}=l~30=f$ua6uN z+98fS`3Fvr^hdQ!a1ym?QKHS&8@G1lWjs+pQi%^{M&W^LDf%bL=)TAOrX zYe46*8gx3T!zvw<1mF0QOx)cC=tuQ35~8%e5I^mX~{7MbZ_3yS;5>WxGM&LI1hFAj@hyFO$S^*vtw&wi#dFz zO|Mti#4R;9qX$>Tp2K#772UzQsEo?RdWiRwf?=7F37d?@@br>`0!P>}u~;b^F?QhZ zXv({q7E<8)F(`@0*JNslgP1Me0whsJA|fQ>$QGyzm4zDX@pA{)+GBoG+pfnyGIM`A zu+jZk-cZu*NzmR7mpqr@lv1WU_I_bWlm88_K%e>4o=)yK_>xnc7t*6^XV>~5EHCke zC7R8HXW;fI(3}AYW2L(1=;a>|R*d|==3UFST)R$KO5!`xxa-cUO-6$}X6BfGIs?^c z|MtVn*SFZ|Z5G36pgysiVZ$}7rm%R56%D@ix{%-PK!f5qh7pOZhe?*y5~`u=LLs+e z(j<32wox>0%{N2L*@A-ys%!BMBwn+MH*^VP=xWhuu^^^KRkqwDOP>U29bo%&=(U6= z+(dg@g5f6AVT^*^@zBbVk98LC#sB*6Z@N%>j|J(z{}%)ECqjFe1f7cm?&3xUYjDZ>vidd}sR+=`q2^d%r3-y7wy{P^PEDq!QH|70lJ{?@jhd(AOH^8IBAOT%g61_t*SiDg$V<97XS_|i=(V>#Qa0{e@NeLvy ziZ7D#MKBn?y0vK|*aanh5E6K-j$0ZloRHL9GEU1S=IBDOVdeET>o&x4d!w1(pSf^N z&;A6HNRxM@+Y^fh=nEq?kzmY2ABYZz;%A>vya{H~>-}M=I;9%f5Q-*|J||Zm7k%Ps zj{1hQPgfdBd0(*$-4L%}%~TDhm2Z(~OS&ZznSyC#O0vOjpEPCCgM=bPwZ>}K2%8j; zSUk^Me6_w|Tm&*(z7MKY_iJ>-5WCVsB_5Vpwpv0{n8v!m=8ustWydmfpvPq>53P^3 zA1+!Pl>0jtFEXml=oE(3);A3xxa9}N$_;xeK@zH&x7zLQ6x1OT{@;4K zNL*rObxEkDQ6i`1RaKoHyS;NtW8#^F+RVv6}wPpIi5GcOR^IUi)6Z>yRd8n(UYgIV~4qm^LV{J zRp%DY*Np5xGgyl4^||?)RZxS9(FaxRVSKL^Kf2Ny<+$(+V2mo!BCvaKWH9D!jMLUg zIQ+{Y%Rm7qv*D-0MWWxehMNWXwFmu0T*<1b05yZ^CKy4(FKn%X^7q%5c=T8Vih#D*~u;k~%01JuuFE024#8M6R>bd4+RXe!L@WUxJE$;l}JdoWzVLV0DkYVFIHIKJc)o&B)x--5Ao z*P}kaN``ilrWIBC4o+8-r^tiNH06$`>>0`)4l|k|^GdBw)!)NH?T^~z;X_UM!z*}_$;WYecik+o>OT8Be6ixmCJ#|7%fLc z$;{HUx!7z1^)P1v2i)OM%8pKhC9yy#ie3PcP@02w(>}J4fuZVY1ty4o$t{qgcX+ka z79K(y;~q~8tVjRm^B6^HjxTeUXaX*kaxErx31qfJW6E-t9(fJel!aCGy}@%)cck$g z321zZwbNg@v=g0Nq}MSxEuDk3+K&H{~%Km z@;YPif{cjf21>{A(f zR@~C-rTOp*_DqDN9G`_#x@=iHn|%4e-vjXoJ~_k(mnXPvytO$o9YL0?QmlKxLU_PN z_=sK(WDVc88W;Wm&JEQ&B47X7)*M_DsRsw$Q7K((J|HV=G?nDF0wl*cNrIb5j+dXr zk1kFwgGRwzUlp)cmw0lOM31sg^Jffm5U97#Qkd5KP_P{CQMpj+_l&0sK^Oh%C<6857VIU-qsGDx5Fq*K0; zz{V22%0%Rogj$WRitT(2S~*{#L8A7vs6Hy<*FGAUKGWP1@aDQTX{Xs7z+4qzDJnNN zfaTWw!2F5z4EN{NWUCH&8%#%(>G(>92{VeY$5=aNjAZLrvf5y*{`sL8m;nE~;7*72 z3G-SYZiqBFMh2Q)F8Xb6B-0i4H8#Qh!I95{0jLf}asaVWb`li8C7I>%w)S+ev)Q;M z9)ec|By5>iU#*-@x-mB6RgQ+g`PsA_^gfROG0f-jFmhW=bFGcY=Z;1iSzlwaISlT) zAerz3SGk|*ukVKeuVue~@$g^h=3q-SGIFwURvZ}=66GVJv#z#8U?{MyvMS$0@xIkw z%pZEpA1A*A=K80uTe&*={Rss2(MZ+Ah*dVTDnzV|`hsj8iZ9pYQHG_KPS7|!|M2K? zVzddKT=lr39Fmk3PAjTaSo|3T!}1$uB`^ELb-)NV-;8*@k(+m#yw2op684(m^|yX? zG3xU)Uj*HWus?Vj)fvfnvAY0Pp_))P2or9>^$uwDF;E2~Ol`hDokpX)*NpR&X z=%!bYlKGZ{&!wd1-ete$(D_W@t$L5d5XoB&onX-9&ZH1)7QKZnP}gur&~A?PZSd5a zo0&Hpis6r$5*`-Y&}DIwjZu0`=<`U;Tw5aH1r^1`-iDw(*wNvgVlZ@hyB?C{$<9>7 zhPUH>U|q}zfpz70ACMlukI!Xm?QMJ|aDn2!y_&7JCpMU!_|MpCrT3x>d-om$C!SoJ zJn?)gPrqm%x?`E|aWMqD#feEBmeJBXrqnizzEDIr7T+ zH8VgC2npsBK*DxNW&0G=z`dl&lv(Ai%xl$`N0&wV>Dbt3DvfIzKr3sW#h81xMvV-{%E7i z5NpGX_v76*$Q%V8Y@L8*msce9*vI`9W2?Ccs9GOmuXD82#Dj;1{ZZzP^A@-o^unaS zqIvssLnEGahl^Qz?RDMV;%jtXuqz$Un1Bi-(gq89?Mmk45>*Lg?8Y0~d^BRzaoE^4 z8D50>>z3Il2$*|aVx8!Dst|j}h5j6~Dv!gK7tG=3PLstZ#BQ@$(J`$=)QQRgC@k7W zqe^^HIE5D`?qXi|c^g8*YEjJI9CQ!e@}_0*(1+mLXz$A4VNmjmt*ac94zB@y8+>uJ z8lCt;BYK`AEHSj4FNU>?iao>aW^fTi?eT3RpN^zt=375T|MsKkMz9X8e^JBJKgPXy zE9>Fst+@&Py9?`N(+kZqinn7Wa(Q9lB-x|~W&QoA(ES-~51l?ezViFQ02+eVGJDMJ zDH~i!Y{s~?GwsTpfagQ;4tvH*1gWm3Bemc6@&VsV@W!4O7sO4n%9U2I7i=ME|HgPz zGL(6b7?G<Ib(ianqU!E`(Z z%v_fg*4@4hS`Y%*wjbRC2V(m+#r9Erz5F%wp4cRMnbPsIQDcNswBkv2t96nwH+Pc0 zx_VNx$K!{S{C_7MgDGV~rB1deM-ZAsWRBWjWO*>HF&Z%9YmKoHJz{f%FM@WCbNVwQ zm%nQ4N}M{KZ0o*zIO+}?;?Z)KSnR=aK>DYI8W;JoE*$nSNmfEGRtiL@2oJr1vxIv?T+l+cj(59pJx$%~EO1 zj}D6(tQT1Q64z999fNj6^Xc^?8{qSPpnR?6?R|rc=Zip$%+-TvB=hp8cd;JndL9%c z<%xYSS|As0093(A;X-a-jLY2Gq%*R1Tb?Ap6RII-ymhPT?%m7>$-(2X ztHS>$kohTZh&EHzR4tk1sG@;%+O$F=e%G;_kdO}>)2opDKL7-jNL&RNzFxJOOjP5i zr#e(ntRzua%%CcG7yY@Z**}xM8Fk+{0@@<}gJ=XS1YIH2iLRsHqYAwTYyrDp|4k3d z%`r?W2j`F5maD9nF5O*@wzb($eI~LKvmCwnHI$)M=yP<9ThamG$D2*|QeYYcX|x8d zW|f8VTTu6BkV0p!ptTqO2FkgsU^5w841F$s4f{PF?2#7xIiGUcG--XQK3^)hmAs%K z*$RiT7MlT82BAEJ5h-{Zi)6F;P&z-AlgvmUM|xh`WFuafU4Tw0a)6yMSE5Lkf%9Ns z^)hGi>aD0LbB&><&))VI@FH-#{_${wEW`0tKFgRK`4_HKCkjA zr8S|Y^Pghok6eEl=m%*zK(Q#z!Dgi+T`Vb1LR810Zmi|cb5Vn=phg{g6a9IOrH;{W zIlKvNS)-Ua-BUXo11I8IQrsSf{ivx=hh zhQdPC^eIM5Dq?SCDw9c9BWQFQR8uTYr)7%DAYv-3<+-jLki#-Wz0S3btB+OSLj^v_ zYA2E`nlk0>v+0Lvd`jo%!Obx7;4KLbV|Yu@Pe# zyZ}m^I&}F*>+ew}IDn|~E5F{r7BjyV{O#cn<2>cmIKztW4AAp=?ux_SA% z{+>p>Li8S$gXNQsG7>vQ0xQePsudO0W5s}cG*y@njK?WRnZhxlZPZQT=Q=nkl^CuE zy+ArCmU0fF&jSD(M3Q)tUf9^palwv}v`j0Ce);=p@SXNB z+!1cV{!luQS?G&NAU${FbGl&oY6SD%-%`LrY?5X7l;Ule|I4W9R2|7r<>!j2(mI2o zbQ)H;99^ooF9!te!pG0-_f0I#_)HX*gw8r zu?Z{oHX0$5tdV9Ohl$K_AwO_kJjf=nkKq<(=uY$4Z1U>HMtK3pIpmH9V%tJBQk7s8 z@)gAQ=O{oC!_VZ#LQXW^yBc$c6?LS(wF|roevK~Wbupm)tDj{QTQBInAo2RQZKfg} zJlobZh&~3#!C`ZGW)NLMJ2O`c!Sd#rba^z5y{sHKvXJCVlJp*E$peL7GIJ6i93TAP znyL6I_@GXcG}$?H`Rb6eCcNd9&z`hFtSX|&+}6~Zl9qPH+LGXE5F!tFm(X1poe{sy zrl=ym?u8$HwROrAO~v%-8c%(_S!4d@=rIK{qk;~w*@{zQQ~|-h5`t*=oqZK=L*0+> zFosos1A35OXME~>dEUq9!xx@>1+6kWLC1OEvaK%8`~c=Q#^{YHC;xvOBYJK@PKv%- z;cU+ouF%`&!#}l)?!J5ZmdD@>tW!ufgH7-L^ZC2B@^ya#jmI6zuj5eO*urtv6q2+# zopW`aRu|lbbl@5|)0>yqDC;OG4Tlu8&8Rb6ZfbuORtE4P*S7de8im@V%`__!&zTrDznq>i>aS^y( z!T-Sy5Q<;EK@Nl;pcTCxvs)9O}99}ze zv8^E-r=LQ5KQ}2~KtE%4x7k>R^E3~y?K2qqOu^n7yT~y7DiaZGc;qzxPq~0gAw~^-;4y0TbyFztn5kI05tbg~c%CJGOldu?Af(32|Iw&mXn(B}EH;UvO7x$nw8{i?c z!ru1*;jo{R)f>d8eP7zn#awM^H?#exFO;*HFWu?1JKkaIOnK<@Zf4{qx^Lt$^gd_+ zTX;9+Mf7tpBm|F;#U+Nn;ems&);-MX5`=JZ)ZbG%k_$0Lt) z#plfFsw~LMvs$|-vDnx(;lH?S4+*c=j?NU&^Z9Gl{n)I-`LM#Y3G7R%0-U2xjL|+; zn}^|!)ppjrxF3MO$(oz$yq>Qw1l`($UME=CxhA+`sT(}8u5op&fR)(|Akx^xNnSMV zVM^B5Y!nY0G2nM>-B1(m3O1#V==Ncc&*lMVgIFzxZOGMRh7fhS_bl|S*cUy&zIl(R zjMUA@I!Op&ZD+J&qyPApSv8fCf~1|RNsny!Nuh*so8(Zkoc{5MB4)9NG3-&=z1!N6 zs7Q6}G@z8nd)^(CN~g^jOx4IBcpO~?dgerIaaVk0CNFk$OX3vPgMdSSW`{)Gl!_|k zHKD;TpJX!{YYUXhTCrL^rKEPsgPt?d3E!OJGKrWie@_b#T7`!>uEPcXwWW>Y+M3YD zAPZ%~C=q5(l79;8=7wIwE75a9uYo|14XpOz#tt{y?4BoutwfZAGaQ%j3|)55>-2KY za3bXw#R5aOMe3n1u#8utkJ!7SeObL`_m}(ZSl_91&)I=oq!mpU(RQ6kq_flYlfBdH zd8bAYb^K(tQAwB0)T;}|m{cuf@rRIO)0}lKvOIcOQ3%j zgN_$s7PQ8Ljv8#>JGJP2PdbI(Z);?Z$J#L&bYr9KeM}v#q6T`yiwj!n6;>B3-O+{l zt-5kxJ)XCDy7m#-9uYjlF63bnqVsJ7j5(94DAvv7XE7wT@^pi z+OV#RkqElaXpBbX*h%qv<*MA0T#2MoUiq-xuTV(^>WsE=C1xa5<;dpNCrrNP%Nh&E zLTe5o8Za)c)qVivaqXK{qH?d#C?7ex~SRfkB*#qZ6C(;!W$;I9lV8hhur}$ zSVWTMZGKOn0UZK2f}Tjjh$0;DfVYYPYp`rPhX;Q4d6N!(#g{6+ykq3JJDzaST-$&d znP3}kl|Wz%2O@rSjz7p8mXctjl++2Wx@W~OQDQqcL8YiJY85rZUsXxXUOZ=BDNRdN zs*;jILsH)+DZ^Gqyu9+f=z|D`btHM`?sN%BdweQYw` zn7cPB_p_llp%zPD%?o$EV>BDC7N*GZQ!$9&Q%ffN;FGJIeee>}cbi>laFqyja5?63 zN09-3GQ(8e_VsYD#yIbRKJ3?8tE|nw+ND@5YC4f zLX2sQA%uqzatzJ>vmlvP&b<*dopX@f{hs?tIBNHOYl9(!*xZNz@%R7zVvpDu20eky?ve2e zxEHk;T-9bkU(`Ds`ir=fe1}>n_@hauss1CFr*&F&$O3YKyHtjJrxEuy+N{!pnuqXC zBs=;*z37nM?J|HMW?rc>nTIN=@9^sY;&ib`>vijT@b)2!9cpK62*uct zBfJ3D#^&R*2shy+{6q)ajqM>8m{kkc)OVGu95d=m9FltG-jpv}xw3rj(xv4-kH_IC zPl?ZpC&_ZXX11oj{;Be(9$ThbD|ZSbFtzD7$o*m#&; z;7|uu(nw9f47q)+D;zd={tb_d4=JA|e9G<<)Pb{Za3XxNnWB7FxY6ds-Yr7HF#90r z%M!z~-efEM0}f)>8EY7u)fcr{{xWZ+TI=v=IK%q2EA0nb4>$V?^{unA!8yU+(}Co+ zb}5Yan9=Uapg`cWf@UL$^1xs4W0-Q-ps*^${GDdRbGWN~X*RfHXb9c8c{6UI?U!ZM zJuGH&1^R%unJZ;yJ@iB!v$y?b>&(o0T?WurP-RuNl7_N+e|>BH>Uz=+`~c1Z01_aX zN!U213Sg>esF+v5xm*EeRhTQh6&#`r{G<$&o&5eib4GlEldyq$P*G;Hl~sWHxaqaU z)3K`^EriWg-~sW@XW40Soo;M0JcrleX7#`g~Oaf!!5Zp_|VkgPyJE^8U!SX1YXPgxb(y)QFbl zE5IqR;Pdx)zLM<&Mib}ra1Qg(lUldQ<{a6*^OVE{LYx3e{p0Zu%lvN6Ns()X1uuvZ47*3o($Bsb-9ti#I8!FKjaJXXH zNa(D0U9_%z6&>bjxH{&(RpZ*LZu)dmP*7kd%@bl?9CM{jjAV&8wxAq^yhZCVAd2FR z7MjO?cJfby#0TH3S2pYNlVzC{ywq$)Bj``)cagVJXwV049Bj30U-$Ll2yyaLC3Kq( zcMlCkYSCZOEwKiufTqvbU8qW8f(ThMY@1tyzCvfvK;%%e4Az5H8#s6UAY*Hg7RyD- zd?AJQ9e#6Qrbhhj#AqY=1j`xauu0o&n^NP$;m~M+!?tQ72G23RJ%7J zdX`M7B1~hWsVH$I`%y}Gu?`C^vGYo#Q3n)5YmKKSQ5&a?7FopiNWJzdRIRR3rEADx zR99J5%Qe?p?ZX*Rlfqe7oDEytX1g=;5{w5g11Y^b^57lhO}9hprN~XQ&DQCv0sA~w zd{Izyt&{RX3ceai>X#_^23;aw_ze6PZmHlHbg;KJCod7+6ENSW2t*rms3ehRxz-zY(-R0%7v8jrvi6Z=+m6aTVKaD?gCa1ctE}N76 zD4+pP(~MSNM6sfG6ne~!f!9-`0OT|bQAkY_bHSqt2y0Yu;QHX}D#>a^vb+#Dq&57+ zWS-{L^=}l3)i<}JV+C@Mb@{`=Ugyn>!U`tkuugc2hcU%QLdhynzS>SaWk$}HEQu@KslfRf-(=TmmT4C!^WcNtX*E-IbZhOhjGhjVv-PGTB z_nWiG=fXn2tr78EYVD;rsh3lVTVDFeYBqN>2LZB*n-bbkGcnwHIX zjwmF}Aoq>H7XVYFMb2nj3Nx8?J$0Ys(Wx8{5K729w$O&ccdd3eHzk!Dm)@#y16hPm zQHvyz!R+RiOhZk6Q%g2%6z4>~gF?o(L^%j95KmynvAlTRv{WLSm_EHg$SW9YIgH|t z0S@tESC3{5=~%EQnpDbXQ$s%divS4zF>=G;_Zvv7vynL!x8Yf5U5z_p;;nO>6co*_ zpxrJQ$uoJJ@NT=wwF3z7=(hmvI(`s4(0&Q_sab2B&NmT?G*304K3GyC2853u|CnR>`WvCdNT9kE2Ts}Ht#9~5u z|K`K`z#afmo7JFofm7D}AE_v*gtO4*bX14?f|#rM;D8zqf%`Dw#kRU z2iz;3#ZfDDWPd+nW+MM=%qk=`IuT4yv4GD7EZ0~ky2|Th?6aJ3GS*oDNIe^SIx~xr$yrokTOz>FG4EoVJD&gGBYOK z7j4-@dM=I-^;P@5wQtUQTq0cY;|jEm2QAiRX!OC6b1e ztgMD)CWvpSsIFEtD5h39qbns%&73%dKA!)lV7FF``I@4%3LF@1|FXr;n0euifh9+m zi;cgb^vXlD!DB27a!f9R&Vx3A2LZLgg{Y(d$2b%4`{e29i*3D6UU=@xS3P&++E3T| zq!$^yb-^J4~IA5T&vG|pwHER6NPEcb1X`X578Ekucy-hUrbkVNp8Lj zaMAFw;_R;QL69z0cx;^06lDwgI#l++tFQZ=jzG{4N<2$Dz3T}sv70$tZCn>o7{dkE z5wi)MIWK`A>g~q#T#J4h*QKfvx0gCN8hIt3MA|j7nLAg0qWw+?H()=g#^?Gp-n`|AqZ+&CXvj&`?&2Ep8#y75N znYcJ!ZWao9!7&O`6j4-*dN187+}NIz4@r&ksJ!4{IZZ;jbS)TCrJ_HA;t{8_|2MW{ zI4%k?G50~nMy0b+H`Y&OJYd`G1zB@5bWhEmonLKm8|KcGGD!z4))f_;U0%c>;2cwB}!8eB6RtPl&m|m z*7^44BiBH)B2}E6ww~m|o7X;VRVWJHw5?D`S2V4<@=0w0s@fG$DTBLkNOfdsu0-gU zBtb6p@Ded-gL>e3tIhEZ>mQb&JG^a7%ucb@AgMXIC@-gATAnmLE{{l0C`d10$x8Da|r0vH1JukJZs&%{AA_K`(S%@Xc1u6?B}(9iU*;P zl=Yy?OaA;3Ny+72;OsF3onYQG?(xJ0WLQ%mOu zKE4pzs3N`$mE49bKt;oSuuLzT-i7&47=|Yf1LugMScPY!9;2s{vHI0GqkMNenxiN!? zIUzeeQy9ldCNh)woG5-Us_78Zl6XA751V8ZLgw$%I-Oc_Nb7WIB3I!+NiZ~RSgm&3 zND@V3!x2aYxm>LL1d0Cdn|bTF%ZVV-L^PPC4X(K}LWK^WuiE(3Q-xZ76_!AGg1Njx zVPT#mQ!-;lePR8-1s6}~eb5uKigB@&(Wj{s*&P4Plc`kAW7K8+;1Cc-hJ$jY4{FT0 zD6k+;F&n%~@+n^Aqb9Mqk(2aol1*a$JvbOB&S{SPIFU)5JW91Ia$8=)sSZfhuJBH8 zFQ-N8pzWv$20~)Jd8E{(Eog#eh(3tEl7Ums-mUhQQyrk03Q^CkV!jlV)>H{X6i8^G z(=)T81x9zUo#N4OTXo#n0^7om|{HE{@p7#1cXUA64-WJ9)F|p9jO`Xpxi=3;#G80RX zJggMVPk`?Q-QWZ|=e7$3J}2Dct6{EVHToO4Y-%2BMcQYK>)?(q&1Tkc~SPE(jo1yeRytBqpJJfDFcw8Z2v zSA%c7dZc#=1P&cXRZ&e*)pq33c{pn=#wr_X0W^?EvwpLLOG2e=pRiD;%FWh7REXm{ zFRo?neoYLnf(_VkzA=mbuphY(?WwB9NNVytyVHG`K1ArI<0Lf5Ndtw9S#kKOx^ng} zb<+>4>M4(#dGP_iRIM&oxIgq|<%^X1cn;U`H{`Rpoz>t7`jg#YGyYv|4LFpYa-H9& zmkT>rZTC~0o-JF@{!N?UkL!#&+#u^*XLdNuwH31|?3>mzdBTRc&sY_MS-6wU7)w>= z6P)RpQVxNKKXMqmnKJ=*J&9-*{189dW2QcEyPyQ5!lB5YT@HtfTwCjO)<*sT->P-H zYa=y+#Z*L9XLoFK4{h?Sg-0&0?_PwyOb2(E^d~w18DMJ>bzW~BjK`>r6}JEWv*qg# zZUSgnjCLkQ>o27KTxG3DY_BfSo%)YskM68 zXsUdoet0eQ4Grb6_t;-Fi+7z{6j27%>N%Wk$G`Hc;4(}( z{yY`=BA_&V{?gDHa10!1tP=Fjt6#Lr&8$G=VPrXaD~m&J2n7v+m)n>{`pqe&XCoR; zPI&IOk<fq{OAhr7}rAN6BUGkjYst6TyPtwth9S6-#^Uim@syC9(;O6}TiCgHU4$B4#bY4+SjakzJIgi7~hS zZ54Hp3N*sE)(>d+ZKGkb%b@iQUxO<7E)C~{lbcItSJ8g>j=O2^nbyx<{!~|pRJX1m zajp`qf}0{Y8qXbw_&4K=mdt#)>aZAGS1Fwr1^ilSbEwn^WO#Ju-L4HsU_Y3((khti zt*>tPV0!Lk)bZ|_KZ~!ve2Mvv`rA9TRy8TJFW51-NTm=%*^9s2#i6PUPTEuD2+CD{ zJ9ATy%$ExURh}cbHGJiBT$9&)pUk_=EhMbO9%3IcKrAztSqwT_-EZ4sd->(|zPg{+ zIUMaJ2?^~_?)B__{`vOK{d3m5HYX5h&(F$gU(nIi@x~kacKu`OjuX1?DN{!jS&9rc zt7iuVMA60-v$CwD&jenFXuOT<*}T{QB3oI)|6pw!7L78>Dxe+h$&Y;>trScYoSVFr z8DkU%qERR#Yj7u0v1tIgevv}z6%g;m1kDtdH6(LuZY+gv9n7^5M=56ApGynZIq84Y0&XVf5OnsS36(=Op@TZ6q zPl<&~M<)zR*g4}2oUFwD`$r{%Vsuop*hn&`R66PNMp;RCWDLhJ%-Ii_ly}`Uk_*0- zG&f06h8D;S%9#bZLb0JBU)4Cb0sVJvI8?Z(&9Myh-IcU2%Yj~LW0M4Z1^i3N&D#)p zmb_%xx!lxBwpCqQs^Tk~YAs$1_Dm2hudZ>nsS^W^r3u_7@G{52?D|MwCDg?hX-FZR zm!4svxgzJPCC+YkUom&k0N2a--XGyr5w)&dX^79wHI(rAhM97Csv-3;G8MQY6>Z$} z#GEJ{5O0(WD0aWo%C#|Fj#wElZL;1~Cf2*+W~NRSSWKBDa}}Gnjk42{F@Y;tIXp&D zY6tpLHU>H;kt(YaJrw3!D}N@hEI@A=wL&rlCKz2ngf{q98ZQXiKV)HNsjRAx#8Q<3 zVQQjXx`8V$71ISOega~_Qunxe?KKeaG?GTStEHkPbO}8)IZ3~vqM$Zz$ZSHV+*%<- z{Q|ouasXP=6lT7fgdxge2g3A(nN<`Rz(~%>Z*#!g7PrZR0yW@zpsdo1Dm}^hy~|MV zqRv85hTO_e6|QImR{{~Q!=QD;eU9*<&MYw`HL^3x#LJQ#0Us(Q`#3dO$+^vZR@d?> zZ!0&8&@gfCQXEy(WRSVJ?Zq5UyLNtKWBU^_SxS4#@4B%WEku~JO3!{#6m6pyj(kfXG%Nt0_Mrrs{)wEEc1e8lc0@8!bhmV0@^;nnIl>H0+5_rI&rVih` zuLKkt^c~vhn6}teP*-;O59qgB6du)v7ttw2s8P;oQG^1KJ)75hS`Kmo6xvCp!DlPb zt6egADy@m1-UPC*QGp_$R9Gp1f#j4=iZ01Ep&NfM8r*Kyg-{n-S!j5n$#fH0+B}77 zicH9A66^m8l)3p$`OcF}TEyNPaBIgN+o8Uw9Xa_upZgVKN0Ny=!iX(ldSwnTzp|1i zEh^$Er%zAfB~86Bql~d^Y=>_^D$7VVf%XYJMuu~|GmBq236eG~;WDR`Tr?kDF4`QW zAA%uxF7m3{>(If)ktHgxPYJsfF1FWd(70WPS^@PA@WE3onQRk!@xzO^&%pJ^6!z7GQ*kYh?}@kT|2CoqSezQP;E&d2aJdbU&6HOW z-YfU{wBD?QHp$*`m<-*B$ZcG(omn z|1OC>Dt3UD)C)c(4dww0DGthV(f>l#i8T1np-!D_2wg~VgE?SnN2wCMi>^zwU?qoy zP1qA+4=}(Ixp=gCCr23BfQ~3K0L4=D!6vM@8}|DXGtu55rD_$|?@ZRBk3JL2e!0s} zDbTT(m4!Xb`PZQLd54&_N+EIx2R{FLNp7yyny#s=OfOZGlkhHtV${vp1r^J8AJimKs-r2jceu}^=z9h>nX1{tHke* z&x)T)8prxg-js#Fs={%jqt#$k&uSbQC(10IP&Sp7a3oAr5lv=ryCOCr!pXF13w6dk zW(hj`OyxU|hO;9dfx^Sxm8v7BweSqhrPPhksRvQ4Ix>EgV+l(~UG z$}^3PDRsdhmGU?Zt*I@caTfeBH959%!AdS@C!RRTBR!vS*jC!3lMp4GNtjY6;Df{k zM!BVuYEIxR*#tJVXbW#0@?Qgk94g||)YPguYpd#Njs3S4O3VGXprP`8QtUR&m{AoM zexP-`Hd0hmDQu!KshKGRwOwxrGY! z^&5ts@U=VmbT$d^Fc0KzDim`y#FFPX7Ur>L9T`ghx3@+{8?fU2bcP%^+c@HJg@-6! zdrZ70iU{N220~hDnk4#5(Y%nI>tO=S3{5Q1)WjNk(HWoSuTFPViPUDuZz1`O-lB~2 z@3oiH%ij^hLbql|MXeAnZ02XYv!q;g8>&^Cm9zG1bNMh2Dz-Lu%5zD+L=1ddpI@Vp zy>j}3MtWo2HT1i58d&ZJJWI)C{mFlKuFz=}VnkHhEGf~skCXVzQQV5Bd@A&+8Zi0X zHoa2pSr*>n){!a~pCfuUPy(M>0~Twtn~%ONMrMJ)Q-KZ#+hytly?)vQ7C-3Q_@XqS z={3*dX@w2osr%qozH)g|!TJu2l!?59hS4=wzUIUQv{fT@0^NIHae9t;0DS{A;LLl2 zS#deot?&2C26Qf`^#kCkHoEob#E{mOKmc9 zB`qth%$8NkCiMW>o)GSy#u%-lL^&XXJ1V;IhT#{0GdFt_%< zeidkWIp3w+&k^Z^`d~y1PQWkBs#TFRG}P@)%_ccM+DAELZ`HdOYpz9(Xuljb{+Y#qTG3735w4rivFv?*JiX@5@o^e*ES##GnFOKL z=9KW2OkBVOLQ;`H;K*_O5D0!kL^M%z9ZryE`T_o$i5;P_n=>JHjP|uTZQu*^z^gSm z0EGsE4yF5qZ*74fQ3~AHDcYPmr60WmlKl#;i#Ze9Fo{=KS65jPk~WwPU0>|BO|bq9>fOG|}@bjf(GF@9t0(ZeOkF1K(O2O-&vZ=zc9b9MlH_ zdU$GMzvgI{3>^1(eeRDN)nb_+-1Pc_uHh*cz993IjLBprIbC~g z!H}i9LnwqKR89jf``Q(T?mF|{_bcCK{KI-Q#M{WkJZi>1;AxQn?j=tvdP?czl$UxO z4vxOQo`X>>N@WSBd4Tq}w$7wEQ(&a?J2mV=+Mp5m46PJZ>p@2yjg%YQ1P?3M{aC+crKv z$WinyNCTa+LM?jkV{}84d`HE_6KSAh=%_lY3uFU1?88494jJ@Ey^A_IT#9no9vJH= z%4Yo5OrpJ@6%KluR=K;_7>H(at&?17OfS?xyhi+z_)ntOtbbvyYtD>=OMf-z4a450 zKYsDWIYkafX9z21qwfmuRms?5cR4REfmysRFXk{5P|__R1v zp4+v=(D_7(I4_OMg=%e4T9%J5bXq$??;R;u1HrGJ%^tb$uxX*iZ8qy&967yjt-2SS z`7Kzk5Tz`sP{4Q}b&T>+g90CQR^Z_qe6x&p4%Oc!d3g5h-N8ZQF>w4O6`%qxnszaN zP$xBDfgxK!YH5B-tH#?&`2tWyB1yh^?&v$i?gNT<b=nx|?CfP3!V_L_BU{i2PDwKsBUVit>PWb(HXucbaAI?I(%X|cEx zTvL!$G0b_nbZl0ud=dr^qd1~5-uS>Zo5{qQS(y`iGEw)%?pxa&Q1YeQpltjSM@B~- z2DR_#MK?b_eP>U^ zr{t1U7}ymC7eYDlNoz%991e*19o8q553R9gKNmE z>(r={lX#S`DDwKVxzbcyMJD8@q^e=nz6>|#<_Kf=UNvZ3UH|NrDpWr5pDtr1x~JBn zH!K-ypc;|C1C?LGvW+3WDmSxM(Rn$9+D77=9~6n{b^jWJh|M}=HJm0>0we)%E4+x-XN=qm13O!Xw6C0R`Tf*YknA|W5 z2aNuXICX3iOB78&m`xyAf_w~JVY^x5VCRgCiKF5&Z7e?U95`{&Qm%AiCicyC4Q<`T zPu!~$w)Rq8bQ3>cYj1&FgU}4t1I2|aEfs%K)hKHLu}ZvNmq~Js3TSq!Dr_&=nnY@l z7Rpq0qyM>w>;hold!|K=wr4A4=)SI!qu1c^l>`{xhO-R_s%l-i2;~V5l)z(PsdOpQfSrQ=+Ljpa9g^`EZU$^^?ZNO@OApM|HZir9c zzXS@IZ_&w3!oq!qjOwoc{6kkNth6`13Nkg#KF(`Q=1;p_TD!iv$FDT&J80mvJlE;? z1&*Oxn0s`I>mw?OCBzEiIgFOkOz-3_2g|W8xNxa(tq^03tf$D`ttaIBbOt{IChj96t%stUoHr2zg?V!p>@uH;~_ErrkQq zsRgR;MaZ%4l~UV-o2ykKCME25dE|2E8o=ZT0;7vIT99V|oY2fd6}{^aDOG{{k!J@D z4u@e78#|BFU~+i++IetEH>r{z5f;`yQIuii?b=}66Sp6o;AVh@kt|S=0YEh_WDaRV z)b1naUn$CM0{1FJQbVbrqPpuK)lh+CIQ>K3MH1a@(2H6=Qmg#%GnPOABsyG9C%Vt- zmaAxuHeVT8+L%?CtkVd&q!%{njPpcbGdh12CPwZb|I$#3uUY7<N_t^9DSAx}cEy=Yj z!tRiQ7HjMrky)p;hrjR|oLx&TO^x)%Uc)MIIyVF`+z?FjD`+SB1aF&EuUV-SNBV*p zIVnaZjOWC;q06f=Azwv`VJxDb|K7Q|4u_8?)wV`}jm#H5nJ3@=AyQ17UxCVEK+sN#DGh=fXF&^((%*UNj z+PQdn>Ga~_(uS6nK%lgy&C@o2eyM4RW68pWrP19lHPVY0KU4b5zg5zm8fO0cbATg? zsn-$wKa|oRVbz&Ppu>rl==wgpK%4EF3s^E-YcuE%uHV6JIYMex9}06-l}eQX9@+u3 zfO6*sb+)6PlVhe0n5ZJtVm4dQT_DyOD@^DX=+haEy6`*9yR5J&fdZ^SFGL^B&Xv91 zMldXF1PHIU$m;SK5vGJixk`&(nQw?}UX~+C)X?#9a2cfacJSFZkgzAKcj8G4v=e_h zAAJ_2#Co60MxH+qkVHO3JHgT@>mE4HK3`kav)Aw%+rwRiZZI*OlF$%ah}q_nMdpMO zZ-4*Nr3rmM|9L|2$tM$<=gdiPmNk^U_+l)6S)Q=`@s)~6eXCesD*9THG!v`1bK}N0YV7S|e@@_28?9^L*q{A1-Z*Pgv~03y%jLH{idnU9W7cz@ zU88pRIvSaHz4qtvFVr@s723)RlG?yk7yJe+_1_38`Qq6g@ZX@`C3_+(Pt9!JduEz8 zBQsdF )Z`wsb}ri#tF3IU97r^0`sS}5vfb9RoTFfF0*)s1$o*1i$6pq&o00$f(C z1!wTKs@)o;4_#)i5@UOp(Kdd%I=`?c(zZK2QD9cY0T>j-!=@jV!b?CyC2~orfzz=j zo�rBPOI8`3SV*+KLkAOm+fe;f_gblNj&g2*5q$e1SW&E-+^&__CDurz3OiWe%jvEI*#oXVNAqkT8cw8Qn zjK|6M^`|a0=s?b*VO>)j@PhZ35nKKXzwZA4DrhXy`zd zJyRQH~Xq6sK<1(r6HiN{~izVhFm(VxpidSME7`}8y^}&CK32NxS%nOW1#iu1{wg<*?OD=4e@SsEkp^ikXX*d5=wJMMW9SM zy-SqT9+=+VK0UyRmrPq3KY{fpWwb}ftV;5C@>7h$yj9AB=M|_(V!xO z1v!kev*4p1MKDE2AfkY#GP+#KY7?hsvaufvJnY=P$*wT@@;>+1n$1}rJyhv+w4p2W z*#6VLfL4kIH(W%A6Qv3ein!1NpG0ehAGqbZD)chsl3-fgYA%zG3X}M7U*3F*OwN8n zU68$$6lRhTy_>CYp#o38d`W4DWPZ92^`_*c@Yn6zG2%8SiwCMri!a>*gB|(VvUq(wrIc4h_6T}KG={|>U1`nlj`OqNe zLT+~(IAxYx+>ZW=hKpoY`?gIF0F_eEvn*SgFGTdvpE>Wj67x5CJe%0PYDQOhx41H5 zCR^)k#3(-}Sw1^2uRK{M^LopzdcVIM*NSG$aF@FuH$y*R-g#A*MSMo6f}- zKvw+N^B)TXF~>8HJ?UvzfCrPV^}0kjP!tNmDjI8Z)0=5 zjB=|ZH&s5L!Y;3^mBYpI00nS&F}w*RgL12(APkp@bJx6d69052x{W?-Q)=J4fgYSR zXBQv-|pZ^hGP1q(dQ!nvWRyvA8s zDKeR`#^ag5q0UBRF|KEJeOZeUYei02HQtm}!&SOCdTfdVBPucCgRRSyfN}QIX>p?o zt#m?_KhApCD-a5~QlCk&CAd*9RlA^*HqeH4^^wnj+@M77?|AS%p{79*c!+%FW3P;4 znzpR8$~Ks@xZKj5!B=b>_74uLD?$9nucNEd2H0oSsO^z;b%1zICttoNzxD_itl86V z*p57|zO|0!hkzEG66R+K6Gf#uK!EjXYRlj*wq0@&T}78GH1vDt(ES(76&mt5Qlhh9 zT9a?-yxzldg^9}Oq&M#l9NdJ^4YjxjIQrq&bJ+pzZo5$X(i>T7`^~DI`)osSlj*rF z)d$%co*rH2UFNz83GoD>CtO5;WxZqeBX53FaE`N~ilUYNh6YNMR9sv{6-||aPSM1w z*yH>0!!|r^^!$QME{6%2@F*Lz;HjLi~&it=YapVdUv>}c-kzV`;@S(xag-wqp)wo2H}k7pBFCfudQ9VQka$U+;hVDv!7Yye&**tw+Jm$ zsiq#=b%^PjN=wFeE0vzKU*iRvQC{q+%%a+{X#vK5$;JYrQEss*L!X+_X&S94=4R5$ zr7;y@j01?<*z=koHC*cyj7@Zk8{m)--E-aRn9{xPM zN1ZR*>W2#{nLFZHl$)HOs^I2is|V3{E(L9bf3vHouR$*JOPdX5jcfB}w_4@F_*8To z(_h8Ujqi}z6{+YndN>?7eHuAVa02Ky$i?|fEBYPp8WSHC64QxQ#E*!d5-(tt-=M~t z+CSrG)}PGiwG!N1u2^jK)T~}@rBz1Nj2V8b|1q9tB1u&Y*%KwYkD`-D+t2h^nJ7*i zy>sQ9$4XI%G9TxQ#+%qt)fMJTZ2lvPjv2e%EaWbFYwv!cE$p?^K%d#zwet0O@&yAQ zp-cG+p#AWzBVO-^1%X<)4;^wCq%F(TZ8y;uQmUhqSqk0TqLsSK)rSIFH8p58boMcO=3Le&l*&@OIM3pU*(48y zqzKpHy@O|Ao4COGHI|i++(g&W`9dqI^9U(6_wx+$^G!FcbAeCq^!`Kb_zr zZ3#wFpD;tMPH3IWWJJ;QXJx|5$44CF^=>9c#;}4%i$xP?aAVx9V?%DSj%*YxIZn(} zA}hhXqbVu)&}5d3Q|gX00Zgq6447ESExS#$!L|ZKzhh ztRO!NdoBYVE&#xsDvQb!`JCB;#;%S2o?cX4ty0^-!@ph6$wVIuf)wOWbCFk+UZ3ub z?TU9+qX!?ZD$g+sRUHaakT{aR`pPwM1zfMvsX9ZXM5RS|S~6_4YBW~P8I*nX%A46l z3}1YUw~Z@e74RhHq!}e8#%zton2{JCuQ%$aLdDpL4pzHW`)C**75Rme5yNz}0?UJO zV~vHTotHt8oGXBcXqQUcxzMIkTf*KrJ_YyN$`hDaHajuds2+v|T6OWX)~sw{W=_P+ zsZs5rRQc}BBv)rB458Ee4@(syxn00bDHL^` z0>`t|m04)h?FG$J?q%EZ-L_w`+*WJ9ujlo|y+W8UzfV

      8vy%Rl?0G1X-1pWuhdi zY+Pb|wCz?pk-M-wZHn&YB({?1=TD325wSh86bTEc=d6$HtgNoCgzMFbNJhI{G^wHe zemXKNl5+^1&!>yL2O8AXirmGa4Pvp@wNC!Z6Vul;EaPt28`&+Up@~B zK%1tN=l9NZQ&gN0`ZG- zJu{kP{s3H3BrT7TvXB7sN*+g9KC1NS1#N5B>Zqi=6AvPJ1s$tIT)u4XJZVG*xrGbo zOCrNJKmyt-<07=CQL>5OR;EAYbobbSt~`g$q-DGH-;3$3FUrYm<)^@CIb;W z(1+2^9|uE6NaC%=9=AN#V3#c9$f@o^@TqhOvraN3%v&ae;cIw+t5HDy0!J;N=6l9Q zL;~S!9Qnx$r}byfqxUbKb;|Y9-~u&!mwexoyn(p8tZIXUWjLre&!}@ap5S{7hMH<| zO3Li2S$x2+uBxi$bJAy3?5{Xl!Ko<9*JXojQF=_DL^U@?fE?pL9_Ptmf&FnTLS_`* zIN9uGL^ZQTSbGEVNbxu`V==})a?0=oc!rdf;EQ(s4gVbQtOS|9WF+@lD5};0?nERu zm&G0TuE%EcM4kn|^_ln(Qa(3w6;^}afdgf_{M^W&kqv!^auZBoj~M20A%u~?z?Be^ z;5(EKzC!6MU)mKouw(s2s>THdsy)^HV>hRRnb@=M*J4~}@cNFt6 z*t?^9?(=hl!H(RNX(>Ws$MUwWw)ykv4*LHk$2GZx%Hk--dKaTlSU#Z4bY84O%pH9a zOWoLcw~0KaF}$!iee~ioS3DM-OnKAsw~VqiNuup9<_))jlwm-YgP3(+km(>1zqZ{zNZ6d128bV)q^o* zR>N$aKoQhgS+Pm~DwYy8Q4=!DeV!vMQF{xajc8Qj(FKWm4pvwoKKT^7fj)tm((VFhMuvvsBQC)RJQab`P6BNa2+v#fTz zHLHDwf=uHJXu?_Kgw4*MIQKh`I^T2t$@z_w=WJnBm*#ekiupzbeLFF0Dy!=o!&#<` zr6j33tSOYKV<(@ZZIW2h5To^*IDRIMrG_aP<+S#3OzyH#mSe1iHZElq8 zNjQQ?i1;6#{GhDBY}bK(j)u^yAAg}1qlX*!o|J>t-FCFp$B{&q=VvKse(F`1$K(3I zBa^4La5Fi%QHqNXKSb*H(Sz@#_D%4b#|}t?8)K=yCmdetk2`nX*}wl^gNDx^{0fI@ zX!x^h8VUWz#=&(Gu=e1o-$(UtzUFmvTVlM^v^iTQkqGoEmB3V4DabY&W3+mug3`wj zE{^%#$Mfp(#E%gS8CQ&pvhBq@OcOW?B#{S7mr)00&YX?P+;^A1TC-|;w%e$dM(&{BpdCoZ{52;*m#5io4$K^R zcjP`u54VK87Iaw*AREWQL~FIn5V=p%v#yePPC7fsl#tBCa(0uA({5P+avY-Sv*g`t zuW0f&gS#f-hZI7WpDWLahXO^Q{=)~G%tc0!s&;E7{ZaWQRYw46z2f9G&!Nm(!HmjnQgjrA7wahtpwPGR=Kd<4_&ZSY7=}~gavBC1u zs!KU2;m}xJo7N%<3WYKqdY{$-lT(qL7|sUd=HHjs z8mxa{*7Ka&i=9?!&gG|`p)OCFB zy4_)GS`8~RTvZHJ7LAMxtA&W($CUbtyv@*V)@kY}$K7nAGXZGxAC87dlNn z^|b28Ngzzrfz2HAQ87K((eGG5;v)rR(L{2DXrMS+lOh-k9*Z?S-~lL(Y9$)9`cB)5 z7U!ao`+tAoyhCp>f5z&(@2+t;YIfgrDDo9Y*%w+bsDTZv!Zp~HnD_kG%}Sb&w&LY| zi7iiHn8C9(E^7nW!aOApR`&fue{AI7ZJ2%kE`QW{L(2&0(|J{6!Y9?ry zxnkzlnVkHY+8HynGxN#fX5)V2pN!uaNuw{P7$>cx8LNs_5lGR@9SeZRgsjJhBV)lB zKD`8o#SZbJjB+JoB3i`%r)fE4@xWYnHIueF(KmU4u-~U~_V*kI3au2u-n%#Z_#`^w zGFc$>z^#!^xbzl`~A!x>53MS;NKj9j(&sEe-- zuANUAR(a6bfT9d2;IatWv%*MUbA>eaxXlg2+dp1@ zaGmEsQ`qTpTD_bLT34K;xp ztF=hh(a~Jg`~#J?sP%qql}#oBqqzHV+YV!MsEi+#XfyBt7`x6>kk8TFbE40rQ&_el zAdYs9%Sj!~c3W73e<^zx_@u6UZJ4##Y{-U?8wt4>2_XcL zAnp)C2;mYSgb*YYknJ*ooaBZLPgJ z)^Qv^UwP@C!-)#+u#EiJYFH_-TBLn!^(ma;HsK=&Xb(Ec9rF!G{3a6yj<#@!JScEEfsm|yegG+@%&}; z!(r((NrNOUtykLnzlku!*C}2JtEOQzR!-({s2pd9PZr9sb@u$^j?CPhHSV5((k!k# zV?z1t!3&q_zS#mtffvB9jSfB`f+A-P<8~Z$*lO)y-PTZ#U0d(H>cyfN4s;Ey^?Lb) zfG}5`OqbQy>=6o4qi~V%S=5>z07XE$zZHT7jUW_7p4H2I!6>}7_kE|;VLQXFazmJ% zcIcqruUtoUP}?^bYWl%4%B(+ct)*D-)QK~UQ@wHp)+~8tZm1 z9*GWn>gqh)qv-gFOr|f@=p6qP>16ij2+P*Lp0mh4Yvn_8WGV;Qz!;$_YnY-`&GY7| z^b4}G9(hF7)BQwuM~6zIC@60$$jSMs>ZkW7lVd1btRexoACp1hkgKAY}J#~(GR_`)t1NHSm z+UToio}QzOZuAT@9#l535^raRZ3T@Rff?-`b(bo*hu(PykS9)f&P8?1n#!^pF^j6j z5MUS8^^5oCdxCqTTA#)2=ia!8E=c1(atPh#A0&+&k|ScgI1{JPE-DlXlq4*xtduLq zDIm(nnK5&u1V|8cmt@7`aJb@Qyydf|8pmv{GII)n9N?l-(cjUZr`Zpjac>pF=6$*F zSxq)P1irRUNBW&F#a`8J0OY0@Y*L9nLjZ3&^iDrr;W0&{OP8DWz6{)6-Es6C@rHN! z&ET)^+!%(g4ZqOM&YHetPRXp;(jl$!Z{Pw_eq-dm^Pli}O`*}UO_xJ`bVfvty#4il z_V?mE)d+u-w~nL1N*(GFNvg0&z~M0Vl1iE`RE;O860D_(*!usPvH=j-P^7@A*k)CG ztA@CS`Bh_A!MPSyNQY>+R}*Pg-{Ba70Ug(-YVT4+-{vLC6=MgfR#B{m!bPT3JfFDw zu87}D(wriW1EV0#Cd2fybg9&6PsU{vjAOjSA2^P2oD42zk&YP&S_RBBRBp^+EHbD{ zRw~C?S&Yn|z597)?Kx7_=(HdCOKV#6{Nrj15dp-h_LksZpM??od$Q*=l@)KeEOPU5 zI)WKmJlyZx^-gcJP^ISunyl`6l#YK2v|5a&+onfJGBw5-`=qNNaK1IRs}B-{2n6VC zbci4zkxCxsov-tFxKKf(`aWalBzO+xsnN?0?MBohozE7p(>Zl63N7UI#P5-gop~zX~x9&(|+O zL1zktDV>Y~`}8tRn@GB4zzfveWnkf)Dor!`1#|`VCLd-FN3UWHB}{MIt+DX`-*3T? zI!|RlyAK}X4g~epjmYFP>4V^upJ)^2tY2t7&$gGJX|IE%fw4sEI9|phU_9AbIfaFS zdQ(M(V8NnA0&#Y>VD6G7rGnBQ;*G%bS;dZ-sj2#jkzHbB?8}TKQiBZ}C`-A?#TxR7 zxOEgK7-T`A<55@A7>}8;R90AAqE|WW69U!8ZT`@qGoY$gZ?K`?fGQ_VJDKzD^&&KO zCaqIgUaNq6lv&vMw<(^8fpfQ0Ra$XR-g+PZi36P8=Y^w8Y|eV^%IoV3229o zqLyXJ9=8`jbWLF*(QW~{p%JuY>IT-y~eqHn!gwf`$| zHia%4%X7ZF0SL?uBS70uUnW07ca_ER;M8 zUPSy?lz5&3>MqG|CkajgW1HsLsnXfAjVbBr1;&CYT35VE)nsi+rg=FSHk%#Kza?j< zQJ)hp!d59*BKtTBy#!EIJUj|tYqYxRP(ApZh6Fr)W!TAk&f^J1{|1X?J(sVD=~|P+ za%jsbje%gs9tFB~>-;=J!0NXn502+Ww*jIy-<~O0ySm=Z>s=|(ZCD(0ug;glOt4fd zy=it6P^UV=t7)>k8)CnGI_|gkp^N+>#&3@^B{1uzldz{+stG!dFwv%JCrMakB)Q~_ zIbe!QK2a7s?#RR?t)_s)GC2IKNl3~*nyZSnnteVq+>3*rPuhKMckC(WYP6-rtUYuH z*nn57iG6*S1MQY0X}MiSBVQZa_}p{!p=an_Tu5*Gv0*@;32oq8rgy)why9*!@OF4` z?1dejg#3vff zpOiC-m-)wGQe6C;xtU8Q+Ko$qL+H%~>dHVI4YhGeuEC3XA*ZWA6ONrO(O6XS#%&&O z5X>Lg?!J6HlCQ4pK+DFu0@{dwe=k}U(JEtCv^!rmJm=8ak9#yZzUTc4SoPvM^AOw& zo)s<>0(!B^?nUQtdEF{z1PIE2WYn|6xQoqb8%3AoH?B=>gLS$oihS;j(QaAECeE6~Y{0#j zu4{a3PF7YYNZ90$2S=Ffi}nBkZWylkp|GXFN~T9 zMOe*e#CZ#9HVUJkV2NC=H2MiwdS+L!%cPVV&2sLG-j4O|-e~A6@TJ-)lzh7W#5!`Y ze%+9Fx4vd*XYDW1rxf@|)zzg!GO9xvwXBgT2@@^$06p3-TehMQ$0_!(rhgau2*{$l ze$|j+lr{LZ%v=_7+RzpLVN$@fwTP3+vVfIyDw!R3E~^m!uwC7%nICDI=d@}}8BJm* zp#e>@irHmZS*4{;y)I9qaeD0brY6cs-OE;+p#9cQQa~KfzQ>zbit#(D%6NSe%Y+pV zS|<&b1WaL~x0DkU7gP0}CdHocV@X@}uXfRgZk5Q+3))uAG4;J;JPhjPRM-sPcL#*D zFA(s3f5{dOAG+?l;QRXflOO$YnX72;`?@1AV{iMQLDt(2WDNmtQ}hXQd&{FWTQ*g_ z07lKxYXt+96|j=ly^ z?aoxcwO$DuzC7<1X^uP_2Sk8HrqMmN!zkoDdB*k$TaVU;F7fx0W~NVsoD9wqPA}(W z&V0M(rT+8|f4D;}0we9~F7`m>g{FIdn&_(I>mZ0mda>8Ads`&_Pj zD1;}aRVU8?raKayfl+4(pK4qKB*~~w@+TpCv+jM$%DJmDuv3oH@{>Uo?3dz#Qs!Um ziY2s0a*}MdlZn75dwXs4?n8#{?OS%4zDYBiHytrQ%iD%thVU;sW(S}(`=~rmQA+wk z3ZN@c^5WuI(^{9iemuMG8#($5A$0W9Szf1Swbpb>gAf$q0%1|ea1o*lcpD)+bR-<( zKnSKmbQt^`V}JjkZfn*20qfyC&O98_%@0-`VlKENzC};?Rzw%R1FpBnUJa8^JD2YC z{~Xyg{st`-tcktdQ=}x+777R>DJgIu5_xm9F#m<#ldoiFj)Awd9?uIZ>1zMw9a70o zFcQ;io13+8i)smFG-$(dqhIH(*BJ~2)ARJuH#B4lg-k=(acfn#hV-qg$|I5TRm@#O zHh(jYvv|-=X71K9{=f`o2f}rWjMYbKX3SH#A{`x??s@Yx-W4k}vt2HkM)m-!FWLW6 zPFAG~8x~S53O=dCAztJF#%Zf2l_8RRu4K|SjfX)gW8z`;!ercqWMz0%Nf{o-uw+Ju z+yh2s6oje01w6>z8E&^JN0y7y)8(hTJdyKZbXyOb^OhEf^R%!4=(ato&(+9aoqAyk z%&pRXKo!m^SvgIY9_t?3tliS828O&2iVCRFc4{x!x~0NEYRp=Pc`Gts68OMI)O#L~ zu;-iaZXK*@{Jd{{`?>udrTMijM+d}(W6h!dSJ4<_8FH8G1I-zRwhey(!t3Cs(roNB zQ_FNQdY6V)R2jJig*)Ll7Y-|w6clyIkS0hW`8okKR&2@!qB!6|N7&5WHEJa_xh zxBPXC|IAMyxNv;F)~>Kr&elR@K1G#f=B1a}%YI+R{e2!#mgVJ@DY<;H-K6K^=x_TD``z|`(%x8N0a54r{ddKd?4F%%DC)L+;G~wZh2Ml!j4m2T7cmUa9Hp28e&7> zg3&J&1`N?HbPMIL7K_ex=tbfaX0I{$0{XR> zXW|+aGNNPp07Zpp;GqS`2ad+nCk{)GAM!kRQMT`7X6?~SwO85t5Uc|=NXnV3GG>RF z$C=M+9GUF-l)baPt#X0=k??G7Wu4h)uB^1Ds?~fu|6VSR@?@b%MjR{4wn%(vCQ_Xk z0g9C%*+QMkqOoj47$?9;Y?vZja!;5DluqVWiHl3F+d0(cq9eh!uSXs?*+9K6AS%dD z{Z}+c)v*8k@>WAzXQL|osG+slr9$idyA80%scaR6GePjcVUyQsKOqwJ6^g)ys)oi& zw0##CuF|0WFKt4vE5VE2NJKFJJl?^z4ny9qb^eYw|GeY6(c&FmPK3^bs8WBDQuuu( zNP@;>np}_(uJwchtqYpG9&d1X*VxyxSjV}IdE4Ik^-FB^^y@?avQSv(dG{Uc*<|mP z>#l>>&*Jo?g>w`gCDo?`G>1d+mrgCNFS}g&W!q@ zI;*vA=qnWgq4BZ^wlijayIKyqzBLli0X zh#u>q#TrdU(W2PG3Ma*bvSuOt(Ua~j>KORXZ7b5Zf@)?qy;ke;SYCrE7|iv7a3Rh> zp}|c~hugM^#d#q(TA&cs&6YymBdG#NrZUEM)H~AaeU^>6pF$VN>%3uRC(tEyul05* zN1By2TWwOB3JV$ktISwcrK(JsJrUKK+F|i}=cEe5)V-fYt&BtVu_Qx=oY^drmM;#g zoI0e|Ln>tBM$KW0sI9q{DYvPV=6~VG{)iJDH{oS15W(!&7ZAb>xFYsPScGnWaOND8 zfTwMKit?K8yf;*=NpUMEu28fZ97Io;Jbpd+8Tt@Cn*+~*wF9mut^}FE`|+a|tp{r{ z)@?n@vk~D)nct2pE}J>6oOV_hrQR+tdPu3PYHMjpO|8le1ge&HEbN#+zseE{RW0Ex zUOb~}#=SWFsbr1`M8!moNHrx#^uNj-B~~Yb4S%wbA!)n{6R%GovC@y9GeKX?LloxG zL~>S+mwOs~SQ%lNjX%IIc!6NJzqMKE1oU$QhV0@zaM%#mOBTEX;2o{v^S`6Nqpy`Z zpcKJsL}yQ1_UwD%JG#?>50IRB;O(J;j_(&%T58qVO3R{FJ8`_Kg^D&){@U2zYg^jQ z*;2!@4l@+i`bA)59>wGsE;>bBlj+$i>blPRpcx7|*0*&^Xnlc5m1FDLP z%jm|&G7%OHl9Wjv?7U4ZDJ(W#KUpaJ{ys>K%&cTBIF?mT=jTjtwKI!EMOG%pWt7HQ zF|LLU))h7DEpm~vN&+&iA&O#}{1aBVm;E^rAn6>n=IE{9l5TMZBw%eh<9x{i)?)cH zfFNTR8&(@GIA0m@ZY5r%E}b_iUAci?4b^V?eihvuG6wwmaL7PBV~kykeGSEsMtR`o zT@J_w-x&%*8@EHzkr!ecUjMC1pIT)~Ql)KM*`IXw6OnWZ73;Da5 zHG4Lvf>XujKK~#4{BpTgJEM}WHdsvs5L&V*DxYVgo9MS_u8h{v3+dJL5Pgm&IW({t zUN*pU2C&Bf(hLg?(8fHRGkjsVYv6I1S54rq3H-$Lj0xII;28_BVA1=W6p@F+1GK?p z;qfdc1I-i9RL&5`myrqCd*&aUv+n76l+9e<=V~Vs*y9pf34W)H`c_~QD93jB7oPeK9A!cpE`mL|7QX2p=fC0 zATlY;53{PdMyycF*E5%wse1qSm*VC(p|(XwzxV=F-ns?UfBF-ce(4fkTfOKDzL~Tn z>N*shMjYwMr1H|zW>3q_oh_HiW>=J%%T%h_u&Bs2+x6gV^EfB{57c$w5sSCIjQ>Ar z`)l1gs57>*spJ4VQpaL}`00X0?QNOSnShghIP6$|Gar=!8vo%imR(1dMGX5A$M3Tv7%q2~ni+QXmC~X@f~s>yxFF%16NnxDsowb$czmCBAmGtlp}%G<3$U5-!Uv zi9Fz_nH_;*^j_>QH1DJ++bQ3CK^M?{9Mx=EfAUb&ZSmGQxM#g>TVOe6e1xf9&+au8 zx#Uvxy%B|mGE}7sMT1-gYC~HUyk~?GhkWzyX*F~Hb!E^U82%4d`J2n~@9ZZTi-qOC zuC-5Z3Wupj{C+B*gAYOxOmW2aArb zeIqyZIykN>a)q&?l zK7TQM5Qq+eFL5yKsVuR15_nmiJT(*xktQX5c8&CvN(~2a+y$5jK@W76jYaJL{zwWRKdTwv* zQKbFiO+)CT-6OgTvj;xaP?MEg6)d%y7sY~})$|dsJ1ZxMx%GIJn)4K--rwkZ?DA(< zz&Waw0+HM_T1O4E0lqvN?PQtqUK-i&TY4b+euy^uxkKpU=hADx1y5Dx6r!WQozCt2 z27IY4ly4n@BDrPVE^%tznHTrxMzgaaT*qB#@w#iHTSsLoO2<`x{TufwK(c#FTHvQDk|pBm&~m(8YPV`n@z&F4O~gz%9WB#u~^dn*kfgqvL9y1 zpIrB>pBU%TiIHCPPe(c{%9W82}ft^~$1v-MhwppzAAtdho?YeRn!de04ZQ|f6gH@YsVx-7P@@m=5|2iy2o9k} zC{j#OMgzKG^iq`54)SOzCidl!92>gLKTfJSm5g=z7-u7AE9V7Hx4nF@f7`(Gku3w8 zBK84OO`OLgO!yAb%Xq4&gk#`8P5;|6e`%MaEn1tz| zXy@wc9GM<$WVW8SF6jcyB4~{5G5WkFxIVTEV>Dn#tgpJE&I=v`wv&`JjTxP4R_o4L%chj zEXKlCax|E8HbYb*pDy5Vq|>LTsglY<<>Tz8JbB!;<4z6vAAjB0+J2h9M8W=IQh_hktkbf(`$Yc2NtZ8|%0mh6* zU1(c2$ksxA03h_yV08{5%BH~Cb_E?mmm)q_^U9@>bqn7mYM%xj22Bu;q;($4+O2Bi z@-^QN7K3M?s8;*BxZOP~l0rgj5_|OLkgPHktO5to#+5p* z>hFIC)^ERsFKac^1!*!d)t=tbZrHG9=5BpOoc2Vz*cpGZw+(a;I}~ z>m!dW?pgNalZ(at>}>yH|9xF|AMIm?ezJPYc(ar7hAqvSAPuZACOYBXI(vEXc-&$# zWPluxOB?0o%89OH?LT?4b`AeeHeWfgTCUROfXD3air~p%^K|v-{3rU_UZpYg=<-`cX&B&P}p z2)?t{8v^Lk@-9gMMgB+lN1XvZVcY-~*QD#KG)#Z2_h%?y3+-4s4c$@)qo=$!o0n^H zBinGZqc_@V@_?7!Hj1*jQA@o+1cBk!Eg<>rZ^6&2>!U;c8f`|Ujw*gORlq$$wWPxB zyFr&lYnQ0`1YWk?EkeK4`&hQNzNPgb?yQVXJ#?& zsLUtLrrPQ>`#gV3%Zkok4h?40cDjvTL;r;Sk|sH15ea9LZR8sAC*&W=FG;e^w8r!k z(;rR5>}q@UPpY|Tc5v4YX4?TxnyT%+z4mGoNt0!boOUp~eNFpV`^k2qU71#IELS;72#T+=urJux^q@REr8s!Pz-YJFbm`p&CJ%y~* zAdgca$&+HchHSY7VrgtVG7KP@-kLH9vB4pov}#Yhtg5^Xu0oQ8FF5={VZ_00wRQ<0 z6u9O*1uh0EtNciV^V-U6q*my`<^@RaGn+vd_seKHK7bMu0tn81KXiHDlTJ6-?>9MJ zr#%|6D_FZN$KR}>iQp5)T1W;Bjym+s0<}!(T5~idJ#2C)-`rE=u_!5*1$^Ydg53e6 z_Lv0lLuS6;G5hH7o$GJvv<`r2=RxL{KknF3|Ln7fld0=0`4E1-6@*mECz!XI?Syfs zxuCU2uZDR#6(&k**XF4gtr6u7*Qqjx@p#cOd+u>kKOT=$Gaha;-gjqC(-zH`rYsWW zaX497*23IetE0N1+F-ECr%khxMMZP1bMMt9n;h+*tW=|)G^Zy8B_|W>#xtwDM3~{9 zl%-C#HMynS-pv%VFkiF!8PC44p(bi~IW0f|J+W8g$3Kp{=XSfB!C%~_?q#xR@|gC! zjW-H2wkpP`~8Oq9Kq;Dso&;mh<(Cb>Tj;p6b&DU9`aco9&R1FilPO$ ztt03Fe@EMMRt0+Tv=GgbjEu5`hQm5@k8cIv--TX>94(D?VbHhCxTq>$;erRw>Y9Vbv*>euD5M9t@DI35KCcHGc=TRt18U(NiYByH@2lLc5`vg65h-WaezTq{s_n5jK2#AQpiK{&C>^$d1y5 zfhyd?J!Y@h-1GB6kGGF`w)**5JcWAG2Kw;EUp!;i($4Pzj3(QPY$Q}xx*a)^qDjL z1HHZerB6QTXS&|sTvM}Xk$=-u&pkCf?8g;}HrpQmo_|(~_=AW&ocCc74J^=(TPaPb z8&t+Ql;c(|>xQwy#Ees?C}r15Ht31hGxsO%ixcQbZaLU8&;U`adl^PZl;N z+{w?)&N^!Y_^RGwXf`b!^D3G(I!nkQd@XDm^+dj02L&mla@kS;pIh6uR?G6~%KB`u z#20Dy&-oK5zDde;0xIyBYpp@^dt8Jb(bA!VfC|r^9gh8MfkyMw84E$+p(@4+tVLr1 zqX^c6*Z%Rw-n~5?3X6A?>G!3P+3JN$HNCq0+T~_!0gkRMo+aQ}I(BK+58P2MdBIY6 zXRMciW7xie0<$aD(<4qJQl^U(ihP2Eyu8f$MR1zTQ$rxtk>@l|A~nbr`BtY3RBM4x zRj~Pdi^do@kKyVc@#n- z$&ClR(W^mYNhh}*oqH=Qhlk_9yY9;PK4x7Pu;@dXJyjo>$A_lb3aizSW*9F$xlivZ zo+V(Z7o>5FEW1n)ljM6z-utk>&0aN1$851?-&TEa}9A+lYD8Pn>CwlizW zA6=3YUe?W+W#pa$zv<&o&=}e$CZv{V)Otd=w;n^EW#=q6x^|zcud}9zNfsnag=^gX(Ash^%dUxHx*ix*4SI$=qAF5~5R zCElrd*D26|M4N;A!XF6;#yDxx)H9h-QYLt8R}k^8mfl1y^DRJeFD)j9?rFZ1h)E24cSk=bf&qCZvN`0|tjPCO&f%ynSR{ z^H2o6kJf{0U@Y8U10(|mlUIh6(5QV^lvQqM%gcvI^{OCi^>5x9L%}{#x_J*EV=v69 zss=YB!9gwh)2w2|HE96{C^YCacOxeDI>0QnRX&r;St(?nQ252+rJ_*x!jke=x8}%A zUiZ7pyyj|tDO%&p$rI_T(qNXj7KE97*TG#7Y);jI1qS0 z9_vK^hkuZ)<0v_^7#sK}oI-o*P=EKV>AgRiK@@p_hl8tIu+gcH$1RQHDlL@>(Q0l< zoc19xx|w#(DpAI7QQ~rq`0K_}6~4&97fjFKk}drCQa&$-y(q>PiQP82w6Y#bT#za` zRU!`hqrAZyl|;MFZ3KlI(}ui;-M4}M=?vIpid) z_yOTDf3&==z`OL8OnR)#kyF?CPNs+_=@U-}>q71vdKG#!LkXT+P8T=$QBdK3er1$=ezf4vpQ3y2ZydqtwqIR_RBHAy9Dthm*ekb=uRTvD6S~PBD)2&`Y_GQ?mScNX3 z=c;TrI!i%HG)tA9Ll;!CXn*9Gt)|^=(kk^=4+3b&D_RPrhTRwBS<_d+jG~=qSNX;E zrO)4JNGpDn$a3yGvnXHQliqgv=z*I7jq{l;ug{U0Lp^fw@<7kbmJAC9U4I zaXpFtyO2M~yUtvdCOlLx&Kl@FDphrLXw002K=u4cva$#Nd^&=2;0B7(6`XfQ(OEgd|x?J?;ZWBwn01D3T& zNYt@K*`V6B8hur3ugcw756;=CCI>iQ7oe;S9#2E`WsA>y1~$fi9X~cM9W`3tQ;`Sh z%8Or7qSIcFhDHPEvQDY1*6Ek$>d#-xRIZ#}4pd+K;{b1@CM*>Ctatk2RtfK2WCEvR zU!mrDe1UW9T`0;QCcR9XsX31_tJRa352CH5xky+>!g~J`k3U{tUslt-w!5#dOuuqv zYgy}qaxDo$88$wMTP~a6a}!epPHw*Owci+@7E?+T$LohP5;#_7Zj3W|7$~ z(O;z^1fl}4Tife0WYfBw|4+G^vD|86i@}~?%dFa6!48>1Y?A5Eg||29Ld(~ww2)dM z1E!x@knz!<6ZtjJfL;O50k@&$rCRHliD;Mkf%7%{^!ezOep1vkP@`@_e?`}ou9cb6 z>GY2>Y`fn|p~&i#BqYS?<+`m(G5Sm- zVm2H?rC7mTukEBh7&yFxo34so0AnZ+KX81e{jQI{leeE^OE8Yb^`Ym3VtbvZVMoJn z8vd(+XmC}{*)iugbN*`%F~_sxq2E08Uk`B~^35W5kbn%7imSvs#89kFd8BsUybR@# z>t)x!ySPoRg|53Ut_$N)R4F3QkmoJWISi6wE5Qf zpby_WgSodTa54Z-27Vv-V}Sc|0N4Y8z)u3)g(=`<${$nU%PHU|DIf*kT#+L7EL4Kk z$|2>;O0LV}3#2HODFL6yMVcd_s{9@KFyCHRQN`K8fgDR!oB1bZXpXPQ3(CuNm~n6- zNYJBMkO&m87d;y09EZi=|5$);@&W(H3|ReC0E3aG)isQV53*Uxl6&wn_YgXAlQM)! zdNbxVoOS)^Pr?8V3KX$pE{o9%o8^cIx`jeVkm&OHae%<*jeRPIXAE9zT}-V2SN%Q# z7jOcV*1CUuX!N+=0Has9uSe@9j#vliaf1Gp@Ql_+4GDwPM&?WC-DVf_t#wbE{M1G& zC>)~f^)V;&CUea@gE=4Xy#LHIzuCO`%$6;e_w0e^@Jsk$YjMqty>gf|Ft(q?KFwt} zH8n}9=x|svqe!3=aJiD)bXhv{?Gnd75qC^fC6BAjPH_*%$;77w_vGUj-0}I3H^tcY^AE_y8bAkZ)d`ZZUr(SPmSZ{A;79qHH!JuMo2C^k5> z5}xv!wUO9qANa1Z#vrE;FFps!Owq&hYOOCS6zM1W@us!<5bg(N90&Jv3qQpY_cQunxGam12-95M3X z8omrU$9P|pCJ~eJNrLanI5IDr`2-19HWlXm_7Bk^-TVbwIEo&fv8Yu8HeuKKSE}Y_ z6`Bu7V1BzJ;M?~ycvKZ5ya#uvRF~^Nk*hRJN z#?9zt9`>o*nsr#f9=!>OzqN_N2Qj7k&=A$GjXht|xqP~|y>}X1)a)>*sh^3_bLev* z0qTzcnTf~aM)Vzjh&RBg#T>@wigJExN=mtHR#w3*g`(7IEH9nHVVvOZ#VEH#kYRF2 zKZ%`9_=uCJ@AVTpz2l>hyd{K^bhmDYB(I{pw0JQO{R?Ii+Ki1}G$`z(DE(qU4XlVY zx2V-EypID0k0qx0oLkV$-E9pKthaNn20g+g4nw*uQO=sJIhG%{8TuD6@R?87f(yTwi$7j$K< z6RVhIaFRf3yk?z?$74y-9L{F8ZT;kJJMot!?(aA2-rd~e)`@i5LPedF(yx3JxR)se7_v^UfJW$FbTV~}bSM6e9?uDbfLYX7haK3-N^>kj7RiHQ`m zj-(1m`Qeb+=XqJcy)7`^2I7#&o9=OI^j)%af)q$M2GdpPGIeI_8Fik@Di-LJ#$O4A z6}ITrmANvgHm4Jee_;nAbjGhY__=zc!x|q!xsyajM-dt;ISoG58?E;4? zomNHE;8TmIvT1?n{JTIaH>1BEIfvd>*ukP}+brT#Rq6BwbpbjBL{5jR7TpFLa>-bf zaW3*3LFd;tkIVV>*i|k;iR&sZ)}oKAeJ%%l&*-m%4QOw=v})^dp5n_pgnXZ|y8q9h z8Js54g@j-$iiTlaJrwOn*OufO*8>7w+n`o216Ibb^c7~Oi8O{fO+Gq~SE-$AW!+l_ zZJJJiW8uIhkdb1gLgE6_k$7kq9V^tKo#;E@WY+m0dV|%)%3;x6279j27O1JQ>FVp7 znrySAUazfGmY;89Y%!hgKG;hlzl`$;Y}qxJ9H*@-0hQoBHsndH$Pa{F8pgxpT(n}k z49MY9S{_?|?e*t(dbDpyMH)R&f>nQsZHE2mR8Xgmz)N#xRn(&+aMY*OyJP)ee^^UV zox-S=MP2&9`q*elOM`6g1=7-xIt`7!M$bLMlN!WEYD9wKX0 zre>la7&I!C)ahw%mR5>&;*=C=Pk+C(P$DrHq+P?qdg+w4@7`p>)Yvr}H;JPVO1KlW zGwhxkUzPMZQ)(?#Nl^scmI-2R5T{wd6?KzHs92I(z%FocGm-fsDfU-NS&gpvYDcmv z;hC&|^1d_NT<1UpkfwGyD=VE{RF%Qxf)Sd|o-RLTw@|RgbU;*S^{)}2&7@8{LW5ke z8m;bfgnkTOxDF~0+Kqan5nS~;MxVI?gt{_>PF_b}O@rcHHq@d+V>|SPBsZla6;LL7 zDumRWRKe;<9#JsUHup(@-lZ<*Y7|6$#p3mrjo*vkhi}8wW(tzp5Vhyg>8V9k4Q?p1 zxbA%3tt{nL8^nSx_%0gxkCSg@laknJXpBZXMy)^Vh>hfctgivY_#~K$n)lpPs;c^q zog7humrsBG7qHE?Gq`4E88g+HZ}Xye(^BS8fKXYDTt579x)3~tbiYoOWMG_a7dpe( zN_8AfToIZOIhwp)QM!O5m2p(6I#JyN*o)Y_e!~2@C;pW)rHC~?C2-$nOOi$t3^D8cZc@jo5-ZQGKyYl%nZ%_F>RZA3apAXN!uGCN^^5DVr#0xt15?Nk}1dveZ`+mM^& zjYu#@#5aK~Hdw1xI<@efFEJ+EowH?G+2Z)^`@IrU7F@%=j z8ik8^G>07)l}tHsMm;vv=n>1lH495t951UY6wxheAZS(|0cUOV!Ie4>B_N(PEKSV~ zm;>T0f{cwp#kAh;MeXz7&q;%NI!8vUm3Y|A~IKR4ylYy!G0!DyLi9l%1183J6u<7Wn7pc)YKbv72|38kSdj zAse-Q3eTLS9qWEN^dq_~(%03M>W@TH^HNe2iqwqU+!?7e9=LyGBm(Vhb|X=VXPi>- z%TFM?;xK%0!Q|(Okw_;$_FFcM^rz3fw^k9ytzJEKZO_VY`~`&4hAy zod2SAhuI2+9tZdacjO&IFr-H$Jg1Gc=~Y#B&7Jp{ZrDHr^feLmgeZLqwK;_Q}w?;pUYPz2@L<(N??rv>Uc`K8lMi1YyJA8d*r1 zi>fUngQh{S)Ny#fch{|z@4X2I!1LA3sSxCuYrMKloNszl_;yETMkInX=(*#k#tPRS z0s@1w#eXT6ySk0bl?F^ckHtToCt;q*`-cgV5M4^AKP4zA67E}{4P$;~{+F3)P_zdS z9!s75vuDJrn z^bbE5k#Nwg+JcaRT=jtB z>xJ^_T=mGJXm6NSwQ)5C#yx5+9P9;c*>aN57h3-N3njV}J=CKtl#o<)k&p{JQqsBB zI86m?ij!Jk`>E?LhrgS5o*D16$9VxeaIIxi`J5aMm7%0`x;gF5;c#v3oK|n;Bl9X3 zEy_162+ql89cKO11#E(wqCCOWb^l&L@R$Kf@Zz{^X2i#hejdTrcZ0ZLI>9_pJRUY1 zH)4}0(y#nV>>&hdiTZz3b)M+RR*-lx) z6y>jf&D=qq#)b9=&?}$;A0XrOY4ioYiq~)7WPCCe$G~xOS~>mL19+^nQxcc(kmO|) zWr#$QhH$t}Qul+(@@%cucv*bnBCgPp43|BiZiZLNiz`*Jb@UJy-cN$KPYG~<@vKpj zjV_LiW(K_;^rWA~aEWt*Useag6~7b>DB&he*lXMbA#v8|b6d_4EbHtS-aEd-6CL?6mpKU!ch6};SZr3 z$AbRBJbXMRED0^f;D{pENh}@S;aR_{ZR;+y{ZdK#K5%68?2}6ZK|^Rlfn4Tn(l==|DUEa8bN&7ld$u<_7)%iXU`R3iVE2sg=Pal|RRW}wk`xng zmI`(yiN{WodwW8fH&M=AnW)&t*`Q=?EUzoJHu@eU3XPx%$Tl=CfYj3rHU?l*8CHrbS~PS6-qmN8NRh@|wV{j@Vi#iG9bI`2i@8U4wGoH1zo% z<_q=DfWMi=+eLHAGtJ#j%2X32*GLO!BjitDrD7zYju8Rm3>D*Fj;Nwg|5~tI-Ai9>z9}XVkFI`vm78r`K*Q!))!F_CB}w zrI(<~H`7;K44?Y(#vlLcSI`r11{xdTils}TQB*H#YGSMe3+$U84)1_F{z>KcKjWVr zXEc}~*uPf-LzR)_vr)jf{$*Cu=z-7m~7pOGqZW@W+~%)GfE*q0RwxilnY zv7h8qK@EDxl z8!xQ5JQKYgpy>dx;ebnCRzN3{a@g3)IsO6Cz{%zmaEzGaE?+M#$`XrGiXU zX5Cl98jt*Pu`)advv4Pu)$$~#(ml*>afgTXkC+)mtv`{0_A3`u5rQi&gU_ z_101FCipF8`rG9;8@;GQ*eQaj!b{WKWy-qf=^uTkccW*6t%a+&8Vu-btr^|!-ov<# zMlY@R#xTWvG}~>qxVWETM0T8?Il%aMJ4h}2+q9ejCyeU?OH=a2(s{JiI*<94^K^}F z_sn@SA5ef3Uys<|iC1=2r6H*mnf#s73GyHi7f6v$_5Eqok-_kcM7$)z-SWYXfErT47th#k8HA-TkC^{g_WH5&oxUPCdOx^}K1|McdNjVDAfPfc82}U^_F-FRKF%BRp+# zdkyFiOb5TMY;e|~p7+5|fBq6}(k`u3X#?kGC|rsEtr6c2K)W4SrE3~LNmKp3sRSV4T?FoFWLUnq1K z(M@$A71o0#=mk7a_2@JHak7oEMX~Z}hrLoN%&eW+uzc=Z|Kj=cmxWE`S|Y2CTd2^Sud4_l_#&?!=tV1Nv>`DSJ*^%?BkkR}4%8A%qKxB>$Eo-%$z;zqq;>i5Ra z;6TCj;IBYRX9_$Vw7PtU9|PST%Rw0^n_ckhU!s5e4-^}E`-z%0m9}$wu08tZ9BakH z;B3(BsXGb8H4j;W=r+LgpV5bE=SJW0J6t|sxgNH<0@p!GM+!I;@Yc^gbakGot^w)_ zfAeo!;37w(yZ&8}(vkvDe|;uVY&UY#vg$i8T|(dFW`IYA7W1ein%p* zJK!=bgd*m1!eREqK+T>`w0HyI6Y;OR#Gcq#lkKj9f0PVzDjENQ)uQW#3+Fxb@WXI= zULLIT`JhTJheJ!2ELsFbI^E2faP8_JuU@tc^7Z-!aKQs>Z6AmtP4N6rl+i*q zOceGdt2o&VXIuj(QQ4PR&XOG-FV@9yQ+W|9HA$$F+;{QMGkqTaJR5?Mj(>tZt4bAI z+n>JZDKDB`4m!O32f9amUXlPpomGvbwH}`Zs*%j@EiDn;fH^|9=O1!|1%>4Eq)7GjvU|VZnjljlF|`fRdMt{WrI(D z00`TJ;Eg(myY`K1wE^w4=`*-rJ_c^p6#K>W?Z+RnR~$Erl^1u zt$+mJtW9G{?Z!2sYS@=haqb zQRNRsBIWY~f%1I0yu7ZtxjawL5|EMTqAsCqR|SBu-Z11uT_4 zseCoTsn0&TM*;omDudjwj+oa4jQY?{OZHZGQEH}$2b8Q`c&cMHP%&N6->R%?><5NS z0Sx>V=MTQyCxA!5;@|zqrOGQek#Daz4ye_>w(Fo;SAi~n@Gd$atGGC{!d4yn6_->M zntkwaNJj@_!NB>N@DW#}+xgZ(_vT}y3wR5H=GF^X#{8Y_a`}kLtPtZ-fA!9rL4z*x zCN`%(a4VFa0u6fFYjL_j8(mY0j=F(pf>73i*WzScO1eqlZOuJ;17M?~(5`EM|`Dl;FL-qqWs*%{i%%xYm) zR<)|QxVq9xTO*Oe>cR)87f#r!8FAD>jx=5%K*ZzJY;k}pxeqhFnn>dy>|b*ilv$U=e?vC76b%2oX@APnY_F=Z{|DSnfcDV zIo$21xb|g#>0gct3XF!_#(%LeOdL5bS32wL__a?xg8Fw8p`9OmQGM>sTAjAI*pheV z@qhHou;e~bTyOuMZTeAqF8Zdxl;Z$l&;=Gwe1Ss(4g=L^&0e3-zf$Qkf}D+9gj8}2JS!In+v0!}$#p)5UXVr>dOn+^i{py~w0X`E=*}DxW!Nt$zBE)=;{=M!8)55t0o96CR zmpP3y4No0;G_CFgTnLx21A@+KoCQf|8MFShPqa18xDdK(Qc8-zGH2EQU}2l^B~v8Je7 zDx&Hq7bfK>UK@{AXPazh`ZJ3u^OP&ozNj7pVuok$W8kB#_`LGc zU0VY(r}F{x)~2<_!?VySB%I4gl)(_ zqzqNU%9I~MDLpMxY!@gZ!=$Rz9tDBea44`EQ&S@fB04rmTbBaBQf(U-lH4Xk9jk&d z0!G121QNIvF|fmG47eojw8y+03bu{Q{smLl1=zh6a~7Ad{^u(VpZjsyJv_ zwWN8|zB)_k26@0vAoq*{dHdA4-KEDqM5rN2X=1*~xo=+X%2qk51zY(NDw#@cT`Q%Z zEh0=B*e6|M^9l~O4VkVlAFZD>$<|w?vJJ@1ES{E`p02Pdy4+r`nK*2-KDBW)^T0rdd+3ir!L>XzT<{+>@t&_QO(@~Xl#vqDM}wbdP3Rx32OrsOGmAA zMg&#brfpqg>TmeWS-h+`Iwo6Z3Ab+_y{$MY-%ywQ$fn0E3${U@A4IEjtlFa0>WmiBdlE(vT9flAtz<8akUk~Kjge8`90G&ac4 zG(X5cN6j$mpjn}^#%Q=7+a&PS)hn{<7d8I}tYa%LVOmyF9=ZsG7DK)oU1Y1rk)k3I z$}Uc|yKfwC&6rd3ampT!8*2nL(PKS{CIB7i&5-YO$NpX$C+aJd{bVpfxSkI zFog#PbIY#w?(Jvt>)K-JP}}jil_t63PRd~^QTw_qeV z6AsZS!=v#d4x-%5fCP(6L6jaw$&eGEaY45&B%PnXlkh6ez%DPYGo+ zNA<{1BzpP!CKfsziKc-A6JsJH6N@u45;ZE-;K9;F>6I6$?AROV&M6AUz4M7DcXW|A zc$)SF?K^E;#=e(rTQW!)(%N+oE{H5e%b$J{K=9G32tSm!>Gg^Gzc13vny*aQJ8_>b zFHg55Z*kAWfY2`cb?TX#!?^_XZz8<&HsjH zX_;N?D)sJRk%mI3HD=(F-di$S&hXz;$r=>!BwN;xs$1b~7?*xxoH{}|y+E9we#DX| zZlOd4NzEp^NMy9qhcoiU&Hq8`K?Qt^-O`0Cm&#h?f(^Xyx$=F4Thk)~dku?E6^|S+ zAT_(Bq@p5K5fzo1k!VXCHq1ZOze|Izz0~hy*Lfy>vUAMhera;JXAVdE^Lef7I$gH6 zP3J|*lx;g-a>8yBWiF8iPkpEMiN!PjHAyZ()6noAXN#nb>L)6TH_bk!%y%lbs!y5c zKAANGl!Mb{XptnWe-?NbR6CsW>uSJhMQL$7QURanDy~tqUREg*mBcqAm7=Wd6x>NG zN>r|f2xo}cDC9H3P^}490|k7^7{2#0)1g@SIQ#b1l09Q}=H@SeS|ZDcr>9rJ&@dl# zjJ3H>!p|!r|)EKiLwc14Jbk?lx zhQ+XF+=zZL4(Ib=JuS*J1JUHjgmQFn&hp~^aS3tko8D)qj8D&rb{dWXFI>|Hesuqq zDqt>8h#iBgh7qA&63{{&it9G-XAtkY-*-SxFl*jRB#}k&d>R030r%<`tJO}5iCrR% zk>WD1R4mSd5820V$$1W_<0lS|GtFPh^`kjj0tEZ`da5_ohg!_0Fr$4WIakH!=Z>5{ zJvTBmGNrmrNGIP>bS{&j&4eKTui}UxsRz6Bq@v}UuVXxt$LgQDCtNGJ56A1gWr~DhzV#DG0L-u3Ek$(_6?I$$Sa#Wd8a^ z_Los5Fmx+2M>ElH0gYU{^=zdqso<=r42sZE_P?unDje^$%K{}KCElZD{xp9nRH1j4 zJpEKR6`%JIjFyx^p?j=RX$vE$=R3G^bOz4Rv#I%1xj9AbJGye_kRizv6j8?7+WUJI z_p{mNJ!qa(416mmL=~IO#ZeO~efbe}@su5GxBp;=cGi|2Hl^c?C4Wy_PSbhEmW~w} zEIgRyCUJojK;<0MQ6SMwPHhsgeWUMWA`m6NJ0m|8M!maDf{cikT{GrdhR+VWdG06Hj~~dyx&Yvw@*5Z^^9u`b$q*LAgZa zla(aWsAMHw@ zqfs+pfSsKh1@zff)2*|yol=gFU=v@**(rtGejk0!@_1*QQQ+%q99vy&EFCk(i0u?( zu1e)}8Z-5FePUv$G4yJS?RKl*zXw$$UQ2+t@& zww6^!$8afnQ3%J^Zf=IVr$ydo~dh@O6eZ>O{668fEOJ297r{*rp`qBDz|Ey|I z0}i2mQgt_PUBcR;sahjYy4UAV%Sp?~LdU>cR-?t#taj)v>)5Gm1$HQO{Dhgpkw5>=WJ@5F9+%Zsa5u6$89VubQVWtU4>Uh!+kG3U}w^=50@a&@dcP8riysCzmZaq;c1Ws|D4 z4b3enBkiSB!ToYPQa3Yy_1X*&Tq5Ms&`KRb(-?PlP5b znqe_wAIxBOy!@LoM&b`Qg9nzhRWo#oZ8#pU_Je792y|@2Qq8W= zvf0yNVn}~5Avj@qHQ1P0J}&1zce~$;J`wEX8z^rohU(!S%dU)w&CN(lgHaI?P?ejT z2lFmhCihr&?wtzbY2oo;7mGx<8eLpA7Vv3C$_;3bZeK~CecRbKwzMY;F513Cf z6{z%W^u3+_`t*qzhWw=$Yz2;!lU*O$v+db1)PaBb5yJCn7WA+rB1ive5DY-|OZTAyw)++A%>a|xWKdvJbydDj zpSOx_iJW_8Xz`{3-*OQ@`=}qET`{9rq$_frD$20rjf>AHf=;J7V&#Pk=wx#%d+PO3iBXqtCDif#jKtlCaV4x2T}85#PX+1W{%!>g-vg29Y0BV3jVd^2U4>dfJp%*l8V&rYGw5&76WY z3Ysdw`HJr=pt%CbD%2IwZ&1{r-h*ggp-iY2_7@HpP7?An=UdcDUw?dl(A%tUM1p+}t-a&F!9SLq%@?=eR@&TMO2E3DHpH{6?3 zoZ~#xc|3WrZG}fE{4+X)&O*M_rzi(z!l06Fa$U0Z$n11@C^Oq`a%FXdHQVmUgqu(wi=!|V{0S8ri?IHeX}~s@+EC~K3E%>ff(v<|3GqP_ zGy9#$VCb)jq*u+Py@U~d!qe=w?d!#MJz8!k5JGmM^Sf*f!G220MD>zPJD8YUEQaeP zWkPgn|9*tdoB`1N$<`ZQ)MDIroWwgvi94*=Fp{dK#sP(SO{`?E1V~hd?7n;Yg1$cT zep!37K$gRnw>K1oT3+x1UQFe%G1YzsaK8c22D6v9T_rcj&&lbDjQJQ z47SC#bGA!1-eTJt+ZNluY)ql8(gyKwj13f8j#!}B0wOGZEsHH{EL$v>Ec_!3n8wUw zppz-bO{plRHv=aPdv@5%!|0R4&JTmbSX~SC_Ots|%c|AYv~M-|zPhCvR-2>b_GYR^u{B@&U@Ns+r5ZM6Dn5n{=T>!`!f6}3iHU0X zu&Hj1!df@1ZP^C)o7;^Qjn${tYO;g6Xu4FfI{cf6CcxZ(oc z%R*`elkAozmCc-<%RB98+6FG7Jcr#2iZgQPx3cvjQC==8h9ZYCJJaBIsbKW?U!IlZ&vgMWW`D zGet%_PH7X{uZMoj?k9vZMFry3BCO6uqSfL85m?*MkWpV>4<2i1pvy%fOD00uIarB} z4j{}#v!4EJ<3_fO0T7)P`0*9oj+X3BKo-_QNlQtQC}U$KVR3Pio*5amgzm!JWk)&i zJ@ZU8e9s%4P6HnJ+&DIN!~(Z~gJ&^(WwO*gnUWK8F*`^cS81iIXJ*&bgESGA6Ii4j zBZ88m3^Xsz2g0x5IAfs%G6u~#|7d||qI{XaRiZD*V=^zP4W*+~n71?f=7PmW4lvhRBo-H0QLUxF1i}I=bP+U(2bWx;X2mFdtqG9NU&=GHn_TdzZ_r1A1b%c| z{1d2bD#;v@o|@{d3J>>I_U!2`kBjq8v{<~ny{<5^9@kZ#9(uM?^1PyI9mf~Ps!AVD zCOl6kG5w464s~v6uyYD=jh&adcvbpXdV*M-YD2k&CM*k6A!x{Vy#pg$pTmfcVNt$Q z#OQmU_leYJD8k14&v3Dse)p5K3n8CcCE_QjmHJy&8jqZO)x+!Wq+kqE8* z_@`46@4uyKwD82|@0h}@&>ZbkJ^#v9D}Hnyd3^w2vA zh54Fy*cXHMvHu#BMa2qG#OWMw4f)6q_iij(OvTBXc3r2<-YRnbwZ%F7p(X4m;`YbXS8GxnqXS-CT`C%YdU5(l1E z6wZAI`0)KvohZ6b^4CINbY|^pG(bE;3{Pfg7*VMTj)^Z6i-#)Fn~H*_+~VBSp!l)* zZ-69|5>c~I)9%$i!RVv~zV0%?*LmkfqA$_Tv)`aILPN6fFaOzh0>uA(PFXUtJMTyN z&$bas6}(NVVv(pI!S%XywCK>Y+s9Xisr+OG26Uh(HU%hPP4iphSD4jDnGexfpafIV zX`sU8;Xd5%V%HOmp;XxBWJhc*q=uP$Ef_t|RyX<~ThGx7+l^y+gIVcZZA6M~xG34jv^2>oz#6 zVgk!5RFR*cYE@XY5^OYDAnO8KJt_%w8%qomZH2|}$iw`SMzHi^X<3C-uw>64qYqD4u1 z@vig08^}Is@-yY<8+otmnHWb*8o$fT51tB&1~xt?cHrUZgV{NprWj9%$S96a(b^`xdY0}CYQ}A z`=N|UDFc%ygUZREH~t%79ss+QO&%~{a#=Sf$dD4H20y5QI;e98H`j<5=HIc4Tcd7W zxVv@Irn?B^^Wu1Xb}!giMW=ocMeEC_E>_3jy0qITSL@m)S*Nh`6I(mt6z=Xg?hMae z2XSs?hx1lQfkk6O`CvJeD1uxo(eY;2{$$=aucP`^lQn2RdM54p)yK~@Z?@#xvgwr$ zSAk(;t#MZKdzn@^+x73JDM>>5f`HZW8U1DH-mi0-iDa-t1 zHmWx|mS$%e^OjoSIg4d}-!!vrz9S<&=aDRHM(!iIptuZdj12WctD^PVr+}jQf;Qo` zV`fd^=DK``zJgt5_%p|d;`}M}I4}6LdrHL9;%tZ7X>?>wXvk9=GaBY*=R2(hM%2KW zjPtqwCyGQ+SR{U%`-01YrRZBh74LH@)06%yspqH>=0s<1jylj+$LrRM7aN$?cZ+c)KwO(52rg5ER>Q&by-$~lAn+)H$F1z(7F4O7uC#nOJu_Ye zUKQLAp|77Yy<7*;5_U%>bd3Aoz$U6B)(MssxNOe&)J)#_{GXpzXS~m-Xa6(GI`hs|!wZ0!(p>o#uOG(YGcfivI^8u^DU_D?lXsyQ_ zT0`b>J6u0Z{E9d!6^-%on6{yaxwrap^RGeY3CxOi<$d=M43!KoW z;v0sE;Uv)-cDOD(YkQ4Io%Vo3tv4@6yK|D$Ou(_(p-wk0Pesp`vO|2QyDvM=cC~xM z1MY8bJ8>;KFR0>&;*uhVolR*9vQaXbO`D!>6VkLTAtlA;Cl=cjy?TY)!mqTRrhA5c z@1*w4g*z{1);jRW)6Boj3hX>OiM;^?!9X?m~ z@x{gQ+qbUrD*X2k70i^`@pMqlUNkGh0G@e$A~=aQ=+i-A{J6HAD@Db+C24xJIH8~@ zo>nLK>xcTLe%Y-53K*nW^eFeZx8|MN{L#?`xV|g)){I3Hmz-XtNwPF=0`t<~+U@nC z3bkvgyrNtIx4W!iW6Kn9cI-IO%0up(o6hEKddGPdmp2Y>ytoe)PQ_8lR2DUXs$tt= zQzsQhM~n;;DRYJoFDz8rMvok6QFdA#&%b?%QTF``9p^EJx!Ka}e&YOKZb=5vVUG|+ zt6S%v63E%b$~di*o!^NKzQOyBDW`1tZuZ9`MV#j@tyVdw3}1 zGj(!dkP4|&=qc!otQbUE+?#|R;I#PM2Dq)uMcaKeDL9zT6j%#YINU0Q258Sh7N>cCBh140T0IEO-06n^oG9z)e?rUBIsRe{=k9VhZ+u7K7#pK|EY$YJV~V!C!fx(3OOAZfR$ z$+`>|3X$E=fq%y`ug(Se`NFh6?a`p*iJ-8EQGUNx-F#XPtmi;VMtYF{43x3y@J1cK z_OoGPRk5qenU&>)@3<6BYn~l8^i36STS#aF?TZGu-&?e%grJLM5!+LuPn-VJn>A%ANhM(jF-fY|Lc)gii3?Ce z^rJZ|(*g|zl6Py28R^gON%hmF#Xeqw>=u*J3XYf)!0d)39}zPbadEwlrAhn&3yib(&n>sWeVI1@)!CR z(FttND};;Dkp&5A+v2(`i7Fv-7rS8R&-WLCh|*OrSbH~mQ#;XPp~`a zEqd7At4AEQyys(H!+RD_iRszX9ugU85BBl$viHl(v{yd(;FKx$+`K${#r!#QlKa?` zyP8M!i%CW8Z;jn`gL`HD5UGEs;n5x5?BYfzx1u=HHVTAW{-OOHKc9P3tZVHm?~v>P zZ5go76^lK2=VFgdc>B)0S!n@9i%_F6JHrM`*@?Yxtg~cWve%Ux(i))}RL1*F*@nJZ zId|aCQ|7PLPYIZoZvhiC^2K6D2AXHJi2H(%Q4IKaDzFy>@PXHY1W0FV(OETYMt_Zp z2O^V|%dP)q3&?zvT^)2j+homzV{$UG(JH;7YJGxb`D?F$^XmBOy>sCV&q(ZMwwB%+ z$;ZY}e1Zi+0gtck)BNf?{`>}l4*Ro}1IXW!Z z%-2%^9xWM8jb!bj@DXKY%)s(;CMhnC$xTmZY<4>%peP@ocqaa;Lsr>7iD>tB2_4>q zfX@Ag+(I>-mM*1#x#&tVkK0D2edXfTE7(^i7wAVvKC7R()Bx*k_UxRW|J*MgUZvTz znC&q)8Xq&*MfNYgxO6bh_(YZkKQ42XDNPKLzW$n9lbE*yZ4l(~y(uqBxPwPw3F4wp zPWk%*4gM#{8Hip3jsa*Z+5l>y8alxgG~ac=^-pd+dsb z1$*fx%5>rwP@hmIVQ&f02{PG+y?##+Cbgl!Q68iTDF5o{2ZDJ*vZC+az6y9HTYtwRukM%<6OSn9VTJR zcKA839AE3d6VQ+W+_fgoU#T2u#*BbiKf z;^mtuVsfbQlo$Oy_9~cj(+r?YDw7JRzg2&W1?UImG0@*HK$9Fmx4EO}lOLTu`@!kY zKl*F=`d9Wm_kY_#A)JENqa|Q67zM_IF=z#P3giJDdJFAF2hm}7xF2uXPA}jkQ6kFt zDkWqrW?yWlG0+Q#faWt;wtMOC8if?t&jke=@;E5TCY&vC9t3Ru)T`Bg-?HL}_UCC8 z8r*t(9E?VXK>z+A#aYB0{QIyknobvS&l-=P^#YD}BxT$a859vA@S%eCGL~HeZ*R(9 z=`Df@Udh#iWHo3!I~yPw&)Xzhag zU-T~b{b2Jee=$7rbnVMY@Exb^(QoFhJyH9}(@)O!d*;Avn=97VFPwC8N--O@Qk*6) zUAi3LGr6cc?5NJ~T8wK*o6UDfW!WyL>3{!NXJBY!X3k< zn5a{V;qa>bTV?fa>-%B9Z@Cw7L40`(TYhotE2hNZno5gZzlfC}I3$FA`J=a9KO-F; z^x~5npBubmTG`O5=Lf8LeBpZUvcUJY?*H?e*(RWd5{8SR zP$Gf+ee`z}WRrqTca18!ElTQd*%RM#6MN|NpJ0a_EohJo+)yjANGk`hWF#J@5Z_kf?M~6ukie(;{7-a zQ%z%7@`@rr&GX%QHX_&!|0JH z7ANH|_X}X(?$U3dxQhSxrAt5$WzM;xT)RL3d;v@v;$F{vj7L6UR4mn-s(F=)!kOG# z5Tvpg6)JvP5U%LtPdGpNaHy0faVj^FyUHAIy&(7T$!h{`wu?rtvGXJq> z(41xK>X&TXTF>bSD}x3s11YB?p%Q*~Hl_h=OwA&RM1pmk4vzNaA{!lzb-hi&*~ofb ztr+?Ch~H69HNfgNt_A}6p6Ft?pku5WzW(&l#+ad+_g-n_C%iUo`|0-&pL&1dOG}q; zdVcv6FTrK#arDqb_lF$>S->%TYSZ7}{~vm8#cQ9wx$~7j?|0V^3tHAP2RU6&dzJEK zQq5vI*h?hh2L(}l2@bOnhm%i#gRc$X+!TGOHOyRaHEfl|i^2v3<<{xw7>cW}0;LeX ziT;O9f^-nJ=^JO+MD+Cqbm`J(AojlERh3{K7z~QQ%-K&Qe2!j0XVAN72cYB8pRsIr zwQOg;;dD2Utv`88D&>pIgw#gLkMRV_kNy`v$K%|-Y)#y;{-mPWxqGnzx**7~9c57z z^9b694u1)xyMgcWss{9@KcN~h091q7unxq5q)SPwfb7i=(cYJMb!ZJM7aRs$hbQ4W z+_qal3uqC~YcG@f3MJwR_cF#^<9R#^v<3#?z)(0%-STN_OH;N0P3CG)_Dy*-yT<@` z?1KMx)j+3fJKXK$)fKzW4tBj%jGs9Jr^OkZ7Tu@;yS&1s(qP~HIO;SmQS`fmMIwqH zD&?LX8v3hg!|9Vd@3`yK4oL)~S(Ado`lG{TdbB?-&^|yPp~FB6BDXXj|42lLL?d-9XM_$Y>PF{U>2xvCk z3?;?_!(|QR0*wthFW!DmQVc62jY;E{kp>5no!o&9c{#TxqQ;*Y(EN5~C7oL7gnM0f zUY%S}4TN@uhS-1p*!=!l|+g8?)X*fkz@j{0whgpRsQ5c8Ro=ays{d z$8xqmoSoefm|jsc=PjVxwtA{TDsNazuOHGZdF`LU?)-5C$IHaUD|M&HODv%A^L8_0 z0mBpFx;10xco8G~MYLGywzY4xKaXC7pU0zOUd06j)_DdTKE%ft5mE#cBB%HzFa57ZO6bp7uo^DFY!l3r5?oTG=&-2;uHp;L8z+1r&P7FiNF(@rq8A#LROTY^TZ8v=+Shv{8f@ay6`iExC}|%!SmVA z6(U}&KzZI+A$Q^&?B{zBKgEw?+KLn`S+`QUp9oUi{S19{SX1Pz352bRr`|aH&Hr{D zt!h5oQVGgFZl+hR-s&2+t^V+ru0y=KGx*svkb(CsS3fGK{6?>ER%c%E@d=M&1Ho1i zsg2=cJ{JhFPe|l`?(re?H;uH9-2KIe(_4*6<#$BYnv1{XEFM3K$67nOV9xT1i-p>h zfLKj36Cl93o6F;xD2n$iI*$IE_{i8)U8-eCqu~hp_~~asuj79Ls%J-|_fa!yLT5n& z0$xA?XW*iDM(7g{NecX*d!6159A`cRIa^;s*hW%<{#@C77S)3RZrg~>=RG;OhEUdB z-d+-l=kJb|7h60^cz&MZG0-P~m2RL%x`A%#a(O=4E#CmW-!Zq0*_Rotj9Hb7_K11S z(Y@#r@NRsw>O=$B@Bo^Oey#*l&tIY&mTz#acyYyZe+_g!3dg!u@#_A9b*c>4$E&c+ zds2>9A}PL{)ha0#wcdW#=7TY1JyFY|rKzKz zDqFt*D0iQJX>&08cFoFhkHo2bB7122R%E_(@bPDZ{`Khme%0B%yC-jF^M^l{u>i|h zK=phT*D|TQAmlTAi1RB}UdF8feR@YTc5E_ zDJ^ah;zZ!dmGV{#NvG@Fzyf;0MOd?>L4ko{sZXXa{S_s~F_L;>B*ihJDLLn+TVrHuj}2BdX)>D$f;eMO z?(WsVr5ko=`dn`AF(F_6<$*1!j1UeHltaj5Hazn7xe;5Q-?DFO!%N%2_7?Q7s)-Rx zLLVN$e1N>=S@Ic`=$nfleDdL!AAI^X)&sU*qLe;>Lx*iW9~^WsB?uG>>41P>nzbj~ zp}dIG&5v^jdqUjF)(cDtz;eYoAQ8%={Bc7@CuI$as#6CiEE^b9;cuuKfc^%0eW4hZ zfR4QRC(wXEkZ#;4-+uj6$b_=~}U)vKdzs$JHJ| zQo5rZy+F)lY@2udgD?N`;1(?dz;LfITefW7@#6pO+~KSmF}(_e{0{-?*wNi|-5_%* zu53D_&VKgQhiCqIp|!tfHMwEXrzCtP621+o76Q zhRf%y9sbyoNi=)$VIT|?Gh%oU3)%}SND9|cb%8B(aNrxq`}6kFKjB)LEgwv+okMNi z19GiKi`79IjOFSdtO*9HvKL+e&?xWSYx5WM;N~Ip3j@Z2JaJzygsKOya;roN`aG7K zoKjQOuXy`~^kfx)#@d%?_Ky<9Ml#(*B8gNQ7!@5&NiqZR!}*lbljaw(3MFuE3QH{z z8_BFYkYU`qz!e;t)-D519gcAN(5?w7I__0yTNgrgu=H!{OT_cY}GQ7 zV!%7C&wB~kvTLjEJN_5f1gqu{I~Nh935W;yeJY#_Go5PTsbr z0(|`%Y^VN=>x+15NTW0ex2+O);ucPLAS;j{DxNJ@sfh4!X-srAG z?mnC@D!T7w#lq?$Hep-e47M5;p1j{dLLFR64NiPcSkhLR@m5crUpzmztmdJCkM=JY z3=r~}9`(~6dd825eR<}l^;m?jK3le7xN9REx%2t*Eu&qp($c!}!uzW4d-%R-^Im_S zl_wV``XwBvP@J~jez={O*eLP}<)rHG=jY{()AlE}p@?M?w2G->L=-+)Kz;@*HgYSp*VlB{qtNubRyRklj!M( z)^OSTBG(Vyv4U!CM{|8rTxRK93x&EqIfI(dfAOD+gFAP=ePrwYH^EC6(U+jx|9%3Y z=-cKGKmEtY?_c=J9fmbX!Gv&iP7F10mrtaZKP_U@Sj^R(UZDbkPk_Ha%~qX1;RYkk zE&}YSeAtjhT~>8ovE~fvoU~ksqx?Hnn@qW2keFt&H_zp2O|)if!`2;KtvPB^#vD|` zyxf0&@uXShxX%3OV`Ti`(=TzFK8MT6IXF#&C_^J72-?djrnip|BNPZICew>eQJkJ) zTxe-=NX72-Y!9cJOHXOXWaLUnoVMFUbg?(nbIGKXZnE@;S303fS0A-v;Jo_;C0?H# zas7vDD}}eLgWqHMsjyw!jk9YLvE|LmPU0QVBP=p94BNF~QJ&-s)dD0}q3+t9W7dbp=!o(?46}C## zq6ryCmaLxnu>XkCaia|O#7O^cmQlI$myMYrDR7hz>0|C0=^tmS=HjAg*#IrfQXGEU z4v`NlN?!p@2l6C=fq|5e@6G3NSzE+E$mJ9Nw$h!=A*y7*WA1WD-P$S5!xkS~?dUYY zY{yEFyIsTwk4+rC{0aYj5Q9F>%C?M%oLW9*3OqgF%P$AGk_xRs{k(kK?a(zX>u`SE zjPt8`r?*Jp2Uy`svG@{q`}z6%`v^n1^!4eKU%Ak5?HG4y9C(udWx%b-KAKoS%5{tjUB{hx=!Z_r4EOW&wnsM9uuEd*jE#RCRY8F z(bK=X@#j$}r~d||5ES$E{ipPyFS#Dx@NiPWdN}*iu6+-2ea#Jzbv9bn?nF_|#z`n~la5Be-id`|A z8t$9^KPrM-)q*;{MS8rwQ;?{^(k&wuWXI}a1{ zFb}hyGAg3J$gGw1MMWW3z}Kf&{S514_k|fk|9@eB1GK?;5`r8DF9HAm7dF9zky*|#t2KgS+g{bL-gKj4L#ed6zSu&| zhEb-qHn#BZK|iznV@o6=^eQ_2KOr5hmjYB;6!GrNhHx^?By0B43$bq1PToF0NrM$a zVrwU+%j^m!A6rKCo@nmXJd$^id;0V^D0IMW1AT{)C^mTtSuLOnOjsj=CdYuiLBpeWROuc4~ra63i!bR8pCACN{_Q-h3d&y4+0KHLq*$W7{yA z`&e|f+%k-PziAiZFFVL9%VBLtShV%r6La`FBAt+I1-R*IDyr04t8<066XFnW;FPK_ z{vv~4!|Q1KKz&scAd=$$q7~#yA^wEPU;Lo_$(|v;A14uYAsqR7y|Dq20zS?$YnQZe zs}QU848ovQ~QTHy{-1T{$=uT`?@6k(7 zcCnx79`=%~-b=50@wb+=3&`;hz5xFZY;(U?-C&pFYa#wTBpCVa?Dyo ze9;iEy2|bswf8m}|4n54++GD@~%lPaR*&iewNb8fr1Sx|2vCD-KupRu(OBFL^E8Q>2@rX6c-*T-7#a#1xJ?j>JAjZno>jUc5^< z(x)dKb?LAJicvQf?n{+m`U$R^Yd465`4`Ow4zj8vw7HlRJBD#(ZLdJLN*gJ^X<++l!>foJlUurEoW^m!tehH_G4^=Z>*KLvFLTDCtu+6;g)>w#@Fk*?N!4-b9%n%@{LE`t(tId%!dFJXf;U|qQ=g*^B}PdO!l8?^ncK50XZE*IvVN;h4<96 z&;a}0XVMjWILyVUQ@P^4rASz{k-%#e{+qGjzG3yn|8NEZ;FeglFIvZDNN>{r8!h;^ zd9xbF|DpAN(+ddLw*^ixv_Vi;YRP6t)s)du63jV{rpS~^u}Iq%zk1Nis+FJ0~{$;k9uQ|Gz*tt*B?0^c*i2>sbBv#kC)|r@v)Gf(cuyW)v>< zkt+etRi9{K6iEk6?N0Sh$c|D?M^@k)%w-=* ztst1-Q>EMCWZr8j`gdtQe2OLH9_CnequHa2y<=YV^LlXz`VUY5SN3ACMgx==dDYnj zH2Qi{e~)LvgIdV*C(!N_v&ePwWeYmX)g*MN@lNgvddHn7D%F#tgJcJt$ZJRN`g-!Z z;Krca&x!ovfogLdr2xAp0B;jhbf%5uGsa}{aDw8-BRX?oxTZR}P6gL@T~>r*q~{9( zgDv~EMG3=6=QBMn?pAY+2=AfB4fR0k2ITqDMed;|m@TMW?#ASK-IIj$v5gS#+eSL7 zoo3UwL_tSFT>P&`Et418r|jqZxODn~spF*1*c3I(nNIDQV=?l!qpwTVqAfl#aVH^P zXQt1;EssT%?}RcRTaJ|-^r!HZp_&_5Oko`M=km`wtKyz5l<3$UOjCM{7rkiGoSi{3 z&iPDF6;?esrkcoq9&UUMo`~C0jgsIH8?86%<{#Itj30Y^uuhX~InS*fF{iTnwn$PV zA8a{3Kf>bfFHAR#kCA7CJ#~|MUNo0_+iBAYR1Up1Mgp5C>V8ZdwI43_7dKP=Q5E;# zdpAP@NF9a>N4s-9yKZi1kt;joVa86KqxHrwSz~!2FNjh?^gqLntHXRi6R3!Y+Z))t zVYhHoku5n#Z%1OHN!x3cf8S)h_qUHm;rp$2v4UH&lV6Y!b@9YBY{@Djz#$-RxK+hm z#;W|3W4dGGZe5+EDF}YCN3#po5^2PSgt$#U!f|60gXZyj(FmzB*p1>vCk?6Mw<83Z zstls+`$Dw+{cy$v1sHfun3B+q=eK)7<=e!~n8inR*uszGtJtv*lpU!Vw<}TS&#v9c zWO>FA*ko{UFx#)(uMM|-m$yCe-jaq_-0k|S{E!ez!&}xX{9e}-M=Zj(gSwjY*5{1f z-T>MS?sS$DoxSdOw39FUe;g#(%Ni2<{YUvw%!Z26hc!o7mPX-|`l=aBm` zK4GppyHu~Pk0%#wX{+?#Q=A-mbN-Bb7k_L`E)}(equKGTd`92O9;}0{w_Sg`ywvPS zivJhxJl@S}VAow+oAl3Zxmdf?8xzqTH#odKHz}2VFV{yuD2Rxx5_KP9*mRAKyxS&44!rPx?*FIuhr@DeAS2U`E$ZS zkz32tbs(HISMAk^4PUqR>%HLQ0G-|@ZoALrb5knbW$lf6T|pT`F)$R@U9{T1Zrba( zp4;;}hr@|l2cjE_BX-ZlO-IKK?T(N8%O1~Sb~(?+^JnYHNS8(GL;J2Pr2L{W#iF8w zGAcB4v>ntRUk{#@7~=B|Kevs<2qmsMON?h`Ah$B_X0DpB#z>G6yR% zHvBb(oDxfq1E+)P?yc;#BN{VShv*JR>5dNR&V{H9(>wc#A^u$CXitx_jwI!VHG8$#@xpg@Vg50gBSOQF`05dTRzAyYfvX8*heDYx#yN3F9;45J1hh3 zxHMq?vkJIKD5*dfht09wwX|W~D%q*`H2|&5ca!IGi{-Vne?`n-#hH3wZ1sj=^+nRV zIO4$v^8$$_7)l741u!fP=D^wei~N%VCd>=^<#D-U%_;HLZ}~^z_1xmskM6T8p~IGu zEGum@4M96I|F*46Tf4-;t<4AH=#4knfnSlQ;5rRXc2sG>)@>bT}97LhFglaUn*x<}eg6^C(@*m&i4?OxR` z@l?@O>X%y>*;slKojRl`mrQhKXP|2k!7at9%&3V6;vc0nn+ly zcoB*I0ZEh9IUWM$LT1Hv!VA=S!btkyE;eRn8sn*1`(+XnojwB#0=kqx&(P?HI}3L5 zz3@E*+dL#e?mTG%2?UeyJ7v5F>D?@jv%?@Q=BE_g^jMCgcrq0l0gQev(L)ic9TW zO@N}Z%_F`|smGf4#y>ij*l+jteD^Y`x<-;~%}rm2vBFtF_)(x&XxDRpBXb zfSJ3rWP>hjc02Sm@u;G_{{ZqenQcOv*cv-IJDM2S{Kv90w1i}0q{pYn|BuDZO($w$ z?QG&mCu(irY$9x8WM^zbCv9SD=4_77OwY>83;Dmgy02fUaw!hb!|PO`nGVngF(w8o zGE27t7U?S_W7s-gblP}-wQ^9 zg#pY#Jj7(R4MGKoH0=8$#jfUBbVY#=x>jGjCHe29Gn(`oU7yr0fMZn`X_skJ{;a06 z-x1M<4Aa>_eHPI9-z_*j84_m#!bz&(a(II#F&rg(0g-DS6=*cqe0Bh4I>p|;mPZFi zUcqP(uyHxjVvKU>Qjm}8#)*u_aT#ZCUguUXFRF5+A>qGbn&(QTcUe4RnqJWn~@@T7EQDd{-F!#F951WddK zWCxZso8x2uh%MX%M%twAeL=F;GFt?q)V!!M6a^!S1)^fLD^ZGH!MDJl{z2n$1Kq-C zWf|jf_D+T_&jW^Ts%cP=&Q&aTlg7W&yh|y5o$P@nwBRkCpsT=eQ&sKQh|^~ij-pInVam_zLxj-z&6nw#;DvQTkJgK)hu)T zvh#bnBM`528w{@6C!+PFC@8OpMI^vho?)vs8gGPoMNDW5OMg!4rkAR41i!X-6c^D- z?w*RWTKU^6e$ZY&t-N(-+phbAW z7?OkP@$)2X9@vl+4hn*+M}QtS}ErrbZ zyqdGvHUX`;DM*#!-LAMQVBKYXzrCHDr|UonFK=;i zprzQ>C&1=CQ!fziwW9S5sipes=b2H<%*G9^`3UY-ELMT9{~w+aRurUXDQdTM@Vi90 z4qH>i8UD?+Ei(${h+qBFh*4||FXMf|9v&u$zi%)$yY<#QyRY``?Ca|3CM#99 z#+IgGwWi7_9(WyuHvxB#)9>Tn`r@K*l5SDEpp$IwHufuBdu|4c|9(+fp0rCL_xwENV%waf?5Z|~}a7~pSyd9F5~7vm6v@+s?CEW!$hjRV4ng|Pjm*S{GYK<%L3Vp|p*OQ9{# zc<8c0iwew)MUgccdqY(e=9&9FFFD27p1#FdStM`hS$5 z8;_+=VLZ1YP)h8&IAR-FPw%?$Rh%{faIJW+L1VY@qC1I4YG3N)5C8nBWz}uicL%JF z>?|xGXVZg}pr9Zo<8&0H0dzN(gV4TbL~Uf|{|W>~ zjB~=)Lph9;3lfVdX>&@T!R@2j9Aopge!CK*ixu_lQ+Q?kM&m0D^`?GV#tV}%9kB7W zj?u4Q!2^>yW}iuHtkVr^a~SvW3vP@$(T}NY*py-yL}RF&W~gMZR+Y0Z9dV<_wlje` zI1VQ^f_J4KMKdzZkB+_qmCJ1Gos8~@ZY|}hjFf8{ntGKddy#e@U2RHORvvZ`$BG3W z2B$2O+;sbwfrzELB3*=KX=+toT5b#@Wf>frP7xPhsNI#Po3h3-XKOy-y$>tA{9BH6 zF5-sgh_z9cAv8Vn09qxejT32Cb`h^6eX^BJioS%J2@JP4U)ZxcBeiq^$BikZ>Z2-;GqAPHNlekC_KVI7C1M|~KQ>!H!<_f)i*y?EV6LO0D25~4=rQE7`qsf%i|K}NF#*J45D z^e}V?^nO5ZlfU^j2>_3Kep^x*T*@5|?bSYjc0RNXZD$rKCv}hA8$*fxqp73dWu8g~H*#b~ zT&Jw#YSdY)V9Z8$n(>vhHpPhDgQ;vi%6GT(@70PS?gdVXKcCx%MhP=YOJx;_n;iPO z0kPc1kq4x>^+m)KJS0V%I+}bgABU;MTN)OrT^J0Hp=(?T4F~=M;>lwOyJV>6hpF0; z9-gTCzXiaMB4dFK49Wxinf-@{kdV%p-g8QSQs|`%-ND7HQd>LpEzx7aaIMB(R{mbo zCf^Q~Dg2wfmN1aS9&A!NYx>gzzmX)0zRSzqJz%ED85V}*1U`UNU2b5cBmPcm@sWWFAkebYC(kf2s`gkInirMZ&Es7bGQk6Jd zv*Dg}b5PUjx3K$B%0VBzi($XftOw~nQdNl^&%9`={yzJNfiu>LMDUHv`|?C0hf?;4 zn)KK~mOg_3*VCVvKPl2>>3Dx{N!uD&C~{Old9Di$n`L(KlphovEa5|gfp3W@vk-Ag zhLV=LXNw(;SD%JtW%2~{*jqU$)pzRKyAFo0tLPhp4qWZyT2~f_wZMW40@1r=r7%jl zTZD&9YVm2v_;TV+=#!Q#)&6N*4Gj%Ja&;HQZ&K@x?x1gW`+R4L9YKi|;IOeeiyF}c zZcY6>nazNja%0E*v4gEHg8?}mZ8z6*_N3Hio_09Ec5+NOAZ;6j?;V7#zWJuWN_Br*~Q0_d>WLFN;Dso=t#E&54u}Y06Kj1mR=glHs7W7z2WI>2 z!b5j10lFMjE$%DJ=|L!GZ*mAMSRU7?K+0;(8e{q2dU@9Q1;K$X)o2w$hRqZ+)(Mz< zWw|%oeP2>9$@T)3T1}xciJVP}$1$BUih(k>r1VA%O=$qln%N3uPY@-*Cl)}A;QA30 z`a3OhFT@*K{pD8S>y+h9 zEbccD!TQdI`eqFegXlJZq$KS|QQ2q%@}6^emc9)EG;@*RAYXB4un4+v%@%CC??skEd533-C;qMhrDb$9xdu$*J@TO2^$`D&JE;x>&`L8GI<%O z42il}RlVuD@Rx;bc0;Ec_=DvK?baM)+kl+zinc~VD(J`uK=AdLN)HHzqMjD1IdH6R z7>X^cXPE(Ei{z{8=|OvkH~XFy2@xgmXJFP>O5zEr{aacax2~30=K6jX5)3WI5HCT| z&=NjFfp?rFFx$?6{)11W)N$EyD++@u-DWB-O^DH9>qDYV7WdFjJ3%v?E-OA~s1cf4 z%2By<*%`AiUArHpD}{5Td4_mdrMso%W7JjPY65~(s@v4Qe{9t>&d@Jpgxz2j6*SKv zA&FN&)n65;Rtl2TN0S(?9uzTM92s$+bY_j~k;ya0`&_7dl*2T@L@}MZhUD{aUR!Di?TQ~^O7%jV)Qakg3cM70CdhZSn+xPCugaEB=SM%k~#QN7`Wh(^@85}P7gr{3>5`~ zHsGk&0-Eg%Kn~6uz>K}+SAw6tY0_I=VtB|c?oH%ypS#PaoF5Z+Gfr>M$)DBdV!r6t zK}L#!)&t*EUc%7ur&A3msu-J?npkInyV$|F&)eUp;I!a7{a*`;E=jTDE>3T?b@RYd zlvGFZz8zkjzA6fon`kcOY@LT3D5k}F>?3liGG71 zY7Uy!`X}p={?9Y~JP^GsP3L@h(1AH~F8A|N#nDiz0I`1!>X7)`VT(H#&abk5_x|CIL6ce?fQk>Wc+x@thXSuE{+`tpe zx>@K}11XUdu}-A)fyfG;84zndT(^o97$tp~{+|2D@G)67$T!ed1><1D_kIeGXn`Kv zAJ5g$q_2LwGcUL}^dyVZS=Bx1$*AHuH=Vpgs{_2kwnKKL6pfmk)|6dRM1vYedZ3a) zJX~UY5@GxdrJ-D%L9Ev7v7NWorqZvg68n0|Qd1F3EAQI#V{X;< zITaqkozv|^p*wHm;pcm9s}XN!x}!etLYI;w6=c>@%3v6jmNsxLuWXCI{uWCuLr~<3 z{XrMCt{HjB3?K*iGR9+rhNhJA$%vhxjLL%MKv+I?uEo4Zh=nYW_V~L2Veq~}7 z-){&(GslkfomGsz177#&Hl#$AKjyhT`}>y6hqpm1T$7Rnnv8Wm#+PRRU)#$9TDbPT znTql1)MKS8XxUDVF>V81D?=T z7tKg{qRtou`)R*CW?b%^Y_k5#hdi1BNUjB1&H7V>@vX(=UXP)kQIVe4iP72px#=iQ zlR_6(@%U5hr{gC(<8CyOo^tUtk&!agN!{Y#t~L!=iJ^vgP({;lpOZW`Gn`y;J45BP@a64@*`0`cY{HwPqwNPiQ>-$5|p(%%bp0ypW5HsPkh@lBQOZtC7L(K1+exA z%hT$}pJp(hj>Fwr6Wz|`kK$YzSTBOXF>GgCx^Z0N6!?{y8p5|k?t}rsZuZ2Y5vKw9 zxQt4%X$kvk!=^|6@NqT}j_SVqJdAl!%b0A^x(u_E8Wa3UBR%{tJS5G(;|=#~Za*$H zRLsNNhrFYeU*DMdo_atQ*Ay=V(r?N6nR*m-UQG|A$M4G}m)F4%6IWtC7WFa2Ua}T# zA}q*Vpc#bZ{Ov)?ID|00dqmN3CJNXxCx{>Nlq&$y>ZGccQfQ*XgBwT{9+`24YDi~H zkZOQREfl0nhGvgGqMpaqiu`t=vEKaE$BOy-f{szhSs>ZGu4isZSnsc>rr^TA-k1}M zaO0?hVPXhZoUCFH$1ofn#1eytL{kR4{DopZ!JTC&UL2yT+Ns;EafEDC?GpA&7>>WJ z%;sp@VkjrY7IkvAWH<`{NHhYDhSFB7hZV_&r6lt&&;@wsLS*wP5@n*0W#~f@Yj2yr zFiYz&;PT?@(O2ud5QC>(?4W8o+MV>b4F_|XE_pi~!vWEAzBN!M=T2^l;7EAAa2Z2K ziJeu!WtGF{hbo&9LT3N2y``Kr18^=w z%J#F8D-vgmflVRPb*#*p^@9W&CB>BQBB=OBD9O61T1YtU-6xoYd?ySG%1M|QxQqq% z_rIBtJeYyX-?{mzj~E>KBdF$4P@rpuI6SKtjP6bi;OwT%hKwX=Mp04dQ?eLt2$1Or zeW#GpjKV15B6d8O{;SEz?K4A8@Q|m6i+CxVXq4xsko`>1F#h&$XinZPsM`b0xy;~I zTtI!8FEP?F_w-yz&k1rI?Q(0n&NAzo@o%Bp`OtYaHjBBNdJ(h$vIcaN0c$zS<8J?B zR6b?N$|IAHF83GawrUoXg-SMsN)$1nm=?~7BL3;sJCX7-8JvR;`@SVOCtx4pUi7r% z>{Rq4WkPZ!x7eoCiNzIN74GtE6U;gCYA?u&gMcPff15KkHOlA!`kTTPf^K< zKqO$tiU?-grZvOPneL8gJ%$9V5=tpF6VL#p1v?pH{``TbzXlXhE2F)Er6F(d!&E5L zsfQXk=jvUtI{Tj&nHb)%SGmK&WWwsIT`qt7Ud@mRoTWZtAI+L3kLA(uvVA>s>`?R-uPRL z!xsgUhaW^U1wa_4UUm+c&fd00rU;Y(%}X@qB+VIX5TqtAY+MGDAj9UVrE3xjz<=MX z5kkG9>f0p(D(2M^Uc>p~!1C5UUeJkt4S?mjhoN`hR?WagoJNNcr)!U<@ZY}nj4D5@ zadNd&uEc(zjb%|6vOUP+u3Ar0YV>o@C63ke=f+RW0-zQ-pYpOY)|%PBhq@VAp%XqL zv>T2IIya@uO3lnh5x0L{v;*CmBX<^y}k$tG^kO6jW%a1LGvKXxhjW1tEy?ME3LlZQ`r>OzZ^=0%`C#A`PjJiUNnI zPT)i218T`SCss{`sXzpz{UbrKyorJ$E)pxL%=8!kOl8s?_q>ChC&+C+n7VQB8Cry2 z%MY9jg#!gtjf4H{EQ)Q5{7F>nJhvS$y0RJsK@JKmt4NH)?4)0Na*3SWL%JRpTS&kj zT6;iu%6OS%|%)9i&`Gj$#g(D9Iv?fvO7QbYEfUya{8yao6`OOzdYss z=i~RRCp99WOuS$F;oKW(6w&8t{q3LU2Nq?bqHhx#W=?EH@+_Cz8|P~e(qkUVT>PO* zdOdLj<$rlZdwWSz{pksJ%(~K&(G8D}L935Wn59kXl%1i7P6JLmH**h+>B0miUfM_Uifc2U z?K_xHTS@aI%VXs9%gutQI9g^dkN%`%NNe&{0jn4-?5z1KyZbOu6I`~e&a**Q&yO#U zzAW!UVY{*VQhr&&jFciQrOyYyPYcmS*N0XM-&NE|0cj62W zQ-=Q`W#B30Dvb{;>{Q$rLia<<8RR!2%?_wb4-5ukd50`r0YF?34`Eg`uL4O`xApP& zXfLWF`qzrBBb{J~M}gdJ4GC{mdjG|60M*nV^?;a z-3R0fa6YDFC@x;>QAjEIaiNc@L<<%NQ1(^o)*(0gH2sq~{%^ddRe9@_gEK+s*wa>= zYd7m*GQ=AEYuv^n@Ho)dW~?Zp7b05Riuetum+Mr=jqHmYP+qJ_2(%eTeaoN4Fg@O< z-U^AFA{ZYUZxMPKgAd80*0v91_4==TV(xz!=O~k{$5CAqJI5vixT=lIlz9O3Kqr{>f{Lg!|5@gB&AqiZ?S#lvrH#5Kh2mKnk@SM zZrMb4eDaf!Lw0~n@4>Nqud^6?5|vy>i`?a@lq9~XV}@)QG#*7o|E!~j1vTgs$*;5B zh-k~>CUxZj5~jgT1-sC*fpH5;m}x}yBxZB_^96f8qNGiMe9f)MWirM5vSqbtBl;B9 z5g>@)zxJ9r*>TgGLk666>1FNj3(;lagzngRuS>*=#WYHS=KeCmKUpjM`P77V5lN?ABg!PYn53>ik$HF_mG zGdAN^%ypBlPfhw=EEs=y!*yc+__lgWda!}nfAUj1A_Ji0_4&m11F-E=r!vl;_jdt} zu2eKKzO6|F-!@{9hpk#_PAz#?vjz{>#uACFZ}zc8LYi4zs-$NtYIk8bf{r%vtisi@ z&MlL)QJ|7zeq^wg%mMT%V-LkqXE1g(w5bnMuapG3+g4E0KIG=b<&ofnCL_$F8Sw%n z3ayDUxq94oC3$7>bpE=Hj7?4K%v5xZ*RAqo*w3skZ1<)PjP5~SlxFfA(1)Ry*}vq6 zCouq2OLbKC=C`Ibf~w{$OtgoRO_?czlhWi>uRA_AdRR|_xFOY?GIQXIK`mk6ae$fv zO~wgIgGJ`%yRkT;*Y0tN+!T`q;kPrv%AmWQ?C?kXGX0U%2FVS{b6C*ngGm*l#06vS zeT#kT$HABb*#iTws${alMCk`bqSoBy6Xk=5NNU3PJ%6sc2DjOv60*8EkA!JXYDRpF|66)Q560DJ$;caKNDoAtBku&oRyd8ei|f8l1uET>94OZk`-ZOPKvSz2Efa@{-% z-#9KH3#S9zWC7ytnu0^XKxI)#SEQL>i$M@5k2mxL4cN|5Z6N@^dx2&#NJ#7KJiiXx zK>T2N5v~$2nXVCiTy_iynZWCUsBp?j1ceO|8`!1t_$BSaMPfK$f@{QKUv zl;6>0t$J}85eh`>?@Psl5w6FdWVxX6j9W%MMt57oXye$gEwcu4k<%i6+;tYZjcn&u z9pp6XxH61^qVrj32|mCS>?uzvsNjcd4+_hP?22ENvCD`NZC4#RjfSa8SdIA5@}z3v z3Ay58J%hz~1~Pf(%pk^2^);BZR-yI4=ZP*b&+R5L?1Ar0p4XFgvkj4&s^+%K65PjRJKHr=;szdjM;a!R?w_Diny>uI01{*5uPu+5y za6PB}fnY7$?)hhQ?-bo2}Taj(l}|5RxWOF@tfeh3RA9TQ;^^$uL@>g@>N%3b0xF z%j?VP&0)chd>Aa$zVmSwD@vS!=P9d-P!2=9lAb&-5@EbTzKlNcXaL?Uz&vfZyoo0} z#|Ae6hkr2rSPt%xYtlqMvi7hMIdlA*h=B{!E?=sLAzYU0tQ)rOR9q{wMyCsWTo0^4 zjQO0WBXav$!7sfCq6dbr;Wo9u0`nl(I*yG4tgUMh!-5ygaf#`CN(0QIXgv|h}M$}KPcfBl`rA+M-0zsTWk*sdu zX6w>pVlerybl>^qhHSY(HAUm$_8`U@G~|yN*_##h zRl``#4P|We9o?_mEDs@6W$`mdaKLee|2a<|!EFbqb#iPEr}e&MsI|3*UW3I>wf%?~ zSXuT6y*;Hoj{bKmeTzbK943>H`C}zy2l-NmaOp;7=BR7WwsLsdDZmdVQ*Hmye{?op z)HWg54!gyIgX5Uxtm8BXrDKa`tZj+r%%W!zN;2g#m3NHCre}pJKLv39FGy>mZ)Kvd z?MN+UK9gRvwiRTqZF z@ri*rcrL%oM$nizj0`~)6Dd(Bar0#4hs|X|yrWa_yYi(!Tppt+&@Kkxoej2VXA|H{ zq?~cTbfjwb&}cd5eiNG5bl9}B-R@u( zOL+d0CFw+Q(1vB0oDZ~RUq&Bxp%`94L--5JZpx$3LhKwIgT{@2U%7L7Z7J{Q_y~V#OgmsDvd2zXSd>ccQb&4!Iq7%h%G`9B*Vqk6{&3rE4TkhTC z+yJuI&?^t!+AzZ*UaR;f1D{qdR*=8D=-FoU$S0*H^@bz!MJy~(k!aiTAWmY{D_3!f zq5Sdb6*Sq2U80*tTn1@CN_pW&zl(Z7&24k`T1<)Sx63X;B^dWQb30t-LkW}zR)1<{ zjXBKcc^eiQoFj7}ZOf?Ol-ztfU$^h8{72zwF})ispApa+T%TgdW7V2?LED552W3G- z;(Wl@@!>?XA8;Gdc=1wI6%elP)?imhB1UoohzK(VCaPq<&B@mC4-XLttOJ; zp>xggcEjwk_;r;E%M5NBM$T^Bi(_E*;gwnf%AEGFSgI|#r4Fct<0-Dj+pG}#yd(2H zJ1B!Z?GAEyb^Y9PiYs55#bv9DWY~^`U>=WG0b+U#v-%q1og}a_2~)FTX6hT!z%>fo zgq*PfOBKtQFoZ&J@wleYk;T;DF&|2@@G-8UVRK>IV3XxKnxk+dH6*Y{nK%%Q@Va)H zuch1E>;fb{&zIHcG!noveheKv5$O{ zkLDN-?b^EGQNY3$)o(IBCOoSX6)SnM=bwoyD=%(x!veM&%h}C)!QEGfEn6q6YU9rz zd953^6gQVT$Qn8u^9l-vzTh3!2A7F{=G6KJtYM$qt!k$RcqN2%j`F=6tJ;#2J=4^+ z^Xb|a$S72azZ6iUr|c)`wJGHS)r*Z`$#X&mW>AeDT+B*SrNi_+%Y#D%^gT}oo^TSq zHtyx!M9l0kPVa4gRZX5=^^f*)@_%$h@&3>Woe|Wj!-;L-@R$@x+Z>5Z&#GD|a-ttP zxQVV##h>`1H(r7i;4{}hCd2&zrMEIn{v8dL^?ppg*=2dWY9cf}d6dsV9ba%JI&~ud zs54Gqv#kHyAB_m8CZ;z+!r0hjNcLX(Y;)-rJZG{;fO>&HF3UlIwj}DJII%gb$;Req zBPJExyms9Y>qMM@a$+|p=oLd?QWV>6czkJiqlbu~RXsl0A64|{vYT86(=3HtF- z%PCTv=iNa34CcTO*#Z*$doDx>gZ@ZsjHl)Mroj=(!z_u9}Qui6-DY zy|Q$D#a`QH26Z~vd&AG%4->_;u%uX(M-Zun)M}w;$5@f>ZE>bZ=MKyh`mMRY`Ukcg zW0yPen-Lz58ys0ICKDv!p8yb8l7`kCGN{Qy?TE2y#wR0zn#@mp?Hj>OQOhSDsn037 zryl$Cu_AU2MB_DcVj(`04iFQG6O&Kv40mFsAX*?tVhcC>z65k8u@i}>&jSRBa*CN- z7G&=)Rb3F8T4Hm?6F7t=K#t zE*KiG==;05J46!3NuE!)Jw5>F;r?LBx4jh3r}Zck#T2c>YJIQaPra$$ez`gz?6OE8 z@8QH!pPYAJaq*c|{L(EZhag$5p#=V_yAw0K?VTWGQ&yK{hz&3t8ysAe?H_|wUgFR_ zT+JxAI#zMljo4NZi%jl?o4LCa9EJLVm5^In99d`nyMa}l)giqxv;dbx9hb>Hn5y45 z#kgqQ;k@vi>Uhl+z>DxKk|CQfTY8H1&33arrSExyluQo@;T>>;cA1c|f=X(m0U)iRKxLV(T6CHiGf-^<@XZE9u7k(U5SBHoRzo_66q>EOdz z0a?MP(CGTl#qIPub6zI;Pcv^zcd>_`anzAs6#0r_J6331I5JY`=ro$f)rc6=u7j>B zICPBIPOq&O0aO>36dn#R)n{Qj*YT`>9Jq9Hspk4%w}RU7F3ekCdrh)-cQ^y8oeapF z-G;f?oO$QRT08t3igi}jmX^w_YW^<(O+d20akBMe5B4ql;m8l+59_g1uC(8gac7&d7<_^h_JBdM(%HUsQlD zJR_>Fkz_ZCMVHax&OmKHh)l;C%DO>Og}Zdv)~ST)B2LpBesDeb_2zebdVrndoWbIl z$sF;w_?*^YT^RF81idRf#>S{jDwogdTTi*%If{8$&9*c-oh=J$=G!XfFJ0Qw8jW^# zYFadRV(PhWJHa^EiI~mX!2XsXfPE? z0_p0WzKR=vgEq@^CAK4{_|+#+`r)^S#Lkw+qf?y`M;@R?U)WCP&Rf~SXK~M4o58o* zUfqZBRK)XB{wzD#j)9aWV_pAmeslAelkdI)UI#x~v4*Q^Y6%3EaAO=&p@&~PbJd)* z*L_$AAHz1#Ox042l-aGS^_uDhz-Vv+b$V$|WSS{2&P?uo1$ zZ`+=n%j-sO@pE4hY8%P$?yFt4OldWnm2F$LC`VdbmE(^-+N12bd)e9T*&$#mbvhL( zR?{fv9%3g&qjoro9T*82@=Y@a1#c=rO0n=c2Tp!X8g^eGCS!5|k3z`ODJ^B7`IrMV z&CI!w>-qI-*gu+08^=-uzca=vo!q}@RQLRi+nyjV_Wothpw0-juI<=jL_f#{X`z@5X6KsG38g7i-Gy=4 zy=wH=SX9d@*{eoDWx9Ih7tfL7~os8Kgmaw9#Cx0y-8;gmAG!Tizm1qL} zOe2qO^?ZG`AzJ3rAItC^0i2x4+nr(D3i3FT2FraA+B*~+mGyeRjpD)i4~e@MjUTeu zHNhrMnKcF`xp43u>lg=mNItB9T62pMo??~M0_r|$G3BA|ryTA~U7#VY%my;*A(yysNMe7wRlCZ|7&k71vvq39R z$S1pzX(!&8?0&qey~p_!`V<6#lUawY#8edDZbNf)uA z(S28HY~zI21L(Z)2&Sh7`(Qz`o}j^MFf=t~R(U*`mCoW~X{Pk=lO*qoeWs{IVxM

      #+nt%lktaFvDmoujKy}_Y zqzSz#+`(4x^s2-t*5p>)Q&M8JW}3>K&dhqZTa~H$JFPIgUS$q>lIvCOpx4~YsM(CD z(92-3d-@^%i(Ak-Eao`2KH?rig-b6~qK z9t}*ldgE<%;6-$)p><^gyy&}}c&#biS_^BU-7o9`;_n*IqGKa0q^}vBP1$ z42AIppQ=dT+sz@f$pYac*HZg82RlDc^XviH6XFQ$lE-EW?SFBy@9vc{V z`e}Edar0)WTY6VA@|+pqndnRDoJ73Yg%GMj6Ddz>T~#TG&N%G^{Lxq$6NPJ18I)L- z^`$eJ&8Zl^&_JSJWU!L)=|Y-M*Gn#@z#3VNpNDk@(AZ~Fe}xMBoE5S>a4Zy(wQ8w) z+l^DhGFqKy%&dX3$7IkQs18_aKHfhlQkqo{+pnkl%HFjHlu$L`!3Qn3olp7s_eJi|IDjK?ZX0lX6K$AALl;2sJ!kJ1f#z@+2 zuKjw*Xl%;7zNlbvV<=l?Mn~#v>uL^I?N}w8Qe!$gpkdzd0&7jp6GfH`MWS=y(Dto^ zaQlBAe(cn_Q{O>$zK~_*Mg14hv+9j?;?bI06id@-)}pPnwP|Y>BNEH3;|b9cm70MJ ztDisU6I6wMb1wE7+Kn!K*8(j6MdBY@vERIz@4J$UQe9NNTlaveK<<(hq`P22x!+%3 zUl1xOak&ca)!B7F_(4ZO$M-KIoI{|L>}WDl`X?D1SKeMzhecveg0KC~d1^9^S!_?~ zs$wnCRy=~y+LM8&RCq2mgS|DN9Ls^yJdv@;2EKJUHx-v{{#?IT4dq1|RxV~hHuqc> zR$_YQy0tr0fBiL6e`m>Sz^-b`lx!@T{>t3PnL;Yid(Pg`<~WDWIr=Nmi@TMK)JPV? zLip?}z?Q=>j55#u{t+oO9z0oeeljr)MBti@Wf+kroaZW%0<&XhCX+6b8aARo@Y)>( zctiT3Xh&~d|AvvVjSxZ=V(Oh{Fr!~7b&5^52jG2x*O zQAep!cf;f}`3^@>c6qsek+QNf%T=uqS8Q%?X!zHM>kce?;DI)GQM?Gc7sVGrw+lQ| zw8&L)psnpdg=`#0E zrQ=*lbzTNvLj`==44FI= zW(hFRQQlsGw#$0gT6Y;CM#Ac*o=~4jD&sPQ_CRh;W|7G;G`9a;YYWgE{fTZmBNQwV z+!KDHBi6%E9;HSsH5zNv8OdgDFW#QxHcO_sKFO+>Ck_v<3*R^Iy~M_;=yT73?R)pO zb%lKH5#V_aI}u@50OJXfG|y9u&z{=~it?mVfmobp=ypA3$t3@n;FqZTQ=oH7)5LO3 z3puW1-EM%GKvbNy9IbrG(nlDp)!-(n@{+lctX* z>&GBa+|3T!*)#^?k0$f}Z-+LCWu+}9hTE+U0b~tJQH5TG0GRQGR?$8 zp^z4B`}dxatsBu9r+4G_jbj@V+)(Z6)`lT&ZzjtqZH&bmj|426mx=;Q{l60kg{OOU&7}=w^RG5C2m8{s>1wO!-}vdBbUZ>TIF^)8X8t@ zUi%ZprU%9Ho-iQyC8G2uiE-|PbMy9ShiAIt@G&HBoh8hv5^6_;r!2ct_f zHp*fKh9bUp-_HyqS6-=o?}KS{B*zLOXITyU#n{VRw`$PAh3i50(Bcub8pBiHiJExK zli27D2fKr{@ah8%QEx(DrCU7|UcI3=JTwq)yZK^C^J7fffh_z46AaW;lz9UBK#>}< zj4h;0hc5fC=Be&CTjfR2`*gnf=fN8Z#0rtx6z}7R%ICo75XTL1gMscRmmY&mEZWo2jaFhTo3_QL z7KlVjeK5JO-KDZ*NYa%R#cGp?Nxa-FLraIA@Ib>~1HRrXUr?GP;vBP;03d zsO9c^HdU3S^*y0qwW^|`?*}a{eMJQYeYSh=?aNxLTie#wH_-E=o;`ae`X;`2s_4!P zY2I-qn*OfT(Nz909=O?ApxHE}nM||4OB^-Lgd}FK*35cjDNju>o5;j3%$lwLM`Dke zgk`S2eKybT4T7J5JzQHzlBl|lj z-b#-5?(ndX5eqnlOePI_y%EfCUSLIU1tYP*^n0=TeNenVO_|Ag!+|C)92JLxj75K1 zWcDt|ml)uVp6JtW>|4s1fhb!OC=>kT!8D>3WecLPn`9w6AKxV@k%Si-y}i88G4#;?Zh{@9_-f$(l}N2=C6`jY(qtkTDQ@h zICs=&kv9Y+X^J)o{l3SGqTnfp zljpVd9sk2+c6~&tQ^*RyENn~Z2kf+vsP?SWg2i2_;uDaR2dh}FHwYqVu(qqK7KHsB z9M>H|N3Z=={1G}yAJ|6zwSm%UfR;cw>W>!v@)B@+jOh38UqoT651jgTYM9MqE4m~kKK^7ly+2Pcp1T|do!U=gLUa;@`Z9Gkhsd352sxHE9z z(z$a@_F=%j2ZF}wD&m11i*L$6tv zL70jPL0YLz(H0n+M9TKgnE1)OTzzKGz`)8iod?i$j~nPuZ9-G0h6dD3rry4GQzh{A z?uouv-PKo>__AX2#wt)bG6b0L@TutTG_dqs_qfyPAJ}F`{m#V|bzd2t&xMTEmSHo2 z>5742yT0z{U!rOg`j5$D=u8QCzeOZ#UUkf0#;L^8qJQ5q+BMey?GZyID2*(@{_a4i9x1wIB|*>sb-2 z$`4QVk^DO(LMPF80ekr3!B5}!5r4f8y(_%HdMGm$!aheE)kp268r}KZ8)V!-FWo*6 zrym%&w=__?eEGomBO5mks6{ywWv(#hOh!V35n zedzg9p3V)GfPvy?43JS~)A zbuFHkom=t5g>OvWZ{L6N?Ikti?#_*%Dn2|KuS)zW*Jha)uMNV#ti#@|Qg1e{$*mlO z@1xVs`r-&kH)9t_Ym|Ep;Fqqo{T74csf*Xb)tjg5;9f$Q$#aX*^Xj9|j;lauWKRK}I(1w6hq!O_h7hrE9j4TdX}{vGzaD^qYOPMK!}4qakq`3mxXstb2yg>ZlFW7V1%|%ALEa zLGozFprpTJljJ_9Q=(4GNn;pEY@eP zaA~AHi;?FT<46r(A5vGnAOAIeg+l59@E-)|GM;7TX&qZ%fy^a zY>#c@=dvczSHcn2Pu)uula);cI^BJmqN4j$d_kuj}7+9LHLFZ>QV3t>gOo@#DH~*XcN&PCKo2Y~OB2!{h&)1LR`uzOM?LaPyPr z@_T-l@Avylk3n4L6`W!!0n9jW10$o41LSvgHv8CB-2=m|(;rh&cu5O&gc4AzOGT#y zI=gvPtyf03%A%)Du+MXL(mApJAP{^8Zd)`le#7uFGQ;dtOy3oU(%eq2CKKaFH=|#d z5$^;i20O+nTXvkXW&5evNl*FjG0x7TtU8NABS>E3bS7u5z)Z_c3Ptj2k0)8eE#&6s zCs(;#s$|teeD~Pp3=Ev%xJqO2uS6D*BjFfl6;@(U@0g|)6>~2#8w)T6!(w6-1ei~z zCkPQ{g(QXY!DyNaykIXQn;kdAM{{7791>qvg#=Js<#XB5!Cm0V4kOw=x(k(*_`&h_ z(I2gQKhZ&S8w7zF-6rmRIYAeCZKo?*NRqBSAi&(fyOaw9PFcJ7e|l2_lX>Yf=jad= z2Fj6%VZ~}+)i^;VO_D;F?fOY@C-N3BAOX08-kJXWpbytr`>6%qXPf3VY_ycQ@OP0J zoR3~X8Q?E;oCIX(GVw7p&M~}Q1*@7>M>BnvWM>G;$|44DS7xd$Tv2PWkP_~~()@)r zH6)7~=edRZIXHV}teJ{U0!1A|%rTZgF(b9WZ0f`q7MK+2SbP*yA9w_ZCn&eY0dlBN zQ=$N+`~zZ|+W?e~c50*u9Nx==5UfJK2CMo(Df%5K-2{T@5Oupe7~Yw zE0+1tC7`u8({TbR3!p1d5cb^r#?`XCf+URaQ1lx}xk+dh_;vKNhhI};mc$C7k2$;8 z-?7xxPFq2(Pgfip)5rSSauhDnX5*PeW|~0C<1JsBrzgP!H;*1zn(v zSo(w%Y$l!{V1xiQ1bAX87+4BwmV%O{0M~(h-Dcerx^Hwi-U~MOKGFM4FBa+r`Mm&_ zfo9oe*%PvFWVl5JAS(z~1TDc(us8UV;O!u>qRrBFyA6{POLe`nU|U;I)~j1eNVzGG zENlTkX*t>QX3HO1Znv;o8d7Lv?)tttX)79769>=t#US+bADT9lQZ$p$is!KMXi#rn z3iV+|Vg{SK zVRT4Dd^7!Jn#L&R@t}-~@o0dI_cm^9?dUJtP~&HR>ssZV&O@8`?gdYw&ETn;Zz4C($>M7>3Dw z^!0Zy7VBN3qZ4D6vYO|Q;I~3nKAVdZ(?$6qKpRuUicLr>_|Nx| zx@2QxHJCQ=j%LDi4PTOm;f{?>uIc|MaKd$wK0a>NUgzopg=(=5Mwjq+@b~>S59;8* zN0-2VhuSOPUCOji98lmqo-iYSRTYglr;L?g#5a9tNUlovyGVkpq3qgK`#`?~^Bp-@ z4z_&yDf-RlpEG=f3Uq>VmMCMDv5G7U6vd_TQcOrLD%2EOjKF9lv$<0kz_8`y^4LhM zXDrV8xT{)JL@h}gn+fLf^qDMYg1{5wDkqXCX>nmaQ{w$Bu($%eN7)TwTZyR$2FRbw zAyi~Q7-}!K`wF65&EEv1=ps^UO8x*5H3C4{ZeYYp z7i>V^&`NqmNLK}H@ci^;D#)591bs$pFQP6nUNnOCRx)_Wb}FtqMHEpsC7;2pYAvaj z(0ap?GVAJsg0eE2no%XHnkN-UCm3R$dF}%YmbpBA$ew{u&GLBZT4Y*+sX#xOKM%cZ zjB%Ohl@!ZEwS%|7=+xex_Tz7Dk9u|;Dh~qt;pe3=Q!kOq;f~d;Ge0v2-budW1o$5ZF}DAI>U^05f$IRPLwcuN(EG$ zM5_(ybtXfloL`s>E9=W#Wrc-nE7#81aLi!SYPz|=$oLbgc)D<=M3XkN6l3J!_@<;)tT6cv`_U}8Add{~ae;xO*|=Pv(tg$U zi!Vl;o{p2h+-%XJ>*zZY-{z33&E++m&Ya3jHns?IqM}mUqYm4(JQEvLrRh-HrxM-u6f2Q z7ARm^ZorItz&X4S@?do5HH`@b=~M!!36;=kKB0IJU89!yz_t@@&up1K+-dg(u)%BS zt{lG+!{_)I`(~s@+fKz8CQF8I4YXwG91F9u;QH0gs~rxQtkHDAu7@UGh`qsYalOq%rYy4@hXfx+xA?qfP_#P>=457c>~8}+gVfmAv(w)#U5HMB?(QzA3BAPMPYb|QMXIbp>&s!Uw};%uAKG2y`~vd<0$eeXNd%9p9vy=`eMliv zIii=*jaO}Y(i4>8fGfK0eh=Jy@93DmRm-J@pDaUP|2J1jz}CHHG=0VFEYccf*1BvN z;DMc#l>zl_MhV6xcxjsvZGc9f>uMhLPoM3!+1s&EbmgKd`{Nj=md@?GPMBHQtR)PV zs*AG2S&pUYmNIotepXWTBR0RSx_YUus3 zMvG^(Kw`+jgve1`(K4P4O)v+fv4W=ZfiRMuCNVq@JfG}r8PpuvXM8aYiVM{3-fuu| zM2&8oy@lS9kl#%XN^phJw|OET$o*qS+@MN3+-Ho6G{bErV9&s--cyIY<9DaGm$tT+ zo`SsRGI41xtf*@4F;$LE7-aTtDsmhfDcbPeISt4-1(FQcUi#w=3zy5olYCbuzN<8O zj-S5I>Z-v$82o#)h(o!hk*!SySf#J~r9kos4^kWCAnFV3KGJ&ld`!+tTGx{XMWk>L zeL)B6FE@{tl(vMYFBOkZ6ksm&;Z?N|kW5@>L+2Q7td;UGsTgQ=X=Wo$~iv1?)jPA75>oIBGa$9NXD)VAf zg>;p4O8U>zH>CHa#H!^}%imaje>pBRfgaPSY07lpgiDv3O(yelDV|duvK+Mh!Gc+Q zlBt~gIWR_dm@OmI4)~mkF+E|_pvJaS{0vWLVpKZ6FOky7M0E_`%y@eQ9XP3|h;`ol z#1!sklQD@2idT(@X`k&=MZ+7_Z6XLI3U%A~0f769P?7K2i7^TK?paS6go0)}_^!Hx zgwZ1CjUJ^^Am+=UVTJ6rc`@d_w| z#a^_&``e)24uUW>stg1z^%MOQnliS%bPEXuo5~S10WKZ-ZlpZLWAs5|Ft^b%?t<(H z`h?cXgWmo7JRRfH+Z^44)tKwn-%OcTY5V1&{KtcYoz=kP3)Zr>vL3Z)nx!?53O&-& z!b0gvEvBukl&&V1k`9NoGt?hiw@xaTxFka1kEB2PAK5>1Hc#BTDPwrfxk>*yrM>h- z{Gw!fHq3~MGcLHA?%og^c$%`up~4|L&SfS9{69JgbZQjt1CRaJpKE(ScLzq@lYLRzRR#`wSc37GsivjBp4mF`*y9_g&pUetY*_WT*EOkNVT^a~ zMW0Z6(aj<#FHTLJRWb{?AepQJR`bt6S{x-#|{66tOdf z3<-g}=)gj@)RekV4ks<$WM*AniPu>awS^n2_XohZKJfW>_w;jLa z3fFW;r@^QzSk;NfV6Uyu+JCod&^lnf7zcUQum>PP3pMd32pGKqlj(;Xm^@BENQ@^# z%xPQ5a^|4AUiiOm9=TsMF$P1~zqDL$4+krhbBswTMDf)xx zwumia^i0+p$C@czq#VFbX7G*U`RJEOoT>s|BYPp9E_(3$RO_p zQj`S-G2TIeNDdBroK6o4z<#&O>xgQHQiL+5CJK2`UcB$!|7hbSQ+~X5#|tGa2Jd>EbCRf{qDTj8 zHRUguEt&PTIVu&$Tf|$fENc_%=cN}7IpQQz5~{y!mq!eY*2#*AA5GA$NP2dtO^z!%9M<$<7qe69D zoLOC?K;wtCl^_UiPVa78py1UxlQ?8KEJFSl>M+xW@uz#A!;Bj`I$MSrJ3m6j>XU?= zI^Ag`FVg8Siee4s1nVH?(Z5 z2YmkFOsN`JyZl^!`)}RPV7itflp~hjfXIsyiOFar>bQoQ>)aZbs89nXx zHe-X$%{wAv)8!x+j24;Ef^)>Srhgc3or-<{woym!Y4>m@BzdXw_S3XuJ3tM!okuHk zRDbaunSJDxZ5qAjsEbz=tAe4*<2!1$b^P%KjsENbqy5OFZ6_ED4F&?uo}ho4e4cJ-rN;i-+ePA~ z=GUdFM~wNYIy@?)BDfxSGb)2O4I?6mXXwj$8@k;sZH}(IUHUUz|rfTfZvO~=Z;PR7HB~T z)_QmZ7bffdTMf!#Y7JLVal<*nM2&edE6jS5^@v4XpKZm*K+D##G3!A4kJ?*Wtln^V z{d(&nsYc4>TC1GSHEZ&$dEX1MAM!)|1dNNN#nMi*xsvZkW+@Dye#Vbf7tCS?3uf#3 z>GNW~Sgbd1tIShKnlpRY#mL@Z`=ikvm*hou+iuamY?vwIk}0o{FUasr4c1@}`kf7- z_7v;0lqG-9>zlw;pVoxK)=!}55G@?6z2@+{yw^at)9-UdS@nTXE##vGwISb1@F)HO zIrSwDr{C{%ux(X01;rgzWm)AQ+rN7>xDB*{D?>-5#9h#?p+a-MKw~ZkI&W7Rl%y>f zKp)ewUu)sYZEAn?ygd}MgS%aoyA?2?fI>`g2UHc)Al@rCyad zHc9YQvAkJK{eZHkmKnHiC&?4gxC{Gz1Lq9;3zmkpo{9=vSZ%B>R*%JETDem1Y23K6 z(CkRXu&gCb9UZ}lIGGT7Kt*IK@?r#A#XNi!xgTMB97`eu5n1B!umkOt;Wav4fTd?N zp=Sk-`sP#M=TM%R4pNlkHisNV1Hb1Wz+y2Xjrjrzt$~C97UK!c$`#IG`OLV557y+y z0#&`wl?OdGFisgZ>yWeBy~^qw8H7AEey4Ww)!uS&feI8~L04_TkQHeD;)>7?QmYf% zVpn0;Es*VTdYtGJFy?jpT+>ebpMe~G4CGsYf|kjHN9ZKUjhKoRgWFQX=sg#-Md{+@ z`mxq+k{alT(_{4e%rObXbjS*t^*a=gDwF* zYM;H1_wBl`=S&ddm`0{y*^>PH(uE7v>XZz=oL^C)Px&5PHy&ZkD(=t1@R{iC!FFEr z^t@-4l&SqQ1oXD&R1hk&q%=|!oFoq{z%%6Fy-+Y1LPem{2_L%$1zkv1~qhDMME#VRh3D-~H~WpV+K`!;9bLa}5ReN5AXs~C%SE2Pqf9L>#G>EvcaYKV$j^!cKuz+S7C<6;7ZQVz z8~qM)*;mOe&bu#ms?i4~Y&U1{EKSnE=)U#GnzH*Tz%LvuBTxWyL23Ohbu+(61I$^S0o(9wA_vm@B z`@kF>C}s$-ISQ#J2Bj7Q_0E*U8MI1zBFi?5BuESiwim#_E*Y+niH!#Eygf|vpx2G2 zlT7u+?fEVd653sk{o#X$`X;7J#`%1g+pF9uhuLbe!FaOO; z)X3u|XQY~T4v=H+X~&V0s;mY#Cquaj7d|z->@D|^OWsRS?MMmEa*UE6UshAbQmQg*y748o&PgBF+?{V->|U^XplYmbGBr;n*t&uzF9SMgTF+F=$XI_eb6I?mrKjmA~H z<6U(WX$o4!chup6aLAsqEFRQ`1}HM(?+z)87YBr_T4zM;NRD0En+ zm2@GC2|#Wg2E@_nPp)4FykGr_$k0>LuM0bVB0 z%37Acq-2RwxeVsy)GVucI2tAv<1s6DzO-k;>q;Om=-dBWK8gY3VIPFOkZ^~Yeq>Kk zcZ0Aqx;u8e#~JlDdK-U5?xQJKiXmD{b!h+AfNOwyU-$m%Mv%llO_DzM-PhbLO%>ku zZa3TKoGwQbUu{ztL{ciH^}$OKtCzz$AhvqDxQXzsi80B(`0&z8(-_yUrPnB~ND*O+X&FIPoZjOF zTu4T){Z|xnBF#dAO^En%^~$U0qmeWyRq-HB5U`|VT}w&yZ9zJCynvQ9V4@~iT209(XgEAR7CjZ79DNNhR z8DXwH;52wK`m;cxz73PV@}8%lTQ0cmoIVpaXgjeh{+femADJoE`d~$iG2CaZ4jE7t zbXNU0R`HV>b4D3~K8^lbYkG-h?2ylnhBWo5yjQ)n$F~n%;)?hSLgd9868+ZZZ@`Z@rwAiU$x^eLXjUv=A}LUjWPyFj>Lrbh1t2%Kz*@0l zMS+aP@)!6YCOj~&Z!Aceiy5DB5@v-csh{cn$flM~zA4|NOhBI#VpHjH+6j^H-Qx_D z7>JG8#rPz+;_Tz|Tii$qhdcqFH+tDM!sico!DrTvb&o{fhiP}W-h^<>)OaiOp}jp z2mY6a5t7!bL|POChYmX;hEikm&@MR-2*3#~eZolc+FLQiIB8?<2A?5S&ekAj8i}Y2;HyA*VIm_- z?;5;WbBA_vd)?rKWjlQ}X+bV08`c5trq^EY+(f6p&K_2OxazR_8$TlJt96abz!;~e%)6MS2VQoFn)ho z^uv-NK89t}>acgEE>H`k)i>d5{9(!;uI6RbTVQLuJPE`2gph!U`odv;-@YMTW#4F2 zU>_ZH!f&VVXwzR}#`z-WBB5Y1>J`+PK0;>?YnD{jB`<+>%a$w=Haj{Tb#=mw+}zE= z%|BGEk8z`BJ)*^P3EvN7)nk2WXsx9=s(RFtPGu_gGiML|0sMRPnIEh)!rLE(pUJ{i z@|=!sCA^QeKeG_mW=M5Ps0yyvXRGvOjyhfRH?F3-k4SB~VV?l-_h(>IC4_;dxA%5z zyL;yuZ*#l2u8wTcp2UKSeyPd zb;dc+ZORUbSXu@?!fJ7ws%lRoTsv=$g|vH+3eZ;K<4*B z;D15`LGeJ5Sn=?RFw?j62SnWG&0%am{oiLX27x&Vx~{w1`s~-yw4)LjbY+Z-)-A(*%=)6}xwl36X-`@4hG*yWKtoYKI z0YEaDCJ-)MQrq}bzptzIr+W{2Jx}u|$iTi@`zI#gp}A!;K20v;qnq^IadME)A0!Eq z`exg!uJwHWI?q{05_%VuAQ@dY_N1rQ)ZmXtty<$!#2g2S+ zV`pdY*y9rux?bIUujFC5pg6ZC0cJDBJNR(Y;+{y_952@h9wu2i2lN%^jIv`v%Y&-P z93?YX${1;UK8sl~^Aa_Ir%}HpRaMq`9TB47uRVDsQ0lE;L6%W$f`! zaI@ymJZ+CDC)EI_J!RomGj^OjB!(gl{G>b-x)%vPxwU09dKj>V_eh|0L29}Rgp4gM z#$yGht|H4O-;*V{;py~rWh%~<2*IBgw5?x)e(!O&2U>bd9p=ENaNqmXQL-T4ora5q zO6>y11Am|PxuIy3haN+HJsI+J_bL)6^1$Qd2v2Nq(zzg!QZ1l9*yDBy1b5L_q z^9K!QDi5&o7Usbfd7-@Cyr1OV&SOs%09L`m0{D}Hg9RrGZWrLI3cw!~oLxktSgyZVkRP5D9H$Cm6qc7u}RJ(CbQLex|uXzAd43Djngi2nF9vfeN z`DW#!IJ=$h7?jGPb=y+siS*4|dGR&*{nyk5;9VXhO(Dz^F6Q&ggF$dEpfPxmm8No7 z@=<9@9hk78QD>>91w2nQ`>^xVL)gUh&{qUFd2eX?x!q!jr|XMBn@^|r?lBj3=mS$v zZpp^WcZr}_E)lA@1i0qao1NE9h3k#2XG^`NnyWxr(Fm>ws!7t+j#M2+a)kUbd9hD# z30=JLQn^!qo%+#a+c-7`y#4**;o<1VBO{pLpFy*oip_Cugj#9!?!xt3j&0RJcWn~o z<&(1X6(pA&bE+qIiE4s8sFwN;P0-CDKLSX@kM$?uC>KRoP7nN9RF z=>CT_qD#mgrZ9Nz6zWH8uAY8k@@Mo3=BC1CbPQs;0||Mp{|mB+CW6fpfZ`4Zb~x;W zI%|Z42F=N2bEQ_Fs)bQH(CmlXq667LMIKD2tP4=oh5RegjT>ccLE8<`OG{u*U#M*g zIDqR)<;I}>3cBv_`=_7$w~vq;}}} zqvT%dwujHi^6a5*d#T$!`z=%;#^on8MU;;~W3qJ{OK zKBlso$mcPfAQh2@bHI#*FC*s12%ec2aTq}BoG>@tSddE7+hdYw@ryj_V&*7eP!=?= za}kG~&Rh`m#q4a+*XdHIUWCzfO=d1VU<`~1(1JH%<)i|tREsWt0aAaS!9z#?Z1ig* z`##uJx_r^ejoW2VsZ^;}uGjx&OP?S3-m%F~*u&|q*3;tT?!ZQ|uXO|$9nFFnG91T& zbyXkFA4aF36l@RpQoEdJAl-62FrFr@EUeuI z=dufI4@efqcW)je`jLPx=Q2)tjIvQ+P5_tVmKOqMNl=!0w)^B9 z=>mF(GG#jIA1#p!6s?67HNLXF>9|a@_|k6y`y+lvrV;h*;OSgNp@Hk$RVg*PJ^mN7 zaj4WtCeWv#20Sl8L+I}i&%i1z-QakOi8Q!;KG*c`T&qL2CH>%A#G`N66l71m84!q) z#Wm<4x}8B;XPj$ZQRNjlMy^1$U#)Qg9O#3hBp#2aMPJ>sn~u9HM}`QpkuZ>?Yw+&* zSXGB@Zn@hG-UgTHoYF=xh59J}ER4S793#w>OudI z?fQ!5-sYYjeQ|5+I{mtbg+O`pG+q+W@R>ctY!n7$8QVeBG*IJ9%Vo}Sv&>A|XeKpG zgY>CwM8hf)>YEDOly9Etvqwi#)D+OO0FO+`aD_&8^zAP%q~pjiSQ#<2t^RLMagA=$ zME9uB$o+ji2b!aQ1sxzvqUX@(a1g*}cPxO4MVTTsNCIW_-kC z$xv@s1%c*WE6Gdd@oeX>CBJ`9W0~CEG|Wyt47!U*dZN_XW=KN8NAHo5Ds;=<>u1zCxQkYC z7)oj&SUYx(j5Iv8V@HFzuWxFqAyUy*QC!@Rs?|2Q>(JB8 zjz~TR-CASsAnMnQ_M3oy*NVqlExRD^7gFh>GIP(Uy3FuVXfh4rnW{Sb;9n{>4(icm ze$Idql$0?|hN!#f*N`*LgUvMt`_UnMGhLw7K>l`hgOC`loYE7@Dw0d^gq{!v(~eaAAsK++BPg>&uP)-+LEL0FskHFvE7hmxxG?AK-y1J#3kVGclMK> zV7a9-EGt@rraH$!uZI*qvSoj8xg1Z(UrHJ#+TcsOcGGfkp8&PWsXJ!q!|ML1_ z+Tq-BN;fOKJMCP^6vb=xx{8#1d5mu)V^tAW7Nc$o*mVHLZ455hxod7`Gchh3ID7%5 zp}wI;MZaq4{a!_e4dMJK@IJf~52$K$&6X;67O%HDo`KSK9t?A&@bNJP>%Mzt)8-*vMY=(=r=HZW?G1m$c7q z`5vTj;5W}XPa?*%N+u9Njzu>d$f6NP8O7&jXcIue|6^OMy9OjRd zEc#yTwrB_ES{p`+t6$UuH5TWz;o@^aABk_k>E4^1-iA(yph{HUO!O9=)a8YR#PxuQ zYF(=NA-8+hRNSjA~Id-c#-V^vuE5;-6q+skv{w@*0T**WZZYP|yKh+DP8GZX< z1!?r{-00jAh-GEuN&)l5AL3>L8b9llvn zevVGOV|0r*X>~^UPlJP%TF6f^gC8DTC8{V2y~LU}wQv6W<~pX2$w@5DG_SbWGFck6 zIL4O&6fG>R?drJc1LVTiQYLe?*HBgZY$Plbe<47IbsmW^z5XK%RnxeXQ5w+Xk}VN= z(x(1Fy+PW+g0cKu*#YHGlPSGS7&96{EY)m}C57@+p79}3;KR2lq>$CwX7-Wc%i;ZI%-W>Felzc zRkhk&k3e5Q?#U!B!v|`%&IYue29g3457!5@rU;(lWOk7)B?#%FPGrHprgTz+enEJh zTCt3L^`c8A-Z?v`-&0qa5_ax@kB9DNJTKCekqKb@&-u)I^&2Hy`dHQqmIp@BDTn>C7tD6_VZ z;b5%JjZOHz5csGGFI_l~Ga;A;EKYQj6n0aS2goU5{1x?iYXyNT`lRzoTuU?wx2_8F z5k|79L()uX3}>wQ^-|SzK`C1Wl#vd9 z3>WDMV$5cSL*Hh%sxBfQth^eT)3WyeGTa zXk|kFQ&i)|l7+$zjQ3SRaY^9!#|fyB3LrE-%3m(7MRrVvf+e4*jL{PfxIy)^T>NW( zxMdockIB*0)^HuW;IBA-Mc&pGV7%$WK1q)djy*y>9bZT@;GcAku=)QhZ{BW+Y z&2rO|#EjTjAJvD0hEq};a&(}b(htkmrvnyAVJO0YTOOKlG$0Q_w8b>LLk)s-T17;# zX|m~>yO_>xO0QqEzD{wch4o~f5CT;)c0}#Sx&*kGh!yEhG?GhnI;Z_;caSdPC-Ido z@aSlg++7+-4Vw#F}ir#aWIup8|qNWz^vJ%R6BbIGY<>5LcN5ZS&S^5j&pB}`HRWm zn5jbQ9)~Gp)UFpU$Epb^c!6ayN;(CVE;|rovCaM}9|=0Ug&aOw>Jhr68*gI<*^o~^ zSnkX}_4qHR4;aOhXiCGwW8sc0DJe@7#3D<`>}XhTBEyWbRr@pQ!r%ofh4;VkAoGA8 zoXyZjgqPpu>{y#VM1@WaLfBF4`RF7vgV_TuC->lA1RiTZ@4)s19-5a^@R^q>*GYAk z)S-Kl`fH}K0o|Jw^ z5av7URH9v#I?h#qL{qM*?;*|L@yO`8GEWk$v>Dj*s|1$rT?*ssy3CK}^1oca>wr$r z^iA5jA_VN`++zQ3Pc@klOP}=udYRd^u^Mp0Hda)+O-6?Jw6j<>2@-dN`KJ~!G)nQ4 zR}&MKdBRxy>S(ct6)U&&H#}FW66ern^md_g3b1c-(uVJ)xV*etx<0dBUe8`%e}3k; zkhhFRr=(n=cyv&)Sm{;5_9+zQBnU9idUDavO1TM>b{-%jpHj~h3eQL_0aXd=b&@;c z=_*BK9K8iqksniy4TJ_~Jr2)Fff@Be3wBuNoNyfz$gdo0=^SEj*> z#4JQtUSZbdRSrfM3Z#@y#+?_Cj4-!ofyv6`rNlYB1sb9 z`TAQ;WMJ#f%t`84p$MmLFmD`1uRzq;m^}|%8L{M_}mP3$r z#*4;)bWBZnp8M9Dd5orph8f}~r{~QoQw>bqmxKi?&LrMm6e^C^sfDxvHRj?@Vo(HuYc6kg6<`K<+QPhkZ_DVC5Z2zt6wWwEv|6=$>a3y z2d~Cxt|-x%ovQ=Yx$}BmQ+3(_YL&>qJ8u*M6Q=}&8Xvm3GM$E}fR?m_xXyhkUCE%7 zH$I9IkY!}D7%I%rM5M9oW7loqNwlAi3#3#_!)8WO5(@Ah!~aE$+&>_SifCNk%3vP9 z-ZRjfO~~3J{Od*oN;3Xmd}~cZL0uE`o47eRyYlHjDX5IUx1siHGUIL~ZGC2bHXi&p z8U$~_ohaN~Y0`VD$ieqxXtP`=n<`r!m7^WMwjn&!i(^5L@8{~*W9||<1??^XiqPvc z9R7}_+z>K*am1<8Q?hCv;JGvAqMuJO!w6A1W5(r`SQpOgLAH*+c{ZPU@mw9YXIO%T zP+RB^a7k$@xRa7uhTdumiD=a<>FKnsu;IZ8A|D=u@T;q-Gz?;CYAba>a4mejr3SG_ z#I*uO7xX6{C?s%^g_iQE@q>7xK~h&9PWFwAqWR2`$03c{w~z0ic3r!8H})0E+&}A963=Btjepu2^po@nt_` zs|;x45%7ogg@`|__W~ynANi+*wA4m(bJ(S6ABd{G)jh;06Jz0Dd8_DNNT01G8z$~j zbyh@N7JA6(=@U?T8r#;Y%c{>$Q)Nd*=C%W;78P8M>utMo%T_(xL*38a<>}ChW2D=- zZU?cplSpUhq=ZUbhY!c)3FAdu4Z+KmG!WTftj7AOo?WGR=r;EZ_Srp6mw}?~5z%&mJ!v$4Usl~2f ztZg%5$zt)6KXaE#U@>R`En!f-$$>D+S(xWpzxB}g7 zwa1EPTYnal|Ez8-hzH$uMb8oUi280gULHH^%}Av+fl$&;zu7w&Q8I^NC z4o?4TAn>)VIE_vnCm)y!S7B1(>uXCfiK(lndNYrzRm@O6xv|wtT2KM(m{7qQxY;s2 za?aB~bY(dF^NPoo`E7xBiB?@!iSA$5h>xI3qtoT-OoM`Ul%j%b@@H^1>j)wmX|RJ` ziYTvEus%P zKr7zoOC1 zj?tQxeEv;-Q*e!=o@T4gNI*aXcCom5j9=_no7+b>)d7=Y(bi}3@B-E4jBPr~^08Ds zZt5n!;V8#-_7PrM8jUv;-=VHHkID~}XhKSI`z8h)szMcsNgGqP41^f8x^^{STSiWg zLuGGqabN-Ith6JOgpKfV{ZO=!JQJ&7j6Eg`nyGfip5#x@H=Abl*k1MP9^SSUy1LG_ zfznU~+_cV?AFH>w#{(sutq9EovJHouJE`RS*Z0;T^N-wa6d*rUUNiCCV*P_@OlapF zEGAXpWu1>Ir!)uU9L-dC+2{n2i8yUjPar&Ps9y1oN}rG`{<}i}UxMYhclc^&cFPm` zVTdh;^G9`+pghOtDnN5S1G4izsSMsTLFyY8EnHk44sXpwn``=AZT(Mdt!kkVq&5qrL=OFx-%rnrT-dx#e#i_B#Ss!^;5s-t-+PypWx{K$w=&Mrz*bjg(*qw}OgrV{{$-!d`}D>nAT;nB4Cg zy$-3QlTjKCkuu1*Fe-w9)`0>P`*G+#p=q;S1;=`aHnu~rIER$oj=45kkqX32DeIDc zFkL$*uTOmiv>QU_POIaj9+gWXL0O`S%mU{*vyMA`<(U!Gr=vEI@QSoqY?a|8QCXrUx2AEZNhuoHX*uoGOhT9Hk_zd{vvzyT0Hx!9E8aYKzKf}>XT@6uimYITQ$!vv3(5bFZ_ zb0p@*+LMYo2v?7ZJWQ?J*EdI$8Y9=1gdKh6j;g??F3T5S*6=+x{wP z*m>=9=8?pVJ(b=b=)d@=2X2=ujwZ);75l4H&N9s)pY93^%29qQX z3Uv-Z`WLC6{?k_&#={dX(%sufF0o-#V=Yh7&6d1jT0++r)MaNn4K^}CX6CW~5CT7b zo0Xcsgl%IucLKX}`{j7J_bqK+{GB&!EVePd+3sQDFGR}w7SzeJX}V^g)y|qw`%Wo3 zo2l)Di;RSe)MgNgTTpM=H9NRZ$DScZ*g*73{M_0#*b*Af&-P0gnuM8m1_}=iOKbtI z{M$6YF5ohr@OV*hh}0DZAchgFI!VzqNfNh>@2B;derJ7xkosi@%~FJg9k=G$^_)-R~kz7AhGy-#;|LV?h^;LF8XzrVFbCS zul;a%a<%`Ii@&}vse^EM-8|D&&ic(`-P~PX30MY|ew@t{^1&~iL}e~X3bZb>It_`d zK4c33T1TMaD_o-S4Tn8Ob0n2h|u9=2Dr{qN2Fu|Y~WyzgC*1Ql)hYmv*UTms;Jg{x>9myK>;Vq6(f6wYdrM6{L?KhH?B;KU_fF?02=h__>dP>;NrdV}rX2I01>+}4?$WpWxv(6@&@=Pln z=}zjTO;gS6JN|e&bJ{7oaz~oj<3a>e^_UcG)zga>Dn?h`&D0d9WHESZG>7GD*u@00 zk3l{eK706AJeR@8b1Q)-+I6mnhmeSQxJ3I@Wyseg!YAp`PMnoZGvIcQYSb>oPMn7I zfEfO$U-kT6@E3Ifqa;m>M0_s`=+Nx4WIulnXp~()tqM}t!rS7N_B?uE^h%L{u+`2A z5Cua)oQj7m8-ErINa)z9B2O+w%+I%hh`L!>z2>?AN(aIt^b|`F%kw<(+xpd;& z!`yR!Qax&p#r!&oh|V;LCn~5+g&><1j>HHkPCJcaYTnh7%>8AruSL1X(|gQDE#(+u#0#HdljHGs z2s)*Ycp-U4G%mGh2 zumbwW;?d*s2igLcCqDU`RfXF{Aj^s(R-zLp9S3nHZ9v;YL>zS~srzF|#(NxX;1?=N z3IRZWf{I{PX_5gH^T za#$KR33GsYD+BGewGMdlBxLd7XW}DD+Y-e$RpgAz4ufYb*lr zL(fpJge@|zx51n*MmJ29JKRX~BdMdJ0zw-Y1Ui38uCJzOKPk$``W5a!BPzny$muSR z1s-rmnWbo*wh+o08~F+9q>ki4k!A$Axi=?I$y-Y15;1u~p`w0{bH6lBjOH9_rFk?B z-5i&6%ww|fIylptN}qL=NH6N%_}0A!UB%PqK-`-?h9MMvk~Y7mJU-2s0-Znaml@xW zTs`eD4K7N&IxD?f+tQKCP!B*RVV~}rzqdt?dkK2cz~P6D)NA_^v$E+aoS~3mmseSz z9@fyNk<1LKun_(b>&u?FQf7$NR4FmFot&EGy|B|MPhyEaE%NBb7DHkM^KQ5aKKMvi zR1=NVKzQzJ0XQJb`I3K+DtNzpw9gdlrab8M_QiUi{E{W#|yU&%Lx* znc*}1oTTs_p*s#?Tmwq{)gyF#;~nc}rN2_RF)fSmo)m9)w2IpcXP=?qIO*~PWP#22 zeha#XIe+0U%xAl$e?(p&SnQ>EwdpX9jv3M#xcwY4PtB{V9{AFl%PMH-tN#QI8(XNT zQ#U#Yvl|Cdnl&;wZE-Jp9Y%aem{+yxHoXHEJd^*+*FOS=IVPpucSom0W{sC-KWf}G z{i#*X1zOS4t}gdjW(dx`g4x;BN|&FSsT3gU^1@}oys5P^WEO^l^r^f~rbf>L$>JXg zI{omgfyi7&|Ng$rgyL6JS|6TneV&2_VFKy*&FLr3$%}yvPx+S^GTZJJpVy-m4Ql6t3((b2|hmV+_BA`Oee!143(R7R`A zwOZD3g-b9ObAySDiW>@?yWN5J>jRU-dg$tu$K^%TKdX!DYc8p))%)|t1$E|WiV_y} zX*2ux%=c{R;`!hL$aG7jPxt3@+KTIxT#e?9TLcsfqsgZhW3U{pqYyqc|C<@>cTwM%!PG z+Kk-?+ehY}oq?b2I6BJ%+8@~$RHRJp_u~>D;&99-)Tz8B&hz)KxdF*}{k-$R#?8EOom7uV)Yo{HlqzW- z^_MLIzdjH5(D&N!9h)#xZR*;bY_Qy$9F}_M1SvH>M#$9#klLS@M#;N<+-9n(1DmG^ zvUX4ok{qj*Pv=CK+ok7E$Jk!qi)IRYp+3=RuCQWN8&WPWR!c87n*6O&&)X2N8b`Hc z%cOey*MvvHk1f@=Q>Cw$C06t$PPVFbT=ewbd)xBFER9>d zm`nlg*2_A>u6sRV4M8Vx!g?&~N09H3-=$sD%{?U(&hu91$-@R5RdjPkQI%4%30S&v z2+K^Gt>q^D1K3C+!o$~Wce#8Uwd?G*a@On!T)qlDsWe-dEF59qU-*jN*ouYAMIW1g z>CW0b`nUE5$yBY#v~yg&!t+*t1-2bktMQ{=ph$?3#Z3bA^~2KZ-kIE>kgD#OKGidFA>gdnSncTDRk@ngb!WyPJe=Xs z(O;l>f#TLG|A_IiPQo0DiY6jz5Z`W1bJjW0iT@(wTFZ$nI-rX>>z7T;g_@@!VE2}N#_@5A{rRq1D13)_cNMLQ zHz?%pt}B)0e7cHKJ#+4a*IKZl5XY;ry;z=B+?#e-nC;^7-ui?gzTjc<;znIQTpD6K zxwuhAS_~-*?&ds&L<+kwnM7djErsArs6@X@v*@gBLEuu!MyW<;P;V2I@uU>-mF?A^UeSNK^@qso zyz6q_)={`L%*qesocdc;#OeS~fsd>fF=T*P4$=~&vyr?_L?abgz?LaIG?IN%B8HWh zr<=%Z5!%DA$AWR0ZxWMHzVYr6wQf%dm0R}TdVaP;SjEc3RJ7p=Wl`~sn4LM$VT{-h zf+dUNV$(F0nB&BF8ef>32y$>=+cFP;Gifce({Jg~RhlUovs_HEZc^e|s{pfKq)5tR z&}Tp}w=%u>LHVNh4aw`{lp3{R0}dESh3(Y3I)$!LB)GXq#aHHL!D#PyBBkkU^#+Mg z_Ptdjfd)KOB@`WmUt$zo%znd`@OevWq%96mSBC{_UgaAZ*NEG zd9&&sQfZ?rJSxiBHs#OGJY3u9!#ToV%43qRsmqxuoK;K zqMip(JgV!$($|Hwx15HTPR8vFu2;flGu{Fx#IZ}!z2;Z9HkK9I#*oh}`8oJRX;D~p zlT|obXF==*F$SWE5&3{Uf*Ar`v%wxja0AQ-PD^AQgGfV{AfxXaWZf|YAM5_4)@W(G z_Y~`}ca!wz&SmQ{=+|VAK#)=|=q~M!i%B_!<7vEVdau(S2QTq#&zEASeB5U>36Sg# zU^ffFU}ew{P-TBoM>4)=#CXbqO&NPR#HQiF!R6&V#jqsZPLD#z=_u|_?FLt_daGSJ z1)YOGBhIQBxnt_ZWmOO-GPjQ%#eYV0B3QtM@A5!JG=9_5qdt^s?@^OSjpp}B_?Jx2 zk-ON8c;j?Yhb zcQhJW2#p%xRJ+jje37?QI~B|;vjh=aEkpSaB_>PFwNN;53IH@owOJd>%X2&hxTQdk zK#uG!R15NHc3u=N9x1;-JZh>Uix`qpDc}$T$XAUaUlFe35k`=CWJ*79FJo!D?0D%^ zGQ7TELMmZ;mqdvO-~ip1&~C zlC*Kl3+CGSvEm1ahib+3WtgE^s(NrY&=g={YmM8JQkTQX%WztUA$qNPbLr?%D3Qq^ zo~BHo(fYQ_ufV9!58Tdm2f?c>T8ZW+OH$87a+swV8@$Wq*l$DA&PYJDRn>t0hSp-> zx>=_aXXGq}xnvjjGg-VVARoR%{z`4h3PKI6IBRh-O{$6TVx&GS8Q6HbLTqn#TF3Ld zoN1e{j?&Td;mRpJXk_wr+K1sV6s(k~orh(A7tL|*xGwXlxbsxVaWAm6OXFbqu=&j- z)7|B=DuKhR*~`cGT_1BHRH#Fmd6N!6#p2gNhJ@x=ZwX%DeDuydPF>w&XDN#aDipId z;{B<`{cwM*FzsnzL1HXUKVEOCaEP*?p(-2_5gd2qn;GSo=_$rgaV~mw^M`H5{3Er} zaX?6XZIvGa+RVGxH4U-;?|$JTILl!Z3^ej1TxQd}!@9`AT-%Bf)|HcqPC+x?zXgJS zjxT%+B%IL397GqTe*^ZM9aCoW|Eh979o`z~&||TAj)^9IznZFV4Uk%3Or`Mp%r2zf zgN!B7sn(y>4in_pLTr8OeqZox$@l*}D&w)MDr@f2y7AGc6ia6-Y1X;sUWTMbCrfG6 zT36;*@fqq+UV`Ab#xJ%1(>7n65?M%TR4+`%kUPtFX2zs4uhZ5LZ=8 zuD%HYN&&qYLzGuy(#@oUq#rXm=x=~~+;^lBS$}FV+i#j!4RBuW@6Btw| zr|?%W-Y1Iuigf^Re25=OYh;W?I8=h|+2wpC?QgpN)e2HIWAe z-_HNG$1AoS5}-??YS$&w@G`> z?xxJbr$&2bOxrD*2RQwOUAtCGYUjkN@lI_c+d^o_J-EWU0ApR3D+TE65rVOAAOe;m zh2jL*1isHVa`EmjyHM`*FK702>Eh>kSWabL+%FX7ndrmmgJYGM1JBj(dnzt~jRV)9 zrBWzOH?m~8x|IS-Vh>ZfJOF#p+L|bIbtp~OX=MmH)u1^|pYIq>BJ$TtfyeYWvqMoy zb`}-4)wpcJiZZ0o6xzL`f4R(G%#l7?t3oYXRY^bb-=xmdG^%{gR>r$oLjM=E4!e$M zU$eYfGb#k*X~7a#qj{Nf3k4pQk|GZ8mH%dGa;Q;!urSj&My8K8(<^{+(MY_^QGYV$ z{wpkwkLPF_WqbDtW5kx@Z*AH$N+iHMXecDrFg-mzI;eGHM58D=yiVS{opyTgAo*mB z$;N-|6nslJKwM*qk&`&M3j0qIw6Q>LcZC2L8_bRpOP}4M)oPiAkPpMMkPaECi1;l3ETES7ff{=&Ec+ zC{@+r*_P=FjLE%cq(5vAC^#tZrVV!#24w3v-#ua9T}_ha;gI@kkBO`O%j zVJU_&fu@W~MI8C6$()hS`hb%|@@i8u9R-hd6D>0MiZZo$RK2?^eS}oCe`k7Z)w*XW zag5s%sBu&P3Nl?aoKj6O!&hl;VlVQJt2q0t*VO zu4~%0=H89a=&7r$#FYtLMq7caA)fH;8dTV9?kWjo`|y(~EHK@DSc-93JNk&--hyrA zaN}lFcxT60;xGK4P{>=1ri=5;<`LHecOpP3iJn4p5{yl27Tz0=bwY-oxjaLfl z`#e(ic6RSYs50jAdD%8yRskadoOEt9fm{Klev6C2c;z?2xBg86FN1mplMeZ@SKGxS zCLeAdW6v*&dG>EB>Srs(jb;ib{{l=NW1qFCTCt(O~~PEYaROP;ZHLCS}D zxiAPWmAH$1c{exANOgLxy!IEoSwVr0e+1JV7^s1bpw!Q?^@LF1 z$AuVIza0*!r&iJ4V{OsemyCjVC5((X*viZ$!3s*^uOl>YsO2tQm1+sa061j$Uykal zC^)RV_12HrZI2xvmpw$Z#Ya&wTk%p~po3~|C(<>t}d0tASo;9j>kT;Dt$Z*JFS_+SBt z2&O&l0`d9vrBTRSk7>NyUi@ttMBCqSa$o3{sbH@!D3)eq0tL(PY%14=dt;WTMdk%a za8!w=4A`3@v}{FOm^~?zH3IfB32Hdq% zTueS~Julu=0W`{dpp#}oyR|6uSu~q37xU*!l|?u{g^_RYP|=73eCbkW3dqTPzV2)A zUT?GMHl5#C2idE)kj?q@rwdbBMt4M`oC@|Jh4L0Rej;iMQQQOsmA&I;@*sv9Fj`zN z7W63(eYSX`_Y?Q%7Dret!gj~$lBi|2zBik}!{GE`_!*u%y9nJlw{H^$*(1+W)Jia) zkq@_C*IPg5xjBY20GdoTF8TM9DB@* z>sOdd$sCRGtd(z267y~oA61XVI8hm`04_fREl)h0wyeZH!Idfx zcA^6nth@j*;4M(a88QEo+{ z@i2=Lsys^j%4n}rC5muP%v+W`g5OkkcCEj(n#EglRr(7260f^+KImXYpIQ#y zow6`c?!x66uZ~>2I4)l%wd6&?6IpqzN$YG?YRdfZ|NOV!T>PCP*JX5m|I<;aE8d!e z+D8cI>kWcsoNxST|JvK}V8(=ied(ppz6KTSRo6f^I`c|Be2k*C=IP=LGjKx>5qUFr z{UftQd~Z8KKn35wqs-I1%nFjz$&wpZz+bk4%070@lFEC(dtNz-x83fuZSnkMY5S1= z9o~A4NpdQg_4x3x@CYHnr;gz5V4p48`zAC$Gih}^h*TCF0a=NNiib)K#wlnv{a+~d zk=#u&`Vg6@&gkcQS0BK39=9!Hq)UtvB@J?n$~5j{u>7S|8DD{nGIO3 zuWLV{%)o_wXa&=xUOU9GM%IASRcdE7u2WQcbNY{!i?2;blr@~%}YH$ zu3^j*Rpf3p@6XWHCmo=*IRPe4kQP~K*k7_VjoWQKQW^Nwq1|Lw%AEG2R6_GY`WA&M z7bwv>fGiR{-1=KgK|_uze1amkl`uw7836u`u`EmG`r`^R!`vBF(**UFZn}JDbl)3o z68dYOsRqN4iLHDeF%~#8l#3}w!Q2(UH1N^0gOX;f+oj9xiziaFbu0g6UR}9&rmszW zo;b_3AEfTw8;88YD)U;fvIoN~O}eM9ZbZs#!7d!<#=Tk;Qv@>3Ff0r9qh>c+RZ#GB z03i9kc^W1@`$M{%H7RTtiot8p(_mlC`2E+-N@pdVpo0X2T!iqs@j6M)M_&qJbzYTa z%R`bm$jFxR-%`OtFBB+Zo8tM>RA(nj?yc6Qp4-nmdzX6Wjl~rXnBdojIlrewMM&ca>zp zJS`)G^e6oPVJrlv#oVgcO_ZoV@i?5{NqSY+SB%^Ed3h|?ztz2%pHISxQNO`kgu86- zybxdgN_T1*+V4M0%SHrkHTx8yPzMr-QGW~mY8Jp(m{Azvs}Sne8f3I%Bg_oMN!ZSH zn(*GQMJF23X^O3Stl%~}nfKu(+pxZ`q(1PN!8^E5puV{FroD$M*jj+dcqeobPPwf`R|Toy7IPve#?W~kUE zpLnWwSGJaIEeRUv{sYy<0;3nY`fk}p!#7p*dE`==g13Yy^@1D0q0ato|5s(pxgTxF zMqh)1MPU0j*^~Jz{ean!vR1JtH^`5vAN?*5nuPe3z6uo^= zV%nD3s>`d-qqr^|kWEr`en2_ar!J}Q+6YwUkNJ5lGVMRN^hy1}b*4>W3dccamU9UL zz8%bLNK22;`x?A;)rs*-C#-q?k|9L2YQ5X_6Ib9TYQ%%5jN#qytr(bPHS57gUw7l- zD^suo?DGld24MSuGvqCDv{HH}ET3zT|4#pr`0qGlc)aDB|8aSwo7K1=2MrPQ72`eS zXIUko)S-X07Cnq+=b~9#d`Gq(IDMc+^PWlM%qS&*d@_$z2 zzn|xp|A$xqGPLWM3#m)TO|G&x- zHsiC%O{k#M;nDhSz(aNd;4NcWo&kZL;?MDid2tkls(%oh$aTjji4u}R(>m=mkv@RO;F|jIBjv-;%{{gV2pTei(KR-HMaNn`SUH)jgBTrd~U3F&t z5eFWP!T(*jM-@j)ORg4|z3)wgIF)2SqVRy|R(7U}u6#vSSktYj4nExH#_hueNL`5n zg>eA1Feg;(xOrwU$J9XoXFdMEjRk}cItAxO-WT#bt8c;cDwV!`Hb6C0b*T4pg^Q38W?Z>r zJoBfrL~)1s<;U~E(n*r(C|K)xEBaRG9Gk$VpSlKS5lNvzHXr7i)%56-xDT6bqM>*V0Zhyp!}Q0nU#?U2E>eiH+kn_EzRA zkLai0U!mBO26fEsw|6qF=AFuXs0;`{YF44S1KT*D(;~~L%{%V4u9|M7OKnb%&pqiucq69daFm(HtHw^Ln} znQ}Z%$sMqxLI;%%bGn=xT^d}XkS^Nc2`yHga4&3A51}}rJdpkPpDRm&TINmZO#c(3 zX5~_@>~bFa_jm(tP}}E1n-b45J^UumvHu!LuC;=aw%YCQpXpTI!s|Uv$JL@x!*V4W z`OY>=TBKjWf}0eL%XzXTT&jFZrTu9ZO2eTl@^={;r5;EBvGJoGZ@~=me_Q%tZ;NVCJ6k$fD)(Soz$?%>tGW`&l`Y{cUtmct6BUn+PcAO}b;-3yoHu+Ik6bopMz(H-wkC|HO zZ*V`MmYmOnc>LFy|NL;ziNoY0QZVHOj{(P#%ai))EJYV+;TI5vu1W3+W`^6M!DtMDmhyB09eHd`Henn62I>3DNe(ri>SA@RwCJ7#ESJATr?jdUtn@8Haokcb)Q(Hyu;0E=#1uNYC2 zcXmWiD2nmmYFA#bcerycfx?|Of7W%iiJ7Ns9K0Ioe_VSKyjWdyihFg2t@dl(AdX7Y z)PO3J@8;HVSuO#oAc?9&y?OX+ZvlUB%Qcn;=Mm;Qdavj6q_foNhp|W{T0ZK+%PD>c ziP2Xc`!Sn++%%T1bX)WLe&=6zS^k7jC?d8G;6jO(WZBF}*+Zwoy-VUDF>XX{1@ul!Ni1&w zdea))5^E1jA5A8ndF4+5C}kEXV)%k`ShcAEl$2w@a%~ON+@?JBi5acDg=2Im@s@2d4Hy6z=W$1#5uy+WK6rSzw`% z0-wY?Sw1a5>LO`?Oo8SyZ9oHA@G_~1$XUX(W^;~=eZbyj|98?-f6%A};otHuqZS`A{FaN1qC%OrGfXNmo#F5XXn;CBsR zuV__Z>=oKCUgz*axxm8MtoUX0PPVh&o9zkRDKd%k9>KntqS5k~2 zmx{5N90$FVV``Xv2}Ih3`q=VY!?y(`xU`0XUy$^P(<{Hk?S|%Vw55 zlkd9edVr4QXD}!yY`Bi?QbHyeCDbFKp^0EeJAzf=nZvg4s9HN`M+a^UF6*BnEcNzh zf-&#Pil0Tx}mIsW`E{5T1OHnKK!aI`nlv-;1KjlMZF(;sF+2EzYbadXrE zHM4XyvZw!Rspn`UY-C_#Xhbh*WNqSTO8AG3m6sR#e~Y@VT`Doc_c6da$|E2N5{tn` zV7dJTH{Lq%gSY|p_Ip9Y`CVWIZ3b9lt`rvN%xy#+zs;0^?}@--B7+7Oy+_NrtLXvv zT^CXkfh`y{3%F<#d#wjOa*>@C`lb5im`|BL9h1k|i)!UBtUZ&dBrGh=cafPwLLdvZ zt>`314G)c1N1mQzP6@KB@-KumGJb0@v=s%7KhuHkT34j#F#&4UgdSMhF0(k7s>_06 zhA#I+?`+gJjusdEy1$ioo299W8GGcXbrU(#*iEdV*|KC?dU%%!B-l5Yy=T#!#Yr0) z#T`-qtgG$cG4_Fu?NDkX#==q>1J!|lqV|E-2lQBJZk^3u8+GGA%FFMoG;!pS>KKs! zPh|hFu>7CMD!SPk(aY$YD>z!wOB1rQ{y=T-;7G{E#PoS6OpD(tMHqHwq^P7cz|3?bbyFvKu4 zI1Keiy1Tm@>5%T05@{(x8bP{KMhQVuVx(nILg4e>`|_>(t#$9qUi;xZoR{LSz-Nooa3U!zA%=6{wns^~hYg2+wC!2)K)

      |rOU+s!`1gNDZ{9hc4@+(yoSBVTqi_lN3)VHLaHRotsW}%_uHnpTfj8kz;oQMaX zAhMw`?dzw^mo#b#x73+KA#$7dW8Zt`btLmRT8x5d`~EW0Iz+UT z8xc{p5NprpJ87egq%lZ#9NE4vdS)}GM*SR3kwsP__j);|kMm9YkJ^f9bq%Vjx~7}B zG;=j5?rQ9IOP)J0LHO!mbdG)rm(MXcasy$3^(E6@8kh6}5V zjQh&W6(Q8Ps}}hHTdnv5q8{O`4n{Re-Ryg1!Ems$-in9R%lX6ir`7p?fp3V9ato|D zB72VA{|6(zQXHYXAXc2XNn%sn{XeC@$zF~I555B4etCQ!u}bk8Tc2(yjE8l#?I7~r zWe|X2UQCfeak>E39$uXnXmTD%Vab_R=)lbZcv$!d$#`&IDYmcG+x5)a3~R1So&cDi z-2OejHy`gZ5dsaHvWKfB1C{7$GM_o%AmtDCMZ%p2B&XKkDZdC>+a+DRE@6;~=67EA)Qtl38A*^>#ez?B zO=L~Z{kUnA>iGNqK5cmCqdmvq<^CRyi3kf9 zEeXy$lR2A7$(gBN>`R1m^RFNfX;S8EBAtw{SR$*Mh~qY2>vuGLuIMdXxg}+O^eQ(w=iTWm~ z1=$N(N@bIpb9lvXI3rs77r)^ke@wV-8KM?nUPlTOT79N5FwJ`$hDv?28!ep!&lbLk zKulO#O>=FKQ)6F9RrS}p2mARHxVWrmJXs;(gH=zlW06B$G*XA z(U-fSQ<{}LXFLcW@K!$Lq>^uE*-V-o4AgYFd#28h`)3jvQh zVXp za(!(_#(-tzsGjyztaRq%kn$T-yX(X&OQkwCso(rqgb&pyDics0oo-aLnXV4K$|;()bud?(CZorv|8@t}mJ ztJ=JJrUcwYahZ6vQ8R1T*AL+L(X^nRrokJ<& z*7*anQR%Pj;;vE|tMU=ZbCI!z-G3sTmgQTjd69~z#A!FJB{Y3-)+JalQUW;VX#O)h z!2VmmmtKsGed%*UZLg35-?6HO?JS|3WUpS~KBw%prfnzyM;xv-7bsR zd5h36`eMty0_WcK0Cs+%(ieezB-UA_Qu0u`z=Ok?U^BHRhpLA{yqLjkK>yN8ckkc7 zVsd+%RTknRM&I=8&Frl5Dq;sjtTW%k0y3YNmx(3q-rhldSKCvmvV6_;uv^+-zg&#l z%;alxAlqR958pkSsOj-SBx3B D|Ti!D_bbDq~F%WNwZdyH1FL!quTtq~vDZyVki z@^p{!(i+6LZZ>8+Rrjapf^T>k9L+vD$sW1=sj85nD0w$jNtL5j-=rej6}ppNiC_KM zpLZ3r`9Zj>0TCYZ@$^2}*B;d@^UJRu?qpsYnzFp04oe>}|1?qdDPx8?oUXQzOcTk+ zj*xi9syzE@_hcWNr!gU2))cXIw_zHMe#fXwYrz=?7)2(lKwHfQXTAq!pn;NpPZLdi zD$QGqcksJCq;S4Wh>dSaS1OlmdXUL*?Q?j-VH4q>d{`>t;tMJC7^|NKmQ(*M2$$$z z0395cM8KpIJTehAHFhJbv^j}!75@Zct3DU2{KO<^~stJTxSZo(k=$aIQsm#&#yvORgT zH>$$APNcOjiu1i&Z7EayQ8P@q=P&TyEYAxkU1bZGudKRj`PMN3+~dlB+8IgJ;i7~?B?EK@jC>aN zB*P5|S;=@_wVpFQtX*PQ-TGej>a?1`$5V&b8iGNe-}gV`i}AN!JE{VL1Gp$${j52= zm44cU)ZRM99XaRL3{x-2GyF2nc+dnVDKWBqj1c(5Wq820Y#^3H1#$l*GTg+qNn2T` ze^PT;{+EnYht?x9uqRE_#=+k}0^^^Cj_(*Cso_zWG@DErDZHC3SvcQ8hwpyBwR{1p z4zLEY({YIF{n3FNHF>PxaEG3~ola^Bdpu|_+uHhmFQ0nu%4l|20wo31QPov0)xNUb znU+TMEsvG_DFUD|@5lo4?a((`Wz2@&fMTtCr4r4f|0$Rldx>?`_9iwn%Jc_~lM(S2y0S_MplSG<(inIy{L{5-yGlpBuu3zo0W+nf zA;ujRd45yZu#1ze4{;8Sw>>F`h5}AQ2$~5KLZ>+?ar(A(4AmD^2N88gZD#MooL+sN zX82aR3tWA4j%IZ(mw1}{Pt8W+d{U;F+6mo2h5n9TMx2%#994av`w^hsLXQ|3;H=|g zxJ8m69G7bS2y8P3y8)Jg#C8`7U`jL+!VQ7FSn2@_Tq={V{MQ-g=6@4YQ}jlPk~M0f zAp)&6y6epq!PG=BHB&%C(JLeZX8BR>>by7s^J1i*Cxf`!CP|T#G`MZ$FGWYEtZfCz zNPXSKr{B1tKxP4~#&=+UD;j4k8ea^LaxAYpF2;pQ6@YAZxOM!W&;58kna^w^k3(Nwr@ETGP145o+M_S zs)JTSyLEf~5QhC#u*Za1sLJ}mfMm^A1Cr`xxI*#II&=LbZNe&-jEx>zv!q;Hf;K?MOVVOQL zn2byLz_*8EWFJ*&v}tg3bP_%dmPnF8AYMN0r5D3X((=#tDFHHIQwov4Ty5z z5msHG>16k&UF8y)$V$cuU66?py1{r%;!X`&3GKG4Y?JfdUiT# zjDD8v{{+q!-YVC$>3!2~t%-Vk&l4)p^FP?)6NxHSpd_U{@oPChZfwfgbuik>vr+w$ z1Qh(=tS)*eYrSOcj(i@gWCT0FN`B(I){||DWRdE!A5|TRO3wBQs$LKaRLq$DJORX* zcpCnOlgIc#_(C*oDrr;G-PYT&u49G7B4HWd7vD=P19aDxr}PF)Y$fwt*$WOrXSEnO zQqr!>jsK$RX)fdzg{P^Nuw8d9%X;gRh2S$gMC!Y{y6sdkPGkjvT%(S${( zrHdz$5KO*qobw<~DKPKPD|1xXV+wc%>!d$gL~t$jBqt|1h66Af=CiNChMS0vHJ{o_ z%1RffoAB0m;6z+ko|h%W_$y&?@XR_MiWdkXp+@++tVJ@kEC5y1FD5@3M0~8go$Cz15GO=yLGgyD_v_O{Zq{ zGLtUQ1+uVBxazcocwzDhl1%za5MW6s1$LSKO(KHDf{s;w( zFg6Y%D0a00VqhR=uuzvlc|L<_3>#;*2C@kG`NGZWCtdm3llYABpIQz`mn|GnOaS&u z94X9-$8Oh~J>xi4Sovp@wn*B-C+cY8Go-TQ{w)^bW5#Yi$|!!4|64IHMk%@v#ib>L zd#iD7|AWK~`wMCWs8kDr|D_=PBESc<`FC9nRVOc;*^P*OLfq`H0xcWp_)jpsOXr`T z7+3s1REr1yu3DJpnbf|w7MqV}PS-qMQJukK(<^EP9!jA*3nK(`M~32+r2^+gLiW*v z#Qm7pvW~9QgDmj2R46{gS@xZ;Ls9zYy=Wm0f$eT+W??FvO*~D!^cQ_HK#2WM(Lo?{ zb@CFqH5$SIG+O9I`OwV((0Yfj*QSk_B$O~MLxQTy4pR&c}lPE?hv+<_02K^(8^oJta2lLz1RC1$x`Z-%a=}5A?!8NO+<@V1*4zn2 z4>+fW1n*Dg(>bSHq{#SMS!?1$4TfVIo;3pLmC-tyrYBy_hxH7MQt70b; z(h*VpNcX`VBA2lUv3MWfmkBMgiG4ly@NsgyTG|t>peXJN&i~5ZzyJ21U0(I&RDXy5 zCwi^7JWnIr(7@TLs12&hF-Fs;8j~#Y^Ao}|dtJ6NnL0X_uy7Jnvv<*aok5D>hE|Sq zxyCzH{}C-LoK~@sReGI+E_b_5Nn;(tAy%HBAK!`UtzzIWCSG1eZFIZ5h3>g-;t`A6^k*Sd2C)cd%w*$nW4q4 zwubReZs}~D(Z;ESIIO~;4HcGuE?y&YhlHgN?%kP-z1kylVALPx8 zr}NtW@G2vSkuXGd%-G)ntRfyCnQ7d|%5PU{KNoP;&i#DK!7JRdkefQ<_z%q?Fs|=? z?;IBDebWu|%CU5Dd^H$iR(dimz}y{BF3HIDP}4R%$GBW85R;ZR3LiE9>YvJ4opkSz z=~3-_s8^$EKMqTR8&e}|<6%XrZ0ZN}T`U&qykBCp4_KXcG)rFkhs~(d$E1;JI1Nhw zTxNC=xcsSLEmTFGuL|=-h}RP7!YXQka>`dNcoz`Q+pUG`SDKaA~-$G;N+#wOJpdf`>j)$LW{hXkaqcTW%K_A zLIK>NEq75}y@wT3)RmQW^tfpc-YfZE^)FP}s1Dn?VR(*rxX>5;BeT*PYRRi+-!RE} zOM&*|bsT@@xCQ|Iz!fz<>g~lh;1DxO^VY4mW z)}9h=r|z#haCIQpX6#<>K!Whk$2a%y1Z-&jZ57gFHgnGWN173y<>&b)0dZGexB+^A z=J*&NQ&u@a(NOYFVnI{lc0A7gi4l9OQ;pz<^5KcG*+G1&!CQ-5m)TU5e1?W`GXhwb z$2$t^?le74iwPmUK<*Q&puEA~F6+(=f7a*NA=C@14-%HMFOFcd1D>Q~-D^K*1PVpy z7+oIX1SEefZmDamO;Pr|rqpR;pP*d()r7z6J{PI`+B`Lv%%TU;VTrH6BG`|Od}N+B z-uHpvT;jnX-g@d;jTM7eBSDIxVR|9P4j8|T9uL1H$E7|+eVpG?7@G%K7=_%#C#M92 zOBhV?vBSZjxOSbfL(&vDRkf=4!?b03kF2Un%Wh?o=n->L z_ufLhS3gCgWzs$-Z4L3*JitZQX!No(bG4qBkw$p!#394Bv3D!% z5u%wZ)tR|cI_OAS`axr7;>WMuQo}Hdk&q2Qwf-+U=KX(jv?Ti9mtYM*bg61WW#a+W zgEhS+T#^4_iB-2StK_WZQ8i>Km1 zi=88oIX$)+2A+<#<2N48H1`Q=`axIPvD;v-=`0A%2L(=HRYd-S;OPY8bX!2ZjnN&2Wt($yR}tdr|v z(=!_H*gET=SP*7mR*b}@DAr>Bbn;r^IZqlQ`RUNj5ML?$Wx$lAsi?Nu;!WY?@~@Q` zEA+)+#Z&dKEzE}GC3~51lNH^gT1IbT>N*@X>66k_!p#KRM(QFqe3lt{mNO@!J5hbl zo1-^{v%Y8d*VE~#w9B}gV!=)IQDl6Ov0bUB7V3{$t$ScRYCOd!p-$ym$_^MaAjO@) zSc_P$5XjQG{S^x{ya@HpJ3jx=UGz$?4N1=4+VuJ!y+u;p%1JgWz@ar@nXy{B*uyH! zVTMJ@duddR@P17C1B3L13VT?n%qU2hNwvCTirQ=rvMI3g?0m2Pu{#S1!^$Ky5Ik`D z$P-Q0PGaS?zAe?ueD60NO2+~i^T4-5zmFL2e~uX4w+q4c^JMXNKX=q&F>yt7 zdQo_Z;PiYzQ=v5*=TAEMYExfK#lFBF=MGmk_0MzksSRq5E8JIVxv9eu`Jg#Uh&P<} zRUp3?pRIevGrN8n?z2sSwe-&*4!#zAW`9FjgTH{oHy(CG@t)nVmP;2e5UnKV_33J~ z>Awg&bGQPu^8B-BhVDMf6OBd>^Ww666kzNHDj8#p1i$~SgV`_J@vBZ0-~HZ_^W^By zKDp3@j;NMj8bmI+AOH4&vN_qu$5+U_C~gwGQvrq|DxvqXk(!hjm1QYd8cbIV($CJz zXy(S_%=`ERiAtjaHBUXhezygpeKR>;N89y#i95PnAqo|yjJ)w&BK;(Ewrcf#2{j`b zj+<(aeCFpr*`jK6T(*|!GgwwIRp-e0m+l)Lh=!?qn3K-EIlOzP{}Lv+_Rz>u8|TEp zc5-`xVSEQQn(%DzCNWs9aa*-?K`tkdWsJ8;V-;M0ZxN3wZhjBfb=uucZ%{ zn#jfr-ki{F9h<5*Trk&)k!nSoZa7svLh@J!QYMw8LzNji``z1nzy3f4hgXxEB);ko zM{?xsym;4_xYSLT*79lW~>r|w>30;`-MFs}

      PgUt+gzd0Eex98?IchE@DUFsH zp!U2W^=$Z!PPweVH{Cd|%Sbl+WbPDd_9uGS;~GX>y%gl?T&!I_c*Rt@|7Mhw+yO;Q7r9f_*58XpGO8zUq8hBf6VxrlKHP*J zeO_rEQb>-mci|(~v~d5m_urjNDGld?vJX3{@>fnoCTe4(U4VAyEm>-^C)~seV{WDz9N3~9sF7V z`^ibO3rHT~M9mLDsRyB@ttXIi^Y$8wh#GB^b~AzCm;;7G!#dec}~J<(M$& zv5!@WA0+2#GdQ_h+N%7oD4iX;M{2sOh?=J-h~^LDYc#2tL8c6+wo!a~A3gciUWxld z8u7#KBY^~75{YQYg<#f`#)*7ToaVfSZKmSh`J6T01CuqEe*w-7pRzP#%GAsj*_<*d zkL&F}=HgEJ-qD@yA`3+P6BthAH=F`ttAD&(|}sWyHHD{ ziI=|!{m9*N|D&<3WyAW0mO5lT#7sw&n9h{GdzbR+)5-j#Y4sY!Yp)h>_`YUi!riYS z%r2P2s(^EgIas#A2$_+yh^5YIFXu{jc6nl__>SE=y=YFqTcC6jBGD_@Z z3XX)kN+Ht4SZ8NWH;1B_fE5(AtRk=LgwUv2&} zBAXkIxGr`q0F`DRTaK{J^UxgQF|?^XG~d>H_WI3-df4^X1q}*CwE_cdov3>)y{njL z;gx#kWbor(X5;HH&3B%#ynHadzr~MmmB?A?zRS!H?N(hcUoTOvk%t`dfnU#dxeSPC zvSf!O#0|c9R`DvR2uy@X1O7o~b; ztrw7G>3%(jO86^ZZfh4gM-ydn+Ww}2|9kpTc@8zJG)}e_D=B=+PZRp*zbdFrMwUAk z9x({yBfwCVdv&+1=5mVx57oC{D~j}F@gpd<`Ym4%w4zKq@iUZue<+xRH~c8y^`&1! zLzrFg@;rN1{r0wY!vv@Ves+sFx|mqb$!T3Kdy>>=LUYsF^)J2l&Z!x#dHvVvzdbMh znyVRoFbsp>{i69kHBuFC!?+1ae;o)*pI;GVc$4QLfdDz1Y>Jy~iKe*?TWpb7Ek9>F zp3*<_G(Gqq+`R=vPno*+(C}|DTgZoFPE*y-PR6y$rBz=7T31 zYWTu?|M|IXnHz?Uj2AeveedY`7Kavpc%7ryJmWUC_>|MU8dAgN$=3|chSBfa=3A$F z&ERa+r~cyKiks_9v*+dg76Yv}fxp00A)7q9XyIBK>20e?L#e&z=UI9Cdkl2#0Zd(x zDdpmmu1wKWZ8#^fr***GlMn80SA%P65fsT^fsNB6?`?E#7<-W~MK511>dBRgBv#uD zm=^X9PkqSd?A=%xbzf}Knobv?Wr;&+HoVURp^qO!QMY}(Zogr&TvYaXDA}UdXB8|T zD5LSap?;{9q#=24YFRIQrm?!zU1qSy#oCHLxjIDSMu=ncWk%w&OfG8EQI(^v3h-9e zbc`S!E2#z3cY2jNUD)2AX%=a6(a-c`LXJIc8{bqGI2ScBEktbfFRH74H&H(GJ7n+- zI@g0TH?$U#SC=uf!oyS+=`I?7Trg?qnT?~>&EyRD*s*)CtQkqte*;?42}EXn+k9&i zs?-29;aLiYefW;m3)SxFX=$tT4nJZ+&nR$O*(IR4>h(4M@Cf*%fZ51DP%POT_w4L! zhpeSKx=Y9^LsA+4IVZFJVXeN8n&|U!OPem|Bvq+-Qjba?RQO?)j;}t>$66o+5Y+71 zXPnqf?NRAain1f1~(|17xV)YU+#$;9-R zF??8@3EZRic?1M5-PxF?GY;Z`T=IfLC=d=1JK98NG3r*j0m3h8n5?Jh@kqFZ@y+$a z2WYkuBx|WdG^7~&sjGA4q)HF?7C{%Y`03gSGH^bi6ROn{ISrM-B<+R}`md0?UK%cN zkC}MTPPJJ2W3_%eBTUMKNt1#e=;nCCk-Zn z{1j($lq5{(PUk7ZW{D@dZd5}x>*ey>`0#sD!|?Q_{0FcjtK+fg$dmf}$Q=TDSNL-| zh}3Up8M^{{KfGm&@+}J;2zpcSPh55=1hjnM=yAqbR~tL%*eL^0TOEnXPb)SVD7M2g z>Mh^qPI8L+Rd}@ax56W!x`~vO&dZZmA|)%4KpRlJK3){oFYmHCpS8wTCz>^@u6I)% z|75aQUb15R>QMhGy{*;PZhm#1byokn?&e+{g}N1Th_Li%Gl>hp70u^#V=i_pst*{@d)1MqbUib5PCNq&I!39;JCDu zZixQkvJ}?p#`+ietIOwKMat1NUb1;ArGX2uI?u5q5Cm?#$`4fkFDp+6|!h1P?BHw8ZG9Vo$K^ zogFHgoDKt(dp1E{TKWGfZL@qvYtzrfvQ3ZH%VI*8?Ns?kv`kSQ%TvUKjinY*$i(lB zXEwi&!Yv`cF>+`(cpxv5B^~YGVgN^D8aOuTL-PeY&qb%jyytQpZmjZVWQO_G{fm27 zS6&Z>6~NFC9rhuB&Dpgl8#X)g57>;@G}9+-D1vPXkOk>q_~ML1}OM5T$5Ec)hKatzP{?G4_>S4(`jUke>V)rilHE3<1zo6-1LPF6rcj zI_>7WXn|$lud2^cKK$0}%fE?CQA)+tRsJnM%&s`-imO3V-S%pd;0TJ_dDeXR?PpD| z%ta#*NSLUza$Gdi`@!1Q8{}*?*_>+wg*UTCFO!nDRY?36#v{`WmH7ErKwKttBQrmA zZmr-|VIS*N8u<_$Hx2+)l|7U##H=<|4|N%XW@y{``AM&@KU16M@&Cl(mUJLCLc8fe zNF6I0DOG0bM#-vnBI4@EeFP9!SMI%0iXlq&AK;fSGK&8&69`uL8FDXA=2^l3D5x%p072>J-F*%HvBCmpKow@b!;0o@};PT_ZZ#h8|&F1 z$UGP-R{rmKw=!MKsa}5z(>0r*s5nAWAm_(hB$lF9oqg}bJloJM4gY>Kj6A^C!9Exy z@k3R5+Ztg@58ujso!|H22cBXB#5!iTd(nR$QXisE8>iQW`64LFqk@=fi7v?oDjNO5 zi5JW1n91@jQyYd;-G|)cvf&9M<^S1DjkK%Z-S_`ptET?BP_P)@BGpGv{V-^@0S2!m zb0Fjp*|EhrOCVM>%|R2&Ki2x!Vsu@Tj&%A}Md2*utJKvct$<#^P4Zo6fUz;PPFDde z-xxA z-AKEwsQP<|V|1(R+KB5mE{W^M>?~$633;8lf6~z>Dl3}YGd+r+QfzjA6XYv9xuo_w zgSU-R2trX)8N8#g8lOXQ?C^i5}3c*Qf}2z*>y_s6hJguSuV?yCF_k zmo_Zhb#>{CTR);chET`6&VCb7RMJh_PFeqMoX63ld{7SFsh4q?H7`fTE8;4~!fJ|z z>n5$nl(G*BE%qh%ov|llT3uaJYeBU-m-Ct(k6eK5&2>{4(~OyJ3LSJy)Ol!oQZf&A zqPE9tVHx1@azl_5=7ZTNg&e1iClBkDwqQH)i}YWVxbi}iQyGfo|7(Kd|F+kP9D1-W z+yh|YHa4V-(+2(3`(iAH@NQ`f5DiqyD^A{vYwtIt1l*sBC@}kn(3BpiV4Z*dl%igh zxUk;naQPo%D6_nHNd_F{V39VH&E+DxdRE)(u@VzGkBBNwL7hDbLh8#hwhydEn|{pC zYMS|upoxnErH$FPp$1qCcXzRIQQmyKyIzvjI1dYdbu0$QlK&5oIq#{ zxLU&vJRZy;cc^Vs8iSvx8DYTdxHR=~d5O(f@E1X3p$Hy}uGulc0H;;1S{fK}@d_g#j89VV5hzoph>9 z=jz>%dEXB#7O5Vg?ajN2W*Y1pT)NVvTx$_-!1T3wT$}z>^bBXYb4# z%Ir#``3>%Va5db<)w4|(N-k$N3tmJ8)z`fdrqW^kHKV7BQ0?Hc$8uUX(~Q5}T7pB^ z|1*QObyfsdPZxBnENuWZ`9#^@EdFMvchr4CgDCA@&(*;bf>y?$$cWU zPYJa9H|=Xd)#LqH?Ei(XOU~blOn-`swXo9_PV6&UhG|dk9-}fig*tIY1qfCo{05<3 zX#mygNM>>R6FFYLeVWxejjrb7Eot7}rw#KTA}_~O?1i9`PruE#)V{b^XLY2jZGr$Z z^z{Ulw~@@2v7lN%W-I9i$z|x&Wkb=lcb9$Q_ph=&0hT2 z>P4n>jnzEV$NeR5mQ@}p?BnRf8h1NzGsz@}9$YuknxXJ1TElMk^W$@GNrW%_PSQ z83)&;#L}mdv*~Mn`$pbZ&-@>XUH{wb4xn@W|4QNG<7<{K@d0{(&i&`Y8|!yP!RKOh zwpB^-eAKQmDk>sO`s#u=4Ed%1e^W&Hol468`m*BMH^;gkfxFHbRK@;Wu15NhnwTG8 znpk-yS~{*5L%UC*!QafQsu!|sJj9W@UL8X>dxoZ4rnW+!^+jA=w1*PH_0#H4*MEsa z`c(}Oac31q%@zj-i{SvNKAP6NC-ituZqMi7U;N@?)jbxhMa%BYuzE1?z(QA64}mWi4a-u# zOy=TqtQfDMf--X$rDcYGCKD(YCWA%3LLj1k+(%KRy{7@bx)P-aVDglrfvZ0idFF(w zCfyf#*_=VSug4z%K%<;SKSv3t+JlV9i=0A3QE zPV2s#v*lVpq3I6)7Bs#7NHThqJmOV9b-J|9)AAl@&TE^{pQ5(7Ju)&L1~CPW#U{#T zwnh3pYW&H@8>}Z=o;15Zw3?Zg*i!6%jm|uwqcavCN+jdZ1_Rw^Z1sW>Erqtb+B1|j z*guSI#YC4k0ogh4oQ(B>2UwEWtEk?@X*K95$4>;hL`zny_Py)RUUu;;`3uboj#$V1h+HBJ!q*r&rqz z4jV2S;Wpp9&%cJElmhaLs81KAPI3*lVgcuhRn+&YbB%gYLP{F* z2#ALDjF07wQH+?W3lRomUr`eK=dZjS?2_GRBeWj(ECbbsJ6=?vhcyte(8MbjxS+Wd zh^e?rsN}1x&CYS!%_OC^5YPk#p5&?}pu;ZV=PThL;8C#J{)>i7H~8d?3NWbOaU5#5$ojEo1Z z{x2mg9&jI66x-e?{mmyQWMQkJ#CB0rCU4a6%+&YQb2>o$$$~X(xF| zNqcKulc%2_jMGx2*r}4!)m1r4^FC2;fICShTdUhO6p5{NWOaGMb-hM#!dj{NVd;<;18R;>fPHg#Jm909%H|;i;S& zRB_hmxAi?U8O*AX;XxB~D(;p|0f4q1&JnTy;`~~-+gF-H63gCu;>ebcIy>wYIpVIi z>vDRT8*RJ4L5jtjiQVm!b-}wPduJma;wwxl6QS1>+K7LfR)P9sP`7BHjK|>DG)eP+ zPLtScu;Tz}&kxuOd!2jTnTE9$sNs)4yF*oVdFg^P|1^Q7N>-9qGUnMq4rDs+Gn}!5 zH~z0PYIXvi%!e+YP?u8Qa9SZVv#FMK9ctSF{e0Mpu%ugZ;5P-<)pLzv8#`KC)EfBR zhs)jIh3+vRCY7cn?AC z1iLSu*+a_;dw!J_*3#9TSAwg&cgNPwH;bntz~VyczFez0uVx7j(Zd7WcM8E=be7kt=`wZjYZ^G}jP6G@2pNbB`R`*}iT6#HR;Dxk$xJn@ z;Fz$8-^+>g9QENjtJG5a=O^>n9Q~5iCRMLB_YpAE#0j9 z#zNP;7R#FCwOM5cA(I=2X7XA7k|FykMTV=L`X~Id6!RZ>iS<|KMv7G7-lE98uAQZ2 zXbkz4eYQKc-&UOak_TH{=XhWY{`-`^YHMe*kbIgF3d{0_d~#ir^#op*G|b*FA4{Z2E9I#WR&I_ zS9SU`T};yMhN-)tiMsVxEZIeQ+g_L$2$J$-fKc>g;Y|}MhcR$ZI zQ|`eNJhIjEmR_vGVr?t>!~#=<_N@Ol6y8ltDE?+cm?)mG60H9UVs0$b6E9+y^cSe% zi?)_q%MGIpj)=xlUo>?y{`rYXaKKWrVON``N7=xguip&n51bK98RxA+6ZYW_;b%HL z^Hp~($lzdiYG&uL7n)Tc0v2$M9RfQf-mnFHKaM**RV_8rD>GPx-)4W+!N%RBcLIW{ zR9^5VXfCYqCQYhD5LN|fLL*-`<|@M;b0JnysjO3Gqu3O=_x^S(e+9TEX&l(wO5SHr z^>+=3nmIgpvJjln=GHesaPq4ye+<4?HBLlpC}Cl?fbn~2$+Z%e+Pw9S!b7BN4*$$Z zHp4uIjv!>2aE{1$0ZI~WM(8vM`wHKyyl_eQ8TI9WdHq2nC}Z>~hE$XV6t`F4@^`XW z?RpG}vZ#GGu6sw$R`FR9skOkV`rqJU5tHA6pJ|{B$Sz9wJb|uDLUuh zPUO^X4AC)|T?aQj+k{P8{hjE#Hc)8-o=bv-%7!#pEfX4-yUIVgJx0YHUqmv;Gh zQpGy5(1e16-{96pD!2qd0w6)C=3U-jcgkRc!yunW141K&6{l|FtJLeIX$!-X zRa;6Gegglri7uy1q&5BRh+Ur9*dVT{$L_OeF2`x82o??!k3E!9ztt0d-p?h*G*D5W zGSTJ8+B*B-;fC4c%p`%!ArrnCvN1b(W+tCjbiO4`sCn{485c7LFZG4I`D(Y-M8iq; zOpf;}CwzvDaI+Bh#t8SZGLaEOWjji@21oPay{DGgn8>}{5e7*fbU}hjYwSZ&CpyAu z5@zp2(AAq-_$ehqkggs9V+@~m4i))${CZzDj27NJQ(S`opi9Bv1ZtyDX6(F}x zY3_)rWzAY!4`N{RnbiNR`W`wY|BT_7CtO$tt%SFWqK|h>PaWlB*gpBy=8nF2FC=~&%*2_+u#qX z+pTH9Or9sgv5yfDtYiqB>wEb6;Mq`Whq-81+v;V1l_Y?jLSs$lbu-@OAO|v!Ggwyn zw6diT#dsA0gCLaSu67V7arF|U=|E3Y8Sb?Hi^1{1KENzSzBt@EM5-w+91)7@;>G(D z^K@dGtV?7h-N<5s{ef8C!E zs;O?rh@0%??b;K^)AgntjZJHlLffO2*+QgRxmQ<*Jv%kuzJsrKamng=e4m%^)@~7} zi6q2!uZFQLVqra4IFGi)dgj)@vIqJ6I2fFs&S)75Vu6#U8 zrVK_`%xTJ->Bv;LGiPN+W?E}l-Y@#FkhlkYg)(W2j8vU!@AIHw8!S=dQwPt_l*Mt` zFL_z(jQyw8cF8T?jTNgfQ4fqTaZ^L-T#WsqA*s=~-u3=AwnHH2EoLt4ffND6%zGJY zDPl709mxnIkT?lx&?T6R?E$sT9N(T77&^MIJ<478t-hx6RHn|Y8~Q~{+q*?i8uONX zI)VjKqhwK-UtTeMQn~IHV2a>xFa7tMMfJ&tS)1d6;AfPqL%2V#N#ik0J{A6z2a5Y- zoP{%*>g;3G09ScCg6o)+s=Z}$pMs3GZrX}|=hj^(+Rco;@Ps4j$04M2WY;F-KI%L~ zh|s~kRm`FQaf^kOwMNtlTcMK_J5*?=BH$p~bj3PC$73~JwId&G#{=q>QPsC?g z%t?c$Ga0C=4aR+r9aeXD^P?Qd4@rSNeB(>2GF!dXp|P$g9NamIdte7g(`0V4Ry%DN zG=j`~-|^Mg1>hL`2*$I(su!;V%w|jDCV>OE>WYVN6R|@@#LKAvtG8`mnnuNUwcd>k zqXH8yPkOZ(QIIP~TAvb0#awkMV2X6QSiJ3aXpxx@xs!54Z`+b2oaLAZp_D~%-v^p3 z)SG}7u58Y>oJIvlMSLe*wr8PIak$@KbLBn{{!|*5sB7(6qMzZHbju=TX<4QXcoVBW zV*Wi~ktE!S*d|#yJPC~p=0!a{;dVSSOzgJNqn6 zLijy)AdXCT3Xl|p2gwCW2{!wf%Q56ueR(f*ReIT95FwA|n(rj3@)_>=t!8^Y0b2@} zP1PqOzJ9&tNSj`Y)u%sCZ`SE=*Elu>NXVnp5$2fJ&=NTiZGl0)fop0lngk`0&twHh zw6WOm0BvCz+?j*tw_=!-Y{vKPDW%!3>I{?MHxXlt2vG5?>SLJoXn}9z3K2al%Khz( zLeXffG*eYIH$9;EQsPNB7>i1xy`R}2{z ze7Jn576Rmr6}n#P687jl{0NqD5#Pv{EzZho9syN>*U`p}&>C9wlzWea8%=m?jr>zj z!%ceJ#P5IH1w4@A&zFu;;(@1YA2+=?(}P?5mPqtvy8K=a5e_*mjRv&YBX(bnFDtoB zN!K{MC^dVJF&XcRy9ti6W47qQAMavq3Zael1qDaJ1|-G)v^^5v>uh-$sW6K|19|nH z36?{C)c?@E`%H#`nT1mx6`IO}aD1v451@4sAc-cEoqc0dHA^Pc@1uU7OjXRGqJ@+5 z!JvPfH8*{6dpkssNMD>Sck>%mDoF|8(Z1*3hjLz1Et6tO;!!REIV?M||%J+|k=CrBmyX6}? zY7reFe;&Qi2c@|h^nbmq!+{JbWB02|7VCsztm&Nf&V-2$_%V5ddL2 z=@aPMlg@fWbuCSRaQ?H=mm|G8$;fGxwUbkZM4nT=cCi&=ttaF z5J7RB?d8R5055i-uaqQVm!#%?RDbPr0geQVjvZYyv6_=m)5?n@30xjR^S3q`@^df| zv=HCxX=8Im9G%o1ayz?qfWjQ2l9+NaT@M5+O)!?ETv@x7u?MQT@mh? z_~Ez!gYIt(^lq@K8&EZ{LyM^V`Z`n_YkpN0`E6XhWiCYH8S*AvR|S|D9_4<8`CRK9 zJMY;~+mk!Wc=Jld3#bI@j)XlVUB9mJ$lqGm_59G{x6MmeT^B4*<6y_HM>@I_%2>}LE-4ZA;Hj8UM#=y!gb@CoaSezHO&Ys2A6*sB2*OP$M3E3)EAq58 zvxyUbQYpq2>`g)QKv&~|l%I%~Oj!8j5fH=S=NAEuqZbnq(pZaLB(aS<)o(_B@7px; zoXxSm@=L<6Mvsdxq<~gxUKX#sEpUfk<5wb%PkK_&d6X!npLnAMeb>B`az^7^e6CId z>OO&9Wx|X6n|VQxrcfEY+P{8aq_B0pIL8@1(U!EbRk(w6*^csqXGy}G&<3dSc0?Nc zHg)bK9MQ0sQqA?Qi}e^f>G#zP|H&d|Xgszr5Q6rj`#OH?Wu6I^3>+pxOSp?Nh_D{z zG!%!;hO16#bU^T?|9BFb_?N=L0M-ho-f*VrZP(3~9d?DP<5(M-8V&*g&d*_jW`p!% z{`+tW!@ruwf_q#*M*%rmx_h{R%pB37ubj>8(0TYNI4FL;c>bJS%Gt>SXtSJ9yZiPg>`{Q#VD0W~|NKYIbMDnTJOA(7 zV?(EF{DFOI{o7@>l{jF|XyXSg^G6ZhMq}njo-|K6)dHNxT%~@e&XXSF!d+PFB>CT;PvkdLF zMU-FY1oQks)Ykv7rB|~cN-rP+|K+#Mu|@tbIXfu@Tax_ocT~*|RVMlKlfu@U%or$P z3~e=TKV0LCRT5$*`89e7gb7lNx`B)%9)9vwkk!>^cHHvy8UhyciXe}^v7=1m5nS3p ziUR(!s4DmFIk3lCy5BpG=AhQhMu6tW7g`Dl=FHmsqlSzcrrX7~URK5X>KgXIEL8iu zSMAH5q1&Huyt_|6zla%$Y;y7+^K_5-F#U$?d)lYb=SagW2S*TRRo#dtcL&;cao-1B z*O@OZVKH=Uec5*FMT``@0L;6qnK#lv_pjlH4gw}89u;ptC=0y`3xm8!u-m?Wy%L#b zA4f0{oh`zH{i8VJM;gz?D`7MV;+?o0K`(^LqsFUobbB-~T~pF7q?c?;y3ZhA6BWxg z%kkdeS*&05FdxDgb*mw*y9g{loZwi4Jsf$mJLS@e1f3lxM&~L_<%LlxuI^hG9M<@T8zQ29OX!mC?lgOSA|VqdgOccSaiyEe7Rs!erO*yHQhO@5x(Ba# zLY~-vbHQ|5+U~Qnilz|Ah^tBFYQ3CD1H+i$EW%n0tX1Nx=z4>1?#EPOYQ5+r0w8)r zy7!ugxLiZsh6oWpr=9jdU~ZqGPMJS@%LZeaOFo-+8iyalp#_(P>0LSr;w<(yV$7~r zoZFI+nz6|^EaEh*E@}K+NqkaG=vu#G+6jV(uN(JQx}Ieul+(R0p2`%(7t;kwWiL8u>7qEwn72dy z?+GCST=Gj0qvjihnSOOME&(lS00qB8b`xHT$Y=W~LWI|16=#D9651!^X(#&E0(|vF z>$<{fz?sFOjzWDcdt#LC9|KBG#QR=+6tm3l<#0+PpCn-*tXb_kfW$?*nEcpm-@U*@pds)CZ7OC?N=aqz> z3ZVZel@^r`!-|QK?nIhU@{`RfQlexXp}Akd879g%hWYV39009NUG1xFcnuAN&&T?O zS_H7mFBf|gxCoNde8dINst4&sLK_uk^N#v0Up#m5(zv1A1^8K{CGxTHDiCFO7%AN( z;Y)wjd07Z2Nx(S@Ge zsG*oTygfTC3y`4PA1w-6OVwe)#ar1(lvS0KS$a^GM4v z4!x$**;I+}Z+|A6jn}g9ZLrKo`m+im5?K*o413sMTZ<$}LFbA&71`Q(Sei-js|+m} zwF1jr_<$M6np92fH3Iv#xf{1>eC_&Ml!LXF*&(?rlpk>5k^3M;_|0iGBZ}OYmK= znT!_wRKi>+gQkTdZF0W)!y6<=U`O4iw3F5+yh}jO zi9A62@KvkGhgm#U4*URSdk3JVY++khCEMWC)JT(u#0}4fC>%0xq&q$I)x6J-xc$Mm zMzZG95iL=cywPy$-{fLX$Y4)jF2;JzMBu`>b=@S<;a1PHQCqC?_diH zya5r>a9g;YuehR@n>j!h7P~@m8KYS7g-g3Z;Rf4xm^UBqo*elDa&*>XwIoArtS>Ih zG4GCV`AHYj-eCwcEu!8LyFaFWGYg`{5FN&D6Tslx-clmP>Do3EY|ROnT;9gu$ku#$ zuLjZiB2ti`#^RE6jj{TO$WNhq_8aGg_orL(SLcP7^AD%u|A6!IuUwR0r}S^QC|m-3 zf`4{VMhurCc6zbeF@}Zj=7ReDMPDP!cLWf@Ho0)UM?|XbS{KiZYz;67b@D6|RS@C! zLKuAT7m1>S8xa}K<{-^|%J(VEVB z^_@c4{u$>z1L%kD+4r#w-#fwk2hE%NsdN0Z??c|s-M3fY*u~oYx!XcR(KgN=x|jIZ z?zIp4Vjlx=vOY3X52=2m`}F)3uF=i)bqb9 z|BdIUC$q`q^TaUSr~!|~p5Pi(&4WuWZcW9vqjDW?MT!2bku_GHQE}>aMCb)PZEAN} zld4e~iwfu7C}6>DcciGv)}wxJ-FoZ9ZC5ucF_*#h=YiJwuiQj$9|jmA#gTIKDc-80 zLSNY_(snLP5vP=VrJjpJV&fWg8wM&0MzgC3#w2UrklS#-bW$=9&Mg>!gR>yu_>>EH z)0GJEl`j&iU96e*#mPR2cd1=T3u2APi_LVa!zn>qbQ?V4vBVOLPRmDZVg8Jdvz?$B z)BSy7r9wuq^?P_Bp`cRB*bEB@l{S_DmG+hI!zc|BIZ5vGZFpMt@4`ipSKj@tMlb*EG<9vpGn%D=m->X0zu&6Of|g?Nobn#HaY0q1apqi&(52nB4sma&J_b z9w#*W0Trv&iF3+wtiImpl#RijQE{O`zsY0Zo0h+opx{&MMAYZ{;_qwvfVc(&!b&!@ z;@GdJ#w0LkSME0R17W@voh3WJn>e;BqX+tEIzy1R-}3G0FXH{kK2gArdCe{8;=2E} z4&X=Zve-zB;a{>!aRpN(s;Zisc`A7ulyvQmQrhqBpewZ(br89A{OUOTHb036s8zXNLExQAC$-+k4VlD#B*z zACL1Sa+2hrfcF}+$oE{&BEd@#6!K8_M$nB#Q*y??QeuVEkxqpXv6KF&fM{CE;j|#> zs~lz6&Ph^K9_&$NYB*}xqR|%dEuFWb8PA+3N2)1J@VM!qdS_o1MZSlxRfG8#A!OeP zLCNeF8KLDGlB)-;J<@J`n?Y?D?5n#xZxDrYFjJ+C4r4>b@C-kBf;ZCH*~01~Or5|@ zA|V@8Y~EO>O0{?%nT0J)G!%%9Tvk~qnsQMEbwLVVT+0F|mGpg{;@eMV1KHNn_r9OF z5xzD>_)M8z{a|RI6ZRy5-*4*ZPIk+@y{F@rxSUAA0YBjQP8d~rv?DoR-R1rpitwqc6%1mLQK6VMv5mbC7^uACCTlHJn~iald)%m1XM+{Edr)0`nVs?B0p zuZSIDe4#fngjA04g&uRS($%Evu|G${4Q{39gUmDr{2Pe^`;yo-LBzHdhJaz-fJ^{Q9iyU4_tTV#*MjFUmi9}m_5l6nTe&T^+_#0dk-=+ z`lnk>CdJJ)!i}G`8Dg&1KNCbla2KQair`V!uYi%{hP<)(%Xs{2Ncwa83+@%*4lBLk z(mmeEGIjNYX)ol)WW7S*Mply*>d8m13v-H=eEa!qvC6s0xwHtpwkz|j^1Ud|uq+7Z z7-i}!-E|X(@`v!H(-F}R;mMI3g(+_j?cfFo8bcXTM2!KVBv%n_eDkpTc7xa-52H~E z3pR;O0Y!CY2SMTSgNJ?Y#`#m^A>0^!9_vW`$!oE%tiGSJts;7_x@&Ca_RD0t)(<;( z>S!Z`y3|L>&QMAE&?F>*? zn2rr`=$U#Qqxv%VMB)*?osz~bNV@YC0DaOD^(liBe2bh7B~G~>9>2@Wt2+4-LzPq9 zT}lGYCBFd-Kesm%XN*hv1q%KA)!L_DCke!TuG;t9stcqsT1}!!G1ibm+3JzyntS9% zMzSmAy#!VDSm6lE-iMX1KDn8^+-Ay)x^!8bd6|5kMJtSYjQ?%5q+B#B^O9HviLSGM zbGaG&s@!O!pY$z`d7G`^i*E74*DepPgGWfE?eMrBOwTsZXw#}l21y`7c14wLTCS)O zi?Md6o>Q+35A6fIKY+V^K9)L-T^rG~ypjJ%F9J(BUL+n~5;+KT zc@ebR!&|f0W@56gtgY<0o&bI7z0E;thNs8h2n^agpR}cl_k9oa1jBAOslLHM+Zx@K zt{0vdlyO>mH{0t!X?5r|TTlY(RMA({nob;17ydjrS`udB9Nq1ux!peU{1EuHTIa;C z4R!OoE8h&c+vC(!M*)qSkSTh_U9$ONqYAbWuQx#3mrjFs*GIrL8vY|1hDdNSX+$%_ z2znL;q4{JU7U*zGIfOLp^^uZkUh|uA%QZs)DFw0UQPRsY-g^Wp(TD~oc}OHb%U(si zhHY2;m&@f~S}dAf9-$r4uQF4r&u0@~>UY!@E~M8K>T_M^P&1n`23mh>%H@+vU{I`$ z44iV!TVg-NgS)H!st_i6KhW><-GT9|##0VyQz>$$@~17lGy5B}g~gG#tS)V-$BYdl z5v&u}+aWL7^v3lejc}Riaus+$mK-DqhlfpZT6Xcz=74G=B905aw^XmQDI6~A<9pN8EM_QkY0T96E9o%s2@F9- z)$Q@J1K2BQ1!13h?^~1zL0O#$p(rcSWfixJw(BzjIkk@;Qqp#%lty_foWjF(l9C@e z&M;;YpJXOw3*4I{y-?RuKe%mpgw1-hI{dqF!p}J4Km2b=TMu_NkeigVql>fC&j_Xf z1vK#K;OwU9VrBu27D|J>Y%M?Wjhlz-=T2adk&)3*(FoDe3ArdqDY^c~kH<~`780Bhq7EDk6#y0s z1`Z46u?O%BdY(^UemwyHc)-BI!9PJjL_$VEg{}a>1i-?;!NJ1AJ$V8T4_(_I`aS?2 z>j^d`rvw6yx)~ysD=t@1Tpkj&WOWyw#>7_|ZgaO_WE6Y?LLy>XI(i01CLUfsegQ!t zDQOv5Ie7&|O)YI5T|IpR3rmodwT-QvyN9Qj_e&q&H*epCgocGj#3v*sC8wmOrRNtE z78RG2mX+7k){ij`v05mulXyd_Q0mJ}b zAoJ2Um3EVbij?rR*-Npz`o8b#yc(zk($W+WhP+2?zDE;X3e4bEpUVNPo64JeoY=4; zI7}E3Tzg%OI2OqLO(G;^%y9ITxktfNeXUfan}-j08ZZdouHI0*2pr*XBVOw!Fad)87C!b>vdD#v^M4q&Wb^2a<$=7T{f`VsK$aKwnzgE++0eN>WAvCIADii3m-=6Z9m{L(~s5x1QNGR4v#FISyZWZCqBFQ#i$q z=k56ce2E8~F9*H{3QeDx+(lh?oU%UzGkP+^R8|uW=`$Ch0AAYmpmL+7@GrGq(IHy#FwVL3^k2dD z8GDz^&#;VoDy#diwwOIhH$EJU5L_h^ytkRtbv=@8$VQ%L0}ukBbsooxN3TjZ^cAah z;5h^+E^(8bwVL?Ti$=O$cTUPH$*L%3--r5^X-RarTjbA6SUSn(o-YGwb_YFBxU&Vx zXEc?YL(tXa(CfG*c*=8)WNSDKAM|(XA*dOpjyy$gUNkgonqjfien^$rjd}#A`tGt` z%anhbqED0_94>TR>K75#kP#tbYa*7aTJ6JTq8jefmIV+UG0xr~lzBVRhm0)p5oyRj zX@8xW^Qq*FHfh*k<>J(t>5~RYPtE^eQGft9ZstW zLV&r@BHhj824i-FJaES_bL6x>&9;@iXc;@^oSBE>rXsix^aU5)uZ15wIZ($Pr%F2( ziYw;77QP@9EODtGUR*F-U5@m7X`m1jATC%z(9HXggOq*%h)Z6IqiPV%#M!g=U6co% z#gtxc5e{pb#=Je=dhn@Ie0xF{&ni`7GzQ|`QsV}Ga;1)P)!u}DRxM;$P9#go2Efcp z{u;{I=l0fS=7m0k&j8uN0oplEHEqKRPhZWt;2{N_*0m-Z_)TBCBdG4O*#fcwn zldlyWsA#t!-;kc4$L5R2JC!Y8S`M<2dmX0G@3;XShTB z2Az@Bnfz@7D^qPPt`(}MQlF(-552{meqq0y2_3oefWaL;RT+0MBdb=#ep`_vy9 zb!V*zjxs$0!da^FXFrtq`RL$qQb~r|j;Z+SfM<$6_l5D;_nXEmc`Lc^P{ku4jmqyw zu;I^SF8g{eH=J6=S$!c&N^l{h{vh~S=vJ|9Dww1c4O%zv8H84H1|P8ys~UW5GP{+| zNY8h!M77lGVkz8zIQLA^E3fXm*!I+7KkApTh1A`x=h4fLfSXb%G)(FdfCL$`sWzlB zU3p%J$DM1G+Ard}yIMm%RkhP@&ydGu$Vico=^E1-!+B~@=FGeHh8p-XTPNnSp`2|4 zMq>1d>X*h(z=NwApaSiZY_9mTAulzR^{3qXeZFk?$_2#N(6jU+Y4M6SIr&H#bSFUt zF|8S=pK>~tJdj|GK+vS|5sne~;a`?=ElGxVq$}H07ryh9+?MFIsW3=RpcFch{R7W4 z=XxEAtc@mvlkUG*l5ns1k&GW`TOXbj#gCnzO#U_#ZVaL^9haclq|*TO!Y2Jxh?#i- zugQ{1dtY1qsj^(*PR90o%-{j5+m&}il9_EdHm(l-+6@M2bdjF8 z9u1m=|A)P|j;eBP_k||{l8SVPFzJx)Mq0X4r6=78hzLl-q#NmuDcy*4=OiRWx{;O; z&*NI_J$r4J>)r1e-`VH;&bRj;a}38E1L3*rx$a+Grh>2eKu)Ktt;|)8YJJArI!*SS z9`@s<-=>k+#X`W^Wd!<2S9XhAMsl>3;sa(;^iI8$k7_w@x1@4f!?tF4V}#o~hiTX` zQEZDg@hLLDgQ#KEw>Okm*o9;IbgBkYzOSCaA7)4k=e);2_yl&6K2P#4h`%bYD~;FkDCg-ob--n*^1}zRu{xC8u}s6rhcgbQq4?Mq&q{JZ znCEy~-1pQsCJm)5_Hi+b`;dbxfcIDNjl8)FLA{UA{6Iy@KjxyA$3XGA4R>zgqb$ul zd&s=p>jY_##}>Pb^eKk*h{g24#`&7Te8;hZ@0na~{gml>RW4y2tO(u?G?(OpeUF8vpRFAmjKISq^Jp%MYnq9zFYVQggi7!FuF zNscUE=HhUV%SKAD;9yPOCff_aD#*uqj<`YlYp0pQf_qPA#MVf2fWYNS*f_q~0l;b7gwD{A#kQ zl%wyn<9!?A@mzP=AL;5Mk#@6Ew4;Fz)IheSbfYX&06h^6Kg?FcNj2egFxm{jTeZ3r&&jA|^8rwo{#mzYO27Z05i7>Vga@*dlAKYLX4^wl}9``l42dnFpX{a7Y?tq*p5ylSsod?TkMG>#E zMrSJ!l$hEVc{AN4`aG;l}lsYDIms^RZN8h}@ zgZ6sfcJ%e8($}YaPH^uh#ebf@46PXeftNAkv>j@$%Xy#QTcBe^4+7c&V@;&cT2D=| zupHPug1yuCWt&o~>ktRd4ktaW=A546Ew4G5hy&Ab^jz@GsYo#Sz{Q+h1$;&gUJ$Ej zcWW5RfUs(7W=ZzI$J)D@G+gX{$#8*W;RwpU4HFj`ln@lBVr2$@$tdh$Ws-XA%F(rY zuhx?_N_jHz7xn7>9_jqI-KQ%UR;hX_54FpTw`Q(hQri^Wkuk7;9JX-fsFGc}L>l>d z3TB!>Om1h6S;j6gzpblz6*fnr{fk`LvaLL4};=WDg-&T3W9}Pvb-QJPD zct7gvL}424F(hy~Ng=?)XU<>xv9opD?Vcbu&s19yv0dX*`Dh`^Tus|&f<`+6<~I?f zh4KCct{BzCDDMofr@5yI6Ss`L8lfaFnD#3z4gJ707L z0XP8#Fo6@0>8;&by+5ky+tk+>8MDwzrWjV-IX%Nq3#hEmA!al}I`GLW?cswsy0@Q| zX0-ds2cLo{{S|D5&D{I)#_%^LYSV1&9l)WO)}kj24(V7OiB|OWUzGb-V(D(j`}FXN zdlP*ie8uusQl`jtrTL216=fdheG@&q-$AVL&I3NZ*4^dr&?B4nTr$s8Wx=tT(x|d$ z_~A{J1R>g_TDWUc}* z>$7|`8ElC0Rcp<5)6~@|cRn&hBllv{qwVDJ?F$#<+H^-QL&k^E?ldsSOq1P4hR(h! z_w(Cbvj@J|-H@##rEi&Kz{aQ_Cb5%bUzd8hO77*J)y?|uO#$?Xgo}0{8Rs8wf$o)p zlH+Zge0$i=h5r7<-Wj*1$!8yThkk>?vkQEk&Zg=PS_57t9mh^KxpU%S_1fx&)`nx& z&k)+(8uzO!?Xzpn7ise5U`n=6F<&*QZNeQM?6g@{^8s{M+~cg{z}80m2i@9Ec5?@O zMxs+?2SBH_O7&bNA)D6BMHkK!#TNLu{svXpCc0Fq*)7s^<_!%WYi_ro%)P+@2@Ou< z*@%FdcdM#9US`;k${m8E1%uMT^<*{_s#O7v1 z(JR{V=1voPX%+z8rV09=TP*w+{kNdQgF&!il zJ{@;(X4mBSN9k8BXCI*z_0K~Q)bOIgx@GgL=%b$kfWUy0U=Ih6L~5)eY<4IOW7g!pef`#x{nT7 za~Y8rjvnq*_GwZMl#Yv|59x(-0A?l?F9n7I>NT&rd^{U6ufRF#2&S?j5XEbYQbq3S zfr(+7ei}Cq6Zsn@&M>J);reXbB{LL2P+xDoU1#1v#@+*;uKmx1sb$ zJQgAb>R~)k5y^dQ8GLOE5SBQX=2jM)JotE+BUR66ot}0!)Z|r7+`shKb2WOH4D|v- zsYHv1@Rt!%4pwcgiyHJlUSaqSYKl#uj0PG{-dPJ39v|KW!>TrgIv>nlO~!pPT4XQ? zFWyl7;YX(|*U5QJSKr)N1D-cjM6)m8W6m5%*;L&0T(Y@r9QoGk?&I<#=QVvpYjYFG z&`61$9QdK$O|{?VePEY#x#=zyub`Pn0pQS9q1|5?E8|>za`b3X=Esx)!-tg!p-;#M zhC}9hWKUi!w2)`$(CIulRVGc~1S9rSrhZr$C}ZXPU<<*?jr)Tuj+_DITbXKw7-2=` zG6(-3qq`lmlp+lh6rf;4ifN{K_b`4rswY!q=tAZFiYMJmQ&*_&Z7n_?=#nncF2fdf zjYn;7;$F0cl2xE4%}$?qeqg0dY+n#ddtG#lle+~_i3bdr*b76^^}5rNc(?e-c>b%o zF)PvXq*a2)#LB2QkduW`*XslNSj!$hx%H@BHQ*UyA?BKTv>v)WlbBtB6af>lz#pS7 z_pvk^vrN#Ru}1Z7P^s_zd~OeBhjl~F+neG`qqY_l2d`q}p>vr2k|(tZ`xAT3+XQ^P zbPa89@niDV=?9ge#TxK49eFyLpkK$^!( zi^J%htdkRu+uSH5HGPmJq^anCesnx%VRbYbcUfa8#&*g`-Y`(2cgjJgPuKC2uRYsj zmERy$N-QPeVAw9voL_Qu?wFSbLlznp2g{bFj+Nh~KN*flq`}mg9*=jsP_foy?3}~} z$taD5>nU^wj8pW~rGt&}a06gTi9E5_5lfJg28rtBXGno3grQ#5mGmdvTWl~^!`7DL zj;?3P3&k{FfYSs`d*?GPMBDQ*6xH&+v&3$knwm67*Z=l-jx*Y56o;aeEKuU61e+#Q z_`@Ehz+#5WZmJy~f{FL_U>=&2hj5V~3$UPz3+5LW=5Xv_v~TJ)NE1faRma5*U4ZTl zYZjKKu|v*EimbmcQzfwCh1wde?3gB=D$>!?VmE@nP)aPpE=iwcW=Ad*VxptF?y7fp z2EEIkB6t#bc$CYDOA6W4Tjl**^T-?|X6nI`(`Xf1EcRp*hdwbaCKWj>*6?yE8 zk8)hZkk3%eEN_TwqbWxpue6C~sF;4Z;9)t#UA>^*G%<$H9$HJuWeM=+FVN^8F zRWIU80!vDg%U0hoR~tj2LJv)O8_oqxgS-G;NskB5BN{(fZ@ajpPSK$PK4-2^VFQJP z&S8NcOHS2a;LTZ)Haf^P1kLN$LCRwtjWq9q?S$Tcxwx9L)8`Ar^BBBTNsgxJ!@Zy#^YL%6##Ht?aVLvwj- z6T8rrs_kq};`d*#G~K|TUivTzf`D7bUp`|KtQHNe^3-+Eb(3et^f8+3r)!fW{_&Ic z_*uHB(Hpb^XroWU%+rus{gRi_@Z!Es2Zyk-(M%oEy#VV*JN|E1Y`P7 z=?ar>rHRCLkJ?U2o?@_*oXW}FqZVWoNiOcT42)B+7Woe7#|bGhg!@L<3oHbm_JfLM=rS(?abu<4XwUPIet?Fa zeWC>w=ml-0yg ztt8q#$W9af`_$R~wxpWyRjS)0Iu1nzg-d1@Xb}d^urR`8=e7Rs?sz z0-?&1E^z9?0I5mXL;2h~S~7D*LD*-2!zyl(fH+aS^WoOV17L zq?DWFC0U#n9~Y8(6QD$RhfV0F$h@JyTW;qyFFmny>-A|;LGO}4Db!by zk}It?L>P8sMwFGTd#uvDvwpN$o$;90U1mzWlQFqJZa0?sJ=-?7r3q=cLAVZv6J`tt zF~Vd-SaXiPip#55X$bj5M|%b%SQAr3)O2*$fFKFwNxkM&Edz{-M(jB{y;#a;F~LsU zdC_{ZF{w3!%YlKw>KZeToD1?;n#qKJPz$`z)lrqb%(T*c0q|HAJgy%{8j)?O1wcAf zscBt_I%+mL@Ya@6j3mCU3cl2Sgf2DQC;oKp{*XmF=n`*N%ZKAZhCZyzEtJ~VO_X^tqpI^qb z_)|=op*dYJ&92NC5qQd{q%*Os`bx(0D?5i>z67sm!3GUqHkIm!$lx97Qa6$VOSmgk>#j1pjFY&5wwbi0lStVGM{g4Y z?`34e%SL`Ox9ndqxrJGLF(v(li$RfWt2PisOnmz6UYOJ6WaRR2yA-HB#n13M9kJepO1zs9GELrD)(dE8xX zY`ukE+ERj=#t5J$78i+X5Z1P{vtAt>tC6D&ee5hJd(CqBbj^k!^`nYoP8ee>kbD68 z@6Z3)F>qaam?&2(H^*n@0Cp}!DJ~0TgV7mPjWWYrf}EvocPm2Abf@y=;w8~6Md~R> zs?IR1_U#`#adF1qOFT?Xe#tOVemP5GY(yQXn35nXPWGCyRCw@VjJe}x>0JS_NW-uW zx~f#mxBAjiFCGi@&fGASmscAORMfkA3Z|B0?)AvC#MW&CuHrJ6C#8gU#x*hA z6Ot+PK7hU<>tsC%a(FMAKrYYsiBbXT_ixc?f2z-vXcvdZS=1Mv9KCyN3C8Nk&dKi< z(I-0L4#Gx@xykZCT5u28jnbD}dyy|sV*-1_S+Rp!Fs=lyLzL2L3sz4RN~k}E5&OQ< zyn%gMLacAq(A$tR#!r~0Z#Tn~tOIWPMF4t%4`2@(Jv6BskO!_h(a~avs%rAxeD3~h zkxpEFvD_2fp|8tyV;i;u=J94cVrCb^g~+No`spX(R@u_5(YW#+F5HTE^|-<|$~THG zsE=9eA5NZZx1Z$YN*C}n3Ivd%vH;DGRcGL#{f!4EpI!jXonGz z5fz5i#;%?#yehIo8#)9?X<`GhEl3_V@FDkj4-Pt~m9HheotzE+6_3O`kn~QkBeWrn9@nnABz~Eg)icB}w-Pv9Yo(>Le>GpPVYsFT&^B!#0p@$FZ z7J@Z?FcfL!Dk-ulM*Bs2AHy(q2ep_T+=Q9DLe`kD)>WH<@buY~{(cJqx*DC%t5N`e zmI3f*ge0&JSLzVZQkh+vU#n2)SYF8eZt6lM&)IsHq`*O8BFDI~H5p{L!~(~ek03o4m)tEsPe$2hM(rse34-WV?irOVl_KA*PB z+!j+cWSsFt;#z&6YylvpHmX_e*dAFtn14JWC*vq0;#1sFLr*BQ^{#wI98wTNm+i}z z?{&fTjLQ-K1$u54MMue0^DyT@yB5p@wahV3uuf%JNwfSR|FH4>t;Dxy=uEnH_G~yZ zLBwF)9>bit8t+LhaXg*BQ#}-Kd%2BIxrg(^l&Yh62@^mLws8SpEyA;ptC_-Ti)sEr z0SN@kJrvkNEiLRM>7yd0iTe&xB8++`{0hj8u#m>L5D`4Kfm@QdL^|gMPzVt1ruv*G z_!PY1bKl_cZTb*|0!TI32+ReUolY0Il!CBJ6kTVysNx3d(1mM7_y~4mW1mj8G=a`A<5!s&k`Tvmaw$R9_KP$h(D!4J<7qeC$Um{?F zK@*Keh9!wwqT}@>z^DOUW*TcsnxHnh>>*OrL>ew*hwpr?@E$Hn+U!Eow)jj6@vFZy z4#cCuV4HfGMZ_@(())laQgMx;qiqgk$jmO3N%?|a^GDNS)3 z0OqThRW*AsQ}D11e}6A%v#^#>!qO%sUi;fHH2gVoOe0Capp$J}CgT{x$cr^^EhXL^ zA|CPRc`2Tji4s3IlD>AAOUqO?A!1kUpuech#;izyE=3K1%8ZU!BN_winX<}f>26DJ z;u_8KIvu`x>ZK*ZSdAMh*Q+bd`k%)|T(?jfV(`LOv)RuwXfio7!NN`TRSI>H67Kxa zmw{%p$gqkLM_GL~0#k;ZCQ^rcDXxQ|E!Q4S>&fc|fge+@+W~?&Xb+9oWl}S)du-s`s5pLx8Iw*``cR500!P}=a!-+L($WgMK;#Xl-^>z zv2v8SpZg#nA-x;lWV&bPd~@&oOH1rY0wPhbA@Hb8N(bp77piY`_|?gEalhgMOF%uR z&h~SC#rR0R3;Hn2-nhZz?;ww;mdzP*lvarxh(n3Bnsg0Oz`L{);CeE`>3PG@uxBw2 zG@Or{m*ymAR`H+gU+)HcKM6pgiJo1%@65zl0KMplGvs-jr{3!v6CCs)m{dib(NIA7 zRanNv$ja5Sgbl7D<0c?p%3w0sXS+~hJZ~Uj2c%3@sA7`*1wU3 zrkTT9nFgq=WdAT`DOtFIMjMpn)fW2+ zs$(9Tw`IAsLQElwfNfBmecxzK%`dK6HEu@eJC^^yxXk>eKkVn^y{#*E~eeD!o$lXwNWj^%egJPzhy>;o{7B4JG4bZ58+j65Wh`=o2*mano@sMXSq!TPg80#H*<<=r8Cu z7=)OGhV0A^;9ZEsr%E(+yL*RNWd#inIn4E+ zoNoHuGff?Ha^ZCXpma1szYIs0(a))D!2?4j%=t4D-c|)t`H(OADZ&kTQIP0oYtE#? zek0P-+~Z4M)op^RYr=F7)Y-puJXnS7NHYgBj*e^0=`B1pL}fLBm<#VeJ?U2bgOf&- zp**OQK-rST>o$3dpMTe{#BeS=#C9>~d4d3_;v8uWQ1<>q(KGSHDxi1 zZ(#>r(`&GK-I^Rg=ObN_)c+mZ%to+fGOi5eOO%ept8PTk@}MhdscM8D=r8Tikd+`X z$%(v*M=<^`0CE0rhcDH)EglJG+G8`%q1g#;cUB-H5Se$=K zKNuCbn$is~zb90XXatsj(e@r^h1a|j8|sTbP}t8B$GdIjAzIc2XUyhv{txk=UtvN& zzWexP^X3g5(AW~E&&@Kh7txEy#OKune(s^lA+Sh=2x+mF?igIr{>K1$MQxBkMkcO7qdSkU6_lU1RLW zm$f_bb7FI+#G6Pp+^l|@PTS~TyA(COG^n>-aNjI&+SL;lPH#-xCA?t9A1XIB8DV65 z`N)HeqXt^f(5-5=ie!oZRMERxsPv5@eLB&QOJ~g{WEUQz`K&QxHe7-`hJ%QXm&sQF zXJbFrGMKJzZgK{+)%#R{^cZ;K5X|I|))zH{tpf!6z*NjPSIg#>%n#E6Ng&rrk))z9+ zD15jfcil|o?Bz4Ug!PZ0Tgz>o+HzUt#u{v<@X}@HnbHR#lplN!i|k!5GR#)a)mCo)hgKmqn_EY8k}g|;bq0p`mTxwz(h%*9ptqudWiwCD}l zgXPRTvIJDY1j#WEr2xcCgz2Eohm7!QKaDx5Ar|M2E2*cz&2DDwAN=Qwq=Q^Jg{Z8e z^;G$Fh(*j=%=?ocMLR_I(Z>Vbp1IMG><8QzII`Gsu}Tp;paq0pIP@&g*Y)AJakr~Y znq0=S&l@qxcM@02xIaOZC|;9`Iyt@ z_T#Uhg?Dr9FyrPH!Dignx{RPZh2&WHR(km@OEmDBQ)bbw2U*}sapz?ZVUqRb_szBH zbr%{Pg+)-w#+zb)&o{S@30;3M(9!`Z_V&pZHD6qhmU4T{8Z{iq0#K-4b|KE=P5wfi zRcYCnv3;?i0v?U9j~S$#PW)*&S(tMjq=Ovx_U%Ww-P)|;Y+rOH+&g(Kc-o6c^s8Tj z|AszUWiu3D<1W*ndsiDj5v5iYPo>^vXk~U?c$p2|F)U*x7VBinNf3*oIV$WhwKM37 z4$=1xMV-ZwuY4rE@BV z79sp=eLY4BRAC20JjQUw?iYYTb2|fJH zL!e2Us`vX!X=M9B+!tHCZ)pgT6`4Hl5oGSu#rgoppDJ?|{8tpelblK{^TM-6=M0Y* zy7tw^uq2*jQ`zs${>sKN`FGkj7tWt=>DWI}wh74x(7a!2GF5-6wPe2Y&ol)!x&o1Q ze-dL>G9Ld-hco6FG-$uAYxb}~Vwb7(R4Xl(OqXwl9U6a1uuv1He+Hml09i-zz>kjQkpx?W(`#2rH-SLH;%@bK(3VWC?eoOl4!%kWQbp=T zngofs2<&uiT%cFE1<1JGYo_wfkzE z?&DD~6X_<%Z5zt)>t%qd!k#&b)Ash)n;SSGvnQ?Vk}h7+*EUu_W$T_}*To<(g@F#o zo^6Cf9wzk&=FUf(awz!=;?~DqZZszUq#;u4=C0tdGJo;WKQH%zoQN!*?#oV~V#z_X z%L`(T_YT24LOhY7v_I@}Eq?biQ^Ayv7wlL%$BZ<+mjXpeZ@J}>2}_OM42W_aqqlUv zEjD*UKAVi49|+y#8&UP^=ijJxcpKN%q`^pa>3$Q{QIX%;l)*tZ!zCAx)AGjeD~YM$ zlVflscO>;QW&$uw@Y#8{i;uCh+NRCp8RKHM{1e*BSBJL7^vPfF9nh}s0hWpf`i8Ql zOHaPYRT9hJ*944K!{z|;G_t4naXkepFItcX$PKI#8SUv_%;a#zqI}jO;flxxPlt{A zvc5ma*-+wkkj9>RHE34^nUQCZh6)wMuR54~IEr%8oqt$4xg-?QJ){a`-NJNUaSEOn z{1pde7)gKosd@HkTgFnjczhh|r%_Ac5Zk@T62Bk~jyU=mu=cn7b~x)4kDUT@2XXKmpgBUM!HkNfyzu-o(D{*LjW^g@_hcAoG9k2 zMg@IwV@@aExqH1OiQuOi`8wg}13{M`$h}O%er;yxz#XDL>3X+mDQdCWEZUf#vEg0# z$w@d)l%q+O#aCY&W6O=?Z=7Q}zp#W^2+cQSvCFz}N-mn}p=x~9bW&o$)F(FPr7C9V z!ogDOCZJ>=nEO&R59<~94$?w$mS;RwedDX9Tbt7qE0J59P(w?b{2i3{L|GB^LTyU~ zQptLt?q}{Dl1O%$|KSN4`RwXhsn?L;8dSNjLQUA;{$u_|MwbI!AN&((NdC{Vw?~Omd(1=%W30m zJM!{AD4m76U)AD?z9@~1k1~%m%gdM##0S=}O49H*CJ}@K66sFqno?#Eot5Yq-N(}! zPfkkZDi2YE`LDi%0=4R|c{i@*;v<~aqs87D!dIf_j5ArkjK6GS+{~DgVbE866O6>g zZY-;iLn*mFT$}EWCj82)CGwc%4VmBM7iLkIb!_OPx40oI-{!Ey`Ab~KGS;|!j!uhq zp>I_BY1(Mwio4!=VnK|u>*3VMr|}-O8T_L zNKXRQUio5WG$vBlY!BKrG%~Z++O#Ep2lt zUnZNjv$C$!QGL4D&)QNUTs{7DD-v# z5k4%=B8^(Fa?`czJ@6AE(tcq7Q~rUW=c7q~k*kfYf2)Gj8o+U+c3$H)5wVbS zP(M@G5s_5Rv*rEWsE$&bm^{J#JtyiMmLYu2X9yV`rMeZ0*A}LMdYW-x!zO-JFA9dc zO8isQ=pR0??b^Swjj8<74h9$}1e*VXHWrt+h?N+9sP43WqB2k|SS0o&MrY`kzOi6K zAgbFw{5#0F?V6*|v5I3ObbsT8xlxr&x2`2WO*v;6Qz$w!jHD)+@<_*bE%!U(mkQ8!uzLM4MbWx*xq4eGnFMn55 zo<9JXlM3DJtJ`f_iR=vp*P@=M-^N?bJxR?dNL-hTG(>yvSe6TTfW4OdJ3c8*x=scw zrmnRm(9a9^B7&jf#_S@y0t&sW9m@DJS-z)&X;BHxcxOrkyULzsFD4B_0qKq!l+)>h z!1lg@_TO$U6YFzAM{7c7MLQp|wXqU+@cIUEC7IC%jx+iD-GTDuhQznoa6yMKT0=xVCf zf?V>RJY2)VCjE|X-Cl&#FsB#Ze}$^y|1Iav|9-d3D6b0N@Y0fnOSACi439fAYU%(a z!wo_p^7+9g<aj-Z?H@`7u)i`8Cjxx|-yqvD#y*zd8Z<0ht zuok9>0MAo3Z6$?uedKy-spNQn+>8|b;F>oj*Ftm?ZAzjBH^TyE*~1H=FnUjQ?Npf< zcKmQ09ddSWlhlJ_xe1}_Kjadr6Ho8&jG`vkek?ZX#B8MbXvsZH`NT&>4T@7Zh$;Lj zoe}Ww9ct`vF$`EMj4w^YuWo=2(7ZYD$x@#E#V!C|F8Bjp=0S#7|EgGl$Y^ffw|1|O z;h|v|fG>CrGd(_HfzrGr6z{s*`viK*^0U2X_+KnrCbrTz8Qe&BU)(e}u+c0YKfg0>E8dJQX5m4Mj;e;xJ{CXdG);l5mlSsa0$Re5MExI{mg=94j?CE=<1m&} z3CC2Q{O+}?WuNTv1k;`ugQfyE5MDpJ5rx8^{UOW>|Ej~7y)Mw|=bOGPO*d8SA69^` z({KJ2dojb9w&_o3Wg2*5pr}gWpfa+EI22t3C13CT#G&g%rj1`N)`bC8z$r~w>U`%_ z{sM+DZ(i3;DOX9rX+NG3a!Q)Cs8z<2Wsuf9*j6jZ#a|H4_!_9SQJHiBt3@d9$sqRc zUJPl2wl9$jyfJuNuNP|a9$1JsbF}Zy9F;Lo=PS`_ZJkaQb+<-1{RdFJ0f}fjoLqS} zOP&qq&I2+sURf#lQQ7Vy?}-WnTNcORbs<&2S18kSo zR-}o?fa~L9@izv=(vS@ zbvl2Z0efR^p61F-m`$E>*E2n~URF8@G$(HF@g8>-;w<~wQx-DJ_*&B3<$+y0A9>c* z>U0gy|LSX>Icwc6JwfGX+lqEV?XEP8Q@-#cBDfT{>-qiAfPi|K7lt+sfgb|cbtYg$ zNfayRmCsL5GfP-BB~|6Z0emeA|JZ~7li0=QsSiF=Y#?}HCm(vLZTUlC%Fu+t`8Kao z!i}t2(jrn>0fjMBSytKFNKrLS^SyWRQDX&&9Phq=whbh9Z!TW+h3}(hYX>77m+(Ut znCo?7eN#v;(`yBwUsXOrdD!WHn-u=j%o*g5LdG*SBGnWr!H##mQ%lQaRz4HuA|FRv zl3C(AbaD0*6qCyAMXo_Lm(O@&Xs5ac>-5&$MjS`vih?^LnQBJ{n_jAuD#F*_lRQdV z7e1MbWHpO~nMqru@_!A#p5+%HKibxu_!DRR1gDSo1Sx6*aCDAtYMHRraKkQ?^73Lk zsLbN5OCn2Vcbs+)^)vymp9yA_P|R30?vsKyi+vW?tJ`XmaX&DItzY?y?s)T}`PdIR z5=et@d9qhXg_6(0XEV?UXc(y_3xP--8|s4C0c1h}* z)2o7Sf3|RInK4sFUUn3kZGEjJvqloWfaLi&@0H8TbyQ>dUY<;iVQ&v7BB)Jqo&+G&6Ti79VZ?39 z-_AIe8Jy}uTu9Xxs<^yLTZSJ2CM^!c$LVW1@P%aMn<|f5$jV_yw z*`I<0LjQabG5w2KF5suvH47p5KT7FHh}0PL_TXm5tk;mo`8Mf^rgn-4bc59WU%bp4 z)_BxAi9dsGm<2ZVR6QvCQuOaFpSm#9mz_L?0fo>r3c&ZD_F|1l0C9tg;$xB9=;ht5 zwlg5QpqixrC%Du$PLV06pSh`rH_HOda7q!cs}zv~TWPJ^3DXzlZXs(jvIgpaAD=AD zUhxJ0+NSwA;XI?B|B9m-HH3?yE7<9>x2>FSIIjQrW$$sl{cOYjC}3Btdf_SH!Z-cs zK$|&5zo$E0-SDgN0lz7P++Hp0x41c`_lXzo9y+LrD*PPDo57F~_)H;nQM-#sH32~V z0JyWQ=50K%&s~UPJ+-xWcGhse!d+<(du8(9J!M;Z%R|UH2A{0?NDa?z^B35kT00)X zg-Y}|7dDifUwGNN%P^kyq^_b|e6nIby>yx@QE?F&yqn=kO9OC7rYA+E`t0csAO z%7+_tQwNdc6wCz;5W9D#)yE$mF%MQC+>B1Vv(bmXb+Mw>BGmb?{!aVh1F_fFpVX0` zsp-$FzE2cFWnn#ClvR>*ZG1ZHxtfo`>i($EWD0Sjf(1(KyW{z#U35j(KKYJc@3S&9n> z%m6r%HuFvY>eVHe_F-|I`x_j-FcEsN+cggPY7^?7?C<7w?|R5<^9-i{GZe4ZcO(an zoF(_f1k}geWjY-1pQpmx;k{l_#Ifs2EzX!^ekTvGY&8WpZvtGOUY&gh%|@F2M=y64 zy6*oi@O{BCix20iDH5z0)$M zU2SJKycY8?Qg+Gw-ihB=^K=JEPT-4j*V7ev^K zi2J5kzFJ*%LrVj}55aThXT;3ji6%RlUezR{iH#b6)9VoTffDzK`_9(GEs53EuV|o4 zxK7}PSu$r^2uO@yWAv5JwhLAQS@~ZC`&AjFQ%l%PvmDw+A5eayn`(b8Z}HCdBvdDCYY$*w&y^x6jDYO#{BTz$kSB1dXF zshZf3m>pgq{)l;Soz&Z}kAFn-0`IVxcdRQXY-a3WZgR9<=XP|l{#K9h)65u|=@r~W zMjC(2dM;o=m)mXytIl8I_|-^%=F1qvZ>S`d-b$5$D9$F&qRjhJx#4$ z&)OBuy0A5mBi8upUZROs`e68?cOti#?#I1%j6Emp zul+WQ^ICvdkuZal44$osHNUT#JlP&32HSNpdrt)ohlZh!FVr#>66375@|NltYyXlW zVzeYrBI|BxnrI;azKYY~PR6lWhlsOx73d)l6H%^dIpHPId74JpJ!wXGjb}OWCU*92 znch450~+jMH|`KXASg%aSHz@JJ$UX=WA|3nvtEDoU(9% zY=&+<)M9L z*&D_iCWf^ac{nnA(3T(#e=(BD+pgs8ex@ugu4Byb$cEKcRYm!=M&4~=hy+zhw6Afz zl@LeuzmN7&`Z?Dpzp<*Jnt8KJ!$S(7MWuDJr2hRq@uz4Y4M?4mk9*AOg(qp>GgOM% z{8o5jjitLP^?fbnGy3`0Rv1ErJW9?6#WVzQ3*D%GG_FgUICrr?D^+SseD@;;I->_1 zrS-xUTT`*Q-S<=@pfW0BZan0zZ@U*FqGj~r%_97wMk4PXbo`W$!~?VRR0n#q#;{`# z#{NbdWV)EUSZJzXD=praKsmxU_OljP^8`b{?jC>4poWr8?&tuA`Tm?}&y@%5>h>Z@A$s$n-Y{3?me<2Be z&HxKfV@~6I|5BRIRRD>yOEY@g1IWvRE{0J^^bubnPDdgaBkAZoP%sbjG>txU1vJ~z ztlf*<@VgBSi5BFTP+cIbW@%()M+Qn1E_mF@-@B>!<9T&PLkbRp0hBomQN0yUv%+B5 zjUfzmuyK#!4imHXO7}ju2)v_|qY=c1lrZNFoh_+^cvMT$0lybJrmz3%enEI(iXM5c z<5st>Gobb=R1%)SdhcnL&}8oph@p^M3ht&^9*p}}j!Kgm~~tGRwqfe&8jQhULmI8W#ed((TMC+?v}E;Uv068de4{zB<K4%Fw&aKV}ke>71(R z>uXgsL649m<%Kh&vBx%RK?y^1Ox?qg!x^7Msr{PB{IOOTkA8Z#*VRmFI^4Situ4AC zLWo#g8z8^0&QfnbBs3cD>{~{`xAk z{q=H#3TVih0avFvpDiS$bsMW1YyNF(sC2?F`;r-F*54S(8u*88_{PBhZA(?iVyphPZSc^$y_k--F7}CH?E2ODu#(N9 z3S2bh+S_-{i2ORQTd#s^l3N(fL%|x$nrh;e%BbIpvOE+B^%JG=8$P>(_j9 zytlirhG!|giF?<@vB!0SqF#-oAvZF~d=4U}*-oFrVR!TzBS2>;b^3Y6nAFre9eBEy zG}Ws6zm2OeKxVXKLg^xy;vx=FGCzzFFpWzqBDc(_hh#-GXLP)#&Z)I$F@{Sm3Nuxc zMk6}P8x=O}r@+?SITBCX2|-+5voT2@J*mY%wE{<9oV#pGU~mtre375B)fn zOTf>T&$3wX)JqbdvRZ9cBeW(%_&xIDsJ9Q)x9R{7~X3le<5?F8_?{6lsiraa@P{8l|OGSMCxKfsW|TU2lu< zML};F5A!=z>zaq^J`H!n0av+3PI-6!(lBbOkUN8nEDimZU@8!q}YMM|DeGHZObcEc^qFW>cVIF4m=0Yx@PTkxT`Dvzh(nDA2^2@MKeJ zlF?U{W6GnP$!CTR`G-}ym^=OHkb5VNjPIgUWi#IuMI6EY=>(z2dZVbSBbKqUHVo%l z4GwYI9^1@1llCGy{om_=GnymRRCHI?O3c-TMVy{`2310CuZ7<$4p;2S zeC)~yhU0}I#Y%%i8s$E)IrH~_046o3pspt4Xh4GE9FabnU5o@2^kYo^{GtO38tr`v zHLW)Zj&{EL>NT)t2V6BP=9QfI;_z%Id|lm&?YVbL<+|cz@a20v0!EjuFz~HPV<0-! zkE_5aHqLn!#8-E$QOgT5eCZelfn6uA7xUXpvi#eE)L;X4a45k-0*&Kkkld9ew;{^_ zx9X2$oiPMZi~J>_=>Ln~ZNHR>xJtJU)4im5&9yLPWWq;?+D-H*K7fCfzx35V%e;6D zWRz;b+@FRKR@BSK(t8){Fi6JIXnfjLf_K&s)-6*CB%)xL9%(u!=wDL zQQ!ZH!CS*YID=mC$ikKUm{`T~M0tAPfYoS;8}qZXafiHGrf~2Zk&PMf{-M`P%m)`4 zG1u62vI#~Xf)GsH(Z_e~rDTgeoMS|-QAGpoI|FK5jJvtiNgx4KIEF(3{&XZqoGYQy z4SZG7(@6CL_{OIU{qvP`i79WQBxuibzkVjh=qO#SO|^#`?ItJTsTCP0T-Z_+Aotgf zX2Z&0`2zEB-Op0X?U84JDr*u`KE_&aFL~ z_*m z1j)7w=H`^u!o>QoWpa4GKk|6&qiqpFpZb!D3qj`V^lz_bMg7=g}8gUKwE3{7COcFIr{iPWC-FGrmW6|`KU)QFUhpvjr zS*gNf&CXF0j^5H#N?B-81dTnI{TClC6!}4R0G}EXft%%(?d0&jBsG=Az{i8iQw9`*?SJzfua#UmyQW0 zN48Py2{TuwFWMp9;FTDKkMnJX5B|5Y zPQuS8+?_j{UQ$O_uZjN*_5h;M+4rg=H9-R!IkNwEL-xu607{Q_}c z52Ep@7>Sn-u{oIqf`tC>sKXFJ=!#qkiV>C+Hp9{z3;At?aN!)pao1$Pu!?HvF_OF) z>jij2dV|nru6zNpW>l7pRpl3-sy*A{EX{02y7qYrN@uwCv)EEUj8L=@9%;g!Qt3|EIH{$Sq2n(Adzo?&>i(@fyBgghiSY32RXsr7xS>A)r$=)Th9) zRNu;Vg?rP0J<}O~TbsR~slQH}RPx9SfT2b*#zUs(j&5?D@Vzrr1LgLJj!oxx^Q*bb zr@N?9*~271V`P!X_tjwOaYn=V7}u`>Q9QdngX_=It#{NI{2d>X=G49;b1Zx^%4}TA z(eP^IOnDEJqS^Dkf|UCdI|n0rkOCTvWcvd8i}nKD7mlo%F_*Nd7Ij8-3#n?KzXX?M z-OOa=z)Y6Xot=a-%5c3 zux?ltc9K`LiV&Zrm*QWh`_0vR<;H`NY;q#|s$){#eJ*gN3D?;+Hg*$k@D`L zH02%O?#nSfdXVG;2dO@>e;3)eTV#~BF4sub@7)CwuUUP#87WH$_r;(w_Q?~s!JhIv zX-^+sze#Lw=+2$%8s-h_k_I?nLVWpfao}hf!DuU~+EnQ_JI=6x^l~i3#XnCNnWK=5 z02L_}C^@-5U|7kO5FElXjFax(E`2q)Dzh$&lE$^ajB!Agw7m`dKw?Z4IVZu-NIB6D`RyEiQ*cq@C+KOv?%#zZ zXLZkEn?%Ny>l5jcFMr^Ya<=)w7q_(ubX*|VZhBRv76pBxyXy*S(FPQtOs{)?=hP}h%A6NZ? zw29gQMxWYpaiLnCNo`FmjErDWMtXTP{2gz!q+5nFLr_iQ1bp4zJi!dMIV~0Mr8Uk^ z11s-~9qx!gl-tWVpi@PlPX%~5lgTZW5qTN)C~TEQ;d2J~c}1PGqj!vQ zwS=LwvTG6R6yh3LtohGh>RK20fCrcYZ#WktrJ9^!j-rcaDmQlkRM}<3h#Z_)#XsDm z5*{WoZ$8<_0s4803NE)8n@+FNuIqRXav?Loo}l`RE&yYR#MJ&aTBe(}@y^i3-n8&E zPMhcRgY0oO8R8s#*lZ9lbjtj`doW+32&41oSlZp>ak||i3YAht2Kp~W4|BVLkqSOY z)F6UbT~D|d<+ue)D6(F*EAXF>&l@Z(Em>sw^F&Xzr8E0QWsvZ0_M6E#IWWLQd+19^ zn1hiiYis;4vG*l0lp-Wp>mFEk4L9de{?iI9rqB05 z@4|wCo6XGyi;EIo$josq4Wi^kHPW&LA|Z}U=jVdc|EZ#qf|B3X}uS+t+l0An#%D? z5s&r*>STKq=kI*58%lhTNR=(`h7}}N7490j$e0YoDzyrjLbVD?Sne8OD0v3Rtnr0O z>Q})=)h-Lyq&N3*S8~3wByW18()W}XWRhylQlz!Ooasishr#5fRBW91Ozy{M68Yn3 z(k#B^t0?l+&PoZ8W9*U=`y$UTQ1D6(s@fCk#TZ4e^}HkN%_oZq+bp&-(~PJ$gW?g^ zgsEj+5&B?L<0xrfS^(AFHeF3@26UD9@AoW%XL4gY(7BJh1dSIGOi{r6S(%RsKZ6RY z3qYT^MUV&Z;^j!2omdr;#FNz+{(fNt_eLdP`3FqDGK)>o&ve`lhia`DX9Lqm(m(Eig|a}-GzCD*gOj5z>F)hksX4h2exdZ% z;IdSqzUQw!Xw!KBZ4{dk(1j2`?#qHTtzWD74<37SgS$qG+bNiL4$oF1Fu0fdpQANP z4tcXp6iC_BEiM7Y4GMvTNMh23N>@6AmU$ZKl-(@7_sG)WioS*B9*&$rVr)Lk#N+q6 ze`Y`y=ZH`cM8||zt?9>uc>;B| zRCb5t39*^}yzSQ(CGBu!G9{VfD|#+eF&pxV4=zJleJ7WFH#mQ>mkpv2I4NYN%+G9( zEVy0@o662mRuyw4%|UTl^L0NWGo`gZD7b%_e|ty`?GGw5DAv5YESEoRY(|4kGlFza zL`yLaeY1G9#yIeREW$}Qy|D5BKdx5xKPawd{+DsJuETJc>!q!pMQE>1MXs&`tfPs^ z=VVBv)pJ)YnWfiF<=Wy7IE|xg2rd$gHGX_tIk~#}ic8xlz3!RO?WwRR9hv#>I;2Ph zCPy1xYUpSF z&}=3UI#d7l;L-7cAbZg?l@XL9857Vc;5-V#nMBihjB#4fw6FXfbefo9o-61X^d+E; zR5Yr{>_UJ{p!k_X&MpTI%`_cd4J6fle3cqzfqu6O|lN?V}=}Xk!1{J2J*o zbd|hbBZN1I9uG+iD^5>y>_yXmQmT3>BH_}i5d(Y8uy^^!_nM}*P)wEUa)czNs0l+(YMrlNk$lSdmPqnBf1rV#-# z#5s&dv)?V5Z5ibagXu%yB{-jOu{#Ao>2<+b*gh`pbqr8iFphCB7(D|=(a5+~>h^Ci zy$&t@O-aM(RU!0xvf<7uU5CBbHJ8XM8!B&ZX1U(CG9IkY`p}>1H#u#lCOhL4tazt? zId$*kbS^5%UAa@?}#R8JAb6_Q-VqC$p3ft@BvcO0GE0NGCu z$94-R+?m}n(0X6qG%ux%gcHfcsE&=yAmfSIn z>zAsIwZNiOZP>PAJx(fIq9!Vzj0=C6mKZiQb-ePgp_VkavB0(eksw#yK<+NBnk>Yv z>8440iW&`7#0=yIGMx)Gmkd?EqCFWZU&A$3nenQ}%80xwd~mCGulmuw|08X2!m3IC zLUIe|UuZpdL!l!5CE7)oo*S+EGr>Nzu0SpzTQ}co=8fh(%(RlA`)Pe&(^6DN_m(1# z_vPfpFDMC+W5YT|c8O0whu4)nBw>V%@5U<;Yf3C;+sJI-0#>e4Vua5qYtJp@wMK?H ztH-(M(q~XbC;QJ@qH5O(1g~*&iZhRgAHS3x{anfJ;UGggO5WVH!tDW@9NIf1vhs8k z;P%vg2k{r@`F5%7UDu0T2U@x?en~u#C)o?4sj(K2&Wc#2!a$`!=T6Pk-~v>UN5J$# z6Q?>b{v9N!(HO=)?=E>vM`dZEo9UR#?f^X0`uqjVr_q11H1xSWtr~aEv(dnbxSl4|n)h8Ti_liA+0k|_ zWtxn7^vPvKo%fKYQjmdryL7;JT$DIk)*G9GY)su7d5OrzU_QG_rWtbMZ{Z_jJvkpD zno4?7E9&iFjFtsuZDvzvYlDqq=d;Z&-C8RoYKIzRMiY>j+v^?KDsn0O4v+sYQ<(a{ zSuERZ5NCo|K`Ly!7Nl1dFjTd%^z|Oso2F{&t)s4lPEQ)%-zqJTh0q0J4S3m#q?m5u z4$sWJS~4@GBVzu<#R>>~bPr1Xk9QuzY&}H;A)&FECz!HBi@-v9Y~7butBoJh1R>39 za~{PnYUpM#viZl|mtN39SW-Sd4wGcpTFV{iGx?((FukB!ql6jirZGqn{`S2#Ta+(y zme~ED%3y{P*h+VP{Zajeo}O!w=b)$&EZ9#GC}!eINAi2j@E1p!stmK=(74^=Sa!s! z&1FIGlTWcCjMXQ8JA3Nnbv0Gs*+Hg9M?WlMKP+X|59=GdCE7lBJsL4n4nbc&i1gL5 zOQMZgIg4DioiBHoO-<+o7#4iMu8$mGIqTCw$_xPjT&1e#O7WVQeP%MN+PVb=QHsmT z%Hn|rg|>JmK<|}fb55#^^oEJ!)a4cfU3Ro=7fk5T@ZtJc<=x+B89xE10glP9g=jW3 z)e$EAHeuXr@{n#|l39-s9VBhVOQfpegLRNa-&>_elv{PZm%d$%;e#zFQ z=JRk2wGSv^{I+}hoiOdIPHae{U8GDCc}1Os!=kt2T1HdeZ2E=;vh*9KDJ&PHu{9Dcq~@8z}m!s(Q! z*HSJgz^O4TGQYgXcho7mr+`Dj)sN`1g6jsfoD`A}j#$55iDuN=)u4f2ik47n9gR^x z!Z8^uQh%rG2&hp2Ncbj1MqMEzyN+?UiMiwR7+=qrM*69nhWn!evv%2CeA8~yR=#YD zbK@<~F#A|xEyK0TMQOd`LC^S*^bGm~nv4%w3|uT3Hho)+aw@~S&d1SGe>B3BTWyX7 zNgs&L-{+M@9}!>rjZH7PoN=Rm<6qiZ+6>TBWa{HZkYbWr*L0SX*|i&yE~Ki{(&A_b zhrW23)8}zz^&u0Qn9@^OH(LTJM~=F&xfJIK-32&-Q=DZDL27g?ZJ*HtHgEihID8-K zS(y;Fe5pO9)<`nG=F(-tEgkRJ%6HYhRq*Tq3q&qYK=xQNwQ+XMOrrwG&B1&m9NTi1 z=0bou*!dF$+_F8fw>$s|{smx7h>*qqN8g(6chId|^R3j_40$gobLOjh<0zz$5O;!g zM8Ru<^o{4x35qZ<)Fm;ALYE$PqZnh!|J=Kf}J|A)LAh{Dn zS)SAF19svfbcZrd;7ynyYas88Cq(x1@V^^qTuO4a!ur;zK7~?9*@VKEA@xMEX>{?v z{zEMGSx2zO`l!D*ngn`^o6ws{$isd+q_zmQc0%|BI43!*x=zM-E;EZ-;jKgGp{CfX zpgfA2#2H0);DY=PY9zuDd0Y7UR;ja=b2S3=@kzW2Q|Ji?dzWYwM>KIn!ci8_q#3;5 zyy8l+^kr*>4-Py(?}9d*Lxw>09W|3gkhWQzhmLlp2iUggh6fu1_J1?V!(M4D^Ft9rP``!|x;-iwPrqmVvghxucg6loxY_k!3 z2;ndEH`M-FoNBzcH4|TCDEd%7wVWTP^E0EQWk%!I%t62y_%{?hZ1NuWzpYFXz$Tp}`qJ4Jv#qj%cj|0E+A>v+pIYhkSer{mIJ4Wa6` zqgQim!<8b(;w25lloyOA>&?2B1WWc9^4n**T;^>+r63Al6Mv1TXI$1`yC{8 z@VxMh%$J~p|1f>26dtGOIUCgfP8pxb;jsJpLFcjtr#$oe^+aX#n9!K(3MGG7!3sLZ z(6F?%!dGi8(SZ_ZxG0f zOWd#x_%wh9ztT)IbECd?009VKkW*)R*7n!GOnj~HAM8S;BC+zCC;Sdl43u{PN%z`x zL3Gm;kPbQF6Esi?UTZ$E6D%pkr{Q6czNCjcK)U?Kcs4!wgNSEk;k;e@CA%RM!P;E` z>=40FcqfnPgkxU#B4j1uTlfec(60aMcO9@&ops#@Dava~3brA65K>|=O z2%o!_%h_=C&=>{gLdtmOlO0Y8UBX**7Nj^!qy(*eEavP#ezkyED|O0w0+?4y>f}N) z7dFZD1BC2F2cKB=7BXA4Fbcd~sWh61XA3c;%|$FdK@9DG{|w{C@${-zU33RUp0nFq&6Fi zTrmdi61iP0*l`_yEnc;AvKGhlUgUj!K%Wc;m(R~>Kt>)AHRKO^I`;7j4}S0C&7 z9M1z8YZ*=Xd6w!+yfeH?UB=u8yDM=EYLNqW$?s7BCTjVX$FIo*#_TY2d};xG*QPCF z9z4dj)NcPLvjW5nJ(+238u7PmT{05>85N_D`AP1c#)p@#6WQK%O~*bvP5WIRu0QxK zlTDP-z4v$JNBi?u7Gqj^C{_uN(-YCr&yCi#G@&y64Z=pV9rh-Codz}M8o}=?PDNHn zXSz@pUroPVUl9zS1K6MiNk1Wh|0ENVoeAeM)@NR;|7v1wcSD1#I+B=sNXPdvKM`z) z5yE5~OF&|a&0f-sC>$&K3k%yK)lejrI_fd{9)|;fojxCa`k}2Z{=Yqem+{uTl}4Cn zUiApLr24ht+v{tUl|9f`&;~#`k>vn7)Qotbe`68%{)0tK3~6BJbj+a2YXz7IK5tHc zu^ML!G(;Fknz`Ocn@5O@tqWc&SJYH!aVF&+hR80)Np!yT-7Z!>U8Vi%f52~}%L^wH$z zyJ9{yg+zZ#{r!PC8=%tc;$XG9vmS>_1=q1ELl%Tf=(%NUQPO{vQaIfaQN4p@6N&Hm z{)0WD!P}*PTlZE<0)M)?x}E0v;l%)(OA5tBHS+vP%Gs>-E4x=cS@s_T!J#JuSmAm+blUs;B!R zgiBjee}b(iX=1tZw}cBv)q~w9Kh6fH@Nqm&c-j^ zcyJYCfo1!4tXT8e6;bp|%4Gz9Q`9f~@Z8HjD4JL-!rgM<@ZN-b>2RJ};rNoR%rJ5A zx1fI9kDxyH!?Rb2RgAhC7EKlJ8UiHi>LZP~8onwt+k-xW=sPg1mj8ZoM{i{46{ipO zm^0|%=e|*Fm3FC-^}I%8Ys{KU8oY0XhX4yJ1J|BGORccrg?`jG7UICE!U^f86o4U! z1y)J5TqK-<^Zf#H-YW9A`?_WhMwuQxWT3PhpYa48w%ZdgV)v03Zt*l~aWVZ04`~*_ zwK13&&^8|9JfpFHh_d!Jl=STLg+_7cdKrJ^ikwNWGqIU3Z6yW5T z7>UZ7y{w4zfjwD%^z7a&=~71VM&U7qYhB`jJ=jDwVL>|F>EMs z;k^X5>P0BKUPR|Wibg_ofX?L<6@vv=o?GE!7 zj+L#n3oEyD=yy$`#d@Z|y{qS`q0UKfEB?cpnE9j6{NI9JAS9H4PU7W(HHPP)k5St8 z8G=cZ69be~62n_6Q0`z6x8>Yy>pU)h8ehUee1|gHmvHDCd{&!h59~?&dzZ{D?)aheTAOcnAZbrU7_JvRf1(&f_@Wb=uB!&)oWBGIdBs4BVmb7 z6+Yp>v3;O@Bm?ovhvzy#ZqW?ZAOz`7rj!J2ISw!MYiT^^mdyNoQ6uxZUF(`!VLGGL zfp%k0voUg311P=wQsulT&pmh7tDCY+_>X7@IqJcea&3S!&kV zi@V$jf3jOb@#dNwqH#zfj4}aO1~QXpTi2Ky%n`(sUA7~$EUbtW1FrRjpnf0l!xJKW z#JlDPzk~8$Z$GUZ@A6&5t+l~r3=AX6dE=k$j8aa3Sgdz3>MYNk!(Ul2n;FkG8|4=( zpi6G=>BC9gFzJIDZnFetDem@?t0ciZfE#5Ma#U{(%xesX;Zo-t2k`nDJC~ zHwd%lYf_1LET&9l$Z^kM9DR|?qZjU;g$Qtg@TTz33j4LwuSfj%(FnJ8g(QkraBEW3r>=>>IJTO9Earor6|Pl(Rp?%frs01@&L7LWKUgU6l5X!*qDWUFbdd^SbCot$nawtqEx%P3_ja&G}@TU>IVBLfuS@lh@kYw9VO}`B$AQ?F;gHhK# zqT5`Rh`9v;omF(ulWUBrDCl+>Ao!NLk8;7({BK^9Zoq58Cx*R}>@-q!^cNgxiIz@2 z)9eMS`+^!J1SAMrCa4(CM~f@!=Q(p`J_GwBITSC>G25M)!R%Y4v|f&=W(dtBNL}5R z2impFpZ)RI&ECPRR~e@-_Jkf^lZ~^ylS!|n;UN$k$k+0M5d|a|7`mCMR2BY9V3!4P zD!-3kJwr!>amTMat@AA7A$?R@>GeSh+}}Y+rc`iFW9mW(u-41?%)Kw!6gF!;iD5V- zPEf4EcEpZ~!Jye$v3pU1uf)u^BN`dSb~}~^Tl32;qw)@3TAXFzMwoD*i=e+d*vo*ZdP+Dpd08FsIE6eIH*wbM zmGNDqIWOeSXr5rn2sIOzn~gn;k;5b=^u9Et<#VI~ziQzjn#%5+B3e?#Gxp3V6z@BHB0&m?%CB2bCV4cJ{7{jSl>RlbEbHm=jJ>7jqJYM*x2Wrk8ES}oo$^vP%hJ7ibbaW`rzCGOminUQP zE~ebO`A-}oNvOG@cZj5goZ2nrvo@;AXJ!Ve_xlBf1*-VT32SA-73_h)7HxrF-7dK9}ucZ5*sENW>GiW?ttpX2pel+ zxLcExnbl{cC9`$si+A%55*D^ICRwcbB<(umEF zgpiU%sA1I_CzFot;rMp-dtZMA0M!yKH~6{_30up;Z7NV9?` zmKMPlhS3sXraklmQjNOR^`pjRY>L^OllUSZE<~T?^hS);32MsQkIWi$ny>m9XvRN< zJxj}Sff)1G&7u)54L-s{%9+tKkYhodAtBHZG!LudNbAVmz7?n@_24qZ6>tmzLWpxv z<`KO%+yAtV3rhL>k39wot&V%C$Lw(=c&VS*6O%ph?E5fM>^vX5JJ$i2D*>HtSm?;t zEy1|N7D?!U&0XXS@IL(-0rZkY&@Fd3!B%ay6KIeiV*?$x25imsMidTkR=W@el)2|fnS#k!W+A5B!`3txckkfd{DxtZ3j=Xv>@@89v-W>_96Vb+tkx>GKrT_SHcWK1^*#;U z6oaC|KD(0UjAS>C*g3CA)df=40+;JYfEQezDYO$i-$NZ34{9^6@hdPlq!QvI$=6qv z^=D=ml3WmYZR+tn!_J-$k)S7a>Gc-wP$_qO`plrUwP1WN=Qpae*Cpw3q;CfpS@cPF zTs$hKj5!90F!$YH8*R7FV=KeQV@S9Oc2y>5v`t(;E=Rs0Ru_U<(rvWeT{4>o#~pBx zIab8D*2*(Z4KxlHAD%v%RDuhunhU|HJ^Y*|u6Rp{1}91X19aHeQq%(>+{KNw&D!I0 zivMp?OVY7f3mFp~2=^E4+4kGyil7?bJIp-7iVg>+mf zd0dTRYb0!$GUIVeVqnf}bzLYt6*|T@4g`b>`3TxQa{h<004T#ZN!F@9zN5R*8$) zseNvD^m;P@^b9g!E%PF@h_jiWn$tdY(Xe615w;hx)>eMIVqXK7*jrj7r`xp_cXvHp zv7aacpA^kns}(S6+8Ox+HrhHVh5%Z8DqbibmAi?>s-%=^um(Q)72U&g4)NRW&QPsp zoBU0c87L#kRT*a~&}P+ZN?exaFcYrl;fWgp`;9I_=I(cpX&U2YI4KU1%hbBa*1HH9 zYT?j(ky~^dB9+E06V!?OcawG-LUTw1C*qnM{m+*=h~%^k{f+XCUm=p4IL^z9zt

      Kt%>MqP&L1vzj)M|MhzX;LZ*HzuCd_@BY93 z-5o)!itJq`+9v3pCB`wQ!#KVcD3{~aHn!IEXyEW{{o$voVX zijUj}2MvdXeru>RA(Qph#rn@TaZLmP+-p*`K-UKfauXpQxMGZ&+R30LPzr>K{a)$rikP+6o%*H zo^&wQUiC?0@|A5Raa+cYfbJ81{3AO=uoe)*Hr$9|)6@OP*5y__NUhHVs?WS4=I1#g zb>dJidM$cH-2|mQp^i9+`!@)qKW|{_m5%JWJYby>U%UIQVHX*}(}ijz#Vx}M_a4%& zjR9}>Y_w?e32e@?#E|wIi$cbKO`L=cFdvT0FC;Iz_kCs^R*i`SCuSqFEt`yMaK*lM zzIMFUa(vk9d1q`={x92FM{Lk&22_4BPG;47sILd<%`39roS0`mE?NJo7wN68SYhLQ z|9hI5B`rCZA8lJiz<%lcbaHZ>akRXJ=sT-;?(`*KPvfnr!b2vZ7r=9rT4h;nW9{E? zhdGlY;qYcmzryOJe^DB!e6n<_vsA47IDFF}APPJH%i936{Vseek&2OzdcwHqxk)G| zNrD((R*1fF?63)u9wTOrCz-NT$^*!XNAb$;r`25nH|GH%i$vP$gvD6bZXz7RQ(VX#sJN=w*H*HhlYyH(Ks~w9HCks`Ym7t3aoDMJD6)h9JL7G|hBB&WbB} z<{k60y1+qmp8gVIYU<2Du0|kbSTkt`g;1T)*-72FF{?auP!&s`kB5EY8!~< zW8)dwBZ?koD?h|#%B&9E zlpt7ty7afN&&LmS;!2&|kb!n07+61yhXUU8Hg@R&l4DHMdfoQJ*yN~anK5Yt=wZ58HtTVeo<9`D@IN;ThK8m}FC3FheFt$X(smz^^~~3sEmsQxm$5S* z$1Ix#O*9QqTmnp@K!aeIPPwR~T3t0U2^=wG zk3UU02snb()lOn1y)K-P2lG-;Y<}4G;)^xSR z-(^vd5w1PT9)5@sXK4#&m#6Bc*cxbyIZbr_r3j;@rUcjR=7hb&>4uegSl9d>YY zaBePQp-y-yP8COWkZbV{v6r*F#M0CQIaf(Pml7mE zI7YWj$EEsuqV#bDs}bVk!+_2MyBg08?=j)hlJ;9?c0MB!{@_qhMP2N<#47VnPiNRO ztP=clvx1BUTM~lUW2G!IhKIQ*G|BE9LJD%Yn#&g4@xW-?(Ik~{4 z)CnB{FPXYx1uu{Zt`}MD7WkrPak??ut?IE~U*?_ZH#H#Ic@C!g)&5$9Qj05Zc`)I% z61^lC=km#aeeTM0+=>q7&$XW%eHrrMnVuP}=sw>O8d_>UL4fdHnIeIU5*e(D`mLwQ z1D|!Uw2;JTUExEbT)m-l{C%0mk%#pNo}U9!Ka9sq-1#rx;PW3g;*f%Cyu3BI!{>>* ziQhMGS(D10W$Tyqa}+rR8%Z7f2v@fC^S<{&aZaFgtBZ9Eq^IN(x~{}|-Fhc^kgAlKg<4YK+q{W{1Ytd}hYow9!_tnv4zhdGVyGrum+8eCaWE zqY^rW=3CZM>AV)xZKn)y*Hk>1x9IkD$CTil z)l%g=Lj~iGumRE;ZS+EVBz2<%NJ>Ixr8+m`zujLpo9U4&$h8@c5-iHiiX@;Vo)rHM zx{FW%1T1lVPiB3;aVE(*M%x(+C;~x#W3BHXAB-!c{Hy*$&)CRUMN1l5D_)NIS5myt zenr_2!=~J-dK6gcUA_0KCJ4yQ$xXrt(?2)@iYRDlclZ{*-_#~#A+|WzV20!|F7CN) zMs_B@-X!&9p78VW}UZ=@49j4B{@HEUf4OJCQrOOJSHVAxY^p!N#ry?t) zKZ+*I=u-^cHOw&i;G@`P+MK)gq#v&VTBxwUYoT26SpTU;vIiI{YWs5r^2n{sV`Yz; zTu8;#yy0=jG&M?x|0*Vusv}Ted|x7Gv50!c2x)wbXOC?=ZK5`Jz2T;hR3-CoeWZlF zKp*J}9bno4W1fzzGA0n25flpEY!H1*VEMxak$91B7moxW@_TaicH-H--c9G@f_Vpz z^lId`eAM8LYl8nDt_cNc|InMUE(MI}+?f1-CX$TB`+ektp{lW6+n%>wtyT36>b(XPV2S`uhGokfaM&nkYSM>eZp<=H0b_*WvXKS54arv z+s`-u`vLze-dc3#JEw6sggp+lr8_?}WZ7)p-QR%BE$Bjdd|cZp zxC!t`q|Z;FviA}=MeMJvWFyFGd@8Bg#cqKI-Ubx>&;AajPUJH9i^%qx$kkm<=wyt= zchEjj2{2y+4)a3_gK}-PxrKSIS=ZDv!UBz5wV;iE&8pzPffVMRQ*db!n=g3zl!I zg0unt;>T16cYv5b1&KM(&zu_6YF*lrrtV#)aWiME+`i%c>Z2Ly6 zHgS%dQ_5C7Z|0)plR#PYHmmaMo8bj2Ns}5?7-?2V#J-0@rApf(`{=_!;uGi# z0n`ocCQ6Ztb%{sNrJ&SB84Zc`AL@n^`G(P+t9*nok@;r;sF=5q1WiYuL(~5g&8~Dz zFYF$BFual={x_~62;P@+WRg%g#7*WiWm5#3E7{e&o z13%vZOD7D7-kP0rZ@(Z#onLy>{8ONU6T#4O>ZSfu(5irEl9HL^%cOKoW%w#OsWuAe zqiavZxY=2PpnPUoG)BB#*Cky&x|-=tAWO$zOzq6@WzqpWxp|e>$Zt|`f%I2!K}u)& zoy5z2P8vf{AMSPJ^wS@*hG#|Zn(Cr;Jyb;aJ~#$G052!HjcI5w>ub;HSRj{M4&Bu8 zReGQ|b$q^jTpiQ3_iNs9H=6LyADZw&6yD6*uZ43;k|Wa9bnQwe%W&q{vsw#e7+af^ zW&GVBhE_P@N<5Mx)w+=fU*6rDNR4yCjrrTSBjfPK}k6RB<2-WiE@@jm>k&D;#E>ab-oOtb=Go)tQ(%MS>A3FRtSvlfcI8Q z2YB0!+nsWl4mcB?y675-&q81O<3yAE=3~kx+;G$Z^425tH?{TiCmrLJYk3%bv?qmc>L`R2Ba8(*+0I0--oROyL5;iae}WqD<>m%V!e??`=p5yCP`JR9=+LA1Gr45vn%{Q0UG}s4;xf z10jI0s&TNoonttA9mr$rYMg4WxiakRat6Wn9@V7QpKX!312!Wn4TyN+HW%mesk+^S zu+g&%#JCt_%~Pu7Iiweg+R`QxfQP?=Ntj_Z6xZ0dq}m@rSMZwIG>v;^F|{D9y5V0nzjYtD7bpag@z3u(y)5S>CwAkMM#HoA2?bZ#1D;eD&f)q z$Kmw{?}1GeCVAkPG4MoOY51X55^$7C{t)~=-LI4fI7R|5D=u-z=>Pu9b6yB^ltGe3 z?YI}i6z@5lj}Kz%w%QcbH4}CcbwtA%gv$CmoQ~A&WcA#9?B70a`@ix&@t_ZkE5~e# zNc1vQGD?&O?jVNliY$tZG_5ZjT%SI5ELyI&)b6NPlqh+FCoRX zl#s`CARR%wj~HLSgKux!f6;}-_sqvEPg$N3sXks+xhC``%aZw9mZkquJPj=d5kLPv zK<&>zRK>LQ+O&Q0e7~2`d7cVaq>yWOK|%tuVk#Pl>Ug6hEtCLh$;AMEiIg8UG}ZMF zajPeTOl8&bBW%X!m!~>FFubk-$ahk|ktU9u`wdkMxYtz?2%vE@xvrQl|hNFdqLauG;!ribrullIJ)R`UtY9e#+r^dXMmaj86$BPkRr zDiVJGQ$MheN0X>Qf*Jd+kfA4PeO(8EK-)fLJ3iBiPL;+Sxu$0 zoA^%ZTK+a>O^qa7^YcuCPJ(vbZ>VJw`I5u+R322Zyh8FOGA<76J8>TRPRSh63>058 zWDA|jE|nUC>K;P`=4ETeupxx0KzX0DoPl{s3gT$oj-vvpP~ z<3va!g9tA3To~U=0(4L5|4nlIKTERwpGk}q6Y92ehB#9TC?LL(Qa&nxh#li@vOn5$ zVOYJZ^2o~T$|}&lp_(2EPN2lVTPB|(Zr297Tq3i4Fur03F(F?;&v~JJjRG_@G6iorrBLQ~Z6wb>y@jm?9DcsYLlYy`?rY-NQ?0fp z)5MS+c69d@;(bI1?HRJmm(P5+%K&7O|98-}nC6qC>F=O~B7zpxcE(1m#>%~|Cfb>f zkSv%sewr)?TNcU+*RZg>F8G%vTfWEzc9srq_T>IF9=>(_lM;D43v;KTGfO>ug!6%B z3y%=3a$%dE5sy6lCbQHi^O?NEC<)G)){gd|-9C5{|-DV;5J1DDssMxI77Fy)uYKpOg&F15RIQ!Wti*=RSAb^}}QJC&q-?`wl9TBilT41M!pon2HlEaqY zO=Ntk>*}lA?}@1*JL=}6;{zR(AJ&wtNL)GthfWB@hP-gkgs8C|u8ez2Xmc^fTHwxw zpc|>&Rq!-XeKtjXRL}NN8zBErynOX+*LdQAnLAi3EHeZ-B}a%4N?hx}9kamieO=}2 z(r@F*qffTE!S-CBK#)?s+$hTpm3<}BFnN^Io9#$Ql2KlD44{^am7ab|W_W#Y`uwP`6emYPSHHbm+onMOvicHDpTQyVsQi*Z^EPaXwYYj& zo&WW&jLoX_<=R=#y@r?wYvFqPeaGyiXrI*A{mDlvs+Np|I@FmbJeyz*$UGAh0YG?p z*gCoc@cLAn^%`{MYeqeoRc#=y0lTQn$uM@_p84 zU%(LUi>YG(E~|a5`ypj#RqJtE2r>yU>Ncg6ZC{ZC7>p!k2Ox>?_{V13$KfyB;gWtfd5XTc&jD%em8IVEf2Sx_PWN%V z+1UGo)-x|eT33h2fiw?Or<}RU#xY4gH5j!h&npIAT+Nyg!@)#7xaQ{hR1uwOXlt%$ z9>3MIekVUU+<8a@U$&xHkflXj%-p9;h>nzVNr`!=3=S-Y;@gAs2^&R|<_9||5jdtQ zic54)tI6^hX>uG3ZL7Y3pS5J(OFrz%uPnc92zLN{3r8&*TNW(IU;?mKhhBP2h1ZyR zMn=2yBpP$&7grxG_*D;AkVwM0`i}K&5YzPYh#9?)>2?29r7re#JaoJm0Rn3okH@ZG z@EC%GOBdl9c^r8N4V@ifUgLMoe#CCL(=l3cITw*y(Pvea;rzu|il~>eBUF1XNJ$_@ z)j(Ts^Mu*X1v5e&6bmM8k~LB8KhBI`?G^rt?MzYLIht7cr_+{cJ@j7ZFE08d)#?9_ zy|)aDYTy6<2SJgL25FFPX-Nqs2Bf=`?(PsNK^by}kP?utLAsHUPU%J(Nu@=?|H8BP zIeR1foU`wH|E~XaU%&l;Ctf%(tTk(`@Avb5zh3OJCuT;0TB=cBA%v{$>oT3W)A>5^ zS`-rYTqJs7PA0hZS6J6NtK|f52^c1zq3 zHkM`s%2Qx;4Td8uq6OrY(EBqVU#_V<={FO4X}uYi=NF{H5k)^nqeyiYN-$X%!*J{RJf5`IBP&0qC;70y!Uu!T9P_p-2w|xSw@N=Dk@1}Lm*{peicWk$vRWjZ!}G6 z5~N>kr+3Iiq~-txIqu3K8|v|Q&eidWq;p@=??*TGr@ggcry1)S^fd^6RC0}zU8Ey# zfsyUeZad**yelGzp+6JBkoaeRX@NxM<6fMONHTaAtkLWf3g8>-=FY`!Dd7wIq6fqR z$v6c+l*&MbWT^`rjXZ-Wc?FE!vL$S|_l`Lv`{|D)?yt11^e`q~;RfNPUtA=>SW>Oz)gbtn9xiYHX2PImYE8J- z8!pLgTEyu7Z0c+tw#*#4yeGoLU#G`G7!YJJMKL?po;1E!PS7M{%vELG@##sMy#*$c z?Id8Z#Bj@6BP}yw(#k7>N_C2!f=z5=C{twf9^K~T%iuT{MX~n9LEj?S>`d=P#AEQ+ zh=N$H}&j_*pFZ|+9ac+_`UbJLg7h@1g19lEChRcGF1MY+g_+2ssJ%Grt_D) z9$V2{O|-7bixuWonq6rS7@CKz3AT9P^dXQm(vDDm2jK}9oO&)nh+0yF_@(zYvCBw? zAvhg1V^Oea^$YhW=h}&RoOat*Ov)wnGlUI^mPK!3>dGp$dYzCAFF#mMmUw2w$&BaF z0js!;^6_?d+e#zISy2GhpN}@d0MDURTAtUhSI#M0Z&GSSfncPMtBTwQQS_i#9a4Eo z0%=LOwH#w&{OD!S>M9}L^%t^UZrsf1l`3GIvtbVurG5PC;6smRy@z29H6Ke|rDoe4 zj@2>;K2LH`O~Ep3DcCzH9AoxW8@xB1Zp(F%G;!#M4 zEkPpm;;U#bo3*WVb3AZf{SZ0&7C(m6)d-(R(&$`hi&riro`g1s(b9cvw@W>XOA;>H=IN4|qEK7$ z63or=Ql3+K?&O;yfr%y4(j~EB&Yg;c1v@&1iHz-cz?An|4+Kyl8abKg4YlEMG~V$$ zm(2eFkV5}PRs3?VLm2!TMs&b8f>*pN1v0D7tNE>zLH+dKfnZ-gWf;uY-E6?SYhW8i zBY&h^s2E5incXm&m{|ZVAI>WnlA^$-Sm<1(ekc4p>EZF9$2+^Yb5o~UhyGPHL$)yH z`XLKOnxdCPG?RJhmKB~!o+qPf_raNQ0W9H5&MYlMjBoBa621HSP1S|w<5O=YfxpBh z89R#T!RiVIoNXRaG;)CT0pl0wEKG7mmkJU9WyF@^4C;uCdiIRQ|4|m7t z-7RLDch0HT)ubkMues@;t6d*Hf1EVho!xtl+b=+R$=ACC*|JC7Sih@P(;3j?z4*e}9Uo9Uh=Qz4E|_5uTM+VJ_cL?@ zq<_zb_+Lt_b}om9)XhD^E6`xCl)>3HQRT>Syhbrkw5B+eEEUt;vAOM8N*X2ie`^pL zFqj_X=tO?8EE{Nimz{$-lw2T5UG2VBW_+bAbq6rKOfb1--H@f-?r7$Low=JnOZCA2 z!1gn;=)wrRv6@gBe2&}C6CS=(1kmTnP?Ly%D9~a(Xb?-Qxiu|5*)#?_$0kHS$FC5l zJ7PZa5rV+=clC7E4ftzf9)JX(Nk#avv`{1Sk#TD8W#oVZypey(%QE$=b1v&AGb+MX zKG@>Wn7O>x%nMC2EX_>NN^~v}3R~XM@Dq|Yy^A@f#8*R?+QZ~f^5jy zW5K5!Fs>rZ1)3Z?O?HJT6MjtBYVA zCzHpE6()zV(Y#WwYFEP^`3n*!Og1rCktV8ZU4WUNQt>wMv0&kj6?o469n}6lZ4)sU zblUg~|CLNZM-voM^hvA<4@Hm|aPB@PonjK8C||mPm9UiSV-F&JCZK9E$&hHXp2$jaWWUFw*jBz@c6VQr?dv+6JrhRwY|PX)m9qE zBz=rH&_d~A?dt>P-1Jg2Ed-oqPHE(~)X-kV@bv|JX1v|BHqcD zA(O1VnW7e^ts4B0GS+Fz;dJA!Vnz7qk`yi%qv(X~F`NQB{eCuaTI_x4IrJkQ4b8CD zW)4ZTWy(IjKBhY*-1$4wvOkqcbG9~PGOD<$HR0#S^59iMe8ZnSRqCa&?j}$IM7Es@ z>8>c~>H5n9f`v(3b2fOw1M;)b5+f$h!!6Yf?PJ(29{pV$B4h6r9-2td=j4MZIaHm< z-U}CVF5Sge!o|bo&nWso`ENIZpjJGs>tOscH)h;xJ3^2*FS6-Uhw-w~?cvuNAHLvV z?6?>Tu#D4gqPK&jGg8{hgv2Zy$lrQ!HBq&g(D192t!DFdEO!T&C2uG+jP`F=yB^(Q zW~K7dqv+Qm(b`^qh1l!z0wN%K&`L zpM-q}r4D}8@*PVP7q!410B^R+GYhep^a{Y-+^pWpdQ5#ej$t;3OxBIsITmR)=h}f7 zN__C%6)5b&|CpsweNQrMf)pQc&L8rW{}!N8I4a{=p&C26sx)E}#H?wQgoCaanS=Vp zp-<3r*lkj6I|*w>)JWr`;HHVlOB%I$WCj0&!leX8dfqR`A$CMfk*j2$i)-4%K|^!j zL9Z07DDMVQn;NA=?%s3y4q8w9HqPqeNO2``X@3S+*5ZOX=hOq@KN z4z1kvR#uk~q2cAi+hIQ0&2%-sG$s{G((wLlq;JGygWGbe!R2TH2!0v zo-5|KGEK_wtsLxO-0YoHZJf(^uhVDYQ&;Sp>X9>NRaw})H7eb0yvy8OgmBtF7*}AX zp^*R8bJv4)xu}>NTps#5QF5hS$c$#h`^s(T{K>GGv$onS;S4TPu^TjMf*OcX$uajB zX`I+6bmgP!9AKYv8`eU46CG@YCNQw%tUKBB0UfK z>s1EJwBEWnm{Iu_cMy1&Ffefi1SI>Qk7QIPd~Slm>lB!!Jf}u(JAhJGujQI|jm}b+ zXbrb@+xrECVmZx*xu7w>agig~koPclAJz#*eY2+&&gsy|zqSRw;g_d78S>J4Ce7f7 z8{vZUZFzXl-txAitPQ8sYGt2dAU{3nKD=Ii@ceVjVI?ni$6*f@?;s_uk|^0XbkYI) z;yx{l%7o|r_ysql#A1mM5kGQoY_T25F&_R4ucn6vMz47hJUbmgzUi?S-1%q_BGj$Md6 zt!(~}5EA$bV!o4K+t3{6dsJ#{AHM*F4tO_DrVA?8TXlkX4?jGo!KA{9|F-y6=0k09 zRq2=Yf=Oehr*qFnOVT+y=Iv2GZeFwRZF8}JE@%Wd2hA=iu7~WK#Bts1m=i2S^ z8$Q2L&hs6_IyXcweJ3!hVs?SB`I;MfbMaExJTx63?aC8r>Idw@z3tA$zTG?X^x14n zQPklgF5`xCTjI)?yy~p*LywXT-FhM_81*PXrnY)0y8*&(GsovtWwv`NVQ!9gn14${ zJ~X0C_aPjMo&>@x6XEngKQ@zjApMxA*lK{m+R(m=dMrG8!y8ex7D)4&{wB?^kEYQ&lNg;ag{N*gg zdongOX^VeVSa8JVar5>qabQlGDSdi)JaJP6=Fl%A5Ev7@IbT^c(3k0i3bd35bRK>O zxkc2ij*%hPOJ!(kO;0}2dt7#|mzQqy+*f`vd?9NDA?p1kcW#emiRAb>!A#1qll*{@ zhqJH^wWJ$IYoHSBnWq4<4*ut|DZ9;sVdu3B*my(i8k6r%1t(Yd&HLpx`*6Ch6+yI< z#LPPV+F70_Y*aG{{q#gDF-B1`Ut03rh{AR9pPMf@1WhKzwi`@ljPB>xOSPElXd1_& z)komvWfa~dQ?OR9+FDXhlpq-OeDgYSi$J-}m+@GWLukL>@~xE--Z$Pi?wbp;PFSJW z*xhh2e3;fBOs#vqtGS10OHM?3$V_zH0L>Ff>U5Z|KEP8`sOUtkc}wX0G35i|*8x`y zhHSVww#69l?86A!x=f{REG<%B={Zj<3DqiTZab`Q3K*Ndzj!U(s^k9ZYz@lQP}|iy zU@=6E{~dJZ%6*p|CaX$UZh-%~$5Ff3N;a;gC~6pS{3M{&baeCz(rIidvht#WrYL0sG5Tgx&Dwl6HXWHMXO18anpzGv*nR(y+j-;vo7jD{yAXFe)KI(i~dH zc0S>Jx(es-pkw@llq2AN^dX@*NA%lu>xuVv2I;S5R`3(FCekW`&p%Um^cM9*;S83d1FSNY6lR3gtnELJ_ zIHy;s|B_>n7Uzy+y{E7>t_Bs%cK;8KbsP z3+|(?oyp9`i#&ph;_P(7@H4(8v2~r)~$Y2wm4{eiq>EWU#8PTAyg8Ib%1I zFYH5x3e#wa*SJjfRB3v%u7Q;?FohG&nfY47{t#(tc$TuPDng?!ehzBL2_wy&bQ(&i z$CFY!VXb(Rtw-~QfNwiZC9FOKu}!$ug5^=VIBtM?MZHbhnv0LH-8wCWN0w7)CSg80 zmc0b6&cv4bq~k(;*Ci$Nu5@=5Ti82yyU6J%Ll&-a9b%<99Vg@N70hr-)9sjK#D0joV->?l zWHTk{ZC>pTh1Jo^~DWou0h-HLl?lOZaT<}0{Q z$e%VTZL$-dbGSsG?Q)ez3EOy$y_k2 zxWY=Ml7^}==k~H7qnDH|kZj92N2kHW>!yG0LxRNnXt%40UfG%ErH|Ja`XmsHB3Vw1 z6;;iUFKjpY;42>Tlm}wqbyCKqxnZ&Mh{%MLtM$a|1RCO3U+Q7E5LK(oZ(~i=K77yQ zh+wdZ(s{}-vS~Xv%(fIeX&liH5cW|W<@wiiJpbs=J8zU!GGxbtlFY1$9v;BLc?0>K z#n`0Yc7n>5J>mPap~%Lwn+r7rFd`RB+|q90R}+ zq5XrD!my%+hMR!%(T?P;I%Ett^z$b#bFAZN&q|A%3-Z9H5}b->U@f3wZsk&GKy8Xo z`Fu4?LaXx2d9KSfIs9CXsxAT-<@e(_D7kfD6BmMVZ^5aqqOxC;Alg{XlMUlrF07op z{LiiMH0*QRKF+gb=!bhkG(O~8nyeAKly^TaUyGwHkQ6V*B8+qh7sK1Q+8p`Tyf`zo zW>bY}nucAV2wn6kJDC(uqF4S|T8Ea7wuFUMgBOi)vFQQLuKDPEnz)X;k7})-SSou=fdc99al|=jP3)G++M|I%_#I5+#Ob(S_Wf=*nlhZtTD^G3A1yK7+f*J05DJe102X9y>eY}x1LEih@tV-`2?JS zOmKME$kx~de9nVzmEi_Qc=di^!ym3VGA#txAGC;ZA?GYP{Xoq%!XG`$<$U7C+A&PPXmtY$&G(MEj20B2z}+QgThNLa!0^V5hj zy%bEsmGDuT2V~9uPg_YNS5LC($zR}QwBtL$23e@y!R8CeMoHYY&9Hs7eA^L4w0W!u zS$Yd%`|m(ju$eNdX6vAR0{a`6SoF(# zs`H@fdj-m{+TJRz-ZkDuXo|9!N_jMLhO?(onk?B+zE=sNerk)IqL&@Cb*%Q`m zcm9bs^OT|}YPNxb!pz|*!@Kl~SL}ISvizU3v2?PIZ_<3>WQ2=0NU$n$> zbcKdjqF$^&Qe<*}qu@Ni2&x;B@|p)1688-<8dXcbe}D5qSavRc*d;O6TO;(!$Jq6d zo0D1uYovMTN&2A+DQWNT9lBPU5es}Q16K$cbOjwZl6e|I?&_CsnmqZ54|Ubu_-e&z ze!?H#HM*R5D>Uv^Qq9g1z<_-Y49nIlZpgD&m?B_r1cNie@(EeX^_XWIG&xgW%Cffk?R!Ak z)!*X$L*(0JzvfUJdrYE?k@i<_%p*l`UM@vO9BS=0bPPvzy&}hYfG!IP`osdsrV>{P z;$Iaa7jTjiJK&&=>}KvzQ>`VK3;>xGkp*%>n1WFm()|Aa-sL2__tFH$s>~ZU0We*1 z0j3Mp5A9KD*GAHO5>!WElgeA2$3mGF%U`SCrhTuVUVKte2TJ;=Clk@6b|s4fl{^2L zEBoV_9FX24&>X^@ER+>3Vu@JMt)n=O&Tk3TR(3{?J5BHA9xaj-@doT~Kp>O7#<6xh zhpiym2@m=TGf}FXu!89-hC$NSstNsaPO}PcSR|RKhXzr~yS*mhWpq<>AE8^1|b|y#X#E0TStZ z)v+ryw(DlMdqh@SV@6)ia{DNDAliT1J@PU#h{#3T+{&r3?dq;8QELcHXF$ zQ4b%)WoDS3_VYX7)@4!47n!^_s}OZK6~Rn6u~ISZ&86^*?${S#<0b_mf0DxgFm66Z z;7M5}cYknUSIhqXhfiI_i$W&FN$1>&55P$ojzY>P)UHyGfwUP#nCyj`5ma51tp5)+ z(;yntw=Mn!rM$@)E=$3 zZ`r7@`(1>J!y&r_h+nv&vooy-{(|u^f(_yh@s#Gw|3c`DXD-P3{2ykUS#FxDK96^zV7cC@tWW`2Et8NQvy z(Uppm5iqtUT7zA%M{^goYXf)D^9Ciau#~4^DfJEI2Z)hkOUgjUk-nj>TJ+Uzr>=ms zPJsD1aQ$q#%!INwnMPQhA2r}u&65EQqFG8n6H8AM)yX4tnM3$i0%T$FEkS3p-^2wa zxz8?9pO0bAzRQa^+s@6&ycJ#uT$Ho!F{gCBNej8&4&cfvA>@*uw-1XU5f!VjUV*oVFF})zW-fEpP9xYrJ*hMZAZg;hQIbu*n3+Qv~r* zz1`3lu+(ntoo3n~Ex3sR5(aJU=iw>d2!!t|nokBMGRLzKQ$0uUD}y;5k9q?Wl|Kqf zrs5WPxxZb+Vy4)B+I8JN*Oe=3#`Ov<+;N9}Mm@6=< z)AWB0=q^KOY&+H+3$l?Yi~u+uKA@okFn0VjNia+4OIoX(oD5Dep=KW;nR8dC6q$zKdB{RAB~!9$?x=M?`^ zP*{BbUaBFY(Xd<2r!-&s*u!mebt2m=80|^UE>8L<#*N%38IP4`rwiQMnwSwHvMk}i zb-$gvn7P2Q2Ibe+R{>aym@o?yRV`XK&9-6mmO%1=K`7ax{imJ+e)Mc474r1tYC8 zCH<<4bMaDcQUYXNm=S5dWnzN@HWgXJ#f3GJ18r+DPMr!r|0&P~V*i7eRDj{yrE@!5%F7M!#?5V0X`KSAJE%Sv@9*g) zBiV%p`RczA{0b@6TM6dt-P(btH8C60y}rGTYI98CzFw%62HvJM*5AB$`8_HyQcU)q2_3NY1(PY3-I`TCGaA(g z^O7;ztENE-c5mYEXcD$kKW$2HN6zgZjGehH5CgBCmPa=#oP#HMjk-+&``XD*YXxj( z9hCAp*-dDFB*XHtd#vI&;rlFu z845TZ8>DfwZ(jcB{ab5rRQ|dqoBh|CER6VG(2&lBou%wfOwdh!vV7u8XEDQV4N%v^ z-4&%^jJ*qcJIigJ5}hU_jy_;U+i%_e@V7dr;?uRK5!Agt^nmf0Mi3nitnJ{LMz4SULWqD)h*iar(2nB zCv*G2tx~3yUzhnRW}f~qfWg(S_VQQ`eA&cNtt>VF0LK;oPL*s+pwi$HpPI^Uk@e0# zf4)GEz;oiLtgN0fKBQus3Btt$|JiwU0GJ2ezTw6hj~XlT*=0jvxE!TicC{vjBoTx# zAo_`6omeyX?@;fbuIOAYoc|TgbZ>t&JCf!L$BY%H@X1-nahDV!Y|N7$mAFO*3gfnA$&l>$l31YZRr=duEtYFQ-3cXr02fi6rA%PHEi2du29 z?B>Loy*X7tG=z>wB@zu&UtVEejn%r)&Q_wn?k-LCSJAbD{lY}GvWD6nVR-LzN8!?& zVP!8-R27k*1t4?p4$REBC$Ng#a$p<6k}rJI;Bf&dDM{5g^2%k#-Gw(Gg%otMmVT^ay^Lwr< zJU%szLC8BukdkDQky(I;#-Xm)h^!0UsMSsi6B)zT>P3n=sr+gczrpvKi?T(qm4{0|}VhR)eK`h&3 z`^Usb6`1(Ej{Fe|0p4{?h{l!j_0r9sd&qF+Uq!RK9hK6{|A3ZtOE0~6pD^r5O%p-` z0%o3WJh##C3ioZqn-PS2^V^989i{p1&pDr3%3hIk&>s73bGbu(oxk5w69p>(35--0FzCP6>ywAD3AG*RvEo`!?ANDB5;P6V3l!gPPv@sPkw@fl*6_ddX z&6qc{DVFp;tl_RZ;a0>Xa2_@~59cv}fZiRd8#A%d$DcMgpuRq8#r&$GN)Fa$-yP}j z6#^p@*!E(4OXZg^iyKU81ew^;J(NTqUtfAFJfltf+ZM3QDM zx#NpQ`n8Ycw?7b%wwd55ss4?-_&*c3{v{nx<0qC?yN4dTn`@OGt-Sok!IhGmVe8p9 z3MZ$=r|azPs&M(*HgBb#2`uEdlA>{kI8g^aikJzF<Yf3Ial#{$NzD~^AGL_ASHF{&%|Al4%h3Zf;fc4+BYxVLW9bA-75gQT^~0 z60GbmIlzC21Ow9h3yjyPMVTT}>91)0Uzo?27s>#2Uf_2EjTGUYBZYSh9&}HQ zF3iW}_;?7#c~-C)FkxBp3d2JblC0g-NOpH*d|3ESm9wcjX^@IPBe0&eUAnx;hC2y% z!RmIogMGtwVO47ZQ~3CJpjGG+Gy^&@6Rs7v6tylV$8)gUBF?{^9m@f1$TU8?kv|}c zb9v+K#)_+!YX2RaNaO4MeNy7ymP`x?8$T+d0_}A7a)1qlEGf^cOeX&YI$!rfX-o^0 zyfR>p@^a=rgG;6!wKf0=Lw8qlvEy;{I)W7&ICPF~>aaoAFoFoIq4z2U>8C-X_^Z(8P` zCuRN>hwj(ca3TKp!4m!%~${0&HIXU%%a4Bu4U17xjoZFMg0F_rK27=ZDE%+DOLP* z&54VbziD35n<=y4#>zUw?fjxAnF@J*^us2M=WvV`^7oJjp9H~vbnn!o5z%E#BC(-< z=MoyynRV67NLp?*TVlY{A3@{JcaY=&{j2n8zF?mj(v&aR76uu`!&EO4Uxc|L4Cjcj zQv@PbiofoSX^nq!pDOW3cc01RQa?KTa%oSs@{xwlP*)OJ1wu&@rU}lUc7Xx+$JJqiWu98yjdv|_tkTu-BNEnTa`0rR4rnQ zWc8E2)`&%bkP@U;RaQIk23N|q^=8MC@fos;8nfp&wD^NKx^gLV%{zg^PxIIX4?jOZ z3JviR45=of3@v5oBtoQk&DtB&`>!|6tXgO1Qc$MJU?dcN8hT!?Li5#n^>wAL*VD2> zf;%r{>yxorTsIYWF+}@6ke4g^XZYWw_P^!qwwYoLSrESOF=QE+5v~%7Fa#o1$KU*D zUZ0>s5vvu~1Ulc|#Sw0xG+24sh{e^fU7g2LlmW4}F?{}R*m+Xd z)0zL1;GkXDsWLGO-aSO<$7CPvK46O{0)T66r27bnmE6hqw26A6m*IXkE4fQ}4Y|TH zQ)h^fE!Psy?qT+* zF2037sWGgXO;(-T41#JCu}^h7FhCe4rVp=WR2Ns5qME(Tv3)@q@D(yqfpS=Hcn2FM zX;i^ulNrs?0ocqme(s&+RKef8Qs1AVdsi#Hb5a+!1a!@PWYw?)D@q9z(#Z;RouP$tc>4x2@j1N8jf${WiHkQoZDEtcH`sp%Px_q~nGq z#$D1Peq1>RCh>7Yy?NTVu0d9)?;W%>;~gjdXdKy`@{6ST?_2ZMT#3O4adRuK2#Oe) zs`I|5*Htf{?@A8iUHJ(%QIBV7+ur7rPOmh4ZtTeUEFd?h@H=Sn(C6Eyk9`$)Q>#<7{H;`7 z1N{m0q`J}(8*KM0n4LJA?daAN-{bj>GvcILJ532Q@^EV&2u4ewrip_PL8@c0hc2ry zPN4=q((umi4;Q%Z-04ap-Cv2T!Re%${^TfQGbaU(c_2lo5~}V@ushvF z74!q~aH-Y$Nbc9b(Nr6-U}_?Od^3Qvkz|x%Llvl0gD0>951B& z!+ek@@z4Zqg&);4^Bs%m<^py{pu-#1f+fdNjP=guD~{yHnPz_xu9}dD=#DbL5jh99 zhQ)Q2J{)8~B9~H8dyAmc>Gx9nLCQ=(#7x$dr*Yay`s?J zb>rSw;_{3e?sjR<7nT>upYYL`MT(%l`BA5p{zsjbOLXgvkhb2^0E*EIM<_IiNF>Nf z@qrCb%dDzWDR^z|0GSbfFW)CIUm8e6wRS+^sR8HwHS!vOHzNN`%9gdQ-p(7n;YqJec@~sA= z2*)V&ej_G?RVPG(X_TcyqC>B(Qimp?)(e+ae3RBvk8yV z$jbCP2wWAj;PsoU9+2mss5#;sf$DoS9KxjNQ*AI2KS*c3wg78|1)Lj2P<%Suk zis{Vg8AkM)wG9Ief5big@1Ud+wA|Bre)ube1SAW@8_-VBi801-p&&Dn3k{a66GJ#2 zzzNCOcp||xdNgoss-<UOlGXR}=2QR|JKB^t%k5ECy>S}}ADk|lb~>+Z8rmbek963}9An~iiG?ihpjO3pucIK&4 z_&fYZnRE06`5W6WrTt}?EWD$X6mf{hs#jjB3kuW&9@SO91mhvS`P34Kyp|BEE&u3! z_XQK)C`-%## z^ZvUN?*=N5bPjDhPR9F0mV;?_EuQn|Qx(L=(j7VCL`&s_+FfKP?|Y0MZM-L4Z+ zFQ>97$%2=vdDn<6#G2Gr53&JN&O{(ri|V}xkzzo@Xbr7``71erM;9B_t>Tx+D->O6 zHAzz0JViq*e#D|v8IGd&ClJd817m{5E!>oQ?KmpwuC2>2@ayiiJE+->s3=vdDdv?F z0Zsr;E5mJ~%rUqvm6VsMd5?8!SZglXQa#odzwOCj!XFsL=f>PmvMXZ1EeB`iU2H^y=VFu(|e%@r_2v@ z!0^R*j6|bb)ltTdK#7EZG194ntktm}mvB^79e$ko9fbKwJH(+n#=|*E%nDuXxqW*; zm5Wg)kJ>+>0Zga=iG4bnSANe6Z1JwvGdPR$H>eEs-_`K7YX|MD4REHLvC(WgT!g;a zd*>}D@g5TM4;LC}Wxh^eHc#2!IZFDrn0l0r8kw*XP_v6BxNcO+Rc!VPU;(;q2IC-& zlI{>-<^bHePX86%eRe`_)Fo*z2x1Dfy!*n% z?72*ZwQAvf(2q@h(}=A5**WbfD$7CSc71q({nkgh?g>ns2{22+brBP#auU1Z*UIpo zHKH&&sFAQL2k0E%?Mi~FjLRKmp$~2kyKn-^%1BEDZrt2_6GVs@8u36X?)=HC`ntO9 zsbsDV$cF(|`sxNSRay9&fqp+8jOhk>3dia}-Zxl@r5n@ehHbNC50e;5|076=O=d@W zO;w;wt58mMN%ggK8W@~W>WNKUj8cj&ChCN`KrKnl>_?lbI zt2moGdr0oHZr2fo1)yv4wFBj7H<6Bb-;x?{byCiq_zmr(ePZe2R$rb8YfX8fW;%37 zWfk$TJccM-3e6W>FhwTtSve-X?0Hw$y?d1U-g39mu)$6aOh~{^iIjvH4eIYP8u|Br zYMqeWB3|f!cpD{qQunbe8}cL>k-CssXa!eFTa>v4uVb=tvRQn6U9(fI(CQfXv8`DW z0{5UavfQS;h`?|~y?J>XnEM1|9AoGndS`&$-u0k;Uah=ikj(Xv52{39ikim`>Nj}A z`X)uf;=l6ohCq6(U){q=1=Gh2JF7d2W*rZHmfCyb2*TRtR}?TBEckC+ylnnG{Wt=h zE6DoCeRCfDqp`pFG&uc7U?{`2dL}{1`2k1~KN2Z6_zzHvc*m)a)8mxkdSM`n zX{_lCO9ZuNEsptK=4`~uyCu+_X~$IO_DpB(KZ?ocWF-iGM3u82b%n~3bxD#Fij&$1 zOJ_QaH_{XXC-G90vT)C?B(+C|F&Taw@GR?+`MUK07?RS(s`QO~2MMWG{&Es=8=P+# zV?BHB@JKu6_pA>&D{k@m%xc&i$CA*aduGEc1x^1>##|Ofdg|*W>6dGHq6pqnp2QWT z^x;T$?dE6`Vd~32s^K|LxtXvIg2qlksVeU$;eN7YaNeli%&LRjuyU8DaR_JlV0*-T zgQ+PxQc9c&{Ez)e7Kk7~duL+6=5}-ApzGMCl{jCozLpTxO&attW~5(Toie4Zf^E~5 z#QRM zqZ)T@>=S82=U-7S?%+vTaaRoKrkOIx1d~vQO|(<^rScF)$&$PZ*N_s{(hQJg*^?Jb z(1;8V98XzQ)|{q=FFDB~Ikjc4gten%-hf-FV{1*f)8-SDRfo!=2#X3wR0%1Pp-(zW z?`)56)&`9>wMk|2LJY}P_(LW2Di9;97w=bPLM-$R2V{1l+LT^qDM=dw7id$%9uJzN z%ZCq;^ZtlsK{P^>Q6#An0Gefd2*(o45wP-tR6kl(@18c4$(9mM)h+G<#+K_Bh$)#1 zFb+OVC08FP!GZ=c>yVz}HITl>%j*dx0{_5X!61gT7r-8zUYfYI`p#CKWf)kf9UA`~ zRM$?w2mC@tJ%eTMtfW^St$G-x^u1>7+4H4K0E5=*gWFe?ltgh_og6?^j7y>9Z%85L zv10UbWOY5OuE2*C7;wn``0nJ3@9sQzhAMC)#hJi3JvR-xj#Zr%5$oFbgnG9c_-B%x z4zjzQgyvAPV4?zch7QNWs)|ShGrHo5RLQDOBpk0l1RH{ZXzfM74cH1d1PTVe|J%L< zp8OlE%Ey0KcK9J3vU;6BZ1FD0I8fgRFKq8d1Sxs8h>SJe;N;4tM=OTR!+AG-v#4V= zigH~KFQH>s=c&4dw7lZmJa*K6&R#ZAuioG9$-3vr^%2sQecKTdIG(q!?2b2SE;k)y z_wIfS8Tj5u3YqXfbx`hp^4TRT2A-?CPuJ0a0yc`C&zRY{=^HRPBZ2e`ba;s~3F}!| zXwRlruqlwMhRGRiek?ysRzI~>JDVcApK0agw7_f?!mwoJik;|zMV6O}aaQC6Mr@^r z9MJm)5J9Z5KfJC>>F4PO(!=&~Mpf~K!b-YtowG(ZxO4m@Muw6`=HtOuk#nk3=SP|SC%Jtt>X$OedKMl zS8AM(KIHjSg00-^|3s&h^g0wQ5@zlm)VCsRcxdJ?@v=)g@<(gBBR8Y2CV>{B6Q9iU zDRjy2t2$@)UCTTPc)|I}C+&nKhi6%5C!FqyU=tb&wvW)SYR1eIVaLrm4I(f4HMbmq zabDRVFwQH`{4vhM8*_t6LTBQ~m*d01_cKPZn)%ve8cG(ADn5Trkg2gwAQhsj>c=#Pk8sW> z#^=@5XwIq1uIiC$j{L+~)pnu#rs-e4FIZ8|wFTT;N{?*5gJLC)4)&1=&�_=(xon zEGGk|HMwmTFf07UcAxciraXn}p5ZCR_|+x~_?(6ix1W6R`6Q>YZI?b=@KnMLr=*>F zDn^(vQkEr$$s-uq2aA6y2UaIT~7c3MjL|EP+`ia76TS}3BQY@rP~Vscv0=6 zGkh3`F_fINUtswY)Hi!rW3XK=Z}Q2^*W}TaW`6RC=aQ2Tk@6T28^i$9q51Z9og6_* zP}M7om-HHnAM4r+eYBU;|E&yOrqzjL z$`Lv4NTu$lHCt=`N9KCoD6IARuwySu0uMg}X_hxX8Go+JCCIC{#E0D9am0a$@x%^o z%&_*z{%1R;<{=y!2G^wh)l8!+vf1Lu)wyYpEe@SJ#tz@P&LP=_HFIs0eVJ)sDusJz ztzZHKG6^rsn+U3#jB6+FJ_Z^nNt9Q8&h#&H#ylqE{to)K-OyK>I{?HkOC&eLvzfZ= z#SXbqY!&|1D6~@lRUlke!aB&WO2pSh)M~)><3`+mapeJDA8E~hRhg`*8H!61L-Pzz z(_-D${LfVTi=OYHobE6mPxQxEZTA;im{ljQ9Zf6H^oQC)yD3Iv{J)V&{sDg9{EA{= zeE8u-D`dJSvQl$Y9Pb>T^ip73;zbW<*%s>om|LgQCcYH{U1fNB?b+)SbrbXUt0wJ3 z#_0k8Kb`ujSg6@#Jv^zTJ;!4A(VXw?UJg9ry{5yw50|2%kK8;+>wv4ZqgFUL)3DI_ zK67%%`WTcoiuHp-{ON+&oDYTwT<#BIM6ZCqvZHxt6VcpYgVy3CMEmEgv(3vuKXk3*5*} zNA9@3(sjdsC5Q5tN8kTuy8X>``mPXYPYpC+LSHBXLbUw^Lr>7H9p|H^wNDqqP#Ox+!A z6$?++g2Rf^&ZngcI3jVisEh_{p$q9c9tCxGoY{=r6TG6a^Fs+5X_ClC+%$&a1-1oo zYeoHzvBjL-)D_62Pg56S+D(kG(}~$Cc61+*bu}()5k4&x$wvN?Ia)wfS{*K{&T8RA z7>ncS>qTMjo~LmSXJ)$7fy)*q$0;uz^VUkWOmXZyMKO60=a)f*QII zLlXw60l2I=REZMYu<={B)X&Le%(vA)c2$|29jolt`nY4v9!U2x`ih%4Vc9g@BTN0Z z2<#{#IPA#MaH3E_(;Wt)=OWrNlfP}RiBIb(a0UQf>Y_8tdOQT4`kCH0bdw93vMwCe zy639?>vKfX$+}$}cV?eCeBJ=|EHU>ocZDK&PG3)**0tj>VYM6P{>A zu4!g`V_j10vE=Aqp{B$DNZqC6rieISy6AAwzv-WsjlK7*ZKTtoW6*F%7;_-6bxIk` znbMkmtc&)GJg$FnP14Vl(C@iR1Q5&z99vrOii{nmR zuXi<0HRTHA2rHs$OT!6*3-4XW37UpvNY#ED%2AH~rOl;+nV)@y!?9NYhm-xx91Aef zD|J3EC8ZnJwjuJ11m`ET9%N2xNtn{@ZoS#vGY` z&+`YvF&q<=JFfG(&ht2a2WjzD%RT2`S$fz}rCNho3?%_FJYSS@ucy2HoiLC5r4S(3 zs+80lz{0@Cq~>Qg79FW8#BVO2RKfa$A)w@z6`=p{Mg#A-gLZIXa1N?HUpey}K2aHI zGAA(4_LT-OL&83ra-h`*uexY&w7!bj)os5!&B#vgFj#2hn%19VKKYa7yigRzQPi2r zSKJb8CMC`C4I~kl6a)GUWHtfT0tH-Ph7k9E+F}0R?O6X${Jj5!3qIBKYyKf#F(DJo zUi~8M)L8k0Ke-4(H6wk&*pCK$edvqCS@NBRy0ZfB;U2%&5$mZjWa{4C+=jfP3Ja%=0}#Ypl{@!7|y``%xadf|>P z5E1#5#6C`PBdx0}bU&!{B?`xU@w?Akr5bnK)dFXE-TnC@A{AqJUrwvONY1GBz3nr3 zOUFk<;a2Y{ttoD(V!?b&d)LcX;p(bK9W39hBVEygS(s-#YcwuU>@awR-je}9oP+?z z$wtRxF3R1E=H>f6Y+7zSZaaz#tUCGCVM4wU4-8?pf?nu+14Y;be*@_?ePt<%{}F7G z)5-q2fB{lpWMlzvC>$=dCh9j)n^q{BZOM5waUb$9MXThuU(qn>TAR8W+z$ol!H-F4 zW~KTfc_UWNh|V)imJ-wgH7~3Ra?ReZP!~;g0zmtDuB}h^F{DEy+o{_kVE6s`j#p+& zon;-g^C@wnrdw}$Q##G6V8Gu7&A(Qhy^j{s)f~}fCkn<|j2f6}s=KpVVpzw~n_Hu$hCK?qAB;MR*cEzB-{DH{EO~X=2R}O zMlk?C<}^xK_R89p`$%W3EI_;D)@&=BEkm$Vm@2#+vzWb1MVQ1>?qYEYR*BbwNTu%( z9MxoS)p4MDv+j=!nPqHLBEKlum_Ck{*+Zv;7t}dhbfN(o!7Jj9o+Q~u^Wd837;chj zf1AuC)pN~^iy@yqRD$(w{+DC>?|5&%g$03f@%RgaHM1pB?naJrvowl)PwX2hy@E<1 z%3S6E46px|4-`8Jd$a{3{!xm?BEK$?j~BZ16(&o@`!_Gdf6>dm&JtRH&qc{cAmxHU znfbSkpC*7LgPS9rq`>+hkb!ajgNZ=Xt6JW-d?23N8&YFJ9`)rw$GU*(ziN&8pZ06 zbWVz}=I~x_d>Nhjsnx6OhFytM?YAUTk9D}fRX~}}-&>jFg2?ymNkix!gCCLi$Y<~a zs0AeOUNYv(`SZ!!f?Neo11bMyk^oG4=30Ng1^Vxj8<5LNl4;2&ErLl|TcQr9DLm_* zL`n#Mj!-|5Z;IeHm{$Z?E4RYWUG!HJ^np-jsf~+zfqjluNs5w zX&NscjzAe(Thzh^BflpO5Cd!g>n$dxZMZuR`|ll#Ypqs-wWm_iwRJSbDYZ*OraGQ) zse)X$d=n%{==jw0`QZM^Xf84eP4taPMLp-JOg*T2g1tGqX*jcXzlu4~=Fu(xszsg@ zPp$`}4t<0ks6^}P%tb?ez1S7<$8KNyJnaWO#yGB-RhIzr87-TgZu!ypwL1FMu_zsV zsz@MatBm;`AchMdNlGZmE2PeLD84ZjN!}y%T?uEM2=}JigbSGh;zcm9i<;c?q;<0& zE*Sk)B>DHO65m)R>LHKz-?B=IOT;!Z&-vm1j@GYO`p9^f6VfH|K(~qVb3uoi0O7vB z;xn(?DYY>a6>Hs=HML;IO3S90Zy@i&Y&kZ)DlcF9buIqBlp%@L3>b2i2Nq@RTysQY z)@Bw}>I(WZos13nq)8vSg>fPFy{6+fByuXl4)Vi;?&T>3Qr35kq#pv7*>kF(A>M3% zUp^&?JiI4Q+f&C1f66YVi}|Zzx7Hy|dZ;LNN%~A>eyUB$d1}4w+3R~vC23skhdC`F zLEyB}N`#tHv#AzRYdGJ<^W>-pCls}(cQ_1}!eTx0-2;Y5nZ-j_>=f9B^GRN9G!iP5 zK9_g2*lm-WhM?W9Ar>I0&IKN{vLdf0gbTmXXUnJjQtd73_Fx7F{#w;%nMq3ZwQ6c~ zGasfkzc{N|vtmE66R^}&j9av;flKHu8-^azY4J+P6lFR(z$1)|WnYu|z-~56C~L#5 z7&$f&poT}b=#uftvclvK{hiV6V>uDn1InOPD|X9Z{yRFOr zc@gw>+QQvw=j8z5@|P3;7*>u4Ca)SV#;NI~PBlP*6v?Ce@ld0o&DNaJSJe`TKxf-A z=qY;MsfvN{H|Z1DcMFt^=LTC6?x zXoPGenS;}$_U+wZn~rv(VVZQ|iVA7TZQCJ``Ak z((8PhT`Xqp@R8^!*wbRRS2~VoYlC9lp-h)1|ud#$w~fo z`oY2ZI?I5V$s=9?h8ueg^&rxobNZvoytQ#opSz0APtmjv4bfr0+-^K1Q}h(KW-?HV z3Cw4m*=?mdluS3AO zc0j3B^@n65`yY}GIw$&LtB#8Dnm0h7rDdqgeX?mKDCTN21gtI^V7s|x)5q@IWEN%R z)*Owx|D5Nb9ShH-;X19XlN7)r)!3Q-$R zE}DT6g|%_V30Ze6l(ElA5(`ACTEi99qf~>ocdJZs=*5%LKO>&HZmBS$bkSzSQ~yE? z%rkqv4uA=eA-e{Hz7X+gf@u*)>Dd_PN`k6C87!lCdF`0+=m<^xR(?L|))-|Wy z*f3Sb9LntFdF0b1A4{Ac)apU#SJ@C|ZV=`+ayD4=$$M_J*y25yH*T@ga4eQ3@DWWO zLfJ7wP;YUuj{6gwK-gW{Fv(lrK#xA=vBcsjrlw-6Sj7_FX5Gv) z?X$MIA$7LAVpKiL`?VSjk5sCkV`&Nm>8sJ4sBHy=+M8w|`wed~-MbqLyM-1~NW#{p zjo-hZi;EFD(N*-Fs5gKAofC?nl~c!#@NdYyvd++Tpv$)wIScK!cFbFU-Hctt(uW97 zE1`1JjcbLt(Smo2{#fpoO&Uw#`4}8)Y6}KkP>;`FK=!7;f&8?VDm0)N%r+@XNbv(q zJ;o%Bjytl0>{UtYVng1HSr}?)M*pdf|dM1BT%4Ju* zu5GCO%F)2I55%B!v}>gti!}{@!qgSSV4ZTHQd=4J@kAxK8L#)RnR}BlgxbM=k?8@F zI4rTWSz9CrAo;)G>pgZlZF*5c1DVxEMqfvRWy=8-$#1MLL>;q>}SKv`j1GfHot+y z_n#J@kb2;^@f@USeeeldy`H&0$`2MzI9A7TphYI~Hl3pI$>ieVUIB90MV21p+uAJq zKZ;p5r_iqpC#QellUB@sZ7W>qI_Czikcr8lT2aMRudr#*7%XL4H;T9SPJ;7MtVhnP zuR8F+n80a~85k2}%$HB+$^lI$QOJE`XKpp%?Jp}P^a7?53RHtyQG_Asrm775-ed`0 zFN%lc(I!Bi|ah@r&XT$G`fnS7#%F$VqZgU1@`;oceF*Nx)QB`H_>k-|_1o zCw`*lf2~m_2l1EEhlqp8`PqCMIt5i)EE`C+|L~OB`3D< z@jOB(j2upq9Xan9bU~I3*Y$ znce16l1AK_hCPw0#j>9BQ3CRQmNCHS>xpz!1MFMaw2O+^Oz}N7!|@D-4=tbU#7l_j zu1gjSyHdrvGy-wjep=CfA|9}+9VJ?yr__ZdvqQ9T_h#-Y zkZ`t%*}p>=D}EE5HKEh~$ji~GIt16Ft#GMDJB@pBfe%QnG2#DPJ@-b=AjYCY5#IZg z4B4R|fEj7%zLqkoH`Yc6z|eLlT4I*T@!$-$sfaFA%xg3^O$W74$GmZp9jzB7_O!cH ziOOz9cEE)pq{FF7`}vCPqLiIbEZ?F6zqWC`C+E3Z!(rW12bR_<4eDFwX4I(6?lIJm zT!-28d^cb8t3h_Ke`Q%PfS&x{UQxz(|HLno+5ZE$Ai6O#^7=O(Rvm_L;&>}=ecXpP z%AftI({IQt_IrMFK^ak7^6Xm0D~QHJ6o|E|Vx=~}9KYuVeJa&Zk5G)b0}qa&`Ky7% zT9ohc@{sSo1JEDHnEyY2%9^~pXJEp0LzL=*BYhrO`sJZVX9^+?B^`NJaxOo2Q3Bv{ zjL*=>u8CjJd)X&J>i>w|;iw?Xx-#{nCz7mI&rs}zD4xK^ZqosQJ~A`GfrJDq1rHs6 zTz;!@d=~RdneZjp-zDNh;*_oQl7!e!TDU0<27c0?1iH;{&L}BtN>E7Cw@y*XIRy~e;Bx2iM=c>K`I>jN| zT8P6)mvOoNv$3TuX4l|s)uHILAT9UXte-XYTie^#h@9gX@3r$oyH@tHu4VO-ve1~M z-S`Si%28X$u0N@uCzPqH+g?U6>qcWx12O<%Eg)3dKXZB`9=Y5URqqvBvo$l!b(n9^ z)KC?Yh3nYzqM)5z!Hb@OgVIB~_I?WW2onlCJOnXVOin7f;fQ!6KL6*i2!M{9fOGuB z%0-Ospe{6uE0}6XeBqP_#~43bozP3QKl0qfo_F zf4fwoMt(=&`PYw1H}yuWO13#>ShmRH=5Mi3ZKx4{Inrxw$29rr(<*5GizAqzuk$#BfK6FAN zo^TOqnWBzX2f2U}W71rhnsXM@q!SF`^` z{%Ox&Pwi9YwOAlxpF0MD_@P{sB4(jewKu@)NOn$m(I{|aq&dLHI%C@eaR`O%;GryD zP(v+o$70PNW5*?SSH0-&>4M>l`jD!{<1-N6Vh^6V=(wc{au(R_U9w z?Ln$a>TqbeRp8_pbJK`U_HfFSqD{%OUPi7Ro48St0%EjF$O(|&Mb#kfppWoCRmOWsj z0>k2E*~YQE%^h-|s<6~+f7B7R#!bb<$Yz#X>aX4}pcIP58Audzwi?1a-h3Q|uRLCD zk*hV{6JcJ65E0Q$xxzc&B{QD5RL13u`#Y{V5sFe3gFKT&uUS zN_H`lCZ_N>UId8X#>@S61DfOWEc7#COlJ`GEXa9U96G&nP{29niW_@lb`}?+d`9N@ zb(tBEFoo>Y$zGR3X=OyeMp9+c*v13X!<93usE(QMxId&h`3pKb4 zxUp9R{v!#q>5gb|LsaYC_7?@F0Z zKBS(+SDfr+tQe<_55mY0>sWBHZ=bUuShw0f$V^+es#6KZsNfymdbqPx);G)2Yb4^F zlikwKl(6@(#oay_QqS++&aOj1Kcm(A*x_g#@wG-Dz5|*7x{^q)ZvSJBMFlqP_x6+_ z_S)Ba?o21O*CA%rkSVrcY|1``FL39)M;WKES|55ce#`3nw_Mx*?ke*C>gRcYY;!8D zENjBo_aWTMz9VA~W7RR4CQPHpxtqBus+|9|xIzY?>#Ch?25+g8==DF)P3GL;%4uha z#4w7Ci1ble2&&InTXXr8>k!E@S+m}|r7)?LwF8z|BKLRYhNby%`$=IYFKgL9$HqL{T7p0`d1OLq$j*Tk%p39$Ls@4n2&>(c3-nSNR#i*gDUR~lln zA`o{{1Vt2(a%e07T3w5V7+e-zQL9};bC*rs=Hwaf0{0v28+$ASXc^Eu~v*WV&&4hZ|o;MoZ*EYiFRFT&>+k zNI_GB0BUjFrT2!UUBsQ|bDv)Gkfes1>aA5LxC=SMgnP|!!;!YwD-+3bt2pfxRO@a7 z8i5L^YDSe!(Msh2K>W#2UiLl8j@GOtVuI;4(E>O*q^SrH*~Po8{LRW3nn?K`DD ztXoB6|Z?m&X2T}F1m*!(9dvquookjGtg zyyA_bDlno>0-u9*s)DiKi=hs82`Tg3oCxt9hkCB3#OCS}tDBVvElzRmKXO-qKkDQX z2rvWs^{jb?S`gOXfk+~8Dn6Jg&x7iovK0Biyyg}-7<4<`Z(k5?HF+#f+45=Ow`4&PEp1RfWV?$$uYb-LsIJA>rt}_+T-{PI4l;8T*jmA800{{DpJLpl!68 zuDv*`b;z6sKb*sdSAMi+XNUi`8}RG2%kp_C)W);N)!xW+bs|kWp!T>|Stn*)qBZN7 zMVL%t=6*Dsx9?l7qF1h=&6%vFmuGzKx?eOp!Hv{H&}s8AmQI$?uDo?S-jtCj5%Z5q z*{xeM12^W^&7ZG+Pl~hD>D$XH;R~fOpp2!0@)#Vo%6n$(o2R4B)Q0MBmy&w}p}m3Z z^&g<0s?2UDGQ*Q)G21=4Bh<=&Ghg*DG>nCHYQY>wP=-atD()NoRjOSfb8{=H&FnMR zP7)NIczJa)ue#z1<0l>~^Z7{$Mc@i>6C)lL7bX(pyho;V;6R9(pP+f5oTiwx+i_Ho zU_HWY;Z0r@VCkN%dSjg<(r0C_pB;*`YWh=Rl*YXs#!R}K)4SyBWgRM{T}76WSv*^t z_7oWmJ=iJ+Fe&eouZifzIzZ-KRSH_4N0ce;XK)~ah6xG0%&w`gsWTYI#pr2HkL*T2 z8Je8XnVk;)-NP`vu?F}PT0D|1W(uK_ z;WO3vQK3`gp!6RzFU@K+LoZ$ImcslhQ)?I++O4HMUS0sh@VOhviFB;IFum&YM666r zVt=(OiDsB;jc#CS1L;5*t;;&cvlslLl6-nHd!mh0A6i}0yQ+A7*AxfHGODaTRhJI- zWjiAEGHecNKl}!A4R2T(Cq`&gDjV8yzQ;T%vV3c|VH*kli8JL;uz!$~>U9z$$Vb7E z?cy;vM%PT#?iZIxw$vdYA(hRn@Q#MgbkQLGO**PWDw=eXdOGlIAkS|Lm2X9_z!KAGJx%(RKEwM-V&jwDiOd;vFFSE{sVtzQjTvq^B+~# z)JPKG8Alr7I|RuM?x?}3C~B#l!sj~Ml)tlV#Iw=iSqy)abn)sY)MQXgi!+KkH$S^p ziBkQBZ4#Ka4~=a$R%OtpVkJgARJ7)@tl}-u5W%LTjOeFnPA)&j9{Xi}Ek~^NlR?W6 zi1`tylfGpaPb9-&Zfhg_eRO-bb&myDL?@Jd+}0=%8{Nd9AQOaggtdB&oF>;^bGFSI zoByZN9OLh^L{vPlx(PVabRq>DxzS>$_6~If9>^Vf=X;rKe%&I8i_DsgU6^e)tha$|PQmnH@y1h$ z29)osAV+#h*3qEM3#s9`N>+D!Ns?i*cO8qI?yyNg_ljS1XZKkItx{hHV=J6!X}h?Wa_Vd4uqy5;#=2ZfF0M~JqQT)j~52e9c1728&HkE2P4e3pLk_f z++9x?EPz(fnwv7HS_hgHVHz)6NaxZXGmoi$} zl-NA$2iCZI+zKoq&i&blp*cYZs#7!6$8rxn@`S-jbZAA0XNZL{c2ghBR+MV}O=4Wk ziZi_G+h-j&!hC0ggW5Q-uVo2><@F`EGUCyqbKhAQ@6BH-n|&iYto9JNvGldkCUutc zpg!iAji0l|QBBRU%BHg(eexr38y*R2yCS(`qJBwR7<-iusQgO-f$|^4R7*1KnILx| z?$4}0pg_I?dUC(P+vxwUI#!)HUMy((dS_muQLgT~QiV%Iyr$UlBFgQ2pgB;C?eP2F z3>H{z9PohEMqT7lrjF*S&nNb;(!rgN-+mRzq;C(|jcIfIGVozUo0}eNa(xB+Ihq?6 z+01lMOW~RV_ERt%AeSJ^fd14slKpuj0Z=Y`Gm590j%>0X3|kY;Dn~e zs9(RC9jY2K36{UMq>P$e+t?YjD`#FUjN#GU&R!;zc>0dye)2oPez`0FME!FTnpsT| z07Q*`<6WRT75J&#B{V<<0%My72s889i+P4TEWZM#r3!j2dPt-J3)MnR#wQcwL9OaZ z>(b$I#o-)?wDpBfAT7*-m9Z(&9Z&O!Ywn8ZfM$G!%F*vK&+lzEr_xuWLU zZeA+&-lhQ~ajDTJ&I-@sDN-M06*g|hZVyaN*mL&aFv#5qo9G1uGmjOuj-NOuB^X%{ zSVhGx*b@!P!7uiUM81Phcmo95!LUxS2-m+wtABYo1ypNYw4Sbv+^Ye4K=}HVLy{n{ zXjJ~xyVHDlM}iVg(XL!b6r0_pT-utWi`vjHFY-0lOp^T<0#LyBwZD$^izrlEH0Tp0 z{Mr&(e)5gYHldC22Z!>~!_ct83e8pr>m>KmhCEmg<0Mkx&IT=+sA5|xiOI?>Y0X%F zkZ?qb5Oy3uSC4lLvBU$^ML z%HFcs>H)@g&fX}u=Mk}V7`mL)r5!U@2iCzkiHRLh4W<$B(5W6J+?kn*8@<@Lfk)bcL2r3Y zNT2AXD2+~2s!R=znTzZYOBsF0EfoKdYZR+&PaI@gTTs_v!(?O_g4-pOWS^wk^sgF3 z8Kg+;1>?M&)>?hKQsbSq)@7k(Er#tj?)}dywFXro7qjsD_|clIHH;ODA!>%aZJ!3? zHJs?}L@CO_@M`2h@J6rd%ViE|c63E&cC8Rmc~`wM-W*y@QP5LJ=OyjI<h{~AR!>*BXFB(l z+frvFZ;?h6q>vP45~~hb78i{++T5jzuQmM!qEst9sJW=@9SP(c>=>egLf-~FHbm?P z%>GrGqQg_y z=DjMV@|!Jl9S?_0fL!E-%UopJM4G6@lkg>*nR5H76aZ{s{CUq|*|tc3x=5Y1GBlVg ztM+r_v|ZZ}&vYiXP*ezdlBy@;_U1Meogu}}h@~HGI61dOPE^rn*~5epZs=i)LEMM@ zb6V-bm&+STH?K&&@Y470ng*f^(cI>|BbYA@U7b zlDAjg+CKQO8KS%v%F%Xv=goln+TKE?O3JcF3@YEAl(-0G48V_7t9ZmEJnZTo_eeS>`7|2-dH6u&+@>-0;Ur0ZoML5GB23pH(5w;Gb*dn zJsE7X8^)iZgj&zLYw?cF=GDKviNJ*&ZB=ZY|F*nPY_O-k83p?tvBh-~iH@GW_PcS5 z2@I%-B$Th~f^`~`x0W=t{y;Sr)}0^N>2Fk7LG|V(q|gVf7gzS7&!nen(={H~#n0>4 zg%vgN_rA&|=Pj$xk< zyb$%k9)wy`xck0H5Hr9-n^5cnV?#|n!YlZO*eCkqbYjjD~fU+)M%hqS_UdryD1 zjP*I)9+jG!kw;3&;6_^6%RyYmJbAB$cISJFy*-oTvJG$fM#7ay`iys;Xm8xryfA0hqL>jKN-K=Nflvy9R4(|! z+forjD{V1^$<4Q^D2*kl+nf=WK?`f`PZmh#-DxlzhS=ZwP%%i`52soop|bNw9v3YT?`_S!gG(UZ{k+~p=Zajgzx{y7J6= z;_;Ur;!Y;&1S9rxiM=G^&C9`luEFw1Qx>JxmzX~8cjD5~>Zl*KTd7MPxx%Ld?A4*H zXC6;C{pdQmRxXnqN6bn9ba<21&%3_dv?WHJ#nN@Sv7rz# zE|OfNVkMU!to)0BVve3}4_9_DO0}{i#`%alqT&ITxQ8ni{H<*h3GE_FawIP#HKRHn z$aOKsm4er%2N&I1bj!j3D4Pv{H$Z^k>5pC7L4#$E%0D@&T5_+N&t-A~Z9ZwaOm58- z-FZjQx+Dh8MzW{gu5a`Jnr@h!rR~oMru*{WK#@io-$2i9pCcBa3Mfl^Xl_E& z*(Q4Ttq^V!q2bFLsob^B&-F zLrt`QThkIGVz)Cpq{7oOuIT5L%IR0vrNv#H=glh4I9lpugm~%#&X@TePe)-<#!}Ey zct_lNV(G0HA`BL9$36EjECmohe@a8$|1kU>QRC(KD@Nc&Xi@KjV1~5c%3-!+K97$A~7W0*<@$z zYwjt5BO`t;WEPE^d&1NIs7rZs91#`+W}ve@QJZm!YII#) zE!+h=;6~_%PjSCX3G}Ir_H$N8jtVl&tvwG@j9Q0bTsE~2eSi0k05`U20DNYruv=W@r?cCM{q{QyBc*Pbr*;>iwg(}Rj4b(Vp3LV4c{UH+oyZ}39n!=8zB%m9%_1<*Ac>xynqo@M87 z#Ts!=tL`Y!qqj6w+xBZZNyp={QaJMfw&ZfuXK=wm6pJa#lAxL8E{Aqxs2!FBEa_v* zVZm4tZV7#KDn)?~1zk^kP?blT7U^8Sfpk;9frL5m>uM}hE8>^fR$LQw0QT{d5i5Y{ z9#_uN38bgUB(52`TtiwD{F!p zrYlm+q=aFuc+KTBnbIqL${Mc=j++dWjynO{vEgt2=_AV_dewgcei zkG1^<(l7Z2N<(VNy;7eCTH^nkh5BL%Wkc(n>c<4zaYqq7_HcNSDqA{ea!*t+W+mQG zk5ZLZ+>hDYmdq5CTjJ{MSah5%ZK|+sNTu1TCQ)N4@3pQuHOUB=_b~kkocF$gW-m)w z@a?$qQT9BFVagHfg_h97sa!&lM!IHasRmgeNKZ9JJ%5k@Ss zK0KJ!Q76l_^xxB30@Ooa(o3O%9>x|lf&}U;Q!1nS>t0 z&d_i6Ps*pbm%4G8u!E~x)HMy@$P>ak<0f`QOX+T`o#l|nYVX4q^b-=I$n1*4EKOGj zmJYK!UW|&jzmNaitW;<0*AR&IpMZ++R|>iYlceFhdt32g*pHRu=fTp~9aIQ%V~)1X z)bMR`Aa}p!?O|lD(j8(Sl>M7p&|0%A*g?e~0Fe=6ru{5{9=Z|2>p zpBg!ZXLe!l@tOa`{0G%~$X(GP1R?Xf4BL)KCHiV#GbU#o(A?SS#nFZG@ z*8Au9%V}{~*&N^>^zte+#VR#=#FygNAT``n9Ju{RK94c7uS5sS9Ni+QPVasAEf|NZP`&#Ge@bxC_p=zP(k)hE|CV(5Y z7&EC7-fx>6;m=J&bWj-c2eRRxDH~vF%Z=`revUKp3`Q9Z{?E@)S+5$4tGt~ZwT8IK zKQC7jz3imu1kpFc5%;Rw{5k3)qIkqHXa%)r^L2iT@LjSF_BfpbZxgS(ouk9+Y72_Mm_Gkd!2qyTZqrX zQuRjr-+8%P<4Z8D1m0E+6HdEOiI~;ZmKYLpWS9E}a)$_Ko!g7ko`m|MB-r}Xgl!Sf z+0uv*$uUoL-VolK|mRAEHhYAXkn}iU!N#O4sU@^RAT%V|xSZ zpbrpzGY(+R#24hR^jsK-+Nx>+AIc2wMWfe|k=)>52|KY`+a0aB6Nd~mDAs;>w&B$S zwIoqE%0GXiXa)9RZ9NLc+6C5#?1(j{o+QS@FlM;O@Ej~@Z>I*RO?#_v5AS!QB52u}NosBfZ3`VS{Pqgb*^J<7C2fqTCWG!JXdh^)>P{nn@mFHsjw#MZeV_=gFcp7U0+*4HKiksXp?gv zoZ6N7r}&GEW~&do#6Y^q(&i88Cc5K0nLfa_xcsrhF@~^0n6E93K(gbW-b^o2Gvi1< z(1kGvx-i&8<&u2G3`2a7`?Icwl4r8un_r2$@uoC)u_)kE<|XFoFeJ;81qq*Xo!ZZq zUa#!dD0bwX_Do-n%;|gjezAIGEZN?})d^Hnmtes29YE+8u;iFEdQjiJgIy8@m!gS| z$uwLks0fjlqt~xs%C?_ZG<4OG!R?$H9h4&_sm1P*%=;*PJL>LIb8Co|xkh+5$B*DY z95iFwxa;66*p9zyKXv#2Xu9XQ=Jk=aregiluHxZ=1c7W zDw5F{v^vfi$@i|gmy#I;!76VByX=?tEBj;IYF9zz;QSoeG>Gtrv$dZ*j6}_c(oyaO zI@5S4s8oIx#*6toA6zpE%5i>AlngRX#trmZyi0m+PueraVf7{ULRb;lETNzE8Y#Va zA5^$o3P`s@-Urd9Au=9pG)g%VXHko(PEUJ#AF@wfDyEEaUA9QRf$AJ?*`^bzRrzB@ zO|9>?gzRy|BNed9_s}VEZ$7LKW3ZYAn(bUF=l}c6#XtBxb zS`SOV2?kS?S_IOUd6w6c43pA%(=2+12HC4eo$)@kZ~NY6f1ye)xs}osVD2o7vmxhh z>^7nuh?Kss7{+SkO+o;0ZlGfQ0XGbR!x0E6F{ZhK( z*}>{A_i>&Pt0v0$%O~BQ98)pj22{k<<(p3RGtxbr5hp%;w!A*xDajHC#bCV0b)Q2w z6~y*BswI{bj2GZcvAQJ|UordV|f;s+)W9_S|5yDh2Br+F(C*Uj&< z-V9p@{0<$k-CL9tyiI6C$u;M@p=H-iooPESsWiF((PxMg?-Bagv39tn8p3M+1}lZP z!YsQX8RgOmsCVfE6m7Le`dbPNXyPxH9`?QKdfF8_+;TQob-mYMw^jGA?RlpEsikQ? z%@A}%ATm9xBkD+29W;VdX z2<4T)1VerEr*lGUTDA$QuPNNe(Q-W0T* z`x{6V&OwsyK*7&TQKMpP-s7ed=PV)XS}dmf(e^?&OII~=8QZZhpki|z&9#QkOuj=w zFVa)7SXao!u-T{|uU-;q5>#7PNV!MtPB9CyGOQn1(k6a)khhxQP54C2+soehvEA6? zVl5TM8t+xu%vI1W=9yIxx3E(OAShrhW#-9 z3reaAMwDU6>s-+}HM_Ex4l)QSqxueOAlhdE6qV^Ws%8B@-4WUAv~kzd>I#3==+Cux zNb3DVocU`#VfA&*t~|MUb4sb}%zKS7iSBtayISv!o$wz;F!S&0lKjG1ZoZ1Mud+*9 zu1w~pZ6=i5PRVt+!VXoZ=dQmjS+q=Blm9@{=NBKKDs4~8qb62Zv1Uaed%|!F_?zLG zR(!VF{YcsVW=XbA;`cVKR^6{GU5a)~;xwi?dip(|rv&>cP-;4Kn{GBYqu|4nJpGl;LqU*R1T0HGih(=sV06IuG~hB7RK?M=lr$@d}`-Afj?KQ3+ax;pjon*(|8 z03zs47Q{gg2vk;pQKP;xz#5vE<#-h`kL+HJV(8`@J_dCQnkBT>9lJHgHOn4BaiFAv z8QvDFc)&JyB?iLRk(Z&sG$K%f^~TByW%`3kAV~f&K_zfrlh`PVaD)Y>Y?!j~x&#t8 zTc+YHbF$h58n1>2HvE1Tm%q=piB!yMPDXv1F7~;RQ5mW&X7q5=PxM&#B>7zo)RF$`CpG*IEb-e z7=CP#juc)^+bG7m*-qJDLyRB&?z#iNf}$Y7FPdM!&wDTNJ{m6+8mmIuZ_czxcm;4H zg?A7HnnY9Y$A|->mgdXmE^Cm;-78HKr>m|r+BAgk`RtF~KKNv1-jU!J$LgZZf!nt5h>%JtzKzyb$#JkIMIC3~4An3+YqqIVz${UaT z-P%$RF&3In0dUEI%l?~%=HE;{`fj`V-|x8=7)pHa9}l^wiCLSbRs{4yu) zyQf+B?f9+QyC|%NF zA6xlyT89$L;EfW>Ldbl1fhH4_TK9E780r|{ASx;g>uSvAxqFbeF8SumsfrT_&|3WT zrFI-hC2Fayj8UZe$ zOM9gvc9;Yb2>&eK8JsQ#=y)Wq`_cdVcRye5{|l}O%!p-a;GxE7w!|x8L{t81(!SS+ z$YxtM5AE4lU!lhABn<8nu&vt{&>1a;f%+X)o!zE&+FJ4Mz4GN+;K~c=7%FKcXP@C` znr7ctqUKd6)@&@OK(<{Lm?RMuUA<#m{Y9;@P_sK<>*PLT6-yOYh{jew_s&>(dbxJu zN*Fh?@bmHq(?qTdV+D)arMg;gtIB4y`2si%{f*m%&gi3c-$2A~6~eaKlHST9?fIIJ zEsOX!@?gw#+hR_wvpjJ7V%gY?l1Q*jhYGab+Sp`xKdHZYs&ZO1?j1yzf(x|+3tNdY+m{O6dHyjbV49Uw%oLCQb3bU;b>G(t; zscGvLc-G4`iCsaQ?gDjSlT+mQ!#L_naWf5a|Ba-=$vDWYn<14 z{mSBRAcwcEAz$1SJv2UL45=7Z`tMFha zn!V0*QPo#m+v>M%d_F`iO~470MxCW_FDy+gt;>(&6`;&oGBb$^44i;g75H%pyy5rk zzv$P`;a~ULUf9zyKGgH%sH*8O(d8ddM;lbIRu_mCWx1$>p zwJ@E`LCipdvU0HhRCGiR-j2E#7m3^bUvDQ^Pi%D-u0r)?XsIj+w zksX$9(#ImO%!CU?>ssNke5}Mvddk#_N?q7)H7ABV(t96c{gXey&zWPOJ`^aZcTSYc zn{R0qEsvopupoYg@O@(;#l_skLUS-AZx5IU_y(PTAlG^IVg*oTf1&V|&5wT0?J_W1 zD)d%|6->>Y6R@D0^u&pEgPOOCd?35{+`Pnt&LfJ*kV$BnhWFu9ITef)aEg51GidOp zGa6v8x6$X<_2C)N)uOBSWcxm$0&+U;uoh@0MGDiXLtHhMq5q~RIPNL3s{iWhEzrOb z%h3ptW+7J^$F#$BDlnqaNKM7LpLTg-S`Ze>UNwzrjPPUt|k3Gv-@YI z11!DOgZy@?xKTY$e*8vA&_Z*b8LpJVr!Tav zR%tngR+2TznHcmSlNwQ>bm2@f;rpl=@5fGtTYXHvppCO;s%*Ai={$7wxdii9y9#r0 zMP7Cd&kw$tfmE>cZ39qt+OF!R?kt>6tTk$COm<()^{l$8`o^g2VD`RjX7<}6{8P{g zus{>e8vI z)n>FfF+K{KI6BBjHRDSA+an6U=%>Mvux{q`J^^ky;6cZH)J^_$yZq6_Qh7yhQylHwIT=pM3}dK4Lx(fAljg%W4H?=2Hfruc0R;L| zJ=sX_?2k(E*jYaBv96j005>Cz8RiSuI&MvF^XK27_P;;0DqmYBDu<0S!w&=wIL^nP z#w=B(yU#oA9t=BHq)6bZ<6Q5f{^#dk`wmLQ432#|5!8I?)=B4 zQQ~uk5%}4(KIw|%W?u7PvpV)fV3D^vM{c3A0aO2 zLnOu4nm=IS$rfOvX{=9j?-nd=aU75?DB2w_LAL?*H_5CufoAF0(azPG?j`+^hl_Mm zuZU&qRC#*f@R7+f_x(jz(++tx_+6?Ao3OEHFyLIJLs|9&J;qj$7b{?tmt4|8@e9Q= zQH@MJ>MDs-+vc}%Eo;1}V;Yzw^>mF9v%wU5>DU=q?`GP~rA`_ZNc}_72hRVOY4{~; z1hzkD_&u>iRpxy5mZ`Tm52R7$_Y@C{JsM*Mx+vn!!k-lMHX%gvEfR5gmMp9pRRpOl zASjz%G5Tm_tZDi#5!c&MF%I8qfJjsH`MaA2PL{1`YP9fcY`DJ-P_{pLG(5wjDgKzT zi@KtiXHt1Vx(cniLHcqFHCXtKJDikU0Rqdn9imA_Q4RJ0zb8mUgVM(=vX9iWk=vzwR2|5hGoZUPR#Md&fljD~_qVxFmZ%iAKv! zOo)q#C)MU!mE8oVl`y7XV@ ziZmiqEgG`+UXJPp$@R25gl^qp$LNWxv)>67S_)*T!k zrS1*#9rxRA5useRkH@uY$JaoVYL{=Xc6EQ?qb3{s_L(N89pBfYGI$y6o!^JK4b^l) zaE1U=svYs`p6?&X)A+eAw5=TGCFNRbLP#1{Z1DI9wxAg*8h;-aoeq+w`XaTdD?Yrp39>#Yyxdi_o4u(#uVS6-d^P`;!^CKP{ah z>86Qo=Cek880j24P&-$-H`AVS82utMGlRR{@5xlcEm7aCJa$J;;hTw>TvWgptYPVpvJ*9iF5ysePgZkp3XOf3mjGB73x|Emm_=bWMG*{q8Xl_YfGW7 zkHi07w0g%VS@|6f@4};RriVU0kXRLheYT5t54}A-=j#M5CqXnGn)mX$^5gJ__5774 z^5A)`#${YDg^mg5o z6d0F-^Y_Rz0@HjlCsGtJtx|+Jj-dcvs<78_Nd|xXQY9=TF>{KsUXt9G(czbPsX3y@ z)!NwVfbn$s5ym5eMav!0_}t%Dj1geP_^cqpFP)!^_>{eyP{^H)@SDXBeLt`vZ016rs9(1sP&M$P z`t0}Bof#?sbX=GiLp+l;+}xvjOSvmdqhN`7RU8$TCVdJr^fbxWf(-km>X7^=x7Tth z0@+cfVb})mB6y@mlT8a}Inil9ii#rz26t_Gz2NHkTJ1^8&@}7Y@~}zejMke2r~08A zeOja#b2mY#a)SFU3YYg>cYj)bKrgljy!BBu?D%PgtwgM~)l;HAE|QG*-p6)p&+q$O zV>o)zmUYZFoY?Fvk%YBN6cpps&!Uql70Nx zWh(?F?`i+cTX|(>AI?gKz+CN8)3jIj(AU#9E{j5l53FNKz(`vRZXL zSk5z*8$Kl$~rpZ zFEu>ax~<#ctfn|06_v0}_pm{hiU)8`j1<6`CdT<2f|a!9py-k$IxlMYu+ywAL9FUp zBoMw*S8KwX2}H0gCksnjXe0mffj7fcEntqJzdTbtX!3oAwQ#KR=V^S|8a{b?(osdj3^FUiSN(NwFr6>n~P5O{A=Mubv5;p6S-v&be>oIqhf* z3Z^!s?BJh*v6FN<+EZ2Zz8UQCnnFnJm7#CCJJ1H0-o~H0duXD?EAp}>ZoGmFj85iL z6?||Js=^C^PyxzdTeYiL+VM6Q!e~zojqUB#2j50rY4;8xIv$pfX@2T05C-24u_=*~722OfA&^fR(OJaIHDIy^cUAGh~w#Ifc8mFHt&$lbbBcz%g3l+B<2oJkI7c@;4(2BzJ zxPyL(>-;!lFE!PRL=#ChWv2@9y?y?HX3h)a8Ie2kdQ_iXiL8B~J{DICQ%P`LGk172 zV%0qltejg4UqLx`xt)b)s-6kX!IztSLGBqbTj{B;pn@8`=|-?b>8OP^aEB5>^+ zRjUlOb>!Uf{7c0${=XrBJg#I#enWVmH<8JhdY-`e94G0Ko0XeQZoK zRcw0u^@%3l#DUD+&e$!gLU2S8wvd1(iw5<(=HLgInl3p~Ma(ZBu00mba4C`tA=ddy z)4`Q~AH$sI9x*ajR{29W-)e0Pu#GgF#be(rJf3+WCtcpMld}R`;@pU;UkVDD6T&XY zQ;{Yq1-)DPJgc)!6svqTiMLr)o*JnRBZ%Y=*FcfE+cq)c87WVrc)2-Mc+t*N5L3l( zcyC7X+*T(c;ZgK~(R3oo`=%Egqe8r!V9l=Y64PJ4!mM^pp0Ld^(Dx~KV*z+8r%fk4 zfdzf(O7L7?FXe5~0cmG`%Zb=e`iXc*ZX(ew)Q4EZ-_#D``bq_qBwi~wJPG+&3`Pk* zR!&FJ$OpDtzaJ;N{fL>f7sNOj#qWGnF1Zh&A6D$q*d^86ap&oHpp#kvfZMHxq8Rgj zS4jadC=|+l*n3~-slBF19OAO085M+sq3xB^8IY@RY8IR`$0+7`Q8zC#^wvC^^Fa4Q zC%wSK^0(8nKEJMbVDkQ$?t|x@2X*$-^}BuoCx%tXyr& zzJDP)QX#7|pWLG%LWbj5#D(-5LgqNjP4V9WOH1d^?TM+^<}|^{$%Ol88;$8&of4iH zx4sd%gZlaY=nFERDwq3iVH%{Z7V`}n-p}YKZtil=?gJ0$oAZ^4B#D>PRlw4kSkrX9 zw7%?{&H`4aOh z$WcwyWt6dt0M6s5K`Sl32)jSv_$wF>e$J~fX6e>zYT{)>oUF6xfiD)6UbZ_y6s`D79J@-F6R>1jp*3!d^*u#6qBOQeHLf zc0bFnf>#;ALZ>CLHLgYiOy$fWvo)$44oV~C)lL+^QeOy5$t=fNDbnRS2{-2Js z1cDpUXDS~JE{F>4y#Vb&yUxRs7V#MY-6)c$eYr^HP3zUqCBx5Ct)W_H)P5Xum7K+2rR8}PDgJ2f1vb1JH1&{j|qQ*Z6)y2CA5b8S}V zYNtA;mf1|}1UKI4mKx1a8KVlbVna3LSx49%(v*srqt zPs;4P&&#?`DzYG=6Cd52gr*zr!D@!BHR|ew zscP#hVUl&mgmqE3W{ME@XHhvL1y!DvsXRktOU(N~iay(Yaj4Zv%!A4zOnh!*BeG?C z1fDLQYX5~LU$piYYQ+-k*Wn&nV^?byXjR)78I~p{jwcnHU)`()_T> z8Y*kZ%dY95)KNW7j0IrpNw~Az=sxy+j(EST=ZFOokHe;asOPA}^C5!@HmIz6@;Rrl z3}1N0yo;_u#H7@@7p5WB_8?lE?Np(DD`o@rlqF|B`BNW7Wf)F=FQ(w9R7OC+wXc)# zxqI1;Ha7Bk(+jC3^~jPJJR5hiF0ROhT$m*wqw$kY&KkPblfEp!As}hWrdqVv{)TW{ zeXQC$O(-X7_z9wT!Siub7%-qxGBY%8Tl(f*Q?#z9iU{9Z=a&y@R+0xx=LAy=^HwwR zvkonV)M963JK{qjLjC75pd1f5jN8w0qLdyToxK);YyRRcS7lBFR^E!=S6;}o=$>sB z>vNB~IAcgC4z7TLm&-`aw&G(VDA@3ElZ~qIyy15d_fKbLhQ5ZPPOo?5LkV#;Z!Xj+ ztUH2V=hf!>l4xBH8L!`4lGZyJ)X|rdZYYP4{_!*AS(QA{tprAHoDaW^Y{zRV8S2Zh zG#8}1JYPd2iGkTf4cLHs`=V<uSda|(Q(y7Vhc1PSL&x9{6|3D)DMFQj;(BjHXKROhI(kyY3s1jDR}jizsR6s8 zegi0V(PjLs(r9V4QW%McGGoU=yFb9ds*a~q&P4xEtZPs^?ArJ)z3v$2b@M1+hf275 zFd8paH2iaLr|PpkYJ9fG)L^Ct{cl}6Ght_+H=^#QMb#)h>ak!qJ70zFhc(I*P6aPd zERTPjdkhe6MBS*V(jb;XN6Q$lpD2-697%lSUELEUGfp%+P765-F! zo1WVCm zrca0(VoLUPicqfFZ~TTZu0C=Do=nr&OnsypQsx#^9ffb}e2W+7A(G%VzWzS|HxCR` zKYK1?N>b3Zx8#0tpmtAP4IGDB(8rk~T}EpGBs$u3pAKy0|+qnErh zUQt>T@gDe-h!|SQ+KK|27hiQw{yr1^2aWwXGl#FGjk{Ae{QHv>(+-jXhtyk>dAwOC z7zQ2czrc%!Za|l6_T4yd)9_c78Y@XYhmE<5w=>J`5`>QL3}L;*U~}^PIh9QTqNJ|< z6X@Kzqhcm}=O$9YgZgCY8mu%Aq1eeN(S8T?HW*vGI$S``M@1g*K-a>_B*(Ld93dnB z#dy-wr(owB(XSJv4qB}&lP?`i0(jyj8l{*5=?SQLGb0E%RdXViqO#)%NfijSi~^7H zMrmA~nk&&(Bt7gA3jmPWW7_6^+^%k_RQB=@w;Mxhh~>g00%aOsOUITHn(2AIx@tTo zhcN}GaGv|juR7aMb?Eu^-RoT^^Y-)d)k%pn#e!~f*@^8j6LEhZNu)3PovwLQ%6mzslxSdt(X>IjwH57gM1CPC z0%Gap<492xnj3pq<2wi{`@6?zMjLO7qzE(BD1131tCi=(z0Q(||5LS0t)Ouaut6sE zGKD@gx@r9C-!be1OkcTh|BZW#7j2pmiDlis7vY=#k*?8?#=Le_8a|khd``4b8%_A! zv`DDoHV5t$b*6x)NV-jQra)*;n9|my%e1^FF6P9SROuBGb)C`bx^bFe2ijUoJSM5s z%rEHY9=qDHZ6E7cKie~3@E3C@-O1o3uPG_$bLQ1UlsBF@z5zTzFdV3=|C7c@gT-KC zK-+YT^Nh|>6k|ORN_MvRU84-TQORGkDreT~N@C&58~Kd9_4SU#F$Tot&aeD#{*EOU z2kK-k>U1sU&_j#l%FZU<<|* z|8KEUG%tNC2ji|JD%mHX$m*|I#hIYQsG10U>$wWw557+~<^O*sO4(jZCVoYr$WLZfPtXeyHOYWfD zn@Bh|+)$&mfV}8~ZL4@jdy8k2Ssc-*OG?8s?Ls=pGgDEe^qwjj$&yizKw&tVq>sE! zlJ^dzM+B+_NC0FO{aCUcE<(?tGgGUuj+xs)Q#x(ibiub5BrEETKj0;8?i! z^RB%rN2lM(D_X*iw5RQTvm#Z2@m6;M7Ybv+99XpcK4qV*Gf<6!?i9I^ay4qsztDvZ zOoEm5CE}xmN?&ilBT7!4d;K>W*^5jC%~tqIf@)G!C-H`jq3C8Y#?r64>bIrnhmw1M z7+gL>r}@Or!KZbe+tCea5?0oS+ATdFOz-42BV=`7sGL`b^ppZ`d>+S{C#qRU&Lfdx zNr<02QOgWFs*4TMz%EUOVhnW^L8`spZi2vvv-tamE6m>NXB*p1Mvh*hpuF{=)y!2$ zglM2;)PeILU>oW8QFoD$=Ph%y6eTM>|H<2t#$UaL&t(=jezbMwg`Srd@RJT&$PHsWtVg%kpNy?scIu)^;TO+` zY(bG~#Bz+`!vj>;<2y`RcJ{0|lEH*DS{-^>G1cB<>IDHAxRsA7q8W*JNC_3tE^Lba z+P88?*CtI$J)Qt=40Dub6BmC2{?N(0yCZu_946tpU%D5|(mr;`a7}E(o=9=Re1_inC}Gl66&rxqkm;tY175B9{58e1ISFjD zk$!Okx)hGUId{_UGyZe8frgCh>LU?xUcke!>x}jjUMS~5AN^rl*@mUC#Y&TKL-EA< z?LrTG`r!T9?>W9)#}%655?+r4mW@z#*9&Om8~=9lBu1KnO@6Tui8LILiGe%P}CnbH@; z;Ls5d7`sH{UINYCY~FntPVOX>U&f{OD+W)amM~pCNy^7iyjh*+)NvjbMaCO?qO&kdQuXGnHm~O-3Ww?Pj7$lRcs2 zIPNrvb;Ga{`%i|+Dm+Y`ESJW$tGm?B-e1DaB~5|E1C9;d#Nx~V?frI2!ZUNxZC@nO znev!FLq&ha_JQ)t&Kzzh@rz-yaMhmP>$vxz{sa^z{$Tj^&-9SE%v?yeQnD)ZTls1< zvt&I>>+@T4$qyx8uUfR1J;|&H{kZtrfFDql1auaq_)y7VJgX&qy}!n)@C6$IEAV{6 z4WheAlsjLaPRwI9WiCU3caG@nd*^SN2KPVOc_L6P`ev?a-UoG8_Vt=T#hcu|FfifsNu)gbY4VEu7Z*V?LurD=4ZvpgkDYD|m{p8I`0 z2vOW@RVd37a|CKs7o+tMW~v0SrneTp+=R-wh}@B08&Fm-;TbQrAW?dc+_Tb^=smn- z5TDg}MbnVwC|4bKLe|Wnjg4SJFXvb4v#|24c(TL+FjqDaYwcIP$D}>)*R%(ONb}rcZq;B6d4|EbBdc_j9^V)+pZ;JZ! zpXB$W?#eM$0hKFKa$ik#eM{nFy9Y9qgzt}zPm1yl9)zVG$?v!gk?6QnX2E}s(OBo| z(G!+hHDz*6EJ?`Sg|~l{9%`itBj}A)aewUvEkK0s*mBy03Ek79%YZm}GS`z^xteQz zO=q7P@FnW4ZLzB9km3A}U>0ZcESz%qk)!)@=aTII&0vT6{DY^S190n;UfMB7kOVKS zp(6>op%-g#R^PR2C(b+XGmrcFkLi5Cj$o#0Hd?ye6gr#VYLKn_gAWbY+j)A_ty?Nyz3~>UswxwsD$|UY}PBG4`@F|-=Rb$*y&m! zJJP?K2d!4`{Y45^%(&E|zk~yi0h!Ms{Ky<#8zaI(ReF- zISkgf!BBj#d1_PKpVvy5{%q=XOg-u54X+@;p{N8{%Wi-gsMl09HaC3dYQ{RmTodhJ zo8;R9(n4ht`Yj_4uB%dwFR2;;|Kt0N_sPkBu`Dwb?tU({FMZpO%tA9wZGF&hinYmA5E&6LKm6n(7tG)pZs1067AL53vuDjGLmt#-(`}UYKu^{cVRHEYheO3!#nLL`)M@ZS-!LY}b2Ik|1>N28*+qC-YeUH@9MIcYJz}YDlJq>V~Fs zZHBMbS$wSRQQ6?xHx@@Kc-!xbD<%cVC^NvA&jOc98kWF!gQTcgS@EO&+E zH1@+so(c65P0y|;sGuM+!#BV0t z7JO&e)E**NMWykF`rp{O>aO)Dx?Wj(6u2ad8c$9qJ$^u_V@dpJf?n;&|3u!4d(~f9 zkuCXU#>S}!aHPBhx`?RMH5|0f_YZO0tq~rUvmI(vZ7&i^r|b2wQ7*i2+TW4?WgH(5 zH1O9{$$^nQ`Hj#TjKEv|IRPfaG12)BLa)3&{EV64tWRbnl3tG@^~dZ1fY5r$d{X^| zqZ8|+1BE@OR0=MRO>wgofrf-N8-=g(W^a^R;M;hcW zfj8zc(y3c8Z8&GsXgc$lt?izPgldf=AK#XOD(POuGOz8IT~3y@qTdh*kuAxZ*Bdf+ ziTCNdzuR@jh1(wL+6yNY{29s*V6Eqq7i@fyKc^E?nHB^f6{@~wJ|DX23S1|2rV!Wv zh5}~jrB-u(2L8PxSk86fwne@`fzrGK|D1E=B42c z6t45rsj2a%(emS`+fL2Qpc>zbnQa~O zRTs1ghSDX~h<6{gr9Y4ygZj=!&d8*auM~E#3|8tqfFw%(&)fuwfc5miTQy(9@zz=s z^Ihj!ux=5S$cRk5``%HyIa#qa(_S;zvrF<+4jCcl1L?NFZwTf1swSr_(Q;>-#jl?l zWqA2W42}LBX6*1!n6Z|#mg++C^iKkmcbpaYwlv&C&AyyOFu4$wMAeD})ma{sOql%~iK)4%>t;2kDba$% z(=c39EUngjAVr*oRRTJ2WbAd|N_fc{)aUR((K&$2DPRgYCUB;FIbPZfM}k^%C7baB zc?AFR_VQMs9)LmR{Q;Pf2skGdE-Td4n%8CvpZmCSmIDqDy^*@e^=d%#i@_1pMTf8i}J2x`knUHA} zDS{KS8u|J}``}&I1dGlMTP!R2ZHDiyJ33cPz+7kY_sb;!G7jw|xvzO#yjjCyvc3m$ zgJ~e6B9QsHpDT~?<5mBLa0WWgI9&uLQH493b0*j}EBaS*I{FxIi=a;Cwx)dO+fOZK|>4WZ8o zV*hUn!-D$YrX~Z7tj`~Mkg!$5>KNL8APYf;a^6h>#V_UPQwjQ9TB|o8JEKu*Mh)rH zVPc$7Yy@=NOun)>+sl06-GaqnH#cYW3rHi*=}NZBWLbiPLULG=6=Yk-_C93z(+851 z?E_B>^iGNuKGivOZ|@dv0czZHQ+cPr@B7uD^XK)u>huliYk`V_(NRNPYZYf>>Tm*u zd%$7-alXgl1M5>s*lW{+Ln{abaz9)|w!clVgl|D6hmip?vxmn5dXP~RFchX*lPus!MZyj4C6#)O+g=l8uBGA?F z9C-6jM~Ji*9O&4f6IJn5Q!s_cbd|{b1juF_(i^n>zPlbxztwnsrC4%(NaAJ(a8 zQt^`+5mt=ekZd49r}jd0*!YlIolvziC~?u(s*e7uktqMBRYSY4uTMKM9_Zh9-x#2C ztxMkj5Cv}r3P-QmaeOC)Hyem)e{o{h_S3sBnLbf*BUDc=^(+PU`FuSt;4k>{s@quI z%W0(7c?^50CB4-@_c4biIhqT>gVfTAXlvbISfWsc#H&8Nabw7HDNjtfc<+35cNu|0eJ)THg*-Sm^f)s_7$ZNV}*o-_cEI@7E2r~TZSEA()|-R1Pa$+@<^ znSL87->)bxf+$JkNfpd?I@y*zjJ4ZC{qaO;!2V(}?MY6?wpjn?h!^XZus`IYedS=XAoOaih{jyZH8@T|X6Rg;Dx?VvO;GYp}=T!+^^U zH=40);%3zW)6t{avJ(M)xu?xAW1@D@9FtSsH#tTE>h!FJ-ms>yyt__L%zZ#%T|u5E z-57T+vBt97(;04yQ%-Ph5%#FDVaQ4;_ua|b>x7eHKSU-ex>lxQ(pg2}PSWk%n=NGM zKW2nWu^uyi0I{_1&JQ6jZ$tbxX(EBrou$A9Vp{Z9C`owWt(D$tn%mJfFIBwR=1`0t z7Z}V+ng;AG(}=W^1WB#leNrVI6B;)qOZ@ElVR{2#ui+Zbc9%lYRzMSqu%G(%;J%0- ze!(9*JO_Ul=h*xGAb-vM>I-Shb`HKKUa7%?r!g>%V6PVSO?6I}E&5N@i$=#Ur>0eK z%a?{Fr`@z|p3FP%PzD>$k8^){w<(d{{nwcI|IHXe{|6X4H7!+o3d&kc1mb_xYpDp>L+W1RjS%yZCkbKSmsqJS z^w|2kLdMvm3Li}Cf~=V4Zj{=FEwv?cjTjc(FjLj>{wSzAFf z_pY2F(E3PCijBPkO(>?d@F9akD)z^CEBd-G(lX1@+*iY0nkxBZ+Q)?sDkDSLH}O(` zafxr${Dt&Gk%e@AGBj)S%OuV&8}jxx@oD1m;EpVisqpy4iT$fKxa?*UI@TZNR}_}a zEG6>w`=3uJiw-)Ls7Emmi^7KZq<4@(M55Iwp@J#f>gsT7G~)Vq+@#3zxQRn zbriu=y_v=Pus~Nl`J030Gvr>g_B;Cs{Cm`s_Ack@#5Mw9z5Wh>ah&n$9^ZuzIB(3> z4c%>?)kd05r2ds{QNGpt4Z4!qze4yYJUUbE`2^9Nv3l%bZ>ssd1kK3zp7gIh*J906 zaZ=D{P05Dp&~+>_2%(>mfVmWJF@Ip?vwSIY8gkZIV8v(xMa5)oDHd5PzgIgG>r8$e zMCmfXF*dTD%rcRB(Dk*T4hQsjJ)6XA#m{AQ2A-+a>@M@MU<`Zda9rr7c>KcxZLOM{ z+q=S@axG)ctq|-)>W;&D2Ewhe*RmrwY`~sY!=#_{!GWHSbfxGWpnu?^>{ubYFFD3c z@nCMI*(s)uCNOXhRk0(dZiq{+$w`pgez?3RT9oAodG!heckv<1HC+bR$HnuJ%>~(K zr>b9SXI+g19NZ<^-V+L$IQ$@h@*W8RA)i2~Q2%utNf10@d~I+VzUYx?$#k0y)-Wg= ze=5^58b!8!54v&ZaWNt=nk#!(d|Tc@so~XA2#%Y1eGMTEBekG^q{}A|Sz)diUJ_Zh z18I9@^IPS*`rn1C3g93+^h91OxQ_k6XU9ioF#E4dk{O*xTw&04;Hf=~QL62VV{M_?0dCYULl*$OJEs>O~ zGD2h#IhWQ-sw0+lb38tqzJ%>p6Nvj~{noU3zM>#67ig>*{L*l58;b>0P|UVR6>m4KmXsxj&KfOEA3PWNa+fGj3t#6?mbY2`v9yq^Oxnz1k$#1A)+4YLu_!BN{I? zvTBfy+rw^}-RaQ0x;qT;Pv0c>h^3*fqV_r}XdD#!iHpZO-^Rwv6e1etOF#3r_nO{; z&JG`~CvLmM$M4A#b}o5df-WJoOT1C2k5uZnb9-oqpS_hi40%#^7^i>T87>}a87sJ zxQWFgaBo;+pR>0KMWZ2vsscD8ZUVSR=%P zx9wBR$DDj}2|rqmca)iqYXuz(1>ut>Vg7lKHfolvt&S(7KfzXm#6~Ig=BSwG=UPDL z-Ip-E+Az>Z$-ARHVygTo)8T=Wr9PXD7~DpGvQUj~G^B5x&MDFihTBK=l27EmR%l8- zE6IA~xluevMdY&f^*+1|-Z%OR$=R!bQ z?Y?f~gg;)Ii`}a9fo_jFNd-z&7cvYr6ImVHLMK)_2bo~&W{c=4*me|1AB%Ym`J|Hj z(@w)iZ{Q%OOb`&7Qz!f`G*|S=HH2i|oo|RR+$*Q_zYwDeRgtc1Ou)oN>8!fOcUIC7oEs1n?b?i=CT6GdUF$rzvzCE)PolYkD6^11;380~ zscdLzz&rU;9Yb>A=K>tr2SDF>XB%#P2`zntPH5MF19sW^ARJtvga^b}aNBT|b%=zM zXVNa5Oi#sLC0^IOvqIKPY7xAAy=I&#?vg&B>_P}W_g(5n20v+a?Bo)s0bW$D?4nIg zRY9C-?LhqL$h06adXiK(y7Ff|;DiN@xZ`&=zDat~V;fcg&T9m+AGcB?=l=lltMqne zO9R*KH@RHIK~{K(G<=~7;x409HR`GbTcyzNWxYNmdX%9+^^2?;p(S5~mm&^uzt%e?V6Rm?tRl_J$1>2=|?)nlHQ zveECyQrrXV4c;)TW;K%Em~lH+lpW4%nZO%)qF>R4yeQp_c^H`Pi#hBntYNOK&-ylI zR)U=rtQauXz1Ds`C5B2JIlYSI%*1$;{Dcv3w!DC*|71X6`n&vx=tpD)4G1CTfdNnp z1Ls|p^)bE27P}8H3%gKWmDAIIDT@D7NFI2=B9%4wU2QIf?{kgaqGqY1lCbyTr5_q^ zB4DY}XVO&O$f{1qrI)%RYwr492zQEs-5ON1emKOu>%tD5YpSTP`m$XzW5)D+@x?@CHXD#y zMd!*e&0W|wFs^>pcYnom4j1!iTPhbH;L{XO{uebrKv1)92COSP3a77qSO4z2cy3l9 zBOVftf&f%*mwO7bQ?NBELt6_B=6oyVP-*Ubmxx!;ewX#ezxkK~?J{pUU?Sp7LqaWkTMrAd&7AF0RvojSft zrihxf_O}feS_&(!)^2yUOZ6;oE=UcRD8g<>uMrfgpX_HS zdOiHP-2hyn|IG!j+WgCrsro^@Cai~7#U^x>dKKC1WM}FQ>#LrD7tq?;;PcBjqsGY+ zG-uXREOld1{&K$*7h%wLez5E((Q($@wlC97|E&1c@Vv~|F81$MV;)fS28i#TuLH4E zCS}d*kHHnGKU?h$xV|XgzI4)goeSaCWScUan`c=;S@>FX=7hJ2<;gq9spoDfA^{=2 zb6F!0hGu+d?w2-2f$6n+Qs8j?RlI4c`$y*`EK@rcU_1FBsWsI-XtmfS#w?^k{3NQN zxTK`Lm5^{K$UVf-70Akt?*P=xC`l^+xUp}Ai_G(3Vh_QX(g4)vFVilf_l6}PR>`{C zjp2?fz`G#%aZzN>$#qN)QIo?8E_7olq@L15943NQ)SoAwKY+Sx!^A-X!$*jb=(I2% z)?{}5#Q~PDzc~~1645(F6UOeo8tLfse(T$?lXk4tjUPw_qT`lxGI@#*V4Bpb)oH2T zo5*xJ0q?Z#tQv>vS>ycD?0k8e_}PmF93`ZVsjdNDit|wlpc9g!>;xEkp0ejt-~q3X zjE~nTVXMMj+foO6iI(PvH2L2|w~mj1*8}j~=Fcqt@P_H&eu74PP?!m9(rPE$61+*oUWH!3$`@=BLsE%rNXOpj%# zJqyYh!cZDK+iIcDzU&}8Kj&$|r?KQt_u`AMIByB#@_}VE%NAV+xCKkSVvbx^X3maN zN&@s(={%)*e{f=~M%voTI$_F|>dHFikISMJZ{8~rTp_68|GWbMF53U*1DtVFsSDiT zDH1xBqYhl?>0qs=ut0!>jQSQbdHv5T0S}(>)sERcK(Z(CanLypl(GCKW~20@T8lwO&cNJnQHR}JU6Cx!TUQ0@uv04`gyq7K=)ch#^XT^eH0%Gb zG;(fLEDfHa$^V96FaiwCa=liBX;YdEOK-N_ldV8SEy&Yg%Nv(bYxkH^vuMd-}|CRB{trfPRmoJ1`nxyM&iX^Yz^{lEmUQ;G}Vbm&dcMF z6-9%{bH%l)@Mx|nD7{iBpO=?ENybb%NafGz73t5l6lv>=`MA;49%Om;AI4GuVG(#r z3S?uFGo>IiTAGkTVEp<}nZ6dB9)Gg^tj$HQp9%|lfP=aSF?rh*0AN`PR}}YhUSfBt zD`IOX!-}@JCMK*G>Tsf;&H>}VQN`-#dcHdA+fUN1R{tONz5=eQbnX5iARyfx64Kq> zUDB=69J*6PK#)!W>240)V9+5U-5}DbBB3Do-#9b(&LG~4_nWz2{4WFZ)8pCu@V@)4 zXFY4J2aKVmbx)kF0%omLQCd$WYon)WKjb7XfL4ElIWe|9NsX55v+I}0)=Ex$T;1oO zdk70(L1LUbrMEmVq%P>X`1vc$e+ib8Lh#&VJL;-!KFw0mheJBPvn$giK3A4h+?w|= zqAm>YUE71uk31P(Ij1dt1wG9go*f%Dc89nGElWx3sR9@_QHZU)JJ0f(=^^e6t&ZOx zZF;yb+U``kd+<7Ok2CLT(*N)ECe!_aH`xq8EaSdRH{M&TSv&ehXDr)d)nGC3#+!&5 z;%9UHnYX6jYRkKvQ$sZff2Xv-x!xa%K4lo4eDJ!achF4{S)+$5FL&KJU$uC< zS?1d8<_9RN5Cu4WR)hJ7@MV!c*eUhooX-#n$2L26sgDnB;Uy*Rj4sslW&C4zs%vXW z&4(f_OijAK*XXw^p;=#`YQ#(e%CK@R&%&m1Bh1Y(2d z!36h2F>t8rHwJ5AufH`*88D0LQ(C%d3~14EdxeZ`FD-+IU7XucI|5YPO&k}LS|pmP zVp4#53`(16=*o3e0}5w>n3(dPGE?-O=j%-pFcn#obaFhDP!5gsB2q@mohC6V^DW^sBDS^R*Zcg@)iJ)WvxeL)AsG)hT!S! z3=+RYF_F1H-`R+D?k}Vo8L2H_0ABOdBy_4*fxd3Dz#&bWRE!0myR+%2s5|ffQzdkbaNb=__cnu!fNSB-~BGOU8`H z4@suTwG@t8kUlBxcy~i=)7%8v3b zre%z_F5xQ=fIOW+?U-V+?8y60gHw?XzPkH>(0!LNZe=h0*~?$3-Q9t2z04P2h9$m& z=EFnMhf^Kg9GqK9nWz#Ui&Mk{8iCe^;v#73$JJo9r(-7J6<-*egbP-S)=BqRz(5bo z`J~F{-0{Uac4@!kQu5~c+$7B#418td4%04*4)PwtO1_k0+7`IUp=I2u*gu#ajQy4? zwfP-cRRIt~KLw=7fK{WasEdQ;%FbFm4nSOAktGe_zvh{obh-6KMjL0oe}2RP2|HD9 zvimX~FZ3!I$z;h*fmR;@xieR%Ra^e5tt6dY^G5F@%pUe7!W_r0gIr*OtNh6Ef-xuX zF5YIOt!P*W7yP=?yz*Mos__MPGHI;gmmQgLOGYy+|AIK%1H()PP3HGrpxHk-H(DjP~8NbwMre5bB`63E-ASv@;Zy|3trQ}tsUd!i< z-`)G&i6Q2K3|ZY`fbCJNF1_HF+LOl6M2?|nSkf9NjJ28h29%jNJiA$H;m<;$I|Vz8 zt-tqxNoow(>{wx3c^Qc}NoD;&r)czcHlL&0gLkG6&b&Msuc<23`3R=$-K?tQD!PuZ z(5aBFAFX$InE}j-MQQw_)Ee&U8Gd4=liT(3vPdjltCD6BZo)jBo=Y2Bnl6`HyXeoV z_8|Gm~hJf`U zcZDdSJoy|&oM>zqdWt3{U`lGn(B~-2OF0^4ps(BD<*^a~_!2g#N-rwCOv_vS7q+q& zslPG>FV&19TmHK=1*$nX^gH# zigqn22HOEjOqx8|(W@F~NXPoIx8&r+h1f3Yd1kP=dr8IdhHJ{qkhacL9YCAua~yX~Iow=JH@miOXbpS{5T@+o-wS->noz--KnT=eIikCkZo zluK!G$=?;<92#ZL4Y#^dVRMs~3yaeARaYJL!J8OSCa{A8(qi6VZ6;?o?x9UhA@ZhR z9pmVoZp}^Jj=N(?%sVv9WJ9H;OOxSt0+{9cAQsRK2mk5%ntxWTQw2COWCZGP68d1s z#g`Gqd^TwnGD10%RxYzFF^pAwpYvfZTas~k-8i_D&~i_Y__1YI;NIr6R19KbmfJ~R z3^w&vNQ6#qnmFaKK!j&i1@%V`ZQh9DpDJHo^Gf=H93tcoMvI|vtj{kc{;Ko+j@N5) z;Q+@an;WNM5;tc|c$*yq%M(?O;Z{F{nBb%6n6)8nDQW^8+2*1$&#_vjpMh{|QgCB< zmQ@eAOmrv0v^-{{Tx*0hp!>1y-U5n#QhdlCbo#Au=C{Q?tHr6{=ZHv^U!=WUV8@zS z_4|nw&2g4x;o(+x>C=CuHvoBKte8gH-oCjPjcDs-Mva{PIm#^dA7%QWp=RiKJ9I{g zV>|fx&!e;1$kv9f(3z$T*pAT=D4^6S;0QY2kh&s!$AduPr8JYQzH`e?h$}9uxh|B; zoCwrICd#EV7|U?pWe=0zB{&uH9@k6xiN>k7Ml3BdF~0j*)npG85w|XNVIH08uqNo ztaoLX@=+%7^?4;9zAuwTalp)lCE=w3T zc&MAG8~TE>40{8MD{;&AAf#>Cm+Lf^ZSA$UP!qgm_A5NcJ@TiPmWspl@RX1~S(F%hz=RFCi?MUZ38cAj$$Kj-CB zyhB5^R;zhN_fF*le*8E-mESw#4!S~mKRC4+?7@o#aEBHQI-vac3x_Fwv4rKnv1JVL z5UzHK#30mQ+pV3Q)YH9?53W_lJU6+U-crsy~Z%PxRQqpmp(c zf^Y?^h>g^~Y}}8e==Y%p!UkrCnI^!pT_G>ncBKh_J9FXi)vbmBuAQe{brThXmXja! z52ZDmgRK*7{lf;=Fi@dCIhA73G&`x!gtM7G9N6OZ*<$t*u^OB!{gpbVu16(ga!aT+u{k5sMr zfITbsiYU@ntWITsK82gTvNz8I{)s;s(*s97%q>NoT$wbg=y6`wXX0pt#BBUS_g488 ze?(U-dc@XKF!L!!y~cex;ngklk7#ateBNGK!WTMMRouhHhC&v$Z;1bNRrW^gK?hOx zDi;zP_&HV@f3-z!b1ISpPn*phdE@dOtsjwY^-HSc1d1>n)XqFVCz>rMg#AM-13hU5Cm|yK@w&J%Gf2IH^R#pZ2D2H`#vH z^o`@$3a(TyJ3?8`oDW$kIPTq`GtT1Qp6KuF-zv|KvVdGptv5R(29P>BcVDo>$wSEL z@5jM^QB~8#GcToc~Rh>)cc3PFq9q-VQOiTVz=--wvJm3yt=yux05k13Lf z$~w;%i`sa^t@DFt5!p!Xgze6~K=^THI!+fFwP0?SxviN!pu&3&e}F4rK+WhGQ+ab; zh%AH78MJHFbc_q5NVc>n2tGAQVg%aYLq<_J7qW7bqcDcy?!mwA&yyZfJ z^HKc+O_ds%yPkz;Y8M61!5XJTn_#}bdBkTD!6Q1GVNw4mAtR`rkkGb)p{XsDFpc=v zQN8w}v-Bih#i9AA4gysyc;Cc}YKC>0fB9M}bD4~oG?h7e*`sWEcVT6h-_3bI5PW## z#`P%KD%g+}zh@8s#nQlxSPWTqM9s`9 z<(hWwc9=uIW_3J`ZH!JPyHq|E^pnASihNF{+ycd-yMm*iW+y=?A#kD!y4r;R>~(Ip z4Z8UAbqe{0sjZ2Vv!kh@4Kna2J0nYEPBtke_2YMm4giU{&uyLIu9AoZ|~4nHnKD|{`MwW zMNSSf;QQOP=U1zH*qfezw7IE}(BE2ce(zsfAO*H|HgyC(#Txi@2~%S`6X5L%rnctJ z7QpYo&H`L@5u*MnV7zc}-Hj8DxCOJe zlx6$e&~LGYH=D41&!X13AVMe}tdD6=T}vFzjz&Owij<14%8l;gOKlo}pO4(auC#u= zajQzJg&+W5k^L2S8)&Uh%98AfG?c~DlwF*Pq&%{h8pu1+oKIW{Z?*?#WLBt@NhEBl zc{Xa8i@Jr1B!A9nm_Z>hBNvg~SBi27kX4(x-QG5WKHxF@(5gUem~Vtl%VPbM);+vG zGT11*EHw(gwEB@DL*h*IBVT?nfr@cC8>2gkDbCW%x9InMRzBeMzYH>4e}*<7?I%Yr zHHR>)_J#>w^L177>&XTDdN>toCK=54OE_K_eMFy5I)kL#Yiyz)x(bQ5cWShVvI?%= zYWnyl&^17TnA$|nxu&w6((9bC1VLzEI$|7dZ( zLEa)kA~lF~;>mR-q=#_PI-7~QEm`g>(TVb$xG;Ar*Y}Nwz;DR(*d@{oR_|9}J`w(~ zz>`CH);lA}j^ZS_`2f zb4A)^I2hfD5tj!6#znV?k#)I&D)a&KnpH9;SvX{rSfkvzQoN4OO)pM51N%wEZZ9L( zj(U`mg^bx|EX-;FRa zsladC+rZLXUsAWdQR04J6VS?CTw4|xi%#&0+G^vTZtv#^q*=Ya8iMqqrG29zWVO2O zejnBCvHDw+c&LW&J&CICVh7J^V8h{(m1nSWZ|Q81fwa>wXJ~XV5!xw;I%)gYMpdC$ z6Xu9`H5Vi2F~*=P0@xjeDZ3wJ3Li}E}27l?JaLB zv5LHWy0|4fVTC+q*CvOH-_Bx^vncHY9;gC)Y)V%A&uORQwZ?9_6Z;qQ(|*9uO%p(A zEP)Sk9G+_&f|<>YZ`hUa7$VbI8bIA zBfj2HoH^{Ble|WqlPg@tlc}t)HUquZe4XUlw0^^Z8Q!P(KD@V?A)_CLwBX1)X(LA7 zDq%I3yH4IwKKMy(DlG=A z&%dtI65{H=ty+GG2Dzs$W-Z4pv~ZA!Al^F>?O4?#tQ$3;%DMz;D|GvliF{ zSlzi;Iex#mf1UjL281RjB`XDjf&zh{fd4>WXF%d0`0Llu735(PU}EQBJHH7O0s;aO zA`%`lG9KGaqMK|#{q^-F2pt}}=$aWc6glV`IutZI)Yo%FfBn%P%M_s;sK6sjaJTXl!k3@96C6 z?&%#K866v+n4Fqke7m%~vbwguvH4-|BjA{K^y%~QdAp!M(7!Yb`2Q~ryU;Flpk3Es zV4z{(&f5ib%?6F>x0H*l#*Tj}l9>z{g5(G9X zBkF61OU>V^Fm|d{7+i}IS|V+VRN^}s2R9D)?_-pvQrh2=?^sKi_j2;}O{FRjqM9uz!)(MZG??d3NM4QTGOq*on2Q3@R9p&og(Qne87rudg$!hLO2?-G;)?n@34c$T>I2VUQ5j8ud=~51KlvugSI! ziS^0u%kyv^k65R@#-oSU&;{e)-Bp(>3HHtx2NPj#v^q|G%w-UdaG&(L=XsE|^q(B9G*MY9i+zFxJXSYCg(CRl-J7aff-A8(KtjMC1bCGKLJF#|qK z&~qVYit9yX6*9;t`ci`vY3y)FUmTq$?tv9muIX_b@59(bY5~>y&kB5WR(i7UN>%5l z*(u9Xi{SBJ4thSLe(YkA?Lp9AOExQG%1e?Bm)@ERC}z*O|HV5Jyw!DJY@v@B*wSz9 z=?IJELkR=@R&Rx9Y(hk|14C+rQr)bqVEbOPiSzW?I(*d71<~Y_zjINU_0{u)lm;6* zIf3y;3EV4-I!0`_9n#oCm~dDMAU0gRt%_W`hhITER5zU^wedx%s?st5Gl@1cGg3pH zamME0Nu0qqaKtqA+GUd16*l>kZMo6~ma6(TiM^7<&)FPCV=Q1wjfZsk@}4s6X6TuN z7aPJ&9`1Y&5)3-%XY6`>z^~_)W@QuP&v?>7C0#!N=vn~P&$*N;ga-6)`hjwkffhh{ z5(g=0!?4g52qvKKj(K-41E=kc$x%s?xaBu0S=Hj*&v^H~f*h4kamK=R2^jZvZHuu- zd$&VM;YAQuWQZTsq{|l^6MB|?32nqZiS=1`cGt!oT?_W`U^JB=$+wg1^2m+hS@JN5 z5qPB99x$knG8#R>KOooF`^kh#(_WLHPmg|PIqvUG z+;JFcQPi>%v#6i;*xra$|K#qxz!ty3zz7YQj0x34!K$PX+FUk1!gP&-yt6qvy9i0$2bp*YYHxoRPQ+wt}57j#4?GU3E5A)+)gOvzO~Cb7(*$=SzDRR^(_Q@l z{anbK=>{fhssIXiRP=D@0VH6?Ik1+itLB806b_;9)9!mde6NSini{M0P`9HC^nwv@ ziK8+`-hJv!s@v(Y8p9F3;u;}bU(z0Mj5501)DUsqk3U!yhnKZDD(94@oZ8H8i~Et4 z=Y2j$3VD;Dkcw-sR-t3y3U=g$(s7EBcV@Kbz()>JBeKnym}xmq-PFSkxqyLUmf^ZRjj)ul9!?zsYSQ=dw%rtVQ$RWHCdt?;O`3`~+r!Z9O1$#a{a*KL;# z*3A_)-pP6o9+DD}JY-=%iL9!!Sw4b(akn!xAbMcP0JbyZUD33{ebLn?$f1!{<$INa ztg%TAw~8qwmd-Hxr(kSO=s)fp0n$;TRO}(JXJ|{lB;E(y$(Mt>LIeGEv9lH<_n5vURwFvg0m#jnZToSZ#(h3KsnNQ;QA75Xywmg<^`pcGda{v00>i!)K#NH< zrSsUFv?#|+EK+=0b(+Y+Uzk4de!hc?ND-UW$jGeX&as|NLVkjWf7&%QRlDcqyHK{I zcQXBY0li*T+>?}Cd+bovwH`Fu$1V87P4L^+1!bhVR!MJ9p4j!I84g3nw0T`vQp zt!KypC!wI3z*!{{-8&5FY-xLY#zXMsyNM5ZvzOf@YtcA8tMR*;q2YXaqks{Sn$?IH zF1nym{q&Q6KMf%+skz~biKj6&r{l$5J|Ap+^jX6Pxc*8ZtZ$hseA8#WQxqxg zIA$)p35Py|)4rC@#hGDQdU>0G5#dy=CF)SXzgZhgisq3EZ3Hw}pd?bkWVQ7BMFSXZ z)RNA+mrvE?OYagI5b+>n>${nu@qtM1mJAsFoC(`kBf2K;c&q`QhWU<%MImiUN_N8#Gyow*o`GagvKV zT-ch(i~Z29d8oqOg_w`m1!jhLyW*{eQ;Nly8i>Ndx7%CYN>JGc+<*v~xBYKGX5Dsp zc~0BN)HX)V9!j}jL&_#~z!MHL(;TZJ5Bn-BQ^P!FqTqyTV#ThP0CneB|0j4_5#S~a z=>wACBKpU*I?yrKQC7P<%*Si9kz_M1_9!jN$mO5;J=8<>jh(Lq-z+~@!%n>~`x3_D z(?ER|YS{@v#yMu>)(F`&b(PeDA%oe=L3yD&m^K z6cqZ!)cdte)32u9;xOACY3B85vbjKUgN~=iu_{B7EWk7H{h!b`gnap5LZ?a3V}RaH z2;!^tQVs~;7))way;&R=}8joLMrl2Hhl?u;TxL)f{fD2sH{_0m6&QARV#;v zdPCVKmnqZ`C;P!`g@FQcw_Y?9k?u`eeOLAZNUHTi88wfe=VB zct|_h1Ck52DK-8`!Nk&50XGZC#RtxRRbXlXTK&KN$KuXiNrznUctI)2lnIIq9)B_g zcDn9#80)Qo?C$8S=3u778&K9l*Ofhcaspd{SeW8>M{J;YB8T33^_(VsVcZn9KH5Xf z-YC9PE1_@eN!C-pAC#j+_Cb`3`V`-j^029Q`8ba3L0*}KuJ?R;p~0Yw9i@sWOw-(` z?+7&9bE~9cjD>k+v=FO?7*)gjr*Ils5l3#~XiP9}9CbM-fd+1~6Beb7FR z&t=MGu-+h2Y4_vF3NuuZwk$Gx(t4AvA&Bcua>Q%zbMj;q7tpEywpy8gUNBQkkf7QK zD`#ViGi9s529Nhfwt9zpOLKR3e+uHK2M)L6k}~CnJ!?kSsy24AvOA0)%c`m|5)F@h zdUxW;`zwe@#a>?RddSFl>Hf*9Ctiqm$)Fxv+n{*6M&MM@U;>l+?++`trY>f8lioe> zj?@idf{>bKHjxj=rAk8YNe%KzA;x#I^X1g^au(jGYfMXg*x#RsXpB9wT0kLcJ!Zc)~LO(y0;m+y~Q{SVwII4O0mM_oaNJ6ay!{-Jv zT`=41kW}vv(;DMmtVjOu$fZ|G3V7J8+1fKeEDmG@9kL(Y}J zjLc0u14@{{?ed-y^fHR8hfJHfg!|*rhzQUMp2b<6V3W2vY_jqbhE=%t;7y_+kSc_o zTG>vDK`2>^5ICNuu%o`cPEK@&BCOjVakNdK8O%$Vy};M~VqQ!g{j*v;9Yl6MEeXQE zLH!jJOaI`Sx*`1Z1J5tRUx@p*QrWHfe5T@MZ#4!rC^auffQ~ibq{DmpD$Cy#BcU7I zYA^wIR?XVVQVHqasOLlB?fz-As{IAJ;ufzh-4KfU>kuk@#?p_sM$c*>4EnPalnrIx zoX_9&jvrVVQHu%mb6a`OFsH9N0n^UY{AYChpr0~tl(aCI+o;lmsU0Dhw+`#IMLcyU zkLnE^U$lu|ZX@%JfJs&weo%vLXVJMjzOchsENO1p?oUX{@}g|_2~-!!gp( zY8k|`6P`I(I@CJkEp5XjtP)81y4nMSLo1qUzD0!b@ynifI-FHSKHbkUzk(XL&%#P9 z(FD(zMcK@(1#o?O^BO^{!FDQDD z12%DwxfR_9PA$^=h86bGtmsWL^-!ki!~y<;txNzFd56URwYsy4B4xG6-1!0Y?+eNg zb^)LZ{!pyR{YmRg3Qu@8_A5xTMrDMG#gbKq>8x8z_{F52 z9bEaB7X%5C&qEc*j2aUSqBUCJp#%z{%f_oVTlt^+R5c2(=eTaFQQfpha~-$6Hu`dP~LZ}NGNFv&eTRK92F=mrV8~X}Rz`1Ati`93S5HNv| zk>xtB)_PbcvE6ryNt0ByPscx+n5o&{-D<%m4(Nz$;%%aZ zS=96-J2TRGUZc*paXG%fTxIgROM$Va|GK(9oHHP8-fnyS2&2@AI-bRSBX;A{_;>gv zFjol(;zHwbaWuDA_uA+DuD`y;y7T2R=bgp3F^ltf%>}`|T}`e-8)*l`ST|M!=H`<* z%jIoYA*I1d9{yhrv=&POTXV;tJX7^^vmlj z>&&_1Z*8M-`LagZE%O!~T^uw8!22%QoU^~b6d1-0nP3M3D@4KOg{h%kD*aVuhI=1I zs7F3PzFS;?Wr2f}p7^J*$?kcqzsMxwJ!>ve&U0fU+VbxD!Wf`y%KCf}i zb?&J47qsYKx##QV=OIggN2ZFtU}ZN^6pI^|6unSQ4W5xAqI5Kcqz8t>Iy(OfJRF&c3pRH^uFQRTlK~Nf6-RHmC}B0NYDS@V%A=)gjdd|J8GZ)4 zn<5*&{tKLp@$nt?4(HkWxDL5bdh z1$eXb-!8Lvt&ls{s{Jbi=U=(zubXmMDyqqP0kwLuNPgFOFl_i`k6**6|7Zwm$Di%F zKT2_9iA8Oe*m)Ez}_2%pdN{JE;H=<}djcNu48{=dbi(B#YwJ*NHA|t^{`wEh) zJAF))h8L+CD9w#;<{peUnGX}eZ(_cs^d{Df3ft#)Mab}=auS#01X>~yD;}H!(E|;! zbF>)nbp0!#)ro84kD@&I4B%DZcsgOO&rb!yu z@=y4JTelt|0RzT}>puNg5CszHuIyJ()^tU&_~juJsrV&FEiWlAGd`M9ruPLAA8$^x3oOHS9%2l%A!DRwOo&dnxqkRo~Vc<*Z<;I{YNm=+8qey ztVoX{&-^S`gRKMu=Eief5PySsw!c9<6Z}M#;^aM;m3({nN`Q_{FZ5M$vv=MqxMJj+ zC02ZhQfL2M^Yr7u{X!cfkLQD{l60L-AsAEm(4(5Ewbt(hc=LN%9WWG3#K-RUy&?5n z&iEEWA7Qk1o$H>@)Bzh82cCFQ7XId!=SodLkLntq1q;3zep@z2V)3cVJ)?VT?;VcE zO|%xKj->+5aT)tc>Z7PQBw@O>@l|IqzFD;HZA~#ezc&YP6CC47TEXOC;%tR&v2UcD zDIhQj{|ds^qsrPSms(uXM(HFTtspy-(BfB>6&RsosB8+Fu)J>M8Y#L?#|PGq-#y17 z{xZ4}1hMHEm({)aX=A+~oKYFg}m%@!%)3a{Foqxz#6^rd1(}&Y5 z)kxYOz9MrJX_=kED>+7c`X8dle~2FcXNevSh7P8-19l9GlVmp6j7b){oiuhY2Av8L z&YD+>+pcx+(aB$oJI7vqH(zgG_r`YoF5WMmy%_O5q{yniO2qmahjvI8CjmXLylSTZ zG?nD7#(>FQCn_)2)0L z>uc4!=hV9eGSiVeR_xAIdzwE3NnZvge;==&R}`pbWTH?sG_OlO;S+2xPU%?*BjGbS ze!%%X70Vh7P_eGk@xJIox>v$UEC46{!mFSZBIkuMz6Tm%iU1`ju8hyTo*e#Z&Q9rn8wX9jrYWy69%H)fFz~h1JDR*fTakSG zTEET9jzhIB%Aawog^zB11r5c8i@}|rQhGQp&4<=Bb0Vp2m3MJ~$+2STHsN`sDExIe z8Y44QB(1=;ni$|Zw>schM2nb3_p%p(G35Ym_@UgYBDT@{sl>;Vnl7Ps7)ntDQivU&hTmxSX{tWBoHHM-hkto43*QTzD9p0ETcM%r!|Pen(V@sYVcU z8KXMn+35SiO^T=j;W#Ap{I^!_8O^n(0c2@WSFflretJp(>88B_M7Y2!<=u%}6Cj#aOhC^nA|SJzIkevVGSGo19_rK#UG zKcCdV=y~|>_~Bq?&Z-}--cr{>wXTGtL?Z5w4jF{lTt@jC7B@V%l)a)3;2*6S*I!%} z!|2xtwtGh%B*{6uC&fq6Eko*CXpysR+u~qjw}|N4P^p(;I|~cOw|9+jFY%UFO;C;J za*m*RD@vpy#Zr)6qrom`h?c0|PH3;#Wg%35kf$a?2=wZ|jZJj@3K7RI3l-;O%Qfd8 z`&z!x;94$M9inyJEqoXxd&+6o*n?uX@ADhQ)2t0Obtm$cLpswHWSw1o%v&eVzk(uY z>ZuC-B`*(o5dtn*<6%z;ItAsm>Z;-rRW-@tHhHLzH5Rt}`OfGgw|i_g-@>rr*3pZGt7@Sr>QRa_WSub z#u8=jsW08R+7k|9dK6@yAtSZ@scVlpK1(r?%Z3GcmL;Y@zmu)ViExsr2vr%&tVvBZ zvR?`Lg(anT))t|RBwZ*@ksMYfAQ5J*<|j>D$$kKtQMpzf)mcC}Om5%DSI)}f^oi%x zijDr=_9=x}O8C&bdL7J@Zn;3xI9x-MIyM(XJP5=7=WKIE2ZZQ0^oMTfT`TJJSsyei zb(xZ3Y)LpDZX3?YW1uceI@QPeV z;5Zx|gLW&Rh#@~v#1NbK+W|xk?XP=O-ySK{Pe5NW=PT9I@bnvBLEUX|Cow+jwMBd; zdB{?D0Uq&!w%DpT8Q&}gb+pFeRCZR8%C%XrJN^~+I46qLV zyAolS>jr?_90O6;m5KVLt|7eQiK$l8BqOG&DdNvU0K)1%`VGI|M zD3l&<`@M`~c|9|;Q2T0)7b(4Do&O#jC^}DOQ+-E*SotmmPcIa5ZRIM_c|^#yt7q_& zu8hb4No#R&)rzYi6WLk5`d0!7)PWVr@hV^x03O4>x*B}}w*PzV`Fk{o6JRgla$FUZ z0hWcpz+B^>rSi!AH*t$N<@Fk^3Jn>HfTCzNFt&Rk)`!bCdb3jfljZ+;YII%fTflHB z9})8VeXYdOKyDq|NvYiG#4oq~c4yOSTqAiz{? zyJ5@raKdWhFd<1hkN9jMR5eX9Lv+QoQDJ)-htT6yRv91s#2N?ISDAJQ>{Or51n4`Z zIUQ>Wi%n8x|5=BBSO~Xb)7ZP1-cDw&#WuFQHw`y(BPo4fV`^Bv79v=%cvUtzBNR?y zNzU(QCp0M*y&~hVvePy9+4JN?i=yNXSj$nu8A7Khh=sO!B;~zU(W4R)K;3VJ^R~gx zi7RAoj8hnO4%|x2-xg`?41ep*LURLj!L&MxHX5=+%<%H z?srTgn_O|wNp6T9Pt`R`e{|!*yYe=I`@>T+gnF(<#t*wqCIz`kUg$CxKr-(@z>PNZ zW%uJXSv~4d@Z-2)yEPa*C{Z3Jjr^zqR@tAdytA2J7+W86gck(uA~c^E-jI&F*_BX7 z>bA1=ZbyxllW?-2gO|!_a2>*E^ii%Uh9VcN3SQ56tkuZ=PB20)Ow&e{>au9%GHJ(O zE!DXHn_2HeZhj2+BaU903}DRP{xh;+P#PgAg=EJeavNtP(=+p*hKCW0-SY#oQl74e z^vVQuzxmJtI>V06oRlow;yQF#?o{Qhu!{-`rP%0RC}fDvoCi|)Fr1d}ve1U%gPLfhUINrTB%0D8+3yCT>2zsRXI z*1S}7HoPh13!oK$=7An+{0j%5@Y#KJ)}ZgR{>w$r{u79%80|{JD+exY;AkJxMGy`Db%7#4Idct3gbRkS{lFC%ELD zk!K!4D40-&2C)MePnSQy=QX9tbV+z1K)>fiPf~+_)VoSH<7dk+de8;ohvTeewA6jl z_@tsL$3Yqc{%r_rfFyBI+%FEOMmM$|5cqT-nSKT7mkRHTPf+Qlp^e+@qhic#YRS^K z5y<5lfogTRR7QQr9(yJhcVxZUp`3awiKT(|HXzs|9p5xUy-zT$RB-^-%Q8NKT71-(Nm2>9jPP|9DeUQyGgUNQ8n zo=+ z#QK7RF3*UZIzRd1pf|y8vJ|i?yI`a#0@pY%W4BwWoYVbQq)DxBm?rLg>Jr!1c)iK; zDqmMNcXCGIRr-E$I>Xufh_)f=E&T&ZUf{W^|0{q9)a5Au1s)@%+U)rNO1jjXM0cJb zkoI8GIEf?rhHRV*3=su-OG%P}G%)5aqG}oN1pw^?=&kQD*fhPZhPUX7E&|aJLFbhd zEjz=FNpg#4rh5Ad>Cxm4h%is--W{5y`e1h*n$*!dT*F7={!J{DSx{Frnd@a?jGxOA zq!3{Q_Zuv!+3`Hp_@&_u+>SqB~}8Frf!I@5X0#2 z7b%U5nxOX!;&IWeIm4@}-hW?Z3S;orQrzU8uFJr;e%Hvr% z?3eOEF6W-X;{iZX`yWZLKir1`FG;2!hS}QKoDEM`Yr2-muvUCTJU)B(Vkx90D-`8; z_HxBsmM{0Yp!7Qm=;XPqcwG1$=_$-uKgPHhViQDe6E|=kFXwZPYSUyGEdHiPsVlEZ zpkxfSiOacz{io47+ypK66UDv}Wd2_R%&>1lSd6I@`mbk`$zBez7UOYH!P}3 zHn;)^zxC9-yOZ)0qqme#yB`;QPJAhJ&~sk%tOK%36%ct|^XyAumdB&F4h(+x+&p~0 zRZK*Ey2B`OV_2LZBUUE3jb-y?d&}UPrGt|0vKLkR;Wr$)rJpaFD8IW&hxb5Z5Yo3k z%+^m{ol)|L6}Dv)wG<5DD8rB#=9fyG3JC9H19ymcw>W*4E5;yYihACc=*yfR6pEUI}m-9!KsfABV_yrv0d9S4DtGg*0 z(9QFc(-S_Fgr>^%Zcrs`AE6n@AQ&RmhbNDQnZGZvcEMY$@#3#n7%!c9sX0AVcl2Z; z=DiCQF1^m-)?wMi-O%0*XRbBb1ed`Y9}}>!&Bq5rCp+`DZr+PwYt!YH{rj)`d06nj zl(U{3Ax(XutMPQVv`wWEx#V*;kOE zO*2W`-WRY7eWvP9xL1_^$BpG5x(ofsjpdt9(VywYaurER9yuTVCG6Dig+Ne1QTD5V zcr?&LS0gq347UezbpVr)K$<^qqt5f$o{o0M>MW0$`Yi5E^@`;vzH62cPq;R{9ekG) zLi3><8wqK9#kCoDRe?ejfoJt6FPQK8ST4JS_S5akM8xIF=4bg4-&r4QKIEliRn7Sf zF|04uo9)l4`ypUamUS15qs%&1Z8kdgaBs|Z8u@X`J{<4TUcQ^vq^4F)UlCo$e(lJD zB4cVrO`X{-9;84;{}G3+1Bii|+WXV-l$#^XJcryOezwRbucG+Eb46BDTj$NNv5S9n z<07p~F_q9wdJC;$UE5^0;svU>usb$wfu@Qrj@a@fvd|f~stQYG64bg(>GV7R&rXpls=LehEO9}8>m&VwDB_k}B#tC}PXNiTHji}md zGXWq}{qz+PEE)*1xsoFLZ5HX9FK)5x)}zY5WLwl`+-5ME?EPK})*2 zI%ABKxUM+E&)-Gy_`3^4@R4|G+GzWo?Ty{gn;XPP%i_J^|M_+cK^kokQzlF)P#7*l zhlv7?-$d|1YJCZxmTUB@CLAN6s_LznN61$@uKpKv8gLo+IiC+D+^wkfCXgDHaD$om zE)SsSjaqs&6WO$PaCBHIt=&9ax*W8jtDfXZb8~Dr>}1e5CL25DSJ+RTW@@&MzO!?; zu<+A^EhV&#c_J^u3{mdurz_pWQo|We8vF7)yv4xwYF&j-xFBhvU3B&hnDBWY*QQfG@BCC)dW3Kgr_yPJVM`FrzOBhyy zO96>L*hJ-ymY&0ymliYvPSxKPIr^`>_R}~sWFatpPjJd-fVQ@LHQVh{2Vc&ho`9F(Vcf*&O=)1?t&=vta?PCIMfnzsV$>RCPg()}uo zWn1(JJ5|etQO-b4duo^`I~c}660bEYVoa_OW9JwDi_-MP9munH;f!RBbs_G$v^^2( zNI60J5vW9HsEhg?E-#RUm%S>#f=abWk8f^Lzpq^UKoJAf%I>}h260|0cGUa5^HomB zzqVTcqsY|~>?v+wIUZjZ-H+t7OSS|iyXMFySR_c&$tdfIAEBHw6jd2}U# zffM>KwWr7$wEQ0H=0kco<9v=5J>H(S!5(3vhQD+=_F3$TqSYZLRlAn#b2P2BBN&vo zxkiNzdz*DyUf}21&L-JDpS%@%X$K++pSYMBwrJv!CmRqZ0yy43kFWp1f^~iE5ofYD zbbIY_+_2pH&X_{&hm7&zPh7}c4Cl+&^E>d9Ov2a-U2h-~L8Yrr$JNxo%MCVt(VTV@ zYr?4qZ$0uR*@Np@7ubCe8+n=9iebiG!zNs|W(Z-WPca@b9KNt_XC`#xIWYJOqBr}G zV0x^i#`2M>M&N0GXD0I3?Iu4;R9p^uUk~YghBqF`@S^PFz9)fN!A9@;hVxgD&_ahR z`<**oj+E5aubAFD!jmc~%b5imx)>MCDZ0Q!OTu8-xv9b_AdwsljP5NM3<4Hcg}+%` z>5sH3=EZ7)sdH=Hh8TsF@`lQ=i6ckaa@xVvkD zySoQ>f*Q!&e&MrA+XVdDS5mmkTgHl zF9c=h?5a`NppkDhZ%gHdtMCkboTkVf_M@teZ>5uYt@Qdn;pcl9nF{31QDGle5FH=C zW`)fc4PS%>f)0IE-#+u;4WnfN4#-c8oiGUqj+#v=y~<7WCpgNJ3m4A> zu>P&ZA zXLiKj%=GN2ypE$hxuq;OIQLn1b`>ZoP@(K_b!?$9P)ht6(tm^sOocfwC|Qs~wJ2X9 z@%XSI!mhUDFD9_Rn85yl!Ii75JXD|KH%IL4Y8G6UR;c>b$S5!#wb>Lgwn?~#39J)J z;vjC>0&hx*YMtX+wPezJ>>vo8f|tFNJ;?l-{jocupxAse*CW@vf&Ee6KI zUy`FsdHfk-i(h}kNxwkwRrJ(}A*R5m)g>rJ&9%-|RwpdGNm_!ILmIhZ!3NX6|;bDnB!VDpbsY_7xjN zDKP41{GRkAc&?Dgutz|?5XXx)_}ixH7e}T4rb)>+PXUb7zfG5$zwt*Xw=)0Y0Q<^` z{~hM&gXL0eF~J@_vFFLbh1u|1*9u@zbuwwq{~E%^Z=L}B7lEqNb>d%CEx1!nMOCub z*-BS9ML6A1uvS*M0x`$MCDx!xJV~aok#dO@x4l9G*#?`<gP?kb{b|nb z@iqoySMdo8HE5QBl1_Em61G`O(&Rv5vFD=`Me6r#S_^iOJE#N|e8=r<16pH#BHfNs zV^L1#Hw7bKI!Sy9MpMK4I+T;}1wdrY1ff&UF2^`{Iw(XrI1Q$H)p&F)+Fs$bSzbt6 zl#|Njqq4|wpP)pKTywmyYB+y&RhYfFD_Pl>h#-wWzSXcTH3>4x6 zZ|#4Bt^H%y|7ET(esh%auT1~{b|0ef+z`wUHWzziRSgCbBPeU!!HVNMZe7EUCJRY!W$W3(FO}KPo-w<}~psV7TeuLR7X7t5RZ0i2+ z7~l(@h<#;KUurvoZd+1*_+jq|0%z)^k9@X2gn)9GLIkFZ7xC_^axIqB8t36RxV`iP z3nPYXCJ8JK3Lv%Nx0J%%@hR?SMK1}(3mS~DA(rAiov^6=gT4rlJ(**9RZl z5rqR##-K~c0MiqSjOfJ>BAL>As#I8{TQ9a+8=fi#@p@H6>fwN~ygv*&`<7-F9C!cM z#OB}RmHgQf_M5z!zs6wR5s`vtxbJ$hFfgz~GIHu`c=vG|+ow$GwS$cF4VjH9)O)N` zPd!`ir;SpttsVoHki9F$ALCX3b6z& zXhx>1Ppaq{9{2%XSs5o~_<>LOzu!_|oy{Ni1@dhQzh86K2&4QtPeHljg)K8w-M-uq z*wCQFj2nXoTBHJQ-=PL_-|3467^q z?ua_%m&)>QdlJQ?z1xESOUGC8IP|iQ(@{M4cYLg`ZhR^~J0tWSfYBg6B7eYB=I~#h z7w>$M{wJ}%f6Q&h|7V^T_r7=N@P6a@zW`l@kJB*b*Wj}%L=z_kLfJZ}a~@5u)KEQNOEN8goUy5-M{HEldYx6+p)1+YOqqucxpKJdb9p(`YGcDAJWyp!p_N z%;rQI8U;gi>zBDm#V#kbb(^@-Z);44>#eCPbK52)$ z=DP!})|r-39n5b{X&iyn6MTgkdT09ir<6>;I0^-ecnu@}vo8NXgVy}!7xI6=^8#w| zVveq>Qx9Lj6q>ccN6v?_Gd!SuZD%n?jHI$BI* z&z`Z5sl+dBE$z0>&WbYJe?82i1-UFW_ynvs{I>nD{TB2B{$BaJ(iQUyS~T7PRV2%O z`{?cy@)tMa8iN&A4O}vHNn7(mX`aybb-55(j}crZfC@yv0lj9XMV8h*YJcD4O*OsN zZRSyDftv9ZlUaK5d)%{JADK6gt~H|R-yoUd026Sfb^cuq@GmR#&%*zjBj}Gg0{*Q^ zp>XbZ9vF+i?QSvcQ%n{dYWBB2-K2=W^{&v5jWJHBH0JvibcK~X6>~l%Zz{T%Gj5+3 zag`I*sQM#zX;#D7=WJJmei?fXc37-edV9~|_TC0@kwHxPr23qZqEtQTb2~{Ne)N3X zE7AekK2hDwREY(NQZKQ<+k3JR%ciaLt*DJmi1lcMrvs>Z91o_&WyXO?f@O6+t3Dm5 zjq)UhTl7$C5qtBdysb`hm8I+p`bYTD+Uo7lCcz$C6cRHa`y}jB+`sowObq=j|=3qK&zLobkjz>r=n?KR==P3FJOP5&04|NalCT>f4D?wrkt zI3Pv_y1NcV^1=>PmDi-(%Mj6TIYB+Hjdq3qBSeZde=$224^++N)oP@DHXIUQ=Rc)o zxO!7wZn|;?(e$#TX8JFOo(pA7oC|h&o8NX0@+Ta6(*GC%CEbtXlm7QGAZqnr zJM{mW@6gacN*he^KZY0`qcYnp%Ji)p61$VN|2EK34o; zp6j$l9qS6kALarF_cjv#zm7!?%Q)yFXi2BD|iBtcN`63dp9>qCP6@KH- zKI~Cyd1{BNAecpO&EL|=_1E+R>DCkiT9&dOGbQE(9Em(`@0^-+RK|_#?n8&gzCrzQ zqlW*x3{1e6GL50CGmg~7{e{R7(J+I{GlXtjKIpIpy1mAXpwE){T4b#lGX!hR&6n0v z6Vf?zo7%j?-NUo#8I3YG8DI9-S=Pg1DW6gV8n9v9__&)ii|zhs?E%;DA5?E#NM|&Q8N?Zf2uvW@8Nig20h7 z02*;!9YakXa|eJbEjR+m0;FaDFf-Cov#0}Tq%^I-X#w;#hx~3WCuz1F_K7A(nJotOc*HcJ1gS}r^0+b z7#OGI>%UDN_Y&?cnA?$T8t0D3-NP(Bs$i&<@aH&EOcH+aBt$p@T3d2K7n03OyvL+up%s!+QW=GYM=F1HPyjKf;j>vD8tmteo zg#%FOEAXgb#3W2NOLekz%{M@9MQ%rb!vz9ymAqPo_&H!qH zvs~Bqkw%{3?U|90m#v>s%O}RBNTqHULiMvz{lcfMjh-l@(+nkgf*V%+&TTMPDX3I_ z8U!?=$myo&!fl)Q>6ESR87pc5+llHTx;#Sn66(YBHH-6Tch6U9pz6*f}_;5BdF%}R^b>r0UvxLJiuVUmeNgEhI$ zOAlM=0zRw+8ot}^2@ab;wmkkuMe>uxJ)|(91#x+f ziQd(;B)~x}gfz9y!mv~7QEFfbcI5E6#us5iHO1(W%F{`W_wN4r-o6pX%Ik;*H&~Z& zbUwIU-x_dt9sPF$j^(b8|Bno~?+&ZGBK^Ry0)ps&J**NHngeHPpERH!B5(I?275 z`sl1tpJ{t^p?b9X{z6*fT^RpUe3ku>D59lavbcp1hUYz3jrDItQD~F*5*Q1&*ZtPa z-la7|>0Qpx&bbTFBQ#-_e3Img8FPUyfqqc=PExW@H3hFJ0XHP29Rt>)=V)H(R0B@- zsFeMx;LRZq2z~{Pj&ceOrk^GQdxbI5%ApyCgGLO84~y^}h$B*f2PHeq8yY1d1r{ct zF$kuchn3iyn^7{>$;XCvNoBYOq?lJdPXBV{`Apc^&`Om-j7Iq@Xj7kdu5nvG?u#wy zvf*hsOxa@H4@J*W9%ZHIrc63h@oI(C6Sdw}!G;UisTXUX&dQrf#*^?3KTDO$(TRGW z5!b3z<7#40v^a??|Hh|ylubE36peP{-6|?;8nN#)+hXZp2|OI?%%TPF73$&#G_9O5 zn*ECr&+MjY_#gK@!PDENOeWv8+6$&C8>5?Yo~(jB+}Ta9VG&fcNUI!?e=f~n7eyhk zlMce?!>Hg~*Oax%9-HMf8pj zZMJ#T)(`9iRs$uC=iQ%KVy!r~nQG|`wOGJJ*D&dDNQMq@JegXkXdsy>;+pe!`G~_@ z86A}|M#hbCLQ8d|-brb8aW$}tFvkHE{Eacf@QX3RqiL=CbgI6|9Gn>0-tO2TwcPp0+c)j8`1Fr^#X8Hgc zVIA%AWt8}y97 z9vkXWeSu3aPz266`Kg@I#3S;Y^J}>us;XMhaRqZAAVv;D2MhaKF3w2WCT4-*gv^Ai z91DV0L49s@`*l+&Tv@3sZBy@R8xxmotHs3`J012e`E|u-fJcz43u`iOg79%TXN#@t zcdkZW#q=)e*V-j!*EuRxwbHrKwV&mN$WA2pwHEi`9V~)E-Is_?%gGceMml6K6N*pH z3mf@lS7hH@fz_d6{#L)om(Z(YuPtYJkh0pjP#qopP6!EM)?@Fmh4LoSm z+zzbl6vWKLa$*)@IdPxjUcLS0A0Sr3h{qUC-nEi)5Bm5a8J|9neoVi2KV>3xqB84K z)^a7-ad_kAX-z66wt`2->-2`unuXvXs^!IH-oA#zk*#U&d$LFqFEx`&rB(3A%Y&n@Y(UEa}br;i7Ey zOm)dRkvb2%XcrF`k;WICL@xo!5j0_v-RND}E3hlFhmQ`)E*@M&-Q7-mflnk22q%%A zT#Nm(yzAzEGZIRlT$foRE~`S}7{2Oy*eG1f>9$vEi6PE<^5q8hF)by^H+ntjuB-ms zt0b*-bgk}sE9u{QD;a=BMpxeu-1Qv+q}<@Wn=Uv;*4DzpMA!6gBS!m=?)kOP|19W_ z4If@%K3PX=8(mXjGd**_*8+i8C2*{qxu7tgxTeKFMh|$bDS}hb|FosM8+TTQ7B=Qq z07kl>*0R43E%4)a!{=@wfm8ouJOOAF0jlc1DE8fhKNp+(ZW!ADSm>DnG~9OjiiSFO zJGi^tcr`7AbPe?lz%~BcaJK66RK>nkGL9Rs5;+jI`kL3)^U#7;1By>6_@@RYzRY;TJU(H?+0}cY$BT$b#RD z!rkut7p?e15*_XBNNNtw#szi{W=TtZ>FwYCqo-K`SA@F{e@h! zzUVwTa%*XY2M@dWkXw}apcwE65jzC@p$r~E@?{vNT;RX*9D4lpSp`9%zhS&U8{$KP zh!m|7Bs_T1kP7Bkg431vk;whblT}gql5ghURLwgXH=J0N?{nBs>kqWn@RQ=T@x?p^ zgg!c(Z%jE$fRy7|L4cNi+GFNy;c@7HN#n9a&$Fd|q(67+GF5cqJ!y{bP1H|TcguZK zOfvxR?m)sndB?DRIztKZ=>ezngGFL?s+*ka8XIhLfv%?IqW1EEB=;jUo_BaWo+J7+ z)#*DSj}o;l8^ax%ti~bEvXk}S<>*LqU6Q_;n!hr&93lxz3=JcVE z`w_+JOTxo~M$eLrI*yhQ-WWZT(La{DpV|D z5}(r31_jgx4UZCJP30BGgQ5?XIMe__z{B)&iZo_;JeyDG>wZW*Y0C(R#C*x>7_N4j zHWHRpPc_xO-MqLIQEv6#-5NY3Neu2x4Zj-2$~>|4CiW(hHmF^!hFwJU26<1DQi)4D zVG;j*4^MA)r-eb4=45qHLC@Tb{Um_N_G)4v#j7%z zcVyrd>~JDdrs~HV;v|F*>7R6O-<)c8Z7y##L~H=zQbmtlTHvl3`E_Mb-v`h^QG8Zc zm0M^{-`5q;p*9(CsFFmX2`yG{UQWxA=-?~1?=sSqv#-S3V8gwi`jWSkXlQ+nZvn~f zSb}f=SQ#5Ktz`9a9lKjAyPp{|4D&UEk^@9R-F>N36vuQjs2jvEL0?XOX-AgxJ1v@u26p4P=2u}3e*q}lnQ+GX0@Szp()Yo{TBT5MRFeA}wNdn4taxp&!# z==ciJYej~=JPfecM+kXV>oK6io{5CDC$C+AG0@eaL|^1(>GMp{zyKMtKh>4Yn?-Ha zf(X)W5;8Wn{Y9;fWrRyEGBs5!wT4h6jf7_q-X;&`^;MnN#e(9eIL*WMeN3FeC{t1t?1C7S^UcF zTlBHNG$Qo7Y();Jc}Tii)M9?=SVZxY7QA)iZF9+^c@rPc2VT_5>9QILo_qCG5)?_uQ&P5+n z(1j27q@LG$73=CcTdM*I`-lKPv2`MX4*uWHnV7cZX@b+h#~fwoha@ zAnQr*NRy?KAsDQr9X#_-pLGy&nlFs=QZEBst$=kdleO6fn%TFZN@{b!wWyf2?_6 zzvDH9ZMH7eqdERj#}Uo%Z5!TznC}ep$4{S);YUakRyRR$3NW$@o@5U6?QoHOuhG<( zd;_qFEzadVCBMkS2d~qHwkp9_QTxzgn_0FRz^5D7JEHi7U%lef$Ka%tz}2|dYG&8n zy7X$}6SE_!AD#m!110dFz~T9mP%mx=O{x2{YAaFZcFlcolqmGV{1CfV;ZFlGF`COg z@DkVu;UTa#?z6+fm2}}@d1G!^v17fW>xQ7DP4V#M$&_)2zX|hpQ%s@p<4jCt^5a3V z&?GzV35|6QdHn$XVs6fg)2|+Bkby#DkL7{YL0B&yQZhKHn^(1myZeZ(g(2Y60+OYD08 zX4NO9Nqbf%NDi~`QzJOD@czx~TC<;8&eXBrb=8zZVKaNRLWlbA?0|wys=PSx*%IVq zP0>pDb@chClx<7WcI-sao+^2pq6+E3vs$HD)3$rA-HZ0}%t3`O!@Bp@ivr`OuD5_m zitx2vX9T7J1QpdwQHC!I7X-Ie(B})+t(7Sr6&O;2&pVe*@QVtxdP`t8CNYJc&g*wr z!&Uecr51m3pnzU>Bf1FP`b0`arD z)4TIc!?wz`PURG&fq09ihnT6}S%dV4lye>!v7g=@b<_I_g3#!i2=b^8;gx-6SPv=R zzR~8M63MtlnGz@OdUQz0CP=(|j#|)u9cN)0&SF4O;~lr9#0A1iX7io|MTFQ884{fd zKa^!5(O*%B@ldkIQlAzpQ#OlEMmhYToqtU=k4GqMC^c(zuLjX-M&xY71Qd_mc@`UX zK#RKP@y4(QB@C^ZMW!9Tj=GT@O?3!tt8HT^cz{bx8Qj*&TxDl~8lVp%!6=kFd^4=E z$;QG@9b>%Wb;zV8E7qf?`^HMRuWc8aN?<#eYDfbF7i`8L-UPhCE88@4&C|$t*WEML z(BU++R1Tz~pqwapHqJX`CY~048oWAM_+)r&1v0qA`9Nqn7?>d(lFQ0f6g0iakL8P%l=0i% z_n56Y?i0UvNIIyf=qlVj`z(HZdiprjjSFq-9>-(~IW+8}S^*w4KCM7PL!pl(o>{Q| zbU~~T9glnMuxC7kXfdH)^urXp663T$4Wha(XAX*Gd)4e{1dVAOsT9WS%_+TkLX%2J zQl+oa_)h&(W)uplk{C?1ymKWmRObZ=u&!gQ`+0$Ik)5ejVFrUd>StBPTtMsd36|!) zSgneFYIm@~HQ}*^qZ13Kp)ouY+dT6=^FF0bEli@1*8btry(zcloy?>CTU7G^ScehS zIG@BfTP3but=o_nSofLVjRp)~U)LvXZr0#dr8z-t1|o0p9XhR{XJjsNGLejL3yZ?q z$guAz$s=9OzPt9kzJ7p~@_y6sMKTU&T9NH>wc?sPS6lXs(9z?--3u`U`4?EWfm3NC7GHVAn0?AsjAG9a^qx5}3` zO1LBn9U1zK&s3mw;d8V@eC^bnNtLNmH3O8cxspI%MO|AH&z@eaM$77g!>UeRkkGW98PCfVF*C{T8#!e)UAhCeNcBt(2k& zG=FydY`$uj;<7_ue-lgV#FPSyS~q2mxqCy3$!|=oBv2-t7cMCxF(%u_DBsQy4M!~S zsWYE|i(R+Lp)zW$=29{M>XCE%EP7kCp5^_4@*K*1?x@grxKGf!`qlKMdOl%o?(j4o zaWYXT7CzK~xBfL0qUB>jJ&dlE`d3AWi0_ByT_ACeKz#^J@+4H)Q?%D2#yAmJ zsmdZuOHw>tJ(Xd*!)W|j!pK8UY++r{@t-iKi+Q)ouyS?4hNHH<>O!OQ&%t=oZa}Jv z_i2|^h%g{e7)GFj){Lhg;~CC+dfH=;KEx{2RpfBy)8$ToO-SP*cr+xRb6#p?t*EXN z-ivaPXPcCn`8mbdt(Vh-8)6@Mk8&!Q>mfQcIH)d4J-9w3oDNc{ZlaZPs`Kaz1!;;# z@dnA|m#Cz5Gq$|4?mwBNc>;ZZo8Mz?%TRBsKXA)UA_PBOuxl9pQeR#(kqAF$bH*+) zK8~Hdi!SVgl0!q1c>prJyNnTLpZ%rsXPh#nkV zh+elicCumMu=13k1T@Gn?mgxUqe5&}^i~AYynLvN)n`2J0#$d6vsscWOhO zA-f5*9xclxN%QQTT~4MCXQSm>d}-uml#XPr^XHTsp9Xk#2CLOkX#V9Z-NSv_a!pi$ zj9r85CsZfhgD;TzV!2Tt>k9Krd6rSW^-M8_V$AW9@Kg%()Zlwjn98R4URa=%v_m9O zxPP=S&W10idnc%4Iq)$3nJ{0-^#bj1Q>u8=_qMdR^dsSgX)_=zf6D;j*)L8f1?X39*a3QyszG%Mw%fTK!S@9=97~aBObI zOVUpSz}no7_wnN!G+E4jjZqjO-EA@06zha~2|{HQy);i4g9Ut+K;)hv8@x`(Ct(s| znmk*BbWoQB5pUWXR?bG8ztogpZkpa+ckU||DGm6IC~`K{TdmfvUl4tPpwaW2k)%?- zx&oSj_M2X9a%8G5I5_r=>`kV>r^3&Ydj$*&8DAyf;5lfF?JAnYENRr4TFs%8Z}mNo z!-nlvC|i1Dh~M_E;nkqcri%jNkr}xqtA7*0GJSR{kyT+7hfyzzn=jMQeMZBc80pq+ zVr(NbPPxODl6q=&1EBhg{Vc3n85hw~UW%qxdd;uNxhZVGn=-Ttl)}o-|WDhuT(A z^*VUzdLHa~lx{5+$1S%39q&BYn;6%|V<69!=f!v#4KGjWs>W|F2U92Jt1nKepAUtI z3IwMCZ5pN2G`TPhM0-)DW~|FGO6&xNWy*kf?yI!Io6$w#qjKItG zYx0PrSW-3QuRx{^FO$MwE<<9ffHuV%wWT%=<%te*R zStp>P)4GW7asQaw@r{qcqU=yhUz_NIR1Db=93%FzQ#eb)&WPfb>cgccY4{*2qx+EC zB^rt!;k1>?jh21o^FbzRw%1pur=kmfrCqFoenSpl9xb$DouiKm`W^V4TV1Nw#uuga zURb?TZ}adGSP8&;mddQM{NaJ&``%RJ$@*@VaSe$GlO9_^6XrCbbh$JpZ*6D@%X?VV zDkrw9vI!H1;WlTeJ?HQ9Up7(cS3XyNsnKY*t^Yjv()kJ`tu7a^Z_2FwM40f1r-9bi zqv#>`0~S(YsSKeuh=}Ztm7>xjkZ2jhc*bjZi3kITxmW_0@;Rq6A__8OfrrBVJo**M zTNFZ1$r=c@1D@yyWqqDhG8elyc*1XNfLN%e@2QUKdNvvJ#x6vKe)|MX#W{d{prXln z>p;*LSG%}w-F(%0V@W^jeQ~(z?dklbtBK6j#R5mYmN=u=6T!L6$Xl3`a+SC~Y%vMp zaWSzmFEX-j{#q6m{G*=qPJ=>cu{@EvgCf}#$Mrgxi}aDFDUKZG zEiI3z#pc)=jEtwA9?i3Tpz&Kzsy=G8Uo**3JwI39-^(5!d5XD8wST;+>NZfo;!(YG zreStd;e3YR3#U@9(+rW@__1TU>PvKJy?z>QRSK?r1V$NI_^V+o0vB^-n`HrIR}R|L z4-A=C4rgdd9tRsD`INOU%HT665aBFcDaDmo$ON_TZhp<}iI1U+HKl_^!;JhN3o z3g0yQpaQ#X97Cob&@ycS^8}46{@tm#U^6gRR!UBsF}5$YiL*mcZG|9otos?pI~Cy- zCTw3CrLMPw0OfG;TH#^wlmy!+(s~S+K7o(Sr6Pdhy4}3cC>VwPd30Y zeihy{RF{q&Kn3D>1)pNcYW+0l-0$_<$MEuFI13uK8^^{}=Gs+uwum+`?)X5B?$`X9 z#}rN|SLghJSf&&#IB)Kq|OhXWfMv=TNnuOPc>Ix{3)&V6qAfh3CP+}%$REaK-l2H&*FpA;Im!-;$wqjyJhVgd$l5r3(!1E*nK8 z_Ja-1SM?Rwl7dBFuADDF*KR^)eVkX6vCMg?Lf+e;-@FSO+BB@Ia}`*a+2rQh+vp{X zK-0fJ6_&(lXVTA#)csOWLVBso5WgFyy;E6C%8*Ik2{eiI6m;R=3nc7MBRyyr?oyVJ z$QS}yVOYiSJ7=k9#{}B-tfF*NQmK=o6#1@;~7R+Q#nY-H-W!mt*@qHX~ z@umBXs-%lm1P62F!=MuPL-)_TPdf`yduO5;@i@4n>fh-2E>WS5L%_={vLUKMhly2(#ry;YAwe|IBY4t8ZY;C67!AiSX zzG+BjLlUaEuD<=`>lME3P7<~aGVU6Ou%zZx#)8yb4NaB&wsG0aVUd?-@*RvSOoaDE zZQ`_0=_!X+{fEaX52g9!^nJ)!soyd67=owP)#c4FY;q~!!)y_v#Q}{OX{!_yvk?@x z=;Vytg~nS~ujBKr!(DigYgyTPp@xsMl!FhWuZn^H?e1ULY3tg#h4X|yH(ZrdrH2duj(2;xf7 zU92;F#>Fd(iJ9?i5$xEIREsId2XqLNd=LIzXJDdbu~dXujz;c~lLg}psF}O4TX#Gz zV2Y?+06(a(uTMG>NE~z?WkP9J8~p-+Apgomi?kW-W=VA5pqM0Gv}W-rFF#tmlZi$? ziH#&<%6E2`9)BiP%Dm6Gg_v8R0fyLshPE$XE0(|+RjftqP|HUuV-XQPLcBIjlUPAa zPWo|pGg_3rs)XQ~_4w5Y%>CQU&?rhYO-A{Z#Zs7&3MDj>PD96n?03be3+7@z-99=+A;P)oNop7b(I zjie8#*(jUnQ7LdamF)txs(=0w7!t@SlQN8&Q_?sG%E@$JXC0xzsOt4N6tgekI0LFu))MB9iew?lUP3|Be3fT zO_n(%hYmwT#sPR3Lprg*I*GTCU@y0y7(?Pu2$NdP3FUG+c|E_`kiuOicCH+mUCduI z@1?*U(N|sktm!krwVw=pwX$pBw(&{(+U5$saw*{|#$~=SxbWQ0gIR<@?-)mFc5#ff zJlXlA`Q_&XH(=sOH{BG%)YD5ij=~Q^`N&t~!OfLZ&l8PxdKKR5j7p^n z`(mNDFWpW~ci@g^kO12uqV`0ifrA-Zjg0moVu6^JN>i`tnehT+mv0R9Pz z1c57GZ{me!!t|nNo~RPH&#lixQ0C&wHzgrBE4v94qhpJeWi=WD+wB)Dt`@CdHiWeI z%{Nf;O1);Oy9u|9G`PQZpfv5gRGs&nT2q}Bfl8C8r%Tw3yDvu%AdyoFjEB#wO+$p! zepz0M=}q{K8K0ey0>uUq(w13Pm|WaeFC46e?XvO}@}J*1-PIT|e4Jt3`{P=I#=JV!g*xLgcBT^JEXEf zW5+!xB4RnXe}b2M<9@gLA`7D8W)!(s$mAt4zsiCtGRh3Cyrn(nEK7ul`|u^}Ilpu) zKWEVVeiXPlR}*5^vyuXzz( zjy}F!JR@01s+T99olEa5To!nOFuBA;GgnK4Xz;N&0chw5D$guXJ^lFdx@%J;s*ac| z1UC*Ru)G`d^kJJYg3(E>9*B-n#IN^sBrs!_XF+e7SNm9WMlvluvM)>Z{CE@gO%jU= zi3swAp<78_!zmNPgsG_}L!QB{0Zsxy{PyjH`QRpZ(C72TjZ5DN!SP#G%w>a@lzbKq z-eSf^)z;1XxKnjZS3b^_=IJxuGqBnELB(cMcB-4tQhQ}qO2pzlT=#cVspDSi1UQmK zw%=#NOOv9(6G4Kol0tiFl|uhIUvhwSVvxLzd!PMo_dsd8p3YFyeL!OfB;ayi);8 zt$jGSgXY^&#Fys-aF!WQ_Y8uIi}@Ae#UogJG6o6J5CS43B^Ze|4%SdaF@@9BmEvdl zH`5+hn8onK(4dq17!{3A&?{l-k%JJb#0Evgp7upjmQSh0QT0Wyzcpjx`hXkpeAG?5 zc4Bs}=qk>j+SMvBDNVoRNe$OF&DogWR^+jxNfEo?%a)jCEyK6RVb@r$Z*l#swl|V< zHT2&NJCN=N7&@)(egljnIx2WA9% zQA!Im_eyatO~BVqWXM|5Zj-7;q7$iRpS?8w&vc9 zEXSMFt%RCezNvO{zg9_JF0f()x1nlOHknd<5V$hf_CP5;jxQ5meErCfm%{v$v#KFP zvb(ok>gI6pY4J4H#SSrr(~7`uk4hlNdmY3bG5l_t9=8S~V= zC%2SPKgzs8t%HfN`{1>gp+w(m`FVk1C8IOVI_)~`1Yth)_&Q2-E-0Rx|CtKlyzR27 zGfX+riJjiob*fO-@3U(Bh7fB;K)>=iX49uXjt!3thV23O&5Nh2|p=@6I&FzTW7JL2c93Dg#JK{AJHE%I#mq3;#!EV%F1qq{+& zDtXqc!5_PaSTFry@rbn053K5Yxp1`MB z_-q{hIeep-zmh^YHbB6w=d50eTZ9>x$aPBW|*KgsjK;)>9$ZPa)n+yC?mzUIC2` zZ`56KL(d+nAqPkv99tun>sTZaD735HzC9B#|J%y1_TKUq`G;=Px)YW1LM|Lh zb+&+&&dCXVt^ng1V7mM9K$Rc0$9Xs(ZlV zG_E7oVTS3Z-5sg>tn@&8X0C0f_!|5C8rzra%U+#uMqQ%8H8AQz1#Tg)4qf=86Blr? zI#FXEZ}nwcr}1YV{urU$s}3&Tyi@J5xoe{YUwx8FT?adg1>kL>D)02F%56~xMv~9x z&ouT7!q8+w+?Xo7&!TvVBg(>juvhRmAM_CDiZ&7GqKp-ae%8p(qNm8gf1Ix^Ut zGBVuEmq_346)gqb&Q2yP#5-ECB5X9hAxU08vmqHzG{7x7kIBlDAQVG31yN!1CNd0u z{}hUjTlIx#G&6KfN1Z615i7gN;L_(3?x5CI4|sTl3*-R5cTBsV!$#&7@$?U=od$i$ z$^Do|oc(KZ9>nWstw|EdK=Zphz4a!?%i8w0sVCsiSQab9)5{tx>+oWE;Xu1m7|R}o zdOSkb#{S;he-tLoEA;6o5suB{A#QNVr+F}~5ML$=*mi%kkxMkJ&Cg*~HGAEkN>t`Q zVOtY>)DAbp?_%b2$r7rG*M^G1)LaiS-S2LeoBEuuE8gAxetZQ0^&6e~Yxn#eg7mk& z`j4VTfwW-c;vFvX7nnu5KZF)#1gHX;z#vXKCUAg}0RUuRPzTV1V?lI4aDW+%_hts) z2II$78G$SS5Cg3`07MVIpuY=%W0`@B01zWMEfWy@5QttKzycqf$!97oSY2Y!Yg2u6m2lhQMTGXP)Rg+SmGEO%*Hz##@& za2x}}T^tiQdj>{u=xaI#MsQa2^k7L0ps)Eb-i5&B_$iKw0iepn!VLH+E%VpBz%m(F z!0|uDeU;7#uIL?zRF#2+4jcl%GF1i!up~x?yBghVGw}jt|W{scW;T787%teAPYVC8eE0D%YVRAzrOxoV}9vq z>A~IP@1S2GJs24K56~}&f$ne9DH!(4KzD~fHqpF;{WAX4w|<8G0>N0}uea&w!Eoq1 znZJSl{yaDT3h4`$_LDu@-;ut*?ehN?s+W%84$k~5Snt;%@uRR_M$p~N{j=fT*RuWq zuov75!5!jfBRD$nTcTqEfu;Py4sNFb(nY>~{H#eY)>^{JFYLuM9yB7rh6jm9m^78} z1pu1LW01F428A1uK#7!`940XEb^OE8N$FDi;z{Q^G^rg$fc~vJLpjMi@7EhAj>%^? z*9eDwDh$qgryqC7j77pQkS>OdhRJN^J;{#nvxtCrD&qk;7xv|%F@44i#bavT=LkZi z32ZfOj?Q}bhYFt~_MPiq?(p|gpF4I_7nOL8|s z+q_kS0BMbqO&z_su=Z>TBE}XrLIPM3-7JH>7pWkVabzMW|^xdgvCkf1}DjW~@2aZvARECi73Ag0ZnI zfEO?9UJcbJn(A)D=V~A(Cs4uZZCQegwMA_lSR}$Hziu2&20Z#ors18ozmc4hj^{7T z>z6vRD0g-}i09Bbz!huTJ)H+6-(}9Ff*fPTSqMEYG|+rr;$E@lPDf*}dx0H$%?9!$ z4b2Y=M%)BvD4}OcJ@NIEV=W>4qOiqv>vgF`cy*=yvl#D^HB#>M>x|ogR(xt0lYN?L z=~2|)UOE5eTXV8!1&T{39q)r08N2JVWJ<#O4$SnFd=kmD_+9)x3Nr%aLWea|jc5UD z{8KU0csHQi&@}Qb7pRn7sJGoCXcW()wE&Ai~Yx|ASfT4`lzgYft%(kd5jC)CAdGMP7a*_%yYN%Ecu6*#r z1uo*W{KwN7Up%|c%7n*jMGD(IH1^r9U$;9WD|pL$F0?NW_DeWF&;wW&KWV;!quwjp z{CwqIM~(*`4(EUR6;7!d_I|!*v)RClj_(g9TO!ytG3$`nC55d9G0y1)>xLZo^`@}; zcG|R%{n5a`{&772m7kq1ajM04fNzt@rTA04Y6I0v>4-HcY0>IrtJd!QJ5KaIVhW00 z<*69pO$zU;|J1(buN@SiCsn~`OPU)Br%oHO$c6qpuaD)!d$+YO$KkMkkw+g$=iLp@ zPWnK6{%0FZ;5@G8!DIBV+~1x>DIU$!(8sV&x#LB{dCHM@BlJmd#K8!h*stbh?Sawr zWUdV%0S;7k8I>C-K@`*|4KtY-8U3mt&8o;I5#U4nUryx_!zwCi>rKBot9!CQu@B$U z2AVaG2pu@4JY#NAcPYh^uio1>$LJ)uWx*7a<~{Ei`Zi=@md$(i7{C_(axp{O*N7fD zhvQODfv{&u@#augr&ek#-Qkpe-1Qh>atsV;)F6cthgJE{SBKQO{B>};$p{>wIU)$T5b?lF z*MT~truTkqafWLS73&MaV4lxn=rr9*!!AL8%h{wCBRZ2Zvvwk{xRGp;kxai>!9AO) zmU=$!Ro#MN-z$eD6o{dp$t*JUl-3G`{{s+^Y@sG0R9D2_a-qMl_VOLmq}dnPiQUkj za@hYYv-%CTWv|daDvsBrfxPTwymR;5GaE=m1+H85moal$7Eu_&scI84D;}slL_w2V zIt+NI*G*lxO)=R~T&BMJE=SQlB3yaG(bfF!cA*)^4>A)m{_JzAu|h+}B5CH1jR#f6 zLsFS62W;TeGCttALLMkR)9Yr;R{GTFm+~adquwaqb;!b^Q(?({%NOD)EB`<|aR2=F z=fXTsNx#+j)*7n5wQu|w4F{YkSiL-|H1P^!BLyD$RR*ZX%z8M|11)av_OdX1sFvI0 zWjv??6cW&_=K9b|KSY~GpG&AZOSdJf>8?~FB#g6zo$vDf!6K_2aZQ<7<=`S?_AZFT z-xDv;mts*fYukR4_4=XCU|?I?sor+_H?Iy!A;#t!M@K)-v=!gR zyZM7+5!K*k$lBqY+KTHC&6S9|i1t5Vy|kEor%&ghYN7<~npICSj#7dyLGW-xBbtGn zn?SknQXXB!tt}rK6<|a6s#d!;RV%l0pZkT#6I86i(gm}}xce1lkTu4MT2sO`wCC+9 zWQ^Ig#IGveY^pwQOzCgPxID(a!q|#A-mrqv+zzIyLv->)bv*kD?l)~B*#Qn@gt#!- zHuYosF=3v?%q<#WcGvWh@P3lOd4eE*#k_u!jTK--ypbH=Qogr*6?iN#@m>)Qb$zLw zz!OK+N3OjlzxL_#!%=wbG$Yt0WthH`?<*mX5mK29!MyJ%*+{%YiD$Ooonr3GmLf=i zPaif|bl3Kq0**@@J2>HpLwV_f#21z<-5Xv}K9Eu0Tb{@pu73GS=x%Fzt+M>ZcavKA zb)WfO482-%Wj(w@4Dm&7lvCay=%STuL&P92?AKC$)%xW0m(Q=u2{(cY-HG!d=%I;I zO>sx0WpkhGg!V&YY=^77vrura@0_Yj9k!a2pIBp@8{mrpc25vH*etz^qEZpMV;AEg zZqpnE!L0aDwhIUAf?A#5CQfjBLeo2Uv?;aTT%<{ph6#%Mmx8=PCi|yVM+4L!4sq%w za$3d4z@lCYHi8{{#%EMbfiZM?6BpQ4=Ac+VS@I z!>wYNlxVpVSxG_rRwqweL#oYr-v#buLwEdg`Z@w*BZDoHP#70|=_G0Tn!iJ@du`Wq zC!EU~%|SN9-L@!Q0WqFfcN+jVSHG|oc>l?-%DsA`u|wnuww#Zv*qa6y9kl6Z9w_x^ zz(?F73Q97)b`=P5CFNa@pT+tMhk4DPl_EQYLP?&LwK>c!-S&+uzWG>FL(?#4#|J)G ze>OR?XRbTc@>pNpj{wT6oYNV-d<(hdEJON(UkPxyHRyIVx&9>bQheJr(Y`5nmiX`? z0?Jjoz&pRNxiX6%C;~N0O0o~QkY}TC%9wzNZP*%FoKeNJK?OSnEW|Kymac?W&Rg4h z)Rv+us&+P2YYA2{s>3BV+Ak0dJMT#FrM{KWS~zkZqo3d~!tHws>&> za#@MdV){Rf@~4$gkwkCrGd>!b9xGp0;NK(4VTi!o%4MdNYyL5R#)+jp)4hPl=2TFbgo3+; z>gN6QJDimePLXg^)R5DfmD(7724;f`LOy)ae>aQzo6maw8SE&rFlQhiM=&88-QsVr z;&o(q*aG4x+h2qL4%td$Zh&efx~G|#wML~+@&!%kWy84g(DDg#!$W&j^SIeK(VlH{ zt6p<)kIr!B+)-3r9Z{~ikw-DZD@k<4U0fYCpYO_3pa1D^nE%uAQ;Qzv+5>`PO|U!8{E|N#KhmXdbfZqlt>7pZ>bcUv`St_R(>)hJ-uJAyzMN?Tcz0bLp7iQ{=v1tA`89M;b0+r)hjhuCV2|1O|uxx zC3CLOG)Gk{HDao%k*Uques_nKFqG*MpPnT$?N-}MF@Bu#t|XY@E-y+iFGg%(rK~;k zAlcKf5)dcX=EgyMWRUnN3;tin(xwmcc?N>WCTU_(ry1LvNxpg!!BDX3*9_aN95cV} zcsDmgU$iU770O%Kr&jEIW7P$Ujo)Ib!^A9}jzjHC<|YRYS|%n9+5@NMRtDYf1L8j; z+8(hy2N3G!^?Uk%<<)gL#9ZzOH*i%73>rM$tRMS!ojKV$x31^Hy3GRPT$=3Obd*w{crl|mm~Pb1BWXb#)PO|@b2i>c_bJ8vksSeCIdSrcjxrU zu6U)FzImgT|8sN&amQw*2F(+pR|_$=Q;o&d3OnY1#T(e?nNi*ova6Q!U%*9#=X96s zySmxEPI!K*mNyB^VNTF_30wkC#-KZTzG2d&pCkqwM{Bk7dp5taHmiHnYso#OIf?@! z1erd`WlMH2?JjvGJ z@LRrJHElmR{`G%n^f?a?-xfaeT1+5ffvli7u=TWFqQ<@3WHWR2AP_+~V4o=(MdifV zw}6eLqF(I$TFkYibn@0Z$u4gv<`*q?5Z+L=pT;>sZI?GWz7N-#LORWESA*?wE2%_N zzvORgT z97aw0^fam{&$)Otk(UcKHsF*Uoaj1v8bSG1Et{#^_oFp;9{qmtwaP>G*x^NC#O;`Ks9W40 zYoO)3{l{L)BsJZNOVxNwpAjCKomXV)-up|=1mf!volM&IqmIIM^c!Jv8F6NqM3-Wk zx%@*{TH;MHzQ1+^_bp6(Q(|*e)R`oT0&z79TPZ!LqMbc)`z9r+-XyDfMZvIWt~uet z?g*|A1CNyMr|%gk?Yb0s%t;&tFw8&Q$lteup$m)Trck4>+i6#Orn@gbVr5HNCR2&6Zvz_~Y#T0k6$7{0JYo)9U)l>^8uk}Y=!4}5Xcpn5qHE8DUl73a4q+Z9|xFY?5Hh`phg@Rwg* zyf}S0{#XG)NYU^joZS(UO%1sNu=9dzE<*lLOT=Y~$1r|GCs$!_8p z#1ysDi>{e_EqeDA4}m3J2=0&Q4I~Yw@my@TxwS7keV#tUW8!sB%;)tT6VXB~43E1a z4ceyCLd;_yUB3vUYK1`N((-Z;Y)&7JtSh--vF>wA(r@^V5q`+~Py6Za3S+_xmebT( z(uMvVUAOFI?tvx3E51-O)K9xKWl@L`h}j+lfYhEKk<70`I3 zJYiv<@tw#SU-EgQ|FsSkWhJ)he-J$*qO7$S;z4~uY& zetZx#Z0R}}QIL5BB-vbS?C($>wb4O0DIdl{9HXx%hL`@f3hk0*Gtl(YQWUC+Pc<>R zhC5BbBJMNhOyV!`>(2XOE#7`rYnn2GuJcys$$)s}V}Wng)3+ATxLKnUykws2_1$RK z)Wp1w?n}?{bL9>Pef%5e9_Y7DI$^$4O)qDa5;noCH;!BN*K#-KPbV*(4(*-W3mdpq zc{q;IPeK-K9Oq(pn)nW9W|j>HLhOUN2)I1C+sca#Lsf+IeV2_A67|E+h>utGK08jM z?nbeVyb{j1!);36^2ES|HYa18``12SMf$`h&SC0k5@`qgk#$HH*9_y`cL5zCjaP0B zd1GSk5#vN&b3+zvm$f+?3W9-NPHDFdbz_=1j8bX{P|5DTu}*TrIWc!ZFUny; zH<1!OHIWkU(cbrm7xlRzfe~)UrheZj5`E``_oRdR>euJJ!kmTxP}ge@D0%Jb$D0$U zo=ytK`t!hSp30qf^ZHSu!#OLW4n1x*JZHKKRb;t4%k8;*X019i`F;Y6C1mrQwX2jC zLgS`l4wNCeMAC1+-Rt^qK5|ESn;<_Wyob8JutIg}xvucGT1Q$2>q*Yw@qMT*_G7(S zDW!6)_C2BN243klkgp6?M$kYX)V)>noMhf!GCQGcn4gZIDeR;Bw}Zf@r)ZlGUJL6q z5ALzA(u=~EbH;?6t3MbV!@C0{taw^59Bee4o-+p6h+4h_$ zz5%8<=|j`vNfTT%_@MWcsC2CR;-(JmzGGjM2)De?K{tf_Mi7& zKDiFb4q!8O-aR91Wvlw&6i@ox)RZUXDY>4AcxZT>{qf1uR4$}zgzX4o+KP9(|32@H zxvES#z+$$v8TS7B*H)f{lqdOUbm-XXEd61)G7y>pr|55^6@Y3E*p z*Q5^C$VWo2zMJz4f4IMn;zO5S7tabKt?3*sRCD3agPAFsa%|>^562sQ*5dCMzlbWR z2yVYFRk$#L7`hZJ;(wh-tf>+B7#}H=RS9eMJbwLpy8gYRd4KzfV@PosrMjXs5#@$; zq|9C5{$1m)*Va81k47%A)n;O$=bJwZzq4#Bbs?pKD4*LdJ&iut!6;PpI^czO*D0|j zDvf0*UU8(TVa$J`i)2x2{SC2vM8R^$SJaYp_=iPDduj>V%H(lw8J?8!_(%KL$GnO2 zrx4?P7vScFU&MA-K}3t(z(9KISQkn9BrII*oauUFZ1c$dL?pIt5wR=V6Jw4__KQs* z-5F)>K2o2;wy}eiPyEnb*WLo};wl`5UC1jAo1}>KH^z~El*)~#BCHC#HZGipPzW@L z0H}0o(i5Zxpb2bEN(?^Tz5S#%kD8x~7vK0!@~tB?&+nSA)kKnF&R}g3`v+%`s7N{6 zK<2X_d3B&EI=?SVx8)G*Wn2fm`BDCfn)%G1F}*vszDsW%*VwNtYeVGKA(kPFtA}EykkJc1t1gCbu+v^%~!> zPf?@c<&MublUJ&~Ygdu1N|0cCGfhu!hm>D)ThQu@(M8ft;}F)kM3;4d?)RP1S^04n+RQAk-v@eO%w@p@`f}2&+>AI?87H9Z#^82JfW2D zVi-*UcA2})-*GBo@^?8I=RhQ0CB=29}RsH<51$+SP0=Y{o+O~4%N4?+|M1ELbF z*`K~|Xy}^zkUsauOXh`I(l)939^}8~EkA!A;~iWU8R*K(bCRI2b+3@!buB~-;@NDz zPjT$TvC)r1!t8MB{5$Kt^4Y}mkI^C%RO#LR$2+9IWSz~7C?ApgV^n>6(EU+`+eJzE zYNVP{vKuCM!H=Ec?M~?S7EZ!{iWaR4uni0+27HY^3N>Q7Rd@Kg|3$q>dGW)~_B(;8 zG_D=-uZm4iUMD8i(*;FlJ8Rm#;S446AN|7WsNP+VdRe7t2+qW$llVTjtEhUK9R2k? zDf^MqEjod!dY}G2$Y1!iyy9fz_k}f2%cOO~1YdOfkag|^I)%0_$+D3Z&#-mt?wpd+ z#0$TlW68?mEy$22R)co11fpUuCcH2x5}<**#ELVFVOBdxxdj!{ZnO? zennPA;i2pCQqJ&C$FgJRS9|KzZ0U1xkF4VT+qUe?-&=lIdQ2V61*CypK|?R_Pe$kd zLnCiW@!DT0hP(twku9VQ-LXzq&0^iZ6%aa!^Bo+#dxqh}LnAuL5GcIyPpAW%XJ?%2 z#EH6h;M?a1D6Q9lJB=h|^@}uyDwqT3#+TQ0fl>Ree?xp+$#%S8PJk23al3t@+D;qI z!j>X8qHXbzRtJ_VuAJ}joQu4zS1gbBCh9olniwI z4iexdxsz!L%(_jur`lcN^kQkIIbF~(!=X~!I$=8&aF4zv8}%S`zxuo*#c~w~`CVHS zSL=x;AgsS(!8ZNJ5!0klahMxO+-(_ueuOpP-)Wfr=i{u%PJq{pVkb%yu(ct@eE0_6 zCjMFZQgyLWsTMCe_xs3fpcwqaNJ(yJqQ<@tA^Ou-N`)+0u?aG*%HbJLrYl_IY@f{9 z4bC^Fzqn>>Tu66VZ&YfP68H7O-86vFsEY}!fC|nbwz6YXb@9M%3?BFstye$3l?41s z4SxN+WiQjFER-%a=g~db!9c54wjKph23e9ZU8=~`&!H!yGEdW&+sHRWyO;1rd9ZEKb0Cz8Fy?#_EBm5L0@2X=9qP*$ytg$V^4q^ejGJZe;AWXI z{Nm!|#cTDH$T`sn?4h{-)&8?dbf9J=@3mcc5uepuQ@LPUa5LE->zo+0 zH*vmg2TcXqE+x?}B2#DwPF5q+>ejr5W$);5XVd=ysY4h0}>2^bOD)*c})bU8TaN`>D>cL#I*MvjOrA~%HW#Qj?-sFOX#{a_o zI_0ee)-sN8Qs+);iKwDJJ;;(pzFoS!RofUCVZY${_-=lDRlD5i-Fg^K4;AWZA;cZ( zQSBrS^mEMI3~+BaSA0e(NtWSB(C5{B%b01%D@Py7w%uV_j`nzwzoUMfS8Vah|8g+( z(GoDR9jF&1cii5?s@KdeleK>UL+v>w6*(`_pkMa_TN_ES)v6}+W%^f6W5*XR$pcP~ z_VgEPy@n(4bJ!X1hWAm?vcFYF? zNRP7fn`~25RKmHa3v8pl@v3SxG3V)$X$xuV%lT;Nm{?bF3|@LgKRXYn$6dKeXqcRH zuj~zxa;Ef;85x?GsDhKzw80vwxK;#K4P$`OShuSy3$bOwlyVM^>WA0G2-yc1g~cs{Fb;qU{l!q#H%y?oxX$h>U| zmO1K!)JozL-`2g#9K>xd}hc$bNJBs8HC))2^R3N4;a-^snpQ%TFL>3Pjmq&WF{ zh1aya>4hw9$F7Ia?BBz)`OD`BL~)7hI_ny?r!d(m{mIUGViD>@8Y?Jl4Q@XB2m66aMbry> zWlAN{rkhArMib5Yj?bcM(Lm&ZS0q(6B%b4Q_6zlwAgv`&d01Q9!KaH{@cerbRn~UL zg@q#QzD{_dp6aO9)she_xGzsR9UT*=u%=(vGqX6u*Cg=S%lM17B;S9}YjC%u8{2l` ztXm#e5*Yk)k-#+hOrxJzi~Bpcii_H4T)aUX{#^RptQ|L`{~H{_qh|K0FA=udT&+vT}tdV*=h+%Cvr%aeiBro*qZz-WQK@`jc;X21N*t)MjgGdx` z!>{{Va8HjZ4{7=tg-LXCDHd;KCL?xQuVwsbETKO62RSs8U;Xp0d+v9XCt;l>h)%WQ zMdb=If&>>`-65~c>$hIsCm%&`pxcM>=L>NESWIvi+RG|dE??Fg?(QE7UQ`DJGPVW> zW^2>9P`i!?$4aLWpg8kgGLtCtUn4ve6@)sMj7S*p9jKg#=yN2t{P$n4Gsfz0D6VYM zC#GM61MBA!-VI=;oNt28KTM0)-ddq85N|n^i-oa z^{yW@brf_;u?p!C^pp&@x4gVm>%P4aonQ1(>X2+TLnlS%J>`R9$f36pw(f7(B2GR_ zK}yDkwQ5w&PDMGx+3o1#RXiIxR^q*jnUlj(4H;uTR7a?Ti_?X4H~i7Fa0bM=ezR(z z8e9*gFLzl79xGy#jOg*G57^-c(5B^IbRGS!kvSjYEt+#oXjTH+C#+ovTIxbBvYZ0y zNenx_p1WX!oGBNvn?l8#o;)e2&lp`S5x2C-vpN^IA%0N~?>zAzo{t@$vMyhTr-Wav zJf9N;gA$&*iMvcPgb@TpVgl*bb{xO=c-Iz$Ts$x781jdE2~I}WhijZP%^q8}J2!8g z?*C`ctmTtIVvaQtqp-P(v^6u``hp<9|IP$bw-m=_(&p6?DKw@BYkpV)zAy=` zq(3!3L@i+2#J?PT>aM@QUC6U!-5=`i-7r2EELo5Xs$#FT$wgjReoCd9v~aF2FBRBb z|9R70XQLNYAK&Qk_XxYSndPOMO6G0lrL+Dtue`HxnNQ+`!aVEs^GaFLbERxmoDD&T(wH4K*eM4frWG2ugsQYc-_vt0o8|m z-FXTG0zg zUc}4*%!m>HntFYGny!z1`dGVjIxX0JnX)N;>AYiFyE%{@iWJBazRb*U%a@!djl zIFO+W^waC@Vfa(fGeqayAJ)3uMym8A_P;q0-UyLGo-(YXnsezdCw;pbL~HO2)sVU)C7!-|w4 zv~axgl$9$vtUVK(;d91#O;5n$CuDC5^Rc=+#7V)!b~@BB?7P5Yv(|(CMTQTiOu{L& z3VqID11-j&WBw1K9c1pl8*}ExSe&v$I>faKk}`A6Nz2!LJy2fNON&>#z5(rHmh5mY}>+ zy%+fYrFw?~Q^J3R_}f$GGu}q4N#yM@(iw_jkBAxkBF2!qT6a+rNvMFMz`{Z>QxDES z_rzG}-y*`_w|pvnb0`^eE0@)QXh zRnYjLS(fB8k%p;NC4%Jr#^=_HC(0u+__LI)TNTA?t4vG&zIzh{p~zVKx6^M-RY4BO zKcZeREoForiu^OaftKmdzEl@kScbLqw+qH4IX`op}$viJ`nYhh}}vam9K87O`H?SP@s5HrsB6K3wllW*GB3?8hI? zmZ$=9cwPJMT`l7O_gu+myfqdpOPg^}<4_nck1 zOpx)WKw1D4&Vcr9Kz2ZJBsO9h7{jtrAr?Nyp1ZIpYMJ1lE^ql8cU5mgd9 zSZBIVtmb>;;b3@S>6$*k3^2X- zT3PqKR+G3^SDi4Jk*E~;N86`QLquAKAELFYZBo-rb?|=ZOwX)!7w%ng6l&}Oh^p3J z>RD!16+ha@o?_}nWKjN9WqwOd@`2SAc?19*X%yTJYX-DU2A1vn+#v*4k(7o0F)^YX zx>`0f?*&R4v#AFusCd`=~SrIGF0wO(UT z7k`^)O3lHy!2MdRsx@-0FNFB|^-fu608ldIq$aOJ984+b&(q6q_>DScN z0wOkv-edeh3b~KqDid3x^t9EC6Cm@H#jW&f<7RSF0?k>M*d;At-Y3BalG7EQg5bgL z7?I73bS*keRDw6Vfrt+bISQu&ocwj18m~eMKP*l~Gkz1X*EC3PX=E`y zXC(1Kx^+>G-`g*fHE22r=8@N6J`F~1ZRfe?`xY}59rUTmaQDRp4IYMLjH)oZsJW7~ zO-FLOV|p)n8^@hz@yz6;=xWh+HLU^V|G54>Ae+&ait(~ZMJ!#Op)sOHCc3BWc zo%a(}6!X0HPfbhH`JOwT<>vT-Aa?0Iwl8+eF5)a!$?i-x7s_A7YD}0e__KxP(Vjn2 z#LF{AgpQZ4y`y;9K&-rjo2ULC6W-;`*&)f^nG|I2EE!qMQf^;?urk(oa)U}$=%bC6 z>3fLA22fxnNjKv+fBZ)lZ%#0?d4E|bOM=}?>=UsL|8RXMDp#rvhH1i+o7$P;CQj}Q znxGNA|4CSeY{j6aj5iu=*IvF43V9|~sh%%3E%Voi_10jt^R^ga%3x`Lmq@!YWRq#G zm1)0t50>zW=1flVW`5Jcuh~=%;+ai7N+T;nwkrdjX(Q)Fy_-**4v{1tuwYHzN82)< z(9wOg8WLv)k();uB_ypndsNZ5O@{e=2#5U+J;-C13e@Ngk(7SiW|F?y3!1_xDlNh` z7yk`p-aB=++Pb;gmKOI_XK2uVwjvr|i(}#S;@Dlp)7v`FooS};Sxb9@?Z0+Hy2GAC zrmyrFA`>T2*RCuCP%LiJ5n`==)f|cb4!0j8*G{^3JE`lFy@9yqm*w|yV5ekdh>~uh zxWsOWEQZW z$zI8H?mT~g&BRGSkdh7(w+sjrC&JDb+o$84+heM6M?bEw4mZVL6gPyBw!k6H}(LeQ@ zhYjSm?JB2!m5)FEg*y<=f0c~oR~&9AyeD}Sq$VXz^xf?@x`O4l*#Psx1)6v&|GS;j zL<<^O1xVl3BQ=rb>~e$W9~d_N`rcl3ek8-lqZ{%c;8ytuwf%a8_s%YbogY45md}W_ zfGB=2z9;zQUrWIg{bM|&ps48SJtLU-N`1twnsjWEJlY3&d$vKbL$o2vjcetDgtgCL zL)+w0U}XyGg%Edh+N zDk{gzIfpB!V~G4Q?kf#7($8I`H~$F+Uo)!KYGGKiW~Zl@{7`mfvOp={WJszE$W`b+ z<0mvUIb*MR_rgyRlI)Mswnoc-;boH9{ux7{pwtc1H#Rye;Uso>t9;P9bvmuI$8hcg z3y#dj`&UahA%Ur3lF|akzR~_Mg0@skH0s2qe#H#YHd0NZykz`=Qude#%v8?kc8ugC zti!Z%#8LJhcOH={w+^0%hQxaj0TmR_7_H=bJY=F)mgqw@ql`SA0=~%}853{*!ZOJO zP2nI>v_DxfIz(fZOj@=@7$;DMZQN}Q%Ri_o)0+(Y@7ja@O!C`Plas^=i&aQ4qINjZEbd%*b&)I%f1ImflTaFkT&i0#NC#jA0qHPXwSw5SM!6v$|B*jHKI)9pLq2Ma`a{MwM~xuk8l#R7 zFu>{u$eP6}5>&!!l?f_gv5Ex&Q@Qm4DPT|`*aD)gHHrj@*Bupv#A^eSK^Uo^d@vV~ ztP1Q8i3g1;LgLkdxgfVxZX-ZHm|F`V1O8qF?gf$+gF}F1`Cv!LX8@!d^ay~|fF7AY zXaYJ@XFdT)m?5PgUuH-!=ZG&f&0V=8Z6<|^buLiIIM9vCH{%1LU zIT#HR&iX+aPyj^N0M>#+!T4ohILJNgpc;T3BAB|Z9$QW*184*BLO3BwRh*vy)Q~ym zJupa>WiK4`sy-S7zG?>rYL3RDgiQuaOkhuEj6VOKuaL%$v1?i{)H-p_|0nRLY zra&JVKn?32AEe_aSQmWt3uFQsjRX2f0idjVLJ-jkunqXC0ffW42Y`r{gPFi?ihxAc zJuZmoPp|^`sun~I8Vv)M>x?1-TTKBlz+OHGhZzC{qyo#;M>~P73IH!wt8UO8z^Vpx z1{$S?td@gCz;5jzPu4wt$m&n9F>t9IYzTI%2YE8@F+x_$z!czL#()LZJzR$7n-5Gz6-v?m^=$5Sk-3Ee*@ErGyFTopg< zhzFDb){0!lv34NkD*I&`i`cKJKra1QB@kFqDySW1!XM(le2-LLd=X7sDc`25kJxkj51bBXO%_3|D)_sc20j<&#wCk7rl8MdQt*3yrNX4Flj5rvK zn2Z;Ib^j8Ht0m%$QENbTGPL@~HVdE{Y>`4pVKu^9TwxSD23e#Xv;tMu#Il6w=@Rjw zZ-W5yI&vxzdJKafv^5^yRa6vmlGevn6t+nky&q&D3xLEoOULeMYe3$Sj2?~^>#+>t z1BgG>DaJ-{A0cx;`UCd0IMJ;k0zx+ z(%;L)5DtQr!4{z(Z@e?=T|x1w5$xZUNJdGB6uIQBy&C*Ax|FTJgbWZ;Iyn>V&y2EP zwBHByU;I>D?}*ZttBxQwAX-APh{pQPFu3_saS_=u=_@waBKT&!I<^CizJle7JNi{S znMCHMBGw3dx|v0kqA-y#19bDFP*+=U-^(-P>un_lYxp6Z9PB6OI&Yg&vIY@9T%m)u z9=vSY&szHE$t!&(fUr(PW8@*NOj8ZU4zhzT(a@818=;fc4gVE(6+|&5rty0rM7c78 zupCsZm~2Ees9lFw^-?Va83#>%CBL%yfEQHN$nRvos-FFi5ioD7la!3YIKe|it?Bro*;=L(P z-Q1Ukl?>9zua+jQr);7p*-`aDhkGqIr+XeQ6Oq`Xg9Kg7pJhVgwrP<5Y{K7f;GXr@ zhk1L#1#0KxYX$ouvd4EbnfW}g!L#nbP%C3MNL)0YO#ii2hJlquvcRR z+iAT}#$&sYA19`~g0u2Bq8q+Q8muJG-(Ixi7-2SrRGDEe#(OW&c2>MZ4Vvyrh`s{f z>@KsOof9_>gKVCK#e`l#Vhn+7W6`E5-NJ9*11hdLCQVa=rn|Hs;4AccelBVYRp?YM zH~)Rn&e+0vOMMu-);%%I4Kjb7dA54`u`f|vRa(B>HlF+N>5gqufLMXuq(Se^ifg4g z{nm7Rna!G<4aYdURu9(*+)W#_)rI`fKg3_U{rccED@(%W@5yt<$Yy-J-NP(QWh^gKBU8f4)b4dRUg_Zj+28{K&(ML| zqJVgik-Wz1Vu0L31R3&!<-5SILuJp;0Yz5|*1LO&i)m>RqLzBEocFjBBfn{WaWDnB z4D~!qJ)!L5j*Lt~rMJrMY-htue3_v=wIw6ygTJ~?EqE*?V#KH&)E(tc3iQN8-!qEK zJCMzU-%_lHPdK@`rc`7e(oNUMJ7i3=8QMhg1~y&}Cj{9#%6{hmvc#W79>EW^EmpYv z#YZm8VmPw?0&(2X2lI6$i+m7y9~&V%s9>irAzOD_T#qyq9U*u6)?n?2Mho`2judX2UDsG5ai`&zxpe02e?>9#(8mvtv zt4x0*JJy;3^XE;DNY*Xec)8xh?YMG*p%D`jKsl?{<+86KbR3iUB&Bx8+;?uLpeE9u zj_%|80gP#GnWTrL&3m(ix7yI#@y$EqdYRDeFy7aGj2Q|ml-{T`4n9sVEl)*B|KJ}R zB4*yfKgg!qO+Bq8vpkn!N>K|*S;GkS?KuG*lLE8jy>A*k&|E?Znl^AQmr`EUQ-f~j>Q;)ua3nS zif$%KiaHcZua1V%L&{0M8yCdhqD6r&A1s=L85w47#!QOf)?>zrhJ}PE$v=YdA|)|J zas!`{FK~#=4kspsJ4N*sku0C}5J5OhHJ{-SZaK`8mZ|~SNzx$+#USKIKC&V*fR>CG z^-B^Gz7#?47J_&zC8G-NtjQlt>ccW}=kG%oN=E^q{- z0Uw{|LQqI)S*$KBsnroxLsB-8?h&6+pK$^ayF*QTpqoq=41zd;a2sKk18)jqkQ0#$ zwtEzR>{skA1k+HCo`X%E3&1^+KbANGO(<^9)27%3yEkeF_Bw(>Pu8aCCiaD}H+Bbx z2|PzwT2I_2@`b23jwog>yjocQCg9?|Hv%O>V%YG%51{ZaIK9zC(SG(KZU(y02%_Nx zv#6u9^mJ}gy9g}e-i35-ve%-nqMyMvhplb$yRa@IdxjeJOqijzps%8Rfm`Xl-4u3V zTSRFJWi#VxK{3LvM$ipaF=J>!0EdnBqPURh;m&K=<^92W$t!r{xe1DVg-*SZJxa*D z*&M$8@5*{)I7_&G(jHC}&UkpN|EJUcV@MZt3P$!QF0)~C_=FXZS8&dA6A<~|%D3j> zt+(Mf!dGXT&9_U52A6^~^Px9cwQNb*$*dSr!@tid^R2uo#54BK&AI&3#J<_eo=F(>s9!l6J{KFY%X%7=%oRb z>c>yMfg65JdIh=m3W5)DEmF%A7cUuq^q4sJ`kXwrzMOI&($NnkPG*V0e9tO%QGK*z zE$t{1N-bEV9zNL#Pe@LT7jkE+xQ9F7 zL^Q=oWO1y<*#TY+Hc4}QDfZk->ywN-biTAHaY^{9JB8g<`S zlixh7y!25`JY$~2qglcfbn|O!`G|R)ZL<&OHm|OVN~sdrJUk4!=d7r9Fdo zgrg1Fn(D*@&z2X&np{KX*roBxrd`P7Hy$jW>uMqR|6qAuYwazUP3AdOG+O7Z z+4HvdrHQEgcwPm2Q&{$GZ%eqJ@_cpDdYI2`4LrxxE%%`<3Pzk1b{~2<0xQORKX9Fo z`~X|&D4stMb_Eeiz`2AX>gT$ke$Zq{Zap-fxoJL1Kg8Ukukyv(^NUUx___Yo$xS#^ zbHy#!=$$y&bPZe2^qN|shh09oMev-TG~dTUm-DE6^@6=~N0ZO|`muYc{t93c>yU#-kc{IEkukQ=61HNi~~-51kwg(E&Ke8S~{Zy1vNFnW~!tBmOM0BcU% z?VticFB0y^6}q$E{9Tt@@b?7r)4}@$iXOY%CUkaY1TN*{qb}Jj#n+w(SV5hH!3JE) zV-_NT_lX(jXq7H^(1dQ*>Is83MBWhC676k& zaN)}I95x3#m_kJ;A>#>$74o)Rv=_d=XqXZ>(8UC^6Qp zU4HqQQ8(G2+kBnNSMk*lI^)zhHf^<-*ERHH?RUreMjj5Lq{DCf71gKWuYAFjz+<zHrty@lre`bb5Cl<{DXj5YG?7 z=5|gBo#{O6oNKH#^G*_AuUm*YwhN(ssLX_#BG{puA1Zo8;brb~)L)$afOb<>+7TuO}@rcAC3XB_TYh@PvBZW!rwfsBGUc@G2e6MvFt!z5jCP2FB&wbhR1?h_5y| z*+mSSey%;{V=VD6prp((`7^iWN!HAc6VIoozD`Hwihe7r566_qnXOS$_^1kyH*-Hx z9to@`;2*FjbLLMq2m zMhgbX+98pWE({``6Xq;q<$bjSwc+S;Q}k)5H2!tv!PusJqgu+wW&_LW&td(WH~TDz zvI%n-&-41Tnm_}44p~8^cJ=^A@3 zpL!&%Y0s8juIB)OjH4&$+qX`KNPZH5Fp~9hVFYzA0|YG!YrKrKS!x!4#N?a}XJ9*K zZ)Z#I6ia_!9rk(rm{!5pxA9Zey8ox|eT5?Zwa{HKIuuD=_Ii%|M)JfzHsCNAG1q!@Zb^~}oM0?1s< za;f+8Px_FkdR&sD+voJyl9}059fXtOsRp8so|`rk^&z+R^(ZIZf+n|xjbBZ+7d}?Y z2yP1N0wSE5EDauQ9{nB(hyob%=67f&G}KM)s1(-n50|zY{kh9$nC{=xq}pTUDsNJP}nMvzPV9Cpe{3dln!6 zWsk^)bo3}8AsnsWWCT^d$sjY>VY^Gn;$i%PmbKomJ7>_jX;W9LLHc*99(h|tyTwc0 zbP_4Y-)xg8J)QAOhy88FE`0^$0OiD903m0KMp^Y}IzCQTKF-m-q$8|lc2Yjd*(1}7 zZy|g9<{b_qME=h*d3D+CsVI&f;){0}`U@I<+PeQd$!tG|Gn23xOQoLr3j$Gwo6T|#a1RS`N1^^i!K^D8wIC-T4M<$q*Wh*dsJ zDj)PqJj|8CG9&->NEmJs+>K}-&@Un08}KyeegtouOzDaJa5-!eU>i}_&J~~Zbv8vH z_ASSBCembGFx%w=w7=t2>qWevG;gxb>2r9c8XuXQq?KA+5U^N>Zm{qJ#Q*HKn4<{N zmL-|f3iLfn)13gcp^n#KY+++5)T5`|0U3gh^wgf ztxnP!kN>?Kpn;^rMnTuVk%&A+-yJv23DkT7*l7?p*Lu|$op$H$KYn4Yhof4dt$*CdIUWK5=a9TQ%_y2hT`cm_awF`7^6F4pA0gv zDo2I0YZ$u6^ar6Zj?E||fy^**FtBhkU7(rmCn%@BqKwa1&7duFMBD>py3b5jTd&t z&-8O&k8xv;9VK1zscub+O_S+J-#<=ve`W4cpSYit92Xy-A4{s;i&2|K=VA+;r4Mm zK34GlI19Moud$mEe0@KGX?NRLMtBf(>KRKoPg%a)bOJH?Gwi}?E!QgEI$tSkKPFC`s9WH-gUzt$d+Tg*r==F7^aFfv&3e61p^&xpw}GRK4z zPO@Z27d9*!csTBCHyV)hdhu^fkU%QoK#euRCIf!9V!zKlD|}; zl3e4W=Oha$L>(5>)4ayt&Z=#1SLh_itr*;KV}cOhAr~gOA2BpPwSSmPV|aN?Msqtm z&(b4nU%p`7<@m};c+>O2i7g_PFZS%$oSswf;R2HTL3>>d65mTyN{5qReEY@mv@vq! zEk>dKaf{zD2@4fQSR%W4rfrmzDoUXaBr6t68)H5Rt$YG`Cc}D+J+5qXqReOTgi+Av z8-sJBw3K2Y7D=hadD3ei{RS1dHAx&cQ=`FpP!@Dg-T?WSzmYM;-erC9tQ)L0 z`w=Y{{^T9n9EY4EnH=16CP5HnNU9tL1v!p`^pvg8^=CGYU~$qdm$vOY=bu`{XUgzW z`Tl@44nRSxV<=lMzT6rgFJP|wLCjPjBpw&3vMXeaM~n0S#f*t zuo5-8QO)pWn}nyj6!CN}FQ_cSB>=zL#twBOym@)N=VywQCs zM+A}>Y;9tT|F{GGX|r5fHeuX1-Ga>ljP!oZTm)OhwZl#rHxW$@Q&q( zu#&uRwwe@a`SFBw=mTg9=T2nQ_u&>hl3SWo2=(RlNtT0V!!i~eF)&`Z*SVTN)-LD? zWlo`JmgsA`*s17N)+;huVk{z@XAC0p7$Wi@B8pfYfUqrR`wNR{L8L$UXqKzJ^u^R$ z3E|tGzN~7FaXM$Qg7lNX9Esy(TLKTVKOi%FRd0sxy5v3qS4q^DXDEj1yvu{p});yvLVT*T}+O+v=Z?!S+ zBLSGW5K|FSB`wA-=?$w#$on(R;m^i;QBJF8zYzSF3h6?;Y|4Cc=-2kjvIjdhGD)Lq zhz57d4I8JE<@0xKKp7_4eWvrrWPTe#i$EL6)f`Mf1>QGnZ7%1^4M3Hio#m3c)=Z<( z#pZ6-RX+#83C~-X<|MW2*?J}uraim$>tnz{oCQsrk)sLr%^}NZj>ck-D%!mz)0c?x z1erm6hQn<2N%4wI6@u`ub8t6@ip3joU@0jht5&z=BGB4>9dtm7r53gZ>aP$Qtt>s%n$xG477#yx3gaw8xGnX ztL(GtE*6e<8|i?|ixuWDvQeZWg=6vU87SE4U`SJ4nByPBBgnSe6n}5MD&+7P+VUu3 zO@!le zcwvwP>p$~JuO6}3)LPV0y>Q;+x3LwE-#J**0_l;%Jbqrws9OV+)FaZ`?$R&Trs$q= z`8We56#{aK4*RSv+udX-(}Qpbycrh^iUqpX#V87`j(H)qyYqC&A6vjwno07{pi>qQ zrWX;qm)`JQ6S50iC2m?3j{k{pHkY(n7i7H}vSx`xg=d6%N@V_t@6gmeKUdIszY@## zHq?@$6%AFGl_TDE4%z`H8WH-_>0-LRbcnfEfV@svz};v#XpB&UTr(;M`MPAms8lT^ z2wRLM-cnR7TLc^Io~`1X0)zwP3)DR<8vQ6RWiRz=b+uz|)uHYWXY-3%6ffHMnqtUG zG^Rv26n^~<13Em|I6Ri0RGpTa_06XB%zD5BoC1zB*GXqBhp}Y*eWCinmd`;#&EqJR z&=J`cQ@MC1F3bI+UG7hSevne?zuOFPR85%e*QHrCiR%6vm#^Gx5xF$4erM`k;_N zPB|!J1)^Ejrd2`7S;s*n%R&4`TTP#7{mO`07NfTiXRFW>4b=^o?m~a0&4;FCXCl#N zMQ^Upu8Iu0JOQ0n zkXGpinsqQXddj`AG02DA$TAgGgVq`)ZuYuCOg2qGc2=5jRH7CH(#_GEGi!5`NklbR zHQGd`gsU`$pb_Ak&bDepoIvENooVgXvBybb`k{FR<-?&Ot|r+)i-@TgK(hB z_<|IMZOV@lc%`5Wx!cOd={nDGtpZ#)Gz(mB2m%_8dc zVm<~lpr9bFOwSg6b6zpA-byOJ@~RtcKeE+c>3hw$uBBnU)mnJus1VP;WA~JnZEG)1 zYL|NfXBO&Or+=(L6`)}>y!=QyhWSG&yaS~rF_Jh6=qIZ)V%vI!1wj4H?)|e zC}vcOq90A3UQ{vDK|e6QbXo1d~%= zseRXZ&SX=eHrd~#$tmTgOJCI->Acc+mx@kTBQn~p0jz|}OSGwtWu2+;2JHOeWL($a zSGj1E3%$E&bHeKVc%W|!G+N}_%g&yiA!nuO%Ll*!LVrE9t?{G{R{2^4B{w>x*`D}0 z2uc#=gU6oqL?7`iX(z^Fjp`Sg1RhLva#wtpFnK)Q3k^SMmY*AT(`h_%|Jec;a}JY4 zca$G91Xd0^$ckyeUK!09zQ3Lh|5~CP$US6UyQwUzsM3u0F|)V-Jw65Tn7#{Lw#a+B ztWYg(3jID@hm>iu7_UzK&j`JtY^c_Wojt0g6XqK~L$l^jdlAjg5Q=p_w}=NvH6h)z zLSCHJ)C*I^po+HdR=^6EgVkzi)5(M7v=w<Dd7Ec&rWEf{&qg!l9zuNgU^0U_G-#1113LmDS`%gfX5O%+ zzdB}}$FxY%+UaD8t>_mFxsN#d>7%0J7yL9j#ZaW^C5t_}f|B5vbnp+^FL(N&y=i3G zDX4G{X$Z!^sU^PD6M<^n-(VESn`>hwwrdTue?ns*f_bU0W01lfED5YoYS_@ouErX| z{1Z?Z=G(xh1e^o7g9s1;1zg0b*Y~GiGdJZGn}K!;dL=D`qAk7_eO4g)|otFIta>bGhsQ)ExhEDb--iowkgVe3*{?hTUss z(Sn)L-5ZG8{rKanOm>Tv{~p}c=#!WTTJUM}ZoFSe3|8uRBFO?|#!ArOe14&*%=Uw# z2&L}Ip@hyewU0|4JJBdx5+X((PKjzN$3}0e#=fPu3^?5r=jCvKt(oDCn8bN;hL@~# zH3KuyPAh%V3NkK#E|_zjY^wIaH{-WwD7e%ux?}D*=e~~MB6>fcJP^9dqUlZx|RjUtU|Qx+yQZYH@UMI&Fk2e9+uO z*~gIMA8bu6H`2+5s$n!Y(w-kx!)HIaWkEaW&7;tkdiFPTse2t!t6>Ce-v%0KzuQhj zMZB6zCzOEJ0;#kKm!ohARd*b&5A2_S0{q+@F>7x)Qq8q7xg*KDmjory^_U)qTn!!v z=O|TKWa>DD+4Wmon(#Q#aJ!Nz(9`8ZF%zrJ&u9yG+|wmmbP*F)M=j@#`CGR$ktC51{*U^}_|;&C&e>-WWk@J(Dh zk2GXW3LRfHw7FU#nw@Kb>yhRKu&2m#3g!=uP6M9@5-9;vv+l{SVx|VJ+v7pTl#9*a zJw$ii;8WAWQfl1l=!8;TU~fMV%+;WO=zf`;34urU^O=9n5ceWKgEabMO;2m%a;XnK zp;65T$YN;H#Te^|o0ndY2_%=GqkP3Pd>vS`HtW`+BRdrL<32^3$3i)N6|JjEd{O(! z`cW?@osAxajhBOk`W~i=(*N@zMUACA4w?A*aXair@ttBwlyY zi-^ZP%`BFwsBc_`(42P;=eXwegN#!KP9f16yK6cPWb>#}j`^er@*a4d8NrNiFdi{m zL`8(hI;2~sGQmKHa-f5i(LZrIb{w4nCEP$gf4aA5GU@sg$1+VaV5Sv14UTyE%$3u8 z%O#q+S4Ed`#|=ZRN{5jyhY$X{%D%(mZ4jJVj$xxK=jL$g-EVI;jH`P^NIJa( zdeh;#F0xW{$Z&;qHTm#U%^!MgfWaFy22vTD0!N*k=<0!cuK8c;`$Bf;#btcku@mj5t+FJYnbB7QkF^N z3nuJ7QIJG!Mah+aBuS{gN_JLIk6L#@m?dA`5?Re#(q93 zEZg+KhapZX+b-3qtD4f2^Q4Q-X+ODX&LBHN==(WK974d`i*2&etSP-fT|_@~hDp=$NJMjt>VDOfGCoBcmE|PL}Vic~^m^Q^r-3 zA1j6|thLHt+U>U&_sI80%w~(PfRMiCf|Mdyv=1nA=LVG0oRL*mU9AlLGw`txlzG)0a)`CZs_f)Pg?W z?X+xRRp3M6qQLz3`I{x9S@?xyup99}x7&dIoUvOocl9K8FkT(7MHdSzc=*lYCS9V(2q-<^i3q)8vs; zKkI7-L&wp$+E~pn2f1kD;@_qF9p#$_Ym9Ewo$BlQr82bWR@YjI7t^qj{{xOe* z*No~G+f(N*LAK(aSG+%}mMeB}%(*wp>Bd!WD!QlealWPFpA(={a+ z8v)Exh(OF&wzc3hSZfwW*J8=qsZa{yFb+F#S)ugjVoI>G#BZpoOkb94y-nM=ce|SZ zfSHvN<{lpIm8aX1p_#~_iLEl{M~G;%s3J!q|ILJcq=zkIII2n{h8oD#+ML#oHq511 zq0z&j4$tRJR1tYQdoG6a0a_V5r1f31!pYh4%h@C2wyY(xjnd|j;UMFH)|?aVo$)9u9zsQYelNb0)0 zUx|x7KB}RRV~Xhd4q4Dv4eucvX^u=TAX;Cv`@z^P9WqWc|&0`*W z)J#X1q4wr9zBNzYh2{MaDR!q-a@M#DoIYpj*-iE;SQfAC+yE(JMw_^s>|U)@&RNUI zu~El_fqqtr76%r|j$>p^3n-vaaQ<+`6w0x~up*Q+zwqZwfJfHd_=#_{>kmSK(N-4D zIy3&+IrXeZu*NF6Za+(UP9o9SSXarMw*{&VMnSyUnJ4tNLNRS#C6l$BT=tohEX^x_r0Us z1t|vEI?lqT6<(Ii8Qf+4K@&6vB7dIa2DoWYBPm)16Y@@lZ9HuM=ZVjS55ekh4efS; zX2@xrcmqxq#vUzJB{YtNz9kp z_E$uaAO1aiPK84Xq*GP&e5Y#S!S7%BwhIu{mmnerQ`zZQDp2j<;s#C_HxpPR=`Iy4 zSVJ?WYV^=A*$nJJxx7`je^Sw}JQkrwbyZz_S!zDynyCFRH4KaRj{Xerrn?ggHe`R^ zSH;}xFskJ7Wwci1{BxVybqw27$!4avQNJ7py?$J2J5cI~Mj1U5%mL?-f6cr$C=h<| zfI5b{WLgZVD|FA7=iWxWz{k-Xl^`0-OMc^gqJCL#TaUYL%cOoYmDyA>#myu6pyEg? z;w*I44TOMVNXcwFfbZU8y-lArOGy4L@_?8YlQ)cr^O41bSBA~8E+5bxBnFCccV2g+ zRm|C_c~EAN=TgIh==b?s8Af918A?aL>Z=Q7UO1oY03CjZwOvWZL|3O~#~mP5C?Rx9 z#CzbV(?j}elI{f`GrzK(4*6VXBV5j)vkX!!dF`!dcwWOxXX%~^Q(Dh-eDM5jh{@C8 z@sdgRfyhn+lJ7wc<#wEh5pR!q8z z_@Q019?zZ0gxR*{}gh8UKFitiHk>sy^-^$5ZE)w<)xT z=_<8QDyq}XBUPJZjWSO5P-M*x#N>L$^k-yZ3qzOQjr#=-SbmRRI?qrpX)#iTC!MQ{ zY}i+ASi`2-(SD6#*nG7n=aAvFQ%1Poi;@V@{O)*2H^>l@m6CpF1 zCeY!m=mYsCLYGX$ziR1xWsdsI*bS*u?}rZcnHPB_0G-0nrOEXjLsm)IWMyS^<~My( zw7hOKs4alQR)&6G- z+5bysXI;HHFe1bmx46%T{zmpf-zBSno?n)M!NP!0*%<#<;6c<0drM6{Dq6_X>d4|j z@=HdqWPFxG*lFEgG#`kO`1CwhLd1}7MRvdebxfo0D*Y<6>iRlKPt2vG_Lp?5E_3jQ zPQ1efh!gl5>l@U=O-DHei0lf*W1Q){-CNe=Ks& zes6Ey4T*P*oh5(}zKsi0^t7kv(0L!#a2oa8k$qU3G_D@;nLDo>5|}cHv528+Z(k$4 zUTmr8cvwzP6SXNpjTgKs^H_>cI-v>Big>!DKZ0TUK_>O!0f!tZJDD8d^aY#e;EpYA zj*Z}7VJKW$+bZN3%HCn%7@ie>%+D~V)bS2ab6Yn$qce9Rhtew-CFavkG_^d*U(93} z;V)8o+#@X@G9K9!vN{7bl}88a;b2t~{0h;Mo~NNaJ3V47OdJoDJD*na5UxFaVw4~S z7({-&GD-v#LMig7dK_jQiy|E%@k1KWBz2{5INjGQiC@gmg>ZEFssFMo)C>WPRS!zB*g^9I!P# z{(i|%rMMbK$+gb|pp2+*`X2meL9{S<7u!WJsU)CoFv_2~7G;+z z=mf9UI}L$`tDft`JPb|EM}G30O?Yswsi!hh!1VC}<&8<9E4m!>9fqzRt$ z1O6w_+NYi4rZy>GO2Uu+a9V61wF_xjE~#eh-NjGUhZ;1y$}1CmI8X;|5bkDy_Z1oVyrf{!($u!bMWm?+{)KktZrN&BIpP% z*Hsa{oZK1T&-|oww;`RC_LGH*k)6;n+=RTc&j~OinAzI ze~S~v?Dlu&^V=3iN6Jhj>hqvJ7e_9qp_XqX6NOw6;c@mRVE}<|tC;d*CC^Kz&$D@$ zrtO;Yiz)Q@;Qktf@Z|${{}x>y;w)>gGVM@x{z~Cd1!06pcopkM9>^CVV+=T%+2-4> ztMj$Y>w}6O3Fv?_=`6lCGyhhX`jmK+;ZVquxaE$c*wuwUCmvv#C&yqC!oXz(2=tz* z#_p47yOhEIwl6(yv4_=ggZXzyPMxf}4dzT8o+?o(rpxEe5-A*Nopo96lsPxoW$GZ( zBRc4*?_dntTq=q$+y8|Sz)TFm^vBC{QtWjwg+(#FvzbNc4RqO?%$O+$o97#8{^Ove zUs>F05}K>)yOWu# zDmTKsV*A-+2p5EUW>tn+Oi47EX#D7pY))~+eIiD2Z3lr)A)Fd~`$wyu1IK>>NCI{@ zC6q~}x$R(N)1ryTza~LOFZKE&Fy50ZN+l>U)fjpOaY`*1g=;*DPR09mH9>Xe{D|y% zBIE3Tt)+#06#9(OXaP5PeP(+Bp|_G!yjl5(o}(2vggGL{I6nkX^#}B>KuW*(qB~X@J`?O1vXXN> z+KypHIdYqrN46xKMe5cqxPpS|1%aG0)ntk+B5CXHWgmPJ? znJcTx8pSO;wT^6^&)j{R>k@J4`~XGR<_|4r!G?Bv(nFSw4!K?%at%T^X(yeIb@Xim zub~b<5D1%9S(#mOA%!a%UqF5Oe#Fw!^_?Y0qgc=76nu%% z{5@FkV<}gcT;jULP@Z_3b@=PACg2@Vc*&8@D%au8Qw~EBuvOW=9nLq%&7Lvz!WRq zMMq=28OJ;StcmHf#VL0cCw8VZDi4!z7n3sYcBw#^6XhB+)AJ`$$oZ+tF}8HNS^IO= zLQ?_XUJZvcch7U6yfO#p7oyjLOR+IS%A(cD8yHPtGG;^0`5F;AX<^I>XMY(T$i!eh%ZM$vzri#`qZ@>>+QZ>7o!S*S&KqK3sq@9%K26FK9KauBL%VVV~ z<&XdX1B@cUsf41(?g)$PkgdY z=g;tUwW?uIrr}R0P`_7vInHoK>zMC&5n?DptBh!ZDJhg^v4nJ*n6Ks6G#=5S9)C%Z z$fZ_PZ-3 zQ#H*uoPIY3TbBpuWgx*HJ#?rta~bstxa&GQ7~XwkD*hLllPSXd z+mB4*Z-F~1i_djRqO*8nqWDMnW(La1s&&bz)cO)VU(`{EhnP8o=8HMt*QbJ;e+_6o z)UhV1&wd-Bl#d<5%tBPI#WXV|S>T@Z)2Z}uHeq_SV}cZ zyOWuzdSL}!`U|p;8Q5^9?{m#T9g*`<$y)8-!nT#^aAk(f5Gs2GhM{k$K8iM(#s_kb z$3Dr^_1O0eL;G)I$bt|wIB78wRmS6!0J?&)NjMgc2F>3jNai})Jr+EEB_EATmNN*p zaxB$^6TKv3 z$5hC_K7$K^yX=87PT95vy-I|M_Z{#*Ic|s;WxX*mO8&kg!^QeuGp3ouB?FegM!m%! zGdHULF%Rkw{W1B~g}2ohLsQG)5vbWj-8n2C+6K%yb?Tg$+vG0^0@h)Kv)&Gr=I21o0Lvb3~{?>iVQCXM%?*(1erBeg1+T8rAxx{g6lFTo^`)`3|Qzp zqYX#}_g<=xY}-8h%~=_?pCbIKf__Cv0fm4q$>h91DGDrl*JWP64M#Y4VUS^ZFL#aN zWn;_MQbj?KYPg}gXWEV^W*6y<9Z16ZoQQOl30YsX{$;bf_y{CUhG(}%J9NXm=v5TP zlgsY>KBn#}bj=HuZwr@e9w+2G(w*%&JM{Hja}ui;NsGIi@`LENW_`y0hSC1SmYIqF zFO0Ubl!~;{f8y{A()OQ9Jm5c2Fvi9J6y)(J4HG%H()MHrvNE8$nz8Kd(l$~(w*LO8_n0P`cgl2%=Y=TArKi`rM&>PDmX z0K20FeK)0o?yt=Nhtrje(=vH`9JLk|8J=3 zpXm6%dcc47kv~#Y1Wb*&!ng5q!{!gv{?8o*m!JOq2YX3LE{9mvAn_&LwkpDNg z{9ju6KQ{Y+Nafo94GRBPi~ju(3flobhrz#4@Bb$#ob5lx)F%|q`q_Yghr&N)^?xDs z{|<$7eYW~vn^{;t#rXdr6#gk{|DT}n|C>Sm|Faj)_1^~mKX3VeFz{KvGjo4Z>T;hq zm+ehhh&fokbF==hXM(dd7MK)?SMXkob)2e749Ri=j zj#5ol_FR#q_=$IFGx4YflaarYYebs7j~JiR<2m-Y6K01}_KTwM;sX({gE>gKSiNCcm=YaBd$QfntUGn|QXV2AU~K?}^bcqrBTm z!Wf#*OKSe7eJD8LUh}F}KA@7IVnNzx06ky&7$*aa%M;1TH zVAqxJuZu1cqwBYU3WxG&q;-m-)$0(-%fFOvh7rSQR+i2G^-gQXz;9eX^MaLKuWy}Q z`j**{CE8^V6nwenQ>ZFN-%>q|9$V{=|Q1^4`MI>rKJb?R+~ z{my)Fa4rw&}K!SZ>;Pjh5f<&of?X6fgK2>y#O3QeKMr#Ub~4HLO8VLH7~ z+JLl2cw0j(87oS#>)h5#m1{SvYn6+yl4Mh6#A?6R={Y?o(ZiTIBW~mI$LsHr89r4d z8#ry$gL1gH2SQ@BkSF*(+T#?~{5e|T620hiRNqjh!#i_7Qc}dxSLa}cCN^KyK{EyeT&ZpmxBN#aZeZY)he5;yEXO_3A}0&oK3*q) z$QHhpQRr8I?XGy}!G~0Pbeg{>-P>?`Brn$!U4tEw7!KHrE5~W#xu|o-0jK;U+&a}3 z(qu@>seMNb(7yX4Y zt*VDweKe+ndL@AYOL#ZYMb?Xy=hfmBhKrG7t725gkr#Rkl_aYO0ev@jN6Dsma6bn9 zwz)i5J3Q8aw5hXhT&d?vEFhuvP#3LG48ty`4Vx0-D)6Q-wkj zc?7_R*gEOzhXDoVo2o(xywMlIGOqm>dRnm-EOVsorRF3F+ugh8wp$H_qX!<@`9rFo zF|J=?Qo9`i@B&OM>J=LkS*k@F6HSdUseO%-mW!d7?SLdxp8a$UslOX4$32%Yfi}7o zLmSVb{02O5;cy*OrR*;@$JW~dcDi#1ZbeI#Td4(ynf1!6<_v`IXQs><_eq}FtahZ~ z9a(4j*pGFL%u%RwX36IFqCamIxgVQWW;0B9-6f_6`kgAhRuzLg-*#)eoHhI6YcB(r z&1ILkCW#&R$8oR)6u2LBV-uJOy_fy@3nLQx0u-xpMrS?3gqt_^Zpi987kXlfjJgAF zv3A7U{(hUoY2-3CjTw^m&*$@_nq;*^IKHQN#P?i8VxNi)s%ECzTva-uwcuWu0M6ea`#UU^!S|Ra!jSM zP?({cO5LS8xAku47MO+J)iOlZ z{Raruv6;l;DomPOWi1?e<@BtgVTVc;yv-D%?nJ>+g+g)m*cGEX1bG#s97|~Ik_VgJ zvBU_jo_EXqpTlVOnB>0rd!o;(<=JC;xvWV7-VBF+HtuvpGq&Hxy1z5c&i?@Ge`wg0 z>FgY9l+^t@mkG8yeAD^x((+2q0F&Um(#c>(|Jtcp>Sl0+Sg8;MxY>P)=La#4~iXIP7PNt2A6t#HR2pX@kP~CZwKXr`}pR|BH2W?_%;1~dut@?uK%ga zlUXvY?wCM=Hv2Efu6iuecI2&}wTMUUqRpOC4~Wy+;r1QbrMjIRo#>GT&88j83H4i# zw0}bEL7r;OGZnZN(ac9rj?3+H)&MVbbSt)Y?UzbDBJQ>q3Hw0{w{y zK)E$3z-|w_PFJ(gQ@lrEJRn?Pd|j=^ByYRRF4F%h&i{!4)((Iy{{@i)lm=e$*VWJ1 zha!`#yJHI{k=Qy@W{);B2MRr(FZ2n()4!#{u8@KE^tdQ{RH#Tu4MWliO$yHdtpPzL zCGlpI?TIJ$MvZ(=qsgs+nd6y&cXtwef@E1vG+!!=4uKl_e1?3NQ!j&h7B#MC(RgI$ zf~5_mYY^7zJ8w|h3fb~n`ug=XHllOkmhaZ(36`JnERU-be>X0YOT@88V^8}O_~hZJ zx!$EC+KjwAx2ve}Ge9{jk~Wmyw*2O!8*t%zNJRJdRYdX>ikNAy;`f+jyzdY!Yrkypbg% z!WLB>YhFSyX1X>I>0b*F&WCXuQMOEQt3Ra|mhu7ZJyxueT3>mbIn0MPLj}}qaKsi? zRai&fa4$51CcjN%+YK3J`Q|sjRc3^lAYcs0`N}u>^1|d%sT%Q^Ygz6AP1>+V484<$9zG+vUpa!f?bpL! zq2}?t`*t;#)8t0A&U~)ExMSfTT-PvIbkBB_1TdHz$>Y2<5;h-@z&hVoBIQ~X%uah( zg?4)6RdA;e2!YH#@VqD>K%cnu2gou=q#z%Ch8NwQyijw~LMk{w>`WCsK{nFD0BVS8_ZqV-7`>;yEIkH8>&ji*B63 z_LR&K^-2-FgXfHPS#$~|^yky{=i0M)(t5?9@ToqSfdRyn>Yu+5F8(~-it?4(6Mfw) zh6=#n=c4-NtPDs*L;s4?uxo`7PtQd4#reC%&$Od;s@ON=0h~92j=)A~=P1se6m>XV zNlB^5N9kvB09=XG`+nH+C-0}Y@{y9WT)lG$gXUFc<(tj!I~030?425W&&R@}Yerxj z10)c;!yvw=$3<9Sb2q(|W-!wVbnF?x#a@q*kvTrWozh&0q*87&;@^AT+<}` zkz>*2IGjP%RVng_VZ@klESEK|7-`&y>~rp@{SSvVS@EO164J!bE88m^dr6`h{ws!K zIBrN9z@_*bsNw5g#}s--BEEv4@Buo+up91HjKX z?`RNw&@l<*HLo)V3^QQ+O{^2R8a(fqz9mG03^fpqS#r?*x&%O+gz>VZqS{c`D+G$V zU0u%y)SiktHc%7R+G5L%k>xsTqscvK01g3med&6a0Jw!35TH#gRenau-YL#<$%r@N z;-O~O&?~HWk(#|-oKoh#IOk&17C>KLPmgrK2}ZaN%7Qq+Nzmt?FXoV zA#byzYG>&xWnwC0jwuW%3--?O$=~R4ksGFaSoAq%2Q|8Dy!iTIkNN|H*t4(pfzycj zF&hrHR4>lS%!pRONdR=GyY>@vS45M>!&9H-MtX0baL;S2Vp4hWPPsRNP(*9_u}4gh zp)b~pb$z9A((ka;ODgY6vTxRq?pmsy3LQ3GZitrLYnB~u_EfveKmK$t74v6;IBMp$ z+TqsBI^-#BuIckdD)o6XL1YcT&$QMHAA2Mhb)-!}{zKh|bemY?HqVp()h=0^7_307 zVPPMq@-*} zXT(?OB0zqIsOx$6OwxxTusQ~0gvM^ z-0_!5v9mVr5DN|KQ*%q+b_Vzj%N4e(X`Nw)K4Aa4G=eQFdEF`p{-Ly?L#-`b`CFHN z3~_ds^~cR^I}>=T-7hBmo&GKXDyC-?$7aE6ivFHF3pXxQFPFcSKom72vOWynrO%<0 zRu382Cr5mzYkG&SouWk8TU=7zFK_Bbg-1n zBe2ft@Lop-`EM-jr8W=Wn>-j=aiYVRndm!+f{Z%kx)JH^Hv3G5v@Ui}yqde-*P5;@ z?%y>pE%q{~1bSVMELtuHhfqLS7xF&#ws@1pCt5~q2Q);2yL}&l^H$S7)aepmNM3TET5zVDR|A!{#X!+a8OnM>uj=msuQqVJXOOcig3njs zK=jh(#!Bd2VbyhF$th*?^@Ue4Lsy-Q%iO+|=zA7@OAC&WJV9o?fIDKA+z1VCfl$4$ z%T^fmdyh-fQhhnB7tc@oNKM`7TKH~n$zxpCV+^-7U5n7mBF!!P>n0gBL%qe04~ZAn z@^4m9-tEjAVZsIyyYBo&(BP)dEl=;{D3-Ox=Nj|q68hdPxJcR4Pj#t|PM@SDf55AI zIrS3a{?V_?&HvN?x-*@6s(^WHp*rilsb?;p{8syjSjeB_(SFZ<^Ex=>$#jrYI*sMS za1B03)&3muKz&Pj6!1IloLWdWUJ3KCrdUL=vVQ|~HC#>a?KPhYZe_l~Z517RSL5%c@>cr#WsLe$9xZ3Qy#Qwr*ef1u~K+2E9QD5B(EM zeKmdv^E#l{!`CYMc(FeCERszn)meKJI69TsX&EEJg+uGzoIRZPjc)3P*thRvJ)Y6k z#cM`BLx+!|P~N^v&RHzqhFoz=Vj%kjF)<9~{HnhL4Xf-JK>p8QsLY^NZXFNodl`MA zzjA!vlF63pbkk2Ef9H2i>P35EPN$@U;4n#=22s_id z6&G;6p$?Wo=i)riy3C`w5bgJ52iLqCVs`!M;)HXQ%iBxk{p%?2YDl16gv?Sp$WrXQ zIkO5D{l~5$mMgx4?r)jh3bD0(nv7c2ub2kOfVDN-d7`D0h(qTumri%vlC20%g_S=0 zM3h3HxycgWHU@t$D1pDqKK^3eAh`bJb3`JFZ->mrZH)A=oUhU zwPHzDrLLR{RdJFFpEhZJ$+*!zbFw?C_or_<#6F?izM{|$zI3w$@k_JPj>P*$Z-B&G zi|P)jCNEe%Qu)8M7O1b9FCji5cY+dTeVkr!77+VrSI{N#IN(L@Is54I%5;k6-Zamw z44Jiv`7BA*%bP`Nm`#=&s!JRbgtuspba=h|Ajj}`9fc9d_tNsjgKhrYl=hl>>^MK+ zymXhRbRvJI`LCzdJuM)RlEBDVY`W?e*YHvvDcZ?RQXM>hbjtl!SHuneTLM3J^BB|Q z1MU>{}6{In}5VtSU|x4VPoP|YovFz9c|(>8zMh* z*_;n}ZZY%gYvt&HKAJOdMAD?T`^MAl{d7Zdi~**{v);X|D<(RkXH-<}c5$u-SH0kB zG7uEJCfhpeMLiNO;dy-#ydiML^gdX#Qi-~X#+>1BjA#(?i<bT zi7h+OcqyA*Nxhs{DQl`H5ZoNcRBh1F zYz<%dMR=a2EK4tSjzB@UUOImOSxx1noeY9Hqx95*nXd~D$;CFoIvrV6^K)cIxqBdH z+Jb~p5W8N6;OS=lW)R*fM#^T)J)({TG1$s6H_>^~!msn2K zduU>;eJA>}h*(?E%^gfM_#D>VJV>~Z7G4eI#E`PskR>JCzLIt@roonO6>Hp%OtJe! zU4ytCW|HDV3>+=-Z@qCQ9MpVPX~F~uyHiXGeEXDT>6b5tEo3RXemb~bZ9+GZ7D>TB z1j1hFXed_b>7qAa36+Tqrhf9`@`gJkjsvw8A{E3qM}LUo#IeMwMmzQ@$We}ZWSv`q}dWxMBys1h2G6drQ0Xzj+bM-MR^Vpmbe^gYB;DfbFkQpZdM* zgZD6LCoo~ZAHn&pfVj8Yop=qh{Yl`{WH{c}1MrI5tgIKIw|wAK>&H88EZ3WsIc{=< z-5&UrvGLsrJemz+MS49rgYk~#_ag*L-!4NfM^K%aFcL+7uf|@7$2=Kg(C7F2dizXg z{qI?9+{K1z20RZ}_}Hu_IP;D;*1h)0 zYfAur0?FK1r(MApf@?ANo|uc1wXEVFuJRqHTOibErNcV4hM{A67rygd&}jhbIaB7~ z_NC#IThLo)1ZF>>pa&VZgc-ZAR>zWJm&lXK6HNDGC9xmY!d<7q*dz1Xs{qI?DEpZe z=A7~X1WoN^V5dOwz9Geoz<3Ycc_a)t7GU+6BxG9qgZ1fchp=(~?$dKR@Ynz)y zK~tUphCuo(ye42CnREr%qppoTO3eGda2zhI!UJ-iy(+#n47i5{-dm(TEe5VewCVfM zZ2FBa04pDSN}-cam#M&A!XLVsmpw%OnDIG&USSV!XfB=_A7XK78z|=>8LecWh5_`U zhfJtKLhq>qYTlvs2a~_Hr%Y;B?+-yQk4b#VY8EvIm>_9tq9c&#!7)gzB!BYJF!wbW zDkJg^q#Hf!!_#F(?fYtfPK+tyC zJd(WH@iFl@>2Utl(#~>|?%fvjnAK&+(wg_oMYf~c0IBg4VSoST^7s8EJ?XOA zsQe(|xunz_rV{gDpQQre4zBK(Cr6QiES}F z(zS=i5kQ>-;v5Yt1l5Qk2x$e`+u<&|caf`6o9Eb7#&)$M+4w>o%8kHL{&|mGeDNLo zPNXCH`O|k0VG<9FB=wR*!W zBdH8&Y9)PK)D$9Tcy7lc-GH^KMwb#>QS7c8@eaXpqY-eK{%-hC$TMGi_tP);Iy&W_ z_%hb2$m-t?8I`g*TDBze3Z^rQr}K^ZFAq!y@yAlQUPM;u(A}z60B!P#uXw+4(&O$` z#TiB3(=pTK6M)Z3k&GWX=uQX|LoAvF-}U7>iC$345AdHXs%y-i+(2(@`qHWH4<4F` zc6sb6saC;Ihe6TtLh?x*q06F7NMEbP5>%R$)UIu1_zXrUW;0}HXl~w+Av!|?8 z@o7)jb>&!x=18AG;I%65vFdG{gpsfo(HDt0{+#@dvTEtLvO*ZQ-1n$qtzN&rFgCN` zJCchJk+v*9fkR*nxR|gwYrn7|G{^|anLh4=r6$+e@QY0dm^S%C^^4OpxdrmU6Lt_Y z-y~iXohP6O+0}>NsWV!y|<;!6%O_(rs%GeXh#Q#z|{+y zEBV|M!-KtK0KiM7K(ZZ!99hKzX6(^cyrJK^BKM=;%6}X`U$R$k0oPb#eXI}k2^_gv z5Udg^)x(Sf*BB>X0}_CTr|-EylW{?A;Gz8AJf2(rG)uhdKvh&W5ePv4ln=D5B_fB& zSDqudt1^ziNO(em#6P}te*HKg+!oW=(m~Swf})Q-=@R zx5<`o(Z}yC*>5cIgP*Qz^DDv@G4DL*3-;@*II(dFgcd!7D3q|%JT1gOu8ocVck(J zbOXVm-WdMP<*@p$)*S@+J*|0v3BDoB+1tYZ-oO)wn6C`D2KD|#JnU2opL7+^FzOLw zRBkx7<~ZuxGTOx-<=A2D_P=54Ee#hawaPC0k{MCus z6(Ks>-6v;MXsAGWUtGqTvaeh|MY(#^86{?a=m4GK0KfO+KRtc?I)TN7Z|A|1`I_)k z32y+gLhuaXmQo50WLMMm_K1CAc9mD!+l(h8oZNRMU$<>$V{B5g%PO=X=YngE{lTxf z3H@qrbbqB`Jxgkx?VY)~0K{3H>PjA?)P0HX{reGI73ilu31YKcn&Dfe&4eamQ)x|j zkLF@m&lu$oIfqddUMckkMgt3*;Ek&@g(&AxztWY;)I(dA%FIc*s<-bLhHNB}ldpZes-L4sc=5R?l)y8a<8GJ|?crOfhnTRmiUAFbfe z6{`O(ZMjVZ5ji5fSi)Th;w-|Gk10H4x25{zSL0k1_k3oZ^G?WJHHivg=2bQYH$F2l zl`U!PyIRDAAT5sswl82ESKN;Y3$&r{*wB$T-}guHwkYt)((1Aa)a(p~FpyG=@KbKx zh7IX@yLRt)y3Ho{fx=1;0XWtHxI>$YsqSuN4x0qisKvnr=rov@v`QKm%<;#-LuP#fnD(NR zU`mJSVj+uF^<0>5TO3}|s|FotV_hOD=)+!rZTVB{i@+_)IEPoG>-^kdMf11ai<)#a zoDQA`vS{wdM}CZlY(~Ah49-x2fq$a-K?I2MZ?@t=kbkBt@$S} zo>d{4GtLe>wO?WJsOuH?qN20|80DmzAL_!$Y+mOq`i^jLn**C;X!_1PLp4Ry+Ni5|I^HcyC$jX=A!#h9+uY&#{+lvJCx49!z+4Q6m7W3GY?uZx=MG)C%}MQSV0 zK_!?~%p+9j8s2Vb)ijw3VQLV=UGk+?Z^ip;~Cj7B4^H-qX5Mhoq7>-x#kDncnesz4n zx?E6W*O}UQbIO&CSpG*-IlR#?C~&CA(yn`jX+DVyeN5lA`8i#7R4LO5{oS?Hoeq;q zH+iM1UTNCAigH;-8OP$*l2wjH2s^6BvmM3MmIgPJXzK||o9bHd?X_}IjL@>QTRCV> z_JpEkFsRHAe?r0aPsRg2-F)K8Y5HlJ6^{gnW(H`)Dd9GZ(V+W6L6Bng41AnZx1i4F zvgAETC$7QkV*>FQgza39^G31h^IFX>)_~>}lk>WLllY05V?;P%jd&D3nqjs7;S3>~ zYpshfGaeHxVj8*EFl&AcE|4+kb7cL~w-PYb`}h3?IM(yHevlD5XZWtQ>R#lL0(H7g z?HbpG<(9Zw0J;M$Ir3PIl(b@E9esb9=KavmtoYzh`LIQQ6t66ez|5%X`xsX7m{<*g zJDAGiF?#@Hj+suT^V^&xN1zSH;qjO{n}~X>gwH1KZ1;sCQc=@l^HK^hxNZ4^tFnE4 zUhggzSlY!^AID<}R!d8ZOE{CxnNaeHdjh_KeKG4Ll`u349y})BsKai+CN9UB09q7 z8~_S?t)3J4_kF={oy&1@lRkd`_5NFK>a2*vpd~p`k$Xl@Up_q6NJge|;=uZc zVax{ii2c-r%k+wS&Lwu^9BE!x4@(HGf*FvMlTb^(yH1e%(P{V+iAll z&4)0ET;8GC?cku5C7TjZ)^H4ws3ncyz-=jyMtuqRcz8>Uj9@st7C#gef9Hck!7C^=J^{N-D5 z^Dp`XQ$Eh2I`imgny|C_BQ5g7esf!O_8+-i=47L%R3!_C+MSk0$XZGiq*~+5V#h`f zb3#`eY)pDjdwx-G&T;l>tUV$!4D$BYqyAtxEMHW@1Od~utV&X}_QiOIwl0IXu8m4n z*-wTs4aM59wz@bsH>YL7Q>l%z#HBt0He?Pmy6Psw8|CKCQFfeNr+$D6!se`}ot)6hijxcRzuJ zkT0Cnzwn+Dx2eToeVui=eo&S}LfV%MIlqno? zK$V#i6EyRS2^?h2qTi=zlv$|FlU`mz;YhbFh+WjMxVsSfeOoQ7c zhTI&R&Gd7~R92Vf}@ehZ+CDo-`6*McicI`%|+kYjGy-o_JwCUQX_zE*aC2Cy_h9NdM zKv!%GNl_EXKglwXt~|vqWbHb$Z778_55dS>izzDZ#*&2UY=0fyU?)}19Q46UMka(~ zq#oTpkR3&e-)KEO*9bY!D(>q45$1Kg$+0?9dE!)XAA`4=t5IK(ELU1DKtgfrK^VqY3`QcM`fz&+k6E@? zy~6b*EPQaj_TQ{dMh}A~Q=y`tuJAhR^2(Tv6q<>p#hXw@N~6If{mWjE*@nQqCcSSN zUQ8J>6ZJr(R>t-B^2o7mUgdcZq zuP!11PjN)h&U;R=sg_j$^pqvxC0rQ$b7Z$KmdT3+G%@f;1liPEE~2j)j;D$|SyE!^}i1=#>u!$khrW=#zBA@YG=#c;wz02$5ek-kI8e}*pHbaUr- zkPZ-S-=CgH*9f}|s{oyhy?o?_!d_z`wE>>aHkD*ovp6Dt8W35^amh*)4i#VaA?1kd zCVMw-YT$LqbgbZVY6u*uq3L4-p(#d~S`$l!Orq{J&fL%>=};XYE3tI(X4kM1qvKm* z8ZRskp-VZw-*VYQD)GQxevR3xrxhEK$!do(noSD^!HHLr_OFbMe9W>S?I>?Qu<{{e zKVjRwIcTtrC@`V);eS6+TR2#9JakrUoG}h$K)+iKXT& zR+JP~OhlL>o@c@!{8yie7hpJ(yED_4q^L6n&Cw%X-q1S1D5+FY%9*6kv+jL?(m8cn zhKmHhVriArX!{;9A#RAC7YbeF4+qZ5+6LtI<{XxmTX*SBT`EV$o)}?P~mb17}(=MbQPA(Vy%M}i(DfK^R1|``H_zX>t2TX zJtEk*oHrl)t%JRu*)@)(lzr&vV`2n3g3hzNOv56ieK}3UFY&3T1HKtQCnl-mTJiV@ z76e~>HTV5U7fUQ9=FqiezYccy;piF8T{Y`6G{QQ3rZVi>m~90o?>nxQa30w9%~qgT zPWM3a%&a$H#jUdk8u5 zH9-vjj;lmw{w?80OdnVIBayTyZ;1WdteON7ac9UKDzlJ;yn<;{JYhi%E%8Q(Gn$Dj z#;oYxDQ!_wybLixh%<6XBi0IwNSEu5wifZR&}w+Hg^;3XC_1rR0)s}84C)TDAyy*g zuO2iGcvOb|g9tpt2sBihUt-x&n5bkVq#+_dV6YB*gM%Z7kx^hVQGby@hNy>p`*Dr6 za~MGvs?J+WhFp)Fge)6Qok&UY3oaozo*or#2V=I(EFM?nC_BDh$QR8~Y`9v;_qspY zKO56=6io^0Sz}mU$QS)4NaCh5{!+xaGu|%5n{>}AVULDb5B6Con}=#IIDRU`n>^8* zdJhfdxi&s7*qd?>QvOb27#Q4f8A15nLw>lOUag9MaUGU{4;FLM`av3afC{|Na}yi1<7B~rq(f07J&k*Ep{dXOys9`qzx6dH8zH3%-A$-NShD2|7fZxJv~ zj!($7PxmNaM?-w2Pl3T9E}BO}WMN48Jn)lkMcl_{(6RXG_Qz%8FPHmgGa*BWJF?9gc~%I^`1)7y+FAWbU^8T59^`XGPzRRGI_v)v^rz>)>JQm#D~7T2}bHC=)ZOf zs6V53{b^s~+SPshVCG-#66{JmSg8P+SUy&a>;*(x#Dz(H$Zac zm~H9#6yept@xr?XhII#hr8!6XMq3XevTyZ)zU%VefAE4-UA`22+tBCvFVS6lH>N$s zGCcct=Niyg@P!}n-Sy-3tXa_RJ6;dnmg&dwL)M$x+Q$KK#kcSS^A!QCb&iMZ$ERLV zv|6oNcWzZ={d#hvvafcot>SC)9C?$%qm~Q}h=)*JRz7mXE- zbTN2-yZO#@m^Sye^IUPzepu1DaVwC^Fy}o2j3scl?xJHNI??18VEpB$`<#8#%5#`J zmCIe>O-{{8>ZSR7moqn7YAR2kSLfvTTyz$(zp$dRk5)I75(na{^0t0Bx?$oGPg65h zOO6wINmw!Yw}OtDgsRy34#)A?FD^bfag1XrH-J}_LbfSJ(Ym!R7tX%-SQK8UV(ZrP9lWo>e zp_DOO)vk@wqyao~n_tFPfOO^-f^S-TW9`}=TsGe4U3iF@DtqV5ECv>Kc>J5;8L0^;G6t-@b$|j^0*s{hh2WEU!(;&-Cva_5L-ilZT|Zmzibg3 zXIoIy45DZJ`W=3!%+N9LI_}ZY22&%YbXa6t`TZ zb+=6|_3-9NbTP2!=I>{^elRUtUA-eBQavqUYW09aP42GvtMbdK?l3>jX+-n(Q*&)1+MReQ9J==Ls@A-|zckVw|C9NzK&_SpQ?FQ*Avs|wd&=Cc@-lUX?`Jm3Z60e;_z75I<5F|K5JgPPp0wEuxMz5o#ugm9L)lAaKKjvFUNXa@8DB&Nee{<%I+es*y9?4SuwiQC$q$r> znHTrg=f^O*@&Rvi^?`q|kT&QjOH!o1l==>h-+2Gza#uY=lAF9f6H?HKZBSg*}91FE_W zOmu^VUty+kO?L#FOuU@sUY>0N>WTM{2rT!|BW;(Vdkvz2vD*2p%CH|8Y0a0 z7WT>Vb?@%}dzde!cJS7jRSm;Hp-ol$!PL?d=8Mj~Bu04w;BN!be@Ku-TgXq)k^T9^}*W8L_mxp5xZ- ztO|z-6;~0*Pv#WCXVDxBFf=)pN@dXyK3A6Cv(dqtPo7w5g;EL{PySY2f0(EARpS}8 zN&ZD9(eo}lc0wGnhG*j{C21L15L`+j&Lu>rSUQM?WEn71-qfG`Q_0`End=ulA91-2 z0O(z~*Qv!l%`u8uL~UA;H^26p==WE2CeT{pAH~n%0?O1ae}ot{ePlJ{@+qT^p+9(S z`#ZTH;a`vT&jZ|N#6844zAwOHJl&nLBt=4}=ag&R-xW?zHL1*cN3-|mp3&z+U{2!8 zze5SXvP(TW$UFMw{O+MPBt9Wy9l05-C0d^nMigusffjk}?i6Fpma)ka0mkj%{c0f2t9Ovc$r&7gD8j_cbhcRoM4K zlB)ySP5i{=s(L#kflPEtsqoN(se3vqgI7NENNORY=$A`GB)Yh_Kbwnn@=Z>W#+A9} znz95m;A`EFyXpi8k|ZOeq+XdDqyxDbN8R|mmr5!Uc6#b*9!}O}R2%{EwfS&=ppYb#wxJFl!+R(r*mo&0|13pEQaaUG$UIG9Jw+(xlD$Y}Cj#|3G4pdDN zr&F~#h5Oz8@oRhj!$n8tUg6|^X2-Z3yyRr`y`J1{!pZo_=yie*aWz9CYr?X#f5V>` zqu7Y&sJvk9sAxOei5XVRDa?)??r%HIHF-39-i;OY@3%*cZMDN2r|&ETeTg zx}jV9tp70=v9-dp<;9EXU(c!nwYN>OqOs zsPI0?_Vwgtjy%L!+Vri}mhu$l+xlac@Wl7J zk-epK7Q@B<(Ap{~YPnqQ5$shn1-aPr+CQq=9+%m_6l`izf&eC30enrO$ZIkaLa+8* zd3$ePa8iS(_zO-1Ywk%oE~!S81Ky)2l>B)6bUGoz;b+0#OX!)Jrnz@}e{ch%2203_ zeKIb+m*2o!8akR4(!$;lqgWE7m@u294;w$-DoB8vj-Q`xaf*TcUfT>5T&g{BD2%~E zYE6EbLDcAhF3G}hJsu{a=s%YCMhdA+_e&2gX72UpMPrrDlRa$9Q_<#8>NQ;^b>>Q+ zdHS|VraU``;7!^)$vu0SBA|Luvq^M*nZy#%a@~Jdpp#bSpn2HBsg;Ra^rr?r{~L6% z82Dh6S|&sd7i*nsVrQ7r9{w6{*7)?PB`nJMhbk5(mTjW1mX3m7uC$$rv+AB5Tbjgj z!GNddwR^T1xD5{}(6L9B9ZqE(^On~+M0kCY%d}Dpbm2sf9)ij7xPX?Z=Po2tM5msT z800iGb;@J!>>BMoo{=^C)K-1E_wb;r;1l(t>pCWJc~*i_gGu@Yv323i{svjCy$O=$ zDjL2|=8pU&WvzQ3>yh?x^qgKG5+)*{FC`t_77_vgQ`!f&=6k@5#5Vpkow%T-+v;^z^D*&qq;~)S73CQEI6YdSc$+1K|7@H z_q>XBD|GyJZU_DP_(CS8s;~G+Yo-6#eZdqk!_=Qel!sz7agtk<$@8A7icNsM`=`(P zyFs5mO!WCFGWXjqEAtpD&ao#4bdt6mRGaaAq@E`82zF<+7q z@B{j9{q{19UcpD#k38mP9n0LZ|0Zb$*%zcppfEJ9Dxh*-pBX25{pr$rI3hG*a48{6 z%VbL`vf?vPIG!4IC2^EE)c#skhWLFXpegXT(T|%+vCB>!qMHDIs}~)2O?MR8S~}>W z87uO;_!&v>^5Vz1i!-r;q-bBo3#Rh&-2)vhYs4rQk(D`;_oBu`W0?A(0j?U?e>0x) zv^185-$b}~4N-+BMtvB!>iJ)`j*7liXsFT&f~7oumvO~k?xjP%jwva!`ZFgC1yT!B(v%@AIC~6 zCy&s&aT+TYtS;{o7?AI?U&1Kq%UBu;NtNv|&}|we_RZNt`M4CK8`p`Et)R~JCjUNm zy4?C}UoqIsTr9asckm_YpGIF`QRi%DxEe+haOE^Lk~s2&h*0Ft?~6hDhr zbgcgl!VxlXGWjn=&nHbwLQ_%Y4~?3Mt%8ezt%ilHg^{D3go(xfU`DLwUtM3{E=(D~ce}5r_iIB#4DxA@mv-Q; zp)O$8Tg#jBWgfrYhjZ)m>Dc@I{`U3heyQJse3rob&D-1MY4hps>HgEzwxi#B-N4)P z!!tuOs45Mw^5uE=ozU0){rXn-288qRbnV@#UfYx0Uiy0ac(~SnI(ELhblUzn1b(&m z06u`i+?Ix3Kkzt)dfKM+F2F9IPt0@q;O&L|VW33ml*EGwNjIFEd?M*<`<zi&uHGOnB8R0$>Fgi$)k6CC-dooSkw)3Y%%gIw!_I%eThL-kX!Wr!4qG zY-(tRAgPQ&%qFXl_Ru!D0kunz{By#3Fsk5T^Nd&u%23Dgk3R`IvBo04BD11)Si{;u zqkvKa5Hn#V9!FzIJuU6?t*W(PJMj;h8H+i~x)!?0nUonb5;=8SC(2Fh5?K+QuLqS+ z*3C014BIJM_KxdLmX(wBNX||Xy&(jO0bzqhEZA8f|9gJElrR#s@!xO9(QVA?_Qo}v zyub49>Bq7YOmf^E`o}oaCAE>{<{UC1weXvweo z^C}wXny7^Bg(WodaKHyo7zZZQdgwcetxZpg&t$Y56EDgxV%*8zVLY&`$oCjY6XK=h zFt~31nj;#vMmYHsR-=ibpX4}rv>L>5W-yFtS8JjyL70V@VZ0GR!JC^d>t7$WE`IEC=)CpbcWVa%SL;WYHZFsCsmAYTu0}n601FwZjmSZ3|d^~g< zmM$EBA*GOAR-y}0sdhdGg>K}GFe6*)Ph*)LhzftzyQB6i) zzo&>I9Tb&LLbVBbCDN;fpV4?RGqy=dKLJy$? zNQU2i-??+|S~F|i`7e2r=R7+*r+iP@pUu^;MwK$4*Jn?=?+WfLjgVY5bY2}X-$Pc29zlQpYBWWXgW%p-ezsk)NM2)sO=jw zIaUnGa11s2_k6S(S8#8rc@*OzVI00z#CNs``B!_5SvYUZ#prG z8}Ndg8OMRUKhv_UZ~K;h^Tpui7%Kzu%PP?9n=GAT;Z}q8*P~{o2MoGRJv=9py|AN^ z*82i&LNd~2pT39!eRNEyVs+UayE7XE+nD^THqKv2CC9w~n649>!I*(?$ogK@Be6VM zlieI5)I|l<^fF)Z(i;h4oT74*OZ_9@!e9JAmlv*mfKX-%HT_XHuE9X7X>RK1S(hBi zwZhBf2IW;}cwxbng?Pg$fuq7TXUyj@K~p|^(#My}){E;q15#R!?`O_-AKjoO0^29hyeYCZ@G|A%X-rUSG2kG~-+(sTMeA3bdPTW-jA9n9fh zQBs_j+1RT=QIUKUpt5Rs;Bve9*V`v00zWq&RtDIy7szXUt^M=L!1%6%Ws1{|@zHbL zzvJvL2fvs}%Yt1$ewlw^?(h4o>9N!NW0$X;FU+ZY%|%bm4pRaQm3e$FxdHj@TC zcX;M+2yuJ7sh=~Bjxi$;I)j8xrFGkl;=x@L^CcBKQUS>w- z+Y-8psPgh#JIE&)+(zBB!aAUQsl&TIx}UR3?xRhPS-?>3*ifm~7&aUTNYiH=LnXz# z&Fdn>!T4tWwe65`#4FiX77c~+EKyWL5-fn!lb(tiw{isHr=`eHU72-n4C1Q+53O8h zn>wxAD14?kJ7$fw2uggr*;8Muz#>mC61Y|_N0iU)KUNAr!VBd~D;06ekv`U5eV$pD zbzsmVA!AhSF2~62N3=esw@>ouGqNO#m;wt1W#6nhmJET$nO_E#S6_Rn6T+1J3LSe| zmievqZD!IY?SZkyV(ME6PX$4x+-N`pQh;gEGvnviDtn3m2CXNa#$yF@MW4Ae6bzNE zgHzJ%F5hWph-$X44}MNt;A#*2+Y%SSCKUg#^TQ4Ou>}jGr zaaE+|TEA?5*A+|cW2TFf_d0pMIP)KI+x)+ zV~;L*$^Elxml@udW z^`bfa5Xy2;yO|e#L2i-g@fI`XoPgG9@XLScg*?`ZOY~-WO|`UlT-9v9Wa&J;1e6(= zow#+JuRR#eIs4iCw~8|07y8oqT~lZtwg+KKI1`n@9P7#{2wVu$uql#{ahV ze_}PIW&RherpzU(Q&hv@-K7?GarL_=EB?P@HUDFahl7jnJ;_V1=zpyGZ>*-YwA_EN znqv3F#bx9!v6|8{mxB2}pFeZ(bn^(h_wauz?R)=2YTvso|IvSc!_DIoQYtQY>BkrU zb;Z!Z^AcqH|1Ht~Q?99>kCPwJ^`599$j8&c4a`AAwELqgR zA<)9j(a-hLK-tTmWZ>rOdx_Zn?@Rx~$EJQxp62)dT=dm{yF^-2=6})g z|1tajK*!5TNlINl_W#CgO38}Li^=`(!hWlbF8PTim@R!0CaW#M+vM`sScoesQwtaz zU-U?-v1E_hgzDO(YtLzzi=u~DLeq5_lmyt-9xQ&=Q+Iu<^z9K{q`SCyjn>DquMNwL zE3bw8`L*4D+}bu~e*59u^uqTaUVk{ow;oS3R#&;|r#BtXg%~cRmU=nAM4{oqu5GN| z3xmkxIp1M&m_)t8TP>4AVG~uW1epVEOfGo~6|w`pQ@wCl_0Z}x^^)RpN+$lQ1c|c= zQZ+7|_FNpd{~NZ4uvL?OsD3g>C)M}w3g;34_NS>P6EpGV?fX!dzq7lLDM~U?mVSrq zyx2OhO$jC9pxaOxG)!Xef~gHp$wVSy&Inlr`p{)n&1&osU;uzP&s7awNMsKYj`OlY zkp(fWgaR0`(?Yuv^QOxkqO879FbjebguE{1Lr6rX^U|+rSIjk0XDOJA-RARtK~jHO z`>I!9Qp=xbvy^1iMrr##7vD4`^z=7$C#-+o%>FWX2i1{@AsQc|G>1Xe+R!odkfI) zfwkq(oQNA11?&7m07-C@CcyeM_|U^N02VRKu0w;JWeA<_Xl0o5Ty(hh395g1tElsP zrdE>;(aJAqJBeOY@Dkog5)1aYpezYBIs7mQ*Rp>_{@6k`JN)oM#l%EQ4=HWzto0Dnzb;yglYlAkn>?~x0Jsh{9RwIv=_MGoCnTZ`K^kYi&~%G zun;hr?fCX}wW**KZSQd+OZ0*W1m~9T)`W@&mv4}x38LDzl$d(bsyQ-lIe&rj-3C)l zD5YnFfAVX`v#0K#hP9!;)X>wLGL4Z`ztE!7?m#-4sk>dy?$FnV(ZNg|#rCla&Ym|d zI;R)Onip9IvJ9bv>*UX4j2t7~xp51TjmhDS-y6Gbt^N@QyE!&3qrXgP2Y3W!5m+aT zlu(+`XRr!k6VYcprq4={L92KRQtD@XT6~SYM<2QSi)STeL+9XVe|c!|cG6{nmN%gj zzp07k2`tN%cb_BKvh9lxo<6p{h-?f^+`wa9wG|sh>~ALYyEjyhR*Qg$L+iC!#)d4B zY&XnG%v9pMV{1o^Rc5;q9#^B-vV^VPAZODhRh+l=y_0H7`@dRns?@I^SJ9*1IG#!_ z!cS@u-mPN_ckL+FF8PY3-Y$w%( z-T{VeV{A_Qib1G@uama|H8U(_th_dq^x(NLY&vL%&zub zyO4ihRV~S>>yl|AmK@|C+_*bZ{Mxu0!07*pwH%{JuPEnmON} z9i7=67XU#gCm@quJLB%4Pcl>FAFWNq6JbTb-=V6g$%-5t&c%Nk*e7_kZPY*8fu|GUS>mcrJ|`?)E-FsGh`~?hYjCZmjDs~o&xFR|VKMxf zd$g!Kv>{@DQnaeA@331gI6@dC83w@<`xBl+U#~;Oi3c0zMQb|lGtbbQZ;Z+W8U zT<}?m>K)&>{hJM`gO>I_?su$7`4Ozz!>xx=y>3Pv!Cj!KFg{|DFm&*nz1Zq_+5(_0 z@Iroc+om9?5LN)MLiH_%Cb8okG;s4>Mk-E$68=_XWmAs78B+#n@sN1XG%{~ZeaL&2 z>&OJh$>h@uthMuyPau^fB*ABbet(try+Kqa@^r-#052tyJXqsd4=o#qXF!}PAW4-^ zIHkjVBQ2xXj1nrO-^HNx1Kg;)Xxq<~IgI$J2jAxWAv+5k7AxaLtc&fOrME|Uo}2)G z4G*&^V?4I@?xxn~CN8}9PN;QS`{+S`K=a_5(*_v7l5636qEB?1!2z*q{w@ zAI{1`D~1Hj78a&SLej+^XuQ;5EnZI^8q=pQdeH@_87=wP|J7bPe75j%)X8XR|6>Ck zGYb``C0Vm`z&;kBDLQAI>E5Yc87=noRh9Pq5MldqDtaxf+a_r+^ISuqOSJ)U3v#u< z+D!c`?K3$KYWbS721d^xST?*4<{#$di{;{O>EDsn>||(d>%*#4vw7Pqz=4 z`A5Q&UDSq9m*7$1$_v?_ZHvEuPYBC3S?7=}5AShlW#NLPY*Zz1=Y_6kV@+D1=0neF z*7O4r%3YZd9X_a#^ojW9jcjgXL2k;df*P?A$rCF0hhrdmO5zgCMb$`iWWarOhX2UP zPyP6eHkiXtRo0HKjAu1*Bbd@t`+Q5D^gLVJB=O_{=hxAZ-jSL6JSH$xQ-2!Qttpix z)eunkeU(w3x+l082l>8R-CN9gJBeFh9&R?dqdF}quLkW^-rjH}E@Lt!h3ltUR8z2| zk5;!?H)8XlU6YPr#&~|)vuuy;`ccTU0M9M=sr07ITW3rUs+JL(mZO#LV9xJkKQq4$GwbtTcimKPfl7c0T> zq6=Y-_p|tjx8W@h=^p#Wok_03DQaT8Tso%rdOG`}Yq$MK%HKkTXZaW0W~5!uUG6u$ zNZ|$NttuK^+z*GW{>VV-HJe*L$obLOvXH@4UDJPE2H>Hv7$PaE$o6edXms`ZhF8`t zY%llK_->NH#Y4&0OYe%Y9cv-kLSjk4&`f!E{!-%n-(W>pPV<<$-Z3RY23Y-OM;h2f zU-f)mu6mF|t}*Der^o-cxZR46&xHSL>?BL3^+3A&=PbVOzo%1=tE2i<2AlW-P<8)i z(I%oTwU+9hJ(8)W>H5MMBr+Ee{7P1)#WD06cBSXglJ~ox+n@uQ+EliLP+2>I z&&Bp$J$*bgJo8;28BZ;zZ5qPP(xZ6bZ8O=#o#ZzDN@!hhE+EZM>i*f0@}gB`RN{?T zAffX+HgsV#4(;Z7083v{d57+CcTe*8VHE7rx~CBI$#2@fJ*mBR1`Pay`}%PyeoDfe zL|MmvM&O+^$niW`SmI$(y*UX8=9ub=sXw{dO#v@V>VUV*KA>Z!kA<^f@l!XVUqTyk zy$0T@_kw2CYaX4B2%P>A80Ab(Vq-TKXW~$?7$nfHH8b|k`2(#&e3f=mR1>s35_?L! zb~x~{wnkp5Aa3dlu9uw$V711-_vX2&Fm z3krwXHxQPA3J1J8n@5t=X$@;FD>OIH2~<|layd^hiC&RBX# z%^;Dfk=#_m)OXmwcxqKCYboBILA8{9K&9mP$0v_HcN zR^}DlcLsOkQa&%rQljj?;-!;BvAap^C;XQgzS&GE&-%J)jEI(i$L`-#ERZC51WJEG z*KQOAog1(7Ra4&s==wA08Yp99H2?9_szcaCL2S$0VO)x$O9X4qbz$05_K{|*WFKb+ zPi*fpfr{Owbi?;Yu!?@W2I14pW`jibohLtG*)BDA$K1D2Wjw5tySC`h#|q@j6K%yo z%A%N&y~`N>{pZO9rJz889?^fN7Nb}z-~~J-Y?x!A*du_-Xhe2aZ_`7CLi z;2zsJ=Nq3lHw@pC?mUx!&Mf;EbJF?-M*+NUN!JJ6=)>!?MqdVC~ zOw@11iPh4N@h(O-K^tQ;ftCU;NKnS%8tj||yNI7_+oU-B6b`dQBmisg^ zivDz{e@6v#nb#`#)*Kf<$88{Bobb8$(xbyPZ@|!2(U|oj8?H<%mtL-$;{IW&OG9HJGEP?DI+`W;hmT&hMDC+v>e4M{3{hk1zirO-37z1=6!7i z-gOrVBEw!a_~!DMdAsD9N=~I8_91|_{q20z`0nNxMy(aLn~nwRZ^InPW^=n#djQ70 zU(As(Aip6;2l}`*BKWAkpalAOr}zT5GNCXqaZ&rTx1;-2&Va|6ASEy+uV&jP&j9zK zd%R&KJt)s`6J9;z@4kLVtkQ@^nY#ZzE_ew6=s5HK<1R7-fY-Y8Fg~{@UjJ5@*=`UD zeLm+)7Q8L8`+2jA`ZPpjll}P7yZytWGEN!}kcE^w;-sOY*DPxXUz7*;j`ls|Tjr14 zY~|z)i8(BAnz)cmUrm66!_r1rmt)>IR;_!tl&){Z9o?>|y&ac?II(+hEH&w^x*~mqSJ9>0>S8^0zNCJQeBeW0Yfs>pc=sC#PLyXTr$ zlNbZdcfI5!!aAQY0X)99R=M}VxvYIa>`SJJ{X}8SGqrz-_mGXWqudjVZY}B(^!a_W zi9ge}vlS)F4%qu*xg;T4aHcRL;cjl~xnU~5%OrX@%FdW0=?uXaKOu!9Aw7bn#zCw< zuEs1*-Oq2dy^17ZHi$)30ScwnH2&_3-}8m9WlrrrnHG)N{QEEs#NxmHUKRGw_g*6l z`dBkdN$3)s)%~Ji{X>oPN(Iz)tN+aw#fc=>8o$)H747kMj*$alb*9-f=?l|h(|OsK z7sR5}nA>)6hjS@o!EV}(w>O*N(KSV;Wk*aC>q72%Ay(}*cV|jFCXdF5=wLRg!#RtS z;CQ+40BK?~2kdK@DK;zLo5%L`dCc3HSBB;NcE6?#lnD93US<2NllhH>6aA2@f{tY7 zw&oIpskZv)XAcuuCv|h$B=@kTbx^%M+Xxkq)$7nf?I(Kj0a1&&nZ%%=Dn{tdu$wXH ztZZOQ4q8>I8_94U{1F%ADHUa@x=b*<@49!&gS)zMdlBuaWKj><3%f>|Ll}>+%A7Hu z)X!)pC{jUl;|Rxe*53h+o0(5*Fkw>nw7Fep#+s!G*LB#gEazcn>dqI*E41d03 zk2|J<*=umY1`T`3*vDvj+I_;_8p>ppHt`g3Fm=#QtGh5h3X+Flve>J@w@L<|)s6)f+=vwy;I$?d5$taXw_ydnl&- z9^$$CHPQvuUzdB1>`w`;Ftfw{4rrnLebSlV1=DZoW0zpas4NR%mpSyiaL~n{7twnIl9+mxqNEMB&JZPBDBnMWvRw43GRGO~HI*|p>$&4=+h$krzmJcp z=W4#27$ubd`yfpyr7+0a#oXygU`0Bg*g-up7VDAZu=7ugkTjt8^cA2*BT3-|RD)W? z37?~uh}S|x8Fk@v-{Nr3=K$&iPv8BJw`0YkSSW1lM=;ORT?fXQgj&9SZ{;4W_XY`bw z_!2z@_KfL^&AS+8QVl?ebP(quI zTS)DVa?w3D)}Yfeju4}1=X=EE>FoaEBzhg$l+ySv7fZncrrBk$J^Zw7Uzif+Ui+a? zjea>3Cg6+RKFMx$_s`F+*+s0o9iX2p3Jt&8I9yIvi|>!oyqg%Bv&sS&hqe772;qtti$OZ+)IokVftri^mi%y|6MB{wxpvs-W`?jkd28S*?y?>D4; z5q8dY*^u^U88`T5TsHSs!9EF6o0}}s&nmh$uXh>U8@MqHvD8qdNg-#4@jYN^4z&#* z=A-v9fa4vQ9ygZneSXMQ((k#>Das-z9!22YP~oj%SCz86mB)%c992jG*H(PueFCJa zS3obv%&0eK8gh$*5mUj2ugy_lsm;TRGl@2s>qx*y|BvQ6Mqnk0mG?1T3}N@*Y50!- zb;%>mb)?CN_LJ(tu<)>)-KcZJt`|M9R|__aMw%f!dG={W>MEAqA*p2aDknqBPqg^2 zx_=qw9BfTP7Az5%+udOA$M}M+rkCTTx}bna`=p_9c!Uttrcj6-l`@odn+bHwlXn)^ zJnTLrIcc#xx!NRP>k_x4lr`~V@^4NARIxAb65c8 zNA!Pysl)E_S+09C6|r613_e{HGq-oQ#lXADvtn7bp?#{I-&@1oN4dntBH#m$ zdOM7I?wYf&3VObHugFfr*T7=LA-iTtnI^s*obT4J!W-OnWzZNJrL&L8lC1IQ&#z#T zubHAtgG6x7u(p}>@VmJwmsHro6V#FV5O4bawpXmqV3Uu;2Q6b#7%=ch%g| z)@0QFuH#BY`;csFgt!z2tTN9q!OyTf_4nx*-3G6wXc1pf^|EzhHMkI*=QO_TJxk{> z1t{V=$&$Lb0?Hg#n8#KK7j-Ml%=ibO*QFg(wLB)JrjX4{r>X7e-78oM+o_FGRhM{X zBdB0Fh7w?8Km^sr9=T_*W}Up{hykZwCw?dYj!>B58&Ac}l)M^IKw4~+Jwm-X<)fJK z4&OnteW^Y9Ua|aR?0zHKkH2Q3+{Q=`gS+91p>$xE0$8xLhC*Y?`mZ)9Qt(K@cvUh( zO`7ml4MwZ=Z;4A6XOy0qcCL(QQV;Q4v$$0M-<70Kzo$(jNSWq2yRv_nFyyETG~TjD zbn`r8*IShrnnZ&uJ){Q%Ds~Iv*GVjkXx(WUUWKM{LZv23@x#SFDXeTK!#KK$E@=9h zP2a`be1WI>`Ql&Iofu5v-e-S`No+52IOX*9jdPVJtS8q`uy?r7=Ufy)kSn2EzJKpX zZ2003;M2l5LyM80wf>!uvz)ShOPP#FW>QUZB%!0W+a;O(1T+>jCrit5!1eA5!37_j zgP9uRJcP%1B*(MTAZY#r{u1E~j^u7|Tt6@;Fd*KbZQ8T;fdu3S2(?sv%OZ#t< zG$UV5vI}P@vSkRMNPBBNB7(^-U;<2E@6NvixRJ+Dk2v|m!SyJTD{1y`7q6`wM_uA} zHK7++EY|PN+vS+Sznxnri#h%cv5wyt8#oYzyh_5DOYxKQe*|eKaNnrWIC9Fq`V$HM z9Y}wDU^Abx{=i#=djEbmTZOl}j}DQ>41-NM5R4;nO9!X=5vG-&iWZ@)-A^VIz8=db zbmArwIg*|dfmAO6ufwEylEBu3Co_Ns8-&VB0@1G9Q75snc_^Ql7(QTnOCiErqeZJf z51!F9+o8&|xKE_8@_@>t*OhLL@#1GMr)l{Y4MR!ns*#o%>LaGWC_k}#8H!?+_HOpJ ztd&QJ9HxC`K#e5+(^MDQSdLP_2-ED&ptM37c%Fkxnei&wt8R!D44ixHF_7muZ7Qcc z*+sC`QY<<{oIk{8CVou@=%L12jE~{?&&ep4K68bx;(0|1n+=va;TTK%@fNlIywyIIq%Ikx-a&R;`xF#<1O9j zn7sBe&0jH-V_sCh8QIvHY7!GVBK5;)L&3nJsrc%H;cVaHo#L9}^ENfxlJ&Pd>UDnk zeMyZLEUp)QwVPWc)z~B1r!T{7`_c_>&yO_E*afJ)Y_cNrUOZ55Lcov22V++3XPX(< zez>DLaW^wBxCJ&xd!>^6yg+gF0+v5eBKNIL6U&!fOj=9n z7N1BwQ&npc=^8a!K);R*Qj#Iad(uz*87xp~dT4L3JXrr!FmE_WYI6tsNARbM`zCbb z3&L{3YfN_Ll0?eUq#F}#7wAX9zPbM4U_pr!OG|EOztbnIa4dRXJI63xJ7@Xss_aDW zup4|C_*tcN(tiHci-5LF@wGp%bdvBVucYk3oGY)SK)ArSV?kW5)(wj2U(kVHB>;uM@ID^TthpvRsWuHqr(#wM$!P4eyGbf zKz;Q|#T?)9ZILOa4%iL-(4fZIYhby9s?)Hipeyw#`3L*rnE8!g?s?pgSvO1r;5Y(iT#musLUQMRL*~1?>>)h!q^p6El_NC7J+=y{slSQx zlY)M&i~QCr3kB!fA91q7`H#RdM$z7RwzHPwYPo=`D4FN(dxso$eX~*}Rr*)AL&-f{ z?LW>XNU3Tewx}30WsRC0C)m@xYC|I>?V=?Ws$*rZ9@Z6vMPHQEUWOIxIYOwI7`tvw zy%3{5>>*l0aNaxHs#(lI4_8ILnv>j>KRH!lIX;+sb4jDZ3dN%%HbXqi$15)yGa#W` z3r#;2&bS|3C5edC;K)o4^;exyu(_DGjOt-wR+CBjM7y!{^@I*66Ot}0X9nz9jirVx zA=W>>i(W)i0s_!S5b;L+CtWMGK6|^~G%fl(w0pacdk~i+a+A6pl-AklQOEH1iz)i^ z5Q{3+#_}o7eo_dt-LC+xztx=?Xcs%xzYFE;@K|$;gqF)N;bE=)bTpzJd>#{syS9mQ zq)9lFG+SU?uAS0qUI8 za?F5_GiBpFhkn!ztjqQbGH&hazgF_C>AKAE`dS$qO>x3?2-AWv)}v+@N)K8)u>nuI zD~8qLBhY~21MiCE-QPZCB+^gg>DbdQF2emiya8?4!fV)@x#zZ}6;+WIQVoQwVEnpt z#9W&AdGmMP#O|PMyfiN0$>wZ@7kxddZ5R!_?g7jNo;)`k8-;}F&AS+1Bt+IWyC6)T zS`2}Kqop*_(rEUmpg%a9#l;JKj(0H;M2EpN@K5=o*$zCVRn(!M0)y%V^J@?#AcZM4+Z=WDpwogPmcPW?y?UIkhPq=0x zH?wDNHjA6Vs{O9|A(jw=u5T55!cxxNY;-M#0$zMG`xlVc7g@sjNrfW~zM4(MFSXyO zuM4>C+2TL4Ea5eJPtpzw&*Ph2;S%UxY%~nFvlvah&YciRI8gkN*zxsT$5Me-^|yvC z=Qz5(#PhR=n*P#d?xC(4*fc^?SufzZ#MRiz>W#L6l5C6e$5!3&eAec2l2 zNddy$I3{i(gL79)T==qZQI=FVOlt4p><;z18H;eq)*RI{%i_^^9~!V-{FZB8bfQZM4}Q4j zWZ6+g4_0y~X#k@ffeN*GpJ}-=tn06YX(zxvJ3UoJYY2gLfNtbbea)sQS|layIU)7f zEiVb_Vt1HbLzugb=AD^l!vE3vLe1ixuscNGxodP8SVz97-1_ByP}{L_nOSVnzXto` z+Op%KFTIBSKfd|14zlvE=Oe-fU9eEPmh&q zclhJ(YS7mEyv@Gmd~B+9MU-jc!}=rznNb{j#WRw5mp8kg;`BR`|6bZRvQ-4WbxOFu z;UmKk#~W$?@jwI5)9j;h^&FM8{kwHRAK^+Pxt~&&cTXuectem&zoH5ATN^5 zd6j8H&;rPZe6i1L1>09LM$0f|u8Yu?7nojKcW)J24;i$++W{G6$Gzr30x7t7R2dqS zbywX`g>H12$|i4Ho!;1F1gIk>2o{yH!Y-~#jqGRm<${`W`&6SReZxwy6opj8xPoVa z5ZU}$&E;Y*?rKGlV{WLHMiz1kvD%3Kc$U2NY26A}lX#v*qzG3?uUpX!}50ko*1#=Xq>=M zQc1p>Y=`3)%R)6*v*ro9@&6p+RghS-US9o$f;>cHBl_DfP1o+@G|r>Se*-S&6eH z%{`j1S0fJV8U&=!oxqU8_JPaVetAyOy;D5lLgpQIIB@V(ii(_TKt)3CV1KvDT5F=N zKviUJ9>Z>*>p))5(wyw(t+c;ZJB~3s_I~Uek@ah<6+O^Y1^d{Z(fkeTlJ09S=t%$f z)l~Q8B9cq zF{o0kV*j+Q67_EG`voCA^Ei2+9I=buy9e2NOX%|N` z&d;Ld7Do1+p+H$yKVJ%z&N)*)0zLRgd3Ld9XKy-Vb*2ahOT_bP+$01z(C)(w}{8cg8j(YV+#X^uragWgMz6_zJs z3)-2JW5YgNgC7kX zB!U6A2~}w}|Dw;e4{SQBcwT%EBHZg9Ax^f-(yu4&7m4Zx&os_piy6`_?7F`XIlzjCQ(Ux0~p%~!PV_g z-ZOfoV*%i;o?B;l;(b#ouoo6D6f3m-$ERC;M_aS?i{(K&wSvUL9`QoYh<9G!p5aef zkuaews6yzJTR=C>O`>N`h#=s7LaO_j8f1bi0l21~N<>()|FExp^2lshtrlrLqQ;fW z$+8D!(M}o!t~cZ8IB3i+)Yl4(dnJzZ`rwJF^c6Ut-pGj$1G*A21go#$^iQ=;*%AP5 zUM8H;O!E+F=I$7)`sq>|twpyo{JOkXF*i}H5^qeuJ_pCs;eP_XAr?KAz$nbuu@;R! zj=rE5GL~r{gLg`OzYHl`9chjNxwt_JsxeE?i29;xbh{XeNwC7&${F>p;TUY<^N@CJ z-Y3s7?5iU-XSDRIH(bjgd6e}b7E|1bJ1d83#}9hP5kTR8<@Gn!h(ksilOR8orj6vT z!f^DGRbQ+p=q53^wQlr`veB75A)m4weY*Jwd94DWbNlx-QA+Z{weBvRy-J`u_7Ajd z$Ejwv6vrC~P*1N=sRNa@Ga5$1PZ?KFmu@#mwUyKwQr7K$rxLU{rgSP~X43tYoWKmAv%2(lT;d0Eo$tWqrF z1YXey_Tt8j3V8YcQ2!rDdq?0HfF+$^RL)e@YHEYGVeZq)7pHR|GXvI{H1>QGOZf0V zsyJyEg3e<{Xt1V(rnE0}aeh_kn7JXW%?s6(8&mU|4MzE!Xw&o`E;<33WW-6z_E_#C zvt@?eQ)Q>AmWj4p)0NOl;60i}H(RmuD<{(#hJ@*-Y#-HxDYl^Ze6t=oi-IzOp z^H+8zuk4=f5eF-TnMTma1Ld<@$Ng7NKB<)u{oLPWZq{)qSzaci=ECQ52V;>c4uf%Y$3*Kln^O&XC3d;Y7+`4?>$ip3yDcd9!QcDC4?nrQ z<}1s++1Ew~cd%rggJBbSdgmfH|C+I$XR6*gbZp-mJ!#3~j{qm0&+~86mhH4S0#?Y8 z?^$()UY-Ca(=H5%wD-}a@dmN1$As}UWz9s(yxOmB&>BP;cX z0(F_V`tal}H%c#--A^5EC*&RXJn&R-X6Y~ddMkBK<)}svDPLiODd!3xw9RrH6$@3V zq&~Gt9dh)NPvB9IM$-%&6n6t>RBx{XQg97Hk6PnYzB{~>}eBQjxan_G#T#&4{0SDXu1{f~KloHrm1W+9ExbW?1 znZ4l+;@1LOGJ}SlDxRRWcprq^Nh;q#cfgAgOTj$Ii`&ip;aaCbCHs(XdBYZPL8OTd zSr+Sow@{+=!(7`vKp%nXE`WQxU%1|5&;*AgWw%6INSH}lmYjVk(^qjbC@2!*NIey(mkm73`X&BFi?&yR4}vK}KUv!z69-n0qN$miQ3% zbI=iGdN=5BY$X~2FR{GWp@NgIldL$W4 zRC>sIoRhh~IrLfGFIAoZmgCK|NfXwAC$@j%GjA}`KYrqHvqd4|Z0Awe`sB#tw|nEJ zBkgx}IjeRcD3(v9XG1k zE`*Ezd%L8EyY7~K6c7);yXkSZl}T)RZ2Mg$9V5VrW$@%}19M!qV1E2TBvre9yzuFy z|9}=*05fu~8{8y3Evkt!1m#c#D~}3bCr8*ay9$)v+O)5o1b?n@k)K&48%`>rMmkQt zbHmg_H^Szx)U>;_&Wm4e99|>?iYwY!JH~z7ETu{Q;Udd@_4bw)E-qEA_0efT{f?7D5r%3(LK?rgsZ7;Y)F=`X@ZY){jG@wKO z#5Hf{$zmHi){ErJQLc%RY}s#HiZ42lug{pzF*meN!Z&4&FDs>N)Fz(xu*Jqk z&@TZj0qQCczS$famVIr#hroxypNfwQb--SVd1h3$kP6LWFpt3<&`4EgDZo{7fKu?{ zaC^wr(ktZbFJLj|(BnJv5u2&8D!1UGt~y*^G#ZxhbA~S zPt+;?!}Dx9e#S76{1pJoR^0B%y_Npyh zn4T$lLDlG@{nxOM-;*`9?fZpiMUI<(Si0f;t~aOOF~>AqM?%%CH2DaD9<#p@e_ecH zAG6y%<3B*q)(^vqkpr^iK?eDlf6}Dwhq>Ac1z$Qs?^KWkKR{kZYaV`fav5Tyzs&OG zWNj4xS$YI?)xekMiF&<-Df$0m>${_x4xW8g6s(}46lqeVNE4Ax0*D9}ny8>OB_Jrh zw*(XvL?9HAA|*6IK|p#>EI=TX00N;0fe;`GfrKO^1bF$~d*40ho%7E5{*~`$c6N7W zzO%D4pY1q?dwNTdx=?GF-;mSf$dAqc=^O2!xL{Y~aL7H)^F*7|iit2EQC(Cd<)}x# zz$8OG8={FGF0XU^3p^V^z8aCw-Im7}>{!IQSkYNhjhpPe#BOUD588RUZrZ#S^*NN7I9CC_+S~~MSZsJMM2Q~B&yHI%opXLHU^_k~>r0&2BgPRyR@l)5X z%lG`76gS3&ZJy$2%SH{OUhI771`pYy%}vL0BBRr4u6}~#DEMaU(f0Ee z#s!eT!}%wF#nbUO{4g;jeT(aVv}FE+X!sYfXHbRdjJl8f5Vt~xokr89!8)flYc zRyHFsq)CS%aYAov`jxz^*c+J0B)6A(SrO>?jJWmE9cQ<>T4+wRPPw0RPhWR%g$LYn z@-ZugF7`dLvT%^{!o{m~Wt?zdIMlm{s~W__MMw~$A0}yC(ES+VF1{1l4_z=>Hz#{< z6tOU;fN6aD`MphrNRZ^FZ|z*=wlwY91yBhhU_tB=H!-mrf}cb;YYe*FP_pvFCei$4a~=-*dnWJMHwY)UxB z+XRTO_AMuG%^&#(=*UwI`c^}8dWgAac0`-X^4s{gD|mIUY*GB5+Z(l9L#ngZ0Sf#p ztWGr1v#L^P9ruwM*MZqQIX=YHw+XH@r;`(!l!k55Ttcdm#e6+~k!!3$E7~cjH1u$i zD#?*{eZ+f!&_4D;{wv2Bw+(x_a7m2a~Zc>7^{Ue=`6$xR*8 zn1+M__c~>3#wF+9jcneSjeh@a`5hsj4+_Hf^m%G6=C864{1tHBLlVw;^=_x%EjY*6 zGk`Kd1D>D)#1EgWjc{@HmFFp9-CF3m>*(te;r%=J`aku@bQyNsY8j^dB8D-8#i<>* z_W2*gE!lf<`{{@GYR?vu(U&rnv2~NijC@Yqjp z$kanfs0xQp9qw23}X8H z)Y4M=FM?|kf0AjVS`2pR$iEI!_z3%0;Of@-fBHT4vPw2h)KoGzIPgy$b&Au7^Qeda zZ@&THU(ctgBPLm@GURZoAD2Kpr`xu0#95paA#HfN^ms;VjMdze-*q@WGIOnXFj`P- zXr@4L&>5<#trQ7tTcx~P?hcSb$xd4D=)KW(1oAH^EmwXFoC;9l_sLV8({_%KMgQ-g z!iL(#{Qxgvaq(`y|KBb-L*zW}l>Z$7F09Ybb-^#;nzwT3f4Wf?;mlvt4^ z!4+`+Y3_wL*PUOl{QsDH+Mkd0AMi>T_H4yAJom5>POLkjdlJF_^&`$CtESVnMG`xO z3Izl_=FjWSLA^W#a>-!^PH`|;qx$3A{x82H&UIH}#YOrbW|&*av!$%$`v3FF5pFRE zvCS|ae!N36%vbT{tSX1AIN;~zOvb(jR4(ugw%^V{Mlg=za!x)=85@qa#E7I{A=u2Q zp5YKOEr(8UCet_4sexS__)S$!rmADs!=|E=pN zpkxoH7Qm{t@{r-bOya0bc$4j{eC}R+156;=^_kMM!VUB#KRzt~*=CCa##IY04u@}h zaI}EYifS0LYK2DY2swk7go!$1VHzA-ob|1DQXSwLFz6r1@6RN6;L8)W4;)>!IV|0X zzVn}%v(bRV4LnzsgjL$Wcg!L7ju@lk&X_eyj*Rn1FYM|62yxMYd({*kggF&9*#AFD z!5L^jJ&UbNphoN>^`l$oDf!KSERrflZ$A@&po*s!9nUZ?+#M`=)QpCjOdU1f-Pg8q z3Sxey9Lh4kyhY87E~t6w#~f7RfQeGmeW#e;H}Xo);yS=1wiwAv4(r){N8I>V|Kme) z1~KD1)of=J~3Z>>k(<4)QwdL32hb!5(0yow{R|K0xDlj{RiMm@KawDSL@u1%(Oo5cQS z?WkETjk8S16su#E={3dC9)PcS!5RhdF(_zc@4t+K$WSE2e`Mjm)Y#4Gw3BB$=M0iT z=MRKDEA+j5EF${ote)7C00eQ!$2@D)?!AaHZEw2e5v07@^D<97_N7k5US>1`P(&!b z0xy#M%w=tG(RSG{gFHGpEGEzL$P z?H@q|h=N^uyJk1N?JT|m-dvq~IC3kh{8l_IG5F78+T4}Q_&r$x7O}CzqICam>w9De z4x;b*h}1{No&&$Qi3a`RnX#k~o{;l-wC9BCqraHv4(c=wL3Ig1D3#f)=5L}g<@v{_ zM}axR;Zoq5F1Zdhrt#gVKjHCvBHq_7=Oc>^kRi5)vaZi^c5h`tEIi?(!ilIa)zbf(p*=z_2S+(AAvpCBy!&_YR zCgF`Smuda*wB|Dp4n_m17n*RrN>n&JY2Vh04hr;kb*Sl#?SMRN{$ROH6Iz$ZY(OtF7J+wG?bUrlE-z<#mSrxvrAtGar12ZaSz zsuNg$i!iR=tg^NCmmU(rfD2_<@s)}R&LU3RjFA5ePUAE-MI@~2CrA;N?4!1+#1%S9 z^X7K)SZJ+mHkC*$t}zfbiDtf4MC`wQ!#EyRNXuD$$E|sGV8=Tv@$rbM3s1IQHvW3N z74XSa(Ru&VFvWHixGnue=y4#QK($ZsO>Y}~yC!-0Z9IH5#Q!Bhb~&Dd=KPYBiYDzu z;T*E{W(6>KpXBEdg!B$M0SJiyd$m{mhWpd-lRTBV)$=_)UENK|ZW()T*-`!fF01t1 z=xJ*7mR+T-!Yu#u{4Z&w_8KB%hF@YrxW5G>7bcIep#1m`# zxIIieZtJzQjx3WB{_4!z=g9H$1Rh~{zZt&L-_>A~-h6o$log#;u2H5|CORP(e&tz* z%px4m<_SEzX#ZK^U(mN(_UQ*wWMztpXBO8Y=gj&e@T3;c!rr>T$ofg)a+&_O#7mLb z=k4EDe0co>TZjL=Um4C|Ut{c9$u@x!y|dF!+l*cux+i4tVphXT3*H!FrgkHUJ>5b& zJI}op6CTeldgslP5t?cXir4U?Qa+DtttWWe&&XW6F>zYLQw)h1pOIY4VwajMwQKP9 zolS$5E#MDg?w%vK&7`iMaDRuXy3l_mO2xxZkGX!8bslwe0aGuO2zW(q(RTczDWYiO zZctesvz^%zp1cQAE(-glaTcfd-F`-Pva~HJC=Pu8g!|tqk7O4l>i7O{)_I-dNVk1k z1@d$_SSrYnrgSi!5q6RE1=<>A+a*PpIE8he&eLiON@lx6O@=m^M%P0aqT=gy=Q)YS zS}&b*c(lbm0M;nWkme|3oouSaN?4xy;}j2Q-)cn4Gt8ithjY>=0sK1vN=`4sn9o7H zfsmC!>CK*a5I;uFo^Wt{`bTtl+RIG4{h?HHjE9d+-o|h?zo1ByPZnkB{d&l(P$tYV zZH6ZXf1)wj!#m6Bz|l0&J8@-+7gqHuvc-lccriuVkmMXEBJg2of7v zjeAgTW6$TJoHqi2O-Hzx&rv;J*_Ry^9vq)>$+sJZp!jy2gQzGgcd| z-=keN=7Ky=%|}mm#9a$+7#2)}I%bVT^bH}^G9q~ha&kVvA0aP48f<8bj#bgr()mbk zn9YPgwQ%)A(Q#%0pQ1XA!9?p0fuK&~t?<=lRdlWTQ_m1la+AT#dy=30VujzfzvH`r z5?FmcN%xIcjOX;l#s17z>Uco(Bg0m@GG_d%#DH90vx2I9)bvBGLx^gz6D)#*Nd!=4S5oqTZg;L zW(=Mno*BSS>Iq~tNARMd8l?gE3GCBsUC7ZWNY01J-ml4^uY9;^Uz%YT-;9jazP>ZxFQhUacY!^xEdUNV8l@BlvvOWJ6)p)G9KL)YPf|Y{6kwuy zF(6<{uw}QnVC^FW<1zxR;THu~Lw%cgW@H+5>2z&DSQ$ahivI zS)VTYSiyDzu)BQ$y%D<>^$GV(SleRvx5RRbSuEbA*t%L#4RiZ)0eYDI^LqWP2UvlMWMW;<(QV!)nytt@k?bR<5;gc-cVR#P0OY zPJgXK7lX$a!Rez>p7%lOuu&vyECm$r`pRD%wEqB=tYpxi`4OsZ;636n9vXR84@uIQ z?r>HFC6VUdQD$rE$S`@BOK0ueB=mj?twV06%~_fAxtdqAbA`t{J$h3nV{Ds((Z3o+H%1FZVg z<7DtS+PF@FaA&0xUjH#4$?cl>ro_H4=M}t87Z!0TGdisq1#exdBqD4Y`C&Cr0dBW! zcK)EurZPaAhI6O#hjv&{M00Bb!PF{^4_!#oxk2;whl;lbyS0Y6Jn32gye59KL+mRB zy)Pg1YHei!{Z{S>Y$=!2y+k^J&DP#mN-jV?x$=a_BE9!Qh5N3rR;LaT+d>yGzgLZ0 zGJge^&;4o0S0;WYqz-BEt`fiAbH8ZJqxl%BP*4h$7hGgbZREJr^$D@nkBy=)jo5$D zY_CagO`>!H2tpsxfgL}@x-JzuNCytcIgtepj)FH<>{h~V!JB^KnZTXKGk7a#;Nl-t zPwRK`#144EW}`W!8!qF|LaIx)JFCt{{ag`F=fT7%-r$At|C>LH$!(dycUm#^U5Ipu z2VckSf*r53Yftsu8dCm&CuJi56v4E_Dq_3E?MU9?!v@i(-$wBpr9d*N&~48RWEdAE z7GPvNB%)`vvUELEtxA;r`R1po@sd-ZicRP$tBdLxC`!m!y0u*!BKNuSrXc-$ zNINQaD4brfc!aTubIMxenX#|Me;K15da(k8O15*0$&0=3aL9>oQQ?6hB7et8Hw!j{ z8}0~#%0u-9f3W}N*;W6Q`d!pIyncn=R3FAK4{7QKB6WC>ING66pkGs+jt+IH@w(bZ zQLh2W8ets9^E*zjSh-kp$PyyB1RlB)7~NWslWLGYPi)2Z(rVEmygv>8-cexO7nAUG z)RNnzE(&x5XZX?Fq*lQ}z6qr1jgZ^nKFC4uuuM0!4!4y~tP0GVw@4R5GHNYX4en+E z1>k9^V9?i%d-U0-mtfLU?&orYfFr@QunpH4`b$LAO*})cft#mUu8xG<_F8y7WEgi# zP5^xp>fuC;F(^@fKO`{jt>;9drfcq~yLZg{ZIkw7jpA9it7`_I8|wR{i$+4rlChA* zShx4TV6Y{~ZC1)EoY2$gcKgS@onzzW3upqULgcLP(Z}MK{y6zQI57W=Z|dWYj0w35 zq9ufEtbf<%Sf9^#+?&v;K{sUdmSf6q_JWDv=>qbV8{GKO(-ocuNx|C(5OOG;tt^1| zv3D&QV_r6BSMr|q_m3f51co}7O#YsI1_H&qc=Mp}m$Ed)R0%KgXuJ>c6TIC^ni+ww zKgA-==CYeE1j6$P$q>v8w0bV^K|3HacQ{ZH`lT!1B+I>4uc1KVT#cCNAE2$G2PZ-0 z2bgyjFeDb@tWl=EVO#`y%JZzcx%KU$D5;n5uwC~VLja`Jid2;53v_uhc;`L_*nX>HmidiV;=Qi^ z#r>k%2tei_@hJKnj-KJ+#c}`zkCbU>_qog{I8*AacMB%Ukj?13cLWkG12Wo#+cY3d zCrlBhk>=~S?}n+F86u-PI__NeiWK_<+W4EjY>+4CwE?7S{vgFS%)gi^RZ-FQy`2Y3 zS%buhuYC@y4fM?o_<4f1)TX({*`_@yhX4^Kt@2-j=^TU5lkM{CR-iZr_BtA9{AJMB zv=qj#<;A=)ANuoll<=tZV5UcCQLMp(HTalgJW^z`i;w*^u9-k2%9n>^kT+@FkFW$Sf* zkQS#{7T7IU#;nK!L-&TDJvh^y7+5hlV?2s&LMmWBW-qB(oE)|P$L=Xm3;;LRROtHV{_`u9wXfPqK$!BWx&B6?4hhJaPH5RdPg8R#Ley@xxm)^{4+#cClhp)-X{r4YCqtjha?dtL7KEbZ-j(^qlO*TMke#NjXckaJq4S(Ld> zPLi;K64%zNy`p<+XJefYl1&PF<$W!F!9@HVl8YSvF6aqs3dok0cyAKkW_w)p@lge( zL#{KlaZjvn!nKPW3as{IGu)LjdArG1k;~cOx_fGIO$M(idEJX%HhIMKK}$1e2D{kQ zT~PR6P`>z&q3J?nD*4S4l0M_NlPy66ekFkUFkGuMVA-E)9_SB|L-9oFCOi`R+WR*r zQk4g2&a73NF4+-o3EB11WwidH{3N6Ur&y^T0t;vzZL}=##a#sO&;hSA531h0ox5mq zv0itc{50AKa%e4D5JkO|i+s|YfhOsv>4;5c6WX-@;Wa-&_Ivw(ySH4a;gZLo=wfey zIIgiJ0jAI0sL>J~NEX}cCWOc0MHHiNI$VUk8vv0nlW+DfIBTvlpbd*>d z7Y;rO<+s^Y*vt_Vq8*boy5QDA1;4 zB!ttVy+)qgzqtibVrNnH@C{s>RDoTK+tfeeI}FhPaE3@~8X<@b631}C}eV~)mgD^*p|-$5;B^=@=%HC`F4#I*&+67RyFgM61)tV_}4 zdovxicR*e`kW6>h_frL)I1OA0B`=+pb6j6VN09tJ3OuO!5B%{>^nO;NgE~FvyEiXq z-_Y&72(AW`UsF6P<-CBKpqW`zZMc-jR!0jDZvH#iG9mc7UsL^V4*fn17GPT^n1GJn`fE;ta3`<@r(W6hpw^*9oh zKgI<+IOX0S0LW_DLaApl6*hBy*s>|3m572I1W-AdS6#BgxL+f6Uh0n{~&ohZ8t9j^9`|9)pkPv z%^a215+|E``K;@-5~qVtermK;(8AL>dA-n+PdTt81^jiVwRtAhKLc^`P@Y|jEBH#| z5C6og_(S$s{qV@oyB)2lCERk2<0g4{L?6Vsf2@;VCm8Kx`)z)sHN-K=ZZ#d2;xWIm zBkCSJMtg?AoajWhSZ>{Fotb_%vxco6SKmaNn>J*MrdyPsTn2j)>n&If%%H@csW18+ z#tm;=*IFB2)8fUZ6@6Omi~&iF(^KNG;tktOvb|4RhxJBmnH2`p(2)GQ6~d+UoEs{I=7LD@{~I zr~ax3ybiF`YI6h)zyZgsCqC_z3p@4t(_Xe$*2!J>E&}(Cj#F1|a;@ekBH6o{UWez8 zpU05kL4xddp;9s>@-GmV&fE9Gqbhf6!qh4%5=jo53DezV)#<$a3z2&a_q-kq{+`c4 z`rF)fr{q)eY=-3Cd4L=lFYmvqkNhw;(@VJ-KEslk0il_qu!~QySIwYYzZK=(DIGTg zb~NKjI}W|*(kkUi75f_@_am@=Z7Ym&OtWKqx8C{iP#BnU6h)05BG6mqakhGVBpoMW z*ahPdh=w1h>?iLVH9?>|Wl!vNOu&!|^Eqr)!b+64NFQ6 z-ytI9_ex$%8Ja;S&T7?3Ux+vSZm38W{fv?A4A`qq5S3F^elf!w2@s7 zoUyQNnk@zMx98bJ**2J~iP`uFx9`;L*B!&L`k;Lvst{;Yhmh%ZtYRjBg%3RY-B~0y zdZpbcJZ6yxBLa5v-3|ImY}d#jX-PpuN2ufz%#~DjBtKi7>FpkAA23V0$%K0(Xh|W= zzjiJKH~t2uKiDlw43D(9AKn3;9}7LJmY+w_MF&S(MJ0lkF1pPN2A*IYVbKd1p)>JI zxUOVJ9rA;pXxt7!S`Y7alQ~;4V9=|@1T*)KQ3P5&2{wSxLHKWifd1cF&$t+umz+Bx z&qq;{fX@-(|Jcqg;r05UjdlkYP@QF)#PCi-)QM%g= zWjbnEZz#if@>0DuIr36h+kj_f_#;{3qvEe5P>nGVmF!pp#(jk$olsYm>It=SA0sB9 z0P=XUoSEz8vlJD-s8j|BJdJw->8hQTbbJt1U#s_)(jL}cgOZnx-zdP2tD=j(-rB7i zVLODwGmV(w8iy<|8!!I?LV6Tk3`&C;*8#c($tS{8;~=EaIFEXTk%U4pqG7;Vcn51T zSrWc)wp^z|BBWuZH{C&y(LR;#pQ($u_u!WcuFX20x1w$beumJ4kK@!G2n3xBGJcaW zLT`UDggk~THr{lPx6iN*dFiuy0wQ~>(r=efFP^bF3o_F&2*JySL>p<=;bVU{KhAj! zV{d1>w2&zkd$Ki(i~jf7-5)ZS zIXVmfH*u8CxF{u9@)(nWw|?9nGBl^tifg{NZRx=`L~&ifVm6F$I@YaaJ+-{OvRpjc z@cfj3I=+#n$XDA4P(0!;s3dxFN9p1I)Ue1j&ddd=Bqj*M0S`^bfq+ZnZf6g+uui{!n%^-@DGp z2CWo%v{l};FQ1D%VhhJ~|FqOZCcW_Y=zI=&wXhh2t!#|y4L?c>USJ2CuMpBwvsU;k zZR@HWRfC>~0Q%{-BTW_%k*HbPIy_b5;$EP2);XuU)WKnnwaJvCc?ySDpRNm_(v;UGl$ATfjN}FZB zRUBg^Y2Jz2&vK=c9iQ_-Q^a@3p6yajlk~T~*v@AMyLpieHX+sDdg0>cNV z!>}{IH}r0KW$M0v>3gkAV=7I=^Btu$xkOQoj0ijgTMj|>+CZb5y4tU=!zO9I zA}F#kDMBs4vzDS`D9GN|lY<1wIRZz>>rl;ayQ)X zcNP%YsW#ZOnf9l%-!S=(>Ln_nKM^GcGEe1vP7|=Tm|6&LA|?*jn73_6nQ0Lkz2tE- z(CB_3xd@Y=ud2K3eqybP>@nF|w)Ara&qWy*Yu>1j+&r9|)zGdi2OTx-;#QG=8GUsLw>D30vjk)MDcb2SBLEo2J@kt#qA{5cm2gdFQ>c%W-q>QAI` zXOL+#9g`QCs{S;(&O{TIFkP$FRnIo(z{}iW0weBId`E;Js88oI2=2K&FMS$uP5mX0 zu`^ctFT@efub9PoC~WQ&U2TUvNM9XDWWUAO;zG}fTrh3VkYEj^R7Hn^hkg*I&vnb} za>DBB83`UDG0{UhN20mGw{%5PRn5UZ^`4}(&F83ioUj@*^jox( z1ZQ)Q)(*LdD0jt8N+Q;8GWCMlNky|FzA*;&dQu`QLD6O*pADI3OqqjgMZJ=_#pvt; zvBn~O^gnVJk;#p>xb!j501*rF4m`at-1Ro-HWTuRXtl9xqg69m|HC_U0~uHfkQ@AI zfav>Ki@A_=pQ4HSnzqpw*B_efA(pN#;>Ygml9gjSJd|lst3x2JlhN6 z9QE=ITjw)5zWNh}s4oua#c``#Dj5(;tM?)(#Y5tCw5D)thZn{ef{K;iBVp)9fP!2VbyhAjLmVMk=)D0-toVk9^?yH?%&rZU>mlvr{O%488TppdkD77k!EDo&G|0Pq_o8 zY}?0{dp{>YDQxH`B3{HMiJs>?3Anw!mO;M5@#i4VnWWXYza@@>eD4i1o?~#V#xrT< zwX-F820_|5<|89u+m-nuV|%eyApJD($U&7!3j12I-6Z#cUPYR!@_rNb`L2r53TSrY z4BzZzHV=uqXC9&Td-FL0sT=SNtCefWgy-y4%a`vw6L0z%jU1DW`q8Pi zs9%xb$o$c#?t&jFc_e#j?8Y~!XA z+BH4D{Y@*u#rNX){Pe32vUSI%D!AIcJ~Ry}j$W-Se!z9RrRRo@~F_h7XGu- z7tVYULJpH5Lrp1Wyp{;PXKIuA23Q2=*GHMbzceazQwl1Fak@3-m_rW@l3n%u?mN_t z2d`K1rWA||_ip{_a7%PKuMuJ*K4*F_M1-pKQ0^1*F=eb8OaVmdlvup2wH}oe`0(=s;PWQcj8haM@ z53Zse=gB94i7bCmD!9JyRGV4E2yRLHj$|Bque%_m=>cACcVYWO3}mLzn;yQo#&y*$ z)%q!P=La3JjQeyP{M@XFopf|&GCUUKfFFT7jf&+bN*|;&{Y-H|>_k8_B|usAg<*(Js3EEz#8( zJJ48X9A?JzVj`=~&&h>tYxtG>t93qTDN&0Q|Er z+D)`eVLfD`PmVWRMp#Cf;>GbXw0AN=G?gL}(RnvHJeGieyAax^Qi4_V?-V@G zu+?=y+xw?@$>Z)aO>zA{RNTY|FH-d*s?G9M?F@c(O385PIvsbx*UFnZ;dL!#w(S7* zmPkzq%KKqh!~CO_5pd`I{oyZxX}-5aihD5Sa22ceQ?;$Omk(S9#*>#%lMEF`c{&c> zy52>fI`V`Uf$!b7+VvfOdUT>(27jQfB{8hs*fM_*hY|HBwWnW5{1yp)Q2J*bC^2iA zBmG25F9|ji05Ag}ObO-&Wd|nWH~<*F02KLT|Fh#pvIgpI4ne;ZE*Gyl`mK02GF?j8 zs6)zfzbNNo~RUv|zf=!_tkl;L0~+Pqq&H&e86ih?HgxuSBF| zKt(bRR!2T3nnsRx5i-B?4V7?@g$&WAU*2telpO03ty-tp1_X!?;$v3>-58r4z!dtP zVE7GN-j;d6xc#!85_gmL`Q!lukNdlw(XWwWC`ufvf*CzV?VFE4mGNUWFP;0>8_m;bCM8B^WPS{;i6sn$+c9= z;6_(5tDf5qHQq~3udn08FS)`L9ct7QgfvX(V*Q(D;(nxZBhY#e`_h!y7r7*sNZ{I4 zs9$(Pbg60EQb4Cq%6{*Pu2zg@8UNq-bWwHXKTV=sjd-Iu^$e?s$)XSi2}v)PI8%Q! z8)*K#>POtjLE`I0GqI9Stxn`F`lMRB0{11|wf>sct+vFi zgZG48e!nD0UH`J_$A|9z;%TQ0rz%n%X{FzbRr_y68BQr}%RLdtsYZ^yNS7M`rC}d3 z;9qwKe%!yqasb5fu9+A&@$Y^!25BY{`6t?Yg7pjO*+ojhrTdDIo-H!P1L0*0z0zdf zeJyI4Z`)}=cB;*aUWH026jM&WuZXZuni^6`p({6;If=+{J(=LC>xAEIi{;cN zo(fzSPg!BS8USA+rv_E@(H!p9ETyJA@Z=!bMCwOC&Eu+bmPR^fT%)&UkHP(%9~n;@ zLHH_0VJD}=C~5+IywgdiF-*ozf$H{4V+vBnKzl+XT&1=K_$8=PZr7ejdc+C1#R~M@ zd$eBPo4f>+9@YEA)4gA56HRxW`;oG{Y-%#Ytv}84`J--u%mK8?{bz{|Ncj@s=$gPf zXW{@nE%**Y-ML~at~B|VzS)zgGV+z`RA2v>-kKTFwFX`ae|PegQUbtD`ppqT$5Gzz zydrI@`ARxY=1cS}J2P`%x$Zu2wH$H(0I&Nhkyz_5eIVfc_n}&Go1CCG1Zo<7x(>z) zS6Sb6Y7l?xpYx}rqYWvztUS)jjwt*56#FM~ve&|H`QEmZh&FJ%*r7t2Ixdy+(F&vB z56I%L{c;HO_Y#H~<`!Q&FP$DMiSzEKw5+OjAc`&8Mw3`4gA<5S)q)=(zrn`&rs1{U zGq^IMN19#}&ClkaV$3P(Jzg3SFE9QsvZ3?+Ze;1i+(T?h$Argymj1NwYVT55%8{v4 zvkbt(2(<;=_To{21n#>mDIK-DrUA{)f8Z}&Fmt7ST2@$?BG2c%pj%!{Z~xjE77<;t z1MR1EURZ6;K&%d9r7%o|drXHcQ>Og7R->=QpvIQdh-L~!LHecrr_)P9kG?rdrWB-D zm+OSanQrCYYdxApXw_mBoopP*gj-D65Npn_r7B|nZSON4k3ClA3DyRtcw{-jkG$`gxSno>{~jo&_>Fz0=jLGyqhMVJ``#3tCT$S z%4+<|7o0R#-qz-TfAq=PS_^T<4-A&s@tCdfKHYEEV=9@-Z ztpb{SFV7?eTkp5r$FXFLHN;6pz5aj$TgdBOkw?xqzBp8hURk$IAzqM!4JVH~CQJ0e zoNDCuOlKU+F{RYiz)P^!a}yPk+6iwvnD0ULFCAUzx(|rq~pL zjHgct^w$1l#aSDyhd;_E;3q)5#rLk4uG=OC*$$@Nnk|D>e$Jx2W~LSmke~U$jewIy z`=KpSapFxz%=76QUJ^{mMK0Nl`Hz5GFv;n8$$UBcVtP@@K|r6@)QvdfVxhs>VKnQ8 zEbm%KEMZ5OvB+3^DxN~Wly-0{Us~8WSGQ36jg4?F!NHGuYvSuF+Bg^L9~Ia9wP5 z2fX**8U&kfmU*ptVM~7A8r~)_WhfeI%}5{f%n!Y+_r*(TJ!W*|wW&^Glc*_e;P9NK z#=3O;`gkVfv&`Jc?ol4sW;0|1qAk zKO@}SBsi(#`KEe*Q)$-J!wp!D4U|I3lsJmxZiOskF;`K#V_miF@h&~uSdg6Wmj!bK`Mf9QLZ*5lY` zmquint+(w*XXuV;bt+Uz0k81Ih4TM#l^}mQ31l+kq zWGdoQ|L@ih=1t#aKo|29E0EKUIF^&r-t6bwGL{j5dLy^~8}xNum9@af(ZDH)!N;&Z-7k+cKn3X59T%iejZ z!L`+iz===vqf0@w=H^WRDk_fRenz22p6_=-0z#*bzgStgLNEhVQ3I)7dXcz%7}Q!u zJoPEd4aM84|FoS}>QJ$}cn#X?*XetktRUOkV<&G(5*?kK3Gmux&Alc}n&Z#LJ0R~} zo&SSx6l@vlT-6bpy3`r^qlZ?XxyVpjhXlTI__mjgybLQ`7Tx9Ukr}_@SVS-CR1E;| zjBB=AM&&FX$65M`+sisqzuvb;ou8+YMkhJ$;EaEY{i7l4YX-!0wD&NBwH+#da56^# z24ZL7M>-O~KVtwZ0$nPlq z>Az;07u;G*f;aksx0pflV1Ckgn> ztj+F&qx011FUIE8T;tg_4!uk^8wkbS6%wQdU?9{ef}G9- z8ZC2<7@q?%Onzd`{nFS~y#DCib<7rQ$i7`|mz=g&--m^Mb#i4`)m$IjI5~AwtFFBT zn)V4UQ6uIDK`5gh8BHsKfm!zg1SlRGIsaJU&#uN7BMbXz;u$-gC=X8_SHVYnxvmoN z58^l3z_kk1VAH+mGd~c0a{p!xX`d8H>Zp4kr}62711SHqtk?cbLuh!qS7CK%9{2b+ z>0Q-3!ASi~$`%xy!ZN{qn)}US+?l8-Jtdj^@=njdtNr)XpLa{Mc0})gQ1gTEz*P(M ziY64_k$#E>D?biZ70{cM;a{HFRCiP<& zj*)6&!l~a+%a@&4YgbNZW@Z>Q!sFVmWzZh&#=p}qtr*cz0nC?ryh9@9|Fy!U*n~2}>uw5Fphs#{0C$%G7~g=uu09GhwXD~6!(v+pn!xhuEonVFrTsnr;*{mA!N}r>Q@~39Qttumvy8_xnYf9S%COR4NEoeFoC_tVQ8fLURASFHQ101lvvBBOC9DDiJ-0eQ*8|iscJ@SWEB2;2( z&-C%`N6UR4vxE*r+sp7}$Cvtdt3BMam$A3Uv*73To$wLj?`qqObw3tusr2u6MKru5 z4J=vv7_J2*o1))Rd*gIQc~`Xuan=L&>AGL&W9xPmccN_i-YE8e?Yvy!EmWD=GXIC; zJRxJ)uj?QYmV7ClK6i2}CWfuF?yA|(ewh`fRek2Epgy0qDb`ITnLZ))+gXAI1JO@j zCUWkgNm?%~xcHXbJvr7g?;N|^9q&N4eMD8s7m*ShqkfK4ibWrK>6Z`ds(bXxIhg>! z-B}mRi>50$--);~o%(>@s6;4`g8X8gX@Ms5qj)D=Mc=TjQgypC*kN^5HxIV5H%TU! z{&Hh>&%JYaPUJV+O@e>ezJ3S)c;Ad9VzsNTmU$L08URT76Qz{qJ=7hPKurCR6D;0e z7NAiLvyBNne+8++?2>Anlbh?mBX%x&E64H4I^lX>U=A@PXZq(_<3*9Bwy|?GFP*Vt zuUT1es6bZ z3Guiz`c;(>eu{U>dWaU`6hn;ZRW2Iz>L-8OG3+0Fy`L#<|K0222DREmQ-mEL<9g>S!3_l%-8yk?RiA#%(zdk0ZqTu6D%UEE4|}DR5++Gg|jHy zC{F47o}Jti^sPO~o|8-WQ(TyrFggN|gZuAHYlDq@WL6C*;9u%jt;F@K8T1N$?jB?K zn8}u7Mt_BV)>CzhZ5O;ys5Yb)5og-a<`tcBv%7AtPWt$6WL9D=(` za4k^WiaWFgihFS@?g^UU4#6#i;Cwmfp6~bld!Otlv!B^Jd-g1w$;?{o-E@mQ?5N#~ zWr>mcqCR@5|E~}>tG(|s-hJ4i5uU)dqD)w$Ip@qNnPu{)YVV~&*K>j z6N7){)|9})cag-44sqYkGG6f+^Ut2FvKE!_t{MB#Yr<-uFiC)qhPN3Z|L#;}^y; zeDg>XcX79=zL>-UV0e>iJ67E|S^WqzwX2>45F^BeB9F>~t1aIka$vrXX`$&uB;@Vl z^x%5_3e&)y*NerM)4mURKaN7!JQcw_2GjDt6ScRtk~^AP65z9)CrTxMgWq_SupGzO z3J!YNchF2%aYIb>%ZBzWWEMgQaF z|EMDy1ah!M+A{mq6KZ6P@+?VvDNzq)W;?Qh!bTZ@th=R}!e)|@9zn5}@_+TIsgi0~ z`W++KYO1czvBkB(D>HDB9WtZpQxk}Acv5AU?dh8lo&(36+pbYhv1nM$XNo?Xvh6~# zB4d}A!>b=U9b~ZRhTnwKes@TK&I5!6u8%%um{Ue>HWNU>T79R4r79+i zA|2MBV5eW=1lRo}i9q6tk#l?owd$f`qvB+WOl{M<76kboZ=gvs(Fta$oXzG=Y-b+` zrP~r)S!3RDqu>T+9dhw$2O>vwp z)*_4Jx-DvufZnCQX8Yr9d`WqH{<&-DnuY7mV8t-T0Yj$TB8&?V%qTqAh#h-tCVMWE zcUhv+mERQ>Sml_k0H==}^=j#o{2=EgKR;R~wx9eTY{iiJX4En_*rNMyahF*_@kk+p zDv@iRDyvk!)iSMS`e92kC-IQW`O-KM?Pm2FVBEj*61A>qd%q{Xi$VES&5b5|vG_Ew zO5Tbhj}Ev-L3_OOrT|%Z`inZf*fU zV$6mcUgY*E6_24Ms;6`B>Gb|==~x;zK3$Ya9?g5hKT7D+t|aCrZrUTnFvpdXIDf^7 zSVE})OB+Qb3(w;e9h1{OjWX`?1}><@2_Gi07w?JK7qE=F=PBHs1L`#{DUrD>sHAUf z)m)ZB%sWI|rYJ9uc$u`@F1ZgT>uz~e{ma!{LrN=!**_0A#Yc?l3277EYhg>}7RzvZq56CLA>bBK<)-17|odA-bm?)aIA8rSf)prA-qKwNCuUK1fKchd1ykCvEC`n?e&6m;} z!P_}r=w0kzBZfe2q~f|~>WNls7gRRzkNcPF{D9C8VO~ocQx6IR17ud!TlxUvFT9$C zZbi529a4E*V1&f$RD|<5R%&tgpl8Cj0AfU#eacy+<|v3bQ>H>5`pJLx-NXBwxYQ4j zQP<8$%m-jWb#Xv&*kRyX+mclLie&LO7hiq?n~I)fL@t105QdeLAMpe&LHR_3r=uY4 zy*B)E^{ zFK}jDEO$BLu4WiB=B-aRZOmJ>uJ*kI)&?@|JJbkS-a$+!BGxvFIAhY;Z%s`pK zy^|pPxC+?0mYF0eFS$J_lw$mgoy|nS%e@DP8|&`;=cI3tr@nPHGNGnI%lw#K{sl)xPhjwffc?f$jzw;>~&YZE;EQod^ zABMhX{+%Dme37=N;BHAJL%VZ-0T|M5aRzK@j8no%q)%#Tc}!w~!(FKym#rk#NM`+Q z6Ajv4y*1XQw;s)^P}gDWA7Hs|(Nx5%txz{ZnNh;s1*f*hZwf%($iqw$pcn(2G>lt~ zGx^?6%+Hu>L5{J|{N%l*%o>z-^w4bSv&39OFi$>pviPPJUCw3m=POroII*#%i)+53 zlg!eXUA|_*Nacj*eJFDFa`@`9HKC3p`H3YN!%;b`nq<=FqwnR@knNP-*YV#cxV;t> z`ZlRc38|jPv5T`2rW+#9K&{Fi)wKI;zu=V|$?e}Dp7j?(i|y3=_R;r0Dd`S~8=KjJ z2vxr36|xL*rNtkVL61rwY{MeI7EGlR7FY9JDEfh%H4}!IIxB{@yBHrrV(9(@H3j>& zxEMED(JDb(qexYLt1%UkRGYT>s_(Dhs24*P(d) zBrv`0=_`yeV(L2jf%SS3CX$g*7oKcl)eICfPymEt`1(X%tnk#VnkHEis3t*p+d~VzBTVD?8bpDxn`$JoY}8ZkPU2 z;&{H=mhnyGiSS$XC<*Y$waiL8a1^>AcM6XM5kIj9fd&c&OfoEBuTGU?LHdas9Dc#9 zq#1^n#>dTrKF!^)+>RJ{HEu9Y`DDE`)~w4;Y?6zQh)%aI-ke4bSa_8~%X7VBPV>}2 zI&1}Q_j17*cdc}VJ!GR01NvL35!(a>k+)G}CUzRF1E*xjPGB~eG(&Xd?)tEocPP-U z^|?uD@K~#{*=x2k=7C^?tBmqkA0z!9VK$F0zQ#@>(Lbv&b5vUfCYKU%8YY*w9&2#2 zK++7OC%hff0$|;EtOyt6>H1J;mFN_%?D_|oU%kAEj9sF96)#tSp`0o@hZIWOmW`w7 zXI$*!Ojnlknz~!I8?7d>so$@7b~>?N5YjV^LRxhYpW&{gAoF9#myhJsR!g(dR|x>5 z-{rx>6>=5xA#Kb1UESA!v5l|fxIN+N``W+rd%m|ev2INHDMU(uT|4P8#=^z?Bs|hb z8_joeQ^puEwK!89p5u=4m*vs}hg()Bf4W!cwQ^=ScoOV(~ikt;^Od77m4l6*?Q2X?$ zZvXnnAQ4@!$n_Rut zY_UIMFY0*h1GKVUr&UbBegiT*0#y64RMPpSk;$&;QK9dwKpHN zJ5hc@QtltPUw<(F)e6z68+&J*3T#=8jTutYhA_#lwaUsQM2|A>iQ8z?R;>sW;gts@ z8z@ZIg@~xP$WoBl%(kwYxPQ%}OuTZ{==7kdrE00x6PL*{uZ){0yo(^sDC@udMU>V< z?UAH_^qcc3@<)UE%06`dPynZi8c~qoHZruS2n#qj>Pbe{@iNj@879-(3VSg6;bm8F z0S8Yj1U_2S{{kuUMp$TV;$FG1>&wSmF?v<>M7yqIpLp!argb70h>s zGmd^2Tck1u$Y=Hb<~`} zOV>XZ4!{Xo)sxTK$+anl4d=?N)hz>~x>xk{4N;w2r5OE4dbL$PmW!JuoCXGr_`d9C zx%FAFy0G!h&%alJ){)WlZ3%2}6*^jBSjB2JwT+7iU38F#wP;Xuu9hE#$CPzwr<2q# zNd)T0IRR(~Jn6e8hd!(sD{Jcu>=^1C5VFTUQ22*=b|SRL>Q@IN90l7!x0xihr2go4 zgb+G|ZtK9M+e(DSp%bJ5q6sOfEU7A4r&8BPd;C~=42eVF9Fl#LY%*??coE#~6iC#C zT&pUGfJRm_op~N+R7F-6geBt~GF?Bs@?X4_5wH;Fm9#8CjZERdV4~{VkEt)K*23u5 z{wC-n`wkU08q|56AN&t9)>l^GK%Id$s)O6(et|>vjoUB&*C%TYU6v28sD0Bq$CMg4 zTqB+>rsfBSABX578|We`I!sqE5kcwVy~czECKilU6~C_d>gaF{&WA4HPPcWn_y;g= z4l09p!PY;m!2e37z=$s?iBsUyDKInY8uK&h+g2(AFTtz4O|tbnHwm*&s_G}j(upCp zO_@new|-Akp_wOzb1kC^m96#}*T!`T^0iM|Q<@4s91Gge^J0Taa_S|rdOA2)RKH5}0MZ<2YJ)hm~ zzoYJSkr}i3LP;0mUGUBA?n3~tg%i4dKrAXsBw+Bi7ICM8ZG*p&MxLSm?+)D8ZW3m( z_F{ohII{j07oy&q~)kl<#!Vq=81Sc|h`!#2B4_LF2y-l?_{m2jV~ zx58;wO{4E)YQs;kMD%U)`@q+TJB-pbfy#mpH)btY0zdAU{_dlH*;4;TDzLzw3$r+T zSW~dOWdhu2lt-3sME=4*4L!xCc@RD<`T0i@U)MpOE`&&0Li6mw#_Xsl&R{bCO|H!6 z;@NtRGdNmmS#!@?;=eVvPjr&c>#tZ!L*fg)*iuQCrA|+qsPC(Ptk5++j^46eIbAs& zid^3mA*>EDg*1r|u8dkPYJSB9&eYdFbSEaW_^{nOhPSc$-YXu$&c`?72&Hn0Uaa6h zd<}6o{HgNk7L_IheqCzT(Lnq5Qaxi+=Ib{pliiFDT4F|#My&)&z&kHO$X9O z>UU;jI<$&W*g~>>OmZP1-6UtShAElu$#;3!-OKirg}}MWI8xt$aX2zJY#`~6RL}1G zYCVT4CCwVn4DQG4gU7nYyYN!V!;m6KYQNK!)4H_>nc%x>(KQ6pFQD-00 z+J{A}9 zS73xLYuo+tnn)jm#v&UC|AkTputooC0-jj(B7OZifj23Q;7HvhkB(G zQ+PswTOw^G^*6Y9@D52cc=d6e1bW(--@U2i8}K48Me zxukL;cKvVT&jT%NJ;aHR4{6+u%$tBt(EdxTTslvkxICV)6SF#DmYu6I85Qqt4!n%k z8NB^u1&-)zh@@*-vty$Bc1o*CE9Q4eJQ44ojrygnF|vWn=GP9P01`wiG`~y_@`J71 zig?RsSWcAXWi2dEbz?5+Wj(~|lG6HqODOWTeq1EtI{kJc?su86uuP-Cra)&q0hQp+ z85^e!4C;$0T$S208}nK>th%Xrnr_;qQD0sf*8*IH&CZLSbTzczh@S$pqw~DCm$BBd z@4XL?RNR)v2mQ4v?zHF(Ctpv}OqTHR{od%FW!0zqCOEO^Pkkd{gF-&ZsG7$2KXGDW z-Ark^-9GT|XXZylKl)jb%ELiO5rv$xyi+kT|5vxy8ML+#iDws=Ddr!n`6i%&-b>?0 zQ>s)zm(~qq_M9+-PWYMncD82wPs4S?bFObiQ8m;P>p9Rs-N&0)C)W@oD#4vqUfhz` zFkvD8TIr{UxSVj}?dr89_R}Pp;9Y^t@-?XE^|qY<$9ehIPN#W z(a^kq{$@_(n)uZ7jP0^Ok!LQszzsS^v z<)0;jewRCVFd6Dy2MTqrw_dkE$a`4B6U3J`^Ogdzx}Yv&u@XTgw?f{j;MJSe!p-dl z4{2QcN6;Te*%qzk7W_t&J@^p~;Wwf%`9cZ0z%DJKwxgGg;v#pTJ_Vb#!{yN8p3wW{ zrUDY^qUFlSiI~R{!oMeT;`aP zbv;jH>eq1l(lHV(xT)gZN0qSC99Z=N&VK(f9P8{Mu`x4zs6}M3lFor~yDm;7{hI}g zZ{_!)eo6A;O58xat2@(^TJnOS6VF7Q6B1r$BXsfSPdwPTYXqHqcULg|_np6d2adv; z`D=1JRjIqRQ3tJh?AFX%iX%#|ht&e{Y+<20=)z%-TRLwI1tY9E_`pR1DHvVwWKwyd zTgfl^;rND*ir4!d8W`*j4$gXlh=)s>1@|T+1K4~6{5MP*6c*R)n0LFfSB$S|bZ+t% zPZP zo2B6U2)b^>5G+_s+iy7NLqO@xmjejaf;OQ9($t()Y*{k*bBuh~o$GCkD6P*^{&58{{)H=lys0&g5oepY8P3DdEPQ*M12>aZSgT zvp@LC@Ys9lv^iyH>`2t=Dw@~7c08zMJg9a&p*t)PMsq}bYU&b*rEJpf6m-Xbhsp;} z-+quk-c@lDGQ3TDMR1r;JcM+V>~fm9s;D+!s<|c9Wvp(qe=L{1eT`jT(9mU3%u8Bf z)c419_f#@?uhai(c_BL=OFg4$4Qh$l1tDYBijm7u6=)7b9N7u>&9CbYJ{=0W$*5Xd zb+v&{oO))b2`>BFz_~jDEip?b|LcYB4Bw|hy1$G?J)9ug0NA%*^#LRUdP zo|fk}o8ke_*8(r6=yfjV=&#RTUk|<(s#OSpYiPV$?K8Z0=yA!UKJmT8_Sd>!?dvbT z5@Q8Lm4F^?{c!u!B|jpxqduU%=gK7Y$UFY5VocqZusb}GoO9laFYpRm{cFz-20Z$b zTK5mFpVCcj_?sr&QXB$btvw1JDxbk;&gdTlX@1|-Y*pB-&oH0Ob%)a>B}F|s7??C9 zY*ws&qZlfA+m!GaC~|enTuErqg?QdS5nPlg{sO+unrd$t{NgM9lj=NSZ(79}lJaUr z^WzTJ`Lbu}aY>bWJwBvFA5x#}Qk$=`Z1Z6L70~$3A@`blT+P^2SC91W!?qKXzgVaNZS3fV-7A(j7AiK<|;Y!TBVXekh~){j17 z@wqCOCx~(f=KOc|qo6Bco;PLx}N_<_*=&MC{ zD|-xp|I33H!@X%$e3M2P=pzx4&~HN->-)Gv4zVTwB)~0WMP8VpjJ8C1Gm|C?aXN#C zO}UKz(ddWY@3>wmke>H5g7^-jEZEkI`7J-R#!%_>CwPu?L@39QsWAbe7(eTH1xTZG z`v1s$3ifpL0{yFCvlxqj_Y-WU$3~Tai3_7D_{QD>-c>Q~5ru^VECz--f7eQnmOR_N zgKCj&)ZiOB^_BaWz2;fbUV!W2(o6hfl^P>YW=w-%kvSwjp&p+BAiR z@VPz-Zx2)WY~qOlqo*YB#ryoMz5m0J66WABUh=%GL*Cfy!O@$w`igamJ__Nc7hDve zVYdIWEjLS?Wj!l4%A#bwII45?phZFspuF4?)ed?W$pxe}#8Br9o4Q@c;&u2GM3M@6 zKJ=gGM##;EY+ST%yqawL`=N!5C(*=b^{*MlyiIibi$?zU+_{9SxiM~4W^@0juO{A2 z8DOk5nAZAT>BkN~4=3Mg`01W>KDsj!U#9JP?7J@CXV;1=}pmkZRm%ic*vmnbi#FgE``>U&Xdao&>BG&YyJ55~uP5RFcX;wDN zlj+SSjtEcO`RXMCa!&mdL6q=sqTZy}wB?hS1vfdTVFHCSl|JN{ab0dq#5H51QYauu zNDmN7#wmI7!RUD$9$5@3@SNwu;qyqXwNS}FLnd`VgqTH(rBIWKF)ukDEME7&swTv@ zlWHahaA-MdnW|+yL0uW1iHJ=n!^7;;pX$UWCkJ7i{zcunL49$S<*RE?L9IWWN2S)2 zVgoj6MrmuG-0&}}v)YZ{>+{q1$D8kuJja*AVUdfNeIkY4m=O+R?Kh0FC%nIGxyjR2 z$6Q-21ffwNk>A0z6cn5~t0_FW{BxcW!8kK|3V*rG{9r$R{YM6uC-5`)!w^IM9RD!} z-z@PD6M8Xzt7t_X5M9e!ygp|9<~!*hsSJ!N2w7(NkdNt~w@wLS;SV!&YK0m6_O%ZZ zvO$r^o&Y6Bu`v-nuJ%Di0Ti08{iT$65e>VF|G%NxpgybouU&~y&UVQ)$&JL%9zroS z8-BSn(b-Bqz*q|=KEYUL{O|pouWDqMGYsBAi3F>Ew1fU_G_2P(Y%8uW%$&trT~x_+ z`1!3i_qe`mc`^v=ajm`VfPg4wszch}nEnw4UR9`n5u+dFMG z%9Q5(V86^!4^uR~ha*<#Z&YN+P;?0xjjIxkD?cP7qbGMegrmgtl!#ggyd0b$Y%au> z&z;-P2m59b(Xh$bXVt^T3`dLd4q{jCKvDR=9OVX>cLWe*8Hz_XlY?S<<w(yI9_fEd`iK2pFsQ-tX!kbx)LMZL6;;CWZ9@0ed zJsF0gm+Q!Rr5R((|DnYHY2|;7{XblQ{#lg#!swtpCx%v|c2S1N&ddKd!kBf!Q!qB8 z$vMKz9xl9vVHgtj?B${{Lh;?>h3x6@n4o)?AcYU(z62aDv|*72a-Dy~83OT!sQysw zlS5*H5Bm4?Aui(7sMNUdrRGZFfZs0Ml_vR~_=njUoPk~Q+?AuS8KDc4J;@$N67>HM>iMQ)wzI6-Z@cp_OicAG0_+y*V+LMBn{3ZwpPanMR zbe7%#T8*Y|2!T2S(2b2v0v_tdGJ^9BnC1{z-^IvCH6k~PN4kABk8W0IU`fpQ6}vm| zq3%j!p+zdr=SRwM89LzZwsYz)g1JdkH!zbmPc+;7@$QlQkh>7b4U7sT*W1j{t=szD z=R%CP`Nva3tNUYBZpMLqE`Pw;!?B?dvli?K3!RcJ|(epd*ue;$6 zc=M5x>}XZ+F=dK>;=%V*>3r)(`S+4pz~Nu;eztVP#O8YuSpF*M^8lSwim}_RP2Mt; zg9-Fe(;DCBT5Kwc$D8-b_ml{g((SAY-q(C#v%b%FHob7pY_(Y&_4HK|oxA!8QO8KG zrz6=tNNtQRAJMqGqyQR!%Ar4iB?a{toES0YrT8wGP&_%E@?tYSt%c0NZA3}Y1k7sD zd~(UF=pNhKmGX`JAH|xL{^j5MS~%U%aYx?M6*KzqZjzAC=;kM!A57neQ*9MD?r_Dz zLTq%<_HMmTx>bDreDS<1(bNF}_v)E0_h2%P!y2WG*N=$!ugVf)CQR7V z8AcduB3W(`Ex{xKTbC*cxiS&y{K406s12Vru<{tE);g%GzX!f#g?&pHNY?*{u}G@y zB!rgxdUaZ*B3Aia5 z5OCD%e~?8U`8e6*bns0I@zt~^l@k4=*QGJQtl~=<;o6*EXgD`p&q7W8a#j6x|823Y z@1!gQJhAR8H>Ijeed=(xu3l~b2-PcDe|E+Z>(sf!ZdZ`)=$xjd*0Vm_5i~?3){fZ` z;MY|nEe52-ge5p(rQ-~rGzpJ1(w-*|tbPI5d^_phuzPm2fed@Nd!#4(0oq^a!Vs!| z(U&q361OeF%$LGw|G%00|FvLOe7~Uw(XuGFVyv>mC2-1ZrT8qQ=wH3hRK9hqb?CF8 ziqC#M*_QJ#w9myTfoHXL#?QvH#%Ywb`bCZ55Bs6Rk%?Y&P|ixN+Zw+As@8nK%=nzQ z`WpDrqA+BQZQO>)N~iYc`mBdJY@$rIl@esAonFyCZm)}}^L*5jn1Ibd*T9hDaF+^s z>JR7Fbx{O&xj`oks~3>F4}vQ#4p;H}(t3#A$%+X65-pEh^O2EQWN7kMs!~%t)PVtW z#;YC^@mBy05TE%h*ac&7Kno%TMa~#6h8*fSuE}3(xz4Ce+cEF~`-+gA(!bNZ23K6G zNg}?i7I3NS&Mf;??d9dj-ptNkCgf4sq^t^M(2iqNf_PUgKki3r8oyFe3Jc zFc@0@9sRXD4Pm&-J=Aizd(ld~UgOSlRz6MnWPm}0SBlLt-+JGVNjjmVUVxx>eR4Y&dzI!kpRvme( z15=)v{BSq{338L*=@8^5U&&clnSnaytu~5^cT$yit>a&k191E$aJc^PPbh_BEvwxg|1>}x8cSYc z;us@Y6HY~BgfI?sOVzFP&@f+j@$8R^=$hi?Ob$_1;?4RVe*An!K;FJ0S~+p|2|FTQ zY>eq5?c8)a=Pz^m5I_gm$89u`!JOp<{C7*dw`Pzy$Fv$P!(g z2%7QLkgBdUzy5nBvUeOmbK|;9Fx1ICg)=lD8?>zKK~!9J0q7FoWHtzL{}+=2YWZHU zz0sjs>OgSNHj!QDh3mW0?Pg-_$CUDgrZbp$PatY-H)~?PPvsHBpp=EFz8fPo;|Xsp zcYqBU+Z_qvw2Pg@*?ScNRCgV`8jgyoJNBM^A3Sd`gILo*a;elpzmX74d?)*;tQzdd zFY2Xx5ahWU$%Cwf_T@MWTKj;T(^q#r7rks;=3|vcl612V4p!hQtLFkg++T$r zL8b)&>P?m+b^i9m4FWEzwQpkjXHRHEt1R8OcY8ZT?b<5wLtkmFXA48znRXO$gLnlF zLmbl%?EJaexhv>GJ+}23H+F{Rq6KXu@Y8ef45b{fwI`&Wd|i!xFrkokpVqw(LoszpBq*dvaT+>9+8WI{(S{O{#K*r=ss-95 z0(M2b#+=iz8k2Ch_QE;nb~J|rzCo8AR+#(*`8^xS`BMYUdVW@^#4W2%jtIAi*!HS^ z$)XWl``7?no4a#a&Cc(loVc1WG#Rp9Z8`koQHXcDf%@YaSZjn%Ww3(FJQ01&z`i@~ znG&uTJk80l2o#49^nrd3`viYgBI)xgoTk|slVTyZT=A{I@T`F~;0G^fT}xc*_%18G zVXpeQYU%uY4~ya?F(=Kf1i*G9IbQu)) zH3_BSxuHyIPRs5SDks*qpWch7EOj3KiJxiruJ;@6<<>wLSTP&Ajb<$uk*l%N;(3BO zc0vPemMM{A&dvS2niGnz*>4$U$YBxGZ;A(dDfjHZ(Ad1p3h>g($186I@bj=^T9s-N z4hrXhu$6K1-}93+Cy081E~gpKUVDNO503n$pO`PU;ywQG9DqWgW8tj;_j$W8k2Y6Y z9e~MZhuvRo6pp>8bUVzB=GLjR3f>)kUI@JqxNj_0=ItVA=7wT?rC(15v^!!wLJ{D7XrDKL;+$-XC6&oadM*#v*JP( z8@7GZRDO(*jY;vJ{S-daKxe=C?!T^7ftuF2Qp_M&Oa>Tr!3=2W#2cT1BBGQkH$Bl` zT0HjJDTf_6oPJrC>&REND=tzDmSAq#^NI?(-urRr){wmfR-t^Mlni@(-o!c8B;(h& ze*I0$&{F?^wny76V4$n~gzx$J_x_?-11cxTl30r4A1dZHuX<6-Fb&Z;R~T#YM$r#S zEtoXdMA*pN%&^jLt&K%|Q0vDR*=eD0(s!Jt`ZZ{Tu8p2~LV|i6e-ktfiFBuSDHTboXG%WMZF+J2H;EaMU_fGayMvc~G5d-_bLddg`a$q(w76kHOJ{y<@}6 zMhw>S?P#+NWYfKoithahA#8vA$wQGUUzIS;bjd1T?v9k-pYu43AyPe6h98(_%d763?bqpWDQ|0}>K+;U~wtXb~>Ma?IQt z2OBp1_0I7MuW6`Mxh)7!m-DLq-en^D$6n>wSXO|&w-S~{=OdETNbTJ$1|&n^%9Ynr0-X8b)t{{ZRSFV2Iv)%l8&Ca<)= zZ6&0pskZ0ep)$~K3+}bVZ=s?+jj4ls5@`s}ugUt)UBeu4w=8FBLiIGRlLNE*|B~0O zNUv5-Os3NahUJx*<-Y6#n9Y|*=3NyXNlRXg;s{y>VCy&#wK>jLVa%zuz07Z*k^a0` z(Xebw^tb1ez`Hn_{9&!0_ktZ-CbEMBEuXr6I_tr}69Wg-4tFjO^asIYV^E%}MaEl6 z8vJjQeG}xQOn-{qf6S~E)Kj*K!Syxc6fnLsIxyQKEPbmn)aZ}Fz7tFVi>|qT-MVa| zTdP7J;-(Si?+Ed+m)LW!WeOI4w!MAVQ=|!AR4bm&!n1cC7`uu0d4g(52A|MzigOlh z)NNJG`xq4_W|XSe9#13=B?y`i(Mj&#=g{lNkJYk2fgdMAxA;9*)`FTPjB~4_E=(4% zhP)rH2rB0!A<*g=u<=vDPX9Kg>>hJ}mcnEDvlU zRNK2QOE#}Fj3vq@^d7^#^F1De84`l6o)ksiE_NK=;9u`cP8Dbm@Nau}orR|yd|$iS z1a8i(sSJX2HK9*O9V8R@y=AXIA5hWLHEO(&aItxH!yvmI=0&81_ul2q_;{<>piTT_ z=Vf5Yvq1uOS-NpgJzS=cKBYpOg6B_qxV!Qwd8s*NkK!(?}_Cw7SNbQa^UCgPm!B;y9@s zIjG0{@tN|G8k20lEu&!q)ump3+HIpjjgufHu`YS!^NQkI{Jn@(fWy~nh;m^1Vf`S3 zYo4r?As_bopI$l zTnA0tH4lZI_{?X|mYebamEkHC?3WFu+{$@tG~J3$S@$9A)ts$c)%05>`m<;=-rS0Q zyEY?@93}IAN|jn6I0kG+B53E#EtxvNWCs=Z>vopsN=sbOzDZJE;TNqV=G0*~`ErVp zZ+t%~qi`PLxu>f9=H$Czi(3R>X{(OM;ex-8knuz;v)^ges-eXC;0b>E zEzCHY#fIAszT-c*rZqKvnVb8W4|4vuX-2lNuC{d_MVhDMwPwqsolu&Wrl5Ni_z9n-|GCI{y-bbets!S53ggx7kQQ7iDlfL0;1;H1)gB<29mS`^4tfV&St}P zR%|#jhv&}k#i>P;z^_Kqv*Hc51W)=>aUPCC5)vKW9Y$7?MWl$?2KaO&V-K1U?Y`wk zM{^j8*!t?`{G)W7pT<%1nWy*64NBLqrH;Z(8h^J4zUa(;zCgl@jutuKWghfSI7P8k zabTCiZbksCveWZ>fqSdKgDIT#yJ*)?t|RMZHc6(HmeRmDLrVC9Qz7Ps*$#93UqKeU z9Bv0X9X4X@cy#iT6Y>~K$}H}rNMhf29$^#pzw^zVw~E9|VdQ~p&Xg&v)Lc<@w@%c3 zWRJ-Sv6&=^vGm*#;OTQ-YOZLwm^YPQpWx&8v%ZndEX z^Z~K5d0lfFGlHG|)GMj~rK|MdDSQp!+7SmUEAtarO?;4y_v%W&`t?*(UyVVCWIALz z)SqOwGLucs$IdnX@;AkK%#G;YUrgIIi#WBjJflJ< zc1vl1L$uW%C!ms0&HO77L*CoZcg|H>p11=d?V1#r zXg$>91ya&O|ac@OF7^F3{lBjj2$A#T6BD(v5ISILfyx zs{Ud?ypAUY=8I{yBU1!5kBc?stNC*+9s4lxK3J%8Nol)Rg>&v~X-+3-Pp5u>xJn*u z(dxlr!ddV^ZFEutZH$2`MW^It9omShMjSE%6LLf75qBD$30`~-9~Y0UX{PHVb>L&N zm~8)J<8BL(Wfe-otq)IyVYHfeC8H3F@$N}m66M3SiLE4wNyTiARNbYf@#R848i89u z0E_7j{8e=ynan}UU6B+62@AoP2lrpwjFDSR{n!uT=Whp*CifJ|@ijlxvl7E@_pmJm zC=GvzC*diljdHji|H?!}a<6Q2=8A&-CcKjHXA(?URooRF95H~-yw6~~c93^(?03;2 zqp(ok>uZr~4Z>UNm*V&Ah%ZGrRK+q`E1&Ih9(b@qgWv6bxRJ}e6ELMUc;=6tJezsg zH!G$BS#C}Lf%rbQ$n1BZ-FD)`2xi%NH~>suymP*|*O|s=(rI3z@m?ppR3*Fpr)HSr zC5|X?r9DHR6*Q+^FOG#ctmDtJr7Yv`1}}={J6%h)k;fU?79H1OQZXVre&usIFCrEN zf8hsx5GXk7KZiZW3UNv>25a^M_Tr{FD0U`ae6b2-S*2lEH2W>wlmf~XrT%x{VbhK< zE7bUqkh8@kOVsJcsZW{lhn1ae*UwqVO;jITlfgarj8<@loQKO2ZSdA}_7~o`tQ^y` z4mI{u+$L()zjko`@fx+c^FMrqa1tLebQ~+}0SH^grd3Bq{f$E4I;| z5lpiFx$#W=*r#{zmA@uAk+@k)H6acd04J1bFWY=|FLqT8kro6>)^LTgCb0aRVhPys z8o%z?c2l@gg8ZX>e9x;tJ-)$Wtg5_kLkDnjnW~HBd^L^fDF9s&{OV ztl-|9)OGc8>|-ytF0F7O>yq&ou_WKCzGN5)a(_`sx@=5tkiIml^sZIagzS1$@t!2& zvb3a{?D0WQkn$5>3-O6_dy2HVR3hf2rn7xKU30_D+YWRaCe_0g=?&qb^@cS&M_<3- z{L~F=`kd@DZV^=fED6qV)HC(FmP%TZ&D6$Q52`QtY2v%ZXB87-<&2S9XXI|xNY+En zlw0kCAQ(W8VAdalr36jV)dx_BRqJ(@ExOWFY&A2fVv~g`mQ7+GjB}8LO25;mfwsRI zxU1ZUb{fzNSGH-Bw`CJ+WpglpcWscgOB8$6AeA@go}!asW%|9(ZS~aa9XYnx8dRY9 zo#h=g7~AP&O=>|+M(q8rp!OtU0&*y|wD#S>@;!Y@jYle4$!45%Rc<}fYABxNfK7#=10*p2wFxMgOX+J>v40!);a*U;qVF>L z21o%(dMw0&jupc*nL%=F^Lq;0ejmaeEXnoQ=7cryQV^}I!hT~L7)5S5$kjxYvJ10HBU8B&Uwyt{Jem?@w z=J$BcD9vf)$RmI=%Z#Ampb%%=>F{R}cVSKO4S?tK3n0jp7q$1S!NaLAGr}7ME=K} z`Bu~1dc_;%GT_GH@JVlOSO{@2pYPB!nKooZYT)j)EY*JDmq{f(Hajbv?BQ|$m6d4D zygG@4NffBVsM5NTONPmj;o0lPjAkGPQ{4&E5y0#372VS(%FQ5bDt0#?ZsUDq8QLmX zdn6jQ96k6Z$r9Qs!xK}QQg-lhMo~U;%Mdv1mhXqrX%Qh}*0fb6a zG1Hl>@8?GG=-aDf`_A3D&={;KQ=D7$N}D>p%D(T~s_Ps`kqi7Za|9N~o?{1VJiuiD6`y4$jlBU*e#%d+;6KdIi0Si%j`S+}4T~ zRHd1T3kz*4xE$nwq`J=yHST45;*S$_o9vX4B2LC184ynOlbk{$>995gV1^}s_@mxX zb?iX)r^uHrv=S=N zfeBTYt!7eO$nJ#1>rAogW2N%9+gUR|sK!8?vLFuHy$eh>GvvwR{W~@`N1jUT+wEu| zJN7Atg4UFuY1r;!!@OKM73s=xiG2cxWJ7r&h7rR>$MwcG%jzC|ifqq_#q3l``l4%X zT+=^(=Lv$6bq^NS=donC>z7#Gne}khcPx#BrDR7HKe+eieUm{JW=NA6POkFq-G4lJ z7NhM!*QizLn!_^0x zm)sytUrZlwouu+-Q(;yTUdVdR|7C-_0S*;YaydjEi-Q}kuQMJSh4OENZf2)D@VlBY zDf1GSCcn>HF$jQ{1BgEtaR0(hE^3sm)5C_}Xzj}OA!SN7!#y+6)p?_TfBqH4xU_jL z_50(9>YRNefF$(E*#V@6$EwERINLeMFm^y3W!5hB|ArRUOf4%kScMII^ITYJ!L7EWx6gjl%EHE&L;(x1b*PJPFsP=f!h0?85s;pV>Su zn%(P^=N^i&h)-Oty=IL+=9n5~?^@EZnH$T@XdZ92VhA--!1boO2VJMkz4o48tJGOv z{SwTPz*Cgj{pARtf0W2XEA>5}Gkn_{?&`wTr`jN$Bnq6BmTSd3xWgdHW! zAAx8gNO-54HTk|GyHB4pvr5iPpXsT?(=68G`+mRgRo4JsZI=u@D#%E>KswT_TiFN1 zuF@z<(~(Dc=1ICo^RLs!z>MA`MU`?_s7s;d(zdnH1y-|BTrXC zvHavFTjl)7Npxz zU_MM*LL?;j{Q!Q~wbMM^N3$C^K;i02P}0VB5N2`gIdru-E&C?b?%yEm`xsrgki$|R zO2Y&_qNfqy*r}X@$k@BHy%D69u9)|7EJ0*dbA>MPN>4Nu|EGMi@R{f@R{oaf_c5I8 zEhS z>==Zb)DB%;h0iZMg_@ev zE3bIj75Zq|g=fvdh90tMm(Qb@wSn>!HZ7It1e9$+MqgUnM)IZsmLIrL3Ja*?QnT%# z^`L)2tb4$&c>uCxjiW=khCcn@3o_#kJyVM6qu)xd9@&o(kC;;>m%LFh1let;&wuh@y(m54K z6W54W9a7wkp*jSW^K!!;h+Gb}npkRJH#ZrJH}` zqCLX)@_$s}G_|=6R zm1J^&%UZ^C=)-hF=Th%Jq=qIfT4scTCf_;4c=MBU$2`p$!IH5P^bJcI!gSE4dG@X6d-kX+3_7Q9b zFGvlFHz8UL>j~`w->l^EdJiD%C(ryf-b6XH>TN9!z;RgLi_qN*4@jfHFqDn;vfu5G zX+BfW+3&rA`I2W*vWetGdRQY2xN8D3>*FAszX#XI!wYotP;IN__3RAIUt_n6>G`X-_9g z_Yn1j|MQu1`b!*+^=+!Xz1TnE;`+b4>ZAL(Fw3!;G*1F#5EYLf7`XyR#9iAE}YeOb!{*bdyIhOjj0xI8gG*TQKx5|L3X? zKe_g;Q#={w>`TwE{ilCml~2>F05DRn>{8#f`qi(WU7+XF45D$tD22|%%W50EoPZoP zdNKDR;W03+BB27tWKIuJ%87@6b-(VSt`0rGDN(!XIF9n=XWmkM`->M`q1YubZjJJ1 ze&Ip}t9EPwOi%pg^Mv>;;8;LH8wY~V|YF2tzW%Ty5JMHuE*FaW!wJh-+yiFU#hwC&T|#R z_3$}gRdHiqeee7^(V~yPsv@`k+7GOIV*MN#%kMc!i7i0g2PnFY`wN=6e#cOlO-Iwx zr2+WiX*(NF-+FszD#sWp(7Kz3A}(`)XC?~@E_fBzjM=lR+xs{VV=Imv(~>}YlF*3F zV!z9x)KB7(Nnf@DLAfYL;&)Q;*~av zHk4g0rwI0FPrNc?tRxmlliG2G=gNe}cIhM}aGla|(1Eshg%gV^EIG^^V$&9$`JFW? z=J~=|-ck>(X7cKr$O@ z#gtQUym;L=?CAe_VuN#UE(kQyppM>_*}H_ zlsixReVT`P1_bjK9zK0|eKMTfWlH$x`q|TGXf9N+{z@}2sQt+!9J=S2wMK$1Mw%5J z%!nM(-WP>}12J?Fki5d6ppG~LJV~Dx6&*84W1vd>!vXYNKO}XOnzGn=oYRn2@JH5R zU~$VLj{2`iQ#s~UD$RkC%!nMCr-?M@v7)T*F;Q*BDoP%H<-3%;`d`j6{xlBC4*Z#` zi}s7_zxo@uM`pSfsPKC-2~_^q|wKk1OK{HEdq?yb+yPxt-eU%hCjH~smg zAGw$>b>F}B+p3>`^KSo_e*W|iU$l#x&oBSn-OpdH|Hbg)^Hsd>mtWrce0J8Q|B)Jp zA3a~cwtwz3YCJ!Cfr%FVqBzCp{`^@ltk-|$r*2)Jo!UQ8aW8-Mzc^o?EYJJ1rE34R zv%c`w+_P`K%(2ilWtbwHC1vYd3FdL-h8Ki1i;TT&d^yC#CS42yo&$e1Veg<7n#zuJ z=(To|t&^oOtGH~X?{!7Vi9J=kz~IC|hJevEQmwv&aGBGe`%vwv@4Gce$QAvY_BFmF z1Nms5axUJwx*c|gIQaP~Z7%T)?NIFvn%4=pcoGNZymzD)k$s-dqXFD^my42@Y&K;N zx~Kxu%;m@^F(=*SJU_+4sde#Xg{8h&tou6#E*R`Wz~tYmVvQHOo_Tc^Irpv?G`g4$ zl(4=&$6h7d;23+srt(~o3a5)*cVaWm^@z#|{$M=Jgz=g=2}(dV`@@8Q zi+w?av@yFtBe~>l4s;)_&<&}C^aSFoB{n-;{TI&C%X1%2UKq3}TwhoeKKeFj5xN-< zCO7J%k1v_*#$&HnbI_5Pm|h1`VPbie6VM>0!@6S&U2{muWgukCS~fYNE_&#`Nm4sa zsz7(N)$dfmc)GBz|Dalre_f5|R^e<4^73Sp{`PZB=%dwEx>MmDD%0o1qdOs?>R${A z9d$aVRzwm#bYU`+Ub;R3&Y0#I3$2-61c>HjSOY}i9Z1Du*4n(V3bQLgUFHc5fl~@Y zE2LGHrL^JO*^c4E+FWuKPVzoeaXF~F(c*i@YkMaI@8rH+CW7m+=W^RI>ci+qn|->( z*apX3P@a*vok!g|8FjZHr0qE7S$5PGpK2i^V_;bdPZiBoJ>$u~tKAdvpkhcjPL6Y$ zqEd${F5K3sKtGf|%sK4eI+Bj;1!!O|fvv60xjxR>Ho$NkE<2dvx|~RJtTFT+XyLLApsziWKf?DTO=( zpel%`M{~e|%2Ez2sg)(m$vB>59(qCE;TM`Spup%4j||wm|CFkT-V&F%~C2v8I|H@ zycb=pa#6k@*70^#+!40_meT3JbblkftFN22TWMP zebY4aSff$qlH-2mzf^K6)!z8*pZm^>_Qh85XO&#|r|0(5=cwyHd%`E@+@`NwWBY&h zUtfIAqQ{rd`M)mOm-zF)a_jR`bv>+~_y2XZe*TSBS0=Ccn$vcxmILm}jV&;lT<@k9 z?OOdV1MGg}oTJRwR(m5q=i5HI{o81#WIzBxVi+cTf-6XQ>~|)g2R=veA?9J+M%v;# zKrWnWrqVr2FPy2v;*?f*kraf{@gR)|3IyAd{@mF@jJhKL+9seD8!zFZ4Z6?I z$0pmQbH`^bb}9{Oi=Bouj!3NCn%sphcAfTbuXtOwV5;)&8^v05t>Odh4+75H43?L6@J@Voa zz?~=0pFJ{G_J;^#d8_-NOE$$p%L-6NO$r7=f$efP3|Y$)*iGp$Nt?-vrwmk13|fH|LRQ3$(kO}N5I_ot|0Gcy z!@RHgl(F`ERPNU@?`sC-<(w-A3)*`LV3|>xWZ&AaG+Bm*R}gDVU^kc1m<1-c^F~(1 z4ydL$vM4FCG~5B}Oa&|R<7nG)?aL{T6U`vDy0@!+VvWkY_eded`x*?|+h0$v-_eXy zXYK*exkui8@6o;8G-M#We||d7Q$OGn;eRDus-FEK@M=$(~Y{iX9E(Gzl8qOB_gi)#GXe z#g6Vwek`)sE*#qj{4=x_5K7XA#gMk`jZZNJS@Yj0PP=)H>;6L(>+|p2!t3Yuv;FYw zM_Jo{U47;C?`zwjH_&OUZ-U-AEEe_geoa#NEN|N9l?M`b6!V|bFsA1HY* zj;lP5RGdtL)o#c|Zl1DQ8}DiNNGkMD=+4UlquNSu%!##&rHzt>%latv2DsCZkdO=~ z{h{>1=l@f@&*$?CeW3iiAN(sghQIWA{OMo$@76xMOMLCm{fK(@fAjn-DDUn2?|$*0 zsP?C4zQxvB7q8_~UKA-&QflIEKpKG*K~H9GSkq*LGl%y>l;%X+d2Yec3=jAb|8i2n z-;yT8Td+W+;s7lbfoCrm`gNa-Zm58~2P-5~VBxJNSPsUD;~2I={&DCbb!zSOnD`JL3Z=1W(IZHvHGa*Tor| zF5L3o;*fsvsWr`GNg_M-V0BkLT+_cmIVWKcBEU6ECrV_R^i)~`)hh5xAuTe%yKQmWgw zGOS5K7)JSWWw|N4Q*(9Mg{QI;`rp!W{Oq;<00WsGG90soc<^NW-|IrIwr*h;z+bO;A zN0zm`+DI_=|1Iqsm&}7x{{MwQ-)ax8bfO%g8gaJeOTe7%ag0S0fsl@m{T4uLOItb2 zdj`lA^x>XM&W|FE_QDK|puxgOSn$n`BN6hB1$<_aan;3Xq)2b z^9^G!gPp@@oI6G2`?DQ`p3yv?7ZGiVaA(o|t2l^gbjdYvb4u}$57_hip$)wMirj4`6K`%)_}|nP;i}vj*SP`sDg$;OPB_ z*;cEvMvtdwi%F`l$;$k81y_%GKn5_5!1+_D}zSy8cgGwDX(m|MDNd_Ls;*2 zk~*M8DomL+r&pRy%u2eEF%gkr3RpQ3s|C=IjIs}jwsGNi*|haM8IFz@MbRL~C`~|m zT~|-#phgJ|Nce0l3QQ-p*z>7m)DEi z^LcLn^xL=ke{L^6dvX2Zi~swt_2=UHzfoK~mumm)kKMU`#o%B1NySP2*{$b-ar-6l z+Vz>LSER20^vQOVy~<#nhWFonU&Rdk9r*N@eJbk$Gn`A(m1CGjjBKPhx#>7F1$#vH3>U~h)m zmAR3KVg^kD``N#X@|;(D^?ONf{5`Ob0JIb5(q6(=T^#-xW4EnD!) zw-yuU7)UkGAD~b2-4Fhu`mX8%eee%fTxSEW>tLLIukwa}?8Kx_8H?ls-5GIZ`GzG9 z0M!RyW}EClPp@6>MCpeWfY2bFdt%Mr@>=z6s^;RG&$IEi3Ne3l@6owb-zhyD+1eF8 z{?1q_i!MZ{7ePq+!ya>%!qB$4157HIhdO|E=IJzc=Q4AYw?svg?Q%EIX);GrxVB>s z(dfHDYP6oqh~1|tn>i*z5PI@WF7|;(YJLBU=XH-98ux>Wt@_DRy@Y(hiR<<6eo<9( z`b)od_H!zkj`dVvPyeYiufG*z^nrEWLlOF80mWe+?1Isr-QGs)AM`6BIzWRA>^2ol zvVBi?T9FVj&dl(E)0LRNAXFLy=1=>x-{>Q1m#kTjCv5TdIz8J9K9m@tys4=XIjR>E2iPE+;81N@61fRwIP825 zlSD7&S~KLV>&kzo?C5{|+-GmqEvg+W%AIvib<_UEZ@;TsKL5v*jK7rq`OWn|^MzYu za&G?{zw??7{2kSw;Fd1R&;2~y{roSg+902M_L}Ze*MI)Q*V=#QmL2S@yOz(nrMsew z@wr+t{ZjiyXukFXfT+WhjamtrkG}mF7a3M{GGGJUs-C%KxSSGQwx>jw?2@>Q|>2Y zf1FT;_9P~j*Gp|41_ z#jcY5T&NWGojdzzHOuX?F8dNQDO$T0ZD?4Wu(NF#@q&^WANBh0c9iWzh>?W+Y!3+j-Eu>pWr?|n((C_zEPU6o->-eT8nfb*(tj1TnC0p?Nf2?>E zxhn$;m5coTO%9{I%+veko%S_H`F$1h_5MFv=Py?Fi(sj5y;SQ4Fr#WeV`_|w`Me%Q zo>FcR76YLgbvK-ISoU=`q(f`n0#%>Aqdei-)EhqM=K9~d)t;Z*|JSc^-+yxV^VjzO=~g>EwSVrd zyVn;Ve?xz6?)zW8`E!2!Z-0&c|JvQp`CC8syFTx)yt)6scoVONn9zbf^1rM8|8IA( zBDR3OI_XL0x|A2cW#!&gSgj;CeBgXojC!0+fJmd^%@(k!MCZrOaOT*B zAM~qtL_5T%ce{vM`<$gS$dQzD_@);r%S?8h7JZQCI94BlxkJ7Yo_ztQh^?ouN0`0+ z#xcBOn~%2s%UW!-qlPcZcEg8OvyJ9L>4{)!j6l`AF9do@^q5qK;q-17&I1V|*+;U= z!g&m{kW%LPykOO=wnnRe5jD!fzQvYCbI8PDy1{r^0-M=LJ-UgRe4 ztEkHNAKbo&`q}Q&KYG?Tp{mF8(Itax_5UKzScUw17`KhWJtY8O%h_slJDzzfhZ2;@ z6v%j+;i(r1z#)U{-Ry9=ZwsR7>1@Xdr&(yk1|X%PVQY7$@KJEekA` zfYZ`|`6=m*E{^=jiG5HEu!6;oEEaT%2Qu|7FGf{=)fs^Z|p6`QYEhcKofCpZklx z{bH{$`uAMOfD8M~mp*=ReTKf|ul_xSegADWf4kMb=v?@mR?pWBkyoVz)ax=+KDly^ zG%`YQFoo_%$B|g*)H0M;ex6kA)%CS=`1rC+l5IG?td_XTuA8fFE{EGb_VQ9{|=c6pL}dFpyB z;Zbz(({xk2IcLYdlx8K(^3@VAr{e#=@Lb97X`Q2Vu?uK>RT2B9r%LX;cPiKMh9Wl0 z4>l&SNoVQ}&zJV5KiI0s>ekNkD6@GOzXaJsRaU(o&gG5){^8IThH zI2huUCPP}lzBlq${U%rE2VoS}wej?UI<@DSI>Be-XI^jm>leYS#wx%s--nA0Q`Xxa zepj8vqun#f^}Fz0+uHR!>SjHlYjj)5damY1HVGMkqdO{*m|y{u&A9&VHU93?kK*s@ zeXX1GSP!`RWKBHX1B&2h(t`i?5-lc#gs=8GCoYVrp}F7j#JGNdes|txG$Wpaj#;cO zuM>R0W@9TIfLERjS$c!l;7jjFaOjCr)HO% zZCHOFJ;yy=-_x&_q{Owj+M>inKIBBpWJzk0@|Z_#Ys-!uL&KB#m?2MNvaY&dQ1V>Y zGh#x&_IJ*r%Fe}9Hv4(cRpGA<0NL=$1AKgntHh^JHQ<&k_VWQk@DbbVd*7|Aa{bp= z-pqkUgxzfWIT12#ZonpHmtR;R=rGE8x6E}lRiX;wGn+2}K;4@C9gywN5 zvSX?0;J_A$Bo<&fzuyHZPwZnBMkY0V0RP07um%G^hSd^6g-yc^M0R z5vhNIcrGU&@hnw5=o1FO|?YT1T`1*LvCt< zF8gBH6%Ul#P_M|SqIN_(rC2!HHHz5hUgY*%&~9tvr1C>=xH1_ih=`u_aUNvpjPk1p zNP-4&*ehBD_e|C5U+;Jkx^%57zdv74sxp9=#1h0-8QypYAv;fwbaLUpAAv$zOQzXwxLF@M1|fpf9Yv z5;-ph$rF;*?U)U9V$B+iH5L_=J#4>P6nP=WkVkb@L@*kQuN%*(6Dnu_81J5J#3g>1u#R%uk%@HOGMDMj#{rXRVR|4Z@WhKC2rbcIxqi4$ zr`AUbz5n=GX5KlUhI|w&k#B)jfyRxPa+P{OV{{>%+%NoWm&%48c^W&ybvy%Z*mQF8 zh^dO2VH}&cNsRs??iaxeo@Dgi695O#UjTqv>YD7Xp6WSQfk&PtN-k|1)?;QTA2Zv--#PQxSlo(XZ#X

      $zVtbnU2B%vSpfqMm7Pf1m7 zd2FhGA~;u}7v=i7BDvjp+iW*qC%Na%)#0oE$tVb!#r>mWqy}$&PKQG4j=yo|V-_7d zYR|4BT_K}T&xD7oT&l5d=6u2k4dr#XH7jR@oab|eX<-pRS`p4Bga7BMkfoFqUtynj zqMxwdR^$^Y7Fz++GujgpxIuA3A8WkW-Y0YEmHKs1XK^aOOy#NlIvG1TVNdQQGhHc1vT*Sta}-YiTmC^yPrRs(&s5RR;W%uct9H{AHum$?p0UM8 zPL4Tx52Zqk2EG_Ou+3P!nz(28N(IV$3r8U&+Zc{eA+y;p>1nvoqZZ=r`KMjy^#^dh zCR^tmj|a=c0k0k9;V15GU6_Hh2hdQ20S{=_Ha);5zbWBy_#_N!pSZy7^6Dg2KF{@- z=&{r75{-|mzg@Tw5mGYW1iZp{kF;LLejAa^QejeXs2mT(f0{6vpG8O z6D~ZHGkI;cEDk}(Hy|7dMj?|VD|{JLDOixD0wm#rabv}nh9M(XsAUFz#Nn{^$jSPb zKKCE@&plaAthApo;#KguMXKdm*?cRWK9*v4yUgR`V~_cOwaG*OAI~ZeV23|q6z7N6 zcmn6A`vnf}Z5MIcUt~+vo{SDX<-xm&y%=5@t&=!|S5{J9q%^OxEclwjKBDIdc5srP z`=;S7ZY+VF!7cG(aF6S>`Z#YmOBpRlNP6sDU@9?T1MBQyPeyX|*dBZPZ1(i~Pyd#@ zf91S?^$}~d(CVLJ< z<>L!kb~FF>v&qC0jOe^a&vMG79}+AoDhmy-j^YSgstbk#q`~;jS*%-Hl_Sm!@BmW! zdcLp#Zc~=qEcIB`k|^CyB|Hde`>bNLY_1LAQ>|ug`h=wAJK@v4|EBuX2~izJB6+~$ zJbeNDaPBv-x>whSzhQM$pnR6!x<|qn=}?! z@^gN~4esk;ahV|kZfwg8XCl=V9xD7CVUf$JoM$<2gB{IarA;m5ZN}hp?fdI@FQIfx`BFS=`a_);GmWnlM z_Ikk?CVyw$&oKFniG@MLnM_jP8> zAIO7AN4>cf^*(hf8es`1v}F)%wPPj3`r1u00&Y^eU=LzN;Ni9kKtoQt7zoQWMk$x4 zkye~V4faWk*>%OrY7SU-5nK@X70qdT+*4!sV9(A*5A%i}j!CEQ2`>TNmx5GDQqa1b zFO{tGT59)NCOHM9=dhh;%ad#J!2hfDjSl6>%_DoPzp&a#qU^#eoZK=2K1G5{$o$A3 z;!|XB2jDh?o__r4U0@0%Z1ezRZtRRir7kyB0s1L;;x=9}XsT&{igZ$ms-swf5zrnok$_U@lM_J4kDqyGXJ{f^nfV|iLdv0QU-fU>Ow4+Bqo7dUhwWd-I5mg+<# z@z=Z`Cq9~IHo`g>j{Fi{wMS4^7Byxfr4_@;b*LSBEw>)Wgk@Nb{FU9}5$VZ4dI#=f zDbIDHcB87PBvGX$;R?0W23asCzIx86+qHzx8_c$owC`jKzODzKlwWQ**}@3atM{i~ zkzgp>9aYHO4T;kLZao;`ov6ppPwBgl$V0);hdj?|Rxl(uz_8`0i)Y+3L%AExO zOqX*nuU-@jmc#oz9kpL-pD}AzC& zW?MSRGW961-XF5nX1yOQYJ=i2j3Gj3e2nC^}zCqBCXS1Pv-$6)lU;aZjpPOD^%my7bjjv zCq)!(`>klDY=xO~r5xY9*JB#-bMN-kb$|ZBF*W{RNP|cuA+ffcOd_tz+(#MoSjlQh zeC|i*SXPl}1cfc53p3jV&30vn)75P_)<1^y>V9-)Yr9!w2CsuA)$-HHs`Z6dOoQkUA!@p&k)NYu>O zsEpeAZPFv&KiEW+fZI!^KK|*K_og@IBg($)b(Q~M8XeDOrjJeGp=30O`z;1!+JvxL ziXhI~$cLvx(p+Mnf;ZC^LzXepzExKlfrmg#E-MaC3qV(fb?3G#7+!G?Y)tn>%UM|z zu(-;o&?~Pbyz3HhG`)noI=h*l`R15j@_p%kX2YritDfX632T7wKqpdIUnDMBnI(V} z+)F4%*k`p$E4F!z0&t}EAmQ?ooj*iJ&-<&Ry6vWi_tYxlUPF$}LUI;h77So)MeOJ}<+5NgW>{^}tEE6Kjbg!eL(*xz%1Wu0MW?W*txpHuS z{>^iY$soShhZA`7JUSaNMJr&{HQLH~xzvpvuO>8B`D#e8Q|3}d1;r+lMVFkzpXelx z!QP~HBrtD!+WUZBznp#Z#+>TYyNb*Je9TOD>@3j!x$WUUl(;mun*b&_i&JQF>bJR% z`*t6Px@>xTjdZpRshyp|Qn4D74|7|0H(mD#aMw@39I--B<1|dBgQ7m!&PO}qP zMmdh07I7+p8x2`^PJ{-AXbO;y;}l^RfK;$sfTe|wzqBQA*n(7FV$RP!&avH&!`k%+ z_%WaFxqIxXmFD;7xI2ln;B;J_+uqk0D`W9{qjp9b;%&+8yGw8_05nSYG27m$)+e5aJM_HXrZZtd;=4nI z?!NkY(k(zm_*{|3v@2GeI&wzM^8Q+8trO#PmXHizOFOvvP#=7OcdMwr!?FjIEo{C_ z6R&WBfehAZS`CuLLgpBy6jK}H1=c+u%b|t%yx0Z99X88UM5Z66X z`^J0tg}nOl<4^ycydrF5nhUMQ*e-MvyF_d=_|D?%+8}SkxTifHwe|b#htGfh@J)67o8MH|zXyVO zdizvaZ}$LMNGT`)I~@asl_W`XF)0aaXVFW>veeJP9SKw(fX%cn-eNC+^AfazTL-Uq zpal3snuV_K$^6l({3MMN)um|?`oli;xAs{|y)w~JN_m--@VPw3y-^=}I8S|9=i3Uv zwfC>Jn7JyBvx%xG2A7#iU`M4cjK(t_^|jas+2RrL6VDTcz&IBCUvDup^(~3PQ-6Gp zHJLo=UCrVid$PIhDm&Ck4}KDGC>Cuf)J<alkd;{`{E(p0bgV2Z_F|Ma97@r&&c6xlc1zh!~!Z@Z1`Uwxi5RuU#VIAitzO$(PU79yZ4>CW?TKeca93qFdtYNq z5)8nbc!NR_%Q`%J@UUoE#93IzX`beBQ8n%gs0r{Hem~#~XSTbtqU_Hj&I&b1su$u~ zQ|bvfn}LT76`e>HWU$1+7SKd`^i}B^g@{CsaA1vQwgKqfb zNZvWXpa7fL$2nu1p-5a$*ACDxuL81JbbT_`IG61lU8&<;XQ#%;pi#lnYFXONYbBZ5 zH4L5hxKHm;*?M_CbDP+Z2)B8}Y!Y)y%im9lx0y`<@A?#;cqQ8B+f+|?IXnV@$wuNm zS_cwxOiu=$_YVfKe&;@Kr@h^&(gEP*Ky#GY@G6z9W6_W4)HIbGUYu3wep~gVS94PL zhQ4;}75~<+{qTM4g)>CJl%#1{_JWgnz{E^NeTJ2F*Z_9;HezYIJGV4?0$+z8O@M2r zM-1eZw@-w7_sS@AHoYw157n3T?4BAR?1RqeN;nA}3wEf-o;g^2o1F$6jQo~^9Tcn< z{iD^_A(-qYY8012TgkF!#SE4RTc4_P9P3<9QOt^%*Fb_iIT04=2JFO!lPK!+7Uyt6 z6X*DCp5z^oJePnmNK+SOSLyjusU~lfcCWOhQkp2o9xEAs_cH_o*T*Dk4CJEH>+@#_ zk=OfY5Yk%RB|WF6*Z#1*M?Ut*P4;Axw!6(P5N+b&^wCA?iK0MKdG>HE({QP0prTbN%Vc|(-J;B7=fGxRX&`niU(Bz4z9xs;cFxUU#CPSs2t?+ z9#HYc@gD=jhwxeKlXm~~^gQ0(P4_-#VVrLjS3iILgd=@|D^Mdqpt?ULqV0H-9Te%{;bE*w}ncbWXx?@UiQ7UIs1%t#*O>5$}Ml~M|J zJk`RlJJAWhyLel(`9tG1{$vBM+q|uws{tSYJVT?yTwH{i{%(BJx81g8-C|-8qr4AA zRpvz~G77c@UR79!vBKsN(KKiJrK1Iq^X$aFRF{A?p(=EHmCWAr#a8*qY^?cx!9B6* zfCQbYR7-LpP)7OUgM$Z3lT!`ezarRY;v25TVQ1e|sE!sfqZIx2$A=%RLp<_l%b8>l z*=t^LpieluZ6cxcQFYt}iV2i9tgtNQ!b8qQ+oo{VhLJ@2ilg2+I0nlINUp3S6Xpib z`)uCd;oOXjHQ+>42~yiy>+s@Jii$&(ndgT6Amu}C?{(~ZpU}}ajZ)5s`qSt8H2qEy zPkxojtaL(C+4-8UD+#%5{3f)|$k5&-ol&D+} zO^G$vCuQxkXy4s=%9}BIg1()m48n?D%viZ~b88tzT$%+@s3d{G(Sm=>wMDX#uM2 zk|ZySttuIi$G{GXz96%JC7%Tp$c)Ts5Cv%vFPsBaU&z83`1EKMGKRlIG1J*3J=n8r zQ38MQa;Lb`+)O!abal#6ksfS(ftBCJe%s@&_4j&L4*2*T`@K*9*bVp;fc^HdKaQf- zI!9axPP-9$3W}@+^DP4QY`*6}1fEWa4E+WkwrlM7?l&w`&%2-ZyQjT#8w;4-7|>Bx z)L6a@yiVvoXaO??W!{^U&QIrD!kf!@KIL9FzplLp>IL@5^u zEJ!fN9R7Gcv%Lu(7p2UUXhy+EV7q=_7m3{na*Oj9mvZk=evazjw_QDj{o%w>BGR}i z${@%hjw3;fERz*Z&;loSu5MxdH1KJGGk#-^735CA5)AvBoJ;Pc-)NCB)}n7pD5G)iCPf7p zI2I#WJpssAgh?7zXjkkd?K)mfM~72_Se-3pb>lW}VNQIDyz8A_^N(rekVf?=sB zmo))bv}JK3;gMe&InSC+lc|Z@`<4Bhb}>*Y%%C}Yl?*099F&SlBGtf3N*3)p7BZ<0 zyJWu5Q=}D;9izXUFS@NT*l%K}%zSQiVCSR9;zbtxyOGSB&S#7oH4^Jc@zBeuG1ctA zv2wpG{i|@w>oBvFr`09eD#Dm%I9>_(t15BGa!OMCp79K8%O7m)Ice{(JYw?ye2-ue zjC^|k;rRJh0r1nIa=YsjIux4)6xMENoC33=yvA~Ta~*77+K~=>5@mWEWv9D>#G_7t z6u|n{6~Nkt;TIi&E1wI=;htjBO_H$@-bG}d8R~8PxW5bM+b85Od@k9k&|@xG5ip)? z#l@9c$ZJ#L_{YpK^CpZYR08i6(eYh<_Md;iDS_k{>)(?14tLsEsWb`mJkLU)d;uqY zfAOz!%aqr6)MmEO?nX5&iLev0+hIqT8oj{e4u5ln;^gXvf_({qvu*PwkY8kp`IYpX z8NOHA{w4VhUi*RgvXD8LOimlsUXpgo$L>1h@YrO9b4V~B&H-1V7SdB3;Gs}oN_9ak zb!>pDZ#@8ZYWVwIMZpiGR#7U`EL*^Rx6PO0lnNXnu*a!{!56`y5ctp5-aB$r&oW7e z4@VEl_p3Nh16;$Q>$HzJu`O)!Lt#lf4_Xv+E31Zrnau!Dm+-tV!Nw<){A8C=`+!u< zj5%zP&{IJ9P7z}Z4X<{)LL65U|C@_vG3~sPJR+N z{yI5{A6^*6s=dTInYzgO&__6WtY0c5{P{%z(0$V9)F>a^aang00MVh1blq;d9PXQZOnLKL`&%`u7IGA62rw8e`71`?u1uV`F6L_z=;K+M~>mK0>19= zIfj>04shMPeh2ggu7xsGW~OVUno984*Zu{KOod7bQmcWF1g9uic|Q!K1fL;I&D{5V z#@p}uj0aa&VG7Qt%P5wX4A)%XG;3XggDh{_3e?Qq`1i*icy4mJjnz6PBeW_^>@tmqLWF%z{b-v3 zPc02Hx$05|Qhc4(KB$9obn?2yNxemb5zpIvg2rIxy^HT<{2gn*pF|Js=SlP?S+%!8 z?#;fzu9bkytks3<*&g^YOkm)Q=R6@5_y{uiu*h0Hqu>SnJ)3V>`B~u@Pbr1m$}|;2 zrYaKZHsf>2)QG8Sm72>% zl{8^}u7Xv!ZbYjhI)`!_^wcRShfxYKcMHc-a4V8}3UMsvL=vN;XrFKfCTddq&vD=P z_QU=89XS76JoEb>&kDILAYLHEvaBc|t-8nn!YUePsXt!INTAxpq`RROq8~UH>p;9J zC!*BDw2}fm{V6f~xOkc&YLtCmo3H1$7C7pQ5~h`&^|CkWO2orvco#_OrO0$M0hF9` z-H&`&a$VwRk>E*>an}xi|7>UNZq$l2 ztUyc4eIN}M4*6UpSxHWH0~V_SDkU(2nn)_@Okm}95|7Re&m+3;4K>@~rFN<(zp@5H#p~_3M89I~A$&)wD>n!1U)L(^#>74T z#(D>P>%Uq5!}_0AYW=@2^57rt^sAp2@Zs5h{O}HmoH@Y9eSZJxzuSNM<^9LEA3r;v z-~aUXJ^bQ<q17fItHhH*)$0*pke<_mm+2DtsY-?- zqxrvp9}@^vIE(3op#FBS)1w?o%)DF$nmUoW(H2t3AL7+b)YLDnkkD zislMDa4-Cf10F}2W2#s-4>iij=z1)`5W`jtbh~CI_F&f7DY*Ei8pygZ=&_bMEP$pywX$u;t?yft(GkONiGQ7F0gJOZ)2-M>O*5iw*Isp1*SzMu)|vKA z4KT5n$I`_2keAXMmN{)lIs}yp+Bc{5xQ=cIbI;Ja4Wz86aMa_tjZoQ zIllY5S%25Nch<`c0ZO*CDlY^JfO=`A z00aJ7S2)U=p6S=hy3NT;&Gi_x;(QYWz}kS1uQ7A>@Dq_(^y({cd@0-vZ3RH4xCe0R zH%XEMOwuh@@-XnW#R>#_5e&FpAg`^NGB(foj*qCx6;wAg`knFMpKeRi#;k5i^E}8Y zR`~I1n$$Qtp@^exIr`*aN!DRRP~;m2%%#YKIupyu?{w--jm^&4P^Uh@QE$?&I^er* z0sN7Y=92R?{9T=TqX`yW__M^U(AeRm2Mcn3g<&R~NUa2qo;IOj%N%dz_hG@K*4iZ*!UX5_Yx)zi4o7Y9qHG zSs9fr6RBW(P1ShdW~??b+Btvv^zp~%TB=XCTB@V<%w)cw`(GU2j!@UN(YaT|K@ikF zAgfG-Tkps`=23o~ZMWs6kEIqkm+b7iK<;!hdc{r5c+b2a?%aXNB_J~=ZS)$Q5Qiw3NNEv2Gwrr7QDA@}m5``Eg zTb4;h_9bPFY-O)d_L7k8ebn!Le|?|c^T*t0KIcC7{kiXR&V4=CT+empeOH#tgMFRJ zKI6;}5xds7DM$;ZW)uA5_9tD^8|yb%)Y_c6M%I+f$4Eny22xzux3O--;4g1iD)vh; zE>~OzMznm;Zh}Dm{vDBmJKjEu&stLxXD{BcIDc8)by?f)qSGeLY<*K-cco(dsQWiX zbGxgDI{UiSI?G{&?Be#OmJbBKwQwQU-<>qQG~<;a*FAo&KgYc@U%u&rN5k;PwWo!) z0&Irh>tAc@2Zxrd+59ogyA^w@A4!#muMRiSf5c^uXFRt0bp80A2mkOtWKk8|U{Od4 zweA;h^GYUPd=tY`lKj&eFQwY=$2`3<_kGnzVJw8MFTb;ntDVa@N{)K_&QHg#+=;C3 zui^(9FAQB;m^Ih8J~}^&KMa z-G8;CY5BvvSZXeXepGjR&#b_+_&{f6QbyLQg&fS>X~j{U(YYAq`zSnfX8(!#Xp0Wj z*0WPDl1o3AxQz524q@onEM9Q7;-%PuKO?YuO4MfRYjiq$kzYiX6uz07?dU|6h-b}T zyC!SNM3jc^Z%n_4u{#!hnP6mcF55GCS&naZq5Yl-rP!#_lp9l{F5iesw>Bi%J{uM zD97}aGPT-a6*v1h@(a6_Nhzw$GtOXxQ)+I1m#CGpR)F3wZ0^|C)t|AyFG*6& zq$=3aH)(I)PMy6;u?{<)vy)=O9h<}pm{D2hjt7ryTvhWT$K8%fs{N+-=#*___l@jX zU#w@gk=gLq>MXi-qvC6}``3@ORjf*L%hBZ>PK;}o2sq7)X1?~!lxI1tfH!8C5?SSI z>G6o`I$E}% zAiyN)su$W0*3(ZG=VaQH&4)La-;ZmSf!jaHh-eA=X|_*Uu5Q;h#_{4__KmNf5rneZ zR3T}-;Uc=#5Rw>6ZI4%FjC*YC2%E9i*wvHwEI__{XJy&lWnT+d<$8C^G4SF8Pv86= z+0$PA#z)GT+nwm%b&11Q6aDxee2FYHlbklt4$k0C3NMmKeg4RILZR^3hr(ly6202j zGG<>M>;6g_80d=F`0lhY8&Xis?{fSzB@&MlD`gJ0-5`?hsr_6JkP#HJ4k5I& z2(q47H!-6V9URa6l!67uH^%1h3X$(tsr#BF<@{CIFL&1Ur%Q)_pXrb&n06_eTR(o zbI50c*~@p22TuxebR{IDD0VFBz2hzXC_101s-AaykT0=;DajsNwK{5j{#LiN>WW-X zpr%t`y<3-h)o!j5D+%wRo5=;)Ja4kJrJVE+Wr%S2#qY624eRVnSe%?s?u<0Kk}9Mr z!W++K<{JG@X)bMQAvFt4%)Z0%Y*NjBx5*i|MiV3BR={-SXnE(t*)cM+;gKAxr{OhL zWkNFv5OhwQV)xM+F>$keNU%OuF>fTQLXu==S6KQliXYMaHtB%B6p(CU+9~ne*1vT| zzp*nOIDdBKfy&hftKTKQ&~$Y;Jl^}Irld?(<%E7!>zYriS78HRjL77}3)sa-`!A1+ z&dAI&BJyb1n8{*}5crX16VsY)yqd92Y{3&ME#-_hXEn)JTKw079mv|f8i#v!DQBSg zI+GHOei)9Fxl}L6advj*g^*mHhnMuCMKGId@hUg<6DsV^7;-p^r9_?2(p~LtDtak% zP02np$R5^$`K&&>aDT~s04Y9px=KP3|M1q2w%oL}dX5Vf><7>EB-|i>1D_lDs)b*U zn!YT99vJ{E5J2Vho00FnD2vAKm5F@PiUqElC3|s${|}zsCXqgo$b6fia^i|oK!XmGpPB0{ zC|NmJL~F0C9>0}wKU=?4cwyN%(9rt4ooS6Fw*gyYpYR%Zcka&(d-G!m9Ral}KEwlWgO5H}UT|l&@z-4e};iV$;?g3GM)z*k<&Lb(s&QJXa-0m7w z;d{}Xu%HYHnEz9sLn$IZMuuk;VRw|PpQ!mOO^e-$?_P~^LHEh@G*qZq-kYeFMA^gq z0!-aLX{=9(jV-l%xvB?hRp4&jT4PmXH=|wXJv8gc5Dz^)kb7JxG>?%GM{jE_)GTc+ z+ksaIGrWXctZ%O2nm2kTP<}uF?vc!RHtjbtNJ{sfn328r>`;b2Zyw!UvHH#JpjWHq zv1(l-xza($F7-SNNqoRGMsO`{+2_gSnwn_#3I zMCVQw3N61zJTU9a&@xep6X9m#F%GH?I#W>nqG2_V;{e@FCoD^%nX(O6tAcbxstDu!i-^~^K9zGVK^Hdl%vnfaXF83oaSXNi( zW$7WN=td2O=5X57Z*%FLYdbPKJ_pl$YM8zvPt%^;Y+b$^Xd8StyTxmC@=g|f{h-|m zvfu|>hxxCPyz$H1cmn%IzaBXp{febuaj$lpf^8HdbD}?e)@YBcnvxG+AAUkRj@97) zlYNZcQ#Q=<7Pr`0BFS=SJcy>cGFp+ukv|D@@49_o3(((Zn0KLR%qS!;zD&3*@x3~; z#J*9&`IFpurK^O!8QP~TFp(|yVg1~dm$Dzyx1VXl7rUJj>T#B-p3rl|_cgD%wGZFB z89JiOzC63Xr+R)awNm{Fk-bybI1LoIG1}M-V?pLk3iuu#TrRxhvMV z8hl`{JSmb@c(JZ*Z*f^|1N=ykvC(>9Pp_W{4=2@y;^FB|B|GzgJy)BHJSZ3fwk6fn z;2N%8E}k$X8V1*Opm@M6vEYyq3`zJUP{`i`^IPD53G{CegZ}jm1N;`aUjjh<7F!OC zV8HJ&;MY7W7~J>@cvmRgfNW3oAQJzV%a-l`aM33_Q$Y$={~g>i@O$vTn2$Tvj)xNg z!y>`Y|7$QT0H6Wb*2sSv5)5Ul!CZc0I0Ons0IB?mfqf(b4CGG?)We`5dRrJ44DnAr zu#Z7Q=7JbN0CV@J9)Lw7uwaxB421xb1i^6lzvf~v2uK_N7K1~9dH!=Q*hgc*b@>y+ zA~9G3gfocYF@Iq=Gyvg(MdAPy#4m{9v46#jMxY^_K`JN�O%FG*l13VsU@j1CV$K z7bJmzMM7c&F+38oMhF0phx$dLP#6ScE((js6Ci$3SONkM;fz8c2vEN$90K(G?>wV$ zNF)Km86W^?3`7saFa&Tz|G7pWhR6P;heZ+~oB;wBKtg>0C?p254*&p#26z0weE=u| z4r&iTBLV211Sv!Lp>P<~|AS$m`v8Rl8zc@8BLI*!M`OV=2+2K&VgAZJj(|r&;zeUI z02&e-h+(1Y0U)q=3^XjnqS{uX$YdlMN5K*>C^CVJM3HUrwiGIo gKn9RlfVfp*Jv_) +project(Elevator) + +set(CMAKE_CXX_STANDARD 14) + +include_directories(.) + +add_executable(Elevator + elevator.cpp + elevator.hpp + event.hpp + main.cpp + person.hpp) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/README.md" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/README.md" new file mode 100644 index 0000000..b9897a9 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/README.md" @@ -0,0 +1,14 @@ +# 电梯模拟 + +``` +mkdir e +cd e +cmake .. +make +cd .. +./e/Elevator +``` + +然后按回车查看效果 + +建议使用xterm等速度快的终端效果更好 \ No newline at end of file diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.cpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.cpp" new file mode 100755 index 0000000..f4c39e1 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.cpp" @@ -0,0 +1,492 @@ +/* elevator.cpp */ +#include +#include +#include +#include +#include +#include +#include "elevator.hpp" +#include "person.hpp" +using namespace std; +void Panic(const char* s, ...) +{ + char sfinal[100]; + va_list ap; + va_start(ap, s); + vsnprintf(sfinal, 100, s, ap); + cout << "FATAL Error: " << sfinal << "!" << endl; + exit(-2); +} +void notify(const char* s, ...) +{ +#ifdef DEBUG + char sfinal[100]; + va_list ap; + va_start(ap, s); + vsnprintf(sfinal, 100, s, ap); + string c1 = "zenity --info --text=\""; + string c2 = "\""; + system((c1 + sfinal + c2).c_str()); +#endif +} +ElevatorSystem::ElevatorSystem() +{ + cout << "Default constructor will never work, please give parameters" << endl; +} +ElevatorSystem::ElevatorSystem(Building* building, EventList* elist) +{ + this->eventlist = elist; + this->building = building; + for(int i = 0; i < ElevatorNumber; i++) + elevators[i] = Elevator(i, this); + for(int i = 1; i <= FloorNumber; i++){ + upassigns[i] = -1; + downassigns[i] = -1; + buttons[i] = Button(); + } +} +void ElevatorSystem::PressButton(int floor, Direction d) +{ + if(this->buttons[floor].Get(d)) + //Already pressed, do nothing + return; + //Assign this press to the nearest elevator and activate it + this->buttons[floor].Press(d); + int elevindex = this->AssignElevator(floor, d); + //cout << "E:" << elevindex << endl; + //cin.get(); + if(d == UP) + upassigns[floor] = elevindex; + else if(d == DOWN) + downassigns[floor] = elevindex; + else + Panic("Pressed and idle button"); + //Another bug corpse here. If activate several times, a disaster will happen + if(!elevators[elevindex].isactive){ + //cout << "elev " << elevindex << " now begin working by elevsys" << endl; + //cin.get(); + elevators[elevindex].Activate(); + } +} +int ElevatorSystem::AssignElevator(int floor, Direction d) +{ + //TODO: actually, if several elevator take (evaluated) same time to the given position, then a random index between them should be given to balance wear off in Physical World. + //In this program just pick the first one. (change < to <= to choose the last one) + int minelevidx = -1; + Time mintimeused = Inf; + Time timeused; + for(int i = 0; i < ElevatorNumber; i++){ + timeused = elevators[i].EvaluateTimeToFloor(floor, d); + if(timeused < mintimeused){ + minelevidx = i; + mintimeused = timeused; + } + } + notify("AssignElevator %d.", minelevidx); + return minelevidx; +} + +Elevator::Elevator() +{ + //cout << "an Elevator should belong to an ElevatorSystem and should not be defined explicitly" << endl; +} +Elevator::Elevator(int idx, ElevatorSystem* father) +{ + this->index = idx; + this->father = father; + this->isactive = false; + this->isdooropened = false; + this->personnum = 0; + this->atfloor = 1; + this->dir = NODIRECTION; + this->personsonboard = Linklist(); + this->floorbutton = FloorButton(); + this->maxperson = ElevatorMaxPerson; + this->homefloor = ElevatorHomeFloor; + this->timeGotoNextFloor = ElevatorGotoNextFloorTime; + this->timeDoorOpen = ElevatorDoorOpenTime; + this->timeDoorClose = ElevatorDoorCloseTime; + this->timeDoorKeepOpen = ElevatorDoorKeepOpenTime; +} +//This func is NOT GOOD ENOUGH, some small points NOT CONSIDIRED +//but it can work +Time Elevator::EvaluateTimeToFloor(int floor, Direction d) const +{ + if(!this->isactive) + return this->timeGotoNextFloor * fabs(floor - this->atfloor); + int maxfloor = this->atfloor; + int minfloor = this->atfloor; + int dooropentimes = 0; + //up to top, then down to bottom, and then (up or down without stop) to fetch new person + if(this->dir == UP && d == UP && atfloor >= floor){ + for(int i = atfloor; i <= FloorNumber; i++) + if(father->buttons[i].Get(UP) || this->floorbutton.isPressed(i)){ + maxfloor = i; + dooropentimes++; + } + for(int i = maxfloor; i >= 1; i--) + //use && to avoid recount dooropentimes + if(father->buttons[i].Get(DOWN) || (this->floorbutton.isPressed(i) && i < atfloor)){ + minfloor = i; + dooropentimes++; + } + //only one of the two following cases will be executed + for(int i = minfloor; i < floor; i++) + if(father->buttons[i].Get(UP)) + dooropentimes++; + for(int i = minfloor; i > floor; i--) + if(father->buttons[i].Get(DOWN)) + dooropentimes++; + return this->timeGotoNextFloor * (maxfloor - this->atfloor + maxfloor - minfloor + fabs(floor - minfloor)) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + } + //up(or then down after) and fetch person + if(this->dir == UP && d == UP && this->atfloor < floor){ + for(int i = this->atfloor; i <= floor; i++) + if(this->father->buttons[i].Get(UP) || this->floorbutton.isPressed(i)) + dooropentimes++; + return this->timeGotoNextFloor * fabs(floor - this->atfloor) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + } + //up to top and down to fetch person + if(this->dir == UP && d == DOWN){ + for(int i = this->atfloor; i <= FloorNumber; i++) + if(this->father->buttons[i].Get(UP) || this->floorbutton.isPressed(i)){ + maxfloor = i; + dooropentimes++; + } + for(int i = maxfloor; i >= floor; i--) + if(this->father->buttons[i].Get(DOWN) || (this->floorbutton.isPressed(i) && i < atfloor)) + dooropentimes++; + return this->timeGotoNextFloor * (maxfloor - this->atfloor + fabs(maxfloor - floor)) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + } + //down cases, the same + if(this->dir == DOWN && d == DOWN && atfloor <= floor){ + for(int i = atfloor; i >= 1; i--) + if(father->buttons[i].Get(DOWN) || this->floorbutton.isPressed(i)){ + minfloor = i; + dooropentimes++; + } + for(int i = minfloor; i <= FloorNumber; i++) + //use && to avoid recount dooropentimes + if(father->buttons[i].Get(UP) || (this->floorbutton.isPressed(i) && i > atfloor)){ + maxfloor = i; + dooropentimes++; + } + //only one of the two following cases will be executed + for(int i = maxfloor; i > floor; i--) + if(father->buttons[i].Get(DOWN)) + dooropentimes++; + for(int i = maxfloor; i < floor; i++) + if(father->buttons[i].Get(UP)) + dooropentimes++; + return this->timeGotoNextFloor * (maxfloor - this->atfloor + maxfloor - minfloor + fabs(floor - minfloor)) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + } + if(this->dir == DOWN && d == DOWN && this->atfloor > floor){ + for(int i = this->atfloor; i >= floor; i--) + if(this->father->buttons[i].Get(DOWN) || this->floorbutton.isPressed(i)) + dooropentimes++; + return this->timeGotoNextFloor * fabs(floor - this->atfloor) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + } + if(this->dir == DOWN && d == UP){ + for(int i = this->atfloor; i >= 1; i--) + if(this->father->buttons[i].Get(DOWN) || this->floorbutton.isPressed(i)){ + minfloor = i; + dooropentimes++; + } + for(int i = minfloor; i <= floor; i++) + if(this->father->buttons[i].Get(UP) || (this->floorbutton.isPressed(i) && i > atfloor)) + dooropentimes++; + return this->timeGotoNextFloor * (maxfloor - this->atfloor + fabs(maxfloor - floor)) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + } + + ////down to btm, up to top, and then (up or down without stop) to fetch person + //if(this->dir == DOWN && d == DOWN && this->atfloor <= floor){ + //for(int i = this->atfloor; i >= 1; i--) + //if((this->father->downassigns[i] == this->index && this->father->buttons[i].Get(DOWN)) || this->floorbutton.isPressed(i)){ + //minfloor = i; + //dooropentimes++; + //} + //for(int i = minfloor; i <= FloorNumber; i++) + //if((this->father->upassigns[i] == this->index && this->father->buttons[i].Get(UP)) || this->floorbutton.isPressed(i)){ + //maxfloor = i; + //dooropentimes++; + //} + //return this->timeGotoNextFloor * (this->atfloor - minfloor + maxfloor - minfloor + fabs(maxfloor - floor)) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + + //} + ////down and fetch, or down to bottom and up again without stop to fetch + //if(this->dir == DOWN && d == DOWN && this->atfloor > floor){ + //for(int i = this->atfloor; i >= floor; i--) + //if((this->father->downassigns[i] == this->index && this->father->buttons[i].Get(DOWN)) || this->floorbutton.isPressed(i)) + //dooropentimes++; + //return this->timeGotoNextFloor * (this->atfloor - floor) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + //} + ////down to btm and up(or still down but without stop) to fetch person + //if(this->dir == DOWN && d == UP){ + //for(int i = this->atfloor; i >= 1; i--) + //if((this->father->downassigns[i] == this->index && this->father->buttons[i].Get(DOWN)) || this->floorbutton.isPressed(i)){ + //minfloor = i; + //dooropentimes++; + //} + //for(int i = minfloor; i <= floor; i++) + //if((this->father->upassigns[i] == this->index && this->father->buttons[i].Get(UP)) || this->floorbutton.isPressed(i)) + //dooropentimes++; + //return this->timeGotoNextFloor * (this->atfloor - minfloor + fabs(floor - minfloor)) + (timeDoorOpen + timeDoorKeepOpen + timeDoorClose) * dooropentimes; + //} + //This shouldn't be reached + Panic("error when evaluating"); + return Inf; +} +void Elevator::PressFloorButton(int floor) +{ + this->floorbutton.Press(floor); + //this->Activate(); +} +//also a func with recursive call, the func means things happened when +//elev door is opened, person get off and get on ONE BY ONE +//LOW TIME PERFORMANCE WARNING! +void Elevator::DoorOpened() +{ + this->isdooropened = true; + Person* p; + EventCase e; + //dump persons + //judge first to increase some time performance + if(this->floorbutton.isPressed(atfloor)){ + int l = personsonboard.GetLength(); + for(int i = 1; i <= l; i++){ + personsonboard.GetElem(i, p); + if(p->gotofloor == atfloor){ + this->personnum--; + p->timegetoff = father->eventlist->GetTime(); + e = EventCase(PersonGetOffTime, "Person", "GetOff", p); + father->eventlist->EnqEvent(e); + e = EventCase(PersonGetOffTime, "Elevator", "DoorOpened", this); + father->eventlist->EnqEvent(e); + //note that the delete caused length to change, but then + //the func returns, so no bug + personsonboard.ListDelete(i, p); + //Handle one by one + return; + } + } + } + //clear floor button, this is executed only after all persons got off + this->floorbutton.Clear(atfloor); + //collect persons + //automatic stop collecting and go on when elev is full or all collected + int l = father->building->persons[atfloor].GetLength(); + //first remove all unpatiently left persons + for(int i = l; i >= 1; i--){ + father->building->persons[atfloor].GetElem(i, p); + if(p->istimedout) + father->building->persons[atfloor].ListDelete(i, p); + } + //re-calc length + l = father->building->persons[atfloor].GetLength(); + for(int i = 1; i <= l && !this->isFull(); i++){ + father->building->persons[atfloor].GetElem(i, p); + //the person has already left? And only collect people on this dir + if(p->dir == this->dir){ + //if(!p->istimedout){ + this->personnum++; + this->personsonboard.Append(p); + this->PressFloorButton(p->gotofloor); + p->timegeton = father->eventlist->GetTime(); + e = EventCase(PersonGetOnTime, "Person", "GetOn", p); + father->eventlist->EnqEvent(e); + //} + father->building->persons[atfloor].ListDelete(i, p); + e = EventCase(PersonGetOnTime, "Elevator", "DoorOpened", this); + father->eventlist->EnqEvent(e); + return; + } + } + //Clear buttons, moved to DoorClosed to avoid clear twice + for(int i = 1; i <= l; i++){ + father->building->persons[atfloor].GetElem(i, p); + if(!p->istimedout && p->dir == this->dir){ + //father->buttons[atfloor].Press(this->dir); + father->PressButton(atfloor, this->dir); + //cout << father->downassigns[atfloor]<< endl; + //cout << "Re-pressed";cin.get(); + break; + } + } + //If the func goes here without return, + //then all persons are satisfied and continue to move on + //door should now close + e = EventCase(timeDoorKeepOpen, "Elevator", "DoorClosed", this); + father->eventlist->EnqEvent(e); +} +//Door closed, continue moving or stay still +void Elevator::DoorClosed() +{ + this->isdooropened = false; + //seems too simple to be right, but i think it's OK + //And assigns must be cleared ASAP because many judgements depend on this + if(this->dir == UP) + father->upassigns[this->atfloor] = -1; + if(this->dir == DOWN) + father->downassigns[this->atfloor] = -1; + Person* p = NULL; + int l = father->building->persons[atfloor].GetLength(); + //Clear btn even if some not satisfied, + //and then re-press btn if someone left, to let elev sys to reassign a elev. + //Because a mere elev don't know whether all persons had got on without overload. + father->buttons[atfloor].Clear(this->dir); + for(int i = 1; i <= l; i++){ + father->building->persons[atfloor].GetElem(i, p); + if(!p->istimedout && p->dir == this->dir){ + father->PressButton(atfloor, this->dir); + //cout << father->downassigns[atfloor]<< endl; + //cout << "Re-pressed";cin.get(); + break; + } + } + this->Activate(); +} +void Elevator::ArrivedNextFloor() +{ + ////if door should open at the floor, then enqueue DoorOpened + ////if continue moving without stop, then activate and decide what to do + //all action moved into Activate function + if(this->dir == UP){ + if(atfloor == FloorNumber) + Panic("the elev is flying up into sky"); + this->atfloor++; + ////Just pass by without stop if full + //if((father->upassigns[atfloor] == index && !isFull()) || this->floorbutton.isPressed(atfloor)){ + //EventCase e = EventCase(this->timeDoorOpen, "Elevator", "DoorOpened", this); + //this->father->eventlist->EnqEvent(e); + //return; + //} + } + if(this->dir == DOWN){ + if(atfloor == 1) + Panic("the elev is drilling into underground"); + this->atfloor--; + //if((father->downassigns[atfloor] == index && !isFull()) || this->floorbutton.isPressed(atfloor)){ + //EventCase e = EventCase(this->timeDoorOpen, "Elevator", "DoorOpened", this); + //this->father->eventlist->EnqEvent(e); + //return; + //} + + } + if(this->dir == NODIRECTION) + Panic("arrived at new floor when idle? some thing when wrong"); + //cout << "ArrivedNextFloor: " << atfloor << endl; + this->Activate(); +} +//This is a single elev Main Ctrl Function +//Decide what to do next, considering all possible conditions +//Be called frequently +void Elevator::Activate() +{ + //Point: down and up assigns array is at same status with button[].Get, but + //we assume that an elev should do it's own work without bothering others, but when + //it comes that it just pass by other floor, it will also open the door if this floor need + //to be served, even if the floor's job is not assigned to this elev. + + notify("Activate elev %d...", this->index); + //First, have a judge of need to up or need to down + bool isneedtoup = false; + bool isneedtodown = false; + for(int i = atfloor + 1; i <= FloorNumber; i++) + //even if an upper floor need down, then the elev still need up, *sooner or later* + if(father->downassigns[i] == index || father->upassigns[i] == index || floorbutton.isPressed(i)){ + //Fatal algorithm bug killed here!! Here is a bug's corpse + //if((father->buttons[i].Get(UP) && father->upassigns[i] == index) || floorbutton.isPressed(i)){ + isneedtoup = true; + break; + } + for(int i = 1; i <= atfloor - 1; i++) + if(father->upassigns[i] == index || father->downassigns[i] == index || floorbutton.isPressed(i)){ + isneedtodown = true; + break; + } + //Should stop at this floor. + //another another bug corpse here. elev may pass a floor even if btn on this floor is pressed + //At this floor, first judge whether to change direction(important), then open door and start working + //if((father->upassigns[atfloor] == index && !(this->dir == DOWN && isneedtodown) && !isFull()) || + //(father->downassigns[atfloor] == index && !(this->dir == UP && isneedtoup) && !isFull()) || + if((father->buttons[atfloor].Get(UP) && !(this->dir == DOWN && isneedtodown) && !isFull()) || \ + (father->buttons[atfloor].Get(DOWN) && !(this->dir == UP && isneedtoup) && !isFull()) || \ + floorbutton.isPressed(atfloor)){ + //It's time to change direction? + //Change dir: now up, no need to up further, on this floor no UP pressed, + //and DOWN MUST BE PRESSED, or means error HAD occured, because the elev SHOULDN'T HAVE GONE to this floor + //But, but, when executed here, downassigns (or upassigns) HAD BEEN Cleared!! + //if(this->dir == UP && father->downassigns[atfloor] == index && father->upassigns[atfloor] != index && !isneedtoup){ + //if(this->dir == UP && !(father->upassigns[atfloor] == index) && !isneedtoup){ + if(this->dir == UP && !(father->buttons[atfloor].Get(UP)) && !isneedtoup){ + this->dir = DOWN; + } + //if(this->dir == DOWN && father->upassigns[atfloor] == index && father->downassigns[atfloor] != index && !isneedtodown){ + //if(this->dir == DOWN && !(father->downassigns[atfloor] == index) && !isneedtodown){ + if(this->dir == DOWN && !(father->buttons[atfloor].Get(DOWN)) && !isneedtodown){ + this->dir = UP; + } + //start working from idle when a person precisely come to the floor the elev resting at + if(this->dir == NODIRECTION){ + //if(father->upassigns[atfloor] == index) + if(father->buttons[atfloor].Get(UP)) + this->dir = UP; + //if(father->downassigns[atfloor] == index) + if(father->buttons[atfloor].Get(DOWN)) + this->dir = DOWN; + } + EventCase e = EventCase(this->timeDoorOpen, "Elevator", "DoorOpened", this); + this->father->eventlist->EnqEvent(e); + return; + } + //no work, be idle no matter was idle or busy + //(no need to up, no need to down. executed here means no need to open door) + if(!isneedtoup && !isneedtodown){ + this->dir = NODIRECTION; + this->isactive = false; + //cout << "be idle"; + //for(int i = 1; i <= FloorNumber; i++) + //cout << father->upassigns[i] << " " << father->downassigns[i] << endl; + //cin.get(); + return; + } + //was moving and continue moving in old direction + //the most simple case + if(this->isactive){ + if((this->dir == UP && isneedtoup) || (this->dir == DOWN && isneedtodown)){ + //cout << "continu to next floooooooooooooooooooooooooor" << endl; + //cin.get(); + EventCase e = EventCase(this->timeGotoNextFloor, "Elevator", "ArrivedNextFloor", this); + this->father->eventlist->EnqEvent(e); + return; + } + } + //was idle and should start moving + else{ + if(isneedtoup){ + //cout << "up to next floooooooooooooooooooooooooor" << endl; + //cin.get(); + this->dir = UP; + this->isactive = true; + EventCase e = EventCase(this->timeGotoNextFloor, "Elevator", "ArrivedNextFloor", this); + this->father->eventlist->EnqEvent(e); + return; + } + else if(isneedtodown){ + //cout << "down to next floooooooooooooooooooooooooor" << endl; + //cin.get(); + this->dir = DOWN; + this->isactive = true; + EventCase e = EventCase(this->timeGotoNextFloor, "Elevator", "ArrivedNextFloor", this); + this->father->eventlist->EnqEvent(e); + return; + } + else + Panic("wrong ctrl flow in Activate"); + } + Panic("Nothing done in Activate. Another wrong ctrl flow in Activate"); +} +bool Elevator::isFull() const +{ + return personnum >= maxperson; +} diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.hpp" new file mode 100755 index 0000000..2a459d2 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.hpp" @@ -0,0 +1,153 @@ +/* elevator.hpp */ +#ifndef ELEVATOR_HPP +#define ELEVATOR_HPP +#include +#include +#include +#include +#include +#include "../Lib/linklist.hpp" +#include "../Lib/queue.hpp" +#include "event.hpp" +#include "person.hpp" +using namespace std; +const int ElevatorNumber = 3; +const int FloorNumber = 7; +//const int MaxPersonNumber = 1000; +void Panic(const char*, ...); +class Building{ + public: + Building(){ + for(int i = 1; i < FloorNumber; i++) + persons[i] = Linklist(); + } + Linklist* GetPersons(int floor){ + if(floor < 1 || floor > FloorNumber) + Panic("No such floor"); + return persons + floor; + } + Linklist persons[FloorNumber + 1]; +}; +class Button{ + public: + Button(){ + BtnUp = false; + BtnDown = false; + } + bool Get(Direction d) const{ + if(d == UP) + return BtnUp; + else if(d == DOWN) + return BtnDown; + else{ + Panic("No such direction"); + return false; + } + } + void Press(Direction d){ + if(d == UP) + BtnUp = true; + else if(d == DOWN) + BtnDown = true; + else + Panic("No such direction"); + } + void Clear(Direction d){ + if(d == UP) + BtnUp = false; + if(d == DOWN) + BtnDown = false; + } + private: + bool BtnUp; + bool BtnDown; +}; +class FloorButton{ + public: + FloorButton(){ + for(int i = 1; i <= FloorNumber; i++) + btns[i] = false; + } + void Press(int floor){ + if(floor < 1 || floor > FloorNumber) + Panic("No such button, fatal error"); + else + btns[floor] = true; + } + void Clear(int floor){ + if(floor < 1 || floor > FloorNumber) + Panic("No such button, fatal error"); + else + btns[floor] = false; + } + bool isPressed(int floor) const{ + return btns[floor]; + } + private: + bool btns[FloorNumber + 1]; +}; +const Time ElevatorGotoNextFloorTime = 50 * BaseTime; +const Time ElevatorDoorKeepOpenTime = 40 * BaseTime; +const Time ElevatorDoorOpenTime = 20 * BaseTime; +const Time ElevatorDoorCloseTime = 20 * BaseTime; +const int ElevatorMaxPerson = 3; +const int ElevatorHomeFloor = 1; +class Elevator; +class ElevatorSystem; +class Elevator{ + public: + Elevator(); + Elevator(int idx, ElevatorSystem* father); + void PressFloorButton(int floor); + //collect people, press button, then send event to close door + void DoorOpened(); + //Door closed means totally closed and don't open for lated persons. Decide to sleep or continue to go + void DoorClosed(); + //Dynamically decide to go to next floor + void ArrivedNextFloor(); + //ElevSys call this function, Activate means to make this Elev to have a check, means to call ArrivedNextFloor if someone is waiting + void Activate(); + //When elev arrived at a floor, it will judge whether to go on or stop and be idle. this func do this. + //bool TryDeactivate(); + Time EvaluateTimeToFloor(int floor, Direction d) const; + //No Deactivate because don't enqueue new "NextFloor" event means the elev is already not active. + bool isFull() const; + + int index; + ElevatorSystem* father; + bool isactive; + bool isdooropened; + //the variables personnum and maxperson below SHOULD BE CHANGED TO WEIGHT, + //an elev never how many people on it, but a weight sensor do get + //the total weight. let's assume one person weight one unit, and + //i'm lazy to change all those variables + int personnum; + int atfloor; + Direction dir; + Linklist personsonboard; + FloorButton floorbutton; + private: + int maxperson; + Time timeDoorOpen; + Time timeDoorKeepOpen; + Time timeDoorClose; + Time timeGotoNextFloor; + int homefloor; + +}; +class ElevatorSystem{ + public: + ElevatorSystem(); + ElevatorSystem(Building*, EventList*); + void PressButton(int floor, Direction d); + int AssignElevator(int floor, Direction d); + + EventList* eventlist; + Building* building; + Elevator elevators[ElevatorNumber]; + //All arrays with length FloorNumber+1 don't use the first element + Button buttons[FloorNumber + 1]; + int upassigns[FloorNumber + 1]; + int downassigns[FloorNumber + 1]; +}; +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/event.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/event.hpp" new file mode 100755 index 0000000..4da00d7 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/event.hpp" @@ -0,0 +1,68 @@ +/* event.hpp */ +#ifndef EVENT_HPP +#define EVENT_HPP +#include +#include +#include "../Lib/queue.hpp" +using namespace std; +typedef double Time; +const Time BaseTime = .1; +class EventCase{ + public: + EventCase(){ + delaytime = -1; + occurtime = -1; + obj = ""; + cmd = ""; + ptr = NULL; + } + //Event will happen after delaytime, occurtime and endtime will be handled by EventList + EventCase(Time t, string o, string c, void* p){ + delaytime = t; + occurtime = -1; + obj = o; + cmd = c; + ptr = p; + } + Time delaytime; + Time occurtime; + string obj; + string cmd; + void* ptr; +}; +inline bool operator<(const EventCase& e1, const EventCase& e2){ + return e1.occurtime < e2.occurtime ? true : false; +} +class EventList: public Queue { + public: + EventList(){ + curtime = 0; + } + EventList(Time t_init){ + curtime = t_init; + } + Status EnqEvent(EventCase& e){ + e.occurtime = curtime + e.delaytime; + return this->Enqueue(e); + } + Status DeqEvent(EventCase& e){ + if(ListEmpty()) + return ERROR; + this->Dequeue(e); + return OK; + } + Status ListEmpty(){ + return this->QueueEmpty(); + } + void FastForward(Time t) + { + this->curtime = t; + } + Time GetTime() + { + return this->curtime; + } + private: + Time curtime; +}; +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/main.cpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/main.cpp" new file mode 100755 index 0000000..181494c --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/main.cpp" @@ -0,0 +1,374 @@ +/* main.cpp for Elevator Simulation Program */ +#include +#include +#include +#include +#include +#include +#include "../Lib/queue.hpp" +#include "../SimpleDraw/SimpleDraw.hpp" +#include "event.hpp" +#include "elevator.hpp" +#include "person.hpp" +using namespace std; + +//void test_queue() +//{ + //Queue q; + //int d; + //q.Enqueue(1); + //q.Enqueue(-3); + //q.Enqueue(5); + //q.Enqueue(4); + //q.Enqueue(4); + //q.Enqueue(3); + //q.Enqueue(-1); + //Node* p; + //p = q.head; + //while((p = p->next)) + //cout << p->data << endl; + //q.Dequeue(d); + //cout << "---" << endl; + //cout << d << endl; + //q.Dequeue(d); + //cout << d << endl; + //q.Dequeue(d); + //cout << d << endl; + //cout << "---" << endl; + //p = q.head; + //while((p = p->next)) + //cout << p->data << endl; + //cout << "---" << endl; + //q.Enqueue(-5); + //q.Enqueue(15); + //cout << "---" << endl; + //p = q.head; + //while((p = p->next)) + //cout << p->data << endl; + //cout << "---" << endl; + //q.Dequeue(d); + //cout << d << endl; + //q.Dequeue(d); + //cout << d << endl; + //cout << "---" << endl; + +//} +/* +graphics! +n floors, m elevs +1 +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~+ +2 |Time: 000.0s | +3 |==============================================| +-1+5*1/| 5 +-----+ | +5 / | |DOWN | | +6 | | UP |1 | | +7 \ |-> DOWN |@ | ->| +8 \|===================+-----+=-------=-------====| +-1+5*2 | 4 | + | | + | UP | + |-> @@@@@@ ->| + |===================-------=-------=-------====| + | 3 | + | | + | UP | + |-> DOWN ->| + |===================-------=-------=-------====| + | 2 +-----+ | + | |UP | | + | 9 | + |-> @ DOWN @@@@@ ->| + |===================-------=+-----+=-------====| +-1+5*n | 1 +-----+ | + | |IDLE | | + | UP |0 | | + |-> DOWN | | ->| + |===================-------=-------=+-----+====| +4+5*n +==============================================+ +rows = 4+5*n + +one floor, row start from -1+5*n +| 5 +-----+ | +| |DOWN | | +| |11 | | +|->@@@@@@@ |@@@@@| ->| ++===================+-----+=-------=-------====+ +123456789012345678901234567890123456789012345678 + 23 1234567 1234567 9012345 7890123 +col: +1 Wall +2,3 -> +4-10 @ +11-16 + +row -1+5*n to -1+5*n+4 +col 21 to 27 elev_1 +col 21-8+8*m to 27-8+8*m + +21+8*m + 3, 21+8*m + 4 -> +21+8*m + 5 Wall + */ +void err_notify(const char* s) +{ + cout << "in err main" << endl; + string c1 = "zenity --info --text=\"Error:"; + string c2 = "\""; + cout << (c1 + string(s) + c2).c_str() << endl; + system((c1 + string(s) + c2).c_str()); +} +void UpdateScreen(SimpleCanvas& cvs, const Building& building, const ElevatorSystem& es, Time t) +{ + int n = FloorNumber; + int m = ElevatorNumber; + int colmax = 21+8*m+5; + int rowmax = 4+5*n; + cvs.ClearCanvas(); + cvs.AddRowLine(1, 1, colmax, '~'); + cvs.AddRowLine(3, 1, colmax, '='); + cvs.AddRowLine(rowmax, 1, colmax, '='); + cvs.AddColLine(1, 1, rowmax, '|'); + cvs.AddColLine(colmax, 1, rowmax, '|'); + cvs.AddRowLine(4+5*n, 1, colmax, '='); + cvs.AddChar('+', 1, 1); + cvs.AddChar('+', 1, colmax); + cvs.AddChar('+', rowmax, 1); + cvs.AddChar('+', rowmax, colmax); + //Draw Time + cvs.AddString("Time: %.1fs", 2, 2, t); + for(int i = 1; i <= n; i++){ + int thisfloor = n + 1 - i; + int row0 = -1+5*i; + //Draw floor ground + cvs.AddRowLine(row0+4, 2, colmax - 1, '='); + //Draw '->' symbol + cvs.AddString("->", row0+3, 2); + cvs.AddString("->", row0+3, colmax-2); + //Draw floor number + cvs.AddString("%d", row0, 3, thisfloor); + //Draw persons waiting, red color for left person + Node* p = building.persons[thisfloor].head; + int j = 0; + while((p = p->next) && ++j <= 7){ + if(p->data->istimedout) + cvs.ChangeColor("Red"); + else + cvs.ChangeColor("Green"); + cvs.AddChar('@', row0 + 3, 11 - j); + cvs.ChangeColor("No"); + } + //Draw UP and DOWN btn + if(es.buttons[thisfloor].Get(UP)){ + cvs.ChangeColor("Yellow"); + cvs.AddString("", row0+2, 11); + cvs.ChangeColor("No"); + } + else{ + cvs.AddString(" UP ", row0+2, 11); + } + if(es.buttons[thisfloor].Get(DOWN)){ + cvs.ChangeColor("Yellow"); + cvs.AddString("", row0+3, 11); + cvs.ChangeColor("No"); + } + else{ + cvs.AddString(" DOWN ", row0+3, 11); + } + //Draw each elev + for(int j = 0; j < m; j++){ + //Draw elev well + cvs.AddRowLine(row0+4, 21+8*j, 21+8*j+6, '-'); + if(es.elevators[j].atfloor == thisfloor){ + //Draw elevator + cvs.ChangeColor("White"); + cvs.AddRectangle(row0, 21+8*j, row0+4, 21+8*j+6, '|', '-', '+'); + cvs.ChangeColor("No"); + //Draw opened door + if(es.elevators[j].isdooropened){ + cvs.AddColLine(21+8*j, row0+2, row0+3, ' '); + cvs.AddColLine(21+8*j+6, row0+2, row0+3, ' '); + } + //Draw elev people num, red for full + int personsonboardnum = es.elevators[j].personnum; + //int personsonboardnum = es.elevators[j].personsonboard.length; + if(es.elevators[j].isFull()) + cvs.ChangeColor("Red"); + cvs.AddString("%d", row0+2, 21+8*j+1, personsonboardnum); + cvs.ChangeColor("No"); + //Draw person in eev + cvs.ChangeColor("Green"); + for(int k = 1; k <= personsonboardnum && k <= 5; k++) + cvs.AddChar('@', row0+3, 21+8*j+k); + cvs.ChangeColor("No"); + //Draw elev direction + if(es.elevators[j].dir == UP) + cvs.AddString("UP", row0+1, 21+8*j+1); + else if(es.elevators[j].dir == DOWN) + cvs.AddString("DOWN", row0+1, 21+8*j+1); + else + cvs.AddString("IDLE", row0+1, 21+8*j+1); + } + } + } + cvs.Show(false); +} +//Use this to refresh at tictime freq when no event is happening +//avoid frozen the TUI +void tictoc(EventList& el, Time tictime) +{ + EventCase e = EventCase(tictime, "System", "Tic", NULL); + el.EnqEvent(e); +} +const Time TerminateTime = 300; +const Time StartTime = 0; +const Time RefreshTime = .333; +const double PlayRate = 8.0; +const bool isTUI = true; +int main() +{ + //Main facilities + EventList elist = EventList(StartTime); + Building building; + ElevatorSystem es = ElevatorSystem(&building, &elist); + Linklist personslist; + cout << "Facilities ready." << endl; + + //TUI stuffs + int drawrow = 4 + 5 * FloorNumber; + int drawcol = 21 + 8 * ElevatorNumber + 5; + SimpleCanvas cvs = SimpleCanvas(drawrow, drawcol); + cvs.ChangeColor("No"); + cout << "Simple draw ready." << endl; + + //initialize personslist by reading from file + Person per; + int personnum; + string name; + int from; + int go; + Time arr; + Time maxwait; + ifstream input; + input.open("./persons.txt"); + if(input.fail()){ + cout << "Error opening file!" << endl; + return -1; + } + input >> personnum; + for(int i = 1; i <= personnum; i++){ + input >> name >> from >> go >> arr >> maxwait; + if(input.bad()){ + cout << "Error reading file!" << endl; + return -1; + } + cout << "Person " << i << ": " << endl; + cout << name << endl; + cout << from << endl; + cout << go << endl; + cout << arr << endl; + cout << maxwait << endl; + per = Person(name, from, go, arr, maxwait); + personslist.Append(per); + } + input.close(); + cout << "Persons file read successfully." << endl; + + string empty = ""; + + Node* p = personslist.head; + EventCase e; + EventCase f; + //Initialization + //Enqueue all people get in event + //Use raw ->next because we need pointers instead of copied objects + for(int i = 1; i <= personslist.length; i++){ + p = p->next; + e = EventCase(p->data.timearrival, "Person", "Arrived", &p->data); + elist.EnqEvent(e); + } + //enqueue terminate event + e = EventCase(TerminateTime, "System", "Terminate", NULL); + elist.EnqEvent(e); + Time oldtime = 0; + Time curtime = 0; + //Set up periodic refresh + tictoc(elist, RefreshTime); + //Start main loop + cout << "Start simlation. " << endl; + cout << ElevatorNumber << " elevator(s), " << FloorNumber << " floor(s), " << personslist.GetLength() << " person(s). " << endl; + cout << "Press to start..."; cin.get(); + usleep(1e6 / PlayRate); + while(elist.DeqEvent(e) != ERROR){ + oldtime = elist.GetTime(); + elist.FastForward(e.occurtime); + curtime = elist.GetTime(); + if(isTUI){ + UpdateScreen(cvs, building, es, curtime); + //Print debug queue info + //cout << curtime << endl; + //Node* p = elist.head; + //while((p = p->next)){ + //cout << p->data.occurtime << "\t" << p->data.obj << "\t" << p->data.cmd << endl; + //} + usleep(1e6 * (curtime - oldtime) / PlayRate); + } + if(e.obj == "System"){ + if(e.cmd == "Terminate"){ + cout << "Simulation end time arrived. Exit." << endl; + break; + } + else if(e.cmd == "Tic"){ + tictoc(elist, RefreshTime); + } + //else if(e.cmd == "Start"){ + //cout << "Start simlation. " << endl; + //cout << ElevatorNumber << " elevators, " << FloorNumber << " floors. " << endl; + //sleep(1); + //} + else err_notify((empty + "No such event cmd " + e.cmd + " in obj " + e.obj).c_str()); + } + else if(e.obj == "Elevator"){ + if(e.cmd == "ArrivedNextFloor"){ + static_cast(e.ptr)->ArrivedNextFloor(); + } + else if(e.cmd == "DoorOpened"){ + static_cast(e.ptr)->DoorOpened(); + } + else if(e.cmd == "DoorClosed"){ + static_cast(e.ptr)->DoorClosed(); + } + else err_notify((empty + "No such event cmd " + e.cmd + " in obj " + e.obj).c_str()); + } + else if(e.obj == "Person"){ + if(e.cmd == "Arrived"){ + Person* p = static_cast(e.ptr); + p->timearrival = elist.GetTime(); + building.persons[p->fromfloor].Append(p); + es.PressButton(p->fromfloor, p->dir); + //Enqueue the person leave angrily event, but if person is satisfied, + //the event will just be ignored + f = EventCase(p->maxwaittime, "Person", "Leave", p); + elist.EnqEvent(f); + } + else if(e.cmd == "GetOn"){ + static_cast(e.ptr)->timegeton = curtime; + } + else if(e.cmd == "GetOff"){ + static_cast(e.ptr)->timegetoff = curtime; + static_cast(e.ptr)->timeleave = curtime; + } + else if(e.cmd == "Leave"){ + //mark timed out here, but keep person in queue + //and the person will be ignored when persons entering elev + static_cast(e.ptr)->istimedout = true; + static_cast(e.ptr)->timeleave = curtime; + } + else err_notify((empty + "No such event cmd " + e.cmd + " in obj " + e.obj).c_str()); + } + else{ + err_notify((empty + "No such event object: " + e.obj).c_str()); + } + } + cout << "End. " << endl; + return 0; +} + diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/person.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/person.hpp" new file mode 100755 index 0000000..ec356e2 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/person.hpp" @@ -0,0 +1,43 @@ +/* person.hpp */ +#ifndef PERSON_HPP +#define PERSON_HPP +#include +#include +#include "event.hpp" +using namespace std; +const Time PersonGetOnTime = 25 * BaseTime; +const Time PersonGetOffTime = 25 * BaseTime; +enum Direction{UP=1000, DOWN, NODIRECTION}; +class Person{ + public: + Person(){ + name = "NoName"; + fromfloor = gotofloor = 0; + maxwaittime = timearrival = timegetoff = timegeton = timeleave = 0; + issatisfied = istimedout = false; + dir = NODIRECTION; + } + Person(string name, int from, int go, Time arr, Time maxwait){ + this->name = name; + fromfloor = from; + gotofloor = go; + timearrival = arr; + maxwaittime = maxwait; + istimedout = false; + issatisfied = false; + dir = from > go ? DOWN : UP; + } + string name; + int fromfloor; + int gotofloor; + Direction dir; + bool istimedout; + bool issatisfied; + Time timearrival; + Time timegeton; + Time timegetoff; + Time timeleave; + Time maxwaittime; + +}; +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/persons.txt" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/persons.txt" new file mode 100755 index 0000000..e8247c1 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/persons.txt" @@ -0,0 +1,52 @@ + +20 +P4 3 2 1.0 900.0 +P4 3 2 1.0 900.0 +P4 3 2 1.0 900.0 +P4 3 2 1.0 900.0 +P4 3 2 1.0 40.0 +P4 3 5 40.0 900.0 +P4 4 2 80.0 900.0 +P4 4 1 10.0 900.0 +P4 4 7 50.0 900.0 +P4 4 7 30.0 900.0 +P4 5 1 100.0 900.0 +P4 5 1 100.0 900.0 +P4 5 1 100.0 900.0 +P4 5 1 100.0 900.0 +P4 7 5 40.0 40.0 +P4 7 6 80.0 900.0 +P4 7 5 80.0 900.0 +P4 7 1 80.0 900.0 +P4 7 6 80.0 900.0 +P4 7 6 80.0 900.0 + +1 +P5 7 2 1.0 99.0 + +11 +P3 3 2 1.0 90.0 +P3 3 2 1.0 90.0 +P3 3 2 1.0 90.0 +P3 3 2 1.0 90.0 +P3 3 2 1.0 90.0 +P3 3 5 40.0 90.0 +P3 4 5 10.0 90.0 +P3 5 1 100.0 90.0 +P3 5 1 100.0 90.0 +P3 5 1 100.0 90.0 +P3 5 1 100.0 90.0 + +1 +P4 3 2 1.0 90.0 + +5 +P1 3 2 1.0 90.0 +P1 3 2 1.0 90.0 +P1 3 5 40.0 90.0 +P1 4 5 10.0 90.0 +P1 5 1 100.0 90.0 + +2 +P2 1 5 1.0 90.0 +P2 5 3 40.0 90.0 diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/5_PB17000002_\345\217\244\345\256\234\346\260\221_\345\223\210\345\270\214.pdf" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/5_PB17000002_\345\217\244\345\256\234\346\260\221_\345\223\210\345\270\214.pdf" new file mode 100644 index 0000000000000000000000000000000000000000..58f58f0cb89b8eef1b2cdee92ebf078ab91f97ea GIT binary patch literal 125958 zcmd42byQqWwl*9BAp{S>wQ+ZMcXxLhhbGXtOK^903l70Gc<|uv?(X)H-^|>Zd)IvT zo9~}@y{ulWbLv#>vup3_-cRja52=EP7%d|mJ1l9}kN!CXSVjN?0Ayr|z{5i?XJ`X- z0K8p@03E;%0G78WN_>0(dU;2%wT125Bi27H0D1*Ov$q?jzul;~+5rLd!iHc&YmgZq z9|F+UbZ~Z_vHbC1q;S_(nu`{%F{oA!Y&=70^vK27|0|68w zTucm13=Av`oQ#}I>dt9Y5_F)-(IflXk-aA2LClm#R6>ors>;w6?;QF zySIU+hSmI4L!XR7lU)E#)o9$(RCKiT5AQyl(!&?I@Co3H@fP;mRj#KxKlkDHR{=-X3 zK!?9(`ImeD`KmWxiz~k=`j@VM`C9Dl6@Qk1mP5>;1|kL0i&HCehlSrEu_=&emTDA7~(PY+n?gPdrlhac=dV)J&BCw?Huqp_UT+d z`BQk)Re0-cT^HRw8$Z3Uj(?u@_G-JLKRjLS(B+e*f05GD(SLEczq;1XuUPf!2$oC! zmT;xLAf~5_to!t|-7sX9)3-h}ROyYQPxl(E-_KKjA@ES5TAUm`n{*oUg*w9_W-yZ+ zi$63y?sTc^3l{Gs%jEvAM~+M2optSjy4YrBd~EKS7AyUYQ-73#h2zK=*PMtF ze>>~x{1se)5Y>!`L{8Yk1HN?Ny>Dd7`;aAR(; z9D_HZF%^F<;jt&+gCMi_o2>e={r!GQtyLvnVjZS)Ol95FYtAxaIydV*Z*%B!uI|&u zzQ$r$NJb)5ES(T*Vpj+mL#c<4^L&P3Khp^F=h+1AlrGDsRJO{?^QaUk{Tzq>fHE_Z zLt^f#05`iTkpGb{?gS-&sQqJLixocC-0k)+X`gz?Rozd$tOVr)%jrEmX!dl9z(~mp z9r+c^ErXo$$6&^F$Nf{G-~#_>BdZ;m1=hJ^(DZ_U=JY_UlS!C1%SYji4Iby3l#W?ct^&uXjtdEZj;RS*D2p1U-Mwq?*4W!>*WAQ(Hbj5dS|+L{1!J1@1~x$9#uXNLr%91xk2emfU3lH$Ey= zx_D`A(mAz-11IOTZKjW%ix&nJMvhG~s_@bV0dtaemRHGWbqc*P&(E^lSH-G7)*I{Q zAfSsI<#k?5OS078!&dxgj(vPSXe8mh9V0z25%LW$-xa9^TIc&o`iUGs(l2l#&F(t% z%WjwR3st}K@7r#c+^L@$i9mw2_&M+Z!U=7b;?qo%08=SsCeNjIPUXHUO6Tw9iDFE< z$SgHz1D0t?hlxY$pBTnoCcEkXT%%GQS=(rA5oA0U40!6n3h8BvHQ!%xLS3$j;6+2c zc+Ub;AY3L8hio3oAj;Jvt|w20awY?C#$lq9mZ%SKK!KF=x8$4&e} zMCWJkAtLs^F7M@6Oq~d*obfd}_b88YF8x#LxetlD)CRagzf*Fzu{sn;i-Ip8vBiJU z2*o#ODfL3Y^P>w4AxN|N|1kI*%v3Df1e3j08j%{i`SlfILki2Fs1kYAbxypgXPaezvL6LzCZ;k%_}Uc}n>2Yq?hr=%`L-ExUK3|w z$WJYNt;|7>+F)6I;Yq6?qWVE9wKH8(MokV)JtzJE2-jAu1&o zi^#i_BB}DSIK5;}8JA77?NlOy0_U82gf!_qTMiPnZa6~Pv{xEPFPR__r|@4tZ62ltw4CSJbamc`a%#aIS0uCe~oen-pB|4{sayU8dYjH4}g zyGLiCgj<6C()Yuuy|@DB{e~8U(0LhcFHFT$KevEOw1eR39n2bft`|w*dsp6}G(LP0 z3Kh$-kDA`1rLagUa2ws$gkJe4o0WIoGViECISWNyF7 zdx&n21~*;J%zHO^2R?!;$rVrzP|O13spa6k_kH2+>3+o;M7BOG5B^&3!{u_Mj(muw z1!v;ZIq5PXFYmy$UpEN}vnIGz6!t2;1c#qk04TgL=_BJ{wz{HKj8$T)b%O~tLmWS1 zRGxV?T_4a<(*1_?*FhRX+W!nSF{SgaRj8@Qn`Z>q8OY(hK3b`}?~YrArfS_l?I-+M zG*}#>jUo$OThkAzQ!SL=g(t|zZ(z!4Sz@}WlcD^lt4-ze+M1r9qx88Y<$2;&jFM6B zAW}lCoX9IcJC~Nw!TnR>N&SrmJLA|4EQ++|48cnTkyFz?Q+cOrW>q~Pehhj0^$<$+ zCmZ>|fKR?Id2WW|0zfDHMb7;r>WDAkR(f3e1eGobvowr6tNdn{#`PfOWNVbsD+x-{ z?L_%E*T8sWY8u0;yBb*D?Y^lfg#hR5{_!1$MkUMQ$uTRot_rI=*6=wX4>t<0Axy4q zF|43KneJ2j(BVqpE+W^}n&-mWJ=UJZMTl&s>CLSlJCn)xbpq9wiq{s8 zL80Hr_2`D9oCj?%%uT#`J|w?~N?P-mxNB7VhL4N#ZB3ki9BJv}id}`o!l|9cx;C|Or2=BLW1rlSu-e>svamWYApv(JWS^Xm1}SH2yga$CHxthH z;`RIx+fESr2(WJ;`R@qm?{Jxs^Pl+Xzfd02A5`~-xBt(bF8Ftx~oxvogQ9E(tdM+muu^K>VDdmUQA{h-RkKIdMATjyO;j%ZL3$~0t)3!J#i+Lpy zLF%7Fxq1o8mYU zmqK%`r5||^me2>p*d76Us680+?~E;_dh(7oM5Y=M-@HS~==ZHzH=|fa(PE8mZ7zg= zF_GYU1iFWbQD0crkx)g~>n{`GI>|t28ehNRRjZ(e6DBhs?eA z6BC$nem2lZo2gEhDe1|0pw?OUDAv24{>Ces_yT3JWm#}k%6V|`FlI^U<833~v6nKs zVn{={$rZEw@e+~QPC%9F#@IAKD@jIUEX8>#Gb7jpBa9R`fUObE|NGBbnczMkCDJ|} z-|7mZHq>x02QV)~InT+zypy!eQT2+a{G`PEw7{40-uY2AKoOyuZE%yzEsu?xi)sJj zvhMdHK1c>vW#q;X&oc&RUgZ_?OS5g4xTDyIsNs`IkK)gb6E`Y8Ur@NnK8OLG@3BLX zG*F@9P)G(vi=ySm7gTNS3pnd#!Gk`e5O$l@~RpK&v+Zf(^OlSC#H31T?E438^VhIyARelCx=eJ!pUL4wJ5 zB=%>SfffEXF78#RYl-nb#a^QuU~#Dvovzrpa)gOX;4OfQx9g=NQ`+U-azs36FqJ-D z`US(+0Tey7KWZ*&)_ArKhwVKN)Myfp8OjzCp)?sGlG;ZWYn+5}n%Op{*+?2hR{2CS zDmAt703JlyQAkme!sb$RcJ9?AbLF_>Skb$|YC~ki@w9+DBncdxb0}3+#7y0{xY9{^ zvEN*LrWo!QVrfwc7~QVPG~c@;tAV!B$-v*dT60^p`pij4~5evF46oNr9+R5 zkJPDfkd+g4#8RKb zyVaFvvhL^jU1kPX1-)sG%A(2i|A1D z-lKjceV*B9Ccg+^HC}L7!OcM{usNIzy>HdR*a^Ae4D{3ZO8x-HuJApmM)jEVSQay@r%O?%syQdE`zyC38BP;SS) zJ!6|)bChrp>=v2x;SQ6wBX_nWozAKBf~dz+Rn=DMZV0bvA`8cHQ4RX$iKD2X4ykLR zLEe&%OQR*tOUWm$Of52?Et13rnZk5Hx}zG_NJ#;`t!+M!SfKqFW527G%i6}>_4P%} zAoR-pz~x;Mvx1-IG8JUB^tz(LkHf20y9)59LQh)%3^k$y&An4_@483*E;};iT1dvc zCk%X)OQl*#05U1gGS>Zt*_6tMN7|IpnYLs>a7lI1^HWp(JT=~>uMVz&i+DP=C6apTeE_jbIZO}C8#`;BNC2vrITZK=G~NJDWZR zo2^40s9#Yt0^CDotp^d-LpEP$!)CV^Ynd8^5#5#fDqk?ZO#~Ej)^bsX4Nq2-z zjNb=Nnivm}VdC6U1qh_VZlMq$A$Nuf4Kz|QAz}Ps0wjZLX3j#|@NLKk!a0g)K74B1 zkfY`uvw?FtXHFbhvw%~P3ADwnA$ZPgUtiYouU92KQtH=PEC`%uWYE*~H#c?2Ez+G2 z!;qRR-3%j`EVOkg?OURzR9QHUA!1FUwzp-kMzPOhF@XzYcG7qrc|X4W{qR{RF@gxD zJOrbgAM>|VV?0|}VcQLk{6G)L0)M<&1wbSpdKLUMJmO*gK$4795@z6;hgK%12+|R%LX3V=bJbMLge>tid zn7=2(NxX#vdoPn5w>|1%Lz#4hOnYg6sr9OR(D1SB1mmBqXht&J-B!umEN;>yJDcUcDwLBc8*6l!7NIXlp&Hx9p~UMP4Xa=U%2A~fpsK^n zo$dI{)CxP{I}8-TtN_c3caWMX5VFuY9{R!zt3I0V;U;G(tADgK)sm@_Pw7I3iU>S1 z2u#gzC`VUm%NCW%bw-}bV81{Q)Y==%5Ec=jIBk?a`%(TmepoK~dI zK}Es;W?#Yd@3O~C|HsqE|3CK?|6wwjk&~12zwaxKwUp!q*9l^u+4Uw z%gdnU7oO**?ah1OpH-GH2>#WVlR9dA87s+7DTxtwW9GCulXbWC>9%=H?QsAsP%M zi`*0{3xAB-MxzimM4v=S_^U`D73dt|W*}J?BxiwuRw$Qz%UW3(kzD~tB$M+8vkpPu zSXx-YPrp$n9$Xu4`7l#2}|hw~}IL@KK5Xj#D&sdV)Bl#{~-A)d++ZkQY+ zsX~xypI@#sBRYYIR_6OOWa_p!MbLvo#lBkojZ;v&B`QI5BtdGPkWwCNBw186s{M_^ zN{zu8YOd~_OWTc--z$EdQ+X#?7 z($)sE%rVs|S-PZ~UA_j5c#{6UEhvZL`CQf)=zC{3rl{{5(VQtOh=+RxBS_myR$Yl} zsR4F)O99VLko&|c4qfPTR{$@>1gT@1^-3&NWIWAZ z&{QhQq7X|K6O9NaU(g@s86!Mh${Lh}$PD`X$QZ@T1QGVL| zogv!JneysYyOSAT-BB(Mtwc>*6vUPxhO(}%2A~rC?NU954xpJQ!~wbOwUWjr%2xK7&faoM_~&k34)q!_fzitMhqN^9C96GX@M z^9NM>Z8IV70?7vQ2M4LTgk?D!_s-AD7vayk(Gaf<7hz*dDnsT3_A}M?RUXKKu{4## z_9VYgNyu#|wK5H$z}a_)sn?6k12nPQ$z#gY+_Ym0UR4rH=bwcQRdi zVD>tjv!>1xVMi@Qqh(dDL_cU4kDK;7RLl8YRuuGi5x5->HkRfs&1 zinv_A6uGIp_&0v?t~G@vg(D~4?+r>XiL<^xQK*hE4l`!or%6jZ(brEai?E!uaYa$b zQCPHRRlWP2w(@gYWR*(&%YOgK-p)J8*My~mkV5YuwOFy^a-`^I^0d!i2o9Le6>FG- z<;l4>y73P6b_tAom|nv%W|e4Ga^JJ`8NO~ZjN^aoe%^k#PMyQ&9pAAZj3*m}daT;; z@r+uGH-mJFgDp=1NYMzvT?79efhr6mI^P|FfPe)L%f#H$VDU5 z&|mUX@`{!Vh5L86BmXG-o5=Ol<%rFWZj0WyMP%<8T z$*o(_BMAa%aJ~}s?S|NSG>J(iGg1eH;=|n8E0de5nj{k34EKVt2NC_CjXRh+^Qozz zRK6WJ4nU_Emh;K^^pEcIycG-KAgel>$5!r2l8e?U6!yuQ;=Jaa-Q#8mf?Vf-SIvSD2TQnAC87Twp^TFs zLN2G=nB7Tgrn=Aj7Z?dgI;^g^qhvX|Z%8`=Fkw9|A5gz5oh#@+JICgJz?f0%rTXoS8B(N_+4Ni>NVyt`YybZB3JR(@V!6V<`%nzL5Y@ zgtt97DQ=5vWL+iU!Q46wsfWHrQFO`K?QRENw6UupxNAOOhWzOEK2Ij<1@pp4>o){v z?9Z2MPKwHIvcqDaH5CmJ60A@6ZWYlvQ#JA!8&TrVWxB5%W7JI66#zteMfnR*IOxNm z)TDk zY)JD2ovPOrTvbv0n$s?dMWIQ}zD`X_h#e{-7jUvj(uYi*o`jqQKH8JxvXleaYK?^nA_ z1WvW@;19-olNiDPBsu5{2tr0o>)^}i)u>S$tpck3Vly){4C6#iQfZenBHvH*V}qU7cY5BHfv*`?XR}w= zNmtjGe&PskSyGlZ>($qr$^FGgX-q)z`u1uO$or0a?QGIp-crSh6nJmzDu%>Y!ub5M zoiynTdwTGgl=TXB=X_3nnYK78fEdnJwSG&IA{$OQxvuuu$(aJl)9M9`WA_6H&4&QftQwz`m?adP$#qr%pU!7p7JGPxN`J#P z$`EzlTj8lJjt9VN3A3bEgtglv7KRMT>kdZ<<_dWzRLxBnPQ<2WizO-N#cLHql(Rhz z(%t1PdiUW7J~LpLmJqrM4$FRsDOEL5mEHXnA?1$|e&DvwQM8yLXvzA)Rz*bV!^h+m{)S@0*9(BD=6cb1k;| zc5A;|pm>0rGn)XTC3I`3jsl0{))Kb{LMp8vzn~a8eI#zL)y7jC#g|z@4~vg*-oVDD zs(_#j@UJ$W%NV_z-j@lK)ojCmkg*(uUjMDJ-rOV|okOL6W;^9OQ4k@bUPfpdt>(uv zv97rxu%XH=NhRfm_d()n;t*rM*|JJf-?__?R!VY9*R8FB*NNh5J{d$&vqaDAB(?_b z&(6{z)h#F#wbiO043?p@Qad0KOKqtflP0xh{JOW|w(7@OL--FI*=HoU!4r@7i?Z?6 zi<_k6DA&}|N0Qg(btG_7N81XCoGw8$4rgY-?-2yT{JwG#+y2))MZA#;c9o=>Rs(U0 z@KA9kHL-=)y3KU=JW^6N!SFFwl3-KExNupXvM^kwhaeTiCiha;N?ZYN3uHWl;+b z^`Z-;(3RKz`tc(IwOHB(Nsa*P!yqXIig|P>J2J6&+vMDPBGIzLwa&=)ciwzn6U7vT z&1z5iL9v`XIQ+YQx>g>jeHHke=o;ag(^uE1w*eLWp^xn1!s80SW69YFaNGR@4&;?K zm6wf;8i@pHh;>V>bjpLmdq2%SVS=kAwW8QrKCbLVpt-Pwxhh~Zq8;_O_54qI-RyEGB3CE`lYV_IC&o2qZbYj`!oovSllj$ayU?XEhz z(IaavTP)Tahxw|%8AC6f`Ap5`_*q+1%2njU3fU5fAR*%rF&awEDjKO=Q4xQ`t>#I5 zmM;tGsS%=yFCkSeuey zyUb-|RHG0ugGjIW56pYC@LrYx*KmQo19^bEeL=L+Z*Gci1c8}e&ky$Vec$3M=o#rq zP;n#SK3%y-!clH?e1#d*w-txjK-S)Wz}9Dy1!8x)#>4Hqsjj+qrIX$P#mu$_&{JfBPykn$>(| z2^?&$L)_U|D%tJ&G}J6Lc9Mv{y!)BDk-JTWCD9Z9JOpGkC3H2yIS0nN8e5nPDtT*Ph!E(mj73 zXZtobm0}j2>aw}PG7giLk4{c^_o;C@n+vWGoYCkR3Y}ZQSR>r14|+Ot+PwGvaqpM6 zTsM$|9vqami&KfTJGf7Sfns%&5E|rKnw~t1t~ZXGItpa0K@LXvZSu1|6e7Tmk|0nz zYkyd}i1XuN7n^b`a#sc0P$Lhtrpq$dbVKQB>|T1J())o5GBKhN>OLR92tw%2=Z?FS zAuBD$SKjrr(itOL;nEqm5}hx*PUO3EBJIqT)AxO}b+Z(^N(HGMspeouKIZG+cc>*cu@w;<5cKd>R*LJGU zkhTRk;{>d*glxhd1D_>*sR@O?`mn-eNa_d-XPUYcZU`iN)biN(5~;R{3RRAgEYMpy z_3({exkI*oZ1ic@lrkfbokfy)4spG}%WNnRo@T~7@sG!EA8%sUWEWh0AVy+$p1ArJ zOI%pwS@G)P`*}Lm`8!a~Qn|%!wco5w*Zzy33%82&y@?fU{*ET9Yc?y5Y@mujN074s zC5mSvZrVEKh#)_GDsN$QQu0Ka@TlxQ<>Aj3stkZh;v;+)rdj#+T`E@~3ijaIG9gk@ zC}#(;fEaCxwyrhm5W+~&RptC+9u_!w*ZVaIKXOWhFCp)+y1rfr` zqL#QnNj;iVt)b}iu>d7?w@_z}69>QUH8gY8W>Rug2>pC4K6;`Xd{?q~>cWmPZQ{_K zz4eVzwpGds3JZ)b)lJbVt8(;V7OC}~B#c|uvPL7uII*X|0l2wM6RrWJ&J_2A($;PTidWRD*Lx_?!r3EsEhf6D~ z21aUI2^ZhjmiHQ)*An)tTssHLyYarA*y|Et;s-*5M!M1FVEoz?5F#QlXCK}XU?G$R zALQrU7!pS^Xsf-5l$zfT*#-H$zG3u{!4Kn;00}wv8z~+KIfm==uS64RwRu{1tsl5M49Ap7*QyC6 z?Mm8yfpgy8?;o>vey8pbj4d`Sf@LwsnY_;*`9a>V#+L+-7+kt&5fi^*$ItfpeYQ{Y z?^h$(yzBV+=d)H#dGqfVqZ&@SUtgYQ7m+rDYz5+WHuW0_yq-R2TpoIZ@=3c8fG;*) z@HX*;<vg&EVwRfmiA##E5OdPnauRE(s$GGu-_T8QahE!X_7co%atXgOA ziJW}wr5O?}O=MV&OpmI}b!*?AOGlYWery}YiXx1PITqonskHlwBvqm4Y_bUe?H&AP zUz~4_L1}A#8j64~`;Z#B9xpqOOA%kgifSA;n&2PH`F4n!H9tqEP9?x--9uW08vZuQ zREWIU=aeGNHm;fvxi#xb_E)^3T-KWP=rwBghw^37Le>!y$}^qVt3i|4{6i5kixIAq z#oM4T={7rFzn5 z4*wd3KwJ-1P$gFFVlGhvh~@xfVe&8)))Y}B)#4tOXiB6apb2LnihM5;%lJ!J%CRZ$ z$X~`*1s0Yuf%*w2!=JK-K-uAQ9Mb0y}50R~!*sfHDs%f`uUR*V`}SDxS||4YZ;GJHA`bJnPw1ySjK6x-4%mxR}; z?A|T1U_q#*8fT7JO)X(C!Sm!-4AlcPkcWt!%vMb zQPYeKd?0h`-bkCP5^vXJFC3MEFM*V59AIxF?+XiC@1yASZ8+;#s`zWqS{g(p$H~?0%+RU$L!Shno zecX5|x#g<7Mmt2NN0t(L+0L_kF6L-W6nOn;^ORZ<`V_x21+IpByVEhWs_Z+V55|dn zgZHCwPp=7vO|)fIa`#9%T*%?>mkbPsKzR(l(hD;xZ&=+VN=!wdLX*H>Ecw|Kk0KvXVrE$Acl zBPR3@qLZQIa1qB!5sQu~xk9s?TFA*U$p=TIKz!o)-JD8lJpwkk9#`nBqpGMicxNjlxD zlUjuP-4DwJs3hvx(uLO%uFPp&$Eo_hB3>znbmua@q?w(!K#C!$k3Q0M$%w9;%~x^y z`l742LeNyBjxb3wpI9Z~v%Ao>{Y0i|G=}E4UFB(G?z8XGKhM|t$`p@|1&R)uxqp9` zKKD!>9!nyTuk8Vbp zJ(CN7T)71O&@t6U)Ul~g$bKYod|_EGIgyudQ2K5DzDw#zF+)qx_#hOgNTBP0%bU>9 z!a6SujUs)Sof_w}C#c$NaXE~fLmLR`I+_R#+uY@!^1jX_adjw3SqcLNvaXab>U~{H zGVkwXlzUO1}gkOHuiv z+aF%8%IKlXrK}_XCJA^y7;3Ei!Rf^}n7owDEIk6U2kzWnH4H|gu1k)t@Haq)x(JJY7wyYOg?QTZ^; zDevigLf?662ibCdaKP~-0cEmx4yXX0cfD7=Qm#SyMW?KqB**-xlG@al8VjB)arNPH zJrgIFnYE6lJhrc{@@{TvG>J}GjQdr$`}>kf38+m5t=fB76vL6`tqnU(sNOmp^M~g; zmS>T6wZ>~$QiOD=tsO5qmJ^Y7Pxx03-{BkWo@`H<^QZ8}?=mKLV?&(+@){_z452r3 z+jF zow&odU9LRWI&ya&us5EBlv*T7Tc=hzax8m17-R+0q#<4K4jy@V0}5TYb_#{FK(V^5 z3E|8~OENl`PPT=?4<78y?Z-((qkUO?#m|t!*8J200ZFZbj=F{5dH8fp7Hep+!Z({c zCv;%h5L2-8Ht)KgpAQw&czI)or&1XPJZAjBHhsXSR>zB-?lQfaDlSMHZ#p)%govh^ zju1~JM3sc#jiq^@Ln0s=PUdF7m5ZJudHa`{Y!E&90UtGOlQ@?dSFUOvCd)nlsTCSP zY;WZIu15-WzUmZV<5@T@>U4&F%Dmj#LM*gmjhTOywwVFGdHARF!s;3cezyA;iPG4~uO(I<_9^=X5#O!0Gh~=t(a6NP89+nW$pw56G(H9yKb3Jv`jtWy z9X*!$8iXif={w#nGuCV%Ofy8aNAeH)D9Y-SRsIQ!i&hfT2S>z?6JNVep7g`L;qpx! zGvdYkqC-9Ux5%rzF~4jKOfA{**y>?J-8C~I8ChG#{VmwHd%kdu}M*xWXF==oAA>lVEZvrDj8JiM#B3+DOn_uyCoN(^;OG};8LU>mp)#p zj&FC8Zy)uDxHf>~YZP_HPm`;F?c9*QSX>8Z6H&hMLzpl8(8G{~=eO>eG5Tg)?KG-_ zmG*IP6%KCQnD!Hh=7B7qokNds;vr+fRVRp*c6| zPw}#J$k19jnD>_kfHCbCaYKo9p8~dyhBNOCLw^gXd@ta?hg##U;R-ILpP$xnESY zwkx>TjFW~gq{~i2$8ypAM3V~Ko?HdhhmpY=^iY}|Yq@eTCjdIS|F+GNXrAacv_sOX zVg6huAI&+%d!hXN8#=(8Btm;ak;8v{3;sQ_q#uhExf}tB#AR=CUk%0uSbF24D}XNf z9Ffv6z1kyvTa%EAoqzQOX*=m&@89ep{-Ry|9gYhbIspITWYH^2Xep^GP^$xN6&($2 zH7#T|2+E-HVKTZObq`$ zjSWm1u+?q)9C+&$+HypL&6O_slfjMyLeE4XoyUhpm;1euWc2p8RlcoTxMj(Q_b6vM z8pL5H8EH4w-X0z*p`q56}DkL(A6}_Yc?W=NZ*Wk3lW7>>IDk z4U4W2cp~AOeC89^I9Wj{C=0PjAnA*Zb{O?+1_P$?cs=`rFy0p#I7v{g-(@ z+Lx=%`}@^d&}{$g(D{1z_R9k><^J;cQs1^im!94H`Qh9vgh0>xrCG&G|2!&dY&Ps$ zgiw=vbBC9Ads|EU5}#LFySsPUYS7SQlNZ5?F2U)myltegTUN)?^WhD!+c2(k7~@H-SzR-cRxB*cL_Qz=ksOIx zxZQe?PJlCXi+7XP9TJT?_I5+GMzbo#-=xSyQZ2QN=32Hpq?JOTs8!w-B*KfJxGO0( z8K=lu#pM45sQ6h`(f`P2A^>$)B^`K_ux?kz6ZQVXQwE`+S>VnMMz}K(J<)-s$azF8 zOq*pvQaVNOuS%|c;C>SsQ3p1HO1XquNtwvL>VAfZ%?@R30wpz?_f2#MdJY-@99k@L zj8!8_lHwUB`)j=1@mFD-tP`omvD76bl`=`&mo~MK1w4^uRg9wMt6+Zh^Vu(0O=4oa zn`4eo*BjaEqOlR|DOZ749NuBU1zB4sWKFk&`1izNCB-4l!M4YENGltL1Szs93B&_Z zi)zD`E3(zF*@urrv1 zs#QvYUwEpg>_bVYK{a$lLCE`KT5#Y{({)p?7T;Gp;{zgA<-;2Rz7PhZVJ*L zpFwk(b8l2sFd*Tmiit@L3iW@ZZH{A-Mb9*R1wb&dhGjB$5Zv%z~_=5acka09buQs zwdqZ1{vn&9IfCWpWz!wmtJ%y7Y@X(Pu(lBnVsb0U+f81Y7}%;06f`jt+le!gWztJf zaaBcs`wk@cD*^Exd|M1;gIlENw90A&66S(`Xm2b*&|y0Yg;=f=@ zgB8)y#wkmXvv6HUAvZ#)`g0O$N3nBBH(`?eiI3{4MR5+P5%l2^I@+H}*L2 z4=LNx&dwV6hbs>F6HWdRgZ||>+kX-ng(XFlT^+zc8%bMJ5a2I0-U`KUttudKNfB8? zyMG48w=k*>U}Ru;i-&*W-k+FiZ(;X`YR>rg<8uEMHs8ko>GCH?GW~-M{igvyuMW`G z{TFTjJ2~ z|BFOz=I}@JzqAtii|kFy%F6M#$Bc|@9Dm7ti}imr5Hqx~uzs`Re}M$RUnl|aCjZT* zfAz4iep8z9FE;nzTK=&9-|YSWiZ1?)QhuXrgN@Dq$z1=_sQx#lS^k(z@{Rs)VJv8C z_Qr{SyOlL``P&2;8QI^aBx~W|@aFQrH~nQ}1=OQc$R-~&KUtLEDX$S9RD4Y9JRqk(`Y(kCQoOvi!%{6n9VCt;|8RD zW8@W^m@>DPttJ-1Le58KAQgzl=8L;DBx5~GHhCB0BS!Tp=li)vAq{**Z%-pSVXoRl zBzIL(`CI~O`LtB6uwXHomXUk+Of#C5%#Tt7?%J9+j-2Uf(`|Yyx%~2QiC3lm^=~)& zjn*{R)27|b*Jl$`$EncVJ?&htT5OxGs8-(BdGFh$S0b&pbxp%8S?QAhlH^ z203`^w#)U$lcwuRy>!u1@6EB?>Fdp-3->Uy=YI7U5!5=iyadqGs^-m&d#2+n=%u;y zc@>^#b!p|b==A{fG`91g4BdX(>Fl-U`?{X!?#UlGbw1uSSHAcV(>JM*2 z7iBM7z5Q~!>^3g{{IfJ~8pOC+*N#sJ8nxr=TQRn+9>4kd3cC9a;^~KRde!H9$!b}($Cyrf_1dJb+G*fJeWo9W(>jb< z=UWK24LM;_@-{ncH|Mn!tc?Y9)|sVo1z?B}Xt(h`{Ca@PTb!xc6Hem8;;niy0XJPg zj*F|+>^VZ~Ss&<|t@$QUxD5>U%Ph-|_p7zz^Da&;Tdg@jD|OvFK@zZ?*+M#FxxjR8 ztfIC-Sum8Dj>{&sqwDHD5_b9^)$S;8poL7H#*@*^EBMPG;PJ; z!>jaMnAU%An7ko{>>tmwD)fA|DojuHq7P<*9MgLhVbOK(+S?cF*0(fYwaqp&*NNma zc6o79Ua7yC*xQ*_=Y77eBiD|qY$I`7T#&n(I_O~IImHalbFTE@avNQc9hbGriptb# zV#Kaoy1S~Z%$z$cvUM@MLY=APwK&h};wx=PeaQ+vVQS@@t@Sj$mR79wGU|)U-7WWG zeVCcPI$1x4SKRfaRxyw@OUZgT+wcP2Na1)=u~T?^RK@fiJ61u@H! z`pQ}a%hlVoGx`er=T9ilSe_;IB5jziGFT}WeF%z$@j#6wwFudTgz60<#9f&1)i45H>XEfc=e^!K0oK0Q$Fuk+H#A3}7G#g(JM zomxHHNDm2IjnC{1nocoakM*V-4GxtfiLUP3<#~5pP{#~h>%W?#KC4;}swYq6M|C_k z6t+&UrDM9>ED-QMtA-!fd0$?s(|JBl>T5oyQ|dolsn4t$48AR@gaq=e7o>Fg@jf!7 z6_e+&UlA|gT60&kqYNUqbS$@AGa_dDhgl!irSoQU@f3oRGR-b552XArwZc71wTIn} zjroUTVwG~P2Yd_U%x!&j3e2v>=IsZJou!?=M8!F1`MG zWnBt64D4fzZ)wIP@6*r2S=qHyz!3ZRYI^*AkGt&2TZA(d+~}o!Wg~GqA)Z@T*C4{U zq2+PpjIkdL);<`ExVO$9c+h^$?+nQsTRFoR$3T|J^tvgKM}7f`I{$Q#;bi6diF-A^ z=V=v%!@FV%ju&HJd^%qoUpP=E19?wRc~1A8C|iNT*k-&Zm$f~g5;LNXbWER~Ol!Lz z`eoWuf~-fM>-OT3UWSJat;jOz#n~&pyQkJUvchaTt{~Flveb8U;{5GAq~Uhi*pa*t zjMpZD@*<@5>o3pL5>#`*p_qyzbWd0D}OUvsmX$YtgF4mg!>uVO^khvMDcmM-DYNJGdJ`% zSde&9QqV6=#{+2P%bwbNFyEm+T23JR3gZ6LQa`!m$MZ ztJ4T*YR7)x#nI_JCG%Ai#y4|g#`i}y9JKx;EohY$X>ZuO{XOuS1bM)1s`@|bQiRx70dFm$ftO#3 z$>O8L=En>sF1m`&+_>pGQ@X=hqx35hC@1{qA74 z#yD{-{GV8#=yBoND}`U3%e}pmx{sR8aww)pm-w}^Fuo7X)nqVdh4!TJW-XVNBj-x4 z%tJkDti1nIVThRAiS#yIwt#`C0CiSD)q_#l6WZzsf(>$7rY(+^wu#|bSAc3x;t5 zEd%B*75W8)EYiZbjC-}Ia%C{}AjSu;e5=Qp(dGu;XU#gLY7G0<2Bhq9REv0?)%OW^ z8n!xiu$9*V2p3XfbNz5SZxua#Y*rin<6hbftm*tHJ{Y-@;OuZRWSm{KZe-HtBRsG&qi)AT;fN z+y-r{4|-=3wJwqVI?6&)TpR12DmglPbjn^hN*bdRaK^KX@X^xif%re;fOxC-fePLr1@vSC=y_ovUEYDZ59S(@c_*r01cQH}@mEUuC@ zxNe5AZFbksYaMbT)@MU;E+KP&6}_VDu`gi)B;UW=&f+&Y68&p104n7TmVtV0_s`0} zO4d<+=Tn)(X8ZW&UFWy#vG)`X&0DZXHJ_c_2Ttfm2EJ|8YgliMXZBQGEB^cfV{DU; zRz)P!9KLRVHoi;q;ko7-UwkZcC((OtwWZ8OS#YAb_RXk%3s!Q=Uh{a@zpL>Lg8=LG z{_U`Lh|`WKj_QfIH8tshz~!oM$Z5gZPtc*tD zto%8_*2Z+kgFZ+9eDOsPQ>;x` zYvz1arvImj=HIOmc?)lcig4nME6%)PKMuAs(GwY@dt)lW?zs&|${La*KR3;UdF|96 zskSX$QiD4gnms1k`YOGe z>FI(~!vOA(&1y`Poe;M~6n7aZH2sqAiRIJ?hQ=H!7=}W4bydglpt;ZdvQF3W zkI)+?_;)8XrBy%OPzNGO==9?xhN+Sjr4oOB(v`E;Y3aCj(2i0qR4> z`mFRa`-thLO&<1mH*xz{dy;{!(C0hnSJ$a`AU=WgK2_(Sj(md#HV_fJ1|9Yr&Kt}LwLHX%7vY{KCuM2Xw zixtEa6rb|Q;gS^!OS?TQ6_ZK%*^+i#ootACU`Xh{DoPEo0n60yLg`}#Lfe`KL*y&D z1obD9Y&Jrae!`nR%~$3dcU1sIj&6#_RA8bpL6@-oH>z9hAr*bOLdp*Ux42B=KM~~* z$kJ{IGQhZ{L%WPmcn#ZHYPTTT{d9NRmSw4o20LaOC&hCA^b4g-SA^uq(p2XR+Yuuh|pKeS+x9^sHS zB|%C=0Xg(i5N*9mKuz~vUDZ9fyVF3Y?H7GTNlY`_E_H-*=>FV&O>cv+lJ%4|J&vwsV(w}$hV*%g|@bZ7DzDFKm)kQqI5 z6ua|b#a&}OzfN=kSKD-AIVHbVDyEih8R43qHfoXt&?P-rA8e*qb;2T#^i%Y}C?h;3 zSx?Z&r!(?kLOq9Htf*XTd5O0zNiTm+&7iQlB4p3uwC$BWl*)Ks2V;eK$35y{^$#Px za%m}LbM<+^8=`KGOJtw#hmZq6g@cXa4@rM!8-;_(sO{GLJ}aZBx%gL7gsa(!WYEz{ zro1U(gqJt}k)@F+_;{oX3jIsD*NvkNTx#e#$xJZ$?!HIgy(ovr9hwMGZk^p?sa8qdhO&E8L9f z$o`3`R6KmnP20yXFk3|MC8ji3vA%{|en%+zkng#QU~xx*a;|SYvF%UJ9DwN4#i`A+ zhA!AqUKxZhB_Sti7Anx?$px}dAMyl zip)dPI>%_eCpB(U#xCjQ#ObrA>9R5UxwG=pxt^*fd0Wxw`$_yYXrZhV8cg~fNg`zJmCnsb=pW6z9f z`ZE%99YXz&Oz5_^+Rx3jkn<-VnrDP9qUdiqf}LOBm;yT<%N9^0;Y;V9%|)K7@{+i&07>d*3xq4XMwf8C1aHQLs+yPj zaX<$%Cbn^pn~0 z?V_SA66{!2;u*=r9R4Kk^zmbxyM1V%N3_`TS4-Ysf#X3oWpJypoqKc9dEU2 z9A8TXZJ0a*_?|SBB0Y;FX|K`^hN-I!fC}t64AzCOk?EFKf8K0=2K^Qo8Pa4lIUw(Q z=a%iCpSxHw82cD{RijB@A1*BHmSM^C`nj_F&fz$+w11#gURCqMW z&A8ByQ62Tmg~Z1MdU#Kn2f?I zKd<(IBy1Aizgu7&FeJCm}ccY@qMXG#i9F77iBMtYXE`GH)3V2#eWb zI|?Kpa(gJ0_0(&}5&jPKLvHiivf;=%{KdL)sY?EJq_E*WdK8q8N(eq@rtVNH0%F6; zPkygRQ*Cj)oLlPH8m zb2m%5)&yua;97nbNS%H|MwCIX2UO>omP#|eeC{XgmIJ~E$QPo*tx?$q{!CMNOIA*Q zY!e-Y=`Dc~j|Ar!#!g%*$q#A4z6Q^7#FHXrmpfT3-BQSkX+_&@^y;)6fDA^NJBn&H zszlBzI%%~$m))xi3`Xc8`JoXG>e3NM>etX1B5RDBuYjnH2AauKbk+V6#f+na!t*2R z-c~X;s!Z*7@URuPf4ZptmH1efX{)MBD6+r6R@^GP^Y5VHjssw^w#W+1XkR}l7LJ`f zagI8=wkr!hIaF$W&I`sz^9sMV!Bee@vTcN+VHNq%D+3Tc__ZVkbC_fo`WkI(G4iyA zj$_{F6)zsl5-WoxoHuu)_#a$%#MGI6}N2>=#g`gFyodf5X!?;NEf0*=lv->Z^m}AY* z<}wZorUHxEeOXs*(u}I zsrBYN7It6vRI(-;r)KkhT40`y1IAVN3;`^EUUGRq1M$H{Z{v?U4RY84ua231+ax0# z6|?O3=gOk{cA4G#F3(*@W52r91bkLkFe`u#!2>uSh;o$Ubygy$*Zf|zR_$F?4G*}mAj8l` zKvlcpzh|A18<|ykJ&!DY`(Nia#Ar;U25KDnUCzv>(0^NjE7+OOrh;Ar+|4VwBuP{X z4N`uEi8|xselK3PTk=Ktq!CxRQ~hfthqepY@Iv!wqotQ&X;+o}B&UN^^u2{3tEhoL zuLE;jyr^yLVVHn6zEU0DzRLQrBCc`J7t5#Ghj06v`OPp-FzSQF{i{u8@1_Ao{3lrt z$(LL*5lV~bGKr#orMWpT@2O3>56N0iQxB&*T3An$VMy#H^ks|b3t2w9ST=o#R4|Gf zps!1Gsf#{#%;3s8`NSIqPNpH&ocD$(O$$#XW9EuYMwL3Ww@UB9-=Fqeo^uP{>)`%g zdy-hT+Bklz3F#?PHTlzA|2YanXOCij$MXKZ08TYn9 zf4%+_afM8xcFwf4XF*c_5K~*E9}dp0CB*d3B!^Hk-{fEwezT&^Tgs3)(?3$%XBk*G zH6cg>1E%DN2@EL17Q}8+*q4#dX5@vGn#b_vnsDWC@&N@{x|d-P+05uW^ThlwdBLK< zS>tSZR&6f|Rk;7n>+%$OpaYR~YE5?r6z4jjIYD#qBhUCR`*s2Xc06V|WVSpoZQxVk zuX@I#ziPd@14%ih2d45FVQdswVi>-ww%;z1=fq{)cR}GQ?;*eICA=NZF9$VAp(ySi zW(efY!);h#SDNrF-C~$7bK+b>1L;3y`^(*lp)p+1QHR^l$~V76WpD>!FBo$04vRzN+aajnc@}F zeOxr&-yl%*s}0mfC~{j?>oZ@d+G?W8Ga%`!_VnUQbz0coUB#ItVP5RzeZ)OH$pX}k zeHz<;%qd^p(Q`qXe-e4s_)wH&_bK*UDPQQhzhqK>NY^te=gg(2i|A!I6c7TjoM&$t zr$bfr@!svwocT`rvEq02HLyrUjfT?sK7RNc)hxg_5Z={O3oZ9aRRWZ@4PK_)J}a}g z1AOaXy{Em5l@ol77x0+0W13P7_dqi|7TQ;Y^&8~q_yE7PLT$vpBR-G?Q%<}^1x1ut zyPa58&BKuAIi={+HJPER0Ta=>DrTVND>ndL)%>!@C4`vs%jaKSTcye}jDA1KE}XlZ zEsu8zvod>5Y-6?bo&+=1JBsW9=<=BB+fIJ-^4|;NPne(W6S8pNt&88S#1Gi~crfTF z)b}AnWH+}?0Y&HyG>bi88#)vXG)X|cc_?z8-{`9yCqP#PI=0QG`qcsM^mWJ71hCHV$sC};ybbsoPqgg+@HD=uWh9>%A3S7uT`9y3)T%X@SDn#p)}_X} zd_cVX)(N7B+*G+XE`*!E*rw%^8%7d%)WS?NG)4_=!aX0{%us$%ZslNQ!Bu`7&ujR% z)CQCwa++*+HJY~sFv>c=H!P==243XlS7o6(|6Di11-4lbcN)rbo-ur+I@O1_&{8fU zwVmC?WyYr@0-nPsS`7%*xNnJYyT5Og`hF}bUpC)juak%}wVG&EAIw`HQ+h5`QJ5Hz zlvbQQd4mhw#PqvXuQ#YoyKX?c>f@vPR|69l==>M6@E zyTD^BVti~#qD1DI@w`dD-k)aBk*#mZFNQb?+ubX!?GG@3>!MMnt@}jX=lu-fzM3bn z;Gk{y*TxGNMXg_hR|HgxmDd+C{sR6BapDYNZAgzXpn})z5v# z?aW1dRBTXfGgzm_ME#}Y`xch(fo#?;^Io?sIr(<_r0*lwM{pVPZUurh2B`YOu5vZe zr9-d`bA2G{yT9^H<-P1%94!nd^==t9t%%_5S{JiFy{kc*`+xlYp%W|mn-b|T zq-Db0jNlt*%6u+2vq7$ydd<-_IO>;&()LcOBYYpcc>4u`D<1|JSy{xT9 zsEIopki%5i*HR&EYDj*&h`I@JO6EI-A;~{{~7b5F~WW;yO0#_ zlwL|oMA?=>N+rU!U4ZtPb0wZR#pUy_E+^TIw7w#|LcXF{=1CfQ%CQQWUc3wTve- z%cnjBIF34e*}J8Z9f@{}qdZhG0yRH_C^akmHchUWv*P+zd9Q!+puTi*g2t7Trx1{5 z#6t?(w zX#?fr=8Hh8QYv-9OyDC8UzglCj|j+H)YWy)91_>JLOs!;!V$NaL%vT4bOZ9B_9=8? zyZW>E&vwgUZrpqEqJG~1@yzNrW_R(-X0VFfmI_OQM3R{8xmfL>gVWI2)YhGxi6D_x zh4s0K>8Vp>!GXE9qb1WwPi473hN>>yx@DPLch~29=3c+W_s_{L7K|p}qn5Id>oVzTW%h zf0YY!u|>bQr84gvs>JU*9RST!2%bgpC!JJR{KV31%eW!z)On3H1oOBG_&|8^>@A)4 z=YrQG1{-Q%>4nO(CHBkO@%`@mZy&FyaBub}c312SL71kn%ncS;&Xzg}u0RcW+r(Ps zpWVqp1YV)SX^9D5jVaDokfe{sqp8jbqn&5-jTWUq*-aXC;+M&vlREjHVy6R>olbzV zTKDwEh~gj4f8CF$Rvpdux$DS{mjf>rz*cj+BkE>PwV{MGjzG((@H5tT zY^BL=lDosWuhX`?UCj8j(`OsbyIid5Q!b#kr`Ga-XBl!*F4vJ#oH9q$E#Ri_HYFEp z;oBq()uTDo_E{mrhtD&GnCMarW9N!Dw~wGg=qw!bo&F4nM;Y(J4JV?6IFKl*J;Mk> z-sYe)b$T2+q(v~=F^((rRxp&IP50qi3qQd3l9WT9bV7efo)nq%F+?^K6h@MEX<&5} zpxv2lu>8&@HL7qWOx?mOqQTNXf?OqHZ+d9>SNVdz5RoP~s?4m$OEgv&&H`nj7qoWD zY{ftAf2G-y*w&Yk2kq-mb}s06esU77j?$p3NXQQsa7FLV-V=g{0%Jl|)XKyDy&h#r zi8=b&j>HqO4%v~_Vm#j0-s(PziB(Pb47uFV&9QkU(1&RXey_b$kaKLkfHG=v$>k-* zs~4Yz9{sMACED|7v}(7);Uku{Gbu3$m=c1>IpezGTl zUdH<)7`y4m;(Hkc{<8pRw7>6XX-=6xIF3GyI=-`Ma%(DReh3Kk!kWFyA+ub+4B_HJ z;?JXMOfT1?^!Gq=bV6!smD~LWfl>}Hj-D*T>6U2Vdb@2+(;neKHw#bAN1%O7NJ6_K z3C2Ay!fA78qIg1R311Llr8)8D_^^1T3~MG-twvK{cVijtb^nN*)c9j+%bks#wkKDsN)PDuN-eCDq;$Bbrr?v5bP3hZb_RPC&{=AU)GW#wYj|q0j8jgvnX<; z;Qe0F2m*O`cc(YNCf@Jb$>|*$HJ2KF>CeSghOei)#dzoZLfU+fGS&A6xr1KJu=TI1 zjGSiTl{xXwuN5L^QA=n{Eme~=LOS4%=+-7s?Z=*MDW$L5WI=ZEXPy|kt#)(bN>3(+ z=~u@JSkiT$BEsC#qfV~*xRC!T+1|v0RRi7CYSt<8 ztA$Z*;#a4hix^K!0zE zv;^Jmb6ulnhPjS}-(bG8x$mTUXHFU*R#Taxv+sZDN-o!0AQLd^I8O=fb>%bDl$}`4 zrJSmkJ%-H8_-yEH2(R&Y3NGin$m6~+9j(Ge3+k%^^`JjWD7+5-!sT}@L8Ore&e&wh!s%(b9S}2 z(@M)ej9Yh@mqnRX{x;21`%RM{2JSKrnUz*o$R0cHUR8F#S8XfEw1}Jhwa*x0#U{|KS)&pQ18IkA5 zVytfKVnnpRRb}{#@%M{@Js#1Q4cDiN`x9@XEc}1+Y7-b6r4a!FUwutEABcej5Sizr z+(NVIdgAs)RN5QU;=!nw7vrhxJR-(XHFu|9x|&>3P8@8ESraiNuLbgM3S%?4R7)IH z6@G)i$RGCvNM9HErD?v}E(_Wx89EJiL(cD$_A>*-U5U#GhL#+RuSEAFo)i9_QR9=R z1j~I0;sLuSqg%qd`j~z;e(elYX%nO7=MbYeuaN@!SBRh71_L^ zA+uzoYh8oXU?D$n7aTOCs6KzQ*PkBkt_~D;x%%hFI{fYOSrvFtM&f-~eWXd;;zLVA zGhhk1!Js6;w0>jqb>Q?ljo-{1BTS-9XT*$lvQu-LB7=DVR3^~gH zp62NA0GN&@-0zg-ASW`owFz22n#<_-JDOu~&=JEafEal;Z$d8>X?hAG4ouC^R~xB> zPur=mPBspQ-D!)_DBHYonK&oOM6|@E(zsfA_9>;)VNEVY_3KkR>l^saVeRKaKk9#< z`<%gTypfYA2_~m(>kjhpCOG#|&eBUAw~=e?F^95Bg0VdOeSJ`a6QGm3WM7!nU^IG(||ii>QUv zXAI5ev$xST9$(^PTamQ(4vUU}PPJgZI!pqsr=(4xm8@kBWb!}(alRe!*=R!ap-cGD z7?>c&XE0ok~zl<&4magUOPrUJA7nlEoWAnnQFBru`{E_bTdPkA;LkPJK^`Bc1{^@0JsC~~@e zGLUHsoD54_?GHT;_f)Is-n}n)XC;&1vt#B1JH6NTL}di)q}?iNOImI`GaGn|h*5r zgpq6Q{RV!Ea$o#KES*O=`0@99e{Dm?U=)7BlBRREb58+R&tCj{ps!ZqSxPY3nRhZ& zfzLAjIZVjkR->neEY2MT%zHDf6ZQU1nq4}2w9w2(SiMwLd|tmoe5B5fVR7tx-_9z; zuiykxE#6SQ?NPQzl2dsKXn)mNo8xY4_xa-UdYs(KnKiYp0Cmh^!Dm9aU;^k#7wAncIGtFPwS-o=4a?J>!-V1$JUeDl zGs85C=3P?P&q1YoU=KHh{3DtD!BDKK8`<|UsL%Emk-(v=7`2}s05FWUTa4JeI0)qh zY3MgZQ2Lw%j`TwKw#!LTdpvvBcQ4%9BJfMU0lb~#b3xS@fd1oqossj_QmHE+3as*j z`+vIxpel*STC;R;JL|3>n+U^F|JFM;YTsbpGWO=aQiLl%H>Hphf$39&4{y2%?cav+ z7nbrQSAzng`o1Zxxe>44-i6%-L*j%TK9)g;UPkbOCRS8R@PztM%d7PrHj8vxsu&UD z7NPTRDqIiBqm2GNSN$V>p?{2JrY72`Y{ewyHdP+`I#6$A^Lpf~W}kR{}eN;_@=oUnk_B2?fTjZ{J&7`)Yahb>Lr5LM`75=$ z>i9}Jnw%?T@`)wQHRx0tU>>szID`#5ZK}$h(D+z|jv)BB;Zwr6F-ZEpgO2K_-0kN< zQP0M0Gnr%v&pV&-!{8PBA1o)^w&>)~HoB82Qu#iVmtZwHUTY-)DPCO+;+S-45h{^I* z_3);MqX+|-PxY@UH-zKkcr%A6;Lx_p4QeF<=ImF;zKJ=C<8Yh%pZ5>Xy~Mgm_uTKg z(;@}Aq%Hm*9to+cFORsj`?E$6`7Pfl`h^rq>&-7v+c{D0Go?OJSEqt)C)k=*&8<{AX z3~AC|Z25w7cY{hj$UW-6@{w$~O^w-OL#l9vyT7y_(wI@}r6cyRon;qp{Rxo3M230` zLT-8fIyJ(5*GNGt31IL-%{axh$%M5Ku)?)n!%`yt#>ZWr@th5~BgD>`hSH$rTyxQvB#fIaZ0u~Z257wIAa zJA&#z4N@P;RR|T@bmzWr6+iq?X z*~c(t`&G|{g;_DRcrna$exU8eSelK8WqOJ1bWBnCg{~+hsb7g$7qA|x^n!Q4g`yWx zc$!Es&8v!L%}wh!7|uBh4B)&}aUP({hkJ0tCf}KMnX-4H`A1?4r;7gA+nTlAA8OSB zX9AAUO?uH8L9qO&N{oR(-$6nc0xgxVl*)6NFx*j1d~o6`MhpM=uJs~b*8lqI6sqXi z>#Rug#d=F^=D>}R;(=Ax-+}oj<8Iv@w?m7akapBUJQ^O7$b(#2W4v!LMA7ojegooJ z?n4XJ$PHE2<%m7oK<%-yKn-{M+z-&l!7Qn2`A*lyAz$DI0@Yf#`&7Q#!(^o(@Ha>S2S|3vynw7Fq!7A2R5&`qgA*&}_PYYHF<)ILIr zHB!LpY5y9wquxe}+2jI+>|rmr6}@6>ONs^i3RF>36YmE3v(I_UF1x%rdUyOH3|)LV zrQ^<803z_ij`Y#*lh}!J9w>tGVn{s5@S14-HR9y2(|3@f9>BaKbCbNnGeciy7w(Sz zJ@jX=z zWto3}g+eeC{aehwkEzgTh?uUZiw}HW)x%gy`RsXr+c(<;KX!!olchV)GBt;R{-j=Q zYc0qMIj>v{`IJDD8-HLDQjy&1aLuQrWiO`yrIHxLP50NN@%)4C`Glno{cp`T9)X-} zNvh6W&MSe}9xNuV; zBvCR3D%ns9F@+uZydcq0lR@q|@=~_Oqb2bypv(WfpJA4v5?`9>btkukSAc3X0Aog7 z(#C|k{$kWI!FTyBHaU%<+1wW5yVULfF|}N;I_9Lu;cUMFD2!Y)zGWv+BQQ@(QM7=+ zYQVtX{lVD51nJG!;*b}47s$LPjYh`ih_GfTl{Zh#T{Xl*7~=ZT>2_nd!dJ}scD6I+ zt6lJ3*0KdtbSgDTnA)5_Jk}bH2_GeF~Y4+>@qD#sJRu{10{@C^h^=&!XgBwb6UlQnX z3bJccyApK%$FY+C%)busTIRUdXMXuSQXV~IzF8|pe1LK(cBJrW9tMQENrjyc2U!Yl zoei(nh+kCV5pPbdM+2Rij@)ATBP$t=?HZ|G5)(E)SR5tOsUp%+vAC=TBir4TVH`0 z%33ZezWVZ6rUb?!s+o+bquH7oL%R2VJ$Bg26%oH>Eb59<{mtFU`&NrN$nWZ$G4;I@ zbE}esJLK?_$+k=dV8S=K(OtRNW_mJz*%_Xf`0(JoipKS`@vab=ydS!{biH(tZ(qdx znYlyf&A16l{6UIFjx*H4@chjW#%Vg-{D;+!e2JoFJ!*(<+Xu^_t}fi~nItRce)A)j zL*`*p(EH0_XwWOsJAWRA;s5dT|vvHOm%=KATETF6Yn8V%zZnX>`tEz#bz zJtXt;wtv(7u16pJ80+cFvN`|DDiUd_uUB2@Hf5?*NGV{jS6`d*C!Y+vlEfX0-}RDm z;ZEZrt~+3=(GO8d^SyFDU@~`liE_%w7-t9Soz;2ua8S_QBNIZlIuy|#LNwq(kmwf1>N8Ibw(c-`-wv-mIom?v{+ zItaXD&Y^4keJYMKaBBngh9rj5KIR86k?dfBPptnYFB1fYdD>a@6O5zU=_Mk&`8%0D z*-wozcHeas81Glf4CBqj^(6&rUrpz70)(EEmgxZoWZJR|r;IcRvaP|{c*r3k-?#V8ez2Ul?9+vAge{-PYqOrsdh0LW zScWFovCxKMVFk(tfih#-D|i~_=$>7`6^OhD{+zW7p%n`)J0O0Fy*N+ay{><(!{I_* z3hckT*~aG8Ph!3Ib_T{wX|^*-d*T1o=M1P$_1fYoM8|RYnlvXaYQ3rr?E`F=DyDHs|?YjI4Ce>GyRUi{bit91Ivm%qY~rLGz;#!6gpQkup3VrXuMvfv_XBYe-O4FdbbaQ7$t6pe6=z28<{Ejyy@e_u5oUr$Gy z2bC-<8wdXQ{61etf*rwJVYpXFSRPWqeE|!M!G$+h+w0HxSg1=k=yR!Oax-|%=8gV# z9q-(of7V*G`@W8=39j2H>pZ|Z@vPTG9B@ps4Cx8_fxjhWguIe*U-<#)h2%?-p21R0 zOXGLPr}f{{PB-AKLg)t_eQ<%_xTo6RucudQOr3|JlB;sUL`&Dv z5_R(&M#8l0k3VfgoAbIwBUTz-|95nQ9ud$N(g-8jsOt2vX!N{75D zzo?HJGb%aFL~8e49^^_g?GNqq7aTeqeiYGy$jji`>jC<-|4Mi#uh;%5yLjez+dS1O z;Yy%5yVd!Tamuhy6{ei>R?W|1CTidEX*2${YP`ZLXCiRY<=WjzvXOZqOC;m?*)_Le z59fj^IoCbi8=u*MR`ryt5fik~LGG*R!!A5SFu)sn3)U+tOcd2y@f@wdB*G!q&Q5Oi z&T`;gF3-A4;m23#S%2-o{t4w9&T3CEUT&^sxjrR{A0osm+Xv?dgYv6u^LiY`iZen{ zRyPdrF(!+wTAkS#jbI-X10J_Ux^W?uIm&;L69H@Jn^mU2G5WYgK|LYg!8x(qU$DKt zD;<{?554`MDpD!SHK-C(0tRD4I}UxQ3-ZC7Iq!$+FHH;lB~ml{AQ)#d&vRLD$Eue} zxpFluoCjH&%QmyBG~|#?l%caO+hMc9Pg3{mKZ`~PPpdk0IGf7(cQTBw%zwJA6LaeU zEELE@$bLT*`d9hW9Oy8ccF(*fr=Q_q@q&oi#%$B@8*jVc%H*J;-ZUTe=}dTp@EE+< z<<;$Psc?XWO0!h^%4o%$Fa6>!bENy6<|s1BFp|s~0!6=sc?Vl1=xg#F|ASv9T{!(h8!?5L;dJ#XtM!^+YXiUrYp z-;h32`F(8e=sW%8=RuF2_^Qn6&*kYL1K!+0zV1kUVSU{EJDuQtw@uoJkRdLL`|3&3 z09fpkZVBRmViJ;jzK5Pn@8)|xsce;3C{Ih{q|i6^1|PMy z=y41a80Md!kHNygE*uS}OD|$2kR5(G_g1SUJiEZ+aC58;IpNDeq=w!2-Vim!W%gRf z)6T8V;YFi!zi!dPZaDia=aG;26^cZY|M#FG!N~VcN5&(npfZxA?W%NKk79^}?r(14 zkULFbs%dA}a z-I%Nc93U%j(;JK`8LJNgYI-6gTH4F2Ry{SvFc!PJc~Z|mrvb;p{MsW{3QOkJJ9=R+ zgAt0?i*!E#lbxg6kSEuxHoVz9t>O!{yZT)XUksS6D1HGSz8O2uF~Cn&*maw^d z<^1|W8{u)jjU^xMECSr_iNGyOG5GJ?h57EK`lg=#G3&E>TV>99n|4LyS41l7$Ns_U zgLJe6sxi_wLBUk!D2-_|A>vXXWV*)bG}Rey+tb)EWu^Vd#ozKFq;>986(~Y6czmr? zS!a{yDUE08PIMi^s|YCV_6Ogwbsf$;-)UD1Sbeg~?CDMiQfKfF6p7S9b>L81QMe|w z7A~!*ap9EgGf-3ip+yn}KFu9Clu(A|5d5B@pmOF`Qh^=_2$#Ufd@k3pRjR6*`O)d- zMRGU+SnIq0KJX%(SDglHMZ;rQ(9VdM4XJccLK;0G^yKCsq8Q1yW@YM7$&z4GcMT)S>L{IX2gla`RO2%HANxhvqU+my z;cV--FyBV!is-+eQawccKN>grcJD0S=A%xydWH+ly6~K2Ms{bd_ej-e5bD0_pnGW_p$qjA+6XC{g zye*rDA`d02(~{qS$ts`as;kkBj*nPR*G`C(ig&aB%dPN!?*y$WrXTmrn*z|PY8Jhx z*jqjSY0|0A_=V+i%MS2lQ;^+&>xJun+MeHTW6?Ni(@R6#KF$0<`j~j(#7ty0$6+9# z{Y{snCGCGfanaGYP4G3O2^2Y}wsAVxn2PP#ZFPdQP9HSyoaPXMnY8kps1`5QfNgnBR0^Q{1&AYz$*@8z&PZ*hfp&(ZRUh4#hq3 ze+7dj==~zO3u-3s1-fQ`HU2fbFfd-zf~D=v|Auqj|G3^@E-E37Nm(Z{<^qZ*8<7`I zhr#@)Pr-JeOJd~DEj<5=dPPea>jhStBWhdr%^;lp@BdSNAn9E$94fcZ>tOo7pYB^N zCCU4rcb5t8ty~|$4o;)B5O#y9Qn#_bxcwJt*o>mWn7#isC@i=xVPaxv{|jT>`~6Sz za!g(DW@tKwnmUmB-Y7|Ud1;Hgd6Pur>e%+ZPh)|*42$fm+*Sr#P%Az<(;FPaG@p@n z87xF44w#w8_`eXZop@i0k~rVJvHEeDBx@nA9NHgRstP zOKJ)@tcgDi0(twFCmHzl4W0zvuu~@!Z@WA>-h8aCU%|CfK6!6039ld6u3^Vs_d|gF z%wHoZXs⋙`@V}OS9V7KlQk4>@pZ_6@R^Rs4SvXVO7D`RECwTRoPLf9kr`o2j9XQ z|J732kif2*mVeT3I*(tLW%m(8I>kRMoZ6;g&o`mbJ zv#IQAj;088sdOU#`A1d?zqS6NI)UC{_>pM_BYk5q1o0^dn8(!`z4-n!4I1l=&F?+ zr>(>##GAj2$WJ+c0h)PsY6UcG0q@M@9W<5hyjgHhQI+EE1iO=VM|ESDL1PHzY z6gPr^&8wsok9T{nX6Dd#xkx-Yei<%GJmS|Yzv(}k?XZ52zv6S7A0Hn(*Svh{%VYLK zl0;Nk3-<)~{B%=B93!+$ zCeXE%;De+uq+A?e=Jv;w75iJ&}3w%=nSiyR&pRWX8{~H$0z;eqE*h$$z7u+vH=xf{_{63QAJjV z$((WjA469j&h#J0E0uKclOlH_XGn*8Q;w*dsmPftl`EEGwvm$iCP&yDA*H#GIYtL# zVn~Kz!VEKGv(3!$^Z)mGKF{;{e%{yX{eHh*v@vZtBV*lalufE~{EWUR6r6Wy zesCpc3sv-;y72Yu(tSmtH?BDME3D5Q^K-91O!`)t7w%TUPmVawE#73MHb&1K!{5rH z)%g46Kh0dZHX=&bxLjc2U3xP?Qsor)6y^THMTs1aYgxMwc_V)4@Zb6!AKSS{4LQ1t z+s=ZC!lrIDNTM2;JALTYz_H4O^;+7R>U+`GMJd&K0|ss z7@0dCIA{+j(UC8u0Ny%l5sP3Nj~xm`Sc-^MYkt5h@DS4V0an(-;lAX9P7eoA@QX8` zTR!zN|5hKw-#A%yq4)~~b-CLwhO{ri{XRx+&phGVL zmaKzdyiKMa-e|ke*6F@wsWDNZkuo*@HSc-9(@Z^RzlkB;mVLj_(hm^V`05TD_L35R zGZ6drb^M+kOVzB#dHb9|G(&y*$%$(;a+UW8hvt!9lL(4*Eq7VOVUWU65&~x=1 z?RWfg)K`JggrLBIru#)x#PJB8Ik2%G?}7o~WqUjZd&dpr+iWA&qPosQQ_wBsD*){3(!jl-TgLy5=l=8M1_b1!sHt1>WoEw4D zq$-q<*yLD03ER{BC;i_{)|jyK4{4hn^UB@aR|1~_6}kCC4(^GIw-*|VfUu7|O1u+| z{M{pBgEvioX>|h@ueBMFFOa}0A*e+B(U4@|CyrWZYopIOI3>(QJicY{g}#gJ=@C@ef=?v2|kZaju;GSq8zQ`L%y(!Q+He( zwCmq}beJ^R>||FOaTW1uro7#k^TKxe&`xWJ+)_nD$jql!BSC`XI^}Qfc75ljJ|cvc zp(ounUPl?xq&8!IXxY*?((S!%4-`+g2mL?W>Q&bg7Y#-7{r5e0-|j+{SM9B5R&@0B z#?r7F-x$}|DVu^@OfO}3*;37vVqlg}=U*N{17Bh^RJk}zrRaA>`ygh@W+mj(HUkPc zD&1U=W4jKSw_l$S+^U_08N%|^7v68)G+D)$c)1nJIngBY zM>B+7TqBN&TL3UTimYYZ z)2KH#+aE&R6kk25fMof|8)AOTVq_Q3ef+t4KwYSl9V{mU@+rjv6X}l<8F%k-ziA{7 zK<`OYYzkp$x&m6TAn3`yq7wtRr9HX^Q`C& z{w~brc@a-Xc>O2XI6{6F2mJo;TOBbl>42?|tTmRZRWt?qmLTz#kwS9q@wwfi*Pu2b z;~a>@-qrGuQQ(Ne`@RMJ?w!(j^Cj{=Y8;U|z4MGM#%*{k2HCaWJ<}IarI5$o9<&UR z5>Hb-p5lMm`wIuV0$q7wzh^R|%w0^WuG_c#YY9caWM&Ck{1Z@-^|DR*&J(QuT6dnJ zw>PsWkCeV`0@Q!kL>?OL?A8fi82dn)jQ0}#>QfF;Tn{P28gNU8D$DZU83@5oKvM#m zL{gg-pdNEu^*6$$ygzu>QkrG!l*k>GrEP|~^^};xyxY7J`s6*QY4kVD!~%V>y^Q^1@9f^A4*#MKhm#ej7&@Lc`vPd?PFVPFiy zEq{sG>uRjlR7cYlrRv<`-PzIjZ`4F1l3CD9>Un_m_2C~Zy z1AA%d;c-zCN>Z!&-;-`E>wU^X%QP=Td zGc~LccYksgSFjQObGVtEe^hcs9EH}5J-pF!H)vJoqhRSUJ`N9dH z(a3PxYT7CINf|~h;{^nrGjyTg{`Jqu-K%56`|J+l1f$u$pv^Bm`2qRe&T3slAf}rS zX}2{6OLjj6dY7Cmnb0Vyi12ZmeBEA^A2_CGUFfKHE|p+Cc=$2Dlx(oao zyENk{meE%J+KAd<%tB=PAHT$|o~aay4@?&n@f`H$0@k}+b4=*Re4v&I_jq^j;jPeL ziZRsfWbkBptqxnlC@*nqA{T(rr2o6_%w0XZ5k#&SOKqyeWx*f)GG?dksGrq0%_7*A z)GmXP|C;2F&B`VERY3c2cc>7Dd?_MO$7B#3jY3x2HgJ157v(_gPs zg+Z6^tDy!zOhH+BWE@B>)}XlKgsvv(k??%K%+{9KcG18HU^!bf{FBdI_h5$~_OQZe zDrNFh;t8yGA&avkOe)D5n>cU?Vjc-mf&*Zu$Rm54(;qZDCDS7;r&>rK(H4;FMPUO+ zU+H&8bUY;!(TN-MDeBQLKJ$XhA*Umdht^RNilJvJQx@G-A_cI3lmP_~MFi;1C*ofr zn>7f>L0{S;K4Kxvp|sr%akFkfz^u7I#i~gEXA>9Cw*gz4#P^D*Qpf*|2S>~ysd|qW zybB;#1Z?LDduq72w=)_ShA%iPG8&w{X(uEbmPH@(lRo}l>}pE%rs%;inbV+vH$=*i zlkdh8crC6{GeF>OBtfde$;v$iqpf5X@SY(KL2AqG#Uc>^5s!UQBhE}oa;Z^sxf)yK z80RPFTTfTioc{Hd8LQTP>vY7f zmN58IX}iv`NdK(P2a19+)Ey{pgNN7vihdxv%GKfapt0fz=q6x zYj~}_?zzsd5}6+KKDF)(lY57-AmPM%i@>`3ng%jD59o07l*+Oc6k3O-H&8_mjH@<7wjKW*353Qw}{n&r|2TXD6xk z%Twgz{XEt8w>>FFB~RWMkZ1Mtw{n$sw-4>;K_wM&npCl9_e9)ESf|hKG3Mb<`_76u zA73f&=?B1OhVkO-ryfYkTr`64kj0TzKZW?0-OgX+q(TV&Z#zrCI@NKZO^I_ib#c>~ zUeCvr)Xw8fEBKK8)@l9BVts>JN}G{L4r^lN&Dbm1iqN*bk|`TB#za?8J<$OH$Se`m+p#}TuzcPcobnPk1DY&N`fd=bSm3{!$G6>^p2+vL-#I6C zwe2P#N4%z?X;MTN7)TphpBc@*QJqa0JX5E_iAnBA&o@m6cB=a^dgPO9Nt;jL%k^qu z$Pf6eR4nM;nD?dimIbGF+3k&+glLAv@jZ^KTF}7wpV}s!gSz2db36k@@8H3feuLL= zx>Ef>DwxIiqHe~VR2HP34!Atl*EKP?CeBtgIQ_koU1tjVVZRiSv%-?t*K9s5;mYc$ zbgtm#o^}(#0)U6ov!(sJ3&9+=4@u3}fm4GGA%&v@8z1U}=1?XZ-sBR#t>6a&&^`46)qbYaT#pLw zX-dI2Ul-}N45CM!=Iqp)_CU2I9m#Rn17qOTBl~Kr7k0EB@+gNc>6ZEQ{F@rk$>hHB zr`ZzXf~M8FH_;3w?x5doU@eK`>;_9Nh7v{a2i@C>-I%%P9ks)q@+)r*Oym0uxU;xE z&F`K2sQY0$-zZJ-&+-SgkAGqaZe6DrxO}L?m1HG(*?6 z!C#?TkW{2VZ*xdr$SqwNz$8qWd?L>XjJ&v8ORGxSm3WS5worPe6Ju%(pC;VAMxqLn z3;DBXwNFG@@iQ9BtK%dQJ^6uy!2Z3d^aWKjiVo1GlpZiSyblmcnhI;zszp_j7wUZQ zN)N|e%BBhk3bUPh%Fhc648fMY*JdT2;+}73N$X0j>U}7v=2wb=G+2%^DeBy{7i)|~ z<Feh=Ef+=sZO&!kZRE*dje~A;1h53$HV-QJYlDC1?5eOSH4p3- zBKiBg^Q(w#dyMNc(2^W>2r|_fV(7)8HXct17!0ph$$x)vNgQtB&Y&mnMG`Tz)a<`z+AX>;Q*2pml;Btr~KA zf(}3})9;`JJ{mH2zg)o5gj!~Rm~zhO%6wW-z+9M%jNTLJ$?~mBs45y=8zz`2@$Nx& zUO+w3g4)(nJKudnVRKpE?N+M?3;ajIV0D;k^F5tcFvC{O-7$8- zPWxb;4--DCdgw9Bqt01*6(LwHK1&W04AAVEd|21nJU!VDw~`2VZRUww#JQ5> z;OPpD%7Hbd2LZDEr$~O3Oy5)h83cXo3Hy3chyO%%Q9&PLr1u{|qG=u^W02X@^t8=) zcwA!{_H+3o5#d4%p$gN?7N%kJJ|RWizD_lPT$1HV)o&n&)y~_To?!m%awf0?%Lf%4 z&Ka;m51qv7v@OKKN+NFsYDd$d7`h?2cLgl4BUW$f;U-BwnIN*aR=k;d1Y6&CAS!sn zn|##qlDAb?WZOs}^F&K|Ge@)_lF+fJMq(Y_@;<%P=CMvpO)7>)Sfhz4;A#q;cX2UT zmeTqUJl)&uzf>hqG}X4@P`$I3<(}Y?hPy%yrwwtAO`b#uARhp`F4VLqn^jj4e)q-W z&X2XtA~aI(TIq1QV~9TMVgo&FnJn%d?!iW*%mBs=1JAKhtI?g);Zrm%Tfp!Lg3fu2 zEea_TD{6=**IFAB2h`iF0alW?P2{zYU`7e@S4HQv_Rna#4i4F8U3ASU%v@xm~D)q{+Y2x6$ z^!JRwDYiY56o?t*^MMaN$wEEl-(q}eIZJK6!vK*u4#!E1jv(hci`s55 z^8WTjsPB+*si)eRt%Vsn=|zh+FC+M>f5`wV~QH;(#M3 znR!>C?HrF$LXIrGEGlz)u}Y95I`D!uftSg`z4}&7wnig<5={xTk2=yKS&97L5t9Bk zE)!*_Vuj7T|Gxd88mvmpl!8)aNIT<&`Qy9CCVJrlCy5ST4lw#3kA#2d{;#b3c4Qnz zW22Kccykp*EZA{7MAF3p?NW-SetsYx1g~~COn0Jc8&I7P?065vjd2tjC)&k1B{?|T z!cCu0Cv`%}3)w`qVS{3Tc7JA6q-z`R2eL8gqZmf_V*Y7~*{u*Dwhw4bSgRb~Pm$bH zPEOu)o3FR#c;p0~8!#bV@0ZxgBmB1`B?lsjEm!MTtQm3qi+7L-?6Ak=D;{&6rZrs2 zpFhJ5IevyHb@^S|Esj2%tdK8V(crHq^U?I2qq8)T1m5D~Jd~r~|F;wP9y+p!R!uO% zfU~u3ybe#5cZu|p5^Jp;iXF16T78d$k)8+-Bzmo; z#PyghHS+%THZExH6aH$R+6Bj~wuKku2Npg<@I0LXo@1>I&*+!v8wI}X1jH5Z^yH8c zk5UNe=KStrW(>13D)CfA_1LxyS+D^g#lYXpt2Q-s`Dj(;($^HyG4y_dd4(WOJs-8d zrUb%&{$H+jLa9pl52FzkW4fqWzVzuH+k6yTXTlZ$ZV4fiBfM#y`B zHZXj)vznR^=0*@g!`N?kWf?GP>pQY}%A*9*h)AwKj&##!9u{z_jrL#dla-6*9p7`u zJWc(p&SNt*Q_77~mwm&;gd;P9oXt0KQSJ8V{U}fu->|s#6u%@wGlivuI8zLzy(QK+ z`h0?E#W-uN2~`&eu$`ffj#NEIg7+cGb(+GT1AC9G2w?1LZ1CRb;oAxb4=IACzQ_Hz z*LM0l?Qjsr3YBX0JHwuOdG??La3?oaJsXy&yV1-L) z$Usu-)ZzVPhY^iyO~cB-@q}AfsWXNkI_qMh|8av z(WP$Wk10I)2!UR^(5Y73+IQX?E-Q;?_s*1`$2OBdR)acxoR|Eh0K*MEqbB`B`+!^W z$S36`swgk$?ag4z~MP(G#lTFOukW^jL1%;Pjk>ywu_Qwgsk%DR^**T8Yl9WcovnqjWsO6fzwfcP)%u!`or7P3gEtI3#8JHP;IWf z?n0E7pN#Q8(}UBhS`)I%_I?@bANiCs^@h%UoNsW|Gzcyc6-i?p!V-Tq;P4tfhp}vS zf9Qs+DG$3Vq%+)5J1J#d3HbE`b0Ty7y$p`O5RFV>dlp_P4 z5iqlzT7=T;>U1F&*AGM!Uuy~KgNP+PgQmUd4V|AzAJcQ0s|T<;&RV|2@5G)C`NWRs zy5O?!E%c2I)Bv*4^hd0cbhh`e1!5W8T@mjUqKYroQ1m81TMzF^O8lNSntQ*Lh4W`C zTDDyZIYmZ0H=6nM1n%hNsReC&)Y&n$Ez*(Kioa z8?zfRx&zN{kR-3M;DQkHiS$svb9*4!d{ z=xo)CeH5swwzjnQB1qa2Ypz;7zE+dHfv47Bux37t?rugq6fCsIfo30H9kjv61Fd3 z*-2NVFfd%7?+Y3qTyc#G6gg2BD@TQI|O1b9N^)jW0Glh9zU{7F1+?1%Te$rHH z@$b7w#}qK=h}M38g-NSm|hmN&L`R)=R_%HLdL z5)|RazED|Vi&khnMPk1vHJ6H8riM7{U)-~fan7OOHI3mtL8(D|L;teKcE>nN*@*7l=s)A!-NbijpYNa>wg`i<)V8B}sex@;`ma1Zq#AH+t>x(xKVx^L zD7{fIqVEwB_Zh3Lq+sqHc>Kt|q;3AzcUDSi+mF2qLjF1KAt(177soLNc*tl}zs=(m zzTxR;x}Nq-Cu7HFK7BWTS@Nv`VyW$d3SRo$eEWWbxAFnOg5G9?YPWQC|6{MDbAx!W zdI0m(EI#je06=!iuNGY`h;f$Qry5is>n>4l{X3!Q4ex2_8GR@Epv=NN5+8hp>E@ZjO3ecJ~C zt%{(XwNTp4AWct%I#IQ^3xPYgkORP@?||Z%qKg5%J8d^0KO)+!7r_+X1+FH%b(VNc zY$TV9v@&MEbP#Dc2INUgvlA(-PbvG7BJL^&pw`bj@KduhAQL?~OO(BE3pMsDa8>k= z@J2=y(Zg4#Z6es%^cN;;@skm+k2C4|{sA>R54-n~6l+j)`7gv>ylT3!qr_(%4DM(P z!lxWd#2-2R*soeJH|G0z-}ul~`JO!JIjfhMaku=l4sFy#l^lwSpYL+ISou+V?RF12!ey|&?wHd*ibw-kTt!J9$9+6 z2-SBb>v%uQuTyKebADq7bNod7B*{6^q_F%?|#q;RLB^nbCVB*I~_OCp4b! zsFv;Zf&~h^`OK5zmeUi9R_T$(vxPEtui@BDU^$BN;ukt}|8lop7;eFSP5gUDlpo#u z!;YSIk06fC%vf7;lHf!(94pjkIXdBn>F4foY;>%hep-G??Y^K_9u}NMss9-J6y1CI z*+Mm7;#t-^-HCS*{ya8PW=+4sK9i?PkP33HN_`;n-AfB?m${F-xl0w3`l(MKr-C2s z#q}Jb80&VprD}HcgehJGD2NWTItQ3Dg(fhQiPM#qQq0KwZJ6PnTNe}VBY3b^oTyn^ zVBRuAD;-R2y%1QKY7F@=UF}a`AuYF$<~H*dnz3|!6*uu#QrrfiyuWM!#@zv++%+!NW?O#rUtIxuK{C%M%eftJk(Wj^iORfsthxX8U<|ulxgKR^&tUY0Ag~ z$Eg;}ASW0@e{S=wotF_E+AwT?C6ySoAczNFD#onj!E~EMZT)|eIpKDhWCl~7^YhK1 z30lxEPK?OU3{IYOcFctwj2ZVh{d5`};=d6D>*89Om2b9R%qFcv;Hv_S`;WhwJcWq` z?WKYke95+{l-+=^0Z}~q>#f?{R`O>v-KsUlcUTsG-`NSbov9C$+bbM^L%@MXg)136 zQ~FbD%DXh^RBldr?VTqtf(m0t*$e9yx{q=oRta)E%FU1Dz_(GK`-rWbXOX+|DaTyx zAxU7VpO-(0qelT9{x{QgRrUl#Y!D?iS|tL`NVeH>%WY1o;5SrsgMvl-THp4p{!2qY zvQu@T@FT~++HtJZptcgq^*Ig{IA~xOB7h_OL zEVW*|YdH!#8CGrheoO>bX&W{ugXgX`sNbicP5$l;G!kfjV53+3W{ zR^w&*s$TX#l>Y3bRZhbaC-sQ#z|8p`3Fe9YCp=_PSM@l|%&3doRhActOBJq1Ql15Kl06s@3P8H!} zVN(5VqcLNKdfT4Y@4}fKPh~jY5A3k^D0Ci3kaw=`VeHzRsZ{ygFD04(K>C0I^trFt zDETIm@$6U`!S7%_H@QrOn_NlJexUVApx`o9Zv}~AP6<#wGsp0kmhFtWxhj}rgo$2i z_J#XX6p^5g$VZq@kB|tT3i^X%bx(4|FHLuEMfNav`Luf^>-rZ=Pd)SwluAE!Yl7Z~ zjCt^QIOhY%pvYHvJM$!tkt6%^dY{l@ZEwIAi0dfqnSHqo3@K%lx>=$^h~K?Yq>;bK z;-gG2>PlHdep_cBf3W`nIKL$TM!SY6V$KrE-E%G11U6lMXZbo`;yxys4yBCUE&o9L zranF>gJY|*%v{>!c+OAw59gSyD0H9=Ti^t{;lTn216Rp*G z4UWxl6CaKprTYMLYJoV+G7kfK`;tBUGX0D9a4j>SH;_S}Pk#Wq2wA_=i?=`0ufx=9 zt*F?1^r;mcS;c1H1gqFd`VRQKu7Pt}Bq_iFMODcFm>`t4 zu_X~z>Ph09=I)yo=ViY@f`-TR^l=_(yQ4Ue4N-u>yqMH~fC&6GLdyhHj$uZx{~g_y zn)!Jr0IAShvr+hl0#OR$jA_gNFw%K&lVI0F|G~gw% z;G@r6M+pp0wtY6zq%7K_@zSR4EZjg^wnQg1kG$b{3l;r_g3{WSK};^)bFElaV%fcW zt(Zo66}}Hq5BQq>E-nRA7r8!>^Xd9H@Jllq*KW!3&qkc`x|zlNrD2ONX&qlF5X9GJ zV2Mw!93+jjN;qR6Y)?X`E;!8f&U;Gmlf-Rn%(%xFpRQmRGm?tN%sIM#CcZmDsy9SY z?+Y!^e?#A8^}cj8`im(Uo?&jHPkd)ctAzkc$lOfNx6sg&J4iB@dHd_sN%|l5HU-L(Q6sW7e#h zd5**Pq{%<>gf#jNd?jWTREXJF9?xRE?GCz9gT6bH8t!sFaCa*F_wCbnV;=5KqSn6X zWW*6RL65#Zkh&^;?E^>h_dsYLFJyFI3XdE5sj28{SQkT;?W}gc<+u!(FxnI?q4JD? z>n^{P*4?gt1I@hep?!IUBXMfiH@4@O?DSfYq`|z)j)t?!0>?#`j`$3c^LTEna8BWs z@_bNXzfyz9YsGZ@Em7*iZV~HL-SCeK4t2W+9ED8dZ~52i9(^`2LVBop*%|v5tu151 z{O)Z(%&B`v(sd$ozquD2nXq217M=JZPi&F0C4+a2cRO3~C;US5w(qn{_vx0TCbmTI z$9_EJ8+PY*GNt8Q@Y^0CMzW#0Y~8!41Db~W%P`_Qr|hfE|IzhlY|yQRgj*7!Htd*D zpTf|KdS$*>R^E;b=aO|2(GsT2--oBI{8nUBSN`U}94<}|bB`)`Hk)A@I*Y;`U;4^_ zk`4yLrg$^V995v1Df5-8`*CJRp9?$cp-tq1-0AF#lLCKZ>FhJgI)OD6smz ztE_Kede3=wX>*@^1s#wbaHaQAVUKg4ec|f1`AX}a!9Bo=_#pG3k1w4@*T0jbBz)QR zi+j-Tyzr3-zubN+vzWi^z9u)98U-qFa_snWT^-NVV#{{{H~$mV2=L)eNcomu-b{c-XEJ(b_IwpQy5u z1|Kuyhr)>gM={KO_477YMDL3$csf^6N>a~!0({qY-j(u$rq;QZ?>w=?s$k#Mc+WK( zg%~!JnKR!8nKOf9DJ>J1z+LugA7I7wI`fI*H)NmY;;j3@t`a?hUP$)95};cSf8o@l z%0gQ)h+RsuOK1Ko$+l&QxhzW9-EPQMJ4+0Y5&`haI+n8en@|yBBXfYnaAJX&-AjW- zja~&s9Sk~3Ly7;c-DlWy8pR2ByKz7&)3sdNkRw!p(Y%W{RQ{7w7tMz9-e{7-GeV~3 z$1*_Yehcs}fZ8@YG2KC5NM zRd$Yl1JLf>V2P)0K&=e($q5y;h5rco-GJ}a?Z01rrl1wrV|EBVKs;_(!@zQ}STEUR>VExEj zohnW=tDfEDf%nj^I0{U0DYVUrt6Bw7_Ocrgxhh4?1n~8_az^CcJ3~=$MyWtWt(V&j zZX4c+ln|OqTnp+56(n5p^)SMwB^Ydiw6RXK>!aXi;bkP5UEtGDiDHa6%3u13d z9U1v>Y2s`Hta243p=aswNEUK7ND}m~9r>ftRVc!6++tKUaocu6oNkIunHA$?O&SHp z&iwg|#W>-vU){Sa45S2glqUrSn_UB5+Bb`|dha)oe0U!74TaavyiI-9~>Vm^|e zMmBryS}Q2+JstIz@Uh!In!Z2Ajt*`aL_CfB0FpE|5Xo56M5XM%p3$|h*_W9x$l0ML zt(b%eFu#~nS$)FCQPbVQNeP>~Vboj-*Utv|a>V5y19c>$KAH@|UBlyeY<127jw|6I z*21($cO3O(+CHx!GGGPwB!4hM&Fu6ZgpTg}yxFw?@{x~!sXp=oVdL`PrRy!t`b(JG zOFTU!A)Uozby2M|iLWayc_t!{ebHO2%}Ql2Kt|P-t5=1`BF*c@E;*Y$Hy6M5>@mZ% zfOi|^G6%Y<)YHY3+(L(~MLSfVYvKWoQs1}6o~nI!|JrTz8Qg(GN-S4 z>kLIxAaM`<9icJ1OZ2IjrWO~jdWNd8fpaF}E1Bo1zSbv}qdu{ExCqa)j2J>6Xe~a= z)b>|#mIm#%n@-sh7q({qFNVDfR_C);4{dIY81F^C4DX2kql?I=2rZi5$>OD{Zf2@`9mh1w z_Lr*rZs_MsrR~0F96>;z=PXR5H=Sf4W&XPLG}0bY(n?g_^+y2)in2yv{sPA1mcc@i z&%{T+-%#SPE!zy1-W&(w2)44T6W@Zl7j+Z+D?@r`d%525cr~Ct>urGq|o%5YPTFyAekLpX*tX zS~kz?X;b0~QHCoT>(j`n!)5(`a04MVIq}82dPVaMZ^#+}Mk`s9wqHFevv$fe-rdT! zf!uybxcb=dRY$BT6OeL@bJ&Z!370~zw<`F3aWFEPetu`&zkCc`p89XO2#$a4B?>)m zb}$Yim+fc6ophopsato>?tsiW_eNBPV@m3(EuOG1EuR_-_z~>utD-la9e8xb$YSN3 zEnNuPbaEHt@uM04!o1CiW_m8@eXZ3M>h*2qk^UovjvU#>fLm1DFMGoR5SFRW&#k@P zH1TBUa-EU#voY|M9Bd;h!i8_}Zk7b^f)Hht#lH-f4L08C@eX`HZ&O?6 zV0(MwvSU0%IQ*eNoog6U2Je$3>?}ZqnPa#kGqW>I^3L@?K?a)h97di#+yLTVkn>%; z^a`QL_?pa4Qrxktdlb@k#aKchoSH64a;hdzc#0VN^Z7k*yDgWc0I2XId)inKoNdHwShq502i_-TfF3J&SLMxE=krILWV1cqaUyK?qa^gEK$>YVwbgH7sUSqQ#P!1Z zbJv!JP)F$350e73XqD=l9>#SpgIuGe^F4Vo-E==B=*sqF04;=4v)_{nJ+(gWT`Jwp2>v{IK_+tk2d100HG(j>D#76 zTa65a2mhtwy^Dl07WOGhJD?MLc;XAtc?9PJ2{HV0}J?Ny~o^DV=!5Mm%s zkW={emXvR=QlA-KyDEoRy{8xD7cbHi_=~A~eEV?g@fq8>A^oNwjNQWrz~zYx%|}Ps zb56l|_l-FUi1&ew3Ya5YnT2h1>}9)2Ny6lXfTxaGR?C@HYU97pfD5H?aB2x*zWP4mnWJOW5+IUkMzvKr?ci@TD*S8 zmfQC%4Oth{T0fC50A9!rW4>(gnax0)FyIxJ&@$UegOhEU_u4K^Cf62<1*E=Kd9qZU zFzT^c8^5YP8mr9wolu|r;wxVH&&+O%u4!w^Ch+ul3S0qOZf1_UWHEGc474Ad zcKu1ieLyCnoa2(du-$jxQ}#`)c~qMVPDZwF2+H2wuj6(2}6 zLBd@scHFy|yZyQqS2KhEqdH&Gxl>5BB{sLDC~WMYvoxUD_io~hR+#0vtH~p6{{C9E zlIg2HjSAB2S8^i(OHOYPk&(mdUvxUq#L9j~!B!FlaJR+I*PJR&V*cS~@+g(Q6hQ07 z)XqFJsCa%wLZM>}a$%IM11sOLGY4KON1+mYj(kbPF*?%x=(jKObo1eTo?S>iHX`hn zq%mOd6Ln_d$nVNg5;HIbpYHJvXL(Aod_yrp{MD}c&7HkyY*N{`pFTaW_YQZ52_f0C z|E4lu+XG6EabI^ara*2fs#7uOBe1e2L%-S-Gq~Go3Sx%fI{R4RQ!T!+C8MC$zN`;XnIcC2ZRd5rM865X z?3&Do%n6G9Enyn#r<%dC6y1ppTI3_@XKS z5$G-3Z67CcT%VSW!Dmgr$d*s5`cXO!k0Z`BnDUIM*Y%mbbN_Y?4|zUhkh<)EWrw0> zc;ANHo2U_TWDo%$FoS`Kw=A5t5}Vfla3GK`v3iu6NGn~u@$2c%oR zdO>)#s_aDj_g}~n24QZhAVS~;)WI`Ubp`(zNpt_5-~q2LGe^0^{$Sm4qG>%_NF5dc z-x&O4r`V6hrx#AGPyJ{u$gkwq1SUBl*~Rk|VI0Ds0_~c;8|{Mzb~Kr#ENv@`yeGy# zcQS(0x=(bj#EY&4fo^wfI_)F$)5 ziPFMD?LFzFVV4{c-(aogGtcYw^gEj|nJgC^`I2aWstsWj{dDwZhFwG8&5Y^VB-4|l z@@@)$_Y{~_x37o{k9UKs-mv@+a`T8w8{AJJ&b>`p{)wJw zvQDQmKJYwJycsN2ueNnkCe%Llm}?@aw>C?469vR`Gq2FA6$SbXiOPhFubZv#>%Gs? za3-C4ADI8mzYTP>!wM`;IJfQ{)Qs0sDY@rC`f@6U#&-*JL;4g6G0N$ZWnE8wulT$&&%)MLPsoG;|e?f+R77Y4JWGaR*67vNxGY)jc z^7ouQjIXUhTP3dodi*6{#^xi0*g}B`FJzGnt>*+oBu(crD5LCFgf#B1Uw8P8yD?e6 zk2%qPs*j+CdNjS9Vi;JliNU-psXnh{@Q_QQ9KH{K#&)k>hWXnVGzjE5F79H|>NxPHt+oGP~u=;!Wf;1{6Xjk8*(Ml{b2gO=ewu;g$f}Jy-R^V*S@3~|^ zMl}$NYUe<+V)2+@OLL;n)eNdJcxh-n^s}X4pZjmEswc&2kQ>q~iyn#KRwW!F&qRX< zi;3HAHr1Wqn4)QwIX|Qj9rh1e00v81NH6}D!BkbG(v|Hi!TV){_stm_Lfl8|2N0Q) zZjbQ2h!4{tVd#{wqnZo<0N7CVH?VIzc9Eqn`my$x=z@k#SGCGK@zcK+X&L69nO?v1 z%$FwlIM-}5-Q{CN$NQHB+qQOEvqH}I9JFYS0t`cVp{aTZoRNucz>aw3Kc_Ks2`Dmqq( z4GY}6BMCoHy|>vD%g6dfbfRb-WR5QgMTT^4wT2YTqft)D0i&JsC>K8=g$Gs%`wgqo zm1=X1kw?gz%TUbAw$4}P9ihSpr};E2!|HA|EHfFf>iXq+lL@Ls>C_^~KO1aA9@y^O z3Qu1@LHlYtYfpxvgYt<1{yyffwP3>1#Z$v*3|LLUef6T+Fe|v;Nm)66Mh|DpdKh>m zKjQ3cht9mmRUNudAyGGfSkibJp%o|@&e_vnf9VGh*;)un zn6`9};ktYO=G_wb|IEF0SX9yXH>$LBgHi^ebO;PNA_yu9g48f{N|$sD-67qeA|TS; z3@~(u%uoZ;-7zr3FqiN5{k`|T_n-Us{cE4IpLNbzwfFO^b=F>=uF&QNMw%&~{y=#l zw{{M~VJ=yS{MWFd3&tVuaRF=f-v&<+1QIE#B{@8915Ha4g|6*ku8M+)UD+X<%hR){ zdY5WOPS-1RJ5FfOgQsgyq?zkifmIq1B~)A_OMA+912{#rFUXT6qKx8p1wpGR-`~ zIK)M^;a8Dg{s)C?`sz1yaVC2Kh_jHV!j!%9pZnprnX=CHvq87SAa|a9*magej#tHf z>60J`VC*z~-4^ER;Qb*zifQQgiH4<>R>jBs7*|EnGZk9i$oh4S2Td0~vH1s`KuMh}kV14n%gk_rgVn{xS_U z$wHmJxIKjY;J9fc-~7HO3q_QUx*=nl$DZvT-Px^T@hnxN`jh(4;g?K(z20lJR=VafMLl3PykVpW}Py{@TiM zgWDvQDgN)jkiP|1L+n(ZCm;Jcd0_!VeKPOXdFO z)5zHb9>dMA10$1un^8H%%B+P639TP42=lBtfz$4+8~w*ks$b&^${=`_ds+?dIqt{n z-XcT~PDOp%wdcqfK8NvubOs!8*TZJ^bjPw4*(Y)G%GZ}3)xEsE@s6As38{^%!U-<7~k1;av{(ru>IrKv>|Ke1<6&@#jF0Zs+>UfiKsqZO|9&11p1KD4%%XFob?1MK*c+lIwa;wLIL#GW!O$lgmT9dG*p2 z4|Ev=_`mc*i}Q~r62crl0U`Fy6QtL*cmfzvzbu>qnfUc{>p$U9u{=R}YsoA@4B7irruzFvq<)jHuSQ!Sh4CofUTFn_a?~o|AZR{8cen zVLG1wNyWeYhAF<&dyb=)?bwaQR{(6zVU^z~y63clVlw3yyUe&Kc0LDoUsY5=jd1;Y zM~1j5bOgMy9M^V%Tk*byw=kf00$AIRUJdJhdc+avNd;^2XO;(5dj#@#zO-%e=?5#CG;}@N^r3dbV0`jyoXr2 z@0$NQdkJ4WfVociF5i`x(2c?o6z+hXH;7Bve};1eO0*GnJo|diR0bgt$3AS*A4fk< zp*{tL9s!BWc`W}1U(&CzzUD1VGb92YDP;QK-`qaF=^!~j33+UnANr^XU@NI$)8%k} zOF0ZXBAvr(>^YU)VZ)j(S677RCxDErD?-EyKQ%(b_#lrg_rYml2ROQv4_$jJ)-r#! z2iMf~p^?^%)@>Z~oLt+K+e{oohD4TTKU?L&II{b@WnqlJNc@vjJ;>#bAIG~3+PqFa zhGdS>-(T!T4^A;riDRw2LBL`A z&}tk3u+p+MgA6C%X+(%KB5OE&u_rXg+r|hi-8ZhStg7t%wcCkYGW6_Ni*Iao!u){Z z;0w#Ao158=GR}JflDPK1k4}EDxJn4pZclO_daGT(7jQgp_s7@csKxdol~0B*-Qk5X zdMe$(Z<6YGV@k8yL~Jh$zqIjMtz|(yrd2n4)UFpZ6p^w(~RvrD$ zXuWD{@Rpq^wSvL9Dc55`=MDK3l3HXvu40lzkGW^PEbYTt;?Gve#3cfBX`PMs;ao#T?qED?-^<;ajN1JSJgAT@ZFb+ zz0F~!`QSU`cxlN8BUh^CeesVj-N02?_MV)5GrM1D<87QVGI8nhq;6F0~DEj z#I`3V(_toFvEb`zi&;(XK(C*+_V74dMBTPPNejF&GHoF?E{NwLJ{d>r32}!zIJ)? zN%h5OSh`W;qoVZkrLz9dT6>-9Z7^8n1Y&B~@twe3)CAsfCw64e^`K-ucS_Z!-qQDP zMY-E8qpzY60GW=Kai2JMRQbgH19|6()}!06#n3hRi8>6MQ~&$ zPcnrN_7)%VbZ{46(WQW=Pb1X);<9mhZKmYuDZWd~Y6X6_TJ7$^x8nPWs@H;tUYGIR z$WK+|#5oK9dUr=9yP-R$f|MygU?;z+XR@8X$~M3>3x7P?nHdX0Exq69!BFrR(yo40 z{hV9Qxzz3LXmhC7?EB`n`SKBqyvKI;F@>OXeTh8pKA!5metQ4~JFP#6M)b(5^vJN? zSKN6jd@rgY>afeGXslDdxVps+)*3bz>{z4o&-_C9tCi2f5?f4ecE^L|y~fdx98i$| zKI?jEBXsRM;F>pgA~*{wRUb+sxeNBVD;x1QYCkagWH#~T?^cNV%SW%%@qaWB>n{5( zcg=WuNt)SIJ%(1TZS~eS=r`6b9JO7~+jV9jZ+duRmTr|o-W)acV|?ana<yMiQn+_YrlWSe^VDpe2h)F$O!9ilI|Am{77NyWmC$KSWV*ug!&7JZ zilA0C{TEYt2Ws%xqJ zYasL(cwl^UG=gJ+gY#cr-lnS#HDdeyA>IgF`|UxiEoAUEiQy3Ku`)3>4>h4Whawsg z9XfL$%(G3L1}0&D$p@-|D^P7jULw@1aubYES059YmM*VaIUxT|Uaz?T(6bACGM@72 zLzROQr<(31mDw>By{*J`8cdgp{7q#0UoZ~BBILVHEZA{yGLAjUuO;&Z4toTo`LEO_ zK;X9%)(->FljGgR2>;z8_4b3$VSUAd&F2k!0df8-h*=m^b8hbH8|F#tr+@+P-|w?Z9SWJJf61cdqcr|EB#?({=euzV-2=>junw zCZxwvE9&rk8C#TlZw#2 zxTjI|(P?W#mFf}iQR}&2QC4 zi|9OUesGyTAvib_vK1w>T&JEML`7}c%3x!zDWFh%;ILa1)HL#WyzLRizIfKRw; z_Qm0zYEM{cgam|p3C44bBWbp6g0H0~M|gKr$Vpu$p%n171< z3MWTK+=BHe1s7#m_YD=*bOrlsMOEXF$WyGUF&I4^*JXDiKwS=*s)0lKmNsx!>6R)K zUh11v=}`8NhbNzpF+$tNhQcb?oD0H8C){1{>#OUBS2U20`;#}4$1~|G(+O*(5DMVa zXVq6c^&O6EJ-W~bV)5#)?Q?P0``vr_RZG_HWjs$DZ^``k12T9TziTa{$-X_h<}t4f z;cNVdV%T>|m`cHgZ^eIa$gh|06RegW=1tS~YQA`gVc$z9Tm54QGRNQx%XlXlT7dRp z!jjlGIoA5eygp-6z_@&@=-7{)@hJpc-rNC%V?UqZ+41Etxa#}7?@8u4qzgyPHqKVY z=!*=aLkcS`)h($kI2zciAo)W^=EBV6L?K-!cLUR7)WiR|R%3ZOj@|m7d7gpuD-+7& z3PK;1zK(UmDWGWBFyqw@T`|e%b040;<8Jd3SMu(U~ff#<@dueP*J!W+let(jwB$wHmO*hO302a zXiUUw^Xf_l=-}1m*Yh965y4!}M$W3NKr-xd@A712ny2oDCvZ+7=svmYDB)N+PgiSv zNXLJb9L|)iYj6irIOz%F z3f3%ag>$@huB4*f!b@1l!^tvrgOT1F^KKx2bnh1L7T~w>_olS^Qd@xFBO%eH7^N=& zyQnd-BtoB_V^))=a}E#ho(Ya#$@%HQKD`(UA@$z8F-h>ePn(C+iXjSF`PF<1@ZRs; zvWK|fq6c_FmWjA!! z@r;Qbp)-abP6T^lW(HunR@ftSE&Q*X|Mi_5%eKD%C@7pPrI_Mw#(64s{JvHAbeFs3 zoy!5@{I+NR3d%fSml^h&zoQ$w-{AmA_fBn$nz*~mi`d5FmQvaIk58_|iY@l6cmJD7 zTWR}c1`wPN7gP45pJECMTKUC%rPq|nTH|4n55Xg$dq>YhdER}c<-8L= zuglrido=g%MQz2FcOQDu;qV{I|K<1p8N4R1{>MINnR`M~Fj*VGn%}fZQ=r#ow-v-W zZ9?L|iokm+PqgDA3?N$jIHJZOnUbJzLGqIE_&*%taTz=oWhUoQGW#zN4<=;Zh4-xQ z{|MN7@z0Q_OYu%wJ?ZNB>bduCZ(g1v#rp03qy2i_N95tjo7w-H6`1#sSom2Y0<;BS zfRSykh1cc{MxFQ!9|xDTMgARovSj;z^1afwE)RPk@?RtUKU)8P3HE=I|NnwyWxe@C z{8iH{za;XzJV@IA@U%immus3Q!8|6gbOond9~2w@IK%Yzsf~dLULE<}!j{aTyfr$< zyRP`ZWdN`=N!?jetZ0j>u}(rn2GT5kCu?!;Q9c{%_CvL-sQ*wJkvn2)6po9iKsslJ z;bPs%TS879lg?eoTm72?cd4D1%wyz@l4|!81ck+zjhLPMOILy|{akObaFFu2bKA`~ z2zj2o_mU2Qv~{4h8YOBhnN!ZKO-`OFoGg5< z`np$0bv`{u;Sl^-uJyXGlw9c1$-7gBVYKBI{WPA>_y#PU|CnYNJzz<8b1vewzqnO! zr2j8lWlI-uoJf|lb9UyuM=vaoifl={5ZS_8F(iUXjeKU2(&HhrW`gdrh15SgI{_zz z3=W<}A#ylZN>(_|#5(Qb6}AAGIX?2ElRz!g$vWub@*m@QvRl=hf!U zisT_ak#(MmqbweW^zilV;7NA5`918(^PZ7};5=E<8Wh;cpItt{LjH&&Ob85Ta+K}& zLT?9>0@JtoSF(lVaeG{yDiZfkI+>Pzvb@eYAHMIZZ4hUfJ zyLEzWlE^;0&TV}3f9{I^ADf4>lKnz$S>d7PIIrGtTCYw++2Xxfqb@^L{8sUVG@pnp z1sAif2sqpRvH&g!d;dA+v=V&j19frPNh)#>0>Ke;I4k5in+Gz@A93KX?XYmcm%dzm zBY1h#Vt8ZZ&~7gY8VwFs9loq!iT0>XEdvW|D&4O|-}cn}_|SW)hisXhcLYhcS>ZZ# zja{xS3ukl>J63A=F57)@7nO7E=pju3o3MAZ4M`1%-@ZoeE84xj3b3-N_&ed9?N7E=7)&TKIU3wZgY6~-^TkgvTR^hxr|=h~AbIk@fG zIfG7fFE(9Ed&<)zmzs@IgaieQ>+ObZpXA6C4(g9Q)uF5fNvNfD1I8XDyT~4tE4;C9 zN^9It(}!E?5O%a%Zai&35AEr>ESEaXLLDq)nxsSM=HtvNr*^_VN1Qx%{xh~>RihOE z7oemIJ z?6|{B1awkt$JJJwv@*x_s^F$8`aQ3=AN_W+Yp-Ta<%O4)V^{rtVk*riFbG&?TIFm< zo3FZ!H4zPO6qdRE z%=z@_E80?W_oWVUS`UWW*}T#+2aL(LA|!9=tTse`P#FZQea%?q@r|=kr#>?kvlAL8 zw!M5ASzmkXteR765{K9up3!8%xVLUc&FocYrpFW=F5v0Ail1MmZP2$`4&WHju!_JC6=@Rd@!1SvxNxbKwmd4)Rh4!WKOHhWd z2?zH}kl}J8>rl&9jlZ@Gz_}4|&0BMhZt@xRNXJ_3-wnvRhm3M<+XSI~ zds<1+!$kas*;XziwjQ<-F7t0?-1yj<6ic$pFDPTZzqFGt8C2(<2Ps9(wigS#+FjZ^ zSn;?)Co(>g)q9)tyE&@c#nI-7jV*e~$D1!ifgX9zKgYyvcnlKerdt~|p!gju;SC28 ze5=#~2hFzW+RsPucuI&skE-O!KkQq}yXlP&XMv~!h6Gu<^dx^K$z;Ma#MMmd{){xj zcH2_Jl3(tK@?>AGE~Q*J5YLMR@9Dg^{6X^_(_8rFMTae7==a}&a1cFFu38}jX2ksF zQ=``5Sh$^uTuzS*>9hjJ*mQWcZ90Eh`!0k3A1q?H!_?14)QN%Dj;@lfKKJ>b8Msf_ zW}8OM>NS5}>ks27J~ddpSvH?{TsmD954dc&(4Du;z^L%V~Rh5$m*G>~qr+L(^&4h7M~XvI@YM2g6U?V%fcXqtPf- zHrUbyh+LzYZ$fqtr4Eb;98`zy+bf>g2@R_)5gAf3AdTx<)KcB`NTmsZ555*7<4H&B zjjZLLyTiL*hN-vaF~zAI6iIT7uFaRx&)8}I{xW6#4xZ_eLAILq#>9$3@dWo$zgcprNkI&d?HTSlVDx`$MD~7F}e}p|J33 zE^UJPkhZ%XDy;UWY?MfTHu7GUw)??jw|!Garf&WQ-?Ji?>k9k;Ya>2NBG_-4OmMl8 z1X)p`M|s|b&qR}OOp%D)Sb+v>g4r>dkyOdcxnD@OdKJZSr|P%HdbcrT8#344d46YZ>l!)MD7y4WbtqcBEDq5(9)NQ>nLDDdTUJf8 zddyat!uTi9p9p!O>;bz>pXaMwq`>MJ2?kvS>za;f;yx7^?vAUdIqc7PH7B#MBo0bUd^2NiGpHnIbE&Nn_(ttmYooOsE;sR8V_iJC7 z(nJN)J8lS900;Kv2*G+jr4whtUOj)xL>wDc{2Ld-s`0xjt36y6!)#;WKA>6#@xGCV zHI6#D3B-f56{cXhs>~MyIKDD%0EWhZUg;^PN^53&ovZeX4D|!FpGiVq+`)sJig6W% z;d*e{A_MOv3VgQ9$-*=|_nW#E1Pq6Y*mW*j6(k1gQU}ws7%CG&0R`bhWXJ{D2Y`_=o<_xKR20h7F3G-;ZFPO!3MkJK775C$S z=xjxnoJHeVAmEooEasp5o~2G4F-%$LE=23Dzw|BC`Rk(aSao8YVjD;OESXI&yS@9? z2zunod6ZE|NJW{(TwWvGXWp0mTFMmO(%Y69>1*|&uOpNtUKBbQ{5q~VHo{~At(q%x z^A6sUtJbxL+DcyWn;)Jp|CQSGj#&jsZD~R(`YA|WKe76v=w8iR_}?TE^B>u>FrC?5 z9hD#%j$_i&vF?+y=z;F6jJ1en%r9u4$u+bOY1I^`1r&qzu~5g^gRg{kfWIB+L$w|4baF?`WLGA=5H>kf_JU+} zUJWiU`^7u8=Y$Lf6I&MQw`@#r?$t=U+!7NOv3s{v@v3gGdYR_H=cf281m4re5RYpB z+-7_305}OC^j&@)302ZhDM8fuKi0l4{tMAzt&1#VP`P@X!`MCYU6U`|bpLN@$LCVE zyw2Ek?N)iaxluK#lCUM&5!Q_F+-5ae(K(&qJuCdB4Re{C*Kf6rSS$`~=MLcgqx#SI zKWx3&vozOOeo_Y$08tm;5}!8%fBS11Ug_cmxshcu-k>6vgfCMtK#kmOIu zPH32923;HB>{^;`)rUqG3+30eErnn7V!<@Ul>f;X*>LA#;sG2F?HtumRfuXGMZ ztmDd9tBmAArj>pclhIz;o@@^*Q$QFdwJU!#E5dFOdsssQG)JZ4xV`gZL1XG5Q9f(qLzh!~(go(24cM8ynJur^hnWud?(T8 zmz0v}W$VW;-$if0rZtN<0U+Q<6N4Iyzd@P4NwM(9t9*d7%mGn`^84Es?OG9~M#>1} z{`cPc#_3K01dQ511!4C}5mc@xCHtUPL|Q zUnd&~z4xM3pFRx)A@i_cWl9dJmUTimM-yPSj zRh|#NPCBSka1a2+6sSGPLFr{-;+Ze@4FS5h@L(s`Fe;z@$;Gtp6Q!}8#|=2($(2)* z(d9ocI<)meu@tgY14r(NDNc|pRpG?3D|1>=1g~lZfXUx_@9?zpJ8ntYtz3k)GPq4} zo#gCHphU2OJHpD@DBw$9lU%OimkcY%C?J`9(O)1vKd4qbvaxTxeThDU+ioX#V zL$v)+&1$XqPQ%OI*@4r98_$EI1!{9&uqW_#&urplUA45-$8+$_VYn18JEyLNk-Sl)OzXxQ9{r&NK?*WO}$ z)8Kw`GQrQw1|-m8?j77HOZm813?*Y&xnRnW(V|REIQy4ni!Od3v30Y$arTKRL>d*-EA1K(O<uJ9feX16 zq@nD!?Ec?b&dV12A?1&eM?+Xsi90l8CLbeaHS>BQX$bspiaKU^-F`Rd6E z90oX(l(?S+Rt|FTZFew#h2@S{cXy3p9;zUqcp*(qZ%l^n)(7NT=`BOiW%%x?sXSB~r}3m=iI zoW)xc@3d)D4S|qOjogJTboCkeT>p#>B*)s=NBB)|4=qi^hWH~5nKlK5DV`S?iFXFP zTEv1sPi`)w+3P`94$fLr%Z7p!7etl!vRr2>7~p!*rl;TNZS7#xc$M#&F?J!ZbHyJ5 z7oX2e?FdJ2#TAj!iT10lPJU1C#|Wex8zAm!m5D;*M#M~+85NnrUj}(zlC079?GHy<8<^@hmoy&{JYJpSl#}Iq z=`XbHu^{J};qjEp3hkKOFH94^=E$tM&luwaIec1%5=v5Q81RG=JWaH7Efq|z>h>2b zHK2YuC^)D^JvP}8q;kOi$o;^kcL&aQW+fuMn3XzzX45Wif9lwrOA)Ri5!%GbA~9E& zsGUXxl4Y*ybb!l7@(6=B0i&k=NLxw&R!XNenZI7!#HP3xGAh11yW5P{Tu0*`&L9!A(C&Qv5wLoDd$O57R^GX zKi*}h%s`YO41i?PAac5VS4sBxA6f&-Ge(lxGoP!z|x?56=kL8c>c#!>I} z$?|nNx{1%t%NQ$i{w#!|xs&qhD#B&S>qXq>Y}cXmKnY!8o*H4QMMtJf`_#Gh?#C_# zFFky0RZ66mQ?=_Ca#N?-Je3RHtqaHE z#9jfR=RR}f*nq$@gGmlZT)+ix@_;S?8eMvimz}Zfy;EZyTX1=KYX!A;zDo(EPC<*r z`_R6zQq_7#YOa$<2_Y5BFSOU{U1Xi+Gz^??eBjw$WwM#yD>I%0wR)SkXs>=4W^c~! z{xs>U(45}E5`L*Y2vb;aVoLf;{5d!1TkwxOb-ssy$-1Ya5+JSZ2tRp&t`Vs(jqUot z=vp7y$_4yt!P(5<2j(FF!)#H&J*>dK$32MxezC+2ywGGsa-a}##G(c(`f{X%=c9EA z-S~8@HJej+aAq#rNY0+m`@saU*kpb_T8xcP;+Ip!&0}^B!S$5nuv1)Ce4`fSi)Q?d z6Wn)~odE-}3JZgnQZd9)>xZ&2dnD1%Mu*l6W%~VYQURgprG0?I8U_h*KyP-Rc&%J5Z_AS@ zH0GAK0&&K|+}PlFYb_(Jpuv{+0+Atu$m)|=$S&IJIf5^*jTUoNuD|6EpxVCVID{>B zv!AkkW&hUClKN6GiZc8;Owc|xjmhUBHc~+JaZK{^aOy0*iNSlz%E=PnGCr$@AK6zn zEC$NW)BAnz7Tqwp@nV&8l&FOYV*OH5b zT^I$)^IW5Ep~6gb%K9T2rhnF}tI2}de4oK{x=Ch|;_VYg=<3Sx;RmAc?`1C4RK{x7 z8osphRxGIKRyO?w-Daw8{*8UQ9NVt>Hak}^TU(Q4YfG`12JMm(8uz|{ReV~_TG%af z`oc6I!z0IW+`)Z*My+^>LpoVuvs=sJugN>pq${>cu&swlfK*;ro2&3gc)5+}*IEr= zwzuFmZz=4iEID|)z9yhP?XMk(`dUq&La$cmW2tsx&WiBbadKXEpRns|NchC2t|r_I z8r%Fh+~6isBLx*{=vv zzW#}@<{Gx+6h}FOer3{TJoViFo)nh(DVj@{{7nwwoJG&j$7<=+8hvrQ%hm$5MYbT7 z?5dz;+Xn2l$xZhq4^3ZbN zJZ$@XPU)Gx>o!hf%Fu(bbQWq?BilDlu6H$_rEx1ZcKU8)(D7Zxwc=uUn@;{igUZM= zlVDP}uom~2=&eh`jz8RT*#*x}YJIRBd!`jV!t{a8TKx1!`ZOPBg*=9;Hk0(iw&TBB z*OZV*$Vo==9SBC=4-qE78=)yxqx4McGi_x02nMYyGBW^WOvU8$2>gZk?~pR&;-5+G zcB#WXvs0TiO||{9LroDn7g6pvh zvs9I^wFJTQ1le0tmo|(p*~YUvRSx=o2nI`bNopQ5?*Ezr;1-7aTtu z051ClfNoVQoC7BN+Dur^61^^l z(1yOLP`e#)ViykPH9_hSs?bc17~@GS8X6$9-ADG%?*2UiF)E7 z+nkRwG<@Ux`mBvJS)}m^k+j2wz->)6<=wcL>-Ly89ZFw{jqo3HH5(`ml#TBO}0X;mzuYN$sdN_aPc*xsE;hnnI)c2g0 z_QtK{uv4roxVi#Nb;_mfiod)KQ_Z(n^R#Xtx} zUDB(0-P%Auz7Rm?gZuQ|;9(+&BJ>nTL@_hcJo6UGB#4;*S=1umAgVjd?nl ztzzU<$i#PYLpgSGqB9%lGLLs`r&XZ9b)3WOrR1(&0(!j^n9vV}IvZ3J2GAzpc%<3Q zyfYeQPhiX}wOC?wLrQ;g%bSxOmEeH$g%{3t#;;{wBomty$*Y;-+mSH zcFv=neLGSJVp70Gweurl>>q#TeH!Y5qi7N;xJUVEZf8c_HuF?9CR3*&i; ziA*z8)y%H)YY9++rl7~r$e{9=*Q2nwiKwi&(-l+XV7O}BsXl3!T7Lp^)`QRPU9TxJ zo~bogvvsp2*OayMOK37^^J|R}tH-N)zi+o{l7l|vR%b^4#tq>FFwhy^II%{J4Mz%< z8(epnt)}iQs^Qf}6ro6?MH`=HeJoY3JD)1@b^9>y^u1qCE{e*nq&((B$`Aqyvq@#{ zZQmD2k+e#}rc>`0>^}FOVB+lIm-wZftooQHTK)^c#mQ*6Pbpz;1GbPF2qx6d6fmJ~ ztU~(gG^ALa(Nxafwk?OwWe%9ubu$t)xh05OPq=_)KST>lmK;mvu)Ho7W@M@9o6#7V z=g%2`G&<{s)Vvpd@8=(%(&SO+ju$sM8BRugHH!2rrLnAUdY*bVm=cm`D?8}wM<)#= ztil|8)98oJY-<@J-X&dTlF=1svh=a>#OJ<;kKPcmywV1&Y5aYVthtUhonWo{Q}r=f zgUakugNZ!j?LjXNV(vuE*F2RQ;hu=}UvOQ|7dzXez%5^MjX{>%RJszCyk8#CXjn{x zCdqgVG@bF>o&~l}kOb4?5tJD6;{Bw@1H9lQGKkSDVtcoe02FQF{6tBsdiG3MiQ$pYbFN_t{IUN%Ew4 zm6_SFQ)o3-vN29dA~@rEvbr)#P1WqQWR0_d(4Y*)jZAwTB*SBgcWAidk}2BRs8;!F zyV(5Om-)|u*Uw1h@iJ~TiuPO>3m>yb%VF+kj!md1?A>T2pKmN<{oCeo`;f)MCwgc3 z5X`cebRA?bT6cozp3@BsVjB-d+T&v$$0l5d6<1Fs(5qOvkBdgWE1e+3KTmIv8TY7p zdlm43OxdGf_}+J;9fBLO+r(etK!)*mP4oZ$evvjv{&A|qfq5sfUvHTmIurBpt9|Ub zwbQ47eJc>r=jU;0Ac2BP&e4?mX&F^eaYT=F`7#?4Wu(zLCDgecpGKzlXPjHB99(co zt(ka5ZeR7m>V~nh6raC1Hs4SVCX`+O1&)=3xTqd1XMbOPL(^`wTbrKENl5-Bk6btv zzxZ_8fa$ukzO?g2GAKXAV~}K-EjogRH3jmXvrG#(`ZnX}q14X99B#C_7#4E@_OmJ& zwC*y%RuZ3u;+8J?hhvT~nNiRZXva0c)Xe<+PwMN7*##Loj&|7($zXpJdZTuM^`mYJ zoS%ab)=+W^J+Dg|ReT`$#G#XoRG5_&Ke1%-#?f!h6W5rnM=3`% z4lN5HOTcwGEglmVh=3As?aM7vjxQfU5!v28QtVmck$&s>8RIsN@umH1P#n$(Aytvc z+P4jr6d5{=O6Zy0z(w~%P#g|+vXXoE#5;sO;bWCnT6a?$|5=)kDrog~i2JEhwPrQw zNO<}-0;xXgSYC9!c%#=KdVc?(lBRx@I_ic;#C4xDPFngWda}u~jm~16ptHdR{UMph3F1V{Z4Fx5+B7>4WF$@6t@bQVcj%~# zKQEpC0zWOXZk53V$zj}e8ICv}oPEKhn=^(^5=z zb1E$g6zC{VmG0{my|?%Pfk-XQY7Ry#{rd+wlWxrGp@nQ3^}l>0*oJYxiio;22tRkx zYh2EUidr4J5!@3-b5na<4V}uQ(uoV;eRna_&ZyMpc8F>D`NgH+>+ddg{2M|q%}sBS z8TPBDPHeuB;#E)Z*pPPDRxo}^u@1!%?oScO>l|1lgO|hN++b4TFQjqHOz7evvnkAT3$7i5 zY*}+u!gh_B_irUD`iB|%%ldKuA`oi}wHPea5k3fQVjpj~uvx-I6lc5lvM1nlA;%W& zD<`=A9LZY?xoi{;3qkcAX53tH+%9ik8T+0Fc(mU}WJ`I_@m%A3yFpnGoNemTpl43Y zS78@e=tUxO?%2TQD;E&kbvkFdUK_ab%UCaR3|sT3E* zpy#$Q0u0ux4X9)@!gN8Jhu<~_Nt43vOWSSh2}`rB891j3!D?a(c!&E%)%Y8h_yRmm zZib=g1+OvOdcJZ0W5cbTBkV`nTU`id(8a?ahdWunTHCsrP5@fV+yedm+HTI4Pp%V= za1rY9{fIT3iOiv~nH2t2Iw8808>?)%-#>+=r|ov z@w?XJX_faHjP`Q1YG$5ng29>3h`qI`C65J9Xyx0}NzJEXZg$mwg$+b!~qyWFBAURDh--u1}Z z;7dyrXqSYi;pf>GzJI0<9K}D{t4Kzye5!P>YPF_p#?f{hQX18MNSlt0Y^JJrO!KjE z&&HQI>*UUmHW{8C4VVwOWQSZHY+cpDC-lZd#h*R(Kz^GbEzeNWYCRrTC`jkxyuL@D zMj*_4DR@;cd5I-k2h{QDj;O+RjMZiQuzac50rAa=u5%M9HE^Zym)zeH>G@+77K9Tvn z>L))1oe&lNs8Zh9hx-mDSu1;w@5@-0JpbvIT14q-R%DmGMJStpkAu!2g3Dv($?_K1 zVD?y`M&yrT$Cb{n&zgs4ZuW?l&Q*#iNz*EN=9P6pf`x#njTQAZxw1zK{bop~f3=7C z*zk*e_TEj;m8aOw_vqdjevnYI>eth;SW#SUYfs* zd#bOl!zoCG-#<0v!8WfEvK3&@+qxvL-MaE-7Q8y5BfHDRYgYcx-^tP^^7DM?Z>vs+v-AS>hmqB+=za`#AduPvya)#J+q0VKaPS` zUYu3K{7I&d$Td%H?|pfberj5UpPRs1)cYztwzp2tc`Qw2kmiFvW0-fhN#4z@)@y@O zA;%tK;$N83E7sBbXLr^tkYlEUwqO_VDQv|)V?HyT z>D7s-s!jeIOQnP`$2&Bn%t}09-LsMfqwyy$KIVJx!T{Sn$wpAh~nPo83M6t#Jw7i9Tbeq>XP9B=tqxU8B?&!eHc|A5Nbny5cQ zl39NI8a@%DcsehG%sz*u`u*~UKEqBOss{?bF2isOL=l`MBx<3RtO%iX%qNJk_0}NO z!+zi!+4X)VKI_#dsjp3s4=uQQGF4XfWrzcZEqb>m965|J)$4Q3>x*h)6^c!xTBwr4 z8u8_O<{jzT4tnK(!+$t?B}9-ReO%nEVn@DRb$*Sx(Xyc`-p`HjxcC>?0ocdzQ?3scU}kHBi2j!Su!>8*qxqvx8+X?a{S0vc zXed=z10f~QJ|$*FxaDXg8Y39S_HE@!qk;eYXEyCDT^{e~`9iMR!&K^LHi4dYjV;^L z*YbEy7coqRn^|uZpW-fkNlgTddrF2*$@l3Sxx>x`3WL23dSVo16HAm13-lu0OlMxw zna?%2zJS|4=1Ulsu=_)?d>>bx9pg&Yw)BP)9p-OP7+hLU1K-c3PwUv%*UBA|nruFF zgy` zeSoL;3ChD@#6++?v|8(~2}3XEvqHAKjB`36vj2;-w+xP}>DdO&%*>22Q_RfFjAM+M znVFes95XXBdknE1Gc(7`c5El>`@Nri_t~ob@zwr0ed@HNQTLHlGt-i;qMH(FoseA= z<>BNefuDJI9r#5&U-lO##V!L@c_))i?9N2^56YZslb@LR;j$v1ckct;M;}4m{V&K; zkEq7=Fz(ewe_(Jwa;w)bs_Q4JL6jc!-?VOcFp5dm=kM`n!%ohX82T%NWYgEfZIxdN zPx90sf2zza0E~xbo!!y?7<9-IoVMM+lSOF|Qacl|>UE+x7KhN*$fSPusRho&-^5u#^@yL*noYUZVc#oj;H$Tdrwh9!pP+!q>GqS37-!90aCJE7n*)Oh2cy z#Z6-dAw%x2)Fp_d<}&fo@?CQIFz+jz-d+}_bdQ|GS1#x&C?d|;SiQZng%37^596<0 z7!PRA%gAlIe|^G}v(K5nKXP7sb<+F9lLy{DZGD_)I_?k?p(l01;W>UDL+KDOCi)Aj zTj!kIppm*zK;!TQM*_W)kHdGx^{TVY`5e0w%pa4zsaGK1X(2Vz2GquN@v!{A&^!Ky za8Oo%=@bB0FgWHs4#UlnrAKD@PFmy-72q+-CLHYh#=zaG^L(xK<7waWPH+Qk;ESe^ zkto=<&?a$*LWjR-n#d--SAKgCW6ro$z_(UTr8_EQ>m=roFdzeDid7!2u2F^$5}(e1 zt^fwQ6mocFC>OjzY-e!#QVL%&`t)!T2w$a}F)>yFSM?C92UC`DUZF%_@&KCd2LaP7WuU3#X!_D5;`CNr(0_wLc{Wpj|g%N8LZWih_zBm;q zy*((qT#}5ollVNj>c(v5G=GN8A4^f>h^w}MWVjlzAg>E<8sGa8jKj6#R$~%W?$$u# zt=v=c-5(+;s-`*50>5TpKw#swhol~Yg~2FX9yI{`i4y`c1G1@vOZp!~6M#ZVcf;W- z8_AgCcqs}QYi*iN)>WH7-v?I4%9ydS0Wff>E3?Kmg>Tq+*V%Ai3T#&r2#lI?(yp6j zsc(E8ed-4e$p+V`YmXwQO4OrA@ASe-z@DTSM^q;I^gejD_ZadLBg_Oey=qUflCT?c zahxj6yjAsRf|bM=NKUANYuLBL_qHAN{O!E?Mh^s_o`4&#$8{={-GKz3L4 ze2aQf?~5}}iieD2_mC@d)0F$V%BDlldUagwk!C^@-Tow)_%0Xp+PoBUgd_FPx{*f|oVZodll|(^iUlF#BaT zCx1_ynYlhNZA=E@8cFmLwMj7YSQQsOsYvwIsv@{@=d+Q@Zek=zOb#T0rf}i_d z7MWgbRoC{Njh+`|p;GOjQeV<11h2E>>m&nlg#1x&)60}Zi z1|U$9a~$~)fCZ|!8mHI{rpY(&az!C|mvtXFHZd zj7cg+*b2(ou*w|&fk<@cs5J@x{5akGX4{8+mAocU?0{qm8Pr2d=d4=o=S?jB`ja2R z#6U@Bm%(aQC8Qe+!MG>LM396Pxh6ck^J9$6Q-Un9{?-NUm3#6n(k;@|3_NKBKVlh*L_Z}2QAitj(p|d{ zTFrd73@)aHj&D;c4t`!9g-J@z{9Q^=mZqUP%H#%l+2R+vQIk3Kn(90ot1(jyG%Rma z2)m|P2mG?)UGT5~T)}d%@bK?B+sG1mjp;gjpX2$MOufOTi3?FHybSV7ad96o^*sol zub-u?9EeiG_ZJwt>ovD}IW3{*^b&NsgHQrlEr&jFpS_bde!151Zl!ZvdREr=j1;+3M5kO=Zd%>t9Vf%xQ-V&hT*dJy z_#iPDEwoA`QSPS>1uo%wKXT=}-Y8@{%*~9q3W@!m#wwPicByfceyrvgD#MUGS;!*- zZ$r{K45b9CgOR{Z^4YA5lBVRszr5o;fnFMh@_2 z3gsA{<8aR?2aNub%Cl4s)5Ji?LIC%TEE0er_N##|>`DPW?;0JKNLdN7!5V29HvHAZ zap}@_#*^Wj`dx$7v}Nh=#Za9U;4@L}~CL_4=z-~UP- zBHj1rIO&!7jKpT14~PQ^--Gn9HXzEcK45&z zL%A@k4;monSEY9Xk_d=yX1!sY#RM~Iuz zj_WdW02*lmJn8tPUEMwQ6yk9FGMXFNx$zVYPg`!Yj7l`0MZC~%~iKZ@v%< zdN+wNDfloMi35GE%1B}X?)y4d^wB!(s7;%#4+_qdPV{U*Xpe!UD^AT|zl721hDD!` zPqs7(1gR_+nW72d8vVHaXpV={lWiQX`MKVY_s8BnuN_~X3Cssze7RpP5-MG*$4^6`off39tAe?psJIB_ zyB9iJL%I0R-@)E3vfx>9`{~?f8Mf~j&l)?4Kw4}e2IoI^`DDD$xO>LF%eam2O#PWD z@Ku|XHT9c!iG!JA zAO7^0uE)55B8&GIaN9{BB03&MiMmM8@vByR1iA!+fC_}WV}p$_5U#{y;1||qau_K* zauSkLGFE(WH?O*VC~ZU}G;8ydkjLoRKMZrdn`p(d1=f`=!?=jnmFt%Xz{&?(L-K`M zP*H4A_ts!RrX7O2I#a!i@B+U(`(N z+aqn3mAa^}jMoQdrzaR#Y!m#-yjK!R)85m5Rvj;JSuLocUHYn0N zhvXA=ci+0`HNkYq;Trmt8OqRAET!hr8g`-J?C}8D{LR3@e#L5KhWN3eU`^-j%6gWM z&wg@H2dLXwRnGdWYr1p(Wm`Q7VyFg|6_B|Zoj)c^(G42!qAs5gc^O!xJ`ojd0Z&@= zvQY&ie9(0lHLnVNHUoS3?}yR9rvrQ|EqJzxDcCKC%BJ+j3jWm9Lwy2~Br<}hHktjpPt3lt*@Vo`Q%mfG#0NZpa zhuf=c5=P&gXSE3N*FxvE@#-vpXXrd}Df~Uso>g>#>C@v4hQEF-5qM0MY4!=rJ+6|) z+%-or!@zO#bCNkucPZ3U^iF;jt57S%*jeb#!*J>$xSW{C(TdN_E*IupLqF89eH@P9 zbJ`|6T0PgU28odW&cXH0TgnxpfFE3>Bkgu|+J`BOoobPTL^sj?)q-xMG?+g@4VAEC zI`M%40SV@Wez?lfJhKmd#rQ>n3L)Zmx z=e{qGK|^~qS_o5AG9j6v?J#U;GwkK$q7RO2GbmQ3Z$XtQ;|*NFi$a}E#_w-_vR`M1N!0Pl?XyK9Y~0(+_4Uo2;tFPagiM?xc#&h(say>H zvEOpesdfeAbT>5ac`Cf2gkU%Wt+Fl~N6i*25 z|M)=HC12Zv5~q$vjO_v7Gli;x9Ug!d#2XSxtNiOXB()&H#C7CRYFJ@9>g(c^7<6n~ z_V5GLrd$GR&Ac64&mt?d2Di)pK(r<5Yh;R~gUryJII2sZj#Bif8)|o?fe`j}B)rMyW!K6P)NZbHIaLWUsm>AsH#yfs?&yIz0H; z7B_(Mar4nWsG(R6%O-yN{JCBi*X8L|D}~;FzO^k zRb7jKc{l}$SD+VAG0D&d#GN$q1YyTz0^FM!HB-+sTzU8WVcy$z5CfPuj zLoU^1gfQ0N5jA2tF#4v`7<93LGXwdvfCdW_VPYR$XFb^<3{)A#s2pYVP`BS>4(+_R z<{sgSTjn@d%~Tp}i%RL9$@T5(@n|D+V+I4xyr*_Oqk*(Q3(0 zTBexJClBjiNt@SK;9jr(xWkyKE1i!Re zx~nfyeK&Fo;usl}A>raRm6YM@-Roo|DU%i<;1t=aBHdvtcodC%{ty^aa_oA=9NIBvyeh-Ae#&rOegFmB+UnB-UoK}sSd?3AF*r}ze?>bfVk zMUxebjSSg~0Q3Aw&G+!O4}!wy?bF^67Q?EFXN&!2j4%YL^I z%*RJ3AOij1E)Ts5DGO?`int3o&9|82#-lL`-KH}c$*Y9@;ktdbv?A~Ts(XWBn;F9W zrHt!5=mD|f+4T*->V5-k^gopHJ(f?%b=~dLqAtbptLg`(Ts{>cav9B4cr@1PtT3ts zx2%me=;e&fzMCM45j7`OFw-013$JC&6`P%c0fk9E8*{vYb;kOx*13_1z#d8X6^CEd z#`@i50j+{G>JJ~Ixy9Drn`%0nylZQFo7f*bbOUF3ExPqH3h~jB!WU14FsENRE4H^2 z@kQ>7j*+j;gA{C&zUbrqWY_wBXsKb?PKQY+pm(o_`wdG$C->&@%6O}1Z;E#ENFMu) zxK6bM70(<(*_4wtsBrf>({Yzj2RlK&ij@N0iAf|j7`5ePc&5C_O|Szo1tjvkzss4u zKSyo+S4fR?Lx~pEI%aSm%#y<65}*GNkVdysnyR3V^X-DXKaJAt0Isc0@0^%B{OsuY zqxe|P zm7D))3+@ehW$wB9m5dF2OW*MM50`*kbC=1*k7dsO9wB32X%h=Joz=$lWF93Qbyw1} z?Yt;DmjkNBQf5O8v_PUQ;8d6%m&m$d%(ZSz&GHwDLQQ_vlwXZbA#`$*kV-?)Amb9+ zqmgC?v*Wy&sG(0hg-WmdvQ9dQ5FXks*@fa)<28XrCU_%O~+0?#V??AC7~&e*PLS!7_N2-K`1u$U0{=&V(k#A@B<{tgLfSHEU=1b!zAxp zMxv!Xj{e1Q*Y%X5#UX&oK|pszel>ZbIvsgS<$ z5^*>DT?NZq1bVkDM@utDDX*%FrJ6Z^PqV3QFGc&YVZ+D19OU=RVjr;3*#8?HJkUF@ z8QNq>q)~U74L7^_LB9S2A{W6l^9Pr(+$8F2KAB+6?JW03yV&){4VV;efle+#R1GSp z{@6qs&-`eEY35qx5AQ0lXIf~H6X1jho3{L{eArGT9yyw3Zi^<-4^2DM(UC=Z_T8<1 z83-)4B$5M^|Uho**4ztQgdUloK6w-Qy>8K#`oB)4K`?ThDz zR^W9Au<%kSv7cJFqBG%Q|3iJP@WH}gOkizIT)v%p~Wzdi?tg)y~Xi;eUGVD z@cihGE%o^`ByfK+g0XeR_Q1F>{lhrZ)lPm&~H;L5y$1jtG>;Ugy7T#col9gsYGx5u_sFz#&wVf1}yuO>_ zfT{0f$N}Dgm91>Ot=H&3xjmK?qBI9;%X&j?(qNu1d11s*A)*4SBc-CG44eeVj0J{U zvj&y>U2;KR^_RX|cUIQEj{W$HTi^dj@lO5_)BwepFvg`vAVS`p86s?rnSa_huPG(~ z@7AD_TyALF?nW%Dtf$MLLbCqZv_|bQ4`iXXoQ&Wt3Ya;Xl=~$armCyfWOL?&>Fd-k z_a$$lKrmWkC%4Sv*|L3Rg2eI*gtSRsm(m*=cg(j?Q4`ygVqb?$P9^i7W?XGcEYMDw z=oOtuR|z`hcFLAS%Op|#eTbyahqY-o7K3no$O-SiXE?dnOBN6BJYx~YIN`de5&#h} zeHg#eCWzj5{2*|!PkFUspyXhWSvHfW20-SaiOJp)n#d7pdW(-EoK$P&V zjomIReYV$A(v{b29o;P*S-Q$>eK)w|Ouz4f+d|T6e1Qmnl*Zlt>Poxo z-`%Gz)r&<`i6eH6wTx%GOZX}ymK7s44h^bx&!;9etzLD?zjb5SYMHK!FBwjNayIsm zr4#SS>}>YQs1(zw$~9{`k27R*AIZ@3B<;~iH9-K{Em3U}Y^A!{o4n^^mm=0^D;#ij zww`CkJy`FVSLQXPBpaO3r&aDPu&q`vNZ&qd7mV#(vM*yFVdDYPK6W+<~y#DnahCDscy4R z0i>BrauUp;#(>{t>-b~XZ&YV2{0xhBDn*Q1uW3E0*sr0l)*GWZ>VKLoaj_oUZm3i! z@1NGXWR?%7@|`SO=~jwtD)rK}TQ|nVG;z`gDQY{C+(?Dp+8Dt`)oXVPWiK=xk;|@! zY2WUzV&~;D=ZjFi{Lem7K;?3_|3=5ZS=hNNJZ%(E1_t|uH*MFmxmx!7-}-1;0_4T6 z*hPCMZ;75uwq#xm;jYM^H3&UGHwK~Bc_jx&DI;1Z%)Lu_hN9{gEyfx7^}W7r0o3)E zE5`=gj|RKs3hmq$v}qRtbud9fbtgXM_bR(u(xWL6%MD&2uo>v$S|x`n85^z{cDc_dFV_@LZdf^N5Y ztqw619l@TexX32w8n7uC_O@HN<=LelmO((mZi#NngrExt{zUh#a_QQfc#g{4Gam3^ zXAkD&*v-pWAV3a3JxIX`3ai#|CeD*gU$(GxEw(Vk!rE$)E>1d+M#gw{&G;Ws$gds2qsBYKL$gOYNW;&KGcgxdWKLCP?jgt2!|Q^0_Z~N%Um493SZqCk;)f}o2!z1 zn<&=8WP|n~=xwEZQE6a43QGs0SweN9R;8WCRZMyD&fo(&yngrwh_h5^=!DylYMt1%jIXom zdEI@>^V?rui<+rtAl`2WcA3c;vC0Hk0`)VQ>Of}9@yJ<>xzDxsDoW-Zz0oi?w)mi{ zT#JuW5ePxL5D$uJ8@-Al7|1z?{RHH=XVSLg!SM69Xf2ymK zNLM#5h+zur`1hHCgf)$~Lo=7QW=>yrxotugo_$$#u7S&l2cTLc5gT4j&@C0`<}{LC z@wme}^Z*`5rs7SBD@e5~VugwV*@=-dR>~c7+t<8WJT@m_LHn+;lCN1$@audyb%s}i zNSQdptP&bRhTQB%E{sIh3VW~Mbbe8D;Gc_2D`B#Nk8wMDd@4RV8J__V(V{>GUZ#7Y6=QrTjWD;gVZP=tCb^latldxdA|H(=@y->6{R)dgAj{jwlAHn z%{!%;M=LB}t?up`KVTiUso#S%d@}DKDzdRnZLgG6wB3`*3$Vt^(Krj-26^8T6U0mg zRPCTmJsY}OVRuq}4zEwE@0_<+U`AqW!1DEGAXAj?dsT11rad!G@3!XnPl}1C-|?AX z?+52K#3*-W+K7f|-+mV1iz*&@VsC72&?$AoW&555*CKH=5Ua;pR4g{{gLeZ$u;Y6f zV;fqv5WhAa))Kev`sxjICtqR5WSd}Mk7P@-WM-Esoza-5^(Hi|P}+ApC`9}TnXSGNix*nk@v25M?UfK6ws++ zQVh+x|Ckim&uUMZ#dXT3bmJ;XWT_}*#zo*j%-?oGQF*B{vg+FIOULM#8*5VRq9MbYbxXK9AcN`_y=$lOL`jRev7-l{xrk7}|>3hJA%sW(BOTZeB? ziqpd=?_!rFW<4LN<%;t)IKSA$EU@A!QfEKY@Bn+oZa)+T3LJ2zr zvHOSCYAsrT{vdX%+28D0=&`##`mj<#B~R~IQk*MGP_r~0qB(OZJ8kS&ZCmr(_ADA> zG8>r*S#{-ipMZBH@`13NS+ZS<95cTtMI{%)>v+<7Hmms*QN41D5oCkt)KJxe5)zZ` zQNB&zvPd(mrRFSK!E`^0>I5Lq+ixBN*LmR%uW1kp>6j!AN93`h$lqB%*Uy%dOufG_UA{Q5VvWx)d zJ;8(T&>`XM!r*p)sg1&?DU4s(oc9w(sNy(@e|NwSC6EfL!eAS(yJkv!Vd$c=)aq@P z{Y@^Ek(=-YueFBf>%Aq5W?WG*&Cb7MU+HfLYwo+T4%$R%8pL%pB4+M{*(?KZCV#?d z1D9(G7_3#+Lh|!;*`;Ax(WT)&1aoA-c}uvv*U?~QQ^VkFtar@>3tq{WFG}Tml$ASp z-<>=hMj>lVtBI3d8Zu0rf6yQABvQ*(Lm&U1Tb@&qW+~~=;Qda%cAWrSlW(i>xG+A{ zWkIE2m=zo3w+}H4l-!3+@DNvRDOqVnbN6TT(-SDsgZLnP>aLmr*mKD%T$+f|ee>aC zMKtq;9R`xvdV7r6Vrg>8hJ(5F@^=+Uwx?S1t;D4e0Xw^&CL>N#vn_*T&u*A2+&O2Ex=k3j7}Zxrs$*qWQPGJrbc7X~8-z z!!$?5fYD77W6N8YF_-c_CNGhi#EL_j9J0Wh_hu_!(~+-F7}o6Eo*(P)aTRM%GW-sH z_RaH^dK?xQ$Jp#{IG%|x_yaF^;Fr5_9HfCDD{o#8cueOEYNHH@KQbIFH>NBj8nEva zm}Wu3A-cPLcQ?#Zd0%V>wes&NzzLGqUwP#hFoj3c%Y1h&8d_r0r$s(8OdR@$MCIWAWh|$lwv5}r8 zRxL*ke|&QZaGF*({`^%Ntw&nQF)xreZoMN!rDH=oTYGkGvNozUb$_S#`rBOCWl^J# z{eFg-v9OGuNujg$glQD6f)?|QMA%3uP9NmxyU*begRKyu9cfvg?zOOA^D)ojFAGTD zcC?o*=oRyfQTADmF3?K5jY!5^$|orfsMs`mZd^N`H3hvGa56?ZPw-|^2U~Pg0jR(! zNf< z$==9oqz#rXA;Kog=DKnKi6=OKw1eOBpegs;@t-wC#J|5MW(anoPun5fHaJ;RET`b2 zle7ITimIGdOB_@?tN^$t4(4o$XKXrj!H8A{Cq#AfgZd?esd)WX0((KZS2dd#)hV0b zbd0lw*0t+V0*7sUnm_y}g#G$7k?KB@E|5Z(CtWg~?SrY_fC?MjCo?Xk*x&l82aw;4 zzIIK>!XZHfrJQ}h*7cdbNWu<4j~TdhlqYe9n%6{fXPxZRIk>9jOhbsnj4rAlf5sJc)W8I`_BCM02V8S~V>P-S5q`j~rRVY;I)`cbdD& z<0|cTmK33~p?%Sg^ytGXvo7&PT9D-68#emfi@*9}^s}0_OPS7c) z@LOI7J2!v|s97Z>^_cWD-6_APDih;Q=WDxR3oT_c-F`7HT^r6~d*sU<(@wyiLl1ab zk{S35Ewy)aRZNknULZM#QQgWUcpT^vBs$3L{N7}8t=LTNR{?7W3%`(sf1yuga8c-J zny?Zx+)L=)#*gZ8_**&y{9TrE7}J%ID?D?u>@Cx_^o-AWTjUGm<#Zc%CiJ(t+AXCFp1ZhO7s9iz<6rcy=YAIdvroZ9qg6;bfy@ygP9S7 zMm4-7aZdhORxD?;bPF^IA7&mE5*$syBQD`|K4(Z2C5`REQa^z8@CX#!EA~5m{xhj> zcEdZrdTlGnL_^y4#6A!SevkOw&YNNYTMOX2im%D2AfgHs?9Q1A3F`<>vnZzlwLGeS z(6tBBFX`FO^=So|V>_=N4LL6SK+a%eIMpDBCmOpam8Ky0DGxPCQ7hIO zBBpnYo4mU>fSfElb@Ald9Ik7Hcni6XD;OoQ4L_e6;0tXD)|dE%c}=p-5+n%TR7wWS zIGlX*edk+XNK~_*!9&YlhUPu#!wq71a14v+1RnVIbZLvAv>MX*#LqkHrU1ha^~-#r zna>*>J3f4S6+L_u8e?1GJH%>JilK5yEm}5=G@9n!Rfzu-@3trdU>Dw*nHD*c7^Mm(|ymcp5DLa{lx~p{%H;sLn>3l4a#Hu z21C*`qNrLqIYj00W`+*d^Yh?)ZXH(9<$(A!vGX@MiNbCG&8v0ooD|c1RhF?PPPm47=&J0G}5j&>O@o{*ouP;nb0~F2kya_p`Nnj^^Nste~ zFMlvDn>|jsTri&KY!1gH589P##v5H3NLXDlg{h!7c(PW`kMeSq_|dZvrKp)xGGzwK z<6aBv9ru`4QWNEyrYUKkQ4-;($l8{_W$!z6-M135ZV1d??hKw;jM?3_@I0ZLZqRlp zy%$_jEbX<4PrS|-eA?}KlI>80+F)}MSy?D8`%{r-Zb&K>*?E@Aie!jFCGgYi>P5C` z49~7}?Jo$ZNviv2q6)h$>Z|Cw`PBs+1N%TqK}?8QEm%P3p#h6;a$Ss-m3>`!89%&0 zwSR$IlT0niH21)+gD*tTG|{*=$2J1$Om0Z*0{5BO8Xa5Pl%`4^Tk z`xYPCg!>AORz5HJnC|*~y`d)PJQ=qu{}oS=*N=|{dRaRVCLYmK!|w0{$#yBfO*&|C zH(x$544cBtq39d9#!)msWOb1@qDuL4qd~`YEEm11kx+5utk+WsXeeVFY*i?swwl(^ zH!oo&QHDequmy?2Ll-v>B634!b|k{W4$6$zYFjnbj~1)4I1JjQmem|DIs8KjyG3hJ z$o_JO)Zh#L-AQE^&7Ih%TwQ^ZOhQ)9i-Shoij%L>`)Twu6|hn>2k|)AH(VOk<8C9x zlrZ8VDZ7ui>?_Jxh*8anXG^x1Cq4xtr5W2#e$;P(jNo%pn5E}7mLZeuHfCd1iBeKD zMx+56EH+^1dIh!_1BuSc)a4&@N0U}g1Tu+u{h56Su>k^U;*;G}4|!j_L3F?&%p+PB z%_&2}w*K(WzdZ*3S>ag<`;e*6~9TuK=m*$ppqW_s+ zXGiu<0!p82+z*X5uq?+DZ#Kb{w{YqqTi}OuU%00h0jOe91ts#PJ|Fj7t*ecm>QC?zhTEH`C zXf?PYY^>CERBt(UGIT1(L$W`9q)E!G2!VQ`We0WMz|@=-FFi6~Ss} z!klChzSXmXJhOhG&bD(LFQTAc`zZsG{(wjb~C*4pjLjtTlPD2bUXg_bypQSv=D+vwBL}MS^Q14W~E0syYFSD)OYZ+Tj$BgR_WZY$0%Nv>ZCZIxFQT9 zcLFf*2Kh6ej$UUxu#S;Skw{9^4uyLK7x?c)VCrbn?BE?Dxs0R=SF;>^30$5x2TbQL zB?Zr=vD|E>ebt6-bk10KhU!#leb;-ApT{e-H5*b@B+JSiH{Qa=d=|3b&u|TnxO~d) z)5f~$zID4GrtZAHJ1cD>uu4!niU=E?$yC@VlvSFYBSDqI0+9(gMmA&hrTFeTqsV{~l z2@Tn8Y!``WWMPjL-U#>xs4$MkuQUlHaui4xCZr``gIVmi@HOQ!$`^@LwbZ#cUD5He zl%|I;s%{cP>9Q8_Ji;kLnS2*r3+(i>$? zMK+VH?nOh-a+fw(W5y`!I!qfO+=)tDV>5%v+M!R%*N>DU?(k0kBpanz5P}^mNmB%? z1X`@k`Z`e$?EN6`418L?p+X8O61#uW&82ne$7${v&A2Jm^3DO%I0n{WE^#6|&}#5s zJpfKhg?_*uQ=NwRNToPEm=*YvdlCy04|BxXV#PMt6cb&&oFb@SXPm>ID_2KrPvJ#; zIc?&hY`pTN>c-4WU5RCyZMQ*W;i8nve^`EK@NXWI4-z&T7eo9N#<+=l{njnA;yshH zK6>SW?(C7VROfpUWHIc+s_to`=VlzU&wLhgu(zaD2jk#lm8gy#+0pr34*s%itvai& zCZ9CjStO$LyH<_Ff|leKxT#r?#l_~W3l7uL$l@Wt0ZPNW7>)VPkd34Hv>wk$yu`|> zN;7{ANYA%o>k#9!HA>V(60ATY(|2rRB(G`hpkfjK?r8NAM!BR zu&#%*v6LKjOOQIPl4>i&<91XY#7#@J0nA6vpk)*hR>TxxL-@vQ9oXcn&()}zs*Gw ze-~h(^*?2ithg6{@6&q2#3>W{A&pK;gvT_?&WI93oqA?NFROJLlS7cWO7NL}uES4` z_gyOAnf7oG*zafpbQ6i3pIo?%B%Z-+!X!oD|J3qhx_QMmmQ2C04@U=G)T0)0TmU?w zi61z#fKgUiqHF4D-tp^Au6VBJc%W_%E>*K7(N+LV=Qof67fcXw!==by_pFmliN4~R z$>{uvbaf{wZL~_0IQqn}0mk88RA@-I=j( zgUMbOx}5K-m0jNeq|JJpJL5f=Tx5yjWSkwB6rQJ3n`=+so{+K%KM?ZbRTfzs*bS}7 z-rN`}Y!-d-$QVwVwIDE*M5GG$YaFAR>$gmc!L`B)=ZVzOZwSit8w`@YN*TmW@j=*7 zjLXKsTeV-7s+2$El}gfUJ0?bU0vtRSNCRP!IEVIQ!-D=I{rc_SI7_8fXwZ-`D)f;p zI+`7m3WbOhS{P`2y+zUek^LvN06Zv1&6UJ2<=}?ohMr-$K=gtF+2eI^G5|=CZhzjZ ze~6KUQf5s@ae*~Mqc00;_1hYui1JFg$8nOMET#iL$h2@LeF0Yau!r#>=T>s6r?Ue>Fso9< zVPE(H{(jVP)%dMQ-_~G_a* z4Ryw8E$9wFh;PU`{mZO7Ez5%7Jth4If%gwt8C{v6@DU$&ANrio=pW{6SU#&(hliaM zrUId~=b5ER4@jT&AiHSrAAiAXPl$Yx5iA_cUEN$P0QShAcaEku$n0!ntYrV(2neu< z0bDJl939-qSoD;&wZugk#H`)^rT)yB2B-rZTooN19GTP{?EwyCED{#3W}iX;4sQSO zxRU9!b9{zK=Bb z91=Xyy`&M}>tKz>ZF>)Y?%aJ4C+8bauGvbvdJob{W%MzcvSEKkjdi!>pYQJ*dFP-1 z6+}L_PZ7;_|KZ6xYI@18Gdw=CBr#gwL+j7le_I@@JXb#6Tk$FI3M2*z-x9s8rB$a} zAFzE)ulT%c6%^CosV8=SHM~0BF!MRwA--;BBgpM6lH+K(WnWgz`}GG4cZ%I#%d%6k zV2R@CGH|8$W_54%y{_D^yJTZ(UaML`IZ13bDblEr_8YRGIN>R@PpDBLQ_GA-`cIgu z@7&{J`r{TZ)Dp_lvvTB!$yYMtu}QnKXcz+UVj;Am4Tp_XI(MJ)ycyv$1U?x_>My!SsJ2*R)uTWp<2q6g5kb{vYBL-kB#7#n*$Qwl0&{n^HPT#bk-xGbSTS!X?@;g2B{QGCw)Bjx{a}2Q3l$MoH1UUUa#{KCE+GJl@+5a`&f84^w+R4q)g^Zo^U%UQa z%l~Bm$GZPnHw1|I}aI)sE3udwfU#D|Ieq1 z1Ds?mtgXJeeG>o28{Np5*g3fV$3v`K$v8Ov6)z^{=tZW_#QE>!S5~fnq<#A7Ke|W( z?5*v*$>{#q)ye+xb+Uh)o$McPr~eO`wcV%6>|FmY=pRNUfc>ZT|0jXsA8Pf_pyOut zjf_Rf(ZwEM_peaxf3@di{nTI94Pa+&ChA~iXYtQ+6ailUwNgcESJ%&Y@?THXKi`ZN z8Q0hU-o^jgc@~}jduRS@Q~y!^{~(g^a&Uca^}k_-jf{=sEAQ9;cVxNr@%Gb@d~(#x z?|!`OO;z%I3M<284YQ*nx3i`Q^G7Fw#1Famk-%!Wy!4 z?@0p`{!X1Qz!w^cm5?-BZh0nBlh*BaTP5)w*x!~@2P%v`X_e%?oiJs42O5!vqgjEG z!df!-1pe7T?3UQ|8ou>ji<2f%>d+|FgKH#1%}@%ni%W_PG--uU@LpkxUJ;|^EzL08c^VB^B(HbFc z$Y;7G?uC6ZDuML#UrLS!pGU2r6H&TCu;LF;)v6k!X?AeXk}vclV}AHC1Uj7gfBTcT1b>OhuS$gX)jkw zKS3mgdCJE&5wbJMj$mYOiZeWjZLoDAq-5KnKt{);YCYUe+NwkRm>BBaANyYi3u!K> zi=Xu}sTQ|-c)Mg`GH5T-&7MMxHyA!Mlm9FXBYr~f#52oP6a#}H#q3~}NQ3gtVjJkM z8VKKDQDnAewN#$_;?)oTuq`Tnf#3CpPX5NTw~E3s!@NJ#yzq@bt~uXsta>d>Rb&2TIGD=dmIQF5JOKAAB?s4YWDwRXy3HRi63NLLEf-#^+p@7IJk^_(w` zC_An%%$UrP!|mxmLnzvuzEyU6^Es*iJ|TNKR%?7$q&D~x5$N>twla6O!|O+kZ0y{@ zgWGp_(;n)y7c28Rg#1E*F{3?X%$s-cSt8W_&Kue6@KS>z^fAH|IJuYV()=DxC}adf z`WRx_V|@i}#pCx9%p5qr2T82t>QyjYNt<_9iT5H8)dSiyr{+xmeMEzD?ZVTDw7ut) zH@rcVVBa=h&;W*|Y>1S{?&Jm{@|S(Gi#wa0?>4z(Y}g6$O&*Fq zuic<%o71XYxZ+wgt+|#H)Q%nz_u|5L` zGf^7F#(R{=F5?{zW(pBl0Oa<6kR|Z@_9o}8t8^Q9S9tbpL&+|L;~0l2MCGG=9QZA* zGNMNv$(*B<-gdYI7{{^I!BM(>>1OQx`chRH(-ShF`*e{oGy;6J!l4cI-LO+N^Bn+k z4{xC&g%Z^dhMrY6M#OX)PxpLrehjJ|gbW3r7Q!dot&HmNf$krMr{3~@(%OaY?|!9c zjO%g)W_yi*^ne!VZjHa%qsJL&ik~mTGNNe7n1}tf z10wd)NP$C&1)}^g+Xj0=4?l22Sai5!$gc$$w#OLIqcx(-5-7jL7}EojLLIru_)3g$ z0xlHjyqDF)xGR&$-3#vv?Yh^654E-SN1w8{rQ4Tr5+0(`fS-Ow6kfcI}YYCnm&lw0Ap`SlP7h{(jp~4>VFMHa8E;p zJd%$JC}Ux8VE;b2hAe2-zzAf;S2#(+u21cua)S4@5?qy_+C%C{>)t|gzd_=2_}R8w zj^7M=XDZ4N+Dq8`ln5sMY;$LF$SRS`R!mAP9z9V&kh&MymjfRdAw(O9-OCmr#4;}7 zKrU<>w7a>tDS(tA7-Um0j0(AlC0~jQ5%jf)ZTHc znn6ddMc>7x(q@aA-*iFgm{m2$y5CQ=V`;xu2GzyWBm)l%gU7otz)~QTkl?D7IR>{r z72>b*Uu06(X!FZ|N(rH2o#a&4RFF}8-*_VXXn=g&c{>!I*{a|bx%PuApKvO`PnW_#O`UY3P zDqHNB1E%TMP;#HFv{-mMfTTcq1WWSOz`_cZk!1n-CqfUfIR`3-q)hWch9fnx-ld%dAnou=$!NvfX7*`j*o)c0)UYyjUL{~+CIr)r~VBmVLu z@&f?9yhy7}H5Q{o&aZ^#H8@xWD{taVH@EvkiqtgRSe-d&M`|QF;g-=jdnWTxDvcs~ z{yWOrGiHU7<-*5Z)O0)wt-mk1pIyUUALt~c6*L1h-^_&s-XjL*Rp^g?`<;f#f1+E7h`PDV8ED~jy z?oAkZEH7>y&I9OWoxFzI!7}dqg$sHI`ly>%q#QzZF7zT66lvS=DP7D%cbGfU5)T}3 zgml{75v>Ul(_Lhy_J$=3wouiQflE9w?&%nHqB6=xe&0yD3CbTiDW(jMuRab2O0Dh( zQSA>9iGXg>Ysa10=W77uQZ)=XyuBcoF}q_ zQ359Y^)z|&`vNCfH;#_oPCOPf&Nbe*68V~|u2mq}m4Mmt_It_0LU3D7YpRaKz+~W> z_xzjLrgnjE({fptq)mf<6DW+B^mNO{*O|>+Gr&8un*VgvVj~-j|s_Le$98pNI zvJE?TyP&ck?)%IW`JUl<(Fh(VV-q9lQjaS=LdPOPU(1LsWDbQ*k@OSO()@#p2z9D` z8lz7MflcD#O9G5*;^1md&VI!~0R8mT*w_*GT23JBVW?2x97`m=o9_6x*OPA&fSJgT zqL1S4^%1D`no5=NUGWQxCIh<$lS~MS6&YitaS2MLSe-|wDU};|Xt|T2UmF<6p89fo zRuu=~h99}8Z7LbK*MUPn2HFT)1|%rUwmy9NLPAyhB!1M#(6Nu=7iRE%p(Xrju-Y$jL&LNAZ5p`j>o4Pu# zg6aOlY(7ZEE;-uTTylTHy@pit+$R6oPIHL|JKf^4ROCFQ#Qy>4<^5p#1nu!M5_)S{ zMb_wx7_VSM!7((*&mK4@#aN?A@zwM)?X;G=Kv5%4vgS}%Ax*V`8O=0tG+(S_n|buy zo}B3?A=5qP)C?u(C2#t0^|8})nqBoqCJk3jnkSXNbw1$cas9iyHDUS?`@z&y=%LBc z>r{YS>LYG*?$LTc4j|f`$}XLNXW8q-e<7<{Gq}HNsG+t0#{ZJeBRxM@nvT zX7GrT6D^Y-d@hX7%)~V{gfzwel+N|^$ra|L0*p0VUI>{a2JaE_o*^Zmy%UeIhiK3d zk{nkOV=GvuUg!`?2fJm_stYf!RvY z*h#ZCMl73aX$NhGD~ES*z!nFn)#|eVNSuKn%8O=6>7OQ+mWZ8+V_}t+1mqo=?z_jx z-l*~JNBd5ZD z#4=`O>85~C+I%U+0@E2O{mqZw!W#@uf82SZ+Z?_0q?xVZVTUX?Nw#s&zO5&awBX=S z-i0SHJ}xmKp@M-<>tk)MWW&R053VJR%hkbZBD!Zu`JI=qYh&+X#vw(>-qe-VE39I- z;~;XX?$*fxs1*uuLNpL38Bddm0pF6NsG@c$lPH!-kqw4O!ve_Y8I&*`C94Q0^`Lw+ zeyQnY&SP5+y~xkm$OD#L%!-8uE+Do|X_C=itL+~5G=-BmK{m%=ca#pU4^mzVzhHi+ zx8cyKR`yqs$PGaa94{n1INJR^UKOE?e>8}Cn~}9uo`&!&*Rh6s?5O)8v0vaq zi2KQokroXY^mvZ!XKE7NP3v?-9IaZc|N0#{FtBnwl=LzH0pl}!59n-3X#p<(omUp7 zR#Bj9q-d}p8U($Xe%xTC##5{W&Jig^i3w(Mz=WBA%1?c(6zM#QvKGBj*@HY`=TM?8 zMC-{ju0uyuy3j)hQ&_{PB=S<0}u>YTU*yZ!(5>3ntP+ zp*~2Yz!bk4sW!a!EhmxhK;pbIm;!SJ1FH);_pkf9lv@;1g=Zc!;{XWwxz#-LOk7$n zH#rx^SOWE7ZECt9b66`2DN*n_+d+Q-F2_fVe@qHI)KCG_l2vPt^}G+@ zx@klh@=TJHg)OR`kyJo%Z__k--)tS}YGY~mY)RhtMpgulaXCTAGeocKEjXoURq^29 z+pddyW!{dP7BAj5vpo^sIKs!{=i(oD+S?0{ppC9{6Rw8Z*P~w+UoRSLmVEoT);&Ge zZnjVhic|d}>A!oWdb8n(H$!KW>QKCp7I!`u|IEx8R)S5rUP!vDW>lxgLW$pY*OeCI*WaTT<5csRkN zL#d>|z-DxP%hGdw;NsT2=-xiAw(87%-j>s3L>}p`1!+2j9?AH0rfo8wh1;P(y?{Y` ze9;9Otx4+Wx%tX8`6FVv=?H7~a^kd!Fm1vuEV+nCG)z!&NE2dRApgYGoBU6=07a2?tM5&Q|-g zX0M1VHmB8A=jEx!LT@u0Yn=yeacEiTqX-<=dcrq3Zf{LYUm92DwIqTfxHdid-Xih^ zLsXz{RFTbeG6AFhaEvqf^Yso(MV)AuEBn-t>{!p!J5Sy%ZY}BngpzsW4Y8w`PAI+w=|V>Wx9UhgP-AMzAqmcW8HtsN%l%Xdv z6dCGIY)5#|ft6c+3h}@^ggNo)yFaZiBrZ3C(@+%Osj3KjaHOsoE0*Iaig@O(N|VOq zv1$f>td;!flprC<4l8(=Q46sd)C)euOLxAGR}idVUnSQxk4p6#j#+PsCT)9A{L&xW zXHpTn0vP5`DjG)maW3)lm0_rMmomBBqJl)p?Mt%EN)6R$MYok{Pcv&Odzo5|=}ii< zmx+1Hy%5dU-o!%`+`f)|>r2je*6>`R#~pV_BYE>9+-9GO&MAKt4U1lH#u&8AX=>~&y$^L9`q=ww_TW5Bv_9pJ0^myw;&Ok)dJ$trq zODKU3y1Ym^)ecV7Ja;% zUozgOceS3+PwA5#bNfK4%OFx=u=c8O%8Y?F9=&z}@H)3Wh0e}K1|q07IBb!@Mw{Nv zUA#ImA7=#IG!h)hQ!s(0qB(qRW7NKjVPXe-u}}|8{oXl~`<=TkxZsad+Z1g{R-O*FM_r>ERJ()UBKb))gBDK;GSrS@&+ zu?rGy3b}|QyI`;}+viTuUlTd%lDj;7YWqV~)cZ!EoJuwI7btj8QVBCNqB4@!i&B(k z%#_PiQX52-+=|=gqUYr6sj|c|yVy1SbnqO5&JxyHR+4O3TZk|<7;NL_9z3>?BPZM( z+1ENc9!hAmflo6XUVlp1rFfW4_q(#E4LoAeROfx+FEk#vyvuu5l+P(M?&t4)MGaIK zhK}SD)3}YK_Mx(lGzzKo!&3UAZu#RD^nI9HibmUpw29g%Vj4AlTfck{rVjf)#tiWN znXr{A*W<8ERwSfOZI-6{lo&^wk3zkWQ0loIJV#-^(tcY1bHC-IaaO6d$W4nt^5w9m zX3UuPBaDYFA4bvt;*syE>dIiGub!O(kus7fI+EPfn^LmqF32}dgfN&ALNvKZo7|fo zULG!|Qrmh0jNo0ML+^bL~UXR9;+Ht|OR;nn{662mMG}3v>yTwOq9L92N zz|Pc7gq8K(#a-V^0ccm@n~hqCU85YiC<<1xpJ@D2_eiaDy=yZhH=4+qfJ~xGW#@OP z$Fs(u&tEkx5+UDy^_H!~F;fKGf9S>69_pLxk$-HVoB)LPvWb z)pU5If_f|UyxB|SyE$%JIksBE3V$GSf+mR@0lrv7ZSVJQshvM?zvxkihEmo*sGFy? zWsOX6#gGN-Z zb;}oR%ca?&TC5*MB5vWSj~K9eSeCjAbepV8{IA7%qiWVmK1+#$0qW8rO^lk0S$Y8; zlMBJe2r8))I2d!3Z~g=#VpA^%+>O<><@5#z*(%ybb(TVEmUGYS+NK&gehqCL9{rHs z%e?s3pCXJ&l}j5*>(up4XB(n&;7a0k8St<}`IRSN{%)QOU&s+oo=l<`EDH{BoG*;^R^$DsLDIowP5{6dA_@ zx4$TLty_*G)esp&m*u`9jQR5uj)}mS2J_0zNN9#eH}993M+T1-&u@bH)Pnoi{-)}m zZ-%w$QtzVdYX#Y2>5L)=5BFK<(9RZVM5*PARZhT%?6B(CZxihHxLH;3;Dlyjhd=+K zA5Ac#shOj=OllQY%kF+x&{C=JYoO07clRM}y&7*$H}FRpO3DM{#wK>L%!&u6v@+aq_E7|a&DsU&|tALc|O#dDl3!~D#(_wz6e za}u8A&)ktPCn7swt*>~#ZF0_wT%7u{fkvF-EpVjJoK~J&a-`l&r6tRLAiC>z-Sz}J zU*&1)iRr28$(BafzgAE?t5imn6#NRpR}HIT(n?P&yCIr zdF7mp*C$C_7~0}E$h;msY+NooXI|Y`axC^5LK8ga>eI?zJ+m4m zW(ppL7%UnXdQTJYf88#eoorVuC%$;;=}h0Icei4z1roYdZpDUa>QLE7Glvf1NYTH1 zvT1s8L40_%FW)#fXOvoPjvh9O=Xo+zdy17!(0SfkW=|~F^1aFQn03Fm0If2XdqkHV zTf;9=`3ljHj#$cC69eK-JB_4vKOyxwNmbe`(Jg=HRAJXa;ar_0Bt^VekU2kb)gpYq zS;>CQd3gxkynFC!<+4YA^OR=nuAs8!$~wd}7`SP8V#l784J%L)642iDv`2aPK^Ywz zCWx;DK>4P$bSy3UgO)IOzx#Boa8BM2@U72P3i*+sSAbyra|}Ov+7WrW5pX&k4DF8- zC;0f3Aq&A7_L+lpRu}%`LEl!i@|~yqV7YqS^<^Ql;ZD{kzkCi*ipCLMC`U+ARY{KGA$kK{uuE3!;X z0>UAkjOmR6ENBrRRBW!Ca%IF3f7xTbzX!j)0JJ@A7G#URsyxGt#LiTA6q zS<@J#zri&zOk%b*(J~?btZvjTA9ZpAxiqmNeThW>q99q}74_^Zj!7HcT@&C4dha8UfbJ zupWHjbaCU7$VrM~pC66IhgNNgvqG7a;WMnWlfCXqs7b=w#L|24CR%`sotIQo0v($e z$GoBG+j_6sxv2(cUcAv(cX|&I6Ha)KJBiuZ@5K-hWrV3eXz>65HDSWt+s2@gttzgd zMh*hy)VcGjrBcWUMw+VR;aRAHGn1qly@rr1aB@PDfqEJ)4VICsViTv#~(N&faoy4-Sg^N5lzM}YZ)g}Z{_DAIAiZlq}hdE2}s5njHqoH z3)#Tfvl&R)^AjfCS4S_(vrdg@G4IiS(2#0g1(L?}9@}BVe}j$o_|mGB-x|?Uee}9=z%5{SYQpE0nE; z>?|hj6L~dT%Ix!c1g}-aulDoE;4r(DK6X`kt4v8!`K$AGB>e;gEoS-TDBO->=}H7x zv$%8EB4SV1sd7a*f24Hxc6*570cp+4&Rp9)i08LPdn*@WIbNrO$hYIr;RHoyV z{tLXx#P}EO`u_lL0{_VJLgaxg9i>|XLQtm6**v1= zrL}>9RnA|I3!bW`InC`_;6pWStTWWt+cP)qjVrc|VP-YKp3hY;%zSez<#-e4p&{)P z>8USU#p|B&-zEwMSl(>e*=ecQt$rNPw~sCuJGKsx-M7ksCv^%YA!%i8=teVkUi1UCE!4vv+gg}yL(0EN{at;{wd)+D?p zP1ucdmz?@2YNy$d$KKWw{aNkESkWQhZ*qypZ~UBCc__#nZyL9F*B#paw@pF-)BG|9 z%8CA^LKJ15PM24LLLLlfbA_#u9gJ~5e^;_QpT^c`znoYJiJ7_srgmv*LD`i)It&Aw z|Df@aoCXX7t5+_C&X}wEP@-xx-cTZW);<_qGa6j81e?iXa7Eei1p1u|Qf;Kmz36Xz z&guu9mUg1mgC>^n*z4kjH!M0?0`33HeEw%<{vQnI|30DrUwKVdMi4vrmrVRG)F9Je z78*2{|9iY93$X?(I|!p>Vh0H<0Af}EK7R%!e|4Zn{6{G}fLMba$U*!cwK)EX3i1vBg7p8P`zH>|-`@RgCjiISQ9!cbXQ|Mrpv)L#}h&`@x&f;=&Un!^kV`p@T|a`wNi=Ku`@$KSpI z82?JZzvBP|MdARB4F`~w7{CYseY1f+>>!zq82C5tKNdhH&`2>eGyc;kHV#lkCPt3G zA^%nfiJ;#9rwC*QU4we__wrxM)jzlY->F+BMrP17`Cr&AE66#+zpz_20Mq|mNkQN( zfaxy*Y@zoTyk+^1x%HpmEh~r({->CUnS~J)nHkive}ixTb6Ng3))wULpULp!naw+J7F2|1Ma|!p8DnM()1}=`#a?pxOAp*jf$_CKir=U;0nmpxuA; z%|CQFavkJ4!NBI)h=G5GNtg4JLzAF{MG+H}6g$jPF(nP5`0bzlC;Lw!Orbb`I04ta zUElhhYt^5{3jXo;k9_}F6qFGVOZ)PHznfZTbU%8UyreiEM{Fv*D{4OYXVL{ee>5~{ zz7=+=dR&;UJz1;OLVLxf?0~e_OF(dGHAdff0P8E-+B4WxSZ2Y5cI~N8X2L1yboSP} z)$=V?pMVp)+h@Iv&vcu#Z)cDXREO-|2VMPC*YS_Y9?EL5q~VV4;8_J2UtJzk;~AKq=4V42S=2?m0)A|?kxMVQ!*VY z5jHvp7G7)~Z)8dex@pIbW$s!tSeh!4714E?eR_9W2)e-YhySbozkm&V}zMpt^QD!7Lrk_ zTKG|xL0_;&iNnIby?qT6ULmRp;fXJr(s?SiGUWwF(bJ{6=Qy4xI1tE> zPHYj~1UC9Py=aj`9DN+xgO>tm^@n@`Rf73JphNz+?U*T>aC)OEmr!M1=y?J5BGBpf zLE_6jgD%_Ii-0vF!4^RJ~jQUW$gX_Vp*eB#%&}60tZ|T2CWl33OT=v z55a;RX^ImNQ9jvTA1NjgwzA2fr^j#JMwPg5OfvT;A%05Nvw6{O$MUgIxAIi|#e51Y1Y0 zu>IAOce9LxQI1E4navAy= zQ*U{xyng1|xi=R65BB(@o+kWUl^OmsShFmhNb6h7cvA|c(y{st?-r@lpeqZ6ZqK2i z-76H)7tCs&yBXeZ?4daoInFz7W;qr8_)a)|Cu@b7ytq3{`j!4;%dCuFWC-R4(vAXO zqnTiBIusjzo8G-T{>Di`d_de(t_*yU1^X@npZfs+THVQV-hp1qrm#tfU^Jg!H4Nj& z_1Vw1<871vO}%#m%F(W)qSKhu0^v>EhNzntjMsmxt1MEHOaS@}bCJZ_Tjew52qV<6 zBh{|m8!3IFNyk*4EA-EbMHObeUTpuTJB4Es3z!Le3mNo#p93Q{c6hfgMaUIh@&<@{ z1$fqtoCwii;Hp*cj_x|!eHR<*4dcG#1pZe7ROfH|iqKQ6%1F|+cHA8$!00jmXK~(v zM)L#aurj;#<)4JPMf&T4OYL={H&2I(aEdn(wm|99bjgI^rygw4C3oIS+!nf747`Oh z_vW-QhBUiH^)Q6nnFy1}RK|xo(Z-c25pPdygHAbagWEpm+Aw@q(dBHX+78GO0T?0` zq-=LZk_u6c1=A$GKNp2eSz+oaTeY9B;4j|>@T3PBZw_&Xs3!-HC0vwchlQGY%34f9 z>w+b4p5tFNo^vu58_tV096adgCeJNXdNw!gvDd~r4!DQV+;cFPTP+6k2OL^=AcyOd zJSd{?b&+cB%+AUthHTP4S;MzXnWok6jBfNf895eLx5>!}u=e}YZEI*qqwZr>{{8~= z6vnlk?8rh9WifUe>=d!fYD53TZT4-+fB|Q}mQSbqy?)3vurf z>#VO8&en){6*lF}re}S~&kc>Wp5A*y{t|MGIikn&2xhJSl{a{M4DB~z5KwdHJ?UfY zy%1}@K0;U1OWn?IOu&)%w6)(N<1(Ws+S7XR^U^+LZJT^$k%*oQy8R|NTLsQTP8%2? z1Q+NKQfV|vZcn^lm0(wX{7L=h>7ZIY)praaJ(xN6%g!Q#ZT-)FKJ+_w%hZ>K)bvOE z=mz7g4zZ(s$`>a#_8m7-JbO7d*yZChgg22fosMWi zW9)}1PR8gP)MZCh0*H7KD!KtpM%c4PR`Z!nz4`XCkweZ$OWk*gZ9FY7h4?tnqUX0- z7<`3k3-Htm*k{oGiNW-3B-6Lml6bs=TDMK4-z{bBDE2UnGF=&;$JcA;@E+f+(z3sq zy{uZOLnoYs%H@AoD%6oM=+x(d*fE284U~gSa;&!zJ29%npoNeeg-iLV{mE?;W9&1Q ziR_GP4t!Yy<2SXW)U*z;QM_?&LC*7hHyl;3@+wC~B6B`OAs1NNmn3Kt##ap?BKRST z0{25?9}=5LpS191G5__YM_Btiy2Fl0y*Fq0?lo{Ox@f2tPQ6kN|Eo9q+ABsgBdaX& z=TPj#j=Ujath1b^D>g$FbxWl#qmz)UKQzmZjELY5zae#T#Xc!^(#nC0*gfZ1u_>VM z=!f~jGZCH_7#eV1Uwt~(Wz5U6G{lRX6x;m63xR9TeAs_P z^@oh62Xvl!ha9vA`Q0>hjuuju7!SOyT~S+x%-CI(m^t<|?}kmhV?C+>M5*{E`=UA3 zVMkpI=ZpaR{3-quFdG`@WQEcnaj-n-_FOdwP)z|d#E;=lypb2b;BKC&aN$Dusgo%0 zz<=}lSyN;jcr%sqXz0k}&1BO@cugU=zv#bVpLQtj>fb}vKrE&mCHYi2vxEcirciF1 z@H&5Oy2voDogmD+QXcW8S=rYRCw z$d_V9E{k@lC=mYiOj6A09JfDru>iuGS#K`|6xCqKx3t$1LB;=3|IPZdO#6g=-Q3gE zI<0miu@zq1@I6TWJ8w@K;5m)&fM_~(~cyY{K%-c>HRUzwh+ z*1et>_%YX&w_KW7k5n%<;U4&_u#P?&T|X|3-LO1$Ll@o!Nlnfv3Q{&QAe+AFexI}R zjSEf2aLj#!h&`A)&-hcM48JIi0Bw;rhOLN06YaGqWg$IafmCmGryc87(NYy*Qv4BE z&WxYbQgcM@fxP(X;Zx(l)Q@F?&F|H>vYW^aK)i`B2CJH3zypGEEd6tWl8P+aFvPE? zg;mc77zRfArH#m@70`2W5O_5KdNOsE)?weUlXSpIAk6c|=TQ*G>MgCK0*Hh*B+V&S zuvKFi*hg?Oq$e2AfREojtjrdy=<&C9y;La_(cVMT|trW#YOyC`fP zkrleckZj-u-!gcIfv4j1BQDFK>cna6rk2$u-EtG(pbo9~9ec+MwAJwDi$v$=BN`SR zxv8nn_R)aL8;@poJTUe=dLxw_n6*KDq>E6DlmuPi%eJNMB;N)+b7=-&OdyJ_a%fZsAtL_L_2ZqvX~=w(3r<+<+VjLtL*_aM4` zr0z%VSO#zj_gT8ivmf`>jVW_6Gds<`a@@$gDl2FqwxaI(Y(PE&=q!uthBd;lF))zi z!;ReY+)|Jdc|5^j;mQAekpgl0fsIZ%|A=Pa`GuO*ILitkZ>>78)5d<%G8kMZ13S;b zggs#3;n}L%eV;~6oq7nHkv#!a-_Jji`@xzLS2E7VmN$UUp=|>7PJbTqFm{Ohqq0=3@AARV zBY)xcJAiV&xKSlsBv;jQVme+r4BJ*ebADc-Leh(z#4d#$xNd3!&_Sy>`?`2Ackcoo z-*4nz0PP2^cz1F)+|yQptUpm@RZjazwO(xX0L0u4h};#MJc_!|_y)GOSf~Ea@EB%} z{n(Sq^aqwT0E>%6c^M-~xWMNVxw*%YG<(ARlzZa6h^s;{%l zNUa!ndfvmk9^@@&=Zq`KzFgLggMU$efjwza#^x&*#p`he-6GkGHtqiTHpDZ!g%!Yr z{L6mvYm6ze&k`%8TUzd z2lTEnBoAf`0LQ5PHujMRgSiX~8g6vA%HD3$aAOzjdxT&4Z~QsV z8r*_qm#3UHpZDB|5ct!+711156}%JNF{k`VzwdQBhz&iP`YpEe9k+KO@pSZ9XVnYi zvtZ`PTnoNdVzhbyN^nmYGpNV=;X>rcVBK0zVgS*a(e3f$5 zIB>c~DN402^r|(;z~{R%`jFO-izf$VIx)|OyyN)Q?Vhx*6FW*DV{rp}t!n;l%t?^Zd*oXY#y-;@p|+?cNX920Q>sHix4V-qFZSUP}?{xEHrO5q_q}m=nn%i zWA43_{8=A;4jw=FjQsk%MW%E*vd`RgSQNkHq|aFsRqHqmX zDu%HYSET;Pvr84n7yjUfCUp-t&$8YZDe3f_^Z}Rgr?jm<7urtGt6>*UcPv)iJnvUc zpH!<QRDfS;xYw6b~zcopAp2AHsJrc;%6EqpkiVDDa7DAY&f7rY;h9*9p7X0IM* zp+<#VB9nSf$@(?06$MWxm=j)~ON^6x_RC zB+Si_&c1|JS}wld5{3oSQB7Z=4KuEpm#_P>X!pGiA41?6etq7>7_QIAu@I%2XC;#N zA;Z37@_EKFtrT6v_qizi2y%8d+UF-lqzR|*!Y{63{d#JnefkZ;eAsVecdxI|?$pOInQFdg~YnvlLD zX#4`EY3#W+715digod!Jpth}(+&3m~6{c~hfzpCQ*=&bzA^9*I1U$Apafmis4FWhs->XURw%u=R>s_tDT%ZmH z2W$od3S*IL%Z3oFG-^CZ9KY6K8MOYsc&X%}pVu~jm_>=m{58bG?4PXnG0f<&-2c#u zP4`HM3hX(Oh9Xi~dK`MjGaon|?`^54JNh}KMt3HqK>-GNVE+Ad zl<)@maA16YtbuC5mTG?bw3P?{hGG^;I%vGgGY*}@ehRuQWdr@==kNB1 zKP^xd$h{6H8fBbZw^q|IPq42>wJJt4P@}Ud0CZ9{CYN>)Sw=c|%fK98;2B9nPs(&4 zx+*n1Uw$F;lG@6I(fF3p911QX22|*N+k100`kPT%Worp6!69;iqAQkh0z!*VGc`(;~g-E{)e*{>_E&=fkS)Wc=*&bcz(- z%y7fkmD#lMQg9B=_c$?Zb(73> z9CSy&lx^P>O$YPF?Q z>hwZd@nf4X)umu1oy|aS@q)lGKp_anD8>nW!aa)kowXabX;;J29wDZXp z7k!P8=1)S~<=Dru8?b=(t{d;dnkVdmjjkIa;sgD5T}L_e>jQHc5zIOt#9eE5#MCDg z;}(~s6voH58kH@XToH@NUVOR>|25fIRV^IR!gNl>&SwXyv-Oz9hJF1PhJDIqxblj& zNT{$o!}%sW_aODE7Ms%u90u9E#Tp}XT~R_zHTG;xWchls3|ydHRHW1}6N5;`QoASh zb$#GQkK~qt2>EV9?TD-NI=lt-`G+^gZcbGb;si^Wfh5!VnuKDb<-sr6*|0z5%3oQ( zzfBlDymiu>f2LquY!md{m=swRoPJDdl3a(EGH~lIc!*uP_*r?2a~+Z0_3*nQHy!^M zbo5fP&LtPrjO`3s( zPd<4Qp6C+dZ`z%nvQ)^Pz^KUIXS;WjviHz`>Tts9Q20Mu1Jd1USev3{RSN5xoA79- zUawAb+fmG~FHHQNJt!6rsX(K!@=&9$=u-o8=7!R7ldSvJJ@Krs#^-RB6=8(DVo;tZ zToclttU(24i>``$`i`S}cb8Y^+iv&}nuf~*4qU=7YO{>U9gyl?4yqM}lxXn!dC)=m z!ZDv2J@0{59{(}L9YAQht{{Cql zJL9NqVdoRziHk2s?11fdh{fo#8`qcplQHFHuHUJ^=yRA0o!05} zr)I=n{s!03txNnxN~UN-vQa}q4xQ#mGm>;j5LR7dEZ0?&oTCojuDsFB{@Cp@=amPx zpXb^`F^@~Sdo|WD!|Qh%;uHF=yLjF!K^PIaX*NP>dGC6l`#GXI|CoOi5v*A?WWha* zbF&kSj0X}>iL_^~G~i>PU?;O#{aq%2J+%`Ap2wJ@I+Yn_?D`4M&H2ZaP}q}?Ko-cr znVlz|MoT3Qx)zlo_nAb|no@`ti6%BX7fhUxNHWW1FZeH*{reLxzWXlla&$8%;rC3I|hT3mJFAehI*k@wjVBVjO*;=@I(zLWquqRc zSsTP(MBeJRyjOX3in8umEn4-g)zR?=I)M9|TQ7B}3!P2Km0L4?OH4vm$kL&W?~I`D zT_#yQweSkB6J{?Va1JIV7@-XQh+t|j0HEC5S$Qhgj2`+ttcmA@;Vx3|b;|@0%%o;A zRRj9C^cL6x!-H<)gfs5(U#CO@NwB&F)f**CR$mOl ztEb+T);*T2k{@|JC(G{ZAW!i%b^N%na85<>L&@Jxxr-PVrLtX>BR@D3i;RcprWjLCmiv%<; zqU}bD;lPXBH?nzL`PT627ak`$@^(-T=gVHz$B)76oaCJ#^_M% z|3TX~1!)pBZMJQj(|FtNX&ckFrfr+kwx{*BZQHhOOxw1({rwy9Z|u#+UhGEXMbGvWJmmV#6XazY#G&L-Kx zS;&gX5{@$&(dVa9)ZgjcU^lY6Hul*3t z5TA@-69%plu@v(?G`(5WeeN3E$|f0qZ(n|(hJ4&Gec766X(N8@c>LL>`;@QU>NR-z z-qqpi>A*l9>XYk4^6~s3d)>wL$~oW*K0i^EdPtXM@h)2WD!%|>B-h}JSLh|v=N=@0)=#Z4rF4m-${|@AJ z;_TSUjda~kW#GwRg%AU<*x|yMn|aU(HhaUh8y|b7d|q zw(%IPuYs&^W9?l8yqI}XHya{-HjlukgGaBLJCQ|8Hhm3T#$Rt8Sp%+a zBHHWro;!71pw-ruO|9-8c`G!=i|=@<-0nOZARD`nc!a)FA5Yeywe9`yUFQIwEjRex zt%DCc)9p-1%Wj#^EE!z8&7l2#Pu7R4xPD zErGR3+|Lq<5??rmMswAszbA%4?m#s?PipqQ^NjD2lxNDbZhw@E>Ve!Tkk4}VR!yD5 z8i-%m=FGBnPbs-;cuY$Og`quy*BC9x){r6;o|VDQ8gBFYodT1bEanJ@EoSd#^My;a zHQki6m@JvRL6sboWgGpW{?VpOT}9;cM6!@LTo zXn(e8=Na=AJEv%}G<|Kcjp%BM!iA40`>Q$LiEebJuOiP-)~4QS%!j!nQs28{A10gu zf6^RAb8th74Iv0~gmn^7;{f{^#2@~~xZM=yWP4(c-Zb72SzxS!Xw=~ILj016zyRGG zVjOrV|G^y26c};IHGgd;5CjNZ8P4n?BuglxHv4*yu{-!@LN8L6ih1it9*X3#m(FxTW-)APHQ14 zoEKTQIvP}~v^|L|m8o;cS2w(L^VCT-?^CfmTH(*yL!(bjZ~0PdxO}IlS-yc!K@C*n zKPydEn-=rw`#M3n6qfq6P3HxnK$^X%Xzk!%HkVi!JB@!Pb;E2gB=?B7$I{slQus$U zz+Wu)daiN51!WCvO#5Ru#+*wuAdd!BGJ|Rtc$@ozZ3b^Dwjui$6SA5g3q(JbcOJ>t zJ;d}KxB8|L`eF>-;NHF=8+BbH-yjT?`ht|N3Ix8O+}V=h%nWEy)s+|IsBhR-)+ne5|kAaRlDulTV9;%vTwwlYdJD1mHgG3Oy_1DBmo zRb)O@pNGL_=%Wi#REz<7W@Pyk;`wXtQJ=%}`^?txiW3n|>rp5x;?@x_ldnL+op<$t zAb!O(&gm-36hy}rqdsqh+Xp5Q4?OZm{m-`TH+H}JGrj%?hSO75uWrr@J|7?R?u2Vu zQ+MVQwzcsT@U4g4gZX2BGia-=Tr+U1wgB#e+>A}@vPj(U#=o3^9F&ZkH^fTr%s}+Yc|dJTwf@wjE(t;rqLJBS8OJx zZ>No0xNf$OJ&hA0j_sg9>>kR%!ZRzjWL)qB)5Ck=7wp4fk>%HgT*o-JT`4b;1=3t^ zke|+X?j{tS8sj?Zo`5hj|E{>bCc8St0jw<8QeW6y3^nDFHjm#kA?xN9bt^^%daeZh%+~|MZXQ?r&ozmtfA2lM(6K`j$a4 zF!@XNbS=R9tfes8mB{H*oT+)r%Pr{z!pI5_A9~ib%Gkx2VBbh$JcMbDOb+}w)%{Y| zSE!SJ0k)-4kFQ$#$^DlT6X-rWN*3QgG7}N^P$L8ZX`DgZR9(H3E}c5qbqHH)l6T5d zyIaHhaJm#8Py7E2>$>AkU5ht`v|ea}r+9Cb6-QYouWuk0hpu4VKQXIq&wM z#`N@_Xv83O8_NXj^iJn1dqkybUDrVRoNDJS27?`2Fis8>-NM?@$VuHcx0VpDagC?s zmr=PFDz1MiZ^E^4xBG`{<`gy!H6__)I^$cvAl!<`Kki-O4_0-0E)h z|M={`?VP7LJMj71{`2-RDX9F?6^e3Y)Y|-2wf-*#IIWd!Vn=Lnfl9JzWtV*dxcvxM z;<%BLxVWhQ6J~kB+A!2LZ#6%kT6+^KkhtNZqI1{Xq3_{?31bO4^NX1#tGKCF&@1xi92ytj|ME zm?8E>sm6Em^k_*u4j z%MGC~tybU}(MTI~sI%F;q#WJdFXZ(BQlt5;6YeP{HrIU3Utf8$ac)E0^==rSVqvOM+@d)p?6es~S}=U&cL2mx4C>|3SCKvud@OssY0 zu{9DGoR2!p#6QSJTj`#@-^|5N7ZrLz_g2V;`}|+yVF`%7z8$o%uZ}ZdpV?$y%5hby zFfU^#PYYS7G8h^cJ-h?bST#>I9{nx^Cq(`25n&I$uDUG?2#c3YA}16*Q!R{fW^>Da z;NS6x>G2=mKM+RS!9{_Tc>j7r-!t5Bc&gdEhF+^M&IUa0*+=4zYAg3wzyC2=gMNnI zG?^gfg6gs4(`sgcTsep>BdPNAnbpeDMt(Zh>sHArg|4L@wL0fsep+p5JDNlqNR;{) z#7G`g@A$Bs^Ec70#9cPh!ymezVOJe8K@VpLmr&`wJo=z3U_(lb-FBpm5O$KB^UDEL zJxBxHljGTgjHm_=K2QCni2uxaO00#v1A#;%WK3 zos*DR{I7*su4{_ZC^S#c7Tun8jjHU^^W*G{mg>tL#X7(5KrgQE4b92^*FVtTe3FZ(6O;h zo*67k=hf-rdGWgHNmy=;xR=Me>@zfIR#CX1RqQ&sWAlc-nDgbn@|+3Mx9ttkg2Ts2x5WU7yLD{g( z3u{fo?h8Is$fm)ksX_vOwxQ&TWbP5Rz#pgz8|(FJrj zWESd<^NsaRpdq684T-+Nb?!%j2chTG2fxH0dA~PNcO&vSuhc`0Xr?Y~k-vp~YrgG! zzupA?bY0AT2G{0hyeoDgNC0dO-8h#O<2)d{`&(Dfg~f&fclnD|NwbBPH*+ukrtWTS z?CcC=PE#lyG6`G|z5(j%JI7PxZ{D9aITTpB^-gnx4^dM2?PV&W1%3%oZct!YKZ?8r znENIEN`F9jEOtE0Q}oi6anmuz8Mb3xU_OK;K_2bLPum!T)(UpXKZP zz06>7vDRdfnz7Kg!OPFhLdW)lx@T+pz*(ax*J^=sK2sH_5T~tTK-mtBXgR)qY3PpO z>4Bm8qldQKHYFm6jEFARb_5|PLyOTk1SVAxUkP6JSgdoc>DP!el}ZP1Po_d8=Ha2p z*3TZDSOtfuv;G!aN+$^vg7EOLxL901Z$aT;HDIh}T%++H57SwY0(IQ&t*s5;2;YAk zDksDs>>yfV(oY>yl_qx4w137Yh!VM516HhPqY5T==OQxJ-zPw8!^0uhV_xqXXb3)N zGk7b5aOtKS_;c$fb8itBbJ<#{*=rVbw0QZu`>4eW9LeiD-ftsn79!LxK`|?nd{Lvx zh`voY6*pWZx6;c|)%cZr8FZ@$lj=tN)>I{5d++cg_)}QMM69Bcl2@IZ)NX`y zEIDQ5wyujikg{K~mXcGi1>M}y8q=hp5KHA)?0l5;;Rj6&pJsm6f;giiRh@jI=8rFi zR3e>PQ%B){93|eLoUpz(yt#dn3~AadnWMXagG*3w(az|p>Jc0eihPYE_WC++ygqE~ zTohIf_WeWkg4QEd(YCj6kZVc(E&ZVqFCsDV^00F~Au$RTH8HJ2kfiFMiQ7C-vOqd| zH`~v^h0)J~Iw#?Cf4PNJI1PRpyoX_lUsH6`jG0=fdKkYW9=X^_1vqNS@f?D5v|(TVR4DdzVez8XUH5NPV-p4KIyq3i<$e62 z*te=J2-u-#ftWun01u5@@I~=7t||F|9;C$j5g~9iqEs=Fkf^eAD@BVz)aZeB#bvc5 zYMzv<2Air3c^NTUdMTPT-DE?fgOT#Htwi9} z5-WtqO|6!Pl#R5!qh(E(wY7tVJl{*#boQR;82jL|xA5Rn@ukzy<51$TIzne^lTdC| z>+#q}QmWV|(e@%@eGK6uLNWLSIRuSDo0+>?YWe;sPG_qOI|V9FS4OZAjjDwWd@ zCTMAk9|R0U{Exi|dF=0{qkJvx1zO~nM@l|;i7ID(j4B<}som6p&b-Wn2?&^L-?`oA zO4QunV>1lAuqN_EWHLVnh$93N2WWowZ;jcTJexqA3UQYKJyd&-NP`~cj|FqE3I^54 zCgaxIwSFs~B%{8O$XgU3v_g@lZl!4n>}NpUNx@v9Lr(MP1a$PhgZl^FzsLt24}a!h zipxvj_j$#qDvYgdjRl+>h(TK4=ZKq^KbNbTUv8@8wtJEf|LuYEeFFMmf?oPebR*wg zk-rm8Y=tLPv(H`EuIBvK-T%&p6D7#krX5>BNwegxHAx&%brE=0kf3^7@KR4yUrP_} zn^GVOwfIMoxg%F-C@W3e3VFQHRk2#w{{nq2ltK}LQhz3e6i5XVHoo~;(M_rZyQWo} zUVc3^Q(KgiN0Qjd<|__*Rh^5;HnNf57e_bO5WgBZ2RGKgLHM#A*marh%!TeVf*(DG z&0lN3YXX@UpW#2^5sn`t6@ey-j~m=YM+yI>vBL^!)RoE`yhlt+j7FOjhk>%Mx3i1{ zyUREazE;aTEaixW5jNqpe82*-NvMVDM?m4JS{UWG)|=l;$N22YRW}yE-y1(#Srm6^ zg2Sy@7tqYhZ2Q zq))|%;(6e4iHd^mR=%OOBL{(CYjH?K(VE>|)hjDqbuP5fc6%_@myZte{Usai$^I~S}NB&-;d zY~%X-z<|cR_()J`jq=8zHO&a>!6;zANXmiBY8OJfkff(kPSraB|KVkL)iq*gSPHAIMIR%z4QFBJweVxuYc^OHXo?||`ix+aU zgF(>&=asUanBrhUFk1l_kp@GH%qV}X`l#+j^BZ)tK|WN*_;LOr<-jV%=vC9nHl*Vt)mLcW_AjTK%=l-#^2D2>$QDNM&wZoV7-4>4599Az zQZptzoJK9c3gRXN)}*i$9wbSZc-(6O8wfB!Odq}m<&l~9UuFH5hkbz0iBPw>4GjM= zg_LGFFfy@D9DoflX;e}Jm=r3hQJb_XF{q5O6w;}Vp%v1pjgb}7sf>9QPAHFQ7fvXS zSr-BUCP_-BG$tWRMYJYqN<}m#5lT{tN_oIhDS(<(oDwC#Bu|Nw+N48i2vDd1fRq|l zAJZs|Qk2S45~no*Dv8rjr~|m9aC3n>Qn*FHT&YpDF_c0CwK0-H1Qn?yC6+`MLBL1i zoIJogF+LZ_FLhE0ga^(^1Nai>L;xF!@l`-usgp7wgVad{P)_Qk1c)SMQ4M4(Y*3c^ zUD%)~Ri>mxTbQV%Me|J+H7fN>NeaHOC2>w05H9sgL8?P(7dR&akWEA@2jUd6DM~dc z;n5bxeVdO~3WO;PqNR`lw|3K4}E%773h=R$KS z(o*v#C0?mg#W6r(hRPUh;d>SEi{^l{@VyME2fVFU@=+R#k$MpV3@6@pDs?H3F&BE3 z1MPsfjY?fgV~U0E5&%7#gDt5SVL&$R0c)XG8BiX0TdTycG!`oLA_(}dQR6G@C&uhkhdDxFXbTzn5H?vE#$2P z76We!l(H1YNDFzZfWyGs5+x$VvF|%10mw9kS^#9~1E0e6Vql;YR}PS-P=%&Y81O`M zKvcM13H*>+Ee3WlltvvQ1)hY-0omeLZNKdT$1z4szz$?_Q1eOEq6ao0aI$gklRI3s|o%+DBP`e1| zBBf{=Q#3&&o648Q;>l+6Xg+p63tY_y)JrJ;pC@emm4F}lfHw){$C#pXV%b%Jv^rll zlP~kJ&)NT%6aQ^X1);1aPgSiv z4rNdn1D5$$SUA}x#109ZkXwsAQ^POTrCkd4(td zvJ+#{c+oWaAba>(?H`%cq5)ypjG`GRlR@S*q7^92s?7bQX)vfdn5VjdIeJ9AhQ}2Az_FJGf?4Y_#LXO)*yShof6A?vWVes{86sp zZ?a%+tL!_;2mYx>4-0pwF1jNOpptH4WPPFSB9iK2@3N!rtCH%XW--Fw#G^36-2}kg zcA$g?A2|v0(hjf+^U@C}lkF$;Jp`eI2DxkVddh@J1Rs$L^U@872=h{lHp0C4*>5lo zcncF?>m7b&S%H5nJk=kM# zHlsAdI+UZd2dw}G=*eo+#b|hfT7L1iD~90*tuPF5pzi$HX_E`96t+g4=7?0`fOV*J zca26-hj&Os!4F;`5w60nawM(7*o7lyz}~GZD=`dnQB_PJWx(8xFDofUu?lW!p`k%G zlvTxmGP=ksE2%;$57wZ_tH!udgQ@MHDZ|(mM)gibkq*`%8!#lrf~{5f(J3gJ%{b6e zR)QARN>Q==Kiqo9lBQiWwi zV;g2rJLgKa33gC_W0|boXdv=dlqNqIhhjjI)Ulk5mBg`(Y!zQzMXc_SuY=|mEzCeQ z&W1E3g5c8S>47TxRW`zo@=?}TPx1;EM=DB8 z`bRz3$Y+@WrAk-T021XJ=!%#_Y2t3AbLD+d!p`Mz?LCM-^oXJG@%4U9je*A3^r8I~aYW!dhen0A55==kKtBx*sW(VEQ@;IItW99Lf&xLCXN? z?!W53enWhR^8pp;|Dw7k&-ws)a=7MuMj{COYTm}@eZ#w~?oqrZzBajTc=m;skNE=g zLgEL{?z=)CiRqQt7HJXi^CIqm-h{k@n2hk{DG_=99V-zqw!4KO_4f{bl+Tazu24Oe$I^?4tPcPy2qhHfaJEpkH%svl~#dU^w;%s`G>@S-hlwUPyW}%4gUL8l%Cm-K|RZYVZFS< z;p)lX32rxC>>k($m1Dm3T>%(oeBsOEBm*tUPh1#BT&D!k*NN)O>_wox7Xsm%D6Cu+IZ4`_&b^$xv%?@v;yF;1GOipy% zj5vOJyVouY59N93yP|X2N!nl88R{9jKu&`H_veH60WX&yu z>JSj@A~F;zma4t2#m}i~hmB+-D#Q3Ntb#%Z28NF-h11MGB-myTIa*6b7iFVjkJa-Z zqK?&U>V)yS*Pi!=wm3P+S@4=Ilf|Ni@hR9?@-$ZQ5b-kyO|n6SU61?5@wP@XGceH# zgGC1=PExldERy4LDa`RKa#2Fy4UjAqZ#0MU@!mKG>E{}(%$+S=8zaR{)mt2gRL#{@ zencAzusXui5~zR2H#;rwQnKx3ep|mv!iKoabt~C830TGzefZ~eccED)zwCJ$Pe10e%7m;)mNhTaRoL=*)coCC5SSgVgPviGHJ zU&;>`1<^_Z9RX&* z3j7M#>Z#twzGi)feTVS@8SZ`B=CO}j`6?+^d4uvo>;jYM_3cUC-r9!0=6Xi(g5w7z z4vgsu-3GtrcSr7k-vqS_Z0%OH*JSRXyvRs_M?Ll1xYum% zaJ;ZtAfY|~aX{4W5WEOPVE^TIT8P)7kpjST;8X(O>=|32*AX8Bz4aMe;Lkzb0(g2Z z^f_A)*Wq0KGq6Q%wQ*J+3u~+Q?Plzk9K2aIL|X1FU)$w`pontwGL&xLVM( zK^dTv{asYn+@eE1t8>4sj=%6;yZ z#~o@qC#)6t#gHG}kV;hiWWk~XX2Ww)z;nz{mP2*y@#pRR^WgmMZLf7EP1b)1e4pg8 z+90bY#^VxXQLQF<+O&miB50o9wd6yGultHO{X#*$kv!wwW1Zv!@%gi0afOQMpwGt5 z#P-ASn3Q)2c`BXn>Ca__I&`aw^0;tW%2>QkHE0bg8|p zFf{_Bwg90e!z4JoIVyb-#j_pJeem31*5uLUU<1Y%eLlPeS7lCOgVd+?n77*QsNN+ghiTp+hB%<-z}FHzD_nP znd{#87e)VW=r8xv;`@$}j@{;n?k_qUVjlOp=i8bo_xYSTzZ@}DeyKY9A?-?U$|EbV zKM5??hrA<8ewAruL!XfT!J*%0<_?NG{PkY!MHIZXH}_<5NwqFNr)*!Z(Dy*c7m9ic z`6o^{PyGb9MR!L4x&HyLQ|K$Nxsk-YdS3lmQ>0o`Pl4%S@b-&z;ZBwNvsy{OM15A55fjt_92m`3Xkcu%httD zU4A_s?2k-=m{u6BwGF1f>1VrM2Uk1GXT-bDtFR-MDLwB35;Gn3=qyDB!k%x}H@;al z)1SPk$LMjKnZ$}zr*rPBFR0#UUmF9w9zFv;ZfQkSp9Bts^60)N7HEceMIO{~o)fDU zwFlF2_j?vf1TGdYhcC0I-CswN7ava+_3HQL8DZSvq zFgFM{$QaSzIEiv@NpGHSFZkhhu~2Gc*C~Bu>~^Q0`Q~!DR@ppTYLsCsN|U|G?PN8C zUkn$G%8umS*gl;ir=~3mo6UKe36L3ZKl(ig6Nr?b(tED)024g`_<^u10RA!^m86B{xlSpFztoqRaqpxrsPBAd zYWqb}8HX$BpX>kHc{#jM-G=Cv!^70EI&#fR8}oX9g1*`5skIgaYY^QYDuj53@u$K_ zqZsL;aRK)B>p3M2FC8`X2Y7-H!=2nbPo{aIf(=WlBqT9osUkV_mmxqr57oLQor=os zq9}ZNVdaDaZE}!-o(;gy59piD_%*@1&y(WnQj^bm+E&D9xJq$!-YtFUD?+|3th zG`PtnJ(oc75ry8B0JEg&(y~jQWuc@2pU!8w=B1&@L4;oqfwb)hYc}xzSJPudG{=Ls z8hRNhH=22!iA3^a{|1~?3CiK+%wLcph6qMq33(D`4cDGp5IOAEOREU9S)}D;H4R&{ zQzMqb34yHl--jK(qV^NnSYMe?VD@;dD7EySzNUFqLZOms zi1CcW>ov@VW_CCN$42;MLVM6RzyPQ&goE7j8M!XD#qApg6-S~OYO?hF-lC)Ly;+{$ z3*AKxY2Ajx0;BweuFCePY3q~H@L!AsN)OIoaXRS;@_0XKpAyme?%t@Z;2Bdm>fFvs zo-v$kq`cB+$3q+O`Iz|DyT?bk+LAaLq?M;3+@?|4i62LWNw<9t#x5#S~-ui>bu9k|UQ&~tzEgdCUwX{Y+7m2etSh1u7)2M(O037 zY?4w1qHaHodM6RJs;6c zs`I2(ztox0={A@i{U;Ft#rD{g|IcjQ?Ic#&pmUkmKPey{pH}gf!x@>@%|s@`nhH>- z^1o#9k48wgo~W=W7#y~8Wh+a-(hsZ9_{Qb<#(iT6bYMOWH78`=oZ`PH$_YI`!{QrM zI+aJEr9bf=V4x=E4$%k$NS1x&q}0p3XeBgG8JDgJlyb3%k^=dAt?2!yr9)El0wb#R zsj5-@iqXNpjFm~-Xi zq183$&NSbcv-kD+f8{f3dJ~?{3pqiL(ZB;$v8c=G6x1|xD(VE)Ol0w!Ve~I({2I$a zI4&Wd$z?#S)IqHwtYmN%aUNO7R$^wDY^Y7Px!9|kkO_OhDX)g>hbkou%`Fp99jO&O z=@sBBc;<8RVjgChiaJNjG}P`-;J){*avnwmQM;qS6p#ELOmTE4y;bs3p#O zW4r?`4_nC4^NrBRbl9PD*u!m!P8`KJVr=7aPUb>e$ z3tR5vJ}-ooCMYMJ()Vj`chcD}|FVqLLU8!Mu32QR1Yv123O7XM!cEab-~YpK%NJMF zB~HWjWg=<#neR|+T;0a34lOna;S%}Fp*^2>9{rVL(PaY@m6(u__|g)cwN6vYtDdP+ zqP~idN7U+A5A{YCk0PiYO1w`L-h7E!?5p6dEGw`I-|>{n45hq_q`0-&#OZ6Oa@PvU zjRBAr%VD?$^TDh^a-8Qc7d1oi$b46lD2WOb<_W4q9X+LvcgdIJ;FYM`;bPHJF)Bvr zhOm^k(k~tu9g9h@p_G&Ja&X*@Oh_btVxr{twajZQgTp;Ay%@q%c1y`p_~%0-!o=Um zt%1cd=6Gjn99_yIQ0tD{8hzB zQmK3)w*LvH*x?7R*y?Ys9XF0RJJ2Cv2MzD7hZ`VKdksDA4#8HtmI!HQ=#qx{nsmbM zk2`Hb*Xg-x+8s7PSrOCtcQ#K8Ii1YhMaZ3YhhAs;^M)~$N2XNV; z)t2dJ_~E}Pt6VLIPf6g?Gha|^!%=7rz+NqBX4CuNRAR9H&a1EG(PW8X#mtwb%G0vb zs?$rYKUZp3mAVV3ww3gaO$^d?#x_YN9>cdGHZ>L`M( z+J}sF-H%X{CVs|@f{?PtlKM^3U2}H#BMx zM*G!nE5MRi(lZmL#{^%+*wi10=^~8PeTWMGs$qb>Ar{jZFCoZymI)u480@y??9OT$ zor=Y=FN&(gJl(FF{WK$RdYOsdO8wpB>s>9umRf1*`BoOVx8Wob-n8iXsMFfk={Bvt zWV|f@!1WV-(w>YFE9B@;L^=1nO}*#SMW&5}y3S+r%1!h@N3;RK^G&TY??Pi)r4KSLnB&Y_tOKZ2V)8JK=kY`m$aB zPJLGM>?N$m~P?VGwa!vLDCw|jt zC6j3HU`J9V-zDZM~WQqu{&# z0Sk8~sW=1~8PWRqHn+LT_jL-DVl`nk6mrwJ4{X}i2!t6lg6`e3h=-xNV^3n4vD@3M zdyQ>H&D-78d+Q)naEsFbPa*~TyIKLP)&&v5!X%)<4$K7*YchhZP3HF!a|fR6bae`f zNN?E`K)C8{7tG$Bd7@Y-UO;ZcpLFktw5c8xctg**um=234pD#7P+U19LfX0`I9 zCYId;%9>I3bLe;K6AjZSY{~#w{WgfZ6tdqh2(J^AlzE|~-*_ObsA&h@-st#n|GK-% zomP88IZe$%csjBEs@W)&+1X4-H;Xp5cFnapE{(zS-sub$uXsfZsq#3Vzqb20I#r*? zcw8V=r@=|g&nnATbsLFr#6_nQ`Z4@tQYAL2E{azPe`OX*wr|A__eay0g58ZrTj@ZP zG=dGPzh`^Jk?4ZVJcJBf_>X{_!9kV6lk^0TbCV@0fhKgqica(V1s!vq;2)F&8$r9F z*F%9lyDf)p^w-7l=-4G}?$;n7?AQ0xBkMX614uLHO3Rvlg7#qQtfV7akCDB-I8bf1|& z9%^2D*v&vUT-UZ&!{PPDK-Vr(nbPKz(uQnwKBYvs&>qw#8*JFx(mnE1@E;-S2;I-T z$!$e8aF+)*93clfR^n`&DIWo8k-kHS9HG!r6qf|j*?p}a5`;stf>-7ZFE77k^*Cmy zQ)Z+ojak?+bmjkgZf%$f=oeokx#5TXoTiT+cA0S}FOTFH4Mv3;keQrTwH9K4T^-l#KM|Erx@x500{qV7S4zKKE*a-Rj;=VQloseMYlS1SEoidKMz~c zV~fO6OVnjEDtHhlIQ(sl8+(`Z98o^J7YJLPYCsV>Jf$TX+3a0p23`G37(P;bl`7_^ zF+0&h1{~S-&7`PHtbw5 zA^+mw#fca+bu6T7Z!eu{N5aGhFWZvimsr9)Xu?8Y!yT_=v5fg^lxaUzt1Cas_CF`e z?Z73_s1=ZILlM!T{%@jZOEP{ADSF;{zE8%b)n=V4n~ez`Z`w4n!0uC`?sN4x3-V|c zN}u6Xb(i^M8v$^HYkfPccTLDG;I#40m-Pks&jhkNt>LdiLzh<5t*V}V?+G5vlrGO} z^~B4Y5tHt+Z9Rki)Uh^jG6D7G*c9cT9F!eWML!YdY-6YfT9Sf1byj|zP{+#?`sCTz zF2q&vP{hK^6)Cw6wHRKyNH!1RuN~Uzth#szKG4y2aXRa}bnTT5BkO)#|25@W!?U1o zU=KkjO#ePq9TgH+LA`id)tWRLV76>hNeh>?TFH7P%Az}-$tiP=2g9^H*dP87oX9!J z0li_#k1;(DMtHHc!eg&*W0l`jOU^yBad{`p@nrYi9u!e-ql40nS)%;Pu8VArFKM%d zXT8zTKw3VP~Z#sq}z#NgaYAmk&RgYTAK_fk+6Nko}|9n%qqW}nG<9CRw0 zs(`9lGA+`$1*RqZnpJ4pDmcxHr75P^aW5Qo>jyL3k2%qlWNEO;_^gK8gKdNJ$pvEQ z2QZ(^v(h~l=B4hp%?p&oEtgAlwtg&XY|O$elDJ=7{DfP?X6qqW7aYf;RfPMJ z3j;RCz<(qaZx*j4e=l^h6SrALF8d020YSNWXju~A!``&R{wxhbE`AL!laBb9B6S@KOZQ-?_X`Y^S*E+>WPE^(N0TT3lvZ-jFMaNFnD%}yT zhFU*LuucK-@aeXJYq$>G*Eitq`9oB^0qMF?mLIQi_o zNBL{F*N;D634bOb#c`P|&FBP9){EeuD zYUJm^j#izynmV8+$*PLDOc^N}#uEpo;gwNTgsf-|q7v3R+So1qfaQVSoh$Af+LJB- z1T*CNhzp^m^AV9Yr*m1m4!rxfsPC4!=~A1TM{7O|c66nT8zz($&+?}qLnj)!r$c%x zH0o4@Wq*QgkgU)lxRTMSRcrI<*r;ejiOqr(l|-h^y3Da&tX>&V2mX{coF_; z*x)(Yfq<1c3k0Hx9-kOz)FtMC(p$Q~#Gi);!g~z{kb&vHqXS=;f~jLAJLqgtdc-CPUHjW<;9z9WqjVt zoC$e;rln4Y7O|;GnJCJoEha48Z@Skx$fWIEo{7vAMI)R@^Dc*rhVB}=4es^*9!kSH zQ(5NaeLPvgs1Qx?}cIg_Jt&Y2Wj3EkcAYDKkjD%{R3#}fc>F#ukc#D z_MXVHe=AxX%i9XuVYa4&WAV&?bdINQ_q=X>n{q4BU-6ZFhi0-QWMl?x^vy_#udQUn zN}xL=k5?3?avCC7;H1_R<|5)vf%}T+fh=roqKMm*(n}yDesGu&Kj;7qqEn0v8AXsI zuUYXWrQj)PyrS=>MUho09Bd9pW^b68ZPK}J6u6}>j3mi%aXCAaCd<$KB-rJ7W zx;st3zE;%fbl&={Zfeg)dwMocYWezS1wF3rBRcz0@2}oIFE7J;840?fh=*@(u2(L2 zqzHf8W#e^wdzKoFUkG-FmLoG)>z@h@ol4RhVba5eRKR`utnLuVmANiMd-6@*k)9Pp$baO#yvPC$>?U6I23P0;NX~Vx8F-f(? z1mi_X%jd&f|7abb`zb_$E+dt{E(7J@>79@LV9e1T?$y-hJ({Z?# zmHaI2xpqeeI{hb9l2ebiyNtxgqQ6E-N{tni%Hq^m%o#u}RP)axdsD&ilzn7w#3$nk z<)x}dQsCK=d+3pQZ=yjj~{KJrt_(~;0)m8?b+#;teAb-RipaR@x)_N@N zJr$H?L7s-nP?MqcC~v$>&Xm>G(tPu!-Q_jEixQEjqjZB_)JuR-?ORL1jk-R}5sXd> zvU*Bhn!D;&(K;`jG!fUj!Aiyyc}%WD)IIOCrCm|=m(5m&+KiooOZ(l*-nqV;T?dbe z^k>PU9S$8?ya2{=g>7i>Rcr3jPM}+B~YgUEFh6*bE9a0$Mf;Ty?GAovv!q zq;I!yNoXw0L0<3*YVPR^yO{>%h-mxtn9yf2$^2C$87+CU`VYUxYQY6>!hT zX((^uT^Hva`AF#5y#6i=ZA+GP4^i6X3bf)1O#hF7dpd>e9|_J>68*lk_7aK+ z4PmvzKGOJXPw3*`6bYqK^ z2jJDRo?vvJkDRA*8~X>QB};ZSm$jXczhL6#Dc-{mybRN&zBC5-A>;P{(bieVHT8dg zTw*97T>{cwvcWcLgwlv0(p{swYl1WaQlpVZN>$D6*K3+ zx*apT6$s!UT_Cts7FM08Y`}lCuV{GKi330{`}-_G6wa>E;8E%Y5-6DYepOs|Jnhrh zWlr~-G=7-{QAp*iBF6zbs+D@t7$?}hA08EIn)bh|E{%$TffTwcy013#n`zvAg7Acn zJ>=jG`-JW`p$99$)KrvH`34RTNRB7FG9G*qu8ZW@8V*bF7D&~ zlVo-v1^(FSv8^MImKnhHs}%ZSTlDi!&8dVOxtOc6^ucmF(|khJQcad*XUD+8*eA0t z8sza0FebS$36bBY6R4x2l$@1In&jVA=P`>@C=CoSmAzk)1luhwSZyrJsj}y$&ggD+ z7}$5noT+=s(GkAVtEZKh?2ns@#|vM2G<-D8|16JZg4kXo4&d`X0LUWGO&To*7)?u2 z4k9JQrq!H&aosSrQ&}xsw>Vs}DYPGGT23e?8k$upX3ri*cD6|ZenS+k=&m%*m+A|$ zUn4T#WGfC9J;qEW%}l*#h>?iP$d3zY=Ct9T-be(TW3c;O^-L1Lk8}4@Rh^@8$qH?3 zJDm5w>No=lh)>|vXOfAM$r5nk9jQppeD%Dq@ydnIt2-GnY`x+lrngr%PBI!MpaC+u zjh&QfCBM6PIiBREj&?A2A-cG#6r`UlmU6&DYelz<;V%G|HDB$lsB7T*J)<8)Whl4O zOV6lwPdCTAm{NUdw!6f_<*$OJ1aZ{?NU^ z0=sgJz&eNhwXBBl4B-vM74Dsa4&n))vL`q;;_7_BU)F$FEbIehJgcE~j!%nEx+3QN z4R3$7+Jh%nvAXkh8~Ex7*iXC?OG4c-obeWWw_b+fI---ZNde4Q&2YD5%Q`QEFE*s6)Hp?!T2M7=-hu0|GQgIsE8wh3_J$O zlIp}$dJ~4~LJ(Z;14{LG&0Hj&sj4gXqog@Poa;i9iaV&A??;>R->ix>r;<6VBRQSg ziB9mtYj5?9k;JG1$EMN2X#x9<(o(B7b*T{gkzDf!Fp zSvy6n+)rQxkyJzJoYvBqjW9>jA*~#z93!t0ZTw6scO%VGeT}P7lwF;v^0_bt9tV<1 zWnX>$$CvRB>BVkFeR2@wS@=?@mvS6rjAKGZDGo5*c`w~2{W+GO9o28b`qv3bs+Sa+ znyEJ|JmcES@y}tDQEi`gOC<{v zIVaFgOen@vS~NGDZKdGxn1k_RcA~L7rK*SisKh@!iK}AA@m`8nJxkP&g%fZOxM{h2 z^RsqedkSja>)fd$P;4-;L@_={D4I0ZJ-MtktWPj2DEoPH@BQx_f~t&q z`zf=$pE$AaAA?4<4OA})QTx-9+m-_l2O=Ynt=3-~qaw4shnexcYu|1td(eD1?+xM_Acw3u~c<-ewm{txn@0u z+Cv=e-rp^|?Nt{x;I^+A94Q{ykh$8#`0Qhw>X6)kh5 z{HizG!Yc?O%AZ`n$q#7hNwQHLKC-CM-uYC3Bf^E`J!4Fw;>;RAaxWqKj!h*|hf7If zCjre|Xnr%CJMGt|62@gDJrNQ+b1khRkM=9mkf6Ee^fIoVv5g7yIU>Zydy3}3JMQ}W zbh~F6SJ${=HlUm4$lLnzvY*TVmZZfuU*^x;tMjYTNpH-Zd(g0!O1~k|)(5*v;CJco zeG-Hu_1bBN-|UxPa%?BQ(d-yzfjU0qEMz&P?-$jvk~UxUj*YN#etv4Cc|7G4yAbKy ztd;@*c})g+9t9{)f5#N>244|gkQ|5!Xs|3Y(kjGs@N;J~-5J0EyS{lzeUn!A^agdf z+hBM^r=z4X&f`Mgj*IWC_xzZDuxT=(*;&?E%R&4mE81f;1Z(ofr1O_>ZP=#-QRSCe zrNoc$%_luW91k3p-n~4G5UFzIM#d{0Mu>r6wTJa13gut2R&?%#jb|IuE`rhk?km2Js6hqni=ffd?(4Bk_ggQs`0_NB8nrmt9!uO zuqaqCgH%$SXSdF$F;1ZYaqpjDGZU6byPedia=iduK|-ps=7%SZohnf*(=>eq6dgf1 zkq=1ANLp)T_4RGjXWX`*pD)z)4l*h!E*g0MIzYYRksO&8(Q-DXVwe+8)DEGX zcKRsvM_wn#B|-gOqT*OnxA#$qGLB^zE1W_vpnFbJ0BeSBh0SXdtIxDO$9x516G_WT zz~x?t`6_V+t`x}XqqwT~&c9Ai?L)lthc?zlW0omnWmFw#<)^m3T6<9wB&o?$v-K6j zREca3;!XcJP5>LCA^<-gNjVUfG0;jYI0UEjDv2WWY?)+-g*Yh3J+;c|% zH`09&hE@YRhw-xVP@@}HyrCN%W!M3aC(4t{uP$TymhV~-qF;fVIDU2XCU)2E?O6JD z^J}?gYZ7F4$w_0=q~(K~>JpD~KU6d5yr8JTrqTuBGsVE?#AT#gs zYJOheQfU6uZlpw1K_s--RQtMms^U9`({;Ng*GiI7)6VQfjR(;5Fl2lt>j%;|U?P#Sx(xOBQP4igQfNlZH>Y{SH1IJj1ph$f02 zyGjE)0ylKfA6maK(}2i*r1Q<&6zs535_&kDu>aU7VHu#9U;SBI3c2Tw`thW@KHd;j zDf3N<%Da;)pLkTwDejOws>g^7H7riQ|-cj zHw<5sl}rZB)?_AK2aa5z43i4KAMk{I)xYfYn)TVDvvwVz%Q$%U-YI=^zQvK5(nzAF zQ5dltcGA(0a=lE3n8%-;O_v`{6fRQE$JCbOqFyvCo9~A$J1pc?K&}h9%o%f!Ri_pWsiN{o6GhNr+7w$No)oCMATH8H73lJTvqmoRj-S< zH9~DS>H!xs0zr#7m%)}@-FRzBVsaTQ2ZD3F2lyU_*aQ|zB`zq%dBppKE!<^${-i<# z7#os5!h3WuStlWd6S7A}%J2Z1JP~}-35CvWQwx70Dniycw5o?NobOx`RI#fl%@b-h|Vk$2RY z`Kj-56U$?K9vCYVs?wj)cPH$|l@+F3^$cx(pLL>WP>4D(BvAgSxyx5cw*6>E-J1q~ zRYiw&gYn0DBR(XwaudI{XT3FdllcdJ&gOgVN=nyfu*K7bKO=5_=qgq<M>ph@V0Ds7-yc*;cLBv6~0TEFMvU!g#Y;=S0Ttjz#QC zW(zTYQHS~KBdKjYX086(hRQP?kqm>zku53pRFIRM;*|oauO9|3l{0~9R=c6ANFxGvt|R6=$}rz`%2MqO%^%%9 ztY-6Gb?1^SB%b%7JrTzQ0--f*jVO8c48UrTxPU#04Q>b}cI`*&aLjbgO<88?ReBcW z40lcZ>P=bPhG}+m13X)qsY$0ow@V;qMP{>>=H<;>k}<=?ZGCbqsyY)QLW5HwZ9cJv zTzLVv=vm8+x3iM41~=j4%tIOx@xg>rN+-uY$iZCOx9mYo z(Q+BN^HcinowkGoW4CMTo2;}1g~QDEZJxDnFGJ3)CKMs2W2|cN6Qil}y$_Wj`7(HH z3B^?yY*?Hs3pK-0G~%2aa*9++qG~VXbQDN=l~SKWU)@VC6-{3KVT4$U>5(hSm|Hwq z0uBV3I^83+B$94RVW-_^}WIo$_N{vYgYYu@?$} z0~s0RsbXII;qeZ=7wp|i)Bbhk13gPtZ%Rq+-@v3}qS6D}wHI`_8IfG*bAuu+wTd+k z4z*$5qP(uh4h-%TI+Dw)t!8I#23r;xJvH>613_rdZS7KFIU5T3{IqAIyem~^e;8HEyaHq zS+F0-lE(`Pp_VKaQZc(RxNK4nmY8DYorFMiv)_zt|p_|p?XZX6Tt+v){HE#S{k)<^l zXT-uW9JcI&TuC}Z;gwGn;63(br_FK}*!e~H!ZIedEBw_j{T9YvD{G^5Kl)4|sss7c^hjAf%pm)?DZ7+*92 zG==_d+aVPht+53z)ZFZ^Y4vtEL&FEaSt`PnHY;N|IUh;3O_gp~0BXVpk}>7R_z;B_ zS#Vc;Z$3Mvg)(PnP9dujp7nz^ZcJ9{&+NlmHDT=;`f4Q}WgeNEsO6tLX6>Mov`_C| zvX|)ipif$W+;UY0wXC72x8-@58xSx`D zUV}VmCuVn<4;yMMR=*Ju!mW}aVjx4=n$#WRS=2R(V8DG%dWPG0Hr00K^4iW)qE+`P zmufdoeNu|Uj>DdZ6V2O(Y>AfD@Ojj3pOKo@c;);?CDU&+&BKBFl1OxUnf3Rxf$*AYqL{+O*RjFmAF z3t<~0J{Kd_BA7p=oW8cIwwk_KN&bot-OXOXZfX>D(xNdK%n2Ieg$8#1E~kEzmXt$w zFm%?>Uj1?PUU8+EddO_r13w;1$igd0+`u7w%H(k`7qj}v!nz#C)eotlJ~==!Mhmy; zn&DDxyi^(|Ai$UF``cH0QE}VD?C~LT>>soPzn3nBc2!>ekcHsayUtM@YSY`ab2nz< zUFU8Z_znU$oP{$Zx=Xi4bDw_#Q3fmFLcSn66AOYg5|8x!SQ(yD48~o|x*&3^3d~Nb z)g~8nINvwj6f4w0_U@UUuF$eSCY8J{H?i=>|H4Thy67+w+IdtC?d?ZQQq`HZTs?)R z#nEcezS*H_2{pODWqDjQp1q&Pqw>i&Gh#CZ zRIQ&#dF?g7CTma);OL9Xt%*7^#IIk_{Kg)|E1=RYN5qLy)T8ks*es%mX|=k#n8Cv} zPmKQP?*5balhs_27dJI;x=j8b?(zRHRi&Lg9NifqjQme+;BIJU@IT0~pnsCL(SX`N zoLDr?_0NakzcJn#KF$`5{Lf9TEZqLfX$7O({0nfaV(MUl{;B`L-$MSg^S=(>t`?RA zctA8x7`^_FF+w2_K?viYJ^wNgI*C8V==e_!Bme>a0oeYphW-vhvwQ!d{b`40`rg(+ zXpHh-H1x(FjPPv@B7*LNKlbmqXsEB?-+V>T2;|$jh=74;F!L>6Fci&2zO9L%Db0V? zfWSZey6y6{EPu~Nfg23Ck0EGq7{ODWl zgdu+$4-n{YwE+Zy1cYyo5eOn8{6ELx?rLgdZ{Z4q@vGbTTA<66k^hC0lRM+TK;DXu zmQIZ5AwW+IenlBZeHd6!0Nr6wb0Hxi3$VF`nHicHZDB5KE&zr@%pd}m5- +#include +#include +#include "../Lib/linklist.hpp" + +using namespace std; + +const int PRIME = 5003; +const int HASH_LEN = 5003; + +// Assume Elements are phone numbers like 150-xxxx-xxxx +class Elem{ +public: + Elem(){ + number = -1; + } + explicit Elem(long long number){ + this->number = number; + } + long long GetKey() const{ + return ((number % 10000) * (number % 10000) + (number / 10000 % 10000) * (number / 10000 % 10000)) % PRIME; + } + long long number; +}; + +class LinearHash{ +public: + LinearHash(){ + hash = new Elem[HASH_LEN]; + for(int i = 0; i < HASH_LEN; i++) + hash[i] = Elem(); + } + ~LinearHash(){ + delete hash; + } + int NextAddr(int key0, int cnt) const{ +// cout << "Collision." << endl; + return key0 + cnt + (key0 + cnt >= HASH_LEN ? -HASH_LEN : 0); + } + // 1: found + // 2: inserted + // -1: not found + // -2: table full and cannot insert + int BaseSearch(Elem elem, bool insert, int& cmp){ + int key0 = elem.GetKey(); + int key = key0; + int cnt = 1; + while(hash[key].number != -1 && elem.number != hash[key].number){ + key = NextAddr(key0, ++cnt); + if(cnt > HASH_LEN){ + if(insert) + return -2; + else + return -1; + } + } + cmp = cnt; + if(hash[key].number == elem.number) + return 1; + else if(insert){ + hash[key] = elem; + return 2; + } + else + return -1; + } + bool Search(Elem elem){ + int dum = 0; + int stat = BaseSearch(elem, false, dum); + return stat > 0; + } + bool Insert(Elem elem){ + int dum = 0; + int stat = BaseSearch(elem, true, dum); + return stat > 0; + } + int CompareTimes(Elem elem){ + int cmp = -1; + BaseSearch(elem, false, cmp); + return cmp; + } + int CompareTimes(int key0){ + int cnt = 1; + int key = key0; + while(hash[key].number != -1){ + key = NextAddr(key0, ++cnt); + if(cnt > HASH_LEN) + break; + } + return cnt; + } + double AverageCmpTimesFailed(){ + int times = 0; + for(int i = 0; i < HASH_LEN; i++) + times += CompareTimes(i); + return times * 1.0 / HASH_LEN; + } + double AverageCmpTimesSuccess(){ + long long times = 0; + int elems = 0; + for(int i = 0; i < HASH_LEN; i++){ + if(hash[i].number != -1) { + times += CompareTimes(hash[i]); + elems++; + } + } + if (elems == 0) + return -1.0; + return times * 1.0 / elems; + } + void Print() const{ + cout << "------" << endl; + for(int i = 0; i < HASH_LEN; i++) + if (hash[i].number != -1) + cout << i << ": " << hash[i].number << endl; + cout << "------" << endl; + } + Elem* hash; +}; + +class LinkHash{ +public: + LinkHash(){ + hash = new Linklist*[HASH_LEN]; + for(int i = 0; i < HASH_LEN; i++) + hash[i] = new Linklist(); + } + ~LinkHash(){ + for(int i = 0; i < HASH_LEN; i++) + delete hash[i]; + delete hash; + } + int BaseSearch(Elem elem, bool insert, int& cmp){ + int key0 = elem.GetKey(); + int cnt = 1; + Node* p; + p = hash[key0]->head->next; + while(p && elem.number != p->data.number){ + p = p->next; + cnt++; + } + cmp = cnt; + if(!p){ + if(insert){ + Status stat = hash[key0]->ListInsert(1, elem); + if(stat != OK) + return -2; + return 2; + } + else + return -1; + } + else + return 1; + } + bool Search(Elem elem){ + int dum = 0; + int stat = BaseSearch(elem, false, dum); + return stat > 0; + } + bool Insert(Elem elem){ + int dum = 0; + int stat = BaseSearch(elem, true, dum); + return stat > 0; + } + int CompareTimes(Elem elem){ + int cmp = -1; + BaseSearch(elem, false, cmp); + return cmp; + } +// int CompareTimes(int key0){ +// int cnt = 1; +// Node* p; +// p = hash[key0]->head->next; +// while(p && key0 != p->data.number){ +// p = p->next; +// cnt++; +// } +// return cnt; +// } + double AverageCmpTimesFailed(){ + int times = 0; + for(int i = 0; i < HASH_LEN; i++){ + times += hash[i]->length == 0 ? 1 : hash[i]->length; + } + return times * 1.0 / HASH_LEN; + } + double AverageCmpTimesSuccess(){ + long long times = 0; + int elems = 0; + for(int i = 0; i < HASH_LEN; i++){ + Node* p = hash[i]->head->next; + if(p != nullptr) { +// times += CompareTimes(p->data); + times += hash[i]->length * (1 + hash[i]->length) / 2; + elems += hash[i]->length; + } + } + if (elems == 0) + return -1.0; + return times * 1.0 / elems; + } + Linklist** hash; +}; + +int main(int argc, char** argv) +{ + LinearHash ht1; + LinkHash ht2; + ifstream fin; + fin.open("../rand.out"); + long long phone = -1; + Elem elem; + int number = 9000; + double alpha = number * 1.0 / HASH_LEN; + for(int i = 0; i < number; i++){ + fin >> phone; + elem = Elem(phone); + bool stat1 = ht1.Insert(elem); + bool stat2 = ht2.Insert(elem); +// cout << ht1.CompareTimes(elem) << endl; + if(!stat1) + cout << "Error inserting into ht1" << endl; + if(!stat2) + cout << "Error inserting into ht2" << endl; + } + fin.close(); + cout << "Finding test" << endl; + cout << ht1.Search(Elem(18865352160)) << endl; + cout << ht1.Search(Elem(13664038822)) << endl; + cout << ht1.Search(Elem(15800000000)) << endl; + cout << ht1.Search(Elem(13699068352)) << endl; + cout << ht1.CompareTimes(Elem(18865352160)) << endl; + cout << ht1.CompareTimes(Elem(13664038822)) << endl; + cout << ht1.CompareTimes(Elem(15800000000)) << endl; + cout << ht1.CompareTimes(Elem(13699068352)) << endl; + cout << endl; + cout << ht2.Search(Elem(18865352160)) << endl; + cout << ht2.Search(Elem(13664038822)) << endl; + cout << ht2.Search(Elem(15800000000)) << endl; + cout << ht2.Search(Elem(13699068352)) << endl; + cout << ht2.CompareTimes(Elem(18865352160)) << endl; + cout << ht2.CompareTimes(Elem(13664038822)) << endl; + cout << ht2.CompareTimes(Elem(15800000000)) << endl; + cout << ht2.CompareTimes(Elem(13699068352)) << endl; + cout << endl; +// ht1.Print(); + cout << "Statistics: " << endl; + cout << "ht1: " << endl; + cout << "elements: " << number << endl; + cout << "alpha: " << alpha << endl; + cout << "avg. cmp. times succeeded: " << ht1.AverageCmpTimesSuccess() << endl; + cout << "cmp. times succeeded theory: " << .5 * (1 + 1 / (1 - alpha)) << endl; + cout << "avg. cmp. times failed: " << ht1.AverageCmpTimesFailed() << endl; + cout << "cmp. times failed theory: " << .5 * (1 + 1 / ((1 - alpha) * (1 - alpha))) << endl; + + cout << "ht2: " << endl; + cout << "elements: " << number << endl; + cout << "alpha: " << alpha << endl; + cout << "avg. cmp. times succeeded: " << ht2.AverageCmpTimesSuccess() << endl; + cout << "cmp. times succeeded theory: " << 1 + alpha / 2 << endl; + cout << "avg. cmp. times failed: " << ht2.AverageCmpTimesFailed() << endl; + cout << "cmp. times failed theory: " << alpha + exp(-alpha) << endl; + return 0; +} diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/rand.out" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/rand.out" new file mode 100755 index 0000000..575b440 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/rand.out" @@ -0,0 +1,100000 @@ +18865352160 +13697752516 +18841897724 +18865338387 +15120971435 +15899106272 +18839242243 +15168467745 +15884514607 +18887210689 +13661651605 +13664038822 +13987030445 +15802692021 +15171780282 +18557363156 +15147426384 +15871561802 +18570395252 +13972533271 +18528180307 +15185653115 +15177250286 +15187885554 +15859719507 +18800561565 +13964074844 +15142836885 +18576373941 +13995975490 +18514304442 +15851791000 +18883525333 +18587211002 +15866591080 +13951374967 +13943622191 +18527860239 +15174458073 +18810744266 +15106188244 +15117493135 +18581982553 +15144023630 +18500327742 +13992743028 +18821044856 +13654130908 +18820737784 +15115248272 +18527412140 +15855870964 +18899647902 +18580980537 +15838346632 +15894533134 +18509835279 +15816880104 +15832129232 +15158351067 +15875617407 +15829071806 +18813467227 +13947585832 +13917188765 +13916449990 +18840313814 +13931590840 +15165652128 +13615267721 +13931988303 +15827836797 +18898039611 +18581040721 +18545209925 +13948391598 +18597638989 +13931546359 +18508613500 +15854623395 +15812099330 +18552293083 +13981034474 +13904227526 +15862975763 +18876121893 +18843900212 +15810599038 +13951192368 +13692521562 +13946002222 +18582731791 +13643975455 +15116902164 +13673538038 +18863890294 +13913535105 +13650864460 +13679915835 +15848095802 +18804524245 +13997496055 +18573181611 +15181523538 +15154713799 +13957506519 +15850945840 +15852839119 +15136896815 +18571646364 +13613714453 +13934246598 +18811832620 +13611925689 +13971476980 +13902560939 +18871042472 +13984955997 +13984254110 +13956893253 +15880588365 +13696109211 +18817466524 +15835694426 +18504041466 +15869670368 +18811665460 +13951709286 +18867896111 +13913844705 +18513334768 +18524654654 +18583921997 +13957302214 +18811115309 +15119420519 +15831990999 +13909856798 +13622567632 +18579116404 +15121785683 +13957280901 +13944781330 +18810734905 +18502427502 +18835022579 +13960124573 +18832152005 +15199504077 +13636861259 +18839817749 +18809067165 +15832916386 +13659761932 +18520530011 +18549753529 +18566426930 +13677395071 +13975971624 +18594727273 +15853328305 +15169835219 +13954665837 +18846235669 +15893152018 +18846822089 +15165167105 +18892231356 +18544493794 +15832949286 +13611460064 +13609057767 +13628724197 +13942238991 +13616106205 +15854582432 +15139666927 +15127802661 +13635745683 +15862799818 +18505299865 +13978163499 +18569537253 +13954449967 +15102321821 +18816432518 +18562068738 +13913175346 +15866544674 +15867032714 +13908866171 +15807281779 +18578013889 +15886118337 +18802466208 +13656999002 +18845375288 +13628041170 +15872028043 +18871259302 +18517430228 +18844071631 +15180111847 +18503373614 +18553992889 +13980402629 +18513797250 +15190880880 +13698591428 +15847844176 +13665671813 +15164258312 +18861082758 +13956808120 +13609985670 +15895151121 +18861675918 +18813744125 +15831211676 +13968903894 +15870681227 +18564389126 +15867228417 +15831591821 +15815264878 +18500069802 +15898539917 +18556169673 +13616796813 +18875606357 +18837024006 +15130575942 +15174775613 +13972278867 +15100236636 +15820870692 +15828807749 +13624943417 +18845683177 +15190556093 +13927736933 +13678042490 +15856573070 +15808504665 +15159687604 +18884355686 +13637895548 +18524431430 +18574901555 +13948977258 +18816494946 +13634386836 +18591796818 +15819931887 +18869515445 +18514492573 +18865821085 +15813440701 +15812219120 +13640036853 +15190684053 +15867455515 +15187622336 +13601392584 +13681780342 +15110145226 +13699504514 +18522778260 +15825959028 +18544107193 +13983085490 +15850655266 +15830933140 +15166985805 +18544411362 +18835991049 +18885649895 +18851895806 +15800763717 +18863341849 +13929719920 +13653025119 +15171284193 +15802133938 +13605453094 +18578183437 +15880398518 +13619565499 +13674698780 +13990864790 +13998044201 +13666415415 +13914653864 +13988905036 +13667215957 +15880466627 +18860552607 +15874648582 +15868757857 +13648583817 +18815243010 +15848630715 +15137752474 +18810113142 +15859234812 +13627792036 +18858740243 +13990775493 +15807208336 +18838940683 +18802615965 +15118292582 +18879524775 +18806308562 +18882380583 +18591816229 +15163564076 +18532141291 +13974678446 +18502005537 +15102930051 +18555009303 +13907570889 +13912382700 +15140514777 +18564049599 +15191281559 +18896098491 +15850905437 +18523114346 +18896808728 +15808420976 +18841247941 +18531453970 +13667634615 +15138336463 +13960105623 +18593514597 +15104622229 +15862699320 +18594173823 +18548514831 +13912335924 +13620731788 +15197432579 +18806813175 +13944816209 +13933714739 +13999281599 +13955968345 +18875643682 +18570764566 +15816682055 +13954606084 +15868978786 +13683696708 +13984489853 +13927213054 +13648446871 +13640893499 +13924590020 +15866636980 +15888150616 +13688005683 +18889228053 +15163395109 +13933617878 +18834579206 +13673754936 +13901259764 +13634473798 +13638248198 +15165713829 +13675001990 +15131856483 +13642411356 +15831901987 +15828165454 +15129527828 +13655305407 +13621631035 +13647570719 +15186449856 +15829493016 +18837348596 +18895528282 +15894493213 +13662026795 +15854026714 +13948593950 +18888781000 +13656001926 +15854732768 +13924361428 +13669029945 +18856316309 +15801598845 +15182335216 +15807237915 +13930790176 +18574646036 +18590977302 +18563626595 +15114798518 +18878028893 +13671386756 +13955923405 +18524906642 +13942731070 +18538184021 +15136106542 +18801646663 +18899528129 +13632628510 +13904158423 +13934850131 +15853512746 +13628653648 +13648079040 +15165652870 +18510406517 +13977586661 +13940117518 +13962040242 +18591857550 +13695081880 +18862391918 +18843212734 +13634054827 +13663348107 +13617441534 +18873262671 +18881734461 +18850184854 +15165300304 +13940371522 +13675761697 +15817345963 +15877549273 +13677685541 +15899946842 +18875345284 +18547654950 +15156244807 +15822050844 +18523034988 +15875907557 +13992843120 +13690737207 +15134268256 +15124549445 +15849100778 +13937398383 +15896118568 +13648756052 +15878887194 +15814636215 +13984278868 +18588932201 +13940665390 +18528127176 +18803102707 +18577885855 +15835375779 +13961347376 +15102264417 +15808044313 +13928231666 +13650096124 +13995023307 +18513180229 +15862406645 +15199428032 +15866223791 +15109035962 +18584926998 +13964109209 +13912359155 +15820545165 +13980316580 +18581993844 +13610097867 +18554649603 +13959485566 +18810730939 +13620752993 +15149088808 +18879319489 +13980223787 +18817995371 +13969022075 +18885679136 +18881066192 +13934331834 +15823079911 +18570265432 +13948779632 +15858565589 +13602449990 +13600485437 +18853210813 +18548819482 +15846711792 +13934621953 +13661148737 +15171971490 +18809758717 +13965288922 +15183999755 +13692234559 +15803589476 +18588648997 +15171877661 +13671765678 +13681347290 +18854336016 +18893155288 +18883174245 +18814092694 +13646172044 +18822358655 +18523182823 +18538356823 +13919043621 +13643532839 +15862376693 +15876615867 +13942762452 +13620204212 +13918350296 +13654129058 +13978767002 +13686599044 +13901028906 +15161035253 +15197677191 +15825870176 +13637476121 +18840181816 +15826539045 +15152298636 +18835836163 +18853044189 +13682814952 +13961205444 +13944112356 +13691798089 +13671623286 +15803514773 +15105879040 +18825400450 +18515364745 +18839917833 +15175347446 +18896064288 +15830165759 +18513107088 +15822378916 +15819447770 +15100806533 +15894055510 +18816866672 +13684588549 +18528683251 +18802645183 +13699769055 +18819239950 +18580044243 +18573643923 +18843768635 +18842551199 +18511668346 +18899152218 +18565685526 +15113315194 +13908571004 +13968962624 +15898898454 +18892773536 +18512970032 +13610369032 +13627368354 +13665918425 +13904603365 +15885707020 +15883994114 +13669963974 +18519862434 +13914784124 +13604954749 +18524665179 +18582810969 +15848432624 +15122716156 +13621952628 +13655490356 +18885711924 +15102286663 +18586092631 +18557485814 +13667642911 +13676164713 +13683459010 +13924740048 +13635491764 +15830831754 +15160277481 +18814375471 +18852748018 +15114360505 +15811336885 +18839535149 +13685224171 +15824514286 +15155909077 +15811592284 +13685396645 +15120756250 +13634602738 +15816437032 +15815091711 +18565489732 +15873541885 +13630720013 +18865946229 +18571834076 +13605650548 +18867252984 +13972254716 +18502201490 +13683194170 +13606234738 +13623804946 +15875229065 +13917369299 +18885164748 +15836451469 +18595465010 +18821090323 +15842312362 +15890724687 +18534045297 +18888041423 +18556702042 +15172441104 +15855404040 +18555596484 +15170349294 +18526102765 +15861953820 +13671826627 +18572503850 +13977128970 +18577522579 +13668241985 +18825008646 +18846804837 +13972258213 +15166746556 +15851510839 +13660262498 +18577335457 +18818606268 +15802691008 +15877538031 +13983174768 +15828705552 +13966497696 +15153955109 +13938398114 +15139895522 +15828024225 +18823149068 +15875457031 +18525709200 +13691921111 +18500031476 +18843636599 +15118790854 +18576434703 +18895934552 +18591443165 +18589257073 +15881889028 +13684079357 +15154866485 +13995104728 +13925266708 +15888008922 +13901621402 +15139981784 +15148808628 +13966327816 +18822561564 +13987790403 +13667558555 +15198813479 +13909739794 +13688384047 +18885892464 +18590646697 +13626122239 +13688235674 +18523818647 +13917879341 +15897360554 +18862444453 +13665562660 +18800222798 +15112878742 +15139521800 +15867620365 +18833711601 +13644476282 +13683075022 +15864820779 +13958010743 +15103209466 +15147716503 +13959885504 +15878177048 +18515352540 +18507442272 +18577666066 +13984402853 +18867324104 +13991057503 +13948980101 +15826179122 +15825063554 +18851613376 +18558107703 +18550917086 +15866793939 +13951645052 +18895006419 +15875371498 +13673888628 +18542503346 +13641978943 +15142915280 +13636145454 +15894272724 +18846552175 +15898876929 +18526302732 +15874838741 +18590300343 +18543459929 +13955457689 +15153217779 +18549644321 +15121919816 +15146841444 +18895470606 +15149856307 +13912856692 +18514758736 +18534967687 +13938344917 +13699501969 +13625386266 +13626665492 +18894721354 +13925629222 +13652501088 +18820451206 +18853123559 +18566724726 +15107323426 +15110160655 +13645641119 +18581491821 +13912464078 +13937756092 +18838017338 +13932929976 +13613293269 +13922057167 +15131025003 +15889520347 +18867744036 +18561510283 +13632801915 +18567461544 +15805399133 +13634929480 +15179572636 +13618478475 +13935606842 +15821824572 +13916900013 +18864500655 +13943609978 +18860519787 +18560305623 +15877347099 +15160433043 +18558605054 +15854888406 +18843185590 +13986246798 +18843440598 +18867465588 +15127994762 +15853540979 +18845258573 +15831063924 +18514631980 +13642179615 +18524652162 +13999794584 +18898050968 +18524066300 +15187596097 +15837075120 +15847875864 +13658221545 +18877221233 +18531942240 +15846419176 +13928224260 +13650442483 +18847194108 +13937551586 +18528366353 +13664947378 +13604784266 +15858702361 +18802920235 +18888810418 +18562602841 +13629996648 +15102419760 +13991672179 +18820043358 +13629552397 +15830071302 +13909310404 +13655462110 +13928122450 +18849487061 +13991163393 +13948321315 +18575565404 +15869406884 +15852176591 +15824797587 +13617980711 +13668087093 +13615742698 +15122984955 +15836617855 +18802688996 +13657271963 +13900588669 +18806506214 +18854353913 +13691866742 +13638285289 +18517901753 +15134027286 +18868327399 +13984999695 +13667432735 +15123323433 +15166480857 +18565308038 +15844889983 +18828581531 +18824297100 +15846086611 +18882500793 +18532297858 +18871914932 +18565692627 +13944908800 +15152883195 +15185083844 +18540389794 +15884038157 +15892605314 +15137703352 +18893819505 +18874575566 +15159375506 +13658710500 +15137358861 +15830985777 +15884125256 +18597738507 +18533601571 +13903497985 +15850826528 +13676251875 +18872644535 +18578204182 +18521818251 +13904204854 +15856699824 +13609741814 +18515413654 +13974588979 +18886986821 +18596488171 +13977779654 +13968655091 +13946767855 +18879885298 +18566718695 +15163065144 +18880422350 +13933031505 +13991844888 +15176625124 +13979137391 +13624537587 +15894396623 +18875793519 +13910584082 +13600101348 +15154935294 +15198129158 +18821075789 +13983350126 +13947558250 +15185744948 +13659823579 +13668484552 +18529536272 +13984753145 +13933239694 +15147719769 +13923722248 +18880544906 +15152761342 +13923666183 +18871064192 +13915666219 +18838649942 +13679813369 +13648518788 +13678823943 +18847887505 +13630289609 +18554797610 +13947920442 +13999281471 +18811599372 +15829673886 +18831735142 +15172911390 +15141106641 +15139976116 +13953792182 +13981613495 +13653974095 +15193153888 +13925952744 +13940653843 +18848450024 +15183567237 +18516483935 +13629878198 +18546238198 +15183483480 +18814717195 +18594881004 +13908926264 +18567851329 +18882995053 +13660001117 +13986656503 +18823662700 +18570236211 +13694798703 +13950223479 +13943226442 +15173981566 +13913924393 +13667817178 +13631412166 +15813322479 +18833682756 +13934694631 +15104804194 +13985893367 +18819302985 +15855441035 +18580299077 +15870312292 +15860686635 +13974162992 +13641904032 +15801663971 +18542024708 +13972340667 +15874064363 +13996287802 +13966552835 +15129832821 +13976722383 +13672946881 +18591306419 +13619441155 +18521269647 +15893451616 +18527192186 +15120792172 +13625505860 +15182724164 +13946921674 +13976100508 +15871220782 +15853323003 +13622257297 +15884736251 +18825865121 +15806100692 +13920490294 +13683183835 +15174766389 +13693861786 +15136929822 +13636215795 +13964995393 +15869894230 +13948410778 +15863159935 +15142789662 +18553482513 +15183012815 +18808740110 +13618788843 +15190613894 +13916068538 +15865850462 +15871412976 +13967216779 +15801177359 +18879478660 +13641909321 +13615256393 +13918572791 +13905722011 +18566684954 +15868828471 +15806110990 +15192956599 +18514800887 +15166373313 +15872396154 +18565086644 +13693189627 +18534577713 +13667118099 +15164464297 +15815185956 +13914329433 +15109017408 +18805473776 +15114727094 +15127293947 +18537981607 +15107636851 +15181266246 +18820124426 +15152303211 +15191298726 +18824308237 +15895227433 +13611412472 +15866913170 +18596766437 +15115196259 +18548775769 +15102278052 +13973823671 +15170406795 +18506650399 +13612569200 +13905961586 +18521559896 +15805055934 +18540526742 +15891192132 +18826313939 +18864043426 +18887539632 +15183665563 +15149002272 +15849085787 +13966795736 +18512521951 +15119936984 +15161875116 +13964263915 +15898544631 +13644868385 +18588271843 +18544790661 +18543732053 +13639116251 +13926910462 +15874104888 +18852134401 +15127552205 +18870592494 +13657750553 +15801992480 +13693371632 +18506178446 +13616115965 +15847755784 +13990189237 +18854200286 +18579261654 +18563507917 +15815605232 +18891722581 +18587097489 +18802157949 +18544117530 +18850661078 +18576721132 +18568623707 +18544070914 +15191264783 +15875459588 +18557490143 +18593697937 +15152690542 +15863237377 +15180591975 +13612637338 +13629757179 +15194938585 +15158150266 +15824850142 +15871647476 +18883227400 +18833713395 +18569494006 +15184920654 +13672236314 +18807808103 +13658878499 +13680310173 +15149656459 +15118344690 +18566062968 +13993798841 +13692233512 +15143680875 +15838075767 +15865987781 +13930571245 +15115864942 +18519688354 +13934927540 +13639814398 +18813767697 +13944828258 +18809484245 +13683978054 +15135103391 +18589776113 +18849776934 +15888863917 +15802799376 +13632458146 +13961202876 +13608666658 +15860261449 +18804834003 +13640862863 +13693322538 +13986861858 +18595527330 +15842539311 +18500209540 +18558567925 +18825890491 +18592898631 +15195118535 +15825099035 +13687155045 +13682658002 +15801021622 +15155064477 +15896493793 +15149593848 +18824890151 +15808012104 +18881472247 +18894902179 +18873160299 +15121047050 +13912227968 +13905726508 +13904756526 +13606201937 +15188859246 +18532069437 +15818072165 +13695969464 +15171444311 +15125441261 +15808648212 +13963567410 +13905262570 +15861018868 +15880537920 +15800804844 +18830866267 +18552558334 +13972447679 +18803905528 +15139696365 +15885840516 +15194116145 +15135821560 +13684295624 +13607079730 +18863367563 +18594972233 +15118667361 +18894012981 +18842879373 +13947499629 +13674453943 +18534235730 +13982369978 +18871723449 +13656952103 +15107314225 +15856740626 +13907314173 +18536261606 +13900323588 +18515165542 +18523588585 +13957120536 +18844437673 +13603326591 +18846876621 +13992288322 +18827697863 +15116691176 +18589008964 +13645070842 +13654623403 +15113530283 +15173652738 +15866870229 +13971472761 +13997372219 +15193552444 +13695307504 +13929509967 +13992699889 +15115425936 +18551368070 +13986424136 +15119104983 +13998625806 +18800329586 +18516021465 +18592478589 +15827370811 +15111115118 +18855793387 +18519153718 +18573571121 +15171922444 +13952533585 +15834886105 +18552539458 +15127941477 +15888969448 +13620969246 +15175735753 +15178813574 +18559148565 +15805228525 +15870665570 +18865626190 +15812946603 +18898115752 +13651155229 +15197855495 +18531359910 +15875465678 +13627574138 +18524662992 +15859359219 +13691107415 +18516005175 +13918421274 +15145272048 +13638702521 +18866374766 +15825115478 +15199626985 +13616120009 +15145129629 +13686139378 +18567705400 +13697506393 +18525272697 +15858950835 +15810236564 +13640122323 +13689381671 +13664598732 +13688402063 +18505808537 +15891096255 +13925003109 +15181137537 +15849026300 +13680012933 +18895696911 +15868911361 +13626510342 +15835168452 +15140434403 +15176908119 +15122389485 +13627427344 +13633105520 +15887257555 +13996372606 +15863933777 +18887775256 +18570803998 +15804098389 +18824691841 +15180313914 +18522913600 +18515402455 +15183867453 +13965725582 +15817135301 +13625312344 +15109176560 +15170530427 +15863151974 +18543808070 +15116447182 +13900414719 +18836466955 +15810922991 +18589860681 +13982924934 +15845350921 +13613260857 +13687550991 +15185122870 +18501913107 +15867688958 +15122496698 +15136275966 +18865646788 +18808342103 +15812823072 +13631336119 +18570030257 +18547859141 +13681368905 +13633228596 +13654770301 +15883153186 +15818626262 +13663372985 +13694258422 +13992466559 +15144098759 +13986402235 +15869607393 +13942886820 +13608540889 +13956628820 +13603806455 +13997748902 +18889059830 +15893403644 +15174896223 +18513785235 +18517160562 +18881342414 +13907756287 +13902319711 +13984144404 +15817972718 +18871052968 +18894488061 +18815951930 +15835115521 +13943833421 +18505689975 +13947947594 +13682894352 +13992466807 +13985057453 +15113561300 +18832289089 +18552060778 +13669567559 +15842552056 +18563936886 +15822959118 +15829887687 +13627567077 +18862478990 +18581131945 +18885290208 +18532398841 +15801445121 +18872241047 +15128849783 +13696618456 +15879949440 +15199893710 +18505211578 +18877765588 +18574174339 +13906110688 +15188454792 +18834804994 +18804242959 +18564479134 +18555435800 +18820547172 +18806613954 +15131798293 +13912319703 +13980970695 +13978447215 +15841593505 +18858953805 +18802166737 +15188995690 +13600893158 +13654187019 +18893041852 +18558573006 +13962279459 +18553268939 +15821293790 +15121494642 +13646593991 +15195031398 +13945000748 +13954135023 +13637089477 +18587066502 +18579391212 +15817980148 +18886620295 +18842290040 +18509900012 +13986005345 +15111517817 +18507213428 +18873109371 +18862889398 +13982211850 +15849343126 +13968995692 +18595444896 +18829020472 +18523631095 +13678771165 +18863025473 +15891235388 +18854274484 +18889552785 +18596697654 +13935304359 +18555037888 +15136216650 +18535918821 +18503775193 +18848202714 +18805691441 +13960550307 +18506774189 +13967248851 +13647494907 +18843862471 +18864936635 +13931979724 +15860498920 +13635835873 +18822250404 +18515626239 +18867195453 +18514908551 +13919839370 +18842048981 +18878212588 +13936487032 +15149264163 +15800245953 +15161976565 +18550323290 +15155231412 +13681539475 +13645043716 +15198162148 +15880529863 +13647068918 +15107931882 +13988942334 +15136669102 +13676572952 +15127220040 +13607898406 +13915982374 +15832577616 +15818831778 +13675873709 +15851349598 +15898381869 +13929321939 +13681607540 +13942538297 +18837564396 +13929614953 +13630409199 +15120251166 +13947018681 +18846477261 +13641534143 +15846414842 +13944817204 +18516295796 +13621324498 +13696881935 +18550302693 +13643208328 +13957916435 +18843648190 +15893684108 +18549442485 +15862704470 +18514208404 +15800646254 +13991696019 +15185345761 +15862247430 +18852526696 +18843184125 +18889212927 +15193451236 +13915273297 +18592696517 +15151391247 +18862334497 +18886020864 +18586791760 +18553625221 +13661808084 +15870136497 +13989297674 +18538317484 +13929822156 +18523094158 +15872148405 +18886444088 +15867203235 +15823971709 +15866049751 +13958340468 +15820488239 +18887086320 +13919835456 +18521589518 +18893807210 +18520887765 +18545905193 +18851473285 +13677806464 +15180426834 +18545119547 +13623700275 +18870878257 +13987710049 +18528590898 +18804269362 +15858936294 +18867658668 +15891297281 +15819477339 +18845301750 +13907548840 +18571608567 +15199603274 +15178301081 +18535302378 +15187595268 +15196277325 +13921862209 +13907330875 +13994961577 +13628639321 +15896296649 +15883672074 +13995352119 +18846772958 +18530225686 +13915936321 +18878669228 +18826924870 +13617877132 +15870660650 +15847596501 +18856464093 +13646529748 +13615751710 +15170298366 +13683643151 +15181418302 +18546876717 +15828054834 +13979535544 +13662660868 +13923787006 +15870180693 +13950082932 +13913175207 +15862044923 +15138305773 +15886559810 +18820128504 +18506779228 +13677652271 +15838737161 +13934738898 +18574260851 +13608892251 +13694955271 +13929223732 +13676659069 +13698243979 +15142407502 +15805619075 +13916645949 +13684868312 +18832179663 +13971526048 +18534303029 +18814504735 +15875304025 +18825934723 +18579331685 +15172598411 +18866915575 +18528983130 +18869056092 +13608916432 +18848915101 +15105494930 +18805861423 +15850033059 +15137301828 +15818585148 +15892134952 +18588193149 +15127420638 +15109733724 +13902907102 +13609699920 +13621026049 +18857102999 +18851192873 +13641908995 +15166490135 +15116298989 +15825355356 +15891036059 +18828757394 +13687065022 +15816664632 +15116930272 +13935959172 +18532333687 +15134491839 +15156751797 +18864984839 +13979876308 +18899265466 +18548216976 +13605940941 +13992050081 +13649593718 +15889734965 +13627904746 +18503397283 +18837232154 +13692552825 +15846377113 +15189199327 +18844470073 +18523305652 +13637520835 +15821250015 +18508731308 +15156142214 +18818998322 +13637859375 +18517630621 +18511757156 +15876146505 +15157746396 +18555697045 +18511941568 +18803213198 +15160444002 +13988315273 +18873786023 +13676725462 +15809790842 +13978888096 +15184458791 +18583260151 +18817976302 +15126892244 +13941508470 +18594504757 +18531278696 +13614549739 +13690557789 +15169259143 +13625845441 +18564825040 +13659615278 +18821372285 +13931945193 +13919107801 +13913588697 +18515458485 +18589443231 +13992599764 +13607679483 +13959393550 +18824210191 +15140972335 +15832001725 +13665467999 +18827779568 +13618077859 +15182257640 +13646550606 +18570872761 +15157243424 +13649284664 +13943129176 +15106109923 +13944927572 +13686005478 +18841368880 +18512108366 +18563657168 +13670067379 +18847119213 +15125942753 +13958145707 +18874519835 +13649397507 +15172902324 +15870852330 +15864037409 +13947281416 +15802369844 +15832940734 +13673712336 +13910723001 +15145446268 +13647153741 +13638402590 +15843689733 +15141565758 +18862432280 +18849161117 +13923309802 +13626613431 +18803400408 +18886222409 +18594921985 +13677390840 +18820861255 +13685931303 +15182017513 +18894093612 +18888330445 +18872266433 +18801234711 +13980463530 +15861546087 +18597395718 +15879853489 +15877323913 +13908193167 +13696731144 +15160368778 +13987786288 +15850949540 +15808451752 +18542086793 +13903678200 +15159292668 +18878172411 +13628149725 +18588149720 +15187475275 +18824618677 +13971088544 +13614082121 +13909629522 +13900055733 +15895260859 +18513635868 +13919018566 +15142355303 +13937731313 +13923791578 +18580916417 +15894731739 +18518633979 +15889427229 +18533205331 +15849085895 +13666505648 +13930821436 +13971774649 +15836219039 +18815317143 +13606101704 +18875292764 +13676197042 +15865078631 +15157785940 +13602329279 +13699667643 +13665245557 +15100631856 +13944396704 +18503557123 +13636216926 +13994573962 +15804977653 +15150235653 +13606510241 +18598507208 +13919736717 +18541427382 +18534263881 +15168735163 +13902861913 +13635415941 +18826449989 +18865538354 +18853182432 +15170073519 +15881674168 +15821788096 +13615492787 +15116499307 +13964355986 +13976166040 +15157747538 +18875009182 +13621382903 +18898461479 +18561308426 +13677226929 +18803113572 +15164535233 +15810124222 +13690962319 +13975893282 +13605409488 +18534583394 +15805793266 +13948430463 +15882776408 +15129391407 +13964824711 +18865531721 +13605880542 +13960081635 +18885093852 +13601508936 +13979239748 +15152041371 +18534769379 +13645577997 +13647266276 +15854497786 +18598559783 +15840918197 +18584010523 +15870710761 +15133499876 +15887036474 +13996595188 +15196854420 +15874785631 +18562853765 +15804105742 +15886852012 +18851244580 +15172519992 +13651252475 +18563145412 +18814327950 +13696367023 +15841572115 +15140427352 +15112979014 +18570289484 +13647892093 +15156197590 +15892824966 +13698562970 +18550630427 +18855014184 +13949001322 +13935946307 +13651603871 +15866257905 +15171210482 +15164591190 +15837421942 +15881214303 +13982187007 +13970848869 +13613399685 +13947860459 +13999583166 +13605438661 +13620021444 +15885859878 +15847152568 +18892363171 +18552838119 +18897424842 +15886312415 +13675019037 +15874383588 +15895210837 +15121045936 +18850155212 +18871169436 +13977544700 +18881723263 +18884074426 +13953339094 +15116275288 +18816802516 +18517051913 +13647444644 +13970933378 +18819780054 +15178319244 +18821536899 +18837940709 +13643844647 +13950230410 +15117306799 +18539541393 +13923677159 +15808870404 +13978014019 +13922399259 +13639313741 +15156718173 +18515174929 +13664888158 +13984009571 +13608752973 +18595463290 +18573302375 +13981557410 +15828535600 +18544453606 +15147358943 +15107643410 +18825277555 +13686439201 +18826624130 +13604003245 +18511611680 +13668022979 +15113925992 +18886665755 +15107358146 +18829646576 +18557116526 +18573052870 +15123852245 +18828895646 +18860654961 +18533753523 +18545547425 +18834296541 +13675943029 +18571419982 +13693168216 +13676320565 +18514797479 +15843617030 +13989724415 +18570103709 +13686516538 +13616559090 +15179752690 +15880401535 +13970100645 +18870782550 +13674140676 +13985904820 +18555898078 +13991804921 +18517248638 +13601042397 +18544776275 +15865869900 +13682383547 +18537606612 +13900294391 +18595548763 +15865649834 +18574616598 +15889601313 +15137845314 +15105291963 +15169441997 +15109697702 +18853935248 +15852633999 +13636847203 +13969500132 +15800178515 +18598068524 +18892339703 +18842450003 +13922179855 +15841370869 +15178164997 +18536002725 +15104965828 +13631953032 +15168097102 +15139626257 +15838300925 +15149866857 +13652903668 +15140944213 +13900391872 +15811184797 +15892858058 +18880532961 +18858744228 +18598989603 +15165226083 +13966110755 +18530361106 +18884824937 +15822442733 +18898922448 +13923429592 +15803512649 +13999420294 +18580850015 +18515900293 +18899842952 +18883184981 +18871761331 +18562563876 +13994479546 +15155229275 +13919627045 +15135248548 +15100292602 +15885116099 +13998268135 +18801781219 +15163439478 +13988418913 +15134560342 +18854639018 +15109768361 +13656679280 +13994873807 +13929315289 +18809128432 +15808584184 +13642814634 +18563637733 +15880124812 +15832714436 +13977330158 +18877773678 +13978610848 +15856357722 +15138051641 +15123223662 +15183993881 +13938323774 +15116883640 +18576218111 +13655790934 +18835411234 +15846515970 +15842298525 +13625473405 +15871446451 +13929503931 +18819646733 +18526373441 +15802062009 +18834153901 +15184791804 +13653194334 +15804211597 +15808307993 +13959614270 +13667814447 +13923037356 +15835475373 +18848570220 +18522004205 +15157486998 +13950500094 +15120686447 +13915575417 +13958386437 +13695022098 +15168287263 +15828157143 +18522341547 +18520404605 +18511556832 +15815865319 +13626944634 +13948849366 +18868656703 +13960991083 +13954263374 +18526434411 +15806006961 +13939832451 +13930479840 +18500412449 +13986735668 +15863070271 +18502184447 +13973737772 +13633658915 +13926742591 +15864785800 +13608108822 +18526901542 +13957178277 +13643581188 +18529380650 +18832828094 +18551716709 +15816669603 +15891136882 +18517290212 +18823295158 +18543476228 +18857939002 +15146118091 +15158883020 +13947363542 +15804948644 +15897458331 +13694723618 +18806006328 +18868494731 +15170212943 +13600102534 +15871201369 +15191708694 +15158848084 +15861948229 +15127242734 +15104821679 +18516883109 +13917386499 +13967311814 +13971180374 +15197372253 +13600501306 +18802484994 +13916394236 +15158400680 +18884027632 +18879187338 +13665379320 +15180142250 +15826284406 +15100046499 +13909600764 +18560621362 +13996130621 +15147312587 +15103437631 +13999882798 +13690624919 +18811753913 +15183948149 +18847237918 +18884178261 +13658181876 +18896901278 +15143819398 +18524347327 +15830853705 +13985989807 +13625386392 +15133035381 +15183144149 +13693972900 +15175311213 +13919377856 +13953696563 +18801404657 +13925880137 +15824162376 +13906121690 +18562400628 +15164860375 +15103120622 +15899717356 +18576574601 +18807489037 +15851289547 +13980769123 +15174059816 +15825391438 +18596575850 +15822601156 +15867284666 +18859436480 +13607634761 +15168821891 +18836308128 +15858334654 +18565322939 +15183795304 +13618306674 +15844522465 +18834025137 +13617634355 +13909070668 +13676932376 +13943241415 +18885657599 +15110242149 +18808397149 +18561728015 +15198033896 +13902872069 +18547581521 +15170018062 +13650913437 +13617251315 +15144959338 +13981427683 +18594337137 +18873303020 +13610153687 +13981923601 +18860834070 +13651167433 +13940194265 +13980826113 +13694257153 +15166388214 +13993334598 +18864822056 +15131687753 +13641360225 +15197966050 +18807260347 +15121201621 +13975705412 +18899570806 +15195838281 +15803589583 +15130358137 +18526908667 +18506412674 +15817043683 +18596688502 +13677219079 +15851729633 +18872675323 +18508022596 +13965110881 +18505729449 +18520663993 +15188890320 +13689890393 +15184783533 +13649789583 +13614938027 +13987684113 +15127328682 +18560892119 +15899301194 +18522032963 +13946167229 +15816046143 +18871248811 +18591101291 +15896357248 +18861948579 +13999235237 +18809196465 +13997714529 +13995614956 +15180974368 +13937015880 +13951913736 +13646679391 +15843334315 +18862771976 +18889111085 +18560156123 +18577693845 +15852611338 +13957337286 +13643473319 +18520904751 +18570333091 +13667785881 +13621070175 +18568458168 +13906680382 +13677970995 +13651657271 +15144289485 +13675478098 +13605653913 +15801848577 +18859048599 +15850537287 +15817017776 +15871835424 +15880041548 +13977538667 +13973820699 +18528009351 +13927686255 +15868472388 +13624130437 +13959243863 +13961439660 +13603440015 +13930953146 +18575350744 +15136034499 +15899626216 +13958840709 +15144459074 +18558672552 +15177876271 +15810814738 +15162317381 +13693163166 +15845491185 +13985229138 +18514468092 +15891069639 +15877649422 +18594637054 +18572453596 +18574823868 +18869097621 +13635661754 +15163034221 +15874392888 +18889849744 +18540243196 +15189189017 +15135049540 +13930395805 +18515433218 +15895014608 +18585361912 +13902848225 +15892719297 +18816088976 +18859237881 +18869550831 +18890259777 +18597599105 +18524614453 +13944768042 +13687230970 +18853715628 +18575305626 +18875189214 +13629634716 +13601595304 +13617449691 +13652647300 +15860535817 +18871321290 +18896120586 +13922243585 +13682842370 +18557614781 +18502808944 +13607028175 +13992884233 +15159505389 +15851641986 +15137304721 +18854044189 +18846174262 +18873435308 +15166438844 +18869077855 +15809347323 +13602831358 +18880839788 +15810307021 +15831773773 +15847488322 +13696619666 +18595189284 +13948951597 +18594067881 +15883715412 +18870052298 +15177031011 +15805335903 +15180744479 +15836886551 +13931991371 +13691973732 +15113438455 +18883842597 +15814801754 +13660582621 +13922537331 +13648713061 +18811755112 +18875186020 +15189189914 +15162324157 +15103966594 +15109209541 +15851354433 +13957736147 +18538477011 +18551284454 +15841240185 +18864725489 +15113546284 +15109114335 +18575036272 +15898495063 +13949335267 +15173677766 +15842869043 +18586252240 +15142235261 +18524245470 +15182086204 +15139270799 +15836348992 +15800589101 +15162656810 +13677473394 +15141817691 +18848366767 +13994835089 +13931846598 +15858601565 +18569991698 +13931754793 +13918403572 +15104458330 +18894202889 +13657814781 +15146293717 +13691379501 +18580148743 +15139788637 +13964138502 +15827831691 +18842301192 +13679511650 +13619119508 +15122689106 +15843083198 +18856825628 +18841435226 +13615774070 +18858439025 +15802201680 +15192422683 +15117507680 +18503032149 +15809398188 +18846804743 +13926628844 +15807087638 +15197255068 +18593197941 +15856638794 +18829239388 +15153108040 +18879282565 +13601177197 +13648027927 +15138855196 +18869984376 +18544949985 +18834733545 +18511721090 +15832955230 +15853447726 +13908633997 +18508721991 +15163848869 +15838940570 +18559042836 +13922290366 +15858230048 +15897412395 +18804872926 +13688031903 +13658561534 +15897894981 +15824520166 +18862646812 +15179775038 +15163097517 +18507640468 +18890517220 +15839100297 +13604436566 +15845655808 +15896297087 +15802648913 +15891287058 +18812595335 +15862588709 +15191567390 +13913857413 +13695975944 +15180226815 +15101433565 +18527538664 +18564194227 +18592341730 +15138630458 +15147305135 +18506319964 +15150196505 +18543046396 +18856172200 +18818215047 +15131307389 +15104207305 +13926824342 +15896797649 +18824374042 +13944129135 +15858944606 +18505790923 +15188152524 +18853356147 +15197828990 +18897793142 +13653029261 +15803599801 +13924230296 +18562582641 +13924137417 +18576767277 +13620079944 +15857961959 +13939416399 +13660620350 +18508489878 +18884787164 +15894763343 +18540868318 +18534923236 +18850563637 +13625411222 +13928322100 +15805677323 +18597457426 +18874518506 +18501226316 +13900541527 +13601552559 +15897601636 +18812295001 +13971145463 +15159542280 +18516016104 +13615520726 +15103815891 +18525916988 +15111124510 +13637458560 +15897286921 +18829785421 +13639583876 +15814578659 +15157592967 +13992904862 +18547410072 +18572380589 +13925155259 +18883195196 +15175569534 +13961672423 +18577981799 +13904582685 +13646465915 +13980464282 +13629487719 +15815369498 +18565007452 +18832812209 +18531276868 +13950582272 +15178796375 +13663159435 +13680606557 +15145550798 +18897324008 +15123357666 +13957413657 +18846533149 +15813118959 +13689106757 +13961726203 +13608513639 +18520860860 +13950051456 +18548933899 +18590882805 +13980872533 +15145735693 +18505077739 +13911841444 +15843032975 +15167053362 +18547968799 +18503961468 +13983743846 +15124253317 +15875789955 +15126530564 +18580176297 +18809919826 +18582430074 +18532334554 +13970513331 +15129534794 +15142535526 +15178401125 +13966923582 +15101827364 +15885946360 +18833992215 +15881172383 +18551282588 +15186131028 +18534999858 +15808215681 +15862040332 +15837960220 +18853019531 +13694211915 +18867586061 +15802410989 +15129040096 +18580708790 +13920791628 +18844435948 +15161619355 +13632804433 +15154098616 +13903453405 +15893774638 +13973452171 +13619240077 +13671750661 +13660362554 +15839768021 +18557034856 +18529964320 +18884677493 +18883523938 +18826482331 +13644348475 +18568489036 +18815109767 +15827125478 +13948203816 +18529115857 +13642214738 +13994810850 +18526745386 +13920198560 +13977690901 +18843858457 +18812589356 +18801825737 +18854152382 +15882161333 +18563355768 +13965605362 +15802821191 +13607158759 +13983618228 +18510847327 +13615945215 +13925224613 +18539666907 +13626852443 +13924762961 +18574619858 +15102797232 +13662161691 +18551026875 +18525597511 +15887213317 +13913971981 +18514829200 +18880499743 +15809226393 +13949933779 +18533070950 +18817108232 +18876164127 +15115424248 +13606765469 +13690374673 +18863246983 +13627489102 +13913542986 +18591552612 +13645870844 +13916405254 +15156136107 +15123246635 +15121683795 +18855096993 +18590143504 +18824196065 +18531912077 +13915446338 +13947531750 +15170510319 +18520449296 +18558909336 +15874798891 +13618186958 +13640269485 +18596664094 +13678650522 +13913757447 +18819146345 +18820515156 +13666083821 +15143181798 +15843629741 +15894752590 +18848768222 +18872455612 +15133443059 +15158617264 +15802505440 +13681898608 +13601902335 +18520304772 +18596819734 +18825995882 +18568658811 +18517694134 +13625038525 +15876955460 +13979027153 +13902518321 +13604190803 +13693708958 +13914705458 +15830514992 +15811468943 +15111122567 +13639697960 +18555434659 +15807297570 +13666341549 +15869283309 +15179522431 +18550284063 +18876204610 +13640819032 +18880776617 +13613951541 +18581788188 +13699907154 +15165069144 +18559206235 +13685061940 +13979744890 +18815777986 +13927875986 +13992491582 +18878404292 +13946317005 +18565342765 +18819802755 +18882853784 +18841286045 +15166910640 +18586627178 +13957222267 +18506063665 +15850346636 +18834589425 +15162230762 +15848122229 +13693777884 +18861284400 +18848739092 +18572475770 +15165293517 +18500333883 +18814755148 +13602669334 +18541149614 +15104452311 +13972724902 +15862816827 +18572946790 +15865579998 +18545235058 +13901772302 +15835328129 +13630576378 +15861479517 +18545657532 +13611283455 +18849311657 +18849037614 +13613078979 +18899960954 +18842448725 +13942863676 +13616334801 +18846635539 +13962324456 +13657604122 +15820182322 +18513074432 +15842234016 +18889066058 +15887263623 +18835725226 +15896378029 +13912056300 +18889536177 +15145083019 +15105296106 +13952160585 +13973458547 +15165267057 +18553003324 +15109934640 +13916747452 +15107635566 +13648334038 +18898198370 +18587244152 +13968403491 +13629123616 +15825852986 +15182100794 +13658846118 +15159390989 +13688598054 +18820697692 +13975301235 +13669121521 +15856044126 +18540277315 +13613169810 +13646454670 +18855028028 +15844337502 +18582633226 +13682247283 +18880999759 +13678756149 +13632314674 +18891476232 +15191309316 +18590274693 +18847613558 +15141167134 +15875484253 +18596681764 +15879779429 +15177873900 +13636247097 +13631831618 +15173695684 +18578189374 +13645673084 +15861725981 +15873104381 +18516565640 +13684661395 +13641934943 +13604566202 +13942140050 +13654185732 +15186973344 +18822901999 +15124867524 +15888383056 +15866840268 +13602213282 +15130673190 +13989433309 +15862963967 +13936880083 +15191846351 +13671867298 +18853158079 +15172916829 +18843827930 +18840936710 +15152546518 +18833564244 +13973133529 +13609233114 +13652874387 +15137948399 +18846203316 +15109117668 +18860356216 +15105713874 +18552453170 +15891078311 +18868028552 +15149746389 +15160092182 +13942969249 +18599111798 +18877965949 +13930262865 +18870804166 +13998889431 +18804479768 +18863702620 +18894050737 +13913586567 +15100878001 +18886456132 +15856860566 +18868663978 +13660393146 +15173843733 +15867691208 +15811492955 +13944488556 +18838604870 +15108498705 +18805020528 +15184499096 +13696780460 +13902324018 +13948144266 +15122044495 +15154086759 +18846587802 +13958836990 +18842526746 +18839479025 +13929208303 +18549753379 +15106256464 +18529922122 +18890175998 +18877548807 +15839688054 +15143450045 +13929650612 +13990531166 +15805444074 +15142218639 +18552478812 +13929129084 +18863998395 +13922844007 +15842861003 +18846021438 +18578386663 +18571815213 +13696883013 +15889535175 +15149969821 +18552786368 +15120708195 +13956224528 +13653016867 +13636092885 +15105283332 +18842959936 +18830521127 +18819257017 +18578863346 +13965268250 +15829903533 +18850265142 +18508285871 +15847867797 +15193559899 +13975778781 +18538780601 +13673143203 +13967609782 +15812233398 +15873725642 +13981855478 +18866731429 +15119605894 +15153694223 +18581776633 +15884493545 +18898762130 +18517049224 +13992985107 +18837095255 +18534775131 +13942967516 +13692735772 +15163389494 +13967288167 +18579055707 +15818838905 +15872768626 +13965876532 +13924774932 +13616589991 +15149936857 +15137212799 +13682328459 +15187530809 +18566750297 +15161995505 +13965229859 +13932432576 +18542815537 +13639903024 +15836174925 +13663125024 +15879620964 +13662333464 +18821751787 +18535570868 +13965985109 +15132903109 +15801785723 +18812018700 +15882253399 +13698553460 +18572666076 +18563420680 +13659504786 +15108940230 +15819979199 +13947667616 +18840246002 +13696341561 +15151683294 +18543053223 +15827845859 +15170583324 +13683397180 +13606009892 +15126875943 +13605627535 +13992032093 +15169623934 +13653441721 +18819207762 +15154451024 +13671607470 +18596214931 +15178150518 +18580163836 +15146621834 +13965148995 +15163570644 +18573524674 +15134939580 +15182223212 +15814395651 +13662101780 +13940161136 +13989083575 +13622844380 +15183265029 +18867475262 +15115980605 +18825478743 +18589253999 +15145887971 +13988772496 +15878717728 +15838779232 +18809239018 +18841612568 +15136461940 +15113297260 +15184528488 +15864246270 +15833111315 +18891566091 +18589870416 +18896313729 +18582745672 +15196641489 +15143273776 +13998667629 +13654525775 +15889509782 +13989207287 +15834690119 +15195206799 +13999621224 +15180481437 +13943096134 +18816815887 +13674070153 +18506177715 +13949666671 +15161378463 +15106225148 +15103770322 +13692457542 +13663737659 +15162288268 +15196133579 +13901110518 +15899219472 +18522018705 +13686847546 +18894206131 +13687354544 +13698016721 +13657606007 +13660736864 +13998330695 +15887866897 +15864105570 +13969768845 +15827015098 +13669129616 +18807227828 +15133552411 +15831767169 +13623883771 +18521540940 +13629875455 +13659169011 +18564424550 +15872281540 +18532957814 +13980013514 +18887336145 +15178917583 +15896570695 +13975870123 +18822319158 +18594387799 +13689661339 +18507187036 +15874384982 +13940046297 +13686954635 +15135588933 +15860070778 +15178486168 +18586806739 +18841380628 +13605518928 +15128787052 +18586075737 +13911155712 +18841182217 +15825346308 +15849697997 +15101300526 +15187720038 +18530690593 +13657455838 +18536118369 +15149678153 +13928011343 +13616543296 +18532380067 +18867003180 +15849061559 +13967828302 +18593071375 +15833468007 +18506637460 +15881703953 +15886156652 +18538699536 +13938413415 +18510566317 +18531073409 +13907762882 +15884010243 +18549389565 +18565992234 +18857554093 +13962525910 +13995967327 +15100842630 +13904375625 +18566735076 +18558040117 +15811376101 +15859874373 +15847194076 +15815152198 +15104862854 +18524791986 +13682289016 +15892468385 +18863242683 +13643902198 +13627920864 +13627913248 +15182161656 +13639536478 +13643973594 +15141755637 +13968068591 +15127334975 +18560919236 +13995887571 +18800156163 +18580065709 +13964906925 +15197254472 +15124772847 +18529863633 +15168982756 +13603424621 +13630144412 +13636939125 +18542770429 +18520921622 +13936618714 +15167406918 +13651042008 +13988117430 +15873130434 +15850455420 +18582427032 +15118987055 +13611832082 +13947197264 +18584241623 +15863309232 +15827988929 +13949187037 +15838986300 +18843719344 +15104421244 +13944336844 +13962079168 +13622423554 +15146968095 +13670389872 +15803529616 +13612347653 +18569028762 +15815832201 +18894531394 +13952073118 +15881281714 +13659664764 +18517329605 +15865120199 +15878943782 +18846738840 +13924917706 +18857836205 +15196670135 +18899099071 +18571191037 +15196900942 +13611481482 +15887905272 +13912780043 +15137398148 +15189044056 +13627906330 +15888022159 +15865057820 +13933330316 +18591035280 +13691417145 +13691025408 +13940823565 +13963017290 +15121656577 +18587473263 +13938416249 +15163321685 +13621479094 +13639383044 +18876266153 +13900421875 +13636859160 +15145058637 +15170571630 +13644866415 +18890203500 +13942506319 +18850284664 +18818359240 +13950340621 +18526994298 +18597987397 +15146184711 +15855115670 +13941425639 +13619044704 +18550559438 +15196790894 +18559838178 +15103897862 +13971766049 +18587112243 +18573079377 +15867066787 +15118678786 +18591137735 +18515052790 +18502051183 +15137421641 +15162939099 +15156026912 +18845305268 +15100208346 +15831029516 +13955118117 +13966164719 +13991656616 +15129731977 +15881935369 +18826731879 +18548160163 +13956520879 +13904569012 +18544743665 +18543596062 +18506480481 +13907011123 +15187213205 +15841283325 +18584658273 +13668014669 +15138957838 +18572533525 +18846439303 +13964233198 +15890126916 +18530445179 +15835129636 +15884108342 +13934380334 +15165091560 +13629675676 +18535325015 +18850362758 +18538707830 +18847607274 +18562539506 +18547979455 +13693178700 +13964374098 +15106504514 +13994649991 +13610054305 +18857891791 +15114077734 +13999691135 +15147292724 +15814316479 +18841005264 +13633937402 +18800538156 +15118460071 +18593215200 +18833652438 +13603505230 +15800526490 +15171329388 +18592855152 +18502286630 +18803466677 +18865729298 +13687474602 +13635910639 +18830451384 +13945305678 +18865134595 +13688996606 +18511440940 +15171275158 +15174154117 +15888416169 +15861606274 +15816702562 +15142928049 +18562142227 +13923639851 +13903059581 +15134080913 +15149569046 +13997157938 +13634653668 +13932355245 +13638123545 +15118003018 +18564408160 +18894224264 +13698748632 +18508697585 +18573637535 +18861676616 +15852310763 +15147840513 +13979681917 +13657532777 +15849618833 +18861809502 +18887300728 +15139484429 +15886688966 +15882268426 +18802291865 +18819632507 +18514397821 +13974409258 +13684985051 +13917744253 +18861548597 +13913087777 +18510366360 +18596387796 +13948312380 +13667034771 +13999332073 +13991062916 +15878552618 +18505761755 +13901365463 +18575550950 +13672784910 +15195498787 +15120490477 +13950794650 +18536454341 +15883353310 +13665607439 +15176283078 +18851482864 +18816584631 +13982844894 +13609871888 +15830034323 +18572658394 +15803503405 +18880288034 +15803994393 +13958244225 +18552844562 +13644694942 +15815315602 +18529044183 +15893152697 +13987961263 +18515685661 +18850354947 +13629341242 +13944429673 +18841007839 +18809547043 +18596348205 +18540074615 +18886985326 +15109449811 +13952714606 +18540371848 +13914181212 +18831074946 +18565751742 +18888941601 +15163420669 +13951279067 +13642451567 +18512950710 +13647734624 +18547173765 +18890256049 +15105689563 +15819220241 +15830752011 +13681383449 +15891710879 +13608875302 +18819117119 +15842488788 +13996315176 +18533199500 +18838370091 +15115936274 +15177504392 +15118843583 +13670790738 +13685836801 +13639892717 +15871125153 +13949447034 +18889750359 +18514819912 +18845596056 +15148586406 +18513643788 +18840022963 +18891251891 +13938454821 +13603541301 +18821039136 +13641832438 +13629042092 +13966461798 +15119520920 +13921797342 +18558470967 +18530494483 +15813472981 +15853271231 +18506046196 +13996167411 +18867306339 +15121099142 +18505301417 +15166000224 +13608738954 +13698639831 +13980520303 +15182426842 +18554452608 +13600230712 +13683093614 +15195088382 +13934175354 +13982723395 +18538897618 +13960709334 +13911919631 +18886656164 +15802411311 +18890814497 +15136953016 +18502399837 +13997671302 +18502486013 +13681931376 +15849657874 +18529546074 +13902508954 +13921934993 +18887022710 +18512277174 +15805513330 +13902684121 +13922687304 +15802983685 +18574857612 +13602706889 +15106884852 +15844587392 +15159293548 +13903636955 +13987565748 +15849308402 +13974519152 +18563050993 +13655719678 +15167226840 +15199348061 +18850219808 +13636668376 +18593826143 +18868491051 +18538746912 +18556919073 +18813895500 +18509318514 +18573452978 +18801018127 +15140722260 +13656637472 +18896402684 +15196404769 +15846524108 +13661420609 +13645901984 +15846688407 +15104491119 +13664251535 +13917735357 +18525941649 +15120370314 +13667577465 +13677706806 +15865874584 +15114617984 +18574731676 +18879308386 +13956042497 +13628234235 +13948962510 +18573261300 +13695916782 +15190772342 +18500718470 +13945440874 +18885648949 +13995231032 +15850129693 +13981509468 +18870064200 +18565471820 +18837566917 +18569522260 +15833439452 +13672725036 +13937060704 +18504343579 +15130898533 +18826014827 +18871348284 +13938415349 +18824749162 +18531576738 +13914312276 +13998622853 +15838527156 +15827195364 +18807240858 +13632092142 +13618081854 +18533962803 +18537147495 +15180501441 +13693648795 +15862196462 +15193230940 +18821350496 +15857371995 +15101993514 +15179407866 +13996928515 +18866192936 +13670978330 +13931458177 +13915390568 +18896399100 +18565595332 +15830796352 +15824898300 +15112547258 +13917716635 +13602482787 +18570397846 +18594916434 +18815236193 +13917253304 +15844897368 +15835427748 +18501591831 +18875470335 +13604971454 +13904369103 +13925183650 +15825399615 +18523123764 +15828849447 +13681645644 +13662390376 +15173752234 +15168856626 +18812029137 +13647369504 +13643052505 +15875303903 +18500493911 +13941209631 +13684480500 +15174818259 +15878328461 +13689981129 +15843024761 +18593786383 +18868864471 +18875168516 +13670866428 +15878949898 +15189407408 +15894504155 +18822393551 +13910639556 +18803523673 +18541444820 +15842611133 +15834334503 +13644914346 +13973485188 +13602203664 +18585185476 +15877664737 +15109847114 +15848604573 +15177282444 +18541231730 +18589546403 +15840838017 +15186951639 +13601801137 +18812282506 +13621813660 +15809956569 +15118753731 +18517554038 +18500013494 +13603641573 +15865585464 +18853518934 +15187098316 +13947665721 +18897533310 +15191073291 +18827459433 +13658555476 +13958604526 +18852300833 +15165977684 +18860024446 +15132240405 +15810796857 +13961840971 +18801483235 +18553261433 +18593673391 +18589539267 +15866390826 +15873057246 +15836540806 +15856030053 +18822616021 +18595589205 +18879729926 +15166614701 +13903953954 +15164311672 +13948507015 +18526315783 +13995223013 +13971773139 +13996511047 +13913939067 +13931275946 +15884834258 +18867713066 +13922728127 +18594031910 +13685132167 +15156731094 +18558374065 +15898177911 +18865451668 +18562582050 +15883187081 +15181043899 +13652578039 +15119875443 +13618524192 +18564064978 +13922808366 +18826799410 +15813328875 +15885923512 +15818123007 +15133410459 +13687887907 +18571086445 +15888589019 +13980114555 +18871160384 +15884629866 +13609843176 +15121266617 +18557277599 +13935067493 +13618684219 +15119337405 +18840517573 +15879358771 +13917968073 +18801862740 +13610249715 +18566199065 +15156347326 +15105387336 +13680892296 +18517011924 +18515837845 +18841859258 +18834192102 +15826385666 +15132755639 +18865856766 +15196224379 +13686816691 +18808818578 +13985822658 +13654538890 +15190851444 +15109173430 +13929031365 +13659578824 +18879958351 +18851825718 +18521521422 +15867773991 +15152764758 +15825297318 +18592902961 +13636673092 +18878584438 +18550015710 +13938164668 +18501416928 +13946744246 +18876150807 +15136736787 +18542290334 +18822806079 +18824418610 +18554834825 +13641699733 +18537907568 +15116496991 +18801615256 +18832686958 +18861110748 +13981749567 +13630296651 +13673655863 +15815814123 +18532656671 +13660371851 +18587082641 +13947394823 +15887447704 +15859100704 +15152545708 +13919086632 +18834607363 +18550805917 +18875879657 +15107715625 +18861715124 +18540752849 +13917729457 +15800297784 +15116707985 +13925531746 +13619403000 +18597057667 +13994361969 +15806148769 +15848218524 +15882218215 +18832463571 +18855685272 +13626653728 +15124647598 +13926593625 +15134239514 +18506222120 +15863320570 +15196457915 +13646749897 +15881656173 +13652292377 +13634784605 +13639766267 +18886221826 +18829841367 +13911989162 +15811561456 +13678240051 +18813085757 +18889420452 +13916950011 +18827752307 +15107224316 +18832379985 +15897921986 +15858687799 +15128137562 +13628050744 +13686020115 +18898585211 +18826032630 +13924888123 +13985211525 +18506480201 +18820236948 +18864219816 +13646085355 +13940524217 +13653294760 +13668823599 +13970977659 +15162111774 +18543299955 +15805902070 +13665040302 +18588505449 +13667674544 +15877313675 +15852294198 +13986387007 +15148044691 +18552579783 +18806679157 +15108973322 +13945337481 +18516327448 +13610053549 +13617592069 +13984922470 +13914763969 +15833878867 +15129121581 +15819328345 +18863570759 +15114042404 +18839897446 +18898517090 +15840720179 +13688598388 +18870454560 +18506518283 +18504423739 +18566536408 +18860696915 +13619700954 +18503212713 +15172417278 +18856985219 +13656947908 +13935454877 +18502237332 +13699068352 +18852583202 +15100323062 +18896555595 +13635975830 +13984864076 +18861169493 +15107296339 +13630407181 +13663412070 +18885866262 +18893507577 +13917596975 +18875898317 +18878271615 +13633077127 +18539836278 +15884730934 +13958010597 +13949370539 +15862431687 +15100809356 +13684786988 +15137975070 +15192226289 +18520627578 +13681718090 +15190948129 +15821052250 +13600370981 +13645101100 +18557192616 +15127230488 +15149280701 +18861562909 +18819629375 +18856153908 +18554410139 +15844076571 +15810447760 +15802562046 +18523761395 +13675880387 +15158217299 +13957235508 +18519385339 +18597183022 +13969333505 +18567217738 +18581230500 +13608512472 +15179007279 +15127395639 +18834018108 +13605844976 +15150828750 +18513582171 +15150746499 +13951079373 +15109580831 +18572770697 +15109468904 +15102788039 +13630332129 +13977956668 +13952879092 +15887407698 +18844542091 +15165417275 +13644681941 +18543732029 +15805453974 +13659703529 +15117821385 +18557052858 +15827645205 +18814584356 +13680781878 +13619029715 +15150957141 +13906073503 +18883550353 +18818413743 +15877679378 +15139998375 +13617934567 +15807927647 +18525023712 +15139361767 +13963779263 +18834563176 +18800007045 +18878062386 +15831272894 +13668325167 +18892144692 +15130014410 +15816333904 +18504430824 +13614489713 +15138192964 +18831637970 +15808667653 +15876012777 +18847593909 +15845550028 +15853487373 +15125524936 +15848335784 +18538525195 +15840119733 +18847872553 +13941878207 +18859836399 +13696802172 +18843379681 +15871653742 +13921311857 +13673606810 +18863183458 +13635576197 +15806696025 +15176535801 +15145887077 +18824972560 +13920791746 +13615775998 +18859599684 +15817300255 +15152276124 +18528697225 +18842899626 +13663319158 +18819409945 +18518709434 +13961296469 +15869365570 +15141310075 +13609264733 +18519673151 +18565802569 +15180880255 +18890032498 +18543999956 +15192987035 +18853880114 +13696890582 +15864148190 +15188419188 +18561806385 +13644283541 +18896677169 +15109029157 +18873664379 +15127149924 +18822478470 +15898579712 +13999886150 +15874315249 +18897876402 +13683395191 +13697828889 +15148894707 +15130949666 +15899394313 +13672255511 +18588407517 +15843825546 +13987533644 +18588593034 +15124555800 +15113906732 +15176548370 +15136391978 +15863420674 +15161780706 +15893345121 +15141259733 +15105550874 +15198634324 +15109237703 +15126890024 +18516536615 +15134849204 +13621900820 +13942018458 +18569806220 +18806658310 +13939364146 +13953280161 +13649599314 +15196812232 +15887644396 +15838475889 +13904440041 +18546717305 +18514630817 +15186810675 +13990355380 +15172546339 +15125749173 +18838748506 +18892120159 +18569343737 +18534771237 +13619314635 +13628113341 +18880313378 +13663806400 +18838991284 +15147820868 +18593487631 +13677062086 +15174686315 +13934723075 +15800927575 +18524701206 +15891546970 +18524388931 +13676241856 +13917132733 +18889133614 +18857953743 +13914495748 +15881867809 +15835434274 +18827422644 +15890847768 +13603027520 +18564489634 +13982690874 +13955855141 +13653082230 +13694401776 +18849383966 +13620672602 +15883155231 +15817009386 +15105027978 +18885467798 +15179107301 +13945161510 +15105988910 +15863665414 +18888337341 +18598843735 +18551533529 +18877265106 +13929584753 +15103856094 +15144591883 +18893356520 +18563873342 +13690131585 +13968421899 +18526904669 +15803079090 +15178695365 +15102579090 +13948385790 +18817557676 +18540733405 +18894070557 +15106256611 +13627053335 +13642730782 +13637139666 +15811594471 +18827249174 +13671313779 +18861786581 +13965489785 +15186674645 +13675894482 +18537159615 +15856487575 +13625365918 +13636140606 +13915634992 +18508550830 +15860530641 +15862452948 +15134182385 +15827348540 +18579279729 +18552220209 +13924944607 +15878504621 +18557673235 +18857822694 +18828450669 +18800053449 +13903149536 +18545973666 +15173648227 +15174915223 +15886234311 +13649997651 +15857873357 +13933931753 +13675827484 +15170122646 +15170202394 +18553202313 +15109456097 +18542775902 +13621143131 +13644178468 +18840049166 +18505469155 +18899885971 +15161174665 +13986238347 +15861430659 +18826093846 +15866416686 +13963834149 +18813895889 +18536575342 +13999430903 +15189728105 +13912719710 +15120938934 +18526927467 +15828804443 +15176703822 +18524803641 +13913623205 +13987716931 +15184340303 +13923451095 +13691619410 +13938544324 +18848967348 +15173366836 +18541207522 +15885295731 +18825182978 +15165690201 +18879811217 +15182334015 +13912863455 +18847075641 +18840819856 +13940703468 +15888771499 +15182020111 +13604062103 +18820038415 +15165204278 +15195521754 +15104673265 +15860145356 +18520107321 +13921277131 +13925120940 +13670889740 +13980195273 +18813535586 +13647351842 +13661351077 +18819491528 +18849009606 +18591885780 +15846878231 +18860044775 +18877595920 +13646144699 +18800671629 +18565029896 +15123656060 +13667620755 +18577706091 +15821195561 +13934038646 +13952381301 +13611049980 +18569562983 +18816146762 +18577381545 +15180680242 +13698739770 +13973914139 +15182830495 +18571611163 +15892249569 +15809627980 +15158288066 +15174989936 +18819888815 +15169669296 +13631318276 +18517557538 +15196452967 +13914185719 +18554099055 +13697326788 +18583864863 +18535381559 +15143989426 +13967281584 +18507421067 +15865188742 +15139342445 +13674398340 +13612587994 +18534090176 +13933717857 +18855920363 +18511942595 +15859823994 +13678654133 +18558287617 +13668513234 +18506520630 +13624083407 +15186653322 +15820915821 +13671241568 +13655383766 +18538278773 +18598823394 +13671098114 +18575215794 +13954975353 +18861836930 +18529488579 +15120120099 +13625183613 +15197841243 +13959866419 +13942737629 +18513843177 +15893071682 +13967973823 +18571855419 +15159754951 +13664450306 +18502369466 +18537205523 +15166524221 +15133203449 +18530712857 +18844993029 +13994955278 +13639714884 +13971860631 +18506688581 +18535030879 +13650940060 +15191211786 +15848738936 +13695272652 +15187219771 +18833168634 +18587656930 +13992749962 +13950715000 +18857234696 +13633507714 +13639500280 +13948196005 +13659325467 +18542310490 +13643508047 +15855068690 +18568346862 +18570404721 +18833258224 +15166717189 +18832324310 +15193056016 +15820882042 +15842631900 +13694350312 +13946690092 +13691138501 +18546226403 +15846029272 +18872990453 +15859162408 +18568811683 +13600936658 +13968305569 +15868113387 +15184718368 +13980056853 +18527902478 +13617895784 +18573889770 +15851123344 +13901111566 +18584733440 +18509404472 +15894779067 +15822721875 +15833170975 +15146244764 +15898796417 +15885462481 +18827021706 +15125590930 +18557642027 +15859277546 +13643614651 +18529754211 +18519179777 +13651977412 +15816143126 +13956652680 +18551813651 +13991197780 +13980998709 +15851493406 +15166553141 +18859568563 +15837566087 +18808963456 +15866612973 +18521500005 +13694918924 +18854330532 +13922938446 +13608813420 +15177048457 +18596908770 +13925995888 +18554127749 +15157706893 +15899949576 +15815972953 +18586359721 +18834049687 +13919762039 +13660040880 +15841008201 +13659569220 +13606847579 +18846455527 +15170236680 +18561379583 +18538114572 +15101865347 +15864155673 +15870572190 +18819302920 +15110765691 +18809440694 +13907919848 +15156886872 +13660934715 +18570986231 +18882197929 +13936613886 +15161471762 +13683620378 +13948226382 +15896580861 +15823687953 +18804881935 +13947960457 +18576763136 +13666661803 +13660685830 +13979561957 +15153294612 +13642969829 +15108628260 +18591258093 +18598466255 +13975401723 +15811125574 +18818092105 +15146801441 +13926802792 +15866436092 +13903530724 +13604504673 +18888854573 +18850695483 +18578899277 +18567909550 +18516648163 +13958506133 +13905398142 +13693081438 +15855346838 +13994608242 +15820822249 +13939335928 +13681486972 +18554117398 +13685731874 +18806955909 +13649916890 +13912136441 +18894107944 +18867793420 +13645214616 +13653765410 +13625297042 +18550887394 +13695059445 +15137309280 +13936997677 +15873501540 +13604232292 +15893957125 +13631120978 +13692892435 +15852670961 +15125449076 +18554069471 +18558595760 +15806792829 +18572470084 +15109208552 +15108809878 +18890676718 +13624945236 +13954432773 +13692509659 +18584673449 +15842217466 +18502596992 +15139842032 +13977401399 +15197735312 +18888155121 +15840965587 +13601191185 +18554754089 +13972692032 +15106235745 +18594621360 +15132942506 +15819993982 +18898540664 +15191398652 +15137172309 +13608135209 +13901384506 +18823177337 +15158327816 +18815972835 +13994444673 +18882519815 +18845841174 +15862391249 +15142475546 +15168494069 +15124783033 +18546404160 +18821086398 +13988898709 +18835894473 +15192285386 +15853401727 +18514531892 +18846917894 +13904436241 +18580440326 +18851093253 +13647491985 +13966575722 +18802928784 +15889589361 +18514940219 +18523406096 +15121228347 +15837395489 +18596595707 +13933742687 +18544289101 +18887711684 +18812792177 +13935488807 +13974324868 +13978761807 +15824365045 +18840770464 +18585227536 +15872565106 +13921834237 +13942134880 +13672729794 +13921782068 +15105023168 +18589209654 +13622095047 +18838880198 +18504264472 +15131297868 +13606444297 +18530428783 +18570348165 +18809706168 +15144628687 +18596239817 +15109572725 +15124985271 +18512023308 +18509708953 +18856513697 +15845083998 +15846015755 +13916704300 +13666555649 +18879716941 +18579304521 +15146975708 +15839905452 +18894169791 +13679273700 +15817637295 +18581145698 +13974896776 +13656626255 +18810332031 +15185461098 +18826002182 +13612951822 +13651275898 +18831275275 +18577207013 +15866380634 +13924104167 +13951358894 +18545947439 +15875282321 +13648701981 +18878712964 +15184893407 +13671915086 +18829583067 +18515898894 +13965416805 +15896270808 +18512202746 +15832839430 +15846596592 +15805515270 +15835345569 +18866137256 +18853035281 +15157240385 +13609720024 +13642628601 +18802878470 +15834287907 +13929116326 +15105019427 +15858664971 +13954236052 +13635419367 +18895947832 +13972914122 +18886185861 +18821981418 +13635476938 +13901426061 +18886492685 +18527999665 +13935591457 +18586694369 +18517801192 +18537466849 +15140752735 +15130680248 +13653920991 +18800243357 +18581934541 +15115253087 +13964682607 +15893378869 +13959094540 +18581149172 +18524139105 +15884647468 +18501381410 +18894799030 +15801479710 +18580514540 +18533842812 +18573585068 +18595099263 +15894516425 +15162242558 +18594746504 +15855094351 +13625578526 +18812149837 +13648471853 +18578555849 +18564996427 +13964727824 +15881986435 +15186884839 +18855833040 +18581912957 +13923818909 +18882049004 +18851317427 +18895412158 +13629743724 +15135246867 +18899593091 +13641948310 +18802859448 +13659461339 +15830858960 +13668107261 +13661992245 +15861797328 +13695436006 +13615670583 +13648669561 +13629806341 +15183579271 +13950906990 +15138944107 +15850000218 +13626213601 +15125275090 +13915288674 +18590785325 +18572832799 +13941149759 +13682268682 +13685231306 +18560164493 +15856307227 +13952337078 +18870885648 +18817388566 +13638960505 +18860675902 +13994054442 +13957173865 +18573542882 +18871942460 +13902837596 +15830111243 +13924272906 +13926565513 +13656861513 +13941278799 +15166944485 +18876023762 +13610922145 +13691280760 +15136219148 +18845204853 +15197888913 +18516456416 +18511433548 +13953233437 +18508210212 +18577441181 +13685360906 +13968467729 +13664486546 +13927996115 +18548402414 +18823065980 +18809987650 +13622003949 +15896089826 +13699227204 +13911393574 +13970089424 +15805340029 +15124781976 +13933326477 +15162714764 +15839610480 +15803388476 +15142917182 +15852308652 +13651576340 +13680959177 +15837896486 +13966837731 +15196830944 +13906960309 +18880702416 +15141931148 +13642085543 +15850272661 +15157424347 +18556381068 +15147762696 +18861829639 +15158008913 +18889165662 +13905745774 +15871870256 +13609455433 +18557861837 +15801588576 +15134204054 +18817756029 +18555987666 +18893064188 +18805132407 +15148773158 +15816958602 +18890262609 +18534854583 +15177151733 +18596551514 +13906105355 +15116344862 +15858531396 +15176531807 +15190713146 +13693682868 +18803834267 +13611577120 +18833897458 +13632225655 +18819680833 +13945962819 +15152918515 +15822764129 +13987967941 +13637629398 +13653703424 +13602185723 +15852625628 +13660318372 +15821106718 +15868536367 +13931671178 +15111241629 +18803713476 +13693468947 +15819031699 +15102177277 +13674039763 +18545117079 +15155284197 +18805827368 +13646203344 +13619540143 +15143770790 +15146163325 +15163918916 +15162576622 +18813111088 +13983193662 +18585300720 +13610966392 +13952003478 +18866120392 +15119549331 +13934337073 +18894373709 +18807673720 +15110630906 +18598496222 +15166206554 +13979350570 +15873816061 +13967601490 +15838073352 +18827553474 +15167481589 +18811436602 +15133160062 +13914716375 +18539836969 +13959771204 +13945460316 +15113985877 +18896070005 +13949574247 +13637346863 +13937461530 +13623799200 +18871762448 +13673921725 +18597530756 +13948685882 +18589939070 +13643903172 +15103202945 +18811414052 +15803735882 +18598687783 +15860293946 +13917301332 +18580517038 +13635401374 +15838278475 +18817255477 +13610576785 +15161904999 +13942402221 +18559008225 +15164814697 +18508550622 +18504874291 +18874874371 +15144558237 +15138681459 +18500826022 +18821532431 +13997161997 +15191684516 +13982138714 +18855301586 +13676477604 +18872983609 +18550147977 +13606294625 +13697574944 +13931293147 +15856529293 +15842529492 +18841842039 +13654057831 +15859407095 +18830637174 +18583293100 +13633744277 +13666943262 +18873652093 +13632066269 +15811386485 +18861174897 +13618321021 +15825187078 +15160437949 +13900731650 +18546925090 +18506079615 +15160051843 +13965546298 +18870538811 +18540513059 +18590062317 +18809948102 +13942426097 +15145188953 +15856126105 +18849138387 +18560690548 +15193043946 +18571036493 +13649797068 +15865010631 +18805632489 +15188166165 +18583238833 +18558057538 +18526844594 +15884746385 +18892574563 +15142271814 +13671359288 +18574493188 +13650622128 +18886220486 +15863593799 +18856179908 +15105176580 +18889781246 +13990718799 +15149259993 +13692071852 +15139049818 +18584549352 +13928035943 +18858382898 +13676108005 +15175585658 +15889921767 +18537582270 +15865367557 +15189161542 +15810287514 +18863913079 +15175399478 +13601953044 +18839065070 +18868207317 +18856566604 +18558778895 +13966734573 +13672670121 +18840462369 +13911295005 +18828913019 +13936439120 +18598838092 +18877848299 +13662036669 +13614651697 +13951514759 +18507741147 +13905720952 +13958738298 +13972501017 +13900007777 +15184891558 +13977130932 +18861683914 +15168046769 +13635817297 +18556092607 +13637674342 +18559429387 +18809054176 +18820120730 +15846215606 +13962069524 +13933276274 +13693928642 +18537908588 +13964386348 +18598846314 +13659762227 +13913999759 +18825909025 +18860957939 +18506580535 +13948848504 +13603675461 +13991801915 +13698718978 +18563262346 +18531559435 +18544456038 +15103508289 +13648719555 +18832869216 +13646304937 +13931355902 +18518825463 +13632039617 +18842229880 +18599185855 +18526149106 +15126293164 +13690624188 +13675883509 +15895434215 +13937177379 +18525424261 +18516218745 +18889834205 +13631155276 +15802588130 +18888546597 +18579537370 +15122299697 +15890641799 +15846001403 +13925468594 +15194784333 +13905629967 +18596604947 +13601029242 +13673046889 +15838807920 +15843880098 +15194986094 +15863428072 +15888070004 +13613156943 +15170635032 +15876144262 +18541400307 +18521978938 +15198685607 +13901331030 +15112497599 +13615661344 +15802710081 +13634678635 +13680179654 +13924264777 +15131782361 +15196031498 +15812513945 +18894957631 +18566925487 +15826289694 +13996011308 +15824019847 +18828272603 +15108244264 +13917003468 +13682727585 +13996653649 +15815463325 +13608004849 +15110410087 +18529603178 +18562187823 +18516905566 +18894872162 +15841396317 +15101581184 +18840461625 +18536354595 +13927054029 +13640804225 +13619533554 +13991972712 +13696594620 +15825686278 +15103641727 +13625861459 +13906666388 +13988479954 +13965200202 +13623500341 +18880529480 +13651917017 +18861132865 +18544523862 +18821458516 +13957846480 +15148531829 +13648686314 +18854836390 +13662427269 +15844856688 +13694384063 +18810280767 +18508225859 +18808707012 +13633485757 +18503176227 +18570457355 +18591667278 +15137044496 +15128437874 +13603378068 +18823652672 +15145110194 +13971759214 +15873234232 +13681464491 +13934861237 +15821023756 +13965743932 +18591757735 +18544854647 +15857912229 +15894503726 +18859248924 +18882151426 +13966450480 +15175580759 +18805558974 +13932538928 +15190229510 +18532724111 +18596842123 +13914751663 +13602901079 +15811571754 +18530888109 +13966857967 +15814991161 +15855962466 +15852265057 +15193214545 +15139870007 +15826662863 +13694001624 +13655028656 +18569871413 +13653914831 +15191009385 +15199671214 +18891249777 +13646500970 +13905175194 +18527634416 +13634920081 +15825913560 +18819700097 +13901128562 +15137855444 +15891903747 +18871865291 +13688165250 +18825664484 +18540063541 +18807233598 +13673648975 +13606280906 +18831814358 +18599786507 +18592534039 +13601565616 +15124877006 +13947688362 +13947731396 +18572654988 +18546991192 +13950079031 +13987803064 +15108961294 +13972706407 +15101111660 +15820516575 +13914401838 +18889958003 +15190947673 +13907971547 +13919655200 +18840661875 +18526854537 +18525527892 +15888634508 +13673528860 +13650074636 +13699132061 +15807646230 +15892670616 +15156060472 +18567703099 +15863354952 +18582450759 +15187124325 +13605398085 +13638252887 +18858645791 +18586007120 +13942092052 +18520587556 +13960947055 +15803971605 +15816005513 +13997206782 +15173937162 +18872139933 +15192122800 +18578737988 +13696429778 +15873851294 +18817723763 +15822206867 +18847830521 +13988290692 +13948911095 +18555142428 +15885837531 +18868613961 +18577294263 +15125407393 +13612820387 +18879185138 +15848930464 +18824405088 +15816092880 +13921434766 +13972361723 +13670005769 +18582165607 +18836174032 +15851949259 +13905855897 +13625297409 +15857715510 +18562273048 +15199759883 +15187718441 +18514293542 +15877332760 +18516059057 +13626136024 +18858758256 +13647721912 +15179972419 +18821228857 +18580511359 +18886197949 +18507925853 +13979296504 +13665719333 +15108438807 +18579247740 +18558978471 +13641051941 +15857571860 +15145182693 +18867149720 +18501997348 +15834404922 +15107402028 +18863443084 +15889089309 +13642557958 +13656206999 +15824698025 +13658281635 +18805088206 +13617291802 +18569192736 +15164077127 +13925013664 +13684200265 +18513244539 +18501905745 +18519564482 +15163560398 +13971053978 +18590621360 +13911338917 +15852423555 +13912225723 +15163076735 +15842777006 +15884289468 +18878556919 +18874430490 +15189246007 +18864246008 +18560217805 +13981304076 +13929648952 +18898540734 +13636404202 +13959784728 +18837372690 +18534317617 +15112846895 +13635860053 +18596019668 +18854066117 +13912180696 +13670293195 +13916602746 +18571823842 +13992441278 +13960571800 +18885882064 +13620670687 +13629286726 +15169993631 +13922801523 +13908444528 +15842863656 +13609781208 +18596139414 +18553705617 +13681671936 +15870828906 +18821897678 +13917509881 +15180501674 +15173227376 +13996234218 +13653239592 +18803915328 +13610735260 +13633338907 +13933590246 +13654424573 +15830424866 +15872985621 +18566740200 +13607327064 +18811639858 +18887921847 +18515552289 +13698627483 +15185068075 +13988028426 +15875393598 +13998978762 +18803657502 +15137904870 +13949233865 +18887323305 +18851915157 +18579840862 +13643452729 +18897435155 +18550580329 +15896512408 +13941450466 +15874405753 +18588461618 +15888014977 +18579693651 +15117780559 +13657602854 +15839374938 +15801249567 +13919682385 +15890457944 +13629737580 +18844668648 +18824080204 +15862339908 +13674322583 +15894126418 +15156244068 +13646176140 +18802833716 +15197579779 +18853178465 +15840265518 +18505289399 +15175278402 +18505577850 +13979569798 +13622518416 +13663108608 +13977964095 +18803948193 +15856757463 +13959684508 +13699095287 +18556879324 +15129627361 +18565381891 +18843006556 +15159740295 +15156204025 +13601536776 +13681780156 +18815144909 +13906440591 +18877684802 +18559534300 +13959343424 +18505108134 +15838254755 +13901925587 +15149952022 +13631490338 +15170522050 +13979302337 +18520625284 +18529696304 +13617862019 +15893465888 +13616338702 +15171244738 +18507034202 +13907260279 +15105859836 +13921980839 +15885412440 +13926145254 +15840124154 +13905552252 +15100725543 +15198238702 +15845478281 +13691464928 +13931487936 +13960099254 +13972023603 +18807513221 +15834327381 +15137722957 +13663062017 +18531641722 +18839763279 +15883285012 +13613936061 +15142281923 +18508823905 +13647435975 +13629415910 +15819257694 +13953412268 +13925443223 +13655370573 +13652461685 +18528751367 +15808269809 +13974731199 +15806404988 +15869519706 +15134999991 +18837979209 +18592880084 +13961099248 +13652929807 +13642525067 +13683150122 +18869810239 +13670302719 +13957389316 +18858747939 +15806398391 +13669524705 +13696787406 +13613323631 +13686267053 +13923710198 +18871008872 +15187251388 +13984338688 +13667051097 +13686638724 +18876983330 +18576133076 +13921300046 +18894769558 +13685540786 +18514875902 +15826109472 +15112981950 +15810281051 +15126937553 +15193673247 +18584593006 +15881605671 +13909861614 +18897302118 +13618812483 +13668289535 +18570716820 +18855100467 +15147944218 +15164268458 +18892302036 +13941326731 +13638833499 +13631200669 +15168145236 +15199949385 +13958550549 +13681910661 +15190027110 +18842912417 +15114148951 +13608391639 +18856429034 +15170898054 +13612236666 +18562442808 +18869929837 +15177771387 +18855272185 +18830425260 +18845495348 +18547554662 +15807902096 +15122941504 +18531621160 +18547916474 +15873786159 +18575618575 +15877807419 +15809116098 +15196861589 +15874999559 +15167320067 +15872414231 +18802437619 +13936854271 +15841537258 +18864523359 +18580200866 +13912419453 +18503981393 +13905216650 +18823512084 +13672527891 +15142973793 +15189709029 +18567954528 +13924456188 +15168050741 +15136815958 +18806109170 +18511781750 +13918577570 +13950589036 +15863641421 +15889840980 +18856495664 +18883074379 +15107216985 +15881432170 +13910020037 +13680467799 +13611271350 +18841022236 +15898629533 +13647301434 +13919450968 +15827339414 +15854508952 +18505446728 +18586982946 +13627288608 +18826448009 +18836864616 +15820867158 +18879811735 +13971439028 +15157338819 +15173492313 +15892737935 +13919281636 +13619710865 +18857654992 +18539450583 +13639978819 +18822103089 +13946234655 +13994563383 +18868952515 +13653297800 +13924499740 +13636028764 +13985700837 +15877080254 +18864926788 +13951469221 +13919619319 +18892108727 +18889263188 +13683313270 +18822448417 +15197854351 +18832422066 +15859868640 +15145557436 +15890329128 +18884529675 +18822061239 +18513723650 +18895365653 +13998430361 +18566281241 +15156679150 +18558876601 +15877540449 +18822996722 +18515801130 +18516501546 +15872774291 +15803284191 +13631918547 +15146463097 +15187836618 +13603619736 +18548117261 +18540007069 +15143179678 +15864369478 +18869147319 +15898377544 +18810349818 +18816083480 +18863309135 +13677747619 +13677891492 +18588588139 +15885176484 +18515579995 +18564493998 +18596594795 +13632123691 +18855555642 +13645279606 +13906661044 +13976027389 +18581825718 +15838222832 +15194519560 +13954282435 +13665482961 +15109279536 +15155848759 +18571805705 +13659075489 +15862657650 +15892409278 +18570208121 +15158840783 +18558074644 +13949661553 +13996360318 +15134918389 +18564640564 +13911918775 +15122909031 +18837620030 +13951608380 +13939864956 +13652364223 +13986036282 +13972121190 +18505914138 +13609251286 +13946064812 +18577897470 +18537467807 +18508193504 +15899744029 +15111773684 +18544242049 +13914080056 +13600561960 +18854685306 +13627943892 +18567316559 +18822255609 +13945347035 +13996723041 +15133714528 +15104273895 +18555277722 +13657618037 +18526837231 +18875882305 +18836193765 +13995977585 +15834927748 +13979033810 +13655588411 +15874738885 +18597783871 +13952508819 +15859621429 +18894978260 +13910663005 +13664451863 +18513797554 +18553274513 +18554814715 +18506821055 +13938537548 +18805942976 +18883388252 +18893180393 +15816426819 +13949543853 +13933569975 +18832977718 +13979158669 +15137134954 +13974907828 +15171090652 +13958450953 +15114114005 +18544510874 +18564558974 +15840379317 +15105409495 +13610739541 +15186766934 +13662122414 +15164707327 +13666067799 +18561240548 +13665623781 +18851585547 +15893815574 +15124863673 +18590418243 +18545322645 +13628426111 +18805163519 +15162309919 +15103440115 +15861661949 +18571512815 +15830982729 +15117131916 +15164229363 +18865738984 +13688693176 +15865818264 +15881957597 +15895864760 +13644275473 +13905930392 +13638983408 +13933963258 +13987509021 +18873976898 +13620824876 +18839006312 +15139567842 +13987753471 +15886260754 +15127217944 +13651631837 +15875541866 +15882139781 +15883945535 +18899030020 +13969419896 +18810043002 +13919717252 +18822948363 +15830689851 +13959868791 +18813831513 +18590300424 +18571323285 +15183426731 +13614051376 +18508270851 +18846490108 +15839798164 +13947132526 +13677465135 +18597639958 +15129638808 +15894779952 +13991153941 +13987148058 +18594300126 +18858782122 +18898650624 +18810002045 +18503798271 +13624623977 +18862659226 +15179040258 +18886448659 +18883076049 +18876299666 +13697393039 +15876838770 +15162969383 +18810638981 +15197829470 +18882303370 +15128028105 +15842144143 +15854813729 +13663344376 +18872720221 +13669399735 +18837675147 +15852058759 +18562996721 +13635707787 +18820261626 +15839644945 +18855985779 +13906344082 +18513534797 +18875895230 +18512924738 +13688192531 +15851197334 +18590662070 +18844056190 +13647333265 +15837666062 +18882009916 +13992623070 +15143696048 +13642802903 +15169157317 +13680485843 +13907248194 +18855359091 +13990496381 +13686613289 +15159580940 +18512678271 +15885528852 +13659699494 +13604520968 +18820161492 +18512400283 +13941513835 +15887786966 +13696531355 +18865655812 +13623863740 +18525521885 +18516420163 +13967071695 +13955566781 +13617268015 +13696692163 +18547799855 +13632633793 +15892338031 +18541118344 +13629620432 +18870625827 +13937663446 +15119742642 +15870853009 +18512409475 +13600528846 +13654854951 +15144269974 +15819261634 +15833252097 +18873055412 +18834761095 +13626080186 +18842140858 +13625337661 +18811934849 +18502249788 +18594855369 +15163679406 +15143859816 +15141791453 +13995896093 +13991301546 +18576304113 +13939595120 +15876810588 +13994112410 +13928277120 +18883017349 +13982190216 +15132022077 +18819253398 +18874427807 +13637378257 +15819647881 +15861257948 +18897433942 +13937154372 +15152264302 +13667812117 +15128309733 +18846892433 +15104937618 +13905077335 +13987287158 +18578906488 +13682656693 +15821783147 +15180352198 +13913834837 +13638145941 +18567606787 +15156872793 +18822672813 +18574872095 +13684476123 +13982898282 +18800434751 +18822797744 +18838370033 +15145752393 +13904098089 +15194370482 +18553559651 +15132248007 +13664869183 +13955245181 +18509244915 +13915403170 +18889242989 +18825216624 +18521324457 +18533030435 +18872409208 +13917484555 +13653137399 +18587373011 +18862247917 +13695673341 +18525425039 +18599937531 +15864300085 +18578174951 +15893019749 +18507053610 +18553267628 +15175512654 +13642477258 +18802677627 +15108475117 +13676446320 +18817058239 +18892027889 +18857146099 +13940624135 +18577285295 +13984238548 +15119948799 +18885694421 +13656684212 +13681697743 +13678201449 +18874516497 +18829050182 +18873251082 +15176007944 +18591790614 +18811002425 +15883271428 +18804141717 +18858074078 +13696486309 +13622746104 +15199730588 +15851740539 +18512597667 +13955714162 +13988330844 +18805124331 +13698414070 +13983921796 +18520999315 +18532712573 +13933142624 +15897816125 +15863292298 +15867622579 +13655609598 +13611734764 +15140016329 +13973595747 +15872365297 +18820040729 +18840727259 +18546071275 +15149656266 +18553536283 +13925162329 +18883867158 +15810619297 +13938636334 +13611479413 +18831905395 +13672992710 +13649061938 +18812318610 +18860668795 +13602996929 +18844978857 +15867250960 +18837671775 +13933707042 +15174977502 +15847545652 +18546062639 +15822328806 +13993774607 +15877941644 +13688892394 +18514378243 +13951333051 +18547378062 +13639411819 +13912852684 +15871690357 +13919027771 +15167283453 +18849954934 +15812271551 +15894178671 +13918838701 +13665633716 +18556022712 +18570575563 +18893425865 +13649087267 +13686612124 +13678866521 +18560298413 +15106486197 +18544099392 +18520491984 +18500344694 +13946020526 +13943458803 +13987853757 +13919662848 +18814641646 +18811017844 +15847313977 +13611774201 +13654714956 +13681084940 +13947862458 +13632028970 +13622469960 +18588914851 +18820681105 +18559814045 +18890818932 +18835379615 +13900684294 +13990720696 +18567976071 +18891070596 +13651942010 +13903245800 +15856324327 +15877986740 +18532122510 +13918228463 +15877668959 +15151767916 +15134481736 +13625476781 +18503177897 +15187348968 +18541047133 +13943069061 +18554600542 +18516066481 +18899110051 +18833276577 +13692455323 +15891407714 +13617749893 +18810225762 +15101385028 +13968583702 +15111706204 +18523897965 +18552082332 +15845787857 +15143778437 +18827234639 +13974853635 +13915132583 +18513955555 +18880395930 +15804067164 +13988170757 +13675170584 +15144258355 +13661324071 +13921367706 +18827540200 +18859038821 +13967310786 +15138100129 +18845375420 +18895198508 +18591524925 +13957257476 +18543716286 +13918433862 +18824370467 +13685134625 +13660520473 +13657855152 +15854912699 +15806385811 +15119175352 +13644807523 +18514624936 +13688853842 +13654146753 +13943761845 +15157889402 +15183601930 +15830403891 +15828570766 +13641425057 +15163251741 +18552603362 +15139313397 +18891868221 +18588839383 +15121526933 +18537959670 +13692391203 +18851114091 +18548363362 +15102526519 +13624269205 +13950343745 +15874123306 +18510105614 +15134000926 +13697687087 +18594972949 +15147336430 +13680820275 +15162772675 +15822666489 +15116346359 +18842889851 +13923529252 +15860054948 +13645567755 +15891293990 +18837597058 +15809221681 +13610377530 +13967138658 +18522926281 +13980737558 +18522260278 +15892729448 +15115905558 +13634431249 +13903048172 +18841416579 +15857925622 +13644598097 +18854971206 +18553175594 +18806439541 +15117758690 +15135334234 +18525170846 +18873045825 +13924459409 +18872353840 +18849631874 +18582644461 +18575122091 +13699737971 +13975920789 +18819769279 +15126732580 +18875104861 +18574074955 +13989851889 +15865176901 +13634787207 +18569490879 +13657203044 +15151135551 +13656820953 +13644154758 +15806706750 +18512374519 +18563457871 +15189430560 +15880509736 +18830180495 +15826306222 +13695589052 +15170329263 +13667238627 +15825645521 +18874864753 +13908102980 +18591719701 +18802953368 +18804908147 +15169087848 +18898586347 +15817807265 +15863504884 +18854668436 +13647995071 +13689541542 +13653452875 +13938627307 +18836733339 +15842834065 +15869716808 +18573607100 +13945041858 +18563873787 +15826026929 +18579670212 +15185668100 +13940590385 +15164738267 +15187882787 +18549779658 +13910373116 +18561038933 +13996894197 +13916003990 +15178394502 +15864485936 +13959597889 +13636198569 +15146518993 +15853824539 +15868822863 +18875652049 +18545363662 +13635147304 +15168131974 +15824078758 +13999233979 +13620152393 +13930145637 +13934110977 +15857874472 +18538928990 +13698323601 +13626872862 +15195755047 +15810206672 +13939620956 +15187295158 +13661582607 +13991417024 +18883240909 +15170773999 +13672521206 +15886275952 +13904165687 +15826719386 +18517415905 +18551426988 +18820555248 +15870631125 +13649306329 +15860831885 +18548341951 +15180546441 +15844555074 +18836804500 +18853121987 +15858055442 +13603963936 +15807747059 +18800979019 +13985361903 +13676299203 +13908212952 +15197002258 +13939191980 +18845682122 +15182157258 +13695026582 +18896277062 +15812305354 +18852871380 +15167762416 +18523100356 +18825639213 +18586755311 +15124416848 +15141246632 +15878314767 +13608002587 +15874973995 +15102538317 +13967176649 +18544813826 +13933981359 +15182295470 +13656992900 +18881944958 +18525019707 +13616999104 +18520958708 +15862117546 +13654262426 +15833302227 +15139254110 +13605231086 +15162638120 +15843189070 +13671239199 +13915219976 +18593721928 +18894356853 +15131939999 +13660186424 +15164610349 +15806283611 +15884701744 +13620666331 +18502325575 +15874456679 +13671241228 +15803063554 +15895399037 +15847405032 +13962548952 +15113030602 +15811575879 +18833248898 +18568245004 +13909068966 +18831042774 +15144205732 +18552107749 +15874389983 +15159982588 +18548713186 +15840315921 +15179199262 +15197407024 +13629886962 +15836541262 +18509243116 +13947032752 +15839367588 +15115224422 +13681052363 +15174275931 +13999120017 +13976899849 +15196314385 +18870631818 +18509812537 +18826035147 +15893177602 +13950919450 +15818238931 +13609220091 +15896994903 +13660688519 +15879887293 +15844841435 +13908994545 +18509071534 +15187588861 +13903500809 +13999400988 +15159263586 +18877866012 +15845772758 +18580385514 +13618700863 +18523371210 +13963351464 +15106252196 +13616222684 +18895018575 +18511738300 +18888550914 +15880629922 +13611847646 +15863274482 +13686885498 +18827248940 +18839562447 +13664780316 +18876993841 +13987806959 +15183161435 +15865905562 +15802772761 +15825944574 +15888874221 +13678973971 +15805060433 +13948835577 +15173391159 +18544040973 +13600283950 +13694660377 +18893920310 +18887751155 +15121806484 +15887952188 +13671363771 +15111957605 +15168171297 +13613228426 +13615775844 +18567308340 +13966503080 +13986354075 +15875802242 +15116315541 +15882336966 +13625692901 +18552780100 +18857647651 +13923865518 +18876706513 +13942972092 +13633223679 +15867951884 +18546042790 +13670632570 +13608339375 +15164963565 +15102328340 +13997028986 +18566529488 +13995078048 +15895350646 +18855257361 +13688234368 +15876071292 +13669484080 +13920867815 +13616571877 +18561945840 +13999290701 +15129207639 +15898115673 +15125310094 +15864587922 +13958401364 +18878960151 +13638240872 +15168606148 +18563101191 +13698834925 +18874173104 +13979691883 +13927019803 +13950937547 +13620443669 +13651302079 +15805019301 +13915994255 +13945413447 +15175028004 +18510031573 +13939764794 +13654557866 +15899420500 +18504640546 +15839936687 +18859862208 +13900048209 +18562901583 +15163721372 +18571995958 +13679343346 +13695417797 +15190867441 +18804957080 +18500944488 +13946892196 +15836556748 +18568072080 +18572429822 +18513357170 +13698138163 +18570016094 +18874272082 +18889963206 +15880415337 +13986617332 +15147480108 +18585151532 +15159013085 +18859976637 +13676721383 +15135846665 +13957026935 +18832456997 +15818753934 +18531350953 +15828619181 +15871274580 +15868701468 +13920010112 +18839982009 +15835871045 +18501852264 +15844387242 +13920037518 +18511167824 +13602938261 +13938281934 +13682053779 +15870658036 +13946458288 +18597092376 +15891447904 +15172245907 +15152425920 +18547644385 +18513857551 +15138770478 +13928565497 +13982513656 +18586937498 +15114780674 +13610265814 +18869094305 +15169902849 +13652870913 +13938573339 +15164800459 +18580681881 +18519658847 +13965268735 +18851467605 +13977747783 +18598047276 +13613819729 +18808768509 +15128116377 +15123829074 +13662448877 +15170253956 +15826203736 +15161686996 +13647115974 +18866466446 +15179383879 +18556085097 +18888954092 +13679327141 +18576580846 +13945612644 +13647277679 +15182799202 +15803529559 +15197210456 +13628948758 +15862915467 +15898978569 +13638629146 +18523074806 +13922680412 +13625618114 +18598316961 +15126680271 +13695761113 +13986828147 +13603940269 +13670797045 +13969042201 +18552980224 +15192473278 +18850411820 +13673362531 +15132097038 +13613305966 +15143441883 +18512728764 +13648594200 +13623023027 +15138014745 +13666254303 +13949361889 +13685622513 +18509467061 +18527233850 +13996516604 +13600100545 +13660424699 +15188804535 +15869642209 +13648966350 +18857860462 +15175808827 +18527456312 +13620101301 +13678174245 +18874247320 +18588483308 +15889809936 +13995285913 +13686679796 +18890431695 +18506672840 +13699611533 +18541712399 +13699705558 +15137098273 +18557203860 +15112491564 +15152416751 +18878156376 +15196477363 +13604986586 +15838771963 +13927281419 +18531529979 +15891315944 +15874701751 +15860304151 +13935301138 +18516530467 +13998581361 +13917325589 +13952519687 +13655006395 +15121369908 +15183660310 +15142419373 +13936887936 +18846936842 +13628576471 +15859148157 +15837286378 +18853149826 +13694584748 +13622356850 +18819374667 +13671977347 +18897227728 +15171525998 +15835930506 +18831473180 +15130641583 +13661577958 +15121643368 +18815953421 +18876838453 +15169992753 +18532667602 +13615843958 +15195663043 +18598869278 +13638397425 +13651052280 +18562149447 +15813852974 +13620186528 +15127428210 +13672370131 +13610963302 +15199973590 +18572202662 +15809860046 +18842853664 +13636998122 +18821967056 +18539324980 +15849356292 +18564287441 +18577874495 +13952344320 +15870547184 +15186591392 +15874336038 +15873764775 +18529754203 +13696232227 +13639458062 +13936193219 +18856389949 +18876027814 +15843925825 +13615370986 +15143916114 +15188870926 +15121839491 +13983406937 +13638912037 +13684998140 +18599326609 +15893768672 +15881962523 +13934298040 +13664438964 +15896751095 +15120010179 +15188817770 +15120690735 +18873618494 +18832265148 +18895821870 +15116650906 +15884806934 +18525651336 +18811657903 +15832463744 +18540989885 +13929701855 +13977213985 +18814148050 +13600395354 +18826860085 +18848983785 +13902187228 +18522668592 +15103632011 +13959881439 +18811838717 +13679362619 +13630100524 +15877059141 +13684913933 +18581439492 +15109179188 +18584175315 +15876319466 +13975357553 +18558606351 +13682401142 +13699005314 +18869392144 +15810629936 +15141713768 +13929532677 +18573381340 +18545176041 +13980626363 +13919997630 +13920299368 +18508713749 +13686005739 +18840635086 +13936051455 +15866750311 +13603698239 +13695402869 +18849501517 +18812634974 +18526338916 +18899478750 +13675748587 +15860760818 +13955596707 +18572133937 +18571889595 +13906812197 +13973778407 +13612742444 +13623393669 +18548167154 +13681440655 +15193554581 +15157343075 +13608980677 +13609506494 +13694137958 +18573729038 +15844646706 +18589302406 +15110364158 +13647539249 +15190150466 +18833343102 +15846981255 +18585439317 +18570791693 +15175926679 +13655724655 +18592416182 +15166774683 +15182681391 +18896975021 +18540440081 +13629174667 +18504627071 +18561651500 +18559062180 +15889438534 +13924688427 +13661961824 +18880574748 +13693725833 +15899333480 +15890195439 +18801167345 +13994939960 +15883843391 +18508595472 +18521059763 +15864152025 +18555084460 +13935790731 +15110491599 +15169246807 +18520304975 +13964324535 +18583573370 +13955976016 +13645595300 +18842238098 +15106242720 +13941564072 +13601154622 +15805395460 +15817270398 +13939751996 +15892914327 +13952668450 +15806225222 +13919875109 +18820197370 +18520839347 +18895899705 +13949789768 +18834001508 +18507665113 +18866275116 +18879428714 +13694052234 +18814000555 +18867230740 +13687972342 +13979037536 +13668695611 +18832165880 +15832951824 +15190928438 +18584723775 +15128172017 +13642966194 +18821929412 +15172188510 +13670250079 +18572693567 +18866834394 +18887794243 +13931181101 +13684923048 +13926269939 +15831622909 +15107467477 +15134866491 +13966709146 +18534249902 +18878962294 +15813312469 +13937788858 +13984437675 +18853066329 +15104799417 +15866712094 +13609856064 +15845308793 +13653602773 +18593834363 +13678911662 +18843214981 +15810513805 +15174154935 +13674991770 +15889851473 +18598486733 +15160140599 +13619099330 +13679353053 +18882573204 +15170462142 +15153991031 +15176223227 +18883187467 +18567178107 +13688234850 +15834452865 +18895921578 +15837872263 +13690588471 +13629924168 +15162704956 +15872200515 +15836567466 +18599665362 +15812329845 +15129307294 +13633203159 +13977249459 +13962048786 +13634304207 +13900938581 +15100544792 +13601668511 +18896069829 +13927543205 +13664466889 +18876180827 +18519069917 +13644178261 +18897676402 +15888212395 +18898767575 +15842935578 +15119522453 +18835307434 +18582624476 +15886242529 +18875245730 +13914900049 +15819447603 +13639010826 +15865581322 +15117842213 +13640875404 +15119159577 +13967161679 +15109201594 +15873594576 +13664724849 +18812364311 +15895604969 +15182721870 +13657900596 +18844738967 +18831085421 +13636977557 +13689706854 +18540588537 +15859121598 +15848451882 +18872821305 +15892051200 +13943361079 +18865405684 +13972951930 +13954151666 +15177903267 +18538297533 +15156884109 +15184306342 +15875083577 +15845227489 +13926904730 +15868641392 +13651188215 +18532349294 +15190047158 +15847098290 +13936542157 +18858751973 +15132670657 +15886614540 +18522669291 +18558783546 +18870904976 +13617940536 +18588166000 +13687544203 +15820332839 +13992510806 +15166249704 +15128980911 +15806928044 +18826144541 +13665526462 +13612095262 +18852583596 +18863909074 +13683458609 +18877577685 +18858386673 +15814299440 +13944912552 +15180918835 +18512678759 +13604361350 +15187748194 +15877032431 +15189203691 +18506199977 +15888671380 +18864709153 +18559167532 +18550293369 +13634944264 +18847990338 +15830843034 +18815641225 +15836745796 +18520650890 +15856471867 +15842889440 +13900972912 +18560455371 +18865625318 +13955456932 +15145458428 +13980868198 +15806718696 +18512702231 +13971160636 +18808660363 +15172120047 +15102850742 +13640491653 +13979083405 +15870404578 +13966500349 +15132696848 +13960409725 +15828174854 +18858079132 +15193823472 +18876306235 +18897729268 +13633054050 +18803302294 +15157016796 +13910977733 +18592006262 +18531001920 +13684848564 +15195969326 +15849019733 +18827438251 +13682288657 +15856375914 +18852509518 +18549497407 +18566769064 +15180613945 +13698209164 +13925269628 +15103574469 +18886169446 +18567765516 +15196167492 +15117364706 +18596702118 +18827497455 +13626860707 +18599734019 +15119104584 +13688793524 +15140408537 +13967912364 +18555337791 +18859260759 +13602349867 +15882349007 +13934179995 +18516073780 +13601530026 +18584686698 +18533111256 +18809073678 +13636291643 +18500069584 +13624479425 +13672139212 +15866921329 +15189385948 +18859625547 +18813602779 +15141048129 +18847977932 +18864000533 +18809565119 +15879660738 +13913040418 +18832723573 +15194785845 +13991531948 +13610966541 +18504372754 +18567012055 +18539878399 +13606702434 +18831444820 +18867218715 +13950652428 +13921594099 +13929113424 +13979248454 +13968234533 +13625595484 +18528221390 +15827729360 +15118333276 +13655297848 +15180046903 +15143594049 +13937315129 +15183444709 +15822424327 +18539700956 +18567680589 +15148854115 +15820043345 +15835873637 +15891384474 +15864637697 +13966985063 +13617575183 +18843414358 +15836947284 +13986886732 +15853766158 +18838433824 +18854121339 +13628131757 +15175720963 +13993610555 +15110746205 +13971432833 +13949875394 +18578585695 +18562536260 +15142411130 +13904885604 +18535619579 +13680461984 +18868419270 +15837717554 +13903202393 +15832003559 +13649675956 +18819950719 +13679803597 +13960935322 +18837002571 +18579448782 +18829212419 +18589972962 +13676647807 +15153413799 +18888718693 +13654880061 +18598002625 +18516342627 +13974687831 +18830916435 +15113955052 +15876733551 +18503895712 +18869763639 +18800732900 +15137596572 +18552167139 +18896092496 +13951867353 +18826774315 +15189510318 +18868378087 +18541218644 +18561994560 +15135306715 +15189332557 +13916492021 +18877849080 +13954205539 +13695906340 +13903288524 +13681608136 +15193687582 +13655604633 +15811381956 +18817811076 +18837538947 +18895429524 +18526877785 +15144661483 +15833817748 +13609557062 +13657553746 +13939985084 +15829217961 +15800674675 +13661012331 +13617365342 +18804100380 +15836634157 +15171486263 +13624366967 +15808729909 +13974743485 +13914429136 +15129921246 +13914145295 +18590099606 +18846828672 +18876427935 +15189991181 +18865672167 +15872819663 +15874736348 +18871003079 +15129111119 +18540544106 +15888071715 +13697161305 +13916957616 +13943800980 +15112057440 +18526319796 +13665668060 +18874633408 +13690600570 +15108527010 +18572258369 +18571972386 +15864638494 +13981243233 +18561609756 +15866983201 +15196409347 +15175369011 +18851986363 +15836622917 +18879316792 +13674600615 +13635860725 +13609455979 +15872403888 +13634016548 +15178261103 +13610502595 +15199987384 +13924316451 +13912286316 +18813576638 +18820847774 +15889369509 +15887979858 +18506720320 +13941534967 +18527924311 +13987064272 +18889915092 +18845046921 +15880962074 +18576849888 +15867276927 +15840447327 +15151319670 +18504119555 +15197121751 +18555067278 +15127076218 +18560602717 +18830712190 +18578018361 +18868503751 +13964686589 +18895489100 +13905995923 +18896963333 +13654398205 +15868331426 +18565986373 +13909613663 +15170569696 +13968955684 +18578256720 +13922151373 +15876160239 +15180128318 +15877242314 +15188363664 +18557273666 +18848238186 +13980804858 +18539941972 +15111357717 +18548952452 +18899947366 +13910638140 +15850317334 +13612893785 +13995200424 +15877080098 +18502556774 +15801614969 +18586121602 +15870457052 +15863319367 +15817354904 +15176784007 +15818671285 +15801706093 +18515730496 +13954937771 +18551881439 +18546492796 +18829847688 +15199432784 +15141284333 +15854450090 +15188728241 +15120968300 +18818095322 +18544436340 +13956228462 +18804107782 +18871493412 +13611531672 +13928722008 +15815443496 +13621701852 +18517803335 +15886654537 +18588455196 +15831911773 +18581374056 +13911744668 +15882041130 +15183661113 +18537910712 +15198510173 +18508730373 +15815132206 +18555406775 +13627593847 +13622857657 +18530754602 +18808862133 +18560535329 +13974144041 +15172052415 +18509488524 +13686486060 +15813044202 +18532332930 +13961589949 +15821535715 +15119246509 +15850990641 +18887888099 +15188344581 +18816178417 +13626824149 +13655236334 +15162751741 +13911665665 +15891810356 +15135378510 +15151525615 +15846194390 +15896261392 +18535561323 +15113420572 +15114330173 +18586508504 +18883950338 +18875851890 +15140219991 +15863107617 +18828864326 +15159657919 +18594578957 +13604985039 +18511708449 +13621353997 +15852729922 +13690679100 +15191571203 +18813108667 +13992615120 +18814698038 +18582986531 +13998116704 +18818978626 +13607313968 +13690029926 +15852990259 +13922188230 +18817029854 +18898974224 +18502422009 +13615907251 +13608110660 +13989650346 +13950931854 +18823047350 +13919164805 +18576822281 +18540082152 +15114941375 +18888945490 +13696346263 +15854636781 +13901307231 +15813769949 +18833682875 +15191005541 +13926611969 +13925829377 +18506850886 +18855204070 +15893417186 +15819830435 +18883670697 +18562063357 +13950682066 +15183299084 +15150453531 +13910368215 +15190055650 +13673997815 +18544089572 +13996430780 +13908389389 +18866871404 +15125780289 +15193853432 +18807824116 +18875893967 +18866935610 +15883306336 +13919553905 +18526139991 +13676845856 +18556751377 +15158661403 +13905279303 +18567514884 +15811129381 +13651604220 +15172048169 +15124666509 +18814357002 +15104097241 +15179876180 +15181602128 +13669288685 +15830763009 +13971714947 +13961787134 +18580493763 +15827714740 +18824712717 +13671929916 +15825356133 +13959729784 +13942866895 +18581264612 +15826518508 +13948423909 +18598479544 +18832355639 +15125330099 +18895918902 +18808647061 +18869521522 +15860113708 +18808949916 +15851938774 +18807570979 +13933481778 +13685651213 +18816042410 +13972339671 +13941962410 +18519356517 +18829755375 +13950465727 +15845836180 +18571977780 +13645298491 +15118671095 +18547814063 +13657118948 +13655359963 +18500833523 +13648275488 +13940673899 +13691918017 +13930890340 +13647342417 +18843313746 +13633237691 +15892652287 +15824793957 +13631762242 +15146612435 +18520483783 +13962464765 +13946091128 +15892374076 +15189427936 +15145465938 +15837582736 +13608074085 +18829027333 +15853006686 +13987126569 +13975404352 +15823757501 +15116912171 +13622058066 +13645574523 +13983388899 +18540962291 +13981833681 +15849363539 +15150739865 +15115234325 +15145634879 +13924095132 +15833987870 +15120527961 +18524919437 +15859124997 +15824674572 +13955302397 +15853491184 +13988513706 +18545942677 +15168214302 +15808168714 +18534790310 +13947242078 +18557881363 +15136064935 +18506441428 +18831374890 +15878563091 +18583146389 +18862403891 +18559262001 +15858891756 +15819469494 +13627677606 +15850371923 +15830940104 +15132794432 +15178259450 +15183381220 +15802244910 +18535689388 +15838786776 +15135596838 +18800841495 +18581590565 +13900399978 +18822442361 +18592036422 +13627007466 +15103770147 +18895145506 +18842141604 +15808888615 +13987763038 +18867241801 +18537444508 +13960846060 +18889035244 +15876243310 +18866795788 +18561123908 +15160711623 +18835795645 +15867229514 +18882244895 +13952188330 +18550600689 +15891513931 +18894481174 +18886102524 +15812634172 +18809686299 +15842226171 +13964822704 +15119643437 +13996212654 +18540894095 +18867442472 +15120131253 +15868461338 +15154697907 +15146955004 +13919606566 +13606574232 +13620110551 +13606753025 +13983183464 +18828151033 +18831076780 +18870849421 +15159162598 +15188449236 +18807424521 +18571237503 +13972348296 +13988796553 +13603010617 +13983596498 +18896524717 +18861125576 +13624660226 +13617753418 +15891769462 +13957475661 +13633459732 +15149303947 +18544359033 +13689486331 +18591413952 +18882230826 +13683508541 +18879713688 +15827198702 +15137528007 +15847014262 +15892866489 +13618485273 +13902356462 +15818276237 +15165768930 +15859607564 +13995958179 +13957050873 +13923933058 +13628405321 +13909479641 +18865200202 +18892101957 +13621268839 +15858241498 +18873331240 +15806279344 +18592583184 +15165264450 +18555859615 +13628286673 +15845369852 +15847420076 +18519328456 +15100012223 +15185951230 +18854276795 +15171211111 +15114219474 +13698812041 +15809328764 +18573686943 +18857889579 +13920160126 +13937598446 +15116374249 +18570473787 +18538435579 +18560964118 +18841524194 +13635824987 +13668839634 +15108171099 +15174864421 +13937288860 +18852857208 +13942567580 +18538327522 +13902474028 +18596983800 +18877161587 +15181395356 +13906532190 +13618039358 +18568258407 +15841384962 +13935576459 +15819467164 +13971930928 +13659470816 +13974319681 +13618124094 +15847052513 +13613860658 +15845469512 +13688962339 +18565163868 +15871818192 +15806766548 +18587948896 +13951566634 +13943559097 +15123433132 +13613742172 +13679267012 +13958125289 +18514812028 +18592363873 +13997769086 +18849493536 +18885217990 +18547536319 +18843736426 +13994986964 +13968848044 +15188881627 +13657986113 +13924154450 +18804463145 +13641693567 +13972743443 +13927894492 +13933231967 +18532993846 +15172865395 +15811869815 +15873431562 +15170498897 +15190620074 +18851979792 +15136540364 +13650585270 +15134550680 +13683034385 +13612993426 +13651017395 +18871106217 +13618424251 +15107549182 +15892244854 +15115627572 +15826506926 +18511201120 +18502210189 +13945499596 +15800377516 +13908445971 +15166912829 +18576244102 +18892742589 +15839106442 +18546156374 +15829224943 +13950221311 +18862037253 +15198349924 +18523041815 +13964346061 +18857016947 +18838680300 +13683764591 +15145014831 +15846394823 +18860958048 +13919419390 +15128953225 +13617729767 +15891823477 +15150509803 +13663583435 +18896252378 +15832033512 +13682464707 +13616536428 +15846365343 +13615066139 +15864409867 +13925882642 +18598662975 +15808131470 +13665880488 +18507512373 +15879380777 +15188565761 +13920058773 +18867734308 +15839978865 +13927941878 +13640109446 +13691274740 +15865344287 +13680967649 +13912271348 +15144885601 +15133832293 +18804045703 +15842404412 +13974127049 +18589868037 +15883033802 +13689694074 +15862008397 +13979647779 +18579121408 +15801795045 +18834280158 +13622910135 +18898114979 +15815832819 +18574670941 +18503879706 +18812393922 +18889907443 +18862704064 +18897683061 +13976384700 +13961557777 +13954458787 +13626283213 +18887013416 +13949115581 +15870099988 +13656021615 +15101018081 +15835691401 +15112024977 +13690099780 +15101571232 +13919322260 +15817194777 +15165974207 +15160375658 +18838479049 +15176714622 +13978437298 +15108063909 +13937080547 +13928104072 +15129266076 +18880039444 +13667432238 +13671897753 +13988118042 +13929957355 +13912248317 +18560723837 +15826482772 +18831281498 +18829721977 +15878358311 +18896369584 +13669680301 +15139527419 +13925442572 +13910531314 +18586365077 +18801330739 +15863168453 +18557509021 +18811972858 +13946341707 +18894374449 +13902025270 +13690384935 +18514865429 +18806333818 +18875497782 +13912545793 +15828310659 +15116455089 +18818742555 +13961771768 +18836466628 +13607386228 +18578537509 +18812058567 +15824262242 +18573279510 +18854306862 +13699912101 +18539293147 +13601805715 +18507912771 +18538279009 +18581045196 +18894269588 +15889072835 +13968943457 +18880386000 +13993827682 +18583775804 +13956922659 +15805144220 +13913645989 +18549282498 +15893075008 +18817691422 +18852687979 +18557794315 +13992363822 +18800227213 +18811954377 +13617717881 +18805619977 +18595147276 +15196439838 +15143370011 +15833049530 +13644780579 +18598287656 +15188092458 +18545456520 +18829500495 +18879046295 +15821443530 +13631189965 +18512591451 +15872729837 +15895352796 +13994736662 +13997185673 +15880788122 +13992045725 +18879089890 +15183505772 +13932567614 +13995179333 +15167911137 +13932415695 +13936711461 +13971092011 +13657282373 +18568958039 +15162571796 +13687398188 +15102929098 +13990626053 +13663870562 +15114250051 +13640279015 +15169282837 +13631652930 +15165232133 +18518279502 +15185646731 +13922982170 +13953319019 +13665135008 +13639038684 +15113455199 +13671263326 +13627954791 +18547673586 +15810595854 +13668532119 +18513195131 +15195220942 +13631976133 +13920838119 +18881203712 +15897166743 +18876183224 +18887176667 +18836813962 +13657936051 +18532727329 +13929120502 +15897451033 +15142145299 +18564645564 +13997609508 +18510531606 +15126264955 +13695967175 +18874284946 +13648393436 +15197524517 +13993831153 +18592068990 +13618350485 +18536093025 +13612796481 +15820217326 +15847649529 +18897104930 +13919393186 +13639662806 +18591218845 +18839724126 +13956348883 +13932424724 +13933981610 +18826378444 +18867964198 +18518294006 +15191028520 +18564632143 +18524059607 +13953852034 +15878587910 +13904736030 +13669565448 +13951648123 +15835004884 +15818839532 +18511960428 +18847416903 +15194458064 +15154591700 +15111132348 +18564023284 +15102114683 +15808897913 +15169048172 +18586530721 +13960320517 +15800092445 +15826102318 +13614679605 +13610616896 +13961059710 +13637446895 +13975657511 +13643476277 +18836310207 +13695128533 +18846917449 +15155760467 +18539960833 +15112285500 +13999363522 +18875998691 +18537760895 +18820668320 +15143174240 +18818120752 +18509271613 +18879741532 +15827310183 +13609710982 +18844443411 +15174301108 +15107884755 +13934480722 +18851576141 +13969477579 +18581299403 +18856178699 +13909923139 +13909939561 +18593049001 +15101783892 +18895199262 +18889560923 +15125256124 +18516343433 +15123510228 +15899695148 +18817377450 +13978462378 +13686277287 +15106134054 +15123366937 +18520544756 +18874959453 +15829092288 +13978131420 +15848805507 +13657799661 +18890184734 +15153318055 +18592948261 +18548292042 +18828628634 +13651745533 +15180034942 +15193733314 +13649624668 +18558085403 +13955345173 +15825992740 +15847626674 +18554352755 +18523661072 +18847076388 +13622469286 +13987511091 +18514974725 +13654229626 +18529499460 +18548102857 +18813371316 +13946721305 +15191800356 +13622265205 +18535850018 +18571310242 +15123813501 +15130154650 +15127169415 +13654799168 +13609693235 +13672750062 +13911550788 +18848451366 +18524506672 +13667903261 +15155991165 +15862801230 +15148197951 +13980147490 +13649139141 +15161223371 +13664146531 +13614993150 +15170896525 +15131128742 +15158448072 +15887098432 +13933833684 +13923769616 +18546503338 +18541621828 +13960608554 +18891224063 +13926985670 +18587887357 +15192097433 +18826160960 +13600533598 +13692656975 +15150488285 +13654251630 +13648979699 +18866158831 +15110602511 +18888960749 +18581893978 +18884415618 +13962319149 +13948091511 +13911119989 +15802371771 +15111636725 +15874697132 +13626491879 +13920263475 +13952391132 +13688540264 +15155732792 +18811141847 +13965070364 +18858659287 +15825034742 +13932827593 +18563701817 +18853938681 +18852581735 +15889690585 +13623926920 +15195424583 +15193839675 +13953757757 +13949953064 +18549027097 +18850448323 +18879269599 +15127894503 +13649059445 +18578265389 +15111961432 +15150399817 +18893584212 +15147352227 +18849825163 +18501074871 +13907222995 +18578939590 +13978649307 +13930071905 +13980965959 +13652878645 +13618416990 +15175307502 +15108105995 +15893114100 +18806091975 +18811960443 +15182088145 +13678720372 +15125341469 +15863331846 +15879129758 +15141352263 +18553945474 +18547817465 +13644487897 +15187494306 +18813429412 +18508814682 +15810113906 +18814328536 +15833050222 +18883787734 +15174695804 +13933818328 +18526559720 +13648981681 +18877076844 +18512842411 +15135937586 +13681119723 +13980136634 +18801946790 +15170272925 +18871539850 +13648851550 +15849788581 +13662572853 +13687022940 +15126985477 +18853499637 +15878874963 +18597769663 +15165320087 +15112665056 +13974173755 +15163411172 +18559131583 +18831928729 +18510287527 +18814840948 +13930470013 +13922857295 +15884085825 +13920275808 +13925550250 +13914485744 +13601195596 +13663855499 +15824210408 +18556018137 +13913921208 +15884973154 +15824003591 +15116115551 +18588135155 +15899614646 +15824767393 +13934321414 +13982951788 +18867147067 +13936553135 +15143460024 +13953803706 +18891040298 +13641752681 +18873257185 +13904956634 +13994894140 +18504679216 +15154370963 +15880750487 +13681514444 +13946334393 +15814251221 +15893281906 +18858654716 +13941857996 +15875984715 +18584815010 +13944859850 +15144488996 +13933203323 +13940300396 +13677180459 +15815223912 +13620216876 +15808027711 +18517283747 +15147728442 +13966793773 +18849488270 +13680764332 +13606736110 +15129543781 +15183963653 +13985841306 +18508952569 +15159250143 +13699145996 +15117942481 +18505617425 +18527288880 +13997751624 +15822398104 +13677848294 +13980286492 +15134756062 +13689579513 +15195115803 +13658002139 +15871797463 +15109477159 +18522433166 +18582509929 +18825741137 +18824991862 +18870426209 +15895603984 +18864710770 +18874204271 +18831802551 +13977790084 +13687728231 +18532117407 +18565342888 +18859489026 +13625074586 +15189636866 +18874192199 +18520181474 +13913592012 +18814649512 +15182615822 +13647251626 +18842457919 +13659276826 +18845933131 +18822040476 +13666447142 +13927531910 +18567694923 +18877180158 +18515875709 +18548964879 +18566115011 +15866921507 +15860968147 +15153952976 +18560326885 +18542125131 +13906508650 +18803237474 +18879522642 +15186614169 +18817531808 +13925778643 +15831444446 +13673211279 +13653932557 +18842206512 +18828038183 +18835414634 +18838414812 +15176369656 +18840089826 +18510555165 +18564510900 +15878131784 +15138845783 +18808850354 +18807719685 +18514422861 +15178563629 +13626758567 +13953777846 +18882836520 +18575604447 +18538560958 +18531841375 +15830811672 +18531929192 +13970629280 +15801243244 +15186215961 +13616152419 +13999263115 +18565996213 +18500204555 +15159466318 +18568092304 +15124379946 +15806736800 +18855914446 +13630074879 +13928436409 +15166518110 +15896095299 +18842425341 +18541014563 +18530233245 +18877058617 +15181929876 +15885025242 +18884841443 +13614476311 +18885015627 +18826031269 +18824074970 +18576408760 +15857416032 +15164782970 +18524749130 +18588273325 +13655703353 +13663700474 +13678297103 +18854534431 +18568353950 +15889041775 +13913183893 +18861480102 +13699521135 +18576831628 +13605041980 +13927132328 +13640845838 +18585114811 +13693695767 +18837087700 +18523687073 +18506777565 +13663207662 +18503921635 +13691606018 +13981467852 +15858207894 +13990535604 +18590594794 +15102356489 +18834535637 +13692524566 +18570874524 +18546325992 +13669377780 +13696644624 +15107283436 +13928933389 +15891103215 +18584294745 +15115491276 +15825403335 +18815455262 +13973535766 +18805471389 +18859074502 +13970244601 +15809042652 +18841035453 +13940721006 +13646245404 +13941391900 +13915311712 +13979732351 +13645105563 +18546331870 +13956094945 +15858811736 +18803517222 +15160700222 +15137076272 +15190789190 +15828342432 +13605440341 +13967699448 +15864248132 +15159233510 +13632778631 +13910341637 +18571133257 +18804370528 +18564723514 +18553982549 +15803842294 +18876278733 +13917108671 +15155998449 +18573202661 +15169866426 +13614917810 +15192465666 +13619337275 +13661358795 +13630022037 +15145538009 +13973992596 +18502322162 +18557033281 +18502948600 +15809166825 +13954118972 +13666827278 +13631709094 +18826552974 +15887380237 +13650876631 +15877199881 +18565593805 +18827779422 +18892252724 +15890237766 +15170384262 +15190486736 +18522547009 +18856734211 +18501386826 +13976507816 +18819267503 +13696334782 +15848946813 +13669368693 +15893319845 +18510221066 +18861178296 +15825441476 +18552090283 +15189349217 +15842638001 +18578364715 +15804760585 +18844185674 +13988445667 +15132167121 +18800874190 +13628468124 +15184075800 +18508917358 +18876649118 +13992853046 +18599135167 +15886524595 +13948867364 +13642354822 +13670728460 +18565767207 +15164654827 +13684641868 +18538482277 +15124450446 +15880657838 +15185168709 +18874808705 +15853882329 +13981854571 +18516882600 +18885598759 +13914204259 +18520205212 +18827258347 +13950202016 +18589678503 +13685000277 +15828657908 +13611350192 +13608868023 +15893734081 +15807384448 +18530329629 +13920625423 +18593098609 +18897969270 +15850603910 +13909181901 +15830818545 +15145095340 +18892420450 +13637207298 +15852742889 +13948964758 +13922288354 +18580028817 +13600876066 +18505917915 +15867242797 +13945359966 +18812244972 +15839492988 +13996309646 +13951943640 +15830141932 +18595849963 +18884781681 +13966498593 +13665479471 +15197331017 +18531377986 +18892942312 +13648325644 +13689006235 +15116459492 +18810170803 +15889405848 +15883355912 +13997428718 +13947985550 +15862379304 +13632968613 +13601518187 +13651230707 +18806387424 +18542297736 +18555778130 +13623773884 +15120508166 +15176825402 +18898414560 +15845072455 +15199738851 +13622660504 +15107923126 +15877998002 +18528365889 +13615203642 +18509723652 +18829379774 +13623088105 +18817680869 +18801727124 +13682551204 +18527898100 +13905047623 +18502703470 +13611698550 +13684639678 +13600628496 +13628660021 +13919460028 +18857543970 +15810068272 +13631639649 +13946147124 +13942819380 +15160640735 +13942118514 +15810360443 +13661038370 +18856252000 +13656935547 +15128641503 +18563100570 +18847857877 +13622139141 +15885214926 +15808703529 +15144041396 +13683601108 +15886838863 +15819189682 +18892634603 +15135669435 +18816505772 +18507157660 +15198034181 +18546896847 +15875573572 +15843131292 +13938058469 +13657525410 +18833535737 +13660059715 +15185967566 +15830227735 +15101321036 +15852626371 +15166663782 +13670784746 +13621808891 +15860284883 +18877138273 +18504111837 +18899429076 +18886458322 +15168938456 +18874270518 +15897040100 +13638380647 +13948573466 +13938334399 +18599481995 +15159724610 +13697464445 +15175466624 +18841202100 +15111696456 +13630507060 +15172312819 +15855465002 +13640168936 +13909273670 +13623529791 +18842324743 +15192052436 +15843756455 +15834144126 +15811913023 +13632685859 +15123177333 +15873599516 +13664314739 +15103633071 +15834679877 +18863947460 +15864057069 +15855412031 +13631797168 +18875567933 +13961214347 +13626174013 +15146940637 +13921396190 +13653616704 +15173652606 +13652801214 +13967903236 +15184497081 +15832605240 +13928625508 +13953693094 +13683942510 +15852420499 +18849611546 +18808408201 +13646208822 +15178962909 +18575371769 +15899066835 +13954526677 +13653475680 +18501478830 +15148400396 +18805550399 +13992693598 +15806481382 +15110669519 +13662706383 +18504246219 +13933526889 +18850596222 +15182951482 +18550288823 +18839571096 +15109418405 +13605505466 +13691095682 +15184970902 +15865588865 +18501690878 +18842191413 +15131246485 +15132838655 +15110738795 +15192873361 +13951995128 +13905828181 +18531537542 +18589716573 +15131557782 +18558843800 +13918587096 +15124176005 +13655570280 +13909147133 +15165799917 +18864166191 +15189736081 +13650684725 +18544830423 +18801111261 +18541075268 +18857089481 +15124225850 +18541377725 +13988779641 +13678986727 +15815904829 +15881077429 +15804840641 +18506660784 +13979367554 +15129262874 +18801078796 +15143590113 +13953846432 +13909968601 +15846595469 +13968393825 +18537302372 +15852270262 +18844444022 +15878699779 +18502551690 +18872337162 +13631161234 +13972944558 +13938258099 +13695491885 +15890791966 +15897764458 +15827375437 +13679990235 +18898841384 +15868252233 +18802742549 +13622678839 +15823148986 +18837962464 +13679141046 +18574270921 +18579061985 +15189603323 +18596416646 +13909238178 +18518066455 +13699236130 +15887815702 +15840233662 +13987038938 +18577843241 +18525287279 +15896919176 +15142743442 +18828897068 +13612049965 +18562894034 +15832466535 +13689366096 +13956773301 +13964818131 +13668779647 +18565998818 +18513867708 +18540741179 +13924740655 +18880879008 +18864274086 +15846466217 +18864223723 +13664929858 +18591557834 +13691813580 +15833444724 +18871785966 +15191534160 +18803645868 +18800201720 +13621211895 +18864281299 +15139405375 +18518542963 +15879369943 +18821762702 +18879201474 +13915085116 +13921383053 +15895302789 +13635424810 +15118711147 +15198454379 +15888405455 +15118578497 +15118279524 +18803764304 +13614396338 +13623193227 +18861564314 +18878494079 +13937268600 +15877045632 +13608452088 +13656759897 +15829716872 +18582382549 +13682921457 +15193379102 +13995244741 +18830498776 +13690190522 +18572632668 +15834306677 +13641518089 +13903435024 +18520250860 +15860337575 +15137300625 +18840282360 +13678616521 +18553339083 +13629936989 +15189504429 +15113469734 +18515078054 +18807477172 +18560921715 +18529489962 +18892089834 +18858492769 +18503690641 +18824257131 +13663036499 +15186599869 +13630830531 +13612670962 +18598281094 +13693531767 +15147909770 +18876375784 +15818046913 +15111288437 +15898673629 +18548284789 +15848905717 +13938756362 +18525751272 +13906566264 +18852280776 +13948411629 +18594494018 +18501245475 +13657758675 +13928955233 +13925284210 +13911947450 +13626031872 +15131749364 +15189788622 +15116509759 +13968701772 +13646727247 +13959254852 +15117260729 +18547884812 +13662241387 +13656165257 +15191194321 +15161739762 +18568769783 +13634056505 +15892724808 +13990673017 +13650701435 +13916965174 +13964753427 +15838981550 +15813039522 +18860078246 +13962357110 +18583843438 +13921648656 +13691987938 +15857638097 +15853579857 +13614431370 +13660373431 +15878352831 +18500356059 +15840563863 +18895162355 +18802752000 +13957678443 +15899223498 +18880658936 +15152742250 +13685690914 +13640540688 +13980168891 +18541752192 +15889430336 +18861379156 +18560944481 +13966306770 +13916649732 +15814752418 +13996638018 +18522233081 +13616163348 +13603950669 +18508960767 +13900853262 +15801616568 +15178256724 +18807288427 +18895399519 +18542820259 +18844322386 +18891821586 +13938782057 +13900774898 +13998604400 +13941750219 +15853359644 +15881241567 +13615903943 +13626452499 +15182737403 +13903111226 +15806453211 +13642717989 +18509247919 +13696615543 +13684739306 +15177407595 +18551124803 +18899052348 +13610424402 +18873621331 +15811733770 +18867242352 +18831772975 +13675142317 +13987945125 +18582248961 +15895454860 +15822992976 +15868780362 +18818924757 +13609653800 +15188257852 +18827394536 +13955837051 +15855605842 +13975421141 +13921775894 +15886340746 +15123598640 +15156691812 +18899803133 +15182437050 +13930600978 +13646707010 +18597162354 +13950042933 +15898584578 +18559140741 +15857725057 +18870651763 +18574756149 +13906940505 +13600695102 +15123599915 +13630374271 +15143875660 +18854540108 +18565118267 +15126845089 +13995701572 +18807666801 +15843635699 +15109446465 +13664254488 +13629446319 +15871849973 +15878587208 +18516554924 +13979830990 +13932360576 +15165134432 +18596220932 +18523731513 +13957825769 +15840338761 +18819983963 +15814613455 +15832594390 +13620163342 +15827358538 +15856757496 +18570577368 +13999585971 +15881566297 +15815066289 +13946018643 +13937614512 +15864026680 +15884336757 +18824030344 +13912860854 +13919634316 +18541882262 +13650115420 +18847031529 +13964634444 +15890377889 +13941799897 +18880326647 +15867149163 +18818883656 +18598862470 +13963505020 +15870076290 +13691787419 +18501421821 +15180245051 +18830198444 +18828277919 +15140107776 +13642743326 +13640021541 +15819382838 +13623636086 +13979295991 +18591581671 +15104815782 +18596357884 +13947352999 +18542352541 +13915581838 +15122324704 +18873172324 +15125399245 +18558940359 +13960674849 +13665103615 +18559815607 +18887768212 +13644967714 +15197346359 +13928267376 +13918227163 +13952792191 +13916998190 +15853090801 +18546176457 +13687599250 +18505921534 +15884861326 +15187850511 +18549833072 +13953019060 +15866671533 +15850603086 +15826860579 +13617695576 +18582321096 +15879838784 +13953641708 +18899368680 +18516358967 +18528162634 +18558951124 +15192680178 +13653285008 +13649021249 +13628474017 +18551744316 +18509237264 +18815054004 +13913180775 +13929604926 +18503433796 +15851955259 +15168794467 +13681833773 +13601836524 +15831751627 +15858408597 +15141646145 +13998752167 +13933518758 +15857741256 +13646326683 +18590727590 +13905971059 +13982713903 +13695421129 +13964131585 +13628801082 +13647357635 +18574360559 +15845635107 +13975858248 +13664444626 +15121938651 +18597888482 +13964987348 +15817374029 +15191690226 +13644322155 +15164510496 +18504250713 +15132128596 +18597437325 +18856445607 +13600995298 +13992868831 +15846564599 +15182187761 +13644965597 +18820499475 +13948014958 +13974538292 +15830299622 +15131713670 +18535826694 +13644104877 +13909557036 +13645051222 +18557510665 +15115551994 +15138611200 +18556418559 +13611271910 +18830073480 +13943580197 +13929338951 +18543629268 +15136138956 +18839404046 +18512363878 +18813819356 +15806056127 +13972206250 +13628589989 +18544783863 +18556947698 +15864391115 +13675499404 +13600601896 +15142400448 +13937090390 +18834984095 +18803495151 +13922099746 +18822273464 +18563632380 +13906143112 +15110595349 +13946598774 +18506816970 +15190537108 +13998722587 +15830421331 +18850536347 +18575493361 +13605204760 +18839210617 +15834508796 +15889969198 +13912366501 +13936537159 +18571288121 +18865153105 +13639856121 +18830751698 +18845162461 +13931802889 +15834530681 +18831303259 +13666923625 +18811060653 +18538208714 +15800458626 +15124412713 +15879184306 +13625494757 +15193053914 +15833220289 +15811508468 +18576079972 +15154913943 +13646121689 +18896955675 +13965143823 +15106372380 +15882698259 +13946146604 +13930440475 +15879253331 +18882694588 +15158405199 +13962703209 +15804007342 +13935124913 +18871977214 +13640220385 +13950863879 +13938124837 +18570292897 +18861888338 +18559682069 +13992484711 +18820847068 +13632934093 +15115091582 +15145933175 +13683115257 +15849989215 +18539465467 +13912088187 +13670971920 +13971000455 +13608891958 +18888082085 +18527313400 +18544581441 +15177700911 +15115366265 +15870497904 +15103733211 +15184796363 +13629584427 +15833038725 +18570964436 +18539231892 +15144957699 +18525710994 +15155464872 +18837065340 +13680546713 +18844603793 +18867703517 +13969943811 +15844791954 +13976807753 +13671435654 +18554522669 +18511983110 +13912078342 +13623374974 +13644964909 +13608349808 +13993199047 +13962559164 +13629909773 +13949835250 +13947123562 +18529444264 +18859815386 +15896121903 +15800649498 +18878127763 +15188103606 +15851598151 +15164532018 +15846017413 +13984142448 +13616566253 +15191138923 +18569588640 +18814948592 +15829993228 +18804028619 +15189529124 +18522967136 +15185590512 +15818543991 +18845516678 +15852128149 +15817021809 +18871111613 +18858677405 +13927086460 +18533851290 +13638176442 +15190915705 +13648928107 +15831318727 +18830138285 +15827542292 +18561218477 +15896186410 +18859053786 +18823547638 +13984086306 +15175920202 +13928774906 +15177238078 +15190936266 +15101806340 +18878480696 +15889306975 +15101343993 +13658318970 +18542320121 +15876441411 +15127013476 +13639505684 +18806727395 +13608663850 +13929640428 +13974520344 +18880778750 +15120149809 +15117080643 +13638442442 +13944567850 +13647661833 +13973913498 +18589187410 +18841784619 +15828327228 +15118854845 +18896508111 +13981807938 +13613967600 +18541283431 +15181239579 +13653111141 +13907613690 +13648923610 +18810163722 +13906846263 +13905634312 +18829726189 +18873344529 +13690800275 +15800691727 +13619540030 +13923731245 +18803295882 +15848685837 +15875255226 +13984905963 +15138500357 +15874197525 +13981010878 +18886026931 +15194114701 +13661865336 +15106287312 +13657866721 +15856215485 +13638333736 +15801926301 +13683640975 +13911182512 +18574899875 +15842787089 +13938116836 +13674777516 +18543087685 +15845396217 +13910886606 +18523310660 +18868858374 +13663008790 +13681327043 +15191276057 +18833745007 +13666707240 +13634908552 +18844506054 +13631728210 +13610487052 +15829973586 +18589411514 +15864497385 +13646492965 +13926954256 +13671597972 +15876252614 +13946571070 +15822850834 +13940600784 +18880769030 +13613951317 +13991800622 +15868694754 +13928856687 +13943997421 +13995697517 +13649797249 +18595136982 +15124273036 +15122770313 +18518601385 +15158518884 +18846165796 +15107758831 +13688350492 +13611755513 +15816959593 +15111268671 +15179238457 +15829801035 +15858953437 +18862913838 +13662966930 +18815253760 +13908402932 +15867851833 +15194040773 +13957917547 +18504255353 +13658120034 +18851407926 +13925205373 +15837931307 +13698599419 +18576637536 +15139452743 +13696694630 +13907581365 +13959555517 +15804254112 +18538507410 +13920108768 +13921940688 +13646210821 +13623895122 +18825392449 +15169801656 +18838278535 +15112403616 +15845949490 +15824282722 +15859317430 +13938933020 +18811414838 +18878506808 +13987396086 +18874507815 +13966577543 +13698720471 +13999222193 +18888082865 +15191569997 +13993462284 +13698863120 +13916418987 +13697120655 +15839433314 +13628356140 +13648716687 +18846299831 +18876251158 +15170113420 +15131500662 +13624945262 +13904238998 +13605797386 +18828343373 +15105448987 +15879623139 +15831124330 +13653383359 +13940712571 +13689910005 +18837303339 +15190477083 +13683560365 +13989155973 +13697864046 +15176888674 +13963793274 +18554891818 +18804476695 +13943678186 +15860892603 +15823424472 +13634237511 +15848531508 +13684589420 +13697624908 +18531506548 +15863932062 +13930088158 +18534303196 +18854680890 +13668989396 +15155476140 +13615975004 +15134230297 +15835546350 +13675894667 +18560084360 +13667214940 +18820921809 +18510896968 +13629971251 +15861869331 +15869897666 +15169568444 +13967240557 +15190391670 +18515317392 +18869645065 +18577145786 +15116145111 +18847047221 +13615826545 +13628798399 +15193626712 +18843900384 +18804236099 +13973391361 +18541306388 +13914726654 +13979117397 +13660918786 +13976083577 +13958957974 +15889683101 +18801621629 +13641822602 +18808972745 +15869503873 +13996162879 +13617781160 +18571932901 +15820706043 +15161003230 +15173586065 +13997365531 +13690293131 +18847201464 +18560242019 +18571328581 +15884979103 +15839713938 +18538461947 +15817747449 +15837555323 +15103011904 +15888036066 +13636105884 +18894127247 +15889146886 +18877479027 +18809078680 +18503592464 +18850926184 +15823814952 +15187416723 +18818504520 +15193061280 +18569329246 +15850873305 +13628958053 +13912848711 +13637529709 +18806164090 +18518811038 +13626659461 +13998748996 +13677194181 +15154016143 +15851928460 +18583161044 +15150693933 +15809493190 +13907926749 +18534450253 +13935069479 +15171556974 +15833266913 +15114712321 +18896213105 +13603888873 +13637085783 +18590242164 +13997732404 +13938358365 +15892091835 +13602193362 +15832554411 +15880709586 +13903776059 +13632543003 +15118214392 +18531849661 +13609925695 +15837143733 +13922098666 +13622413763 +18851880034 +13981352625 +15175268932 +15835231574 +18802099943 +15897436790 +15155709973 +15890165582 +18589887521 +15836335818 +13638372685 +13680808364 +15881082166 +13928263195 +15835641815 +18557226103 +15132931730 +18833816318 +13943643199 +15803262972 +18504872778 +18838753776 +15128904207 +13671560369 +18831331018 +15164617392 +15848329670 +18576801670 +13939966447 +13901424411 +18561771316 +13604410072 +13987307068 +13635753551 +15881070523 +13668030548 +18568380024 +15842982823 +15168275877 +18809151178 +13620908518 +15124802500 +15156417149 +18512525353 +15829224903 +15851063432 +15844113860 +18883518614 +15817484625 +13605440021 +18513704627 +13656590694 +18588599815 +13668188530 +18825866561 +18537753327 +15825942386 +18874005959 +18524591368 +18587739285 +13950443483 +15851982057 +15164890966 +18856562658 +13627795365 +15888413580 +15142489573 +18811754504 +13900087180 +13936646380 +13967073419 +13939627157 +18870523175 +15182729065 +13985346714 +18512742937 +18574429411 +18873283015 +18585919324 +15806486126 +13956424619 +18844855023 +18588832113 +15129719534 +13637629029 +18551640521 +15883862242 +15811975612 +18822004621 +13600175737 +18847169704 +18874890752 +15108292405 +15826609117 +15156637905 +18586205938 +15125645930 +18806380563 +13677781725 +15133094197 +18537031281 +18579222176 +13663161058 +15887185936 +18531837284 +13683443314 +13625543747 +15857222504 +18590938496 +18823329606 +18544939663 +13638364967 +18888717346 +15108800398 +13660908538 +15155369332 +18572529046 +15197126907 +13948789503 +15880600019 +13672688750 +13623235404 +13995486168 +18519436716 +18856073258 +15809079266 +15188460176 +13613572879 +18542212428 +13933753648 +15190578979 +13912303904 +13652117762 +15185197946 +15841866535 +15864572118 +13604941105 +18535077496 +15162653725 +15161851236 +13970703025 +18873437354 +15851928049 +15127070218 +13677372670 +13952518155 +15165026547 +15817091069 +15165452002 +18828866873 +18801902277 +18831161990 +18812371046 +18849660753 +15856626640 +13988245715 +18834460277 +13610782146 +15870247698 +13612296621 +13977802386 +15138271067 +13689210126 +13611633604 +13622077594 +18506827884 +13940832701 +15880293799 +15101973560 +13990337314 +15191703763 +13636363415 +18870985433 +13918683843 +18868641161 +15862832783 +18567787689 +18587378601 +15851664325 +18812485249 +18590028576 +18556103310 +15897234679 +18567568406 +18899282020 +18515162430 +15152282523 +13631779183 +13956363707 +15130064117 +18522815010 +13644685436 +18570107181 +15152441021 +15114531843 +13980565581 +15800062152 +15162536773 +13930996701 +18519180290 +18598547887 +18899305509 +18543662263 +15844773192 +15833753150 +18582135224 +13927963701 +18881004759 +15128430454 +13669495926 +13617635224 +15885828198 +15185311197 +13681641756 +15863750355 +15197860839 +18855023225 +13944932747 +15179348157 +15847678152 +13913179598 +18596351442 +15830705891 +18825235477 +13672865811 +18802556019 +18850321658 +13954909999 +13922603204 +13982809819 +15177641118 +15815553870 +15185588613 +18874538055 +15187290284 +13995362146 +18562056269 +15122142773 +15839981459 +13970704423 +18563177987 +15848750526 +15825689593 +15197739016 +13673063571 +13930934490 +15889196749 +18876478963 +13960061018 +15854803672 +18557664332 +15838542840 +15133821785 +13969531093 +13686563978 +18596137837 +13645327575 +18500750546 +13943347496 +18847686051 +18539205627 +18873242452 +18872287101 +18869355238 +15814120424 +18580310827 +13673784239 +13668470492 +18844628635 +13683540883 +18811582917 +13676758764 +15833737730 +15163436777 +13906770558 +15817925499 +13619556568 +15897738819 +18811513545 +13912022065 +18531228339 +18820702849 +13944667558 +15141590726 +18839330444 +18532421837 +18829982478 +15803390180 +13913244152 +18540126362 +18888295886 +13629507180 +15899614191 +13691772363 +18586090242 +15121215216 +18550709409 +15194897677 +18572814328 +15870794879 +13989964430 +18841298505 +13935438181 +13979149953 +13920741748 +15833095458 +18518445800 +18873869233 +15160853615 +18596411977 +18819783439 +18863955111 +18867880304 +18889984413 +18573985762 +18826933677 +13959660740 +18814652146 +13693578063 +13610575171 +13650150637 +13946147233 +13642789107 +15172461584 +13669837133 +13677353736 +18820534768 +18860854452 +15867477163 +18825521408 +13927820769 +13903138838 +13958804755 +18843117775 +15158805459 +13944259801 +13919822761 +15800530178 +13618454600 +13901847166 +15830557492 +13625043469 +13651623944 +18873165746 +13633319246 +15863838385 +15837852915 +15178792394 +18591014285 +18555671636 +13664355414 +13939896578 +18515335976 +13653656458 +18861878802 +18848263909 +18805695683 +13664870794 +18828212584 +18826772385 +15830741403 +15815838304 +15848822061 +13616343380 +18519462484 +18581859676 +13652336727 +13937768203 +15800642742 +13946658676 +15173567771 +13677562183 +15107950417 +13611920263 +13900895372 +18842512845 +18557094179 +13659266345 +13686268784 +13912380543 +13979657293 +18847403495 +18539400414 +18509933372 +15812007942 +13666535353 +18547897073 +18883539585 +15878262794 +13966883322 +13961563417 +18822394953 +18808993256 +18880268349 +15132225761 +18591812999 +18555565093 +15846073546 +15186971966 +15899939253 +13938527851 +15845778896 +13653288830 +15105242146 +18505113344 +18840599652 +15816961974 +13657623246 +15834805022 +13651015925 +18827976017 +15816627776 +13911427153 +13614406586 +13634508897 +13982576950 +18817236321 +18806398979 +18894148515 +18895296686 +18580758555 +15894875518 +13916882216 +15195038107 +15128255346 +15174341853 +15188628383 +15850029389 +13962048512 +18869870200 +18819012171 +13693967467 +18510690885 +13636397630 +18844218774 +18557226884 +13954349906 +18511878947 +15136941270 +15889282278 +13997560863 +18532564333 +18583256039 +13625257099 +18563741415 +18518395864 +13986369422 +15121158583 +18863471743 +18849473571 +18832022289 +13685111241 +15889771066 +18842088672 +13978791668 +15129107171 +15174878459 +15877256876 +13901297642 +15160313732 +13667135222 +13654659927 +13617441964 +13990093520 +15176688769 +13657633765 +13937901663 +13901582524 +15820674250 +18565360599 +18573026610 +18541865215 +15121801364 +18825279236 +15188951634 +13961459747 +15866665160 +15105960685 +13649804241 +13929317691 +18859143075 +18887703821 +15802815953 +13610443967 +18820124298 +18532971563 +15829841628 +15112904316 +18560668703 +18502956596 +18848760816 +18569891844 +15893770838 +18507499038 +18549919739 +18825982283 +13918244593 +18517066685 +13972162263 +15175821013 +13666907577 +18599016059 +13968752456 +15174050156 +18848648972 +15845163037 +18846811579 +15143254970 +13614542682 +18572159699 +15889526888 +13925438674 +15853091083 +18894535124 +13619061377 +18868449856 +18825000441 +13927760183 +13981651520 +18588495601 +13962036109 +13683542512 +18501175774 +15159847190 +13607627435 +13958182537 +18835230229 +18594882413 +15106458309 +15861465796 +18543696224 +15812179851 +15877979278 +18514661471 +13639273428 +15810835148 +15170023775 +13946590837 +15871090234 +18868788580 +18547718359 +18871955134 +13660697587 +18888785943 +13601817620 +18868339049 +13911322953 +13671525903 +13990385618 +15894056651 +15898491190 +13954879962 +18837096342 +15156660978 +15836342107 +18565285944 +15159532813 +15162211649 +18557853654 +18536582997 +13671835341 +13904191500 +15127321637 +18809912447 +18552799550 +15814282052 +13611372532 +15140851560 +15192426944 +13904269857 +15176688195 +18885696250 +13909478436 +15102934291 +15107690473 +13916758762 +13974076331 +18869109407 +13682797161 +18566287627 +13609666920 +18892144642 +18896021257 +18532893365 +13973085402 +18879253993 +13657667483 +15114570763 +15112478438 +13670514462 +13630080895 +15127784338 +13679341935 +15847736765 +18572833668 +13943790733 +13659364580 +15192181739 +13620929686 +15135750599 +18831450336 +15832642373 +15829123549 +15128736842 +13636891530 +18583448949 +15881007198 +15114924496 +18809413231 +15846851678 +13637799093 +18535910062 +18878783694 +18531513895 +15197523336 +15800108022 +18550614467 +15836847690 +18534930451 +13942598704 +15825793384 +15121014879 +15833992974 +15119444540 +13698800173 +15160935382 +18857029704 +13617809887 +13681323420 +13619518411 +13982661965 +15153092194 +13650131892 +18859140344 +13668449951 +13915215248 +18892865407 +15849368161 +13930642085 +18599239885 +15180754013 +15152377353 +13994492402 +13619928419 +13602932983 +13641923115 +13936538340 +15884255664 +13953073399 +15140379953 +18538638492 +13900236741 +15815285509 +18827786130 +18515504238 +13992890879 +18572824605 +13901342297 +15194051321 +15877520621 +15174467652 +18501872294 +15862182786 +13917795552 +15149190836 +15892962424 +13947616464 +15145013344 +18542799678 +15160154382 +15874755897 +13944939304 +18505357904 +13906786279 +15173207589 +13623157374 +15187844933 +18852503837 +18540001513 +18856651723 +13918195217 +13958553075 +18883645544 +13931948668 +15885795481 +18804562025 +13912325724 +15199221615 +18568157267 +18559402881 +13654364075 +13971931938 +18531969960 +18551350292 +13648434840 +13643727490 +13992551509 +18568737823 +13926500762 +15838457561 +18856907156 +18595898826 +15832798048 +15109397165 +15808979187 +18563410231 +18800373987 +18886774237 +13688631510 +13995317210 +13645160620 +13629212339 +18804902815 +15830555080 +13933814315 +18871505756 +13604887421 +13927203166 +15831187829 +15160302492 +18829311575 +15863429860 +13677423707 +18585820748 +15870757841 +15859956035 +13941986800 +15813720524 +15803918798 +15196496355 +13971469234 +15808849962 +18550332958 +18806702505 +15866116926 +18897230815 +15833081302 +18856705826 +13630183545 +18844432865 +13902363566 +15851923193 +13682231585 +13628401788 +18518426242 +13616639169 +13969200957 +13682000443 +13913639330 +13928381286 +18846342004 +15807403236 +15808985766 +15187339036 +18892251827 +13628764831 +13936095239 +15116059144 +15887407865 +18586248909 +18569511593 +18846638849 +15125877094 +15862109819 +15890773175 +13684454550 +18820740493 +18865165617 +13977674246 +15831433159 +13636434683 +15183025650 +15878042179 +18506914244 +18541362501 +18894631104 +15158920812 +15153079258 +13911871189 +18801906389 +18899271058 +18589614170 +18515527122 +18519940264 +13996476365 +15134299246 +15185666591 +15860462195 +13968187031 +15179059440 +15158581567 +18819892362 +15133378892 +15806809623 +15850498488 +15888491012 +18844426797 +15182195390 +18504677566 +15817278896 +13921013703 +15120826897 +13966135197 +15839547418 +18517430234 +18521686332 +15198045069 +13691228774 +15132250698 +15170189257 +13635961826 +15129605816 +18590845505 +13626164202 +18819105680 +18829096694 +18590728747 +15194505297 +15808908826 +15880007991 +15163201307 +13670867033 +15820240399 +15195871907 +18851163535 +18816576766 +13965991237 +15860669759 +18501677054 +15847223252 +15874214057 +15890550621 +15822110401 +13953827213 +15155311576 +18521411540 +15184401543 +13689924070 +18831827419 +15837549940 +18891116569 +13976065872 +18844172029 +13971914369 +15881502528 +18564662619 +13929815238 +13621030236 +18533422919 +15146607470 +13628143847 +15190297235 +15121733634 +18897323547 +15893945941 +18588224877 +15156137427 +13686926882 +13691055296 +18826564621 +18875038881 +13620701149 +13928650194 +15876131030 +15110431566 +15866733277 +18572273518 +15156250643 +18565260748 +18536954045 +18899860950 +18820604543 +13982970199 +13640624664 +13911273291 +18587973734 +18874177042 +18514046383 +15844277563 +18822760167 +18812497680 +18589402043 +15102066458 +15808279942 +13611365494 +15199549695 +13620445530 +18830360680 +13921081628 +15819130254 +18886943763 +15100475189 +13611169805 +13923680388 +13615437383 +13619978114 +15184222870 +18808455176 +18546838694 +15183728078 +13654684425 +15875916933 +15887295767 +15841973308 +15134287175 +18868728250 +15130633642 +18813799699 +13632942224 +15144759122 +18888373632 +13697176021 +13998335175 +18566706629 +18816879628 +18590285970 +18845032057 +13622939619 +15862732607 +13636068482 +18898666876 +15158075183 +13621182388 +15153391434 +18879768318 +18534387564 +18550028878 +13969981879 +15187425927 +18503015113 +13945016296 +13610867492 +13602999889 +18523909941 +18881538290 +15883883745 +15831927872 +18510897865 +15170810661 +15183240212 +18597909147 +13997743055 +18543804703 +15889127378 +18569102224 +18551805395 +18575124476 +15166589421 +13960292514 +18863625593 +18568730753 +13632459579 +15816738810 +18512890492 +13992458660 +13932416366 +13969015977 +15831917094 +13621799800 +13999316763 +13674976632 +18539281589 +15875809691 +13966351751 +15871425285 +15880842195 +13684195089 +18589814223 +15146565236 +15165843642 +18811942530 +15810140217 +13915283951 +13961339463 +13621980844 +18858745610 +15836674473 +18522352364 +15163079544 +15897223624 +13977348342 +13997123384 +13639573529 +18551076767 +13611366994 +18873690823 +13939379610 +18818971710 +15146515263 +13687275074 +15139703487 +13917472102 +13672910411 +18512417817 +18814365966 +15162727467 +15166260324 +18558716643 +18539924157 +13999815331 +18881045506 +18804273844 +15855896749 +13937095289 +18599884438 +15892120861 +13622791300 +13669878715 +18504773733 +13979543379 +18838513409 +18800484792 +13605766550 +15183275844 +15890735699 +18894447910 +18870345561 +13994276851 +15841429599 +13617775366 +15153526850 +13955210950 +13914904208 +15176005744 +15837696289 +13917481767 +13944400254 +15869261632 +18803335322 +13681558577 +15857692926 +13605921765 +18867684497 +15148002548 +18885217271 +15845208879 +13923862509 +13686142994 +15166017629 +13994701639 +13921760693 +18524101302 +13947403880 +15809220330 +18507144049 +13669764188 +15853526304 +18887465339 +13657245601 +15888374838 +15834157381 +13953395276 +18587530690 +15195594588 +15860493865 +13915703037 +13626853709 +15840496504 +18893150787 +13903349233 +15120138887 +18532126780 +18845819594 +18594346014 +13640407705 +13920237962 +18584018885 +15809278366 +18594060834 +15184435883 +18551347994 +13937686986 +15839243188 +13653443169 +13956186983 +18569153380 +18850560280 +13678665773 +18543981320 +15178844490 +15816832871 +18534034202 +13946745815 +18872226889 +15175116408 +18561611425 +15890159349 +15156424269 +13930265200 +15894991319 +18816014603 +13953995953 +13986138774 +13944125781 +15805159347 +13950005314 +15848169514 +13657240542 +15122848570 +13909805765 +18885026277 +13951678078 +13963164852 +13988127162 +15193288997 +15888594429 +18599580449 +18809633184 +15153446677 +15808480550 +13924630464 +18824496248 +13637575372 +13923648831 +15868410393 +15124542333 +15813580100 +13943243625 +15818486010 +15190437638 +18593321861 +13656025591 +13697496184 +18827657107 +13690678883 +13605067457 +13914161403 +15183542098 +13939670012 +15143348493 +13937060534 +13658543882 +15123574657 +18829707237 +18861320271 +18865208522 +15105072983 +18856042770 +15148694827 +13964806831 +15180917167 +15805262356 +13998109412 +18528239773 +18839026597 +15135300994 +18590523460 +15151144615 +15155189132 +18835468230 +13670832488 +18815955939 +18531616630 +15845529030 +13949493123 +18805011205 +15155632672 +18508985787 +18540255083 +18556809949 +15142828358 +15874558649 +13638157771 +13955769773 +13906974927 +13609162666 +18872033319 +15821833096 +15870612525 +15130020699 +18862369123 +13651914751 +15898498444 +13690415346 +13927749623 +18577971204 +18863636033 +18554005859 +15107970593 +18840701817 +15123977967 +18826428389 +15158758330 +13607197190 +15116007834 +15878617643 +18517241525 +18570932900 +15141208236 +15802608894 +18804460649 +15198951389 +13965343869 +18888383967 +15834079667 +13669772486 +18892855568 +18514025236 +15871123928 +13977179534 +13932356598 +18868393205 +15872927433 +15805075237 +15165466829 +15820801895 +13934602413 +13684558932 +15124824872 +15841079806 +13937158955 +13924530535 +13626161411 +13961867980 +15811458978 +13678017877 +15816123710 +18562901733 +15146663823 +15136477775 +18861451398 +18591983595 +18582507115 +15176511158 +13953436400 +13649071030 +13908790004 +15111416773 +18583668991 +15152242322 +18899287445 +18870317478 +15811024578 +18872692115 +18865374508 +15893679409 +18871695294 +18577293740 +15102914885 +15874019680 +18557074710 +18579236021 +15168382699 +13625789629 +13618736770 +15861424081 +13985202449 +15808485027 +15120946297 +13627158567 +13981230924 +18537670886 +15159544302 +13955826908 +15105460239 +13660087902 +13674961000 +13903056959 +15873903141 +15100035163 +13680702172 +15845819337 +15162933579 +15864483119 +15852224332 +18869906374 +18890927182 +15883563174 +13910484045 +18889147008 +13678698652 +15160803746 +15835828374 +13610089220 +13966645611 +13919833288 +15110768819 +18557498164 +13610798378 +18837108304 +15105415326 +18500092578 +18515871716 +15829183336 +15164905280 +13993384204 +13996012798 +15834007873 +13672074380 +13970938366 +13936997279 +13935735255 +15818539912 +18876124879 +15862064736 +13617658824 +18888568638 +15854860868 +18815806163 +18577041460 +15169965824 +18509380938 +15870978388 +15157427830 +18875859885 +13676840971 +18825651744 +15140942632 +18500339497 +18560954345 +18597567520 +15113952918 +18528378145 +13913853907 +15896476316 +18543364270 +18830119467 +13656652719 +18519560137 +13930177912 +15101703708 +18849800462 +13913999493 +18576106636 +15115215866 +13614834572 +18849632079 +15186668966 +15890392083 +13921843497 +15890826006 +18819004929 +13697797191 +13643328087 +18823116104 +13647457093 +15130222110 +13692954028 +13638436906 +15190106542 +15807547488 +18810342862 +18866981011 +18540798610 +15808673691 +15173779409 +18895254775 +13619582355 +13668193762 +15143534519 +13640090152 +18880367988 +18538511529 +15118543260 +13664258784 +13968537625 +15123362777 +13926022921 +18538017854 +13924132026 +18862540089 +13986707663 +18860989894 +13680760041 +15810339292 +18564906010 +15160686105 +15813404427 +13621232886 +13942719405 +13649894062 +15864486849 +13930136141 +18538708286 +15819318050 +15857180569 +13616405557 +15828035254 +13669751210 +18539476531 +15848363142 +18890739038 +15895113342 +15193661755 +18553876680 +18855245397 +18836859859 +13935811501 +18832567854 +13664203655 +15193424755 +15829461199 +13664199226 +18542420117 +13684310094 +13998972007 +18857821237 +15879085889 +15842889755 +13988414999 +15860759831 +13934474857 +13920730148 +18829995113 +18832259680 +18574566651 +13940671892 +15802301945 +15103980067 +18502970741 +18532537855 +18558840062 +13967254557 +13948859137 +15171430117 +15846922173 +18866344169 +13657134800 +15179762131 +18813042165 +13981304575 +13918806623 +13665647126 +13673983756 +18520798985 +13699896726 +18557945135 +13981602612 +18568443672 +15109969822 +18851534666 +15839960661 +15191526471 +15838947280 +13657003058 +18544230123 +15847242278 +18863987425 +15105857071 +15130794263 +13680849811 +18808206649 +18555663633 +13974248679 +13976121313 +15814362771 +15858890997 +15893209586 +15859882433 +18592200228 +13988083853 +18554654890 +15114909072 +18800993507 +18525971230 +13911077935 +18567885408 +13952062757 +15854659940 +18832986531 +15127506276 +15814062719 +13629133286 +13962053163 +13977741333 +15814616376 +18580824719 +18516568759 +18569095425 +18884986740 +15122260591 +13664419302 +18596435079 +15127998502 +13628894537 +13903618568 +18564596172 +13937712241 +18573293672 +18803390050 +18843358623 +13664374521 +15115900773 +15819543881 +15176687937 +13642647853 +18511162093 +15875073464 +15124594846 +18589618640 +15867718177 +13670520200 +13633210959 +13987315488 +18528143739 +18540621543 +13927146010 +18579767526 +18563174931 +15875893451 +18554084690 +18897570349 +18522321441 +18592646274 +18557289495 +13695552522 +18816544797 +13964726243 +13663012041 +13945845876 +13920238694 +18506485809 +18881220836 +18861285929 +18827691100 +15122928475 +13948693321 +18501128870 +18813936417 +18563084015 +18843303168 +13932450788 +18895901612 +15139869616 +15141805592 +15166469782 +18846563988 +13618614584 +15102534910 +18586449373 +18574955700 +13977606279 +15861483125 +13918491400 +15879635151 +13982342829 +15198474613 +18853109348 +18873875465 +15854211451 +13612451845 +15142054518 +15827538605 +18845397025 +18899987006 +15171310290 +18818349692 +13997037380 +18501010798 +18850983966 +18541973194 +13633692171 +13694602555 +18549520053 +18878028463 +18540423462 +15132009903 +15818460605 +15883406683 +13969786260 +13656928905 +13939420823 +15826551305 +18819344010 +18519189456 +18592983190 +18899388141 +13661854201 +18824827513 +18863550939 +15805728574 +15817808346 +18877419706 +18837307576 +15881364304 +18530343739 +13647668528 +13651741748 +18589876542 +13940802632 +15114012607 +15102045233 +13600854220 +18534004093 +18897548477 +15188541421 +13670819940 +15197155624 +18556080162 +13685705312 +18827232790 +18571157381 +15191469379 +15157155637 +15819140607 +13681973713 +13627952518 +13670890356 +18819024109 +13986035136 +15147711272 +13970147024 +15196987927 +13933926903 +18810485822 +15127309508 +18816129877 +15842462141 +18817964861 +18561334263 +13969168737 +15858794615 +15856247950 +13639616356 +13979432870 +18870232298 +18508183790 +18554980683 +15885754102 +18897567260 +15866811711 +18569661249 +13643372531 +18590707366 +13617072475 +15182517212 +13619036292 +13909656546 +13672934023 +18576497058 +15884928073 +13648573490 +18887819573 +13629868308 +13966830160 +18829454519 +13970624371 +15854835601 +15139060316 +13971755165 +15861030258 +13984814977 +15152104754 +18849252011 +18808577736 +13609117773 +13987954244 +18586013440 +18884325533 +13991515423 +15802780905 +18535425392 +18573429131 +13904336771 +18825958330 +15175477974 +18501091624 +15853364375 +13631620157 +13618352164 +18507062404 +18532050077 +18591040755 +18896529990 +15127518830 +15190970503 +15102698731 +13911000898 +13965245446 +13963242321 +13963297373 +18549157243 +18801987893 +18865022781 +18831445165 +18892137844 +15803046360 +13695077925 +18539152499 +15110542761 +15864299845 +15111015427 +18573304587 +15847136316 +15183385029 +18512243974 +15806916766 +15899707323 +15822064495 +13946998621 +15801614903 +13907368236 +15117673422 +15195076379 +15181810259 +18845791840 +13927521586 +13685066854 +13651832941 +15155169597 +18888891659 +18546067704 +15834904782 +18572007878 +15854198872 +18829671736 +18815592268 +15110752806 +15152857479 +13994101334 +15854077867 +13933909911 +15806562792 +15806431556 +13954706770 +15191616839 +18554408172 +18514193154 +13617721646 +18509563641 +15859015157 +18547372838 +15806013182 +18548029027 +13684971969 +13643571683 +13637405032 +13626801908 +18824069296 +18862607921 +18832194736 +15877251257 +13953648770 +13666502869 +15195283977 +15881733572 +18875960410 +15195084569 +15104313931 +15815326335 +18516172546 +13911907351 +18538929558 +15850568620 +13694721222 +18518566463 +15898951300 +13676450737 +18850570859 +13628006183 +13652343520 +13919687446 +13665972979 +13976732408 +13960661581 +15819292564 +13699900727 +13660824654 +13911644448 +15828181505 +15174761893 +15170423777 +13915268220 +18858313011 +15810360308 +15142765073 +13971152834 +13943958399 +13678586518 +18819396040 +18587518463 +18861230841 +15163954332 +18577956405 +18521135469 +13964834082 +13611745849 +15175122069 +18552814543 +18864030662 +13694376240 +13917842084 +15113760874 +18869942720 +18525653634 +13997188438 +15152707955 +18887241822 +13637103323 +18581861124 +18805187677 +18517980937 +15158122470 +13950930553 +15834087246 +15127280134 +18567886923 +13944951033 +13941022276 +15148177121 +18532501364 +13915813852 +18505997369 +15102632306 +18864750694 +13682809603 +13910851659 +13634462193 +15817404022 +13659125436 +15899779295 +15185979356 +15814094083 +13652166649 +13980166080 +15149206433 +13605780185 +13672130599 +13958568798 +13650605314 +18823389754 +15155118730 +15160218862 +13931014312 +18859680610 +18825777583 +15199195305 +18804283419 +13647131862 +18592061900 +13654053939 +15179854490 +15822815776 +15173920563 +13988305420 +18845127774 +18505072073 +13697897883 +13666710566 +13630198419 +18899795958 +15110128986 +15191205250 +18587888518 +13944613802 +13996115600 +15118959872 +13650758035 +15880347392 +18532509348 +18587993298 +15817579543 +13911813329 +13641063681 +15174442259 +15124184459 +13633000642 +13602965342 +15820092159 +13605617011 +15820666463 +18823745483 +15858704651 +15199107707 +18822314439 +13964092010 +15818218374 +13976969752 +13924596778 +18576095109 +18802055912 +15175039743 +18595098324 +18847951853 +15895148102 +18575301284 +13961913488 +15145914971 +13962173654 +15177245442 +13988679889 +18502864062 +15133791650 +15844004843 +18541420813 +18868681526 +18522922134 +18808783553 +13643574670 +15183788829 +13624257397 +18551254947 +18585581811 +18865716815 +13682166079 +18871766481 +18884774067 +15161825275 +18814563679 +15165257989 +13924603872 +15898245068 +13931671835 +15199979824 +18571892112 +18813727765 +18836754549 +15171297117 +18564397118 +13938031256 +18539920722 +15831616752 +15183924776 +13949722128 +18865412398 +18506221214 +13664202316 +15891886305 +13974183320 +15815801837 +18565336244 +18835732219 +18871432812 +15151769728 +15871213419 +13645386868 +18587201128 +13998871424 +15870996455 +15825523834 +15885724329 +15148384581 +15193758327 +15159584032 +13996358298 +13951434105 +15150960221 +15822743470 +15828511751 +13994622709 +15864003131 +18528017578 +13911219728 +18833571162 +13955518363 +18896815057 +18535830807 +15868300287 +15142833277 +13610273426 +15883306315 +15172849311 +13923605028 +18505320902 +13900841543 +13676858925 +18556632534 +15854788899 +18833798936 +18835533431 +13911188636 +18850833209 +15180544014 +13942120528 +18852585501 +18877272625 +13616656759 +13950917110 +15191648454 +15125696296 +18503784567 +15109000235 +13914193216 +18582896774 +13686814099 +18895673625 +18514375020 +15178271946 +13667058021 +18814287838 +13652217500 +13656480437 +18596223962 +13661351150 +13915455091 +13971708095 +18576639438 +13655300724 +13905868934 +15846313824 +15813592017 +15119187487 +13689778892 +18569553072 +18594852927 +15110725104 +18839322155 +18848381094 +13957028449 +18565395040 +13688609176 +13653363824 +18810840269 +13608506194 +13917732963 +13976753169 +15101687269 +13665991997 +18841002334 +18566458213 +13692743664 +18507633235 +18555386391 +18842862584 +15832209154 +15152171335 +15139074942 +15884157842 +15173259717 +13933059909 +15104080525 +15853344855 +13670054008 +15879588632 +13919426385 +15806345395 +15197004548 +13662229488 +13939025774 +18840249987 +18511434601 +15877904801 +13674454774 +15881660228 +15171728683 +13975665596 +15106486477 +18526110797 +18576123132 +13960762600 +13655855273 +18820552387 +18548116479 +13691847965 +15852812610 +15881039212 +13618727069 +18840737530 +15864135671 +15128496470 +18503877797 +13911303912 +18546834113 +13913154334 +18513913291 +15107725538 +13669413441 +15146640607 +13945403214 +15895329934 +15801370900 +18896633680 +18815994191 +15176403305 +15871447378 +18810558506 +13961505515 +15187174596 +18572683838 +13954700178 +15870324013 +15175683605 +13673447384 +15890273565 +15109905697 +15890783950 +15100082839 +18814743373 +15807616940 +18825639876 +15820579725 +13910695514 +15147945231 +18813816549 +13943510029 +18890747208 +13909667699 +15839213902 +18869040904 +15810796816 +15893733737 +15869669310 +18891486121 +13948732581 +13689977028 +13913091907 +15846544911 +13624746042 +15101709875 +15805798524 +15105448675 +13641035840 +15172453798 +13678351867 +15147971168 +15827072108 +18858414843 +13932760743 +13683853967 +13989389795 +18840686125 +13617684906 +18892589305 +18880345848 +18554004020 +15183794287 +15110584964 +13649485849 +13956627589 +13668188451 +18831846245 +15194279651 +13952082710 +18579045398 +13620548431 +15108328858 +15153101537 +13612303279 +15196370873 +18526937047 +15833257193 +18811818466 +15137006500 +13996863448 +13608462088 +13980839558 +13641333476 +15858771692 +18810332207 +15185620894 +18856158182 +13990528883 +18889189744 +18892568156 +15844502345 +18530981994 +15836686968 +13908987853 +15190434586 +13645040677 +13955171584 +13936677898 +15809467031 +15129797099 +18594253820 +13962162104 +15185701748 +18586961276 +15813144833 +18521617400 +15803564110 +13914176719 +15854737756 +15892521636 +13644629027 +13612642313 +15897274431 +18853751034 +13946697951 +15818478123 +18813398237 +18840901699 +15169757974 +18527211182 +18570437606 +18805512685 +15898543267 +18583875470 +13917691507 +15837106092 +15841856069 +15899238209 +13624487314 +18568519097 +13942173050 +15879140619 +15153402489 +18510886176 +18887689588 +18849630318 +13649480171 +13924968899 +18502901975 +13643533124 +15199740259 +18562711859 +13921381537 +15833520831 +18857652887 +13614643094 +15809083044 +13689313398 +15802411088 +15141615824 +15190186241 +15847402338 +13943035273 +15119115845 +15871781342 +15171756300 +18877137094 +13627991410 +13694585591 +18515175255 +13974967805 +13654357030 +18589445472 +18554521859 +15175698147 +13657391878 +13607853353 +13622660145 +13965010825 +13973295915 +13963035289 +18564665744 +18836125883 +13921552261 +13665138360 +13627303965 +18883170757 +18522759494 +15823415710 +18562031559 +13916022420 +18574049254 +18856733353 +18806602811 +15879873793 +15137628502 +18528796425 +18586656374 +15898953532 +18844304248 +18833647268 +18508537712 +15156899457 +18577771468 +18518369150 +13655972151 +13926917783 +15888043549 +13983584020 +18833727432 +18504882665 +13928554334 +18579339639 +15154424335 +13609025503 +18578167440 +18508942595 +13979786962 +13679971181 +13924392764 +18803474714 +13901360683 +18533164073 +13650588726 +15892225308 +15835732074 +18874308223 +13921574713 +18508454180 +13605310913 +18561352890 +13618516866 +15840057438 +13631957709 +18534719709 +13611003730 +18875631125 +15107262357 +18859337199 +15874038033 +13651532343 +15863679272 +18885510359 +18588388624 +18843136055 +15183202026 +18525806896 +13916121056 +18889231324 +15836061403 +18521343827 +13923699964 +18893566389 +15165881350 +13931625812 +15121159189 +18855891092 +15891070531 +13994479860 +13990794304 +18858259110 +15198518639 +13952992107 +15892948423 +15893927210 +15881895201 +15124789626 +15824327076 +18509146412 +15863560887 +18887910225 +13618077133 +15159931752 +18881903766 +18540903820 +15104726422 +13980367396 +15816755873 +13688450831 +15848567024 +15194968920 +15192557824 +18826040332 +13636848741 +13647931304 +13608350706 +15190785122 +18534539650 +13651252153 +18580211148 +15121976112 +13957717634 +18503069197 +18883558565 +15841521488 +18526747232 +18881158943 +15880620578 +18880373948 +18513918459 +15880456702 +18526313004 +13999458203 +15802669955 +13977323837 +18811472597 +18894142779 +18542132448 +18889566756 +13976474061 +18533021718 +15841988106 +18546439229 +15860469510 +13974389911 +18809411765 +15186207180 +15137979813 +13909646991 +15871108242 +18552778013 +13933029618 +18577834926 +13974682351 +13968454858 +13926507724 +18532397535 +18530037151 +15190934739 +13971396869 +13664000226 +13636653339 +18581241474 +18598921191 +15108757218 +18582883526 +15139093446 +18555367845 +18573465111 +18544337661 +13675419837 +13614100326 +18800477582 +15865891240 +13946645570 +15165891271 +15809843102 +13945558048 +15882580354 +15159408147 +13652408764 +13617400873 +15157787289 +18523885107 +18859274268 +15100074375 +13642163892 +18837828295 +13692480218 +15873313471 +18570156132 +13948961978 +18575359868 +15827866076 +18571862993 +13698837607 +15884990207 +13624179030 +15847235658 +18817308199 +18865094298 +13631306827 +15144701114 +15840523796 +15103592499 +13698649310 +18885414545 +15117811535 +18806910448 +13968366139 +15813116355 +18894384969 +13922071114 +18831977099 +18576184855 +15859484965 +15139083414 +15164014748 +13998713296 +18558800252 +18895957526 +18862135312 +15135347984 +13686426043 +15127038811 +18599846267 +18804798330 +18513349638 +18559839833 +15106818978 +13981013220 +18845850767 +13644625439 +13931844517 +15146564335 +18522059219 +13990140326 +18557883718 +15834318998 +15114927639 +13922252173 +18588255359 +18554958788 +13995884897 +18568876275 +15139863440 +18574104218 +15195959948 +15896817805 +18833929602 +15879281889 +18548799094 +18576148269 +13638924463 +15879256459 +13979303931 +13620579786 +13605086072 +13960542817 +13690264907 +13685599996 +13683399025 +13698664331 +15896394459 +13939844709 +18576055015 +13627225184 +13936928005 +15114394989 +15848498659 +13606138847 +18556493831 +15136634602 +13944125806 +15154391221 +13963841987 +15184165179 +13650510008 +18817552449 +15112242966 +18873598699 +13692969643 +15838889456 +13696393984 +13634663236 +15129504982 +13687628159 +18808005474 +13962309667 +18583067488 +15177518868 +13671280282 +13974096118 +13661592348 +13970803115 +15118753897 +15807032916 +18599274378 +13948720079 +15806177270 +18529462638 +13920612451 +15126793085 +15194366411 +18896434657 +13993770985 +18826428166 +15110194575 +15135525439 +18564070397 +18509603822 +13605145054 +15810456788 +15170919608 +15117308424 +13617718725 +15801710260 +13917503205 +13939857676 +13664569491 +18827299270 +18577781505 +15833379248 +15804827322 +18512376230 +15132481197 +18895233669 +13967020007 +13675109554 +13941507367 +13637308439 +18855460301 +13966441304 +13935271389 +15163982551 +15897197648 +15809236307 +18889323758 +18881171234 +18842268450 +13922382777 +18899525841 +13926092677 +18805144782 +13698876440 +15882862141 +15804844989 +15179020820 +18806767292 +13665540555 +18806236546 +18810575799 +13936022849 +18801639621 +13692665658 +18811171895 +13901264577 +15166100643 +13606991999 +15892320747 +18897754111 +15828423767 +13612058559 +13644376594 +18817791264 +18893772164 +15816264310 +13615577031 +15835269840 +15181226249 +18511198420 +18888090974 +18807022854 +15895663928 +18853363443 +13699547436 +15836653121 +18521414733 +15166149550 +18881489465 +15152787449 +15829130696 +15848232455 +15138689249 +13914181537 +13635063192 +18884409954 +18896870363 +18813339457 +18563366806 +18585256999 +13995444468 +13927845017 +18567367372 +18826544930 +18577638191 +13670405219 +18887456389 +15811201720 +13630205159 +13993294557 +13665294898 +13971376934 +18827495562 +15835538517 +13951157238 +13947691594 +15890090134 +15119482170 +13908735736 +18554572223 +13945976242 +15175004444 +13621734547 +13996198910 +15170111455 +15861146371 +15839731765 +13951905195 +18880911053 +15155429015 +13678553282 +13920649069 +15125951077 +13966152507 +15193762811 +13611405845 +18500170126 +13927609467 +15137768075 +13651708040 +15857073909 +13695352337 +13673768289 +13649214089 +13900591531 +13953087850 +15176272335 +18562367257 +15896635285 +13660098527 +18879058799 +15842226604 +18863719832 +15897678055 +18528657170 +18819799938 +13965070426 +18807797431 +13933326723 +13969919705 +13615081032 +18572083998 +18548833270 +18523448361 +15849609053 +15153940678 +13987246825 +15160460511 +15147605483 +15135389029 +18817896882 +15891668192 +13670921233 +13955028210 +15858060383 +13991075035 +13612535806 +15845957082 +13663977528 +13624859603 +15888655748 +13610260082 +13668628991 +13991463007 +13988922420 +13639338779 +15882432779 +13981841792 +15821011782 +13638513621 +15184637767 +18839152388 +15141701847 +15144775701 +13645943770 +15160104584 +13997233600 +13966598997 +18596078665 +13997273713 +15148282070 +13609579557 +15161233866 +15882443871 +13984512803 +13991174927 +18883799549 +15186245837 +15876648357 +18801796331 +13657690512 +15809946961 +18581825684 +18546080086 +18531499400 +13644292399 +15150019863 +13937676285 +15192550697 +13687378021 +13695830865 +13915534990 +15850321961 +13910035398 +18864063538 +13920473003 +18869367287 +15127344241 +18888895449 +13677119303 +13695107286 +13945932654 +13638471971 +18582855774 +18856849939 +13979030041 +15173095556 +13932486432 +13637725926 +18580496902 +13957260158 +15804098489 +13626463094 +13955611185 +13908086140 +15150351541 +18527729070 +15894647826 +18506117862 +13687440133 +18554072341 +13619605901 +18546081893 +15817084088 +18567427345 +18883402274 +18869141209 +13630671655 +18871790544 +18595791213 +15869212999 +13675709467 +18803530765 +15872301331 +15830151815 +13634926920 +13963566741 +18507769533 +18830845625 +15150969708 +18880940652 +13668107755 +13630283150 +13622861525 +13669528690 +13913667415 +15818211654 +18574561999 +13679371723 +15844831947 +13918941358 +13920117651 +18800012891 +18883955005 +13913107393 +15840227498 +13936828930 +18517470546 +13689779414 +15114403306 +15885149809 +18855502122 +18842263095 +18568242862 +13939749348 +13632912236 +18877435678 +13953087787 +15170921344 +15113607670 +13993235129 +13619642296 +18522128528 +13976107228 +18549375302 +15129085909 +18852442685 +15811385893 +18885227604 +18882809012 +13641226043 +18856808877 +15870765387 +13690598693 +13958748328 +15843183627 +18849919119 +18810721207 +15898392923 +15128080118 +15827563598 +15868492929 +18503911612 +15147935260 +13628913069 +18875605762 +18898255900 +13676260592 +13645645845 +15138172075 +15813373899 +13933382172 +15804219909 +18543467628 +15878176455 +13664667813 +18543780323 +13642990434 +13639969446 +13956508001 +13622101217 +18809188444 +18807245802 +15123221295 +18582754887 +18506178143 +18558394093 +18586422956 +18597112794 +13688121701 +18543304278 +13982493468 +18538686624 +13610443023 +13643148032 +15124979362 +13913594441 +13922055834 +18848125307 +13663688036 +15142989481 +15199365133 +15819648464 +13626996350 +15899252597 +15194314933 +13677926509 +15102617278 +18860745145 +18818329852 +13606561642 +15894730391 +15894815241 +18831592261 +18865837961 +13955180038 +13988257868 +18868734976 +18569455932 +15889302435 +18580520204 +18506839706 +18808342643 +15189405321 +15167479208 +13674945569 +15185299426 +18584810134 +13966300915 +15825008754 +13631055707 +18516459305 +18582175491 +13977861290 +18564676115 +18575396012 +13927929134 +13629685122 +15859262699 +18892916823 +13937628449 +15113288810 +18883671607 +18585767332 +15866211802 +13910611502 +13698061310 +15874333731 +13623171814 +15850744596 +15867797569 +15891106621 +13612204504 +13953500362 +13925238595 +15137613320 +13986092934 +18827453397 +15859033280 +15197279353 +15133980256 +13699576417 +13935807641 +15830165825 +18811539608 +18592670683 +15130739394 +15162964881 +13927169604 +13640028343 +18834230249 +15877875796 +13987048634 +13619485594 +15145846029 +13949626989 +18858785164 +13999913462 +15810478910 +15114286706 +18541848194 +13913772636 +18857934542 +15821715066 +15817659765 +13937317796 +15843269187 +18814385316 +13601441889 +13613385171 +13927852492 +15845990098 +13915505744 +15816023473 +13978151221 +13617855454 +15157947623 +18597751237 +15803351123 +13934268094 +13671780844 +18840995760 +13682966363 +15155115865 +13904744261 +15860824526 +13957893254 +18577844883 +18813617302 +15158032805 +18506624589 +15819000366 +18837147475 +18585405933 +13693156217 +18801862416 +15847123114 +13690329849 +15195390520 +15133571264 +13692727777 +13675667744 +18812395502 +18840801435 +15169799937 +15872032265 +13679413145 +13689863391 +15857479838 +13998625089 +15885573247 +13942191206 +13972971691 +18808270849 +13686615559 +13939732968 +13651102447 +18814883192 +18563966284 +15820594398 +13963365305 +13635377714 +13926827686 +15190728677 +18836738829 +15104284572 +18552463689 +13679503954 +15891152991 +15131746663 +15821609556 +15158321403 +13986624595 +13673233410 +15154341469 +15160269053 +18874247592 +15191678216 +13994345076 +15880769882 +15807629030 +13976920107 +18870988035 +13677019012 +18584615735 +13926338680 +15837281080 +18506496215 +15107297381 +13651415119 +15878018090 +15863038656 +18896365027 +15118890305 +15860911192 +15141889841 +13633814619 +13659266081 +18563045018 +18854854460 +15878388872 +18509198138 +13977366627 +13935178480 +18879923468 +18868843514 +15124847442 +15830336107 +18557741981 +15191128606 +18857314462 +18826015707 +13911454176 +13973295792 +18590958673 +15131664624 +13912875756 +18558507418 +15103590319 +18895240793 +13641211789 +15168043374 +15124892155 +15810586308 +18819845117 +15138124539 +18835365002 +15836326217 +13670392474 +15834710760 +15829219692 +15107607474 +13976037383 +15860728862 +18818472324 +18545270420 +13675661412 +13643832340 +15893658762 +13654047453 +18807675919 +13936743533 +13684328151 +15162711523 +15839002954 +13929809097 +13664378272 +18890383688 +15107091722 +13964008010 +18834021924 +18882957439 +18536539058 +18531976887 +18523920091 +18565950141 +15891964833 +18538439782 +18806302257 +15181036717 +13977502840 +15161116276 +15818409409 +18892267575 +18508111109 +15124077357 +18500123404 +18582491738 +15157027521 +15831084880 +18848953640 +15896479833 +13928582039 +13942648349 +13979343044 +13692333510 +15828359092 +18567762264 +18580418729 +13662656075 +13632261284 +15847406023 +18885204950 +18829430698 +18552263752 +18503252199 +18540529443 +15157709717 +18807588334 +18503242693 +18827343937 +13953150217 +15833362571 +15859338511 +18552884711 +15184212593 +15113254479 +13639869124 +18506198662 +15195222336 +15141277148 +13913633212 +15143419947 +15148050341 +13972078871 +15874198802 +15100332981 +18574660152 +13652565563 +13908352079 +18889073927 +13964532928 +18815621604 +15154552420 +15176835293 +13957226029 +13913055081 +15138713001 +18565291841 +18534677023 +18575423214 +13624575441 +15830351529 +13981767773 +13675704601 +18839177795 +15899903034 +13953320436 +13664173807 +18819823053 +15112275874 +15895741989 +18879444616 +18513146178 +15869304179 +15154938860 +15837220031 +18528622680 +18851931513 +13629802848 +18576009750 +18866366298 +13990416137 +13687121822 +13982644786 +18847882360 +13933893705 +18868854941 +13645373029 +18557779180 +13984804624 +18598492707 +15887716502 +13961043575 +18563339767 +13617689561 +15853934534 +18871876579 +13970492416 +18546238944 +15884269198 +15863574436 +13628257338 +18586700270 +15851544772 +15878035944 +13671835093 +15101767482 +18559447771 +13987578378 +13691653179 +13608712157 +18880102029 +13983766994 +18564251838 +13648053352 +18573397262 +13938389573 +13927610512 +13603396265 +15840845303 +18861878769 +18836235218 +15820203961 +18845851475 +18582345421 +13971405583 +13673214730 +15895616725 +15810256967 +13953774740 +15144410412 +13918272307 +13656642003 +13997134211 +13923822653 +13647792957 +13981134126 +13958159469 +18551986005 +15858880052 +15127398564 +13689523750 +13666137594 +13905482030 +18858621332 +13651153515 +15159050757 +15886152162 +13915330998 +15113603059 +18585392156 +13959201620 +15110311424 +18511530095 +13950828366 +13938132575 +18867053719 +13676599726 +13642400508 +18867975401 +13935933374 +18888120753 +15802171616 +15883489852 +13638077562 +18811300935 +13680656430 +15158418016 +18801681317 +15155649888 +13606840865 +18570069143 +18554953699 +13947608758 +13992864412 +13968907613 +13941595275 +13956911699 +13637656581 +15184946718 +15159109300 +18811805087 +15855318378 +15817185161 +18521094806 +13966915948 +13665087985 +15142995186 +13950863840 +13676987724 +13980982148 +15165049348 +15880883247 +13971756375 +15152225430 +18815534943 +13634894483 +18877900921 +15831688037 +18527679633 +13625978774 +15174393158 +13694195576 +15871105468 +18598848821 +18866820405 +18569040010 +13680560042 +13614612086 +13981216194 +15111741237 +15142166053 +18529019243 +15141914173 +15851218427 +18815239485 +13946576563 +13930567247 +18849527605 +13631006741 +15858495258 +13692506351 +13664868296 +13997487365 +13679686400 +18510359978 +13657436081 +15891177950 +13610909810 +18522963594 +18554158661 +15857793129 +15131152411 +13628198369 +13675274151 +15841880368 +18820053268 +15102306179 +18574669190 +15195720392 +18589438182 +15127779724 +13908118677 +13693573417 +13963167491 +15850943335 +13674742651 +15851234032 +18872478338 +15132762240 +15879908265 +13682002201 +15188010654 +13945043644 +18869623541 +18894953396 +18888859677 +18542307443 +13979758257 +13697844753 +13901636428 +13934660590 +13961999562 +15161921689 +15139688998 +15872359272 +18559579480 +18529964151 +18531955167 +18516266762 +18566825485 +18568865421 +15896647486 +15121182083 +18859185593 +18509330568 +13603951970 +15882836554 +18550123296 +15197448906 +18575498978 +15175135973 +18892986775 +13606670645 +13959924869 +18563825278 +13941362041 +18899269729 +15112641004 +13645031941 +18568829657 +13686280409 +15117078417 +18507782460 +18850685717 +15120388870 +18598291835 +13934501482 +15159127779 +18884021629 +15884408160 +13647359076 +18847232543 +15144980459 +18537031464 +13625694249 +18832942384 +13631885509 +15197223221 +18841142245 +15141472944 +18581432245 +15894795306 +18855615897 +18887685847 +15126221909 +15820060795 +15814936952 +18882291383 +15127333343 +13658787150 +18829110079 +15146240875 +18857140918 +13692732694 +15156333707 +18899904539 +13902179031 +15187065847 +13994532907 +13644006181 +15880402507 +15125657543 +15107366682 +15182187782 +15836208583 +18828449174 +15829811761 +13608792264 +15127358530 +15824430017 +13912602731 +13607915973 +18807045600 +18840975077 +13683751758 +18851095207 +13991296205 +18814072906 +15846275419 +18888637657 +13916908706 +15838301162 +15821419107 +18825041072 +13652310340 +15163563907 +13657582744 +13682066077 +18819315455 +18525062517 +18564510484 +18532929631 +18549574004 +18560227871 +15180325886 +15894305513 +15819732655 +18856761505 +13695328620 +13974418077 +15123354457 +15139711702 +18588235940 +18589199276 +18533176410 +13649767140 +13919995624 +13940368633 +18874802722 +15117756189 +15156049979 +18555733342 +13924819886 +15170783082 +13983112453 +18825430025 +18800429963 +13953897334 +18588658753 +15199935597 +15164584176 +18551216135 +15880057144 +15813955322 +13619693964 +13931492550 +13668277686 +15872643711 +18559396485 +15857122239 +15150709874 +15190908650 +15154631400 +15800264986 +13655309714 +15161742376 +13669672854 +15874591193 +13967052523 +18582787928 +13653568692 +15126710706 +15195343992 +15164050364 +18889840869 +18834658550 +13967280424 +15864024369 +15105803890 +18501606693 +18843899518 +18500000902 +18877465634 +13683463886 +18597211140 +18502995367 +15102147025 +18848511847 +13653579228 +18531515469 +13679700576 +15842826472 +13685106130 +15836830759 +18867803302 +15888796416 +18818383131 +13962714730 +13679459164 +13683840597 +18851170413 +18842587263 +18895961392 +18858459281 +15170459601 +15144288154 +18535514264 +15139393465 +13634772536 +18851437401 +15171455829 +13689052315 +18843577151 +15846311950 +15136640482 +18881098607 +13619002413 +13676995565 +18884493904 +18875301780 +15185746866 +13995787670 +18886152568 +15102301482 +15883584989 +15874978286 +15167914883 +15821410398 +15836173892 +13642448083 +13655341398 +18502518746 +15110700787 +18800984579 +18513568753 +15157650592 +13988841443 +15835714934 +18837567627 +18810908851 +15127806022 +15814320153 +15857339837 +18531159937 +18826085916 +15198400687 +13935438994 +15162738879 +15160847341 +13949439196 +18851194208 +13684888414 +18500713353 +18813458465 +13934486795 +18863955747 +18818160011 +18571149237 +15116527263 +13625402033 +18592619190 +18827378291 +13934913837 +15137862547 +15176775925 +15142377657 +15801641443 +18575446640 +15847805280 +13695717261 +18576992449 +18519665990 +13655217763 +13927051231 +13654043520 +13602738895 +15191999615 +18564439467 +15850636380 +18826646498 +13689143067 +13924045965 +18581749476 +13991777291 +13939577002 +15842841365 +13668820966 +15138533544 +18513526043 +13957229549 +13919314823 +15152458632 +18849837927 +18883701897 +18502237690 +18596575383 +15136585116 +15826398545 +15804325447 +15805590795 +15891025679 +13982587108 +15167025002 +15812725610 +18577549720 +18524065385 +15810567369 +18520500389 +13997971548 +13996333935 +13605712739 +15893496513 +18839591561 +13680924715 +13932816900 +15186866399 +13695724721 +18574536046 +15846979977 +18551194867 +13685996707 +13632996410 +15856422479 +18858426894 +15835543634 +13901957731 +18819188223 +15199895156 +13642360231 +13694649356 +18835918424 +13694239204 +18871514056 +15109058987 +13646308949 +13957817939 +13949060183 +18866897429 +15832968966 +18845518681 +18517328146 +18851172389 +15895663676 +13909164029 +18516107510 +18838549531 +13690183517 +15136876462 +18850686052 +18579582841 +18504807904 +18594185971 +13637794091 +13929870141 +18524122305 +18501784319 +15880396912 +13653153772 +13928660926 +18891644053 +13970246707 +15856694346 +13643525394 +18830479738 +13980104541 +15131181850 +18509845304 +13608667397 +13678465691 +18837500163 +15141914701 +15185876949 +18821852703 +13656047844 +18819322460 +13986348533 +15187071287 +13674527737 +13946411661 +18857732616 +13922904944 +18567626725 +15824211571 +13693706759 +13974418729 +18854188994 +13651930015 +18813075477 +18504746148 +13903691231 +15882445978 +13645417203 +18834483806 +15848418215 +13947893323 +13637792549 +13678062441 +15198309730 +13925401210 +18511584587 +15117106020 +13983898080 +18826639977 +18556693786 +15148490976 +18588508632 +18881268279 +13654633687 +13925311226 +13640060298 +18570509419 +13985999349 +15192320336 +18858492959 +13629985331 +15126282721 +18583370829 +18897911114 +15113495888 +18598134239 +13925212677 +18544138764 +18831819713 +18802104711 +15814452654 +18503468064 +15883431541 +15885943164 +15139273489 +18522804774 +15841333944 +13667961889 +13670078767 +15184232602 +15856781551 +13657731422 +13975695868 +15894665306 +18861052453 +18532505136 +15841871661 +15155557434 +13975457773 +15168448009 +18517438235 +13649690296 +15108651722 +18880113765 +13933633254 +15116163742 +13641502875 +13971872102 +15839180646 +13961587603 +18870709833 +13961434204 +13603032110 +15109848477 +15198971294 +15876306317 +13995460660 +18816913777 +15106601388 +18560409155 +15849301349 +13635180409 +15141461400 +15192628496 +18870330894 +13961134436 +13965059664 +15110579504 +13935108772 +13998817508 +18554196104 +18865470454 +18523913024 +13984423484 +18881869988 +15101357514 +18535016398 +15188023589 +13645731312 +15844003897 +13944799996 +18882936552 +15830835818 +18857669503 +15196956853 +18824658924 +15107272747 +13931901279 +13635599914 +15154471765 +13933890795 +13934452721 +18840350839 +15810944201 +18896855774 +13674290505 +18513877138 +13999018156 +15105133444 +15162959445 +13969504581 +13653539481 +15141430588 +13926018484 +13976075191 +15105492331 +18890426319 +18570165456 +15131463516 +15848013671 +18859628794 +13676036963 +15112462822 +18555044536 +18872753595 +15865345825 +15815808174 +13952724058 +15878771254 +15154518874 +18559258740 +13963949032 +15896514024 +18839181375 +13987742399 +18536758361 +18555306596 +15818943993 +15142357284 +15870527966 +13974284552 +18865046106 +15174362079 +13698499656 +15118201642 +13632919617 +13985513636 +18872614115 +18861590234 +18550686818 +13680792584 +18594525854 +18510762819 +15865119676 +15872854268 +18511031458 +18533576806 +13609924283 +15898392817 +15120939532 +13945691870 +13630975853 +15899648187 +18512046605 +13986550420 +13605942125 +18535537525 +13616297643 +18554694672 +13912651328 +18870339955 +18846362938 +18555504852 +18872686396 +18560779224 +15118944507 +15173619867 +18827959003 +15184329196 +18837540813 +13978262008 +13632060427 +13682862591 +18537834614 +18893215661 +13684024317 +18500610291 +13699295762 +13988225721 +18869462648 +15197550007 +15857442258 +18566438287 +15849930345 +13988924640 +13620666237 +15837866898 +15108243150 +18574806181 +13601282984 +15824576357 +15164300579 +15119059214 +18507331417 +18814666650 +15889060867 +15189826735 +15871184173 +18866281201 +15804133342 +13953969693 +18524650405 +13990568449 +15123464688 +15848496172 +15843642867 +13918812822 +15196313302 +18594482398 +18525616652 +15827061455 +15850766633 +15158302748 +18828721630 +18810128253 +15133811240 +13924780656 +13674154090 +13985277992 +15154202143 +15101802383 +13921979377 +13992707543 +15822259067 +15174989429 +13975135579 +15154709094 +15808718051 +15841924431 +15144367440 +18530789110 +15133148061 +18889988853 +18814308714 +18894140399 +15852976836 +18816347945 +13907598312 +15143707459 +13626088460 +18821274493 +18518865993 +18520256909 +18859623782 +15103985290 +13628870374 +15156383369 +15854614882 +18875812118 +18563450440 +18593500167 +18548911787 +18845059991 +15817957390 +18841578708 +18838008390 +18825034873 +15873853047 +13610923276 +13906564354 +13926549907 +13940829530 +15125149222 +15113601922 +15189502611 +13999496033 +13647451225 +18803659080 +15150553514 +13976039642 +13919596488 +18815257239 +13628962381 +13660173980 +13647274377 +15136181274 +13659953620 +18889303710 +18541660872 +18833207472 +18894211324 +13693903684 +13948594861 +15101833952 +18869994292 +18876727375 +18891975759 +18880789227 +13624658799 +13904769664 +15165808365 +15192381001 +18836796786 +13651540772 +13665883398 +18844314621 +18553324225 +15890971536 +13930933836 +15850778451 +15199927467 +13659619296 +18530637531 +18852103735 +13934004155 +15866841357 +18562224953 +15135314972 +15895307181 +15849365508 +18841323756 +15814925831 +13627736138 +18841774493 +13685609896 +15167392802 +15103344820 +15814410210 +18807402111 +18820782577 +18869378864 +15826597344 +18844759655 +15160211972 +15869913238 +13637260495 +15119143146 +15894275173 +13925685101 +15144146459 +18541368134 +18540598813 +18889285909 +15810947723 +18842782494 +18830903510 +13905346162 +15814373704 +18570770614 +13671795409 +13971686156 +18570181842 +15164301006 +13666231374 +13647843934 +13965868240 +15838660403 +15188385400 +13692832144 +15882306649 +15110969694 +13994106188 +15841318316 +15140789101 +15126938451 +18551253983 +18834896524 +13655996788 +18558885208 +18806761907 +18890023978 +18861401700 +15123127212 +13630709645 +13903885170 +13979143853 +15148343177 +13667129135 +13688623842 +15899053129 +13982039366 +18526885281 +13632305027 +15890566393 +18521408917 +18593509986 +18899537225 +15896539188 +13933637420 +18837157565 +18899672997 +18874420389 +13956218243 +18532989931 +15121105814 +18534358716 +18859232952 +13615474929 +18521458788 +15118537870 +15133170992 +15112335614 +18890708299 +18870508017 +18808190401 +15822522216 +18584267545 +15867418284 +13999936088 +13998038830 +18850820561 +15162211677 +18815467341 +13613428870 +15817696857 +18828514247 +15864275926 +15861665936 +13630876704 +15169667428 +13935788725 +18870608903 +15894777491 +13623196460 +15184860215 +15185608763 +13953610251 +15819413645 +18512360877 +15825817500 +18557834635 +15164009562 +15832014805 +15162267581 +18540248882 +15171733376 +13978396974 +13993584458 +15868970973 +18883234211 +15179099446 +13605479869 +15102577384 +13669647408 +18830963133 +13950093042 +18567659963 +13929425816 +15122788019 +18847153631 +13975374475 +15874872884 +18833805769 +13935418770 +13960532332 +18541512915 +18843363112 +13605288993 +13688919977 +13615401909 +18820743617 +18595873794 +15846898025 +15197446618 +13925042110 +13620997612 +18881483033 +18530156191 +18836646340 +18516069124 +13994541718 +18855631738 +15167928332 +15129874462 +15893185717 +15192222615 +15884496905 +15107988585 +13954555205 +15164999738 +13663115092 +15199328471 +18540246444 +15892546487 +18553768544 +18532919422 +18878898636 +15142636686 +15135637661 +13994814177 +15148219520 +15137917395 +13903399454 +15146267722 +15826014973 +18815465904 +13626229487 +18889703373 +13686610225 +18894702549 +18588666282 +15190634917 +15118884581 +13610599674 +13668880834 +18538708436 +15107045226 +13903257985 +15877516737 +18539925336 +13611014676 +15860869691 +13956370405 +13930546008 +15175324248 +15102456922 +15110080733 +18859585151 +15861486332 +13674832448 +18579277302 +18596364070 +15848174936 +13652491441 +15160373787 +13649638763 +15869174322 +15195575541 +18880723940 +15106674862 +18555410642 +15146040610 +13634724031 +13997650637 +13651914606 +15834394810 +13980708815 +18895893038 +13693083352 +15879348498 +15145488684 +15847176663 +15180770836 +15863336041 +15877463580 +13602872702 +15186011070 +13684224140 +18894598271 +13952160765 +18503282345 +18511512167 +13631710210 +18805939440 +15801132932 +18534814321 +18822142154 +15872442009 +18841616424 +13928279662 +18811354253 +13964885233 +15844375161 +13957099048 +18817055849 +18823871334 +15151552475 +18552680648 +15135561211 +18546542407 +15175596807 +18525068944 +18873831601 +13972575738 +15824039810 +13955865940 +13627150282 +13637676850 +18842259831 +18585465981 +18883047873 +13642717247 +18595103968 +15132181728 +13601246313 +15873148963 +13951819486 +13995145729 +15870145948 +18860624864 +18509086600 +15150856596 +15172851961 +15834863445 +13926911289 +18815821565 +18828814411 +15175650696 +18512201572 +18539836210 +18874042001 +18870331097 +18533211647 +13687183280 +13919830259 +13670369498 +18579302963 +15831133639 +13925413411 +15832652858 +15897216055 +13954540060 +18869967166 +18855197856 +18582354718 +13682845149 +15169136424 +18885195116 +13652475031 +18551431367 +15805693203 +13605501303 +18535923355 +15841890841 +13977500576 +13652237228 +18511714648 +18506867390 +15190669357 +15198288568 +13661665287 +15128254248 +18550203630 +18576598162 +18501100171 +15103275299 +18847511370 +15187468065 +18823012393 +13950100706 +18562232001 +15864622137 +15129897930 +18564286567 +13909501529 +15814282534 +13644529313 +15868590240 +15885827150 +15122885720 +13924707388 +15194976883 +13974613566 +18835347274 +15192679614 +18588273887 +13958353696 +15194967650 +18829457983 +13670245800 +18820556677 +18576036328 +13622884440 +18507446362 +18581358964 +15168775824 +15811250283 +18580949142 +18876754850 +18850367412 +18534415170 +13633533754 +13603942395 +15169775987 +15863401388 +15163796245 +13621365360 +18894835708 +15196786343 +18580122417 +15850105394 +15147035256 +18828443785 +13613420339 +15103328479 +18576641769 +15102451924 +13905377870 +15858893261 +15162421226 +15163607852 +18523772489 +15173341417 +13650107646 +15848417605 +13650815327 +15821775890 +15130777632 +18893071045 +13988856829 +15165638040 +15162540164 +15883964431 +18507679291 +15159821081 +15885432005 +18824781592 +13649527320 +13659558576 +13691826539 +13938457503 +15817132372 +18538986705 +15173345659 +13951364418 +13961260815 +13978574420 +18861679695 +13943288104 +18894020999 +15124439226 +13930461614 +18859002352 +18869552463 +13647644282 +13666568599 +15146489362 +13901576107 +18899846355 +13945679171 +15155353414 +15186397798 +15186230682 +15118078555 +15160677132 +13631912305 +18867506533 +13944280404 +13619835761 +15836588025 +15866696352 +13618492176 +15128906682 +13987851867 +18856076470 +18803698602 +13946071907 +15134860650 +18502135104 +18866283313 +15140752703 +18592982551 +15148613314 +13930614019 +13953632565 +18837333841 +15128635199 +13913685553 +15858799208 +18552094684 +18574148732 +13987179670 +13998130409 +15840401953 +13995035608 +15147240491 +13683760109 +13956148793 +13610398636 +13922657700 +18552937673 +15872380450 +13964155757 +13923264628 +18880434021 +13618784391 +15199044101 +18546880003 +15113157039 +15101994363 +15872647188 +13612699536 +15164304378 +18854248713 +13924838185 +15864734570 +15820367515 +15158513402 +18825521967 +18862185587 +15100116814 +13652468616 +15852579959 +18867485285 +15842531191 +15160797642 +13682333072 +13959269584 +15888678376 +13929163824 +13983545769 +18536746978 +18865483038 +15116521744 +18522182033 +15839156304 +18548563677 +18890554847 +13633867349 +13639051154 +18574279734 +15136496094 +15873539240 +18573038578 +13987182180 +15828575857 +15115657296 +15891283697 +13911364680 +15866295663 +15131561502 +18886154398 +18550858187 +13611615744 +18840078732 +15177550664 +18536178471 +15127951598 +15895460958 +15842062567 +18871153794 +15822263585 +13697148751 +13923457308 +15100882003 +15859670375 +18576787207 +13661203922 +15150411094 +13982872033 +15148713458 +13904016676 +15197042765 +18849286264 +15848323653 +18552184422 +13936825582 +18830786345 +13629327581 +13670954613 +15174069076 +13687151735 +13905975302 +15848243523 +13698589126 +13678639015 +18576546539 +18503311454 +13647342925 +18895853153 +15813849169 +18523110838 +13953810935 +13693844453 +13928257604 +18890914054 +18547476649 +13672279776 +18855823820 +18850015415 +15806834135 +13675112805 +18817356926 +18577856784 +15882064511 +13946427291 +18879540145 +18593839720 +18857346915 +13691757147 +18569034718 +18569913166 +13980193816 +15160181218 +15177473677 +18849617671 +15847373042 +18859673707 +13659550099 +18584857458 +15158741545 +18870287951 +13673856606 +18598402204 +13908637484 +13626677143 +15128088011 +18884213929 +15120637045 +15105909020 +13954054126 +13603651720 +18585347729 +13980675577 +18855610823 +18832043231 +18509255806 +15129097612 +15157480111 +18572679855 +15155226844 +15123744400 +18852874023 +13970619751 +15817635497 +15859473479 +13662140792 +13977392091 +13628942518 +15835637206 +13966827977 +13939808078 +18505582336 +18856458799 +18847618808 +18557369928 +18870065646 +15165130830 +13670671484 +18559003732 +18559396094 +13680544461 +15169354317 +13674430977 +15816794505 +18547059525 +13641110274 +13978617959 +18804198032 +15839996819 +18815285367 +15828056048 +13980482120 +15865807503 +15140820875 +18523180349 +15100627298 +13955487676 +15864727097 +15159954472 +15183596738 +18579909493 +18562968814 +13974741876 +15147163307 +13630258568 +13966914444 +18855513872 +18512122594 +18858247137 +18828168711 +18545560376 +18855721154 +13973370071 +13633436810 +18840186391 +18584712753 +15193304032 +15134946770 +13939938138 +13628239854 +15187901795 +18868807358 +15144644946 +13689121429 +13622758517 +13619425774 +18528072427 +15138190365 +13654380150 +13964724051 +18807469385 +13617021114 +18541917095 +15892422299 +18899161765 +15884368899 +18517808736 +13699962783 +15840744434 +18562293522 +18869880736 +13671202404 +15169103822 +13614043767 +18554720561 +18847368998 +13663694316 +18581337785 +13910234137 +13684959615 +15828512665 +13979479972 +15862927425 +18587818473 +13966173043 +18860345218 +18841759299 +15162548655 +15135161057 +18859353501 +15833411151 +15107363923 +18895089078 +15101167372 +15194538025 +15884282387 +13617368271 +15876670570 +15824975403 +15808665698 +13618423982 +18819852361 +15177562881 +18894345080 +15854265055 +18845001242 +15824778117 +18839247594 +18562777474 +15148615255 +13980444670 +15850684219 +15118458612 +13607954383 +15881366962 +13966035327 +15858851789 +18524928494 +13652034030 +18873187902 +18518916274 +15821262730 +15126076600 +15845300245 +13982413876 +18822421243 +18861934640 +15837475973 +18876611613 +15134429377 +13974283818 +13680872973 +15816752916 +15160024838 +15168841802 +13643677728 +13905945812 +18818695172 +15107663362 +18502554659 +15843815175 +15128346460 +18832377743 +18847636801 +15883253919 +18597800155 +18583163713 +13928374639 +18507409040 +15869817447 +18856033808 +15170404653 +18509973617 +18565672421 +13939978564 +13935199258 +18511786868 +15853582374 +18522416630 +18594180294 +15800719118 +15140453977 +15878448195 +15106763604 +15817072861 +18880014506 +13642451744 +15861675945 +15166829085 +13674816707 +15818877965 +13603610935 +13947383483 +18548478720 +13985663107 +18592308097 +18805006293 +13668616989 +13947987022 +15893242603 +18536547420 +18893140286 +15891220852 +13632688504 +15883499778 +18561927629 +15851686238 +13979316677 +15107711314 +13919448787 +18558298323 +13660719590 +13662354794 +18598148654 +13656167055 +15800345621 +18540909835 +13602073581 +15151780675 +13928868736 +13931146434 +15177759777 +18551029066 +13938854507 +18809092875 +15130768585 +18525706285 +15161372970 +18564837070 +18574153656 +15864882826 +13694703638 +13901469347 +15133776245 +15841356799 +13939067390 +15874778213 +18875729737 +15862750856 +15157509584 +15174889796 +13616634494 +15852588097 +18807656844 +13993209676 +18535487352 +13633188135 +18503677677 +15164650453 +18505178716 +13958406860 +15829653089 +13911632179 +13637722412 +15826716962 +18876673205 +18516362325 +15848017628 +13966910802 +13605683310 +18584907302 +15826186542 +13615041228 +13614882681 +15128807722 +15804979717 +15134082561 +13945114504 +18861377107 +13956330950 +18881604793 +18880967607 +15805651250 +15819443658 +15826895834 +15883339067 +15107909420 +13649331655 +18850880509 +15192246657 +15873553735 +15134575022 +18532994891 +15180490629 +18598924773 +15119948873 +13662603000 +15142496928 +15149629434 +18886414729 +15116434530 +13673652092 +13933139187 +18587203256 +13640235991 +13632138427 +13615806199 +18892612932 +13657214004 +15174111948 +15853908495 +18583489255 +18599068017 +15896257853 +18897247383 +13976221496 +13903214320 +18857048876 +13677181901 +18808958905 +13932102421 +18576225010 +13620993090 +18525045251 +15858700082 +18511259215 +18519308093 +15124068914 +15194523056 +15808337266 +13984371355 +15192819117 +15827538203 +13668759384 +13678077682 +18826607917 +15103512192 +13963424705 +15870773066 +13682345727 +18848517563 +15163682397 +15139540931 +13992027038 +18519437822 +15811592638 +13994363705 +13690788270 +13691145788 +18874774919 +13906611372 +13637068994 +13936223159 +13604894670 +13926870407 +18573796204 +18574960277 +13647758491 +13988642796 +18552730055 +13673002960 +15128472472 +15147194758 +15802956267 +13632890203 +13936688594 +13964694568 +13606959374 +13636246724 +18534032484 +15894857007 +13693205755 +18517480270 +15835410953 +13962809297 +13906251742 +15145722436 +13931776902 +18860355365 +15851482839 +15849907994 +15157552162 +18525994836 +18560397934 +15801616952 +15810326073 +15801013369 +13609100983 +13908958550 +15186560401 +18865247632 +13666206680 +15156592292 +15115243125 +15856502721 +18882656174 +18833479516 +18564550317 +18589786993 +13622822911 +18556492269 +15889485310 +18874901881 +15838693505 +15876862916 +13928322352 +18896969938 +15148381889 +15168846099 +13964925220 +15889404094 +13676145513 +15867441412 +15125158164 +13978422873 +15143891028 +13682344778 +18576305548 +13604998314 +18879651785 +18891381885 +18831850396 +13958279266 +15152998912 +18551316088 +18503858776 +15153219857 +13938796855 +15850089891 +13637362465 +18587742281 +15860230584 +15174070187 +13684058771 +15803384736 +15804764650 +15838380196 +18564396455 +15850973928 +15167966443 +15818423907 +15145673915 +15822342373 +13986175365 +18590509725 +15170309108 +18556033939 +15812362415 +18555643226 +18808607433 +15817717058 +15111504214 +13902621144 +15118545291 +13944110865 +13639272130 +13924510650 +13647833789 +15154041774 +15835738726 +13630336388 +13699170951 +15188245709 +18580132088 +18893243989 +18837650470 +13695161682 +13905549610 +15155206634 +18570744941 +15180029380 +15190607156 +13949370866 +18549162319 +15197064742 +18586930253 +13919594535 +18861747270 +18818159473 +18516032173 +15169310328 +15815174117 +13956998378 +13921201628 +13906241387 +13974619942 +15855222165 +13998043520 +15194719541 +13625195274 +18860958541 +18550801968 +15184897300 +15815392060 +13948164169 +18849489729 +13969113217 +13919807421 +13602433618 +18855039798 +18822833089 +18599613773 +13616399991 +18803953466 +18851041647 +18528219178 +13972077462 +13994555489 +18861390203 +13901246547 +13673325891 +13620584883 +13909364408 +15893570200 +15104971631 +18554297766 +18598582777 +18816344444 +15195260694 +13935590779 +18862679163 +13931629591 +15894896478 +18870779930 +15159950900 +13675254227 +15138879712 +13633694289 +18555375882 +18584032281 +13918246892 +13940350608 +13924031066 +15177226012 +15143717536 +13652514529 +13961411139 +13970854183 +15170844843 +15147070190 +15190541447 +15151858879 +18861056391 +18850223498 +18887216930 +13928845547 +15897816192 +15160624919 +18566931364 +18859008719 +18539751425 +15888157583 +18899056859 +15162861466 +13603045804 +18851769062 +15898994105 +15869659982 +13919583718 +18847666793 +18834280909 +13682119213 +13679193394 +18532770262 +18553696553 +18558535550 +13612012289 +18869250763 +13653744673 +18851672646 +18881260301 +18544264973 +15807355078 +13924106326 +13666293881 +15101613134 +13609100381 +18807960436 +15872830807 +18832434497 +15175706651 +15828389239 +15884608799 +15894303966 +15149240122 +13640601515 +13948217423 +15820589056 +15898710490 +13606270405 +15162855767 +18595432344 +15193105719 +18862101049 +18506445365 +13662879409 +18519419872 +13609985716 +15820954660 +15861971522 +15838482117 +13636002290 +15889719111 +15863601267 +13937794058 +13943521970 +15836554833 +15128110711 +15884471659 +15884008325 +18840012208 +18879769246 +15147474705 +13618296969 +13645667376 +15861485749 +15111368333 +15893884543 +13903459198 +13917083574 +15804793942 +15863260294 +18834461488 +18566252960 +13651522385 +18834774813 +18872903643 +18575786273 +13978816470 +18574693508 +15163933464 +18865585328 +15120168045 +13671831550 +15809435011 +18576462875 +13684910979 +13609005390 +15852129559 +13600396174 +15189075132 +18887395920 +15800953513 +13639303817 +18564180011 +15858603537 +18536140548 +15865483478 +15189521106 +18826906576 +13918900970 +13992013776 +13679892906 +13989824916 +15842212161 +15152962269 +15116159128 +18873514352 +18536281291 +18577127029 +15183586446 +15859060398 +18574919883 +15148608733 +13905818045 +13693208039 +15144863209 +15895470784 +18808735999 +15163100066 +13991123219 +15158678649 +13998606660 +13979585592 +13623463601 +15146407097 +15813085315 +18893413082 +15116381811 +15878223747 +15840194983 +13966306724 +15192655196 +15106373557 +18545150779 +13693745368 +15881106504 +15136872026 +13920082120 +15863978241 +15165290089 +18583984500 +13609174251 +18875556861 +13961946966 +18830191108 +13600277344 +15893473522 +15149935541 +18805814222 +18824023713 +15854276043 +18553136014 +13944231522 +18816444155 +13902791900 +13695554976 +18890189428 +18898706168 +13685238270 +18507185800 +15108532440 +15857901171 +13953865939 +18586700836 +18529827626 +18825164307 +15186854419 +15145211805 +15158676201 +15859270491 +13921208246 +13697917993 +18812510083 +13600573841 +13969856034 +18865759166 +18579903359 +13917680473 +18596385036 +13695274217 +18520576225 +13923084180 +18574941552 +18868090029 +15181821195 +15172940253 +18510971432 +18599888724 +18570843094 +18543007451 +18827050253 +15166440841 +18816629777 +18818647461 +15134299943 +18506808005 +15897451492 +18510622950 +13607998110 +18846211601 +15132340419 +15198963854 +18543720318 +13667146444 +15162478850 +13952995295 +13967165011 +15151088682 +18534576240 +13688834164 +18540276919 +13674811656 +15807772156 +13931207526 +13945451616 +15809895899 +13941326043 +15838591712 +13693056159 +18551516874 +18571355523 +15870895950 +18582367069 +15161155134 +15813905183 +13920418911 +13668426722 +18537627841 +13922191775 +18509821736 +15102983288 +18837947674 +13956971114 +15818166037 +13675509401 +15116143556 +18572339250 +18519498959 +13920931317 +13649521625 +15162320634 +18838534460 +15155813126 +13669523085 +18817818161 +15866696383 +13969609723 +13629942703 +13916729268 +15166684183 +15869330029 +15199333831 +18504197239 +18591697967 +13688120664 +18563339900 +18586251337 +15862804675 +18565002735 +15108802190 +18830256687 +15196666648 +15845262160 +18526948058 +18838555797 +13944407696 +15816556888 +18882377027 +15176659212 +13914548438 +13912078599 +15826321736 +13995413010 +18873882794 +13964705300 +18869970694 +18515402998 +15186684943 +15117540314 +15140470545 +13970906354 +15813310154 +15873170669 +18841600167 +13944589236 +18833379233 +15140787152 +15873420160 +18518216188 +13938157449 +18526993744 +18576829475 +15806037785 +15198740451 +18552851947 +13682264514 +15106095269 +13937979877 +13929527868 +18511610806 +18502624037 +15800697026 +13674319392 +18584677271 +15163748754 +18521945840 +13995702212 +13601831026 +18571016591 +18556417456 +15185265563 +18845667537 +13691538211 +15160257338 +15107859720 +18519103835 +18846299154 +15196310958 +13634592444 +13961522811 +13930628269 +18534864074 +18874089559 +18560216436 +18589592476 +15151330564 +18563837206 +18848308286 +18553761616 +15863530895 +18897565378 +13643113555 +13648950609 +15857282130 +15861731100 +18839211253 +15801569985 +13994099931 +13698441221 +15107583441 +15150320777 +15849989283 +15196839552 +13623548906 +18597793370 +13908342188 +18546073279 +13697214012 +13973206284 +15814776136 +18507602931 +15186528149 +15191174083 +15157562352 +18803783142 +18515324848 +13691242696 +15830777489 +18803192886 +15865118862 +13675234653 +13652575026 +15116088853 +13610306600 +18862397896 +15195922593 +13923943219 +13639561305 +18826473369 +13684194695 +13632737284 +18891877058 +18516905213 +13630541689 +13604688720 +13670022166 +13995629637 +15827671342 +18583158100 +15143904194 +18810801228 +18544137651 +13984374533 +15115365621 +15866146214 +13632685452 +15112750847 +15875804085 +15173373366 +18813037967 +15808385604 +13678279827 +18810178993 +15821310464 +18519399003 +18878988291 +13956193863 +18840477430 +18578726914 +18830155489 +18516649268 +13615384088 +18837476105 +18802026496 +13608242113 +13984075386 +13958652647 +18826092719 +13609444910 +18534960901 +18895479770 +15197328245 +18546226530 +18878504598 +18596073808 +18808983543 +13669134242 +18512586755 +15153703771 +13684051846 +13921038356 +15814008845 +18597977043 +18893367258 +18570861603 +15184656161 +18583082855 +13945071480 +15855366093 +15815947231 +15844605305 +18864245596 +18876328930 +15818743774 +15112308195 +15103087262 +13908454469 +15860984366 +18542996827 +15839200052 +13682965459 +18864504234 +13970107484 +15196922217 +15108049668 +15145814787 +18555146270 +15841006763 +13907882244 +15853307702 +18553787314 +15852226528 +13618656080 +15865070899 +15872098868 +13618057394 +13911254659 +18565341033 +18555046950 +18832157420 +18872819954 +13960076746 +13976372182 +15168314150 +13615504461 +18502369010 +18810332072 +15893056864 +18874398530 +15819387945 +18894199909 +15887646611 +15830544660 +13686127881 +18595250476 +15853453447 +13686746025 +18551485025 +13669195410 +18567260789 +15170692774 +15183615098 +18829964810 +15891730861 +18596019202 +15843183012 +15842505932 +13903787247 +18824137029 +13940196250 +18835235181 +18577722565 +13945754154 +15812223404 +15871776863 +13927392737 +18890864740 +15156338792 +18857557725 +13608472234 +15159342625 +13939835127 +18556122648 +15123582967 +13915248102 +18585383695 +13976919299 +18822919773 +18853091947 +18521200580 +13928723071 +15171336295 +13626645140 +18564745757 +15815948023 +13644581625 +15857168667 +18874413274 +18825261883 +13659991002 +15196583650 +13961948977 +15808142316 +15897220529 +15868910025 +15198633936 +18576333143 +15850806697 +13915611748 +13618637930 +15104060395 +13911407010 +15111390820 +18561430902 +15828747065 +18591035078 +15871990442 +18851801326 +18804700176 +18560015893 +18580779892 +13607134408 +18518989845 +15100663383 +15822891917 +13607626773 +18868262109 +18843197729 +18817065404 +13937156457 +18506578190 +13604565955 +15122383796 +18543267474 +18804045657 +13987489213 +15804850256 +15172495672 +15823167512 +18847709518 +18896493209 +18829438440 +13631109052 +15101404639 +18849416632 +13636994626 +18859479654 +18896938929 +13674942647 +18509566009 +13671166727 +13679347665 +15837940334 +13638158488 +18879809850 +13686942263 +15176707986 +13983190912 +18827367877 +15856799511 +13618488334 +18818626181 +18574045370 +15800403749 +15138776218 +18541267292 +15190102142 +13695697827 +15185859096 +15829233682 +15198927870 +15174442605 +13650668888 +15874201710 +18533713409 +18571436374 +13947102224 +18867278393 +15115906290 +18521256324 +15188443082 +15131534915 +18827503914 +18580135460 +15198060962 +18821684607 +18840983954 +15841794487 +13600944086 +15817268210 +15874769364 +15809573251 +13963979926 +13956989173 +18527972896 +18560435056 +13950169460 +15130358670 +13609118873 +18524854740 +13635960262 +15817012414 +18587450415 +15121408635 +18567979730 +13657105536 +18549911788 +15114751941 +15876013917 +18503990817 +13635033356 +18876166386 +18526039599 +13914123499 +18893368952 +13997624882 +18594356869 +13673741638 +13917877155 +15133254550 +13683334002 +15823113064 +15832618035 +18528182783 +13691488901 +13667568640 +13961565475 +18513578401 +13633275321 +13965027506 +18523484946 +13635694428 +15174525691 +18599885775 +15811795048 +18875767206 +18842749420 +18552310551 +15165049844 +18530161812 +18805960450 +13656058161 +18860660933 +18543661832 +15810143626 +18557740891 +18586385776 +18851267776 +18891450683 +15849078333 +15174020098 +13621118975 +18518841900 +18557521694 +15860830336 +18868899928 +18830976380 +13907108764 +15154595431 +15188959753 +18836998891 +18563661624 +18541787164 +13686356798 +15175995718 +15129450824 +15846958981 +15857736767 +18573417748 +15111463754 +13697133865 +15136210034 +15882086391 +15143638812 +15836026215 +15164900937 +15196242045 +13985805771 +15875220181 +18567920745 +15818287749 +18501569164 +13683972921 +18532459642 +13945876068 +13692563686 +15131322418 +18877362987 +18585796632 +13667207799 +15158195265 +13630715836 +18803402965 +15895652912 +13960476835 +18886334716 +13664778322 +13635989509 +15830349386 +18536662510 +18516255695 +18803712638 +13669753764 +15882977273 +13948789455 +18881716501 +18876556943 +18567090349 +13669466086 +18849221956 +18571725329 +13919646952 +13905871222 +15826866660 +15149144918 +18532292804 +18541486852 +18534551388 +18803371744 +18826825272 +13635351695 +18527572674 +15199097815 +15177487291 +13987701355 +18526599067 +15811306534 +13649933827 +15100080884 +13917971084 +13936086544 +13993251740 +13676301110 +13904791740 +13951192437 +15166551197 +15808559217 +15867908865 +15125934585 +18828225708 +15895704300 +15159076788 +13663748729 +18862597012 +15840762240 +15190671344 +13907570418 +18551205382 +18521212295 +18827561797 +18850679052 +18513594156 +18572295855 +13922779606 +13665135067 +13915559463 +18534826087 +15855412999 +13963097475 +15172770379 +15127816386 +18882414782 +15174855664 +15146522470 +18805168211 +18518513342 +15835199713 +13675379698 +13679862096 +18576689474 +13932967636 +13670916009 +18507804220 +13671862007 +18845668729 +15818416045 +13990037736 +18535424343 +15829165164 +13900715845 +13674431479 +18555088718 +18860179599 +13969949390 +13988698991 +13613682286 +13975142239 +13691126106 +18503545547 +18596266986 +15884550749 +15131029917 +13681423271 +13614159018 +13671615339 +13963883066 +18560550492 +13689911385 +13612386231 +15155541285 +13976456869 +15891805827 +18867369462 +15832983428 +15863682650 +15169631124 +15183333635 +13912586108 +15118466453 +13931278585 +15179007344 +18527855426 +13694734688 +18877927036 +18569854714 +13632138156 +13930280372 +15883238602 +15836142929 +13647695901 +15127265201 +13948485507 +18889981061 +18884693175 +18852871922 +18587116510 +15855556258 +13615088245 +13645940112 +15197120991 +13909390494 +18520445913 +18594892847 +18822580424 +18528341780 +13658254482 +13661626441 +18540173911 +13603428960 +13966238184 +13620479346 +15837675679 +15121077899 +13640887330 +18851107035 +13958563216 +15184446377 +15858493150 +15178418799 +18548644626 +15872760797 +13903045015 +13937729272 +15195336654 +13923491042 +18586845779 +13626285459 +13678323045 +18807756447 +18841765641 +15180706950 +15879822297 +18521760153 +18544588521 +18873078188 +18828063607 +15812820997 +13990898305 +13983188525 +15145988869 +13914651184 +13698432454 +13607724663 +13973624291 +18510522982 +15828766273 +18877741844 +18579264264 +15834989329 +13926707929 +18808899928 +13921881857 +13993592279 +15191086971 +15852671500 +18888928014 +18860235600 +18873004768 +13929906001 +13920051991 +15896252840 +18594312155 +18892018332 +18557651166 +15162967768 +15876439455 +15143539500 +13967275537 +13915461170 +15184281276 +13635629299 +18844688807 +18574679879 +13969211242 +18533586382 +13933624752 +15823176849 +18573388666 +13923728987 +15877800218 +18530030015 +15197428068 +13960749677 +15105551519 +15812769504 +13687962189 +13698492248 +13921145139 +13965722914 +15139350707 +13912562266 +18821022105 +15195535914 +15884447080 +18551932113 +18857526779 +18806730111 +18545478939 +18560057082 +13635952135 +18536091674 +15834204819 +15104479550 +18865755630 +15811877309 +15870289725 +18864587512 +18898311218 +15119492716 +13976084338 +18819400388 +15806072390 +13942477335 +18581357662 +18565406091 +13696699037 +18540092389 +13906107927 +15824978178 +15109244709 +13635781424 +13693662371 +13935411743 +15133958622 +13965327994 +15193941244 +15875253925 +15826666168 +18810720590 +13966978082 +18581101911 +15865186812 +15811816696 +13917344056 +15176914336 +13946300515 +15122651274 +18891029513 +15105695121 +18819056217 +18836068149 +13972702395 +13660042205 +13940253327 +13932921239 +18872688705 +18572410973 +15198391031 +13649778167 +18823251106 +15819088925 +18837740694 +13950094282 +15805972237 +15822499471 +13604715901 +15876569888 +15883749970 +18892267174 +15813124630 +13679289726 +13642388008 +13629030966 +18512269268 +18518759968 +18598475306 +15152213925 +13667389143 +13619831630 +15834667260 +15162926583 +15838399953 +18578025785 +13641858637 +18593898897 +13681319808 +15869393127 +13630991598 +15836990386 +13920332385 +18559000108 +15852218860 +18506318853 +18565699518 +13655420990 +18530747046 +13656589007 +13621594742 +18532482626 +18897863265 +18554829876 +18527468727 +15829118146 +18883746984 +15804281129 +18839305343 +13923213565 +15802458961 +18551865335 +15136572877 +13664072171 +13912400555 +18542853190 +18804624229 +13987916994 +15855926656 +15156281161 +13973805589 +15147757552 +18839644033 +15871946566 +15181297929 +18894382868 +13639653729 +18555148921 +15171379606 +15112374129 +18816783753 +13938868396 +18820263984 +15810109054 +18884116933 +15177013864 +15158279867 +13691158873 +13616800184 +18562420606 +13639476366 +15192764621 +13611474243 +13649994104 +13628549714 +18569668924 +18818855026 +15840567962 +13923708088 +15163759221 +18873764330 +18541302397 +15865301553 +13936097892 +18510422839 +15176344871 +15884006397 +13647973926 +15100719893 +13956338040 +18870332763 +18598976666 +13669166423 +15826529730 +15868909850 +13623264967 +15147345971 +13969788576 +18839213890 +15185338668 +18818591857 +15155456932 +18810487788 +18871679594 +18534625253 +13998921216 +15123810355 +13621199731 +13923469306 +13900628072 +13691941319 +18544236725 +18871195764 +15879369448 +18814002060 +15145512554 +13944840407 +13917744723 +18891633371 +13603836284 +18547251019 +15835907921 +15143553592 +15866430406 +13617343262 +13694087275 +18553087019 +18514678622 +18899007153 +13992949994 +15195617783 +13901490374 +15169409946 +15114971838 +15191764281 +13677394720 +15858408586 +18810264262 +18843269537 +13982399251 +15802218578 +15840740713 +15120372410 +15162466959 +15142466406 +15862400316 +15813540907 +18868455231 +15889926384 +15894222938 +13642226197 +18805890144 +13670548029 +18521216721 +13622295759 +18560245965 +18595465425 +18861647260 +13951520135 +13971732564 +13690206139 +18550337883 +13973235575 +18834640073 +13600012421 +18599034224 +13624593479 +18877926628 +18551743789 +13927817004 +13979354062 +13661166113 +15830972763 +18816728387 +15846112799 +18830876726 +18801656167 +15155302217 +18562573404 +18894577978 +18531856260 +18559990595 +15812302956 +18837824301 +15827165099 +15832266446 +13656788993 +15174861860 +13650860744 +13909171504 +18807563061 +13905951216 +15890496116 +13660102271 +18883465468 +13988310285 +15125250877 +15153504471 +15126914153 +18836514001 +13606721455 +18595338635 +13983474136 +13921587876 +18553209518 +18810033746 +18569013195 +13650897923 +15816912850 +18565038627 +13948541311 +15162712815 +13613482099 +15132713859 +18861181402 +13637851876 +18867980540 +15845673014 +15826550588 +15165926507 +18800712695 +15105489713 +15125977800 +15164503069 +18515126105 +15135268913 +15134850735 +15116998433 +13692356562 +18804954336 +15111217072 +13912750139 +15823763853 +18862274861 +13600760683 +18544724978 +13657005313 +15179757820 +13919005686 +15867412480 +13987256291 +18547780666 +15137188161 +13929542558 +13916345607 +18572190243 +15825273308 +13914365425 +18581647780 +18872981307 +18883695358 +18815663905 +18526776834 +13650012128 +18560372814 +13915396643 +18512851207 +18531095764 +15125394785 +18515827419 +18555973265 +18576462364 +15122568222 +13628731031 +15198640235 +18819818287 +18504323392 +18564382634 +18516800733 +13651096318 +18530802715 +13663776385 +15867326368 +15165447023 +15872353906 +15182249955 +13643461793 +13949499596 +13953301426 +13965348592 +15843146267 +18826367317 +15817468705 +13664947097 +18517928808 +13983784836 +18859929709 +13926606966 +18501705493 +18582706896 +15198997616 +15149206435 +13946505672 +13621495808 +13921240795 +15182009886 +15105165160 +13912744283 +13634237820 +13934836085 +13981618332 +13963364588 +13646836975 +15199029886 +13609717294 +13927533997 +13659216455 +15810978852 +13980096373 +18519986089 +15848399949 +15149454011 +18513631470 +15810970292 +18803250704 +18585151738 +13925980683 +18521443630 +13993769629 +15827314331 +13613415772 +15143219142 +13657587370 +15858410167 +18579907945 +15823608186 +13614772859 +15830534935 +13638456442 +15879025117 +15158913331 +13644752932 +13992476529 +15105879905 +13903733333 +15123768135 +13995582852 +18842338401 +15142249531 +13689760226 +13638736759 +13984254868 +15194563518 +18590082805 +13699695393 +18533934006 +15193301210 +18890825995 +13965357359 +18575091177 +18565215107 +13684028599 +15147232595 +13648508036 +15102425578 +15830094586 +18515359198 +15842930299 +13947136998 +18892061766 +13677168525 +18554391164 +18870233924 +18822045892 +18804947496 +13667274716 +13639658710 +13918017586 +13639978898 +18580757433 +18537209868 +18870199404 +13975788039 +15145451979 +15810991049 +13685972640 +15821358305 +15166372904 +18864058609 +18588126586 +15828826573 +18552698916 +13695547740 +15152470374 +18552875633 +18887210353 +15138385042 +13650778515 +15818269911 +15102827331 +15140841361 +15138167653 +15869182352 +13980030872 +13665433659 +18563775405 +18805639766 +18862244429 +15111520281 +15876254694 +15885397718 +15189850755 +13683551468 +13655572767 +18895375082 +13691946935 +18572883612 +13997486850 +13914201970 +18520976347 +13900875579 +18896899596 +13601984196 +18848820193 +13621587455 +15836128025 +13651931372 +15865056057 +15849892038 +13927413657 +13905140909 +18596217048 +15810965777 +18509640364 +13604680466 +18812794193 +13693927071 +13693077021 +18581393011 +18831814398 +13663265415 +18559706999 +13946094580 +13652344931 +13948541150 +18897483206 +18885205139 +15135608461 +13645121132 +18857785818 +18899188624 +18831823240 +13996047296 +13924206584 +15156868861 +15119286910 +13655662206 +13908525810 +13678657389 +15854857772 +18841611360 +13987165825 +13912964599 +18550995654 +18503171842 +13679346593 +18551131175 +18581632864 +15173464915 +15176317931 +18525897587 +15146617486 +15166011985 +15879688754 +13939909575 +13658913136 +18531401855 +13619302930 +15188283240 +18881449351 +18805711835 +13677043689 +13973435346 +15135871306 +18525186198 +13990404672 +13986854221 +15866556461 +13945558292 +18500885587 +13983967755 +15809277576 +18854344030 +15108084766 +15122880057 +15145311935 +18806589638 +13927726771 +13653137250 +13653829694 +15831359977 +13999426906 +15849751422 +18576704897 +15803878042 +15130428174 +15831011688 +13662277018 +15816665395 +18866484441 +13963621447 +18554209372 +13962019931 +15891659705 +15139107328 +15168797714 +15845809135 +15852723067 +15870882640 +15167247941 +18831075046 +13694184335 +13680847981 +13642790528 +13923120433 +13660202754 +15183809911 +15154525252 +15189390180 +13956170258 +18533235547 +18873924637 +13924475938 +13951099545 +13678286929 +13993070793 +13634947566 +13933900351 +18855239245 +15149385491 +13986141012 +15876259885 +13602413869 +13946223825 +18516530081 +18820004806 +13639394635 +18520713267 +13965743376 +18562189187 +15159883162 +15100735784 +15891147012 +18844324149 +15118902633 +15853894135 +13649267509 +18869954290 +18523528688 +18861198609 +13992255171 +18882872077 +15117817212 +13933166502 +13663620822 +13954743449 +15828802284 +18542444916 +18557723115 +13954275068 +13963183070 +13995102132 +18871351884 +15866472355 +15857947102 +18596550268 +13608008629 +18564062955 +18555832653 +15123462512 +18550160095 +18836341919 +13649053434 +15816841919 +13618900925 +18520428913 +13610548128 +15123442958 +18550252667 +15813585824 +15162500564 +18820680157 +13970736662 +13674521932 +18501110854 +15137749355 +13971714737 +15118214688 +15842131851 +13967956809 +15814252332 +15132772567 +13935640407 +15893541618 +13619550944 +13900260375 +15830242635 +15198231271 +15828512218 +18502086598 +15881155488 +18583178325 +15827868639 +15104698343 +15840081910 +15818168604 +13661346088 +13928790390 +15166082139 +13670129040 +18576828593 +13947443424 +18583253691 +13951554279 +13616493246 +18896900249 +15851205830 +15111867653 +18520688545 +15121492709 +15886016314 +15157328875 +13663959183 +18551573262 +15137192659 +18894190615 +13988325064 +15857982047 +18814925171 +18577643691 +13935233578 +15187826995 +15127519708 +15856734071 +13945909787 +18557190355 +15856646986 +13942801626 +13979129910 +18529614739 +15853394290 +13921467800 +13632534685 +15142237571 +15892369147 +18512218649 +13949581828 +15136406354 +15154674021 +18514582369 +13995666685 +15109910438 +15157576988 +13983915008 +18548659878 +18530527347 +13980023747 +18818756280 +13631109019 +18503793049 +13947227466 +13924802517 +15891241808 +15114970905 +15165385453 +13930134490 +15161135184 +15195107976 +15173291094 +15116478106 +13614984862 +13673245148 +15874193917 +13693447174 +18530692060 +18565249348 +18543416271 +15150162533 +18546726326 +13679629918 +15156753396 +13613760080 +13685907622 +15147920361 +13973896236 +13614797372 +15119905230 +18865235376 +13937608681 +15140124423 +13657409702 +18890456802 +15198666739 +18825505343 +13960441087 +15896016080 +15800748335 +13913974638 +18887280119 +15152043728 +18505380243 +18883708283 +18530994362 +18859262038 +13917229007 +15142895929 +15869448937 +13944295599 +18864094692 +15148556020 +15809678227 +13663902173 +15814893788 +13602772228 +13942196758 +13935078088 +18872000226 +15836121723 +13656538905 +13687132745 +13964336495 +15199513278 +13680513913 +18548831768 +13972515739 +13641504760 +15813972878 +18599635513 +13669877583 +15120636623 +18500162893 +18553982578 +15176975954 +15161666210 +18542659780 +13609368853 +13660116740 +13986073597 +18849780652 +15894836881 +13622957118 +13650024503 +15855605712 +13942664542 +15114647450 +18578017017 +18870734956 +18543748819 +15192244030 +15180183969 +18521483483 +15160867880 +15881860454 +13662838298 +13673002674 +18844773571 +15868581128 +15154329556 +13943291772 +18529846260 +15141442412 +18816025462 +13971761330 +15111482210 +18831763752 +13608437861 +13948431237 +13935214132 +18523812608 +18838237267 +18538482090 +15143872236 +13916737009 +13995359476 +15117755806 +13629243395 +18867710250 +13618554020 +15148244340 +15842762800 +15102518200 +13671530154 +13618296871 +15842574952 +13689309090 +15840743003 +15147353223 +18567380274 +15801967783 +18855192730 +18824984726 +13961252422 +18803958347 +18596707389 +18501303965 +15191158334 +13662238922 +13943645158 +18857253447 +15832847935 +18887473532 +18501184787 +18860483016 +18895656617 +15108203444 +15891186341 +13934539304 +18810691101 +18846639707 +13964338281 +13988023719 +15109553780 +18869048284 +13910398960 +13959552255 +18896713618 +13909886566 +13920663946 +15181453544 +18880128180 +15127740698 +13951348660 +13993457432 +15154118074 +15150441443 +15875892513 +18858719819 +15812060245 +18873008693 +13931059272 +15124097365 +13640365609 +13620365031 +18550372577 +18863593111 +18567842568 +18817471146 +18813736575 +13924490467 +18520894974 +18845351995 +18898055028 +15178597077 +13639154296 +13974725250 +13915609308 +18549486031 +18866673551 +18564940396 +15158968338 +13622066826 +18899775008 +15116426981 +13941896285 +13997914584 +13646447608 +15181633133 +18880571416 +18524687152 +13930440069 +18516950607 +18535660534 +15872461208 +13918096366 +15813136480 +15859174942 +18867993168 +13603397602 +13924661433 +15878409354 +18593419355 +18813585152 +13678952795 +18511859658 +13672329579 +18819122260 +15143454185 +13930195512 +13628498877 +15825804275 +15835870704 +15841536683 +18843539027 +15850281541 +13644794414 +13936844067 +13958080452 +13605258450 +18540824904 +18551923904 +18896533828 +15150350527 +15176502755 +13673921729 +15140551482 +15165397641 +18825183241 +18581216501 +18895219251 +18803225280 +15114213237 +15886657623 +15863449098 +15126957437 +18826153552 +15810294579 +15114584314 +13980704108 +13662163912 +15146676116 +15840686999 +15156043209 +15867486677 +15833550772 +18547595952 +18864664411 +15804276839 +15117602338 +15102867654 +18503835318 +13671003803 +13609946486 +18867905815 +18820722718 +15141343329 +13680292273 +18833234603 +13620879298 +13616752422 +15878041078 +18569626861 +13920060937 +13671531440 +15137676826 +18817495090 +13609039339 +18572894974 +15837291086 +15107674210 +15880806280 +15162071586 +15173048797 +18516366457 +18858134028 +13977767996 +15193314974 +18875582432 +13640385393 +15172791247 +18579647029 +13931631932 +13637540494 +15112215622 +18845209970 +18864080600 +13651523543 +13971359223 +15832762558 +18578148827 +15801997733 +13628530232 +13989087076 +18526377667 +13927728654 +15855652088 +18816930281 +13695436620 +18502965161 +13969095100 +15179744750 +18596900840 +13608040284 +15146293689 +13686068961 +15890404163 +15162929124 +18536934525 +15870978899 +18590960531 +13688656830 +13972417111 +13679650069 +18565531797 +18811310009 +13651331702 +15876271114 +13697451442 +13922748683 +15111402486 +15814937067 +13617713646 +13621884254 +18590875262 +13682964818 +18530306777 +18569053482 +13948052282 +15830067128 +18895106812 +13926246088 +18892448916 +18875669980 +13624471530 +15838699342 +18529316522 +13623417816 +13637245511 +15169842550 +15161016466 +15127040404 +15879625214 +13901091108 +18572743367 +15149638659 +13696290405 +18587055772 +15843497598 +15812947384 +13678422494 +13668998470 +13907917026 +15182742331 +13633019316 +18881649161 +13933443483 +13627805436 +18895504922 +18833087213 +18505683253 +18533210499 +15893695509 +18549674280 +15852782124 +13964140044 +15837291318 +18580449276 +15872354755 +13614780815 +13974696190 +13602053266 +18508015899 +15136211574 +18887014547 +18846106355 +13699137993 +13978759003 +15802102914 +15838496877 +18851239389 +13991918397 +15846575172 +18549534815 +18548655773 +15807628891 +13953461085 +18581999718 +15810221562 +15822846641 +13987625152 +15838692261 +15199641812 +15181088623 +13642597815 +13686782366 +18840115531 +13647141561 +18851426717 +15863205529 +18527086527 +18530882589 +15117936429 +13906529393 +15107076295 +13945341470 +13971420702 +13662346180 +13997522355 +13970560988 +13929902432 +18574529624 +13944365767 +13632911005 +18535600236 +18809780772 +13606044046 +15834176466 +18554472142 +18551564090 +15884460830 +15111134988 +15862758240 +18551412847 +18816269361 +15834450047 +18856261548 +13605229576 +13696178249 +13976449461 +18823792549 +13611562656 +13954934253 +18831054298 +18584609307 +18818575271 +13674989830 +18524246450 +15814061789 +13608705015 +18509280105 +15840519200 +15805267818 +15172499298 +18579699853 +13627372941 +13956981570 +15802913719 +13952638760 +15123461757 +15158181088 +13990482197 +13693262648 +15832244573 +13921637364 +13937057212 +18887529086 +13694220660 +13667337176 +13670677002 +15182982241 +18575847148 +18839214187 +15810628294 +15837331377 +13672135206 +18864467035 +18507446243 +18548926889 +18839572615 +13912405547 +13983511257 +18588743356 +15192391804 +13670632729 +18860244208 +18874075492 +18843807582 +18536982741 +13633566757 +15865300652 +13998159348 +18841972634 +18535790790 +15152884532 +15134182505 +13956906583 +18572888655 +13600412766 +13647270721 +15113300822 +13621088771 +18587508952 +15146753024 +15835461366 +13650045380 +15820272584 +13966649616 +18560262974 +18538950895 +15844016372 +13982453845 +13984738306 +13699339479 +13913389543 +18822640482 +13952891007 +13631399390 +13674653227 +18813609127 +18551136355 +15146962404 +13615002060 +15888092043 +15844090073 +13641247172 +18553564002 +15171768760 +13990655350 +13995740727 +18826761137 +13927540068 +13925794535 +15105924177 +13663183993 +15831201531 +18576995932 +18506510564 +18578866554 +13992147230 +13913372266 +15839030418 +18519171637 +15169494480 +18551194622 +13663819946 +13938352444 +15815637072 +13924727842 +15198622602 +13635796352 +18506394139 +13900290842 +18837156340 +13993536620 +15825623018 +15887917152 +15822567439 +18867909994 +13661466145 +15103227070 +15881910055 +18508192288 +15174597174 +18847525600 +15185316008 +13982956757 +15868074809 +18882199384 +13989812676 +15125941136 +15146162100 +15822788004 +18801706051 +18518438222 +18570624806 +15158924754 +13640717013 +13656063885 +15831573575 +18880784869 +15188956556 +18599597080 +18586501226 +15883707777 +13969145741 +13697482169 +13690686683 +13995567976 +13645688515 +18593387888 +18588652722 +15104284967 +15828408937 +18549414285 +13623044718 +13929760532 +15843245594 +15164394526 +15875745866 +18597764843 +15881199672 +15127951776 +18542860335 +18814749480 +13954849940 +18500475450 +18516753125 +18591051596 +18869772638 +15843777887 +15195057868 +13698121594 +18510994955 +15862704699 +18809122669 +15840270967 +15134500864 +13676759328 +13919086225 +15825131222 +15145077383 +18818229503 +15832010407 +15894210354 +15191107470 +15830772480 +15132065906 +18840662642 +18831871338 +13666829965 +18899743244 +15144984189 +18895998979 +15197554797 +15807501800 +15119460130 +15890851931 +15172589026 +15115689992 +15119584150 +15131221640 +18801555670 +18558219726 +18818415083 +15123489697 +18862321071 +18826163616 +15810566433 +18803331866 +13647935907 +13904791976 +15199944826 +13636753738 +13690132940 +18825810327 +13971628739 +13906722475 +13932854563 +18841765930 +13655831336 +18849778283 +18865517877 +13988453971 +18815548612 +18507078437 +15881712306 +15813252449 +18575667715 +13919373559 +18503284457 +13952088341 +15872297641 +18572899711 +13948597918 +15169915154 +15842393925 +13642199204 +18549405295 +18512159703 +15191711223 +18898794946 +15868030041 +13944411086 +15845462315 +18562533969 +18519506039 +13927175769 +13984512769 +15845968976 +18864682839 +15147675043 +18868483818 +18859808298 +15128750902 +18569050249 +13627863141 +13609761365 +13640692420 +13661270538 +15179242353 +13614282717 +13904016903 +13643753848 +13954264159 +13679506810 +15895877652 +15101045066 +15851614878 +15856058063 +15834826876 +13978759850 +18827818587 +15148129294 +18838533338 +15199462022 +18844722617 +13984614792 +13683960332 +18532106454 +18836187119 +13974877468 +15187867796 +13926034825 +15144136948 +15141660543 +15185193415 +13928585981 +15121634844 +15168652962 +15168004229 +18811152720 +15800412526 +13933839780 +13949226152 +15112549872 +15116782044 +13994117204 +18852141380 +15129330870 +18884540143 +13956298725 +18801891276 +13606987413 +13995473051 +18543954636 +18832557372 +15118591089 +15872911391 +13686182848 +18854671251 +18536852636 +15138026141 +18811138140 +15872964561 +13946344467 +18852040474 +18811836129 +15807078245 +18891058610 +15851318707 +13659191469 +18866912074 +18511540808 +13698923032 +13998595457 +18570880152 +18871828034 +13619667415 +18596163579 +13921218441 +15832199437 +15131237507 +13956943867 +15813892754 +18889450150 +15894126277 +13977550781 +15128928067 +13619682831 +18506044838 +15847180436 +15804415012 +13601063562 +15115201977 +13914133913 +15167289105 +13990234888 +18557559423 +15196520802 +15164697004 +15850850160 +18551200508 +13693638875 +13995849576 +15892433580 +18579243557 +13670985733 +15155502053 +15820087983 +18860996795 +15134549156 +15833862626 +13667926543 +15841419655 +15185645914 +18558992265 +13988561458 +13699829143 +18862879694 +15156630625 +18825639668 +15894398913 +15143838631 +15188693287 +13650074976 +15101407606 +18874589349 +18821117386 +13986963267 +15855755822 +18814563723 +13648305697 +15139508560 +18836649527 +15120283343 +13998384675 +15145604557 +13624039655 +18592895445 +13684038858 +18829194566 +18840241343 +18597933460 +13919437299 +13609281271 +13934518081 +18555190350 +18849507722 +13638417372 +15870109185 +18878969980 +15815638907 +13630044252 +15123079211 +13654141292 +13937731772 +18538333520 +15193017049 +15129763057 +15153354416 +15828734387 +13939473166 +13931925490 +18882791593 +15110053984 +13679802991 +15812483818 +18806897425 +13934481979 +18544503803 +13623632920 +15143708811 +18866448875 +18557735111 +15842599463 +18593778534 +18541043262 +18814969970 +13900347404 +13906203002 +15179660310 +13930351237 +15838549203 +13681642527 +18861972539 +18539028529 +18869706312 +13641133078 +15126128535 +15800771246 +13988497347 +18832655865 +18890435394 +18594502575 +18535655731 +18800286792 +13659318504 +18880412597 +13903374556 +18567291062 +13971513991 +18854173631 +13628529023 +13681807792 +13653403831 +18825359075 +18517151419 +15128100052 +13965401878 +18573739073 +13983157206 +13965218532 +13996166604 +15183121016 +15101995267 +18884129566 +13993560152 +15824649341 +18850136276 +13940408237 +13982287952 +13916647983 +13672620125 +15873856829 +15837274115 +13996464238 +18829928935 +13908251948 +13657851258 +15857480133 +15169893151 +18528356697 +18894198130 +15888144392 +18548086173 +18527382971 +13985393903 +15822597669 +15172938066 +13950507340 +18578420839 +15184889760 +13997520844 +13916140056 +18547841458 +13949643164 +18577825546 +18559326847 +13948881885 +15827851670 +18577997339 +13907215320 +13616558554 +15140074025 +13905018472 +13952872528 +18583876693 +15187972011 +18533858860 +18590661068 +18819341704 +18593843508 +18586284529 +15835999214 +18514047362 +13689919211 +13970711231 +15817962038 +15114881034 +13911112585 +18800583707 +18802049766 +18870932779 +15167435868 +15189610658 +13676042956 +18833021934 +13623203762 +13963550743 +18563618103 +13677759473 +15132278559 +18845294339 +15182358952 +18891946819 +15109504279 +13997836006 +15870613599 +13665607533 +13983419344 +15162254086 +13980348688 +15855656783 +18816746876 +18535894819 +13609103837 +18539240232 +15874012909 +18831893009 +18891943897 +18583542118 +18584353969 +13954237319 +18504209107 +13927990717 +18822135269 +13669412377 +13926860907 +15108777612 +18847376457 +18557270824 +15885011084 +18841192573 +15866789280 +18523507394 +18578868489 +13619683518 +18527795139 +18559857263 +15846213618 +18848761287 +18583622191 +18516591611 +13696070226 +18871199905 +15801290011 +13971504265 +13619123066 +15154963094 +13952298822 +13664870509 +15135402306 +18810361979 +13619107495 +15148816430 +15180868214 +15885967925 +13658603749 +15186028778 +18871495971 +15810492269 +13600813293 +18810977637 +18585580448 +18582172131 +15841379627 +18839443401 +15117825757 +13656489388 +13985853342 +18518572131 +18541674604 +13675142864 +15117131611 +15167356205 +13918783423 +13965215184 +18896532573 +18843310287 +15854844763 +15197353892 +15176944005 +18864695210 +15191952075 +18857382038 +18556465737 +18523660435 +18833326566 +13992229753 +15126056926 +18867256304 +15105170322 +18841653932 +15171574581 +13990925400 +15879032894 +18556003554 +13642292509 +18848367254 +13921210402 +13991393196 +13661253008 +15158119855 +13979453226 +13969221702 +18529333421 +15801622204 +18882511437 +13681968635 +18513885325 +18828293930 +13986604996 +15834542545 +13677702039 +13939922209 +18836178833 +15810549997 +13657028074 +18562470738 +13687506347 +13667575191 +13959118028 +13900317144 +18874374457 +18848452321 +13643898739 +18572908671 +13945554633 +13974344178 +15119500194 +13613190898 +15826754962 +15177493276 +18841068392 +15865993152 +13912737273 +18801001237 +18831090258 +18803724569 +18871352983 +15147857853 +15194900121 +15179348936 +18822247805 +13697262640 +13602348567 +15830371935 +13664940628 +13647913946 +18876875278 +15111633138 +15163438758 +13646602374 +13999166313 +13609224692 +18876681453 +18864244481 +13669480040 +18847465663 +13916945507 +13961425163 +13926417288 +18874632158 +18555050620 +18503733678 +18838753595 +13613308301 +13649364130 +18819839893 +13935569088 +18890703100 +18851783947 +15118111487 +13942988553 +15891117999 +18518418519 +18855487588 +15892348983 +13915759465 +13925953074 +15810270634 +13678707111 +13916630627 +18576548555 +15863277842 +15132167782 +18842698421 +18821560884 +18896960971 +18871717636 +13638735596 +13643470174 +13653104012 +18520781111 +18543842465 +15868207570 +15859973125 +13620869136 +15133745198 +13633712679 +13991449998 +15869086450 +18832986276 +15129619136 +13690745043 +13994995254 +15171823953 +13991356128 +15845985475 +13969500294 +18824437094 +18577364031 +15193495483 +13601440024 +13677139716 +15198506386 +15163047015 +18560752626 +18557184557 +15196552365 +13907730086 +15847489882 +13911586172 +15825342977 +18501439748 +15109117661 +15826497578 +18827903500 +18827125398 +13633162889 +18558587982 +18562445747 +13692984614 +13918368120 +15853167230 +15177643140 +13613721220 +13947172173 +15162289417 +13933001041 +18855823247 +13957423438 +18875493051 +13627695449 +15860879375 +18801946702 +15855669195 +13992538549 +18571096086 +13995536210 +18511119373 +13960815356 +13628616398 +15104915397 +13620193100 +15198123547 +15858034932 +15104468864 +13607104856 +18524258440 +13649482341 +18505125123 +15193788206 +15182404809 +15881970066 +15187210344 +15888022439 +18557017620 +15133562095 +13640722974 +18846597930 +18587232759 +13939663608 +15111882557 +13901502078 +15854874979 +18825227122 +18867777576 +13649512441 +13623548844 +13623166199 +13600528283 +13652131562 +13900264543 +18547970210 +15177257060 +13619515685 +18838604037 +15143495300 +18554831206 +18810727148 +18554022655 +18894048430 +15893623942 +13929463874 +13628888683 +13983636722 +13924267633 +15101241700 +18565582679 +18828627488 +18556541336 +18803760212 +18578396209 +18805969188 +15130026001 +13685586397 +18895862337 +15884517456 +13964168940 +18584338471 +15806420271 +15851595669 +15184567429 +18537278452 +15887825521 +18836482625 +13689310652 +18543866615 +13919601938 +13660009004 +18595353971 +18575747419 +13606117827 +15884961201 +13684205205 +15821199938 +15853919383 +15844069695 +15122979084 +18576869020 +15804383038 +18835727457 +13692507575 +15167984748 +13959063251 +15898075578 +13951936802 +15844117339 +13669056851 +15167707450 +18897716892 +13947040109 +15198288247 +15875387580 +18815476822 +15131537762 +18873038503 +18584281321 +18857717231 +15149793036 +13996408776 +18881563550 +15131061065 +13649515654 +15179605351 +13993086230 +13952577298 +13935105545 +13991589717 +15827782021 +15151016377 +15157559514 +13658075097 +18540717525 +18541839511 +13677379179 +13937836701 +13644167197 +13615511731 +15197909545 +13692727994 +13602713449 +18866551595 +18575382073 +18850175326 +13930076061 +18876759894 +15160481744 +13681496297 +15134095810 +18579261388 +13913882138 +15839710548 +13951895356 +13949279531 +13928575287 +15844363328 +13614330515 +13694797396 +15166728833 +13907264863 +18829721797 +18555606553 +13938745424 +18553244184 +13684959125 +13647859169 +15861406917 +13617781836 +13688742473 +13965313457 +18860066230 +13926974115 +15136955333 +15827250931 +18523891537 +15199791220 +13620289956 +18864411068 +18502253676 +18574726300 +18862308392 +15854405942 +13684350163 +13698223049 +18813531244 +18859798209 +18525975227 +15124011160 +15186814817 +13684529807 +15169290205 +13942944913 +18818542039 +13996523365 +13699430049 +13950365973 +18598668519 +13985996624 +18893260963 +15813010840 +13903684605 +18597238784 +13957568984 +18505389495 +13612551658 +18567366599 +18592536946 +15822504129 +13652136170 +13610705248 +13616410679 +18865446615 +18585578871 +18594821038 +15148411680 +18584744188 +18893431972 +13920943484 +13638109892 +13999592249 +15197169110 +18594262918 +15857969458 +15115666451 +18530389476 +15105122849 +13997799109 +15156763850 +13959481661 +18802753510 +13631140301 +15174971784 +18860639564 +18879234351 +15819495881 +13958023234 +15177516050 +15135025981 +13916933486 +18841267399 +15136055510 +13923396534 +13600022871 +18832442743 +13935550033 +15850586670 +15899177496 +18856285779 +13677521715 +13989151535 +18589859471 +18594069775 +13677617278 +15807660457 +13690034106 +18844549739 +13603245442 +18800739539 +13925674864 +13929170385 +13655156857 +18879557900 +15132940204 +18533857976 +13633758306 +13685930771 +18558835139 +15132992869 +18552350779 +15199446736 +13938953442 +13645317250 +13636116263 +18879315216 +18573155391 +13696582111 +18568975923 +18553214942 +13613459096 +15850076339 +15145104256 +18843854958 +13914756492 +15175532659 +15102826265 +13643525621 +13604985956 +15179594223 +15843846269 +13602320472 +18858728976 +13922901925 +15165608579 +15154376274 +15181317209 +18518128028 +13697946325 +15165980620 +18560565826 +15162059576 +15122555529 +13902228143 +18588603430 +15898928153 +13635317719 +13668175269 +18543908149 +15104752086 +15802776225 +13641335291 +13999970203 +13995016549 +18811053215 +18532152870 +13693799819 +13616920182 +18556657071 +15894864465 +15842690024 +18532019308 +13636523218 +18558633201 +13985423549 +18878086659 +18569138634 +13955808293 +18887601048 +13657336127 +13959481981 +18815260063 +15813961795 +13695775696 +18879746348 +15108361129 +18876499105 +15155169620 +18548833462 +13990914364 +15183111680 +15168405179 +18562361301 +13975986303 +18559901882 +18898227689 +13619796227 +18897888349 +13653191967 +13965916013 +13664563178 +18532525060 +13918616709 +18562303371 +15193918047 +15131292100 +15197831967 +18863118604 +18857557722 +18562273909 +15814444196 +13938620196 +15119990319 +18803578693 +15193508104 +18817161695 +13963339814 +15823258899 +13982025607 +13623690429 +15809508380 +15874512445 +13663406995 +18543668319 +18570927505 +18546402173 +13638742940 +15812458150 +13670286479 +15892565497 +13615462019 +18546008056 +13930369579 +18885049393 +15828444235 +15841804103 +15818919084 +15114806989 +15196852110 +15808676893 +13974291464 +15190830203 +15866830943 +15159526583 +15147011408 +13696538578 +15865847544 +13972652796 +18525722651 +15179105826 +18563329707 +13670311764 +15816152949 +18533842354 +18543955453 +15895227723 +18845770337 +18521054876 +13959030244 +13990694874 +15126054032 +15815998914 +15131879626 +13682556395 +13909628706 +18835163170 +13959487244 +15825978254 +13971030034 +13691656829 +18812225850 +15159189801 +15149657293 +13607082666 +18515974531 +15827112889 +15848913064 +18523429826 +18856039046 +18510384682 +13632630368 +13654796277 +18802745017 +13678964799 +18501528634 +18562304455 +18846352103 +13969921707 +15142590709 +13942432266 +15852302164 +15810979137 +15164742640 +18524807290 +15124752955 +15802246577 +18536750738 +15113455074 +13901571294 +13630165194 +13600010462 +15837080080 +13953129703 +15804309137 +18857579313 +15888640833 +15880173612 +13972855316 +18873101227 +13968068536 +18890882813 +18535992948 +15176446558 +18839367786 +15884221322 +13906735940 +18553643220 +15866447800 +18509387974 +13613834725 +13948832151 +13653919827 +13972132617 +18595385502 +18872791506 +15892378276 +18876095293 +15185963395 +18550214106 +18834173176 +18535856625 +15876564074 +13616099970 +18818273495 +18830399005 +18804448403 +15145038809 +18820723598 +13615652655 +15199700073 +15132665104 +13951631620 +13628275325 +18504668582 +18574202693 +13605785875 +18819963387 +15167220265 +15117831470 +18596196828 +13667418381 +18892616084 +18839843677 +18871266205 +18584814709 +18559178602 +13949062627 +13673133950 +13690711151 +18552098551 +15132048605 +18876777432 +13922129469 +13902550307 +18884792753 +15881743589 +13626202551 +15814661166 +15122925482 +13627003388 +18584985342 +18597629544 +15888804904 +18525591469 +13655508171 +18528649984 +13954247985 +18560191934 +18537848611 +15171646425 +13691772825 +18582501562 +15897300175 +18838309760 +15127018584 +18532047284 +13646037149 +13986109950 +15896068618 +18586055405 +18527232502 +18803408506 +15124191774 +15823516620 +18567377932 +13972914008 +15815829084 +13654970745 +15108869207 +18553309629 +18837136658 +15113097238 +18842603337 +15815706815 +13981113218 +13694272313 +18524792174 +15152651008 +13914167446 +13991665393 +15840074267 +13958126518 +18870643300 +18808210541 +13695945414 +15177203428 +15852709339 +18542621645 +13939010268 +15105961098 +13973125216 +15894865894 +18859048146 +13967850315 +13904270892 +15177845231 +13942330738 +13607856385 +15806071860 +13668506095 +13961256105 +13922880578 +18595611722 +13921597434 +18546403534 +18562767390 +18526699502 +18895162652 +15134882586 +15850394876 +15177689371 +13694503025 +18575947826 +15886499954 +18558482575 +13956002700 +13937980240 +15154665703 +18513493228 +15800766177 +15135232143 +18553501082 +13629570913 +13920974116 +15830734638 +18576223341 +15888229737 +18864601821 +15157199330 +13994060234 +15147326642 +15886566505 +18525214596 +18871549137 +18883642291 +15102835210 +13661720232 +15894846154 +18516238559 +15852832781 +13645723987 +15874757768 +13678283697 +15174778645 +15892365298 +15811980128 +18537377006 +15804757819 +18540023624 +13677142098 +18590964291 +15863233654 +18570513579 +13902393525 +13903326714 +18520023347 +15123388429 +15812034657 +13963079410 +18575668893 +13628515736 +15185265944 +13600034434 +13600618235 +15833650869 +13697205301 +13973163082 +13693662459 +13985857883 +13635745646 +13951169314 +13681266390 +13693898806 +18518023803 +18588865652 +13691540820 +15829941530 +15849293449 +18562560671 +18575027380 +15128953249 +18861455262 +15853330855 +18877505492 +13616271809 +15187888748 +13664282939 +18828205903 +15147205391 +13920009285 +15105587536 +15813389498 +13634449415 +18878874581 +15118880713 +15871014076 +13904796423 +15193409539 +15825903542 +18500119209 +18551716422 +18573084807 +13950026173 +13991649820 +13697992093 +13606899888 +18884565432 +18538846537 +15103132535 +15852712084 +15101609109 +13920768616 +18895013189 +13641133107 +13670012357 +18826277017 +13617044568 +13663629054 +13924692410 +13935787870 +13951384774 +18867103986 +13929776186 +15160151603 +18808880076 +13679292258 +15139657606 +13968256525 +15869640177 +18881498306 +18837618799 +18585518146 +15143167343 +18523814237 +18583577645 +18517968622 +15892660124 +13628092349 +13624160366 +18811312025 +18884455274 +13626384919 +15897877192 +13689439240 +15199593638 +15880228163 +15116037116 +13607913236 +18519987325 +15841210952 +13970501082 +15840013295 +13619717570 +13672601065 +13623446646 +13671057305 +18599359903 +13678749023 +13649318494 +13617672398 +15170981476 +15806258673 +18574561596 +15872987546 +18897515973 +13962236808 +13948776160 +18849299135 +18831032951 +13922547832 +13940185780 +15875944341 +13959935869 +15809509834 +13954098595 +15884781335 +15874551109 +18844925863 +18837513349 +15155272675 +13918864529 +18894001677 +18843572364 +18587938102 +15824707041 +15839400291 +13621968925 +15816529669 +18590752607 +18510099867 +13986874841 +18592800498 +13996098169 +15182088713 +18555950118 +13907763574 +15816209587 +13905755924 +18560757225 +13658607643 +18844136858 +15807425100 +18852271803 +13637256011 +13697517130 +13674022405 +18827542970 +13604127700 +15120457774 +18507589638 +13939825366 +18885920120 +13636357786 +15128095279 +15868834481 +18565483031 +18543761240 +18868546161 +15163602488 +15189427450 +18839207803 +13988110138 +15896957039 +13928972944 +13617985710 +13949608504 +13678506246 +18599297664 +15831907207 +15825354804 +13619157282 +15812345715 +15136234423 +15171604296 +18591295861 +15849788097 +18881497416 +18596713487 +13619140181 +13647529456 +13625343982 +15880890981 +13956052865 +15898120100 +15800572706 +18575534228 +18811271099 +18597331859 +15830977014 +15173308797 +18537036088 +15199730693 +15185901278 +13964191090 +18520249108 +15862838002 +18567686978 +13697752547 +13947287372 +18862099742 +15869726689 +18572481937 +18839710958 +13664607601 +15112405540 +18800504690 +13676488133 +18859987341 +15163532404 +18535945302 +15125665944 +13614261007 +13670748946 +13994033987 +15109261427 +18847852227 +15153595108 +13658280351 +18536555575 +15864727338 +13911937294 +18586618750 +13617723848 +18588086227 +18589005133 +13915112453 +18553682039 +15180153137 +15122629635 +18592398286 +15866303000 +18867560130 +18813856404 +13696754224 +18859709432 +13691357552 +18589205725 +18513688728 +18561610440 +15114461341 +18561619388 +18896642995 +13631394165 +18576660229 +13999224239 +13905720071 +18875549453 +18527371566 +18565621798 +13604637930 +13607592742 +13655821903 +18545758455 +18885287409 +13689344002 +15822392007 +15175416396 +18521779156 +15187766548 +13982508748 +13682422403 +13641526131 +18531482121 +15153829994 +13945742731 +13652402201 +15848071794 +15165039394 +13642602321 +18516138476 +15130852476 +15874430367 +18500822757 +15847985867 +15171598136 +18515231045 +15185238809 +15126478192 +18873123404 +13611384746 +15858893517 +13696933498 +15158361302 +18882766577 +13997290098 +13942476806 +15820986167 +13944581580 +18539928342 +18505561247 +15889780844 +18849219889 +15150870820 +15894745521 +18562151067 +18557999187 +13690551034 +18550458389 +15886537122 +18813403786 +15859602097 +18820133602 +15140868481 +13940619269 +18573443189 +15194084293 +15879923812 +18890035795 +18821391623 +15127336575 +18573189918 +15118942372 +15812854494 +15881413205 +13646014780 +18586881778 +15166836528 +13640192970 +18811983238 +13900725601 +18898118984 +13634765459 +15140433069 +18534549949 +15859376144 +13603939927 +13673609259 +18555838165 +13693193339 +13914402999 +13977514644 +13624928470 +15115230418 +18839586054 +18510293265 +15824286953 +18537777426 +15157104232 +18835092923 +18558387941 +18869985231 +18825747532 +18829617425 +18883330942 +18516308124 +18872419524 +18888366715 +15195830384 +18546548154 +18519117540 +18865211813 +13909835143 +15172170830 +15871391445 +13607051500 +15877432085 +18830409252 +13693820561 +18599045724 +15872582663 +13623934676 +13625647217 +15107681341 +15813340414 +15860611914 +13686522969 +15819086163 +13677273624 +15193698924 +18843222241 +15188855477 +13627944673 +18808804135 +13613843060 +15839566611 +18550812941 +18578795128 +18575634978 +13910989836 +15880456946 +15873810593 +18879518902 +13668996581 +13682976449 +18895320242 +18892182009 +13656020123 +13606053361 +18532226694 +13615093024 +18547152622 +18545011097 +18878088104 +15112716399 +13955566309 +15883138369 +18520932945 +15832467028 +15870777540 +13962084069 +13668895805 +13932409110 +13954046871 +18584547026 +18835261350 +18559296569 +18838488148 +18574322411 +15190890094 +18886694099 +15199250492 +13651744487 +15893651395 +13667376470 +13646194365 +18506455897 +13666056501 +15875910128 +15156886057 +18881053829 +13607352851 +15839355306 +13604901250 +18592678862 +15162333002 +15111885474 +13613172374 +13627516806 +15177175465 +13979653085 +18533176323 +15802061664 +15197378777 +18565156055 +13919451261 +15896221592 +18881474605 +15856524604 +15150438556 +13919210573 +13982437691 +18522556677 +15148565293 +15113971183 +15185249685 +15816332847 +13958347937 +15840755955 +13934397526 +13684374133 +13976232246 +15169082528 +18512031033 +18889475295 +13636663909 +13956560284 +13993030708 +13648779824 +18838776464 +13677048186 +18852161708 +18886549378 +15831575847 +13697875800 +13686898071 +15880321612 +13676464463 +18881549694 +13602062710 +18597637092 +18834181506 +13676574146 +13655587570 +15806858950 +13947112595 +15194547227 +13973503227 +13961183687 +18559876201 +15823955600 +18880709504 +18533606274 +15100854111 +15154818331 +18822843150 +13657841346 +18837596896 +15821239885 +15852034021 +18869070768 +15143519808 +13986896536 +15892260957 +13662261944 +13653877357 +18895769970 +15810965408 +13617919215 +18812039623 +13900958739 +15813947798 +15801675781 +18815286305 +18830522183 +15195908002 +18856227930 +15173051656 +13910319461 +13648692815 +18840560024 +18821894822 +15843365459 +18539759031 +18553244555 +18877918735 +13673626184 +13613842969 +13927957496 +15155940732 +13938934216 +13607570774 +15124522529 +13693016071 +18501906092 +13998900032 +15106992145 +15816603400 +15116827836 +13648329149 +13619352706 +15172742513 +15854337113 +18583361953 +18885476941 +15195333073 +13966203524 +15185032748 +18894598257 +15191911554 +13683437252 +13608408519 +18547432095 +13903173249 +18556424148 +13956473786 +15163420285 +15153493803 +13634576666 +18809167392 +18581541148 +18576322691 +18573934803 +15143334411 +18859310130 +13654938836 +18523699346 +13615492069 +18807914763 +18849159862 +15813355631 +13601071379 +13924696168 +13954700804 +18551228466 +15121639652 +13937119692 +15853579182 +15141456991 +15863486559 +13981934344 +18522678935 +13998540041 +13921246233 +13930845444 +15146627156 +15180225773 +15156133204 +13969695845 +13655861983 +15861347012 +13958631993 +15199476183 +13985840677 +15144751122 +13619036804 +15114153068 +13910626251 +13687247027 +15897222231 +18845023909 +15193827854 +15183110843 +13648277636 +18817402710 +13687973343 +18846547952 +13917994524 +13956052234 +13656796325 +15891283939 +15181427861 +13661030365 +18840046188 +13606666534 +13602209479 +15826727948 +15158378066 +18597822327 +13696821463 +15803343735 +13699404924 +15169359777 +13636581415 +13986820227 +18892741176 +18557900753 +15155699897 +15114558955 +13997752725 +18855438994 +18505449895 +18558781048 +13658746529 +15150242365 +13680145507 +15876422284 +15869768895 +13953516793 +13604524779 +13991497755 +18866640876 +18573859057 +15110844480 +18525594514 +13662339867 +13698931878 +13699775108 +13675965893 +18546806559 +13670734916 +15843342383 +15124177542 +15197928489 +18517720643 +18849127177 +15125945110 +18569020470 +18862103517 +18891278563 +15876881205 +15837357108 +13661529418 +13602734433 +15143649069 +18864675215 +15176117012 +18852305281 +18545241336 +13929455424 +13917958624 +18544363140 +13935889126 +18539073887 +15870680202 +15102237101 +18505903742 +18507145152 +15882378350 +18838231210 +15156089438 +18586273331 +18882699340 +18879547537 +18536506520 +15131661476 +15164316490 +15805084998 +13965998058 +15118324427 +13937830265 +13672098639 +13683748478 +13673959846 +15891911325 +18813375507 +13950534180 +15122974417 +13639465251 +13967740970 +13679885914 +15895917444 +18898335934 +15153199775 +15169226546 +15182204794 +18893573038 +18548918324 +15112443114 +15168510477 +13989632991 +15167322482 +13945578394 +13639988983 +15808633319 +15125120738 +13678674256 +13996976693 +15855949840 +15195468705 +18536842267 +13653953663 +18863804368 +13910395245 +15193931880 +18522531439 +18878861769 +13646526887 +18530338938 +18815213041 +18897922516 +15882446680 +13609649109 +15127070280 +15883304409 +15843561487 +18803894025 +18539169218 +18834391590 +18829607929 +18568151471 +18592533802 +15875155861 +18860404377 +18840200859 +18537039026 +15899399485 +15182664171 +13948889460 +13610310500 +18824791087 +18599468418 +15169601756 +18547468581 +13915176422 +18862453824 +15169330716 +13656212831 +13611504886 +18587962350 +15857624463 +18862003716 +13664222493 +18525507968 +15874049875 +15167099494 +15187065147 +15129071194 +13652790936 +18533734127 +15138486481 +15871827240 +15832401127 +13965744505 +18894414898 +13610946916 +13939779420 +18864984979 +18814573007 +18834415222 +15839771844 +13679691254 +18516532883 +13656968101 +18876097201 +18516937610 +18572214184 +13989461507 +18836598090 +13622825440 +15852475607 +18897790259 +18870092974 +15118079742 +13932894503 +15865497748 +15851798664 +18563505458 +13954561254 +18596051327 +18856761012 +15147624457 +15177619526 +15876771144 +13995530668 +15847820580 +13967432092 +18839181268 +13945417205 +18551933635 +13961233844 +13969876195 +15871328383 +13628259642 +15166047310 +13615266638 +13915272111 +15144022113 +15140147145 +13971151750 +13994355552 +15168976628 +13914187717 +18801923181 +15129037249 +15866594896 +15853067396 +18590701944 +15134400549 +18527684760 +13994831415 +18898203604 +13980584914 +13600639767 +18845933675 +15869631181 +13990969784 +15801420439 +15136143492 +18859172787 +15113422537 +13652587358 +13683990455 +15111107741 +18504252058 +13611777195 +13934727697 +15863305295 +13604380045 +15131225962 +18546071908 +18508958754 +13924816589 +13688700437 +13690769122 +18896101192 +18849123194 +18585504121 +15855960379 +13912471444 +15182777956 +13959916431 +15170842893 +18859294257 +13622681739 +13695954560 +15835008883 +18849902757 +15100448894 +15815511649 +15804680708 +18848118099 +15846191442 +18883680053 +18515883535 +15892090244 +18549354966 +13664891228 +18870912565 +13697674845 +18561465230 +18558695063 +15834071785 +13925511298 +18559266796 +13640174168 +15848379773 +15173267548 +18560373792 +13944624202 +15865815493 +18547355780 +13910110381 +18568993133 +18579704689 +13958893848 +13643395340 +18589759946 +13695158210 +18578046765 +13991089896 +13629025502 +13642012113 +18846145223 +13974959702 +18557874381 +18886380184 +13998533906 +18895514359 +18899161077 +15183011755 +15129809957 +13618430808 +13996209895 +18538251356 +18841061191 +18574270708 +13633843593 +13681639953 +18858425563 +13910273666 +15801842092 +18559009764 +15812831479 +13963792435 +13684173781 +15175676656 +15897466106 +18851225619 +13961491786 +13675366112 +13916518874 +18506919050 +18818279567 +15826394822 +13661667771 +18826960288 +18564720777 +13647417899 +18875983309 +13692448413 +18593231198 +13985397684 +13984002809 +18589510085 +15880327772 +15167540832 +13909508279 +15168388607 +18813069832 +13901733116 +18870945182 +18580569277 +15879567227 +13988981179 +15196428441 +15853733910 +13986943517 +15839522273 +15115960730 +13690502109 +13994703780 +13943072623 +15809476438 +18554733347 +18567981315 +13916362794 +15861259887 +15888899696 +15875852817 +13674770347 +18528901044 +18573964876 +13958626818 +15800628444 +15802620653 +18885669432 +15183300646 +18556729998 +13694168463 +18582500189 +13977604978 +13690365239 +15168869132 +18840273704 +13634252539 +18892433370 +15849767594 +18597026745 +18513856472 +18518046091 +18525307986 +18546093158 +13959286551 +18891407165 +13659897106 +13655313512 +18521637952 +15819193033 +15837424038 +18897232717 +15881286023 +15129849524 +13687601529 +13999463823 +13919251971 +15173681556 +15156710454 +13969383286 +13936216154 +13990793712 +13904037547 +13672338291 +15120211859 +13634494629 +13635977022 +18563701844 +18565026439 +13956012007 +13694384781 +13621163617 +15172018927 +15884920218 +13601106520 +18824682233 +18515149221 +15144623954 +18594043500 +13602947894 +18556215558 +13602142139 +15844511301 +15812247177 +18835421417 +18892923118 +18884922000 +13958327683 +13930096004 +13627441776 +13653041178 +18861349931 +18809645984 +15897612144 +13618559407 +15873532354 +18549308541 +13961084395 +13943197051 +13904231647 +15896353999 +13921553281 +18897529049 +13945019248 +15152451143 +15876554888 +18529485937 +13654780663 +18507141948 +18551890500 +15882186044 +18829665138 +18856694950 +18529264583 +18863812791 +18577494133 +18513048427 +15841312492 +15842705805 +15804978715 +18856709770 +15121135124 +13669513941 +15159067966 +13661710451 +18865406519 +15878136885 +18591925266 +13944720934 +15882286054 +18580558037 +15897155477 +13953686048 +15137018143 +15106794753 +15854314561 +18566151285 +15865891879 +15195596591 +15845469566 +15806575358 +18588254808 +15851559971 +18574584485 +13621149699 +18820302350 +13633295776 +13625260990 +13646785451 +13999397335 +15164805839 +15120804771 +15131502174 +18586512226 +15867491339 +15849826329 +13651356673 +15845601575 +15805416875 +18891493653 +18529858752 +15160019627 +18865876080 +13932502233 +15852531977 +15800975538 +13651008044 +15183777078 +13986667588 +13679492904 +13632486499 +18523528729 +13971742841 +13948080544 +15149707304 +13921097391 +13658818218 +13670971038 +15814525236 +15826441191 +13672296562 +15128139800 +18509104927 +18811855318 +18833372966 +13655282951 +18595633308 +13619976664 +13931424546 +15838123889 +13641293659 +18567327585 +13690097684 +18509715600 +15875614862 +13956542352 +18804691824 +13682482448 +13610139748 +18503070368 +18845984106 +15856086845 +13965629335 +13677826438 +15194743666 +15827959086 +13621410827 +18812264999 +13973618544 +15171285639 +18567393637 +13962229164 +18570463194 +18828499408 +18852783888 +13954146118 +15869277851 +15196794492 +18827477776 +13622483199 +15812443709 +15171332455 +15897885273 +13961697693 +13903026207 +13679149059 +13641475157 +15134605958 +15856580510 +15199010644 +15809293210 +13907614234 +13625950717 +18858253282 +13951000577 +15139615939 +18828536240 +13681577499 +13628388788 +15813439191 +18551681617 +13644780902 +13980998312 +18526530710 +15187293346 +18897949266 +13995753481 +13650357395 +13647465671 +15198289427 +13933709328 +13953592662 +15127388775 +18560821005 +18597787386 +13662705708 +15175728488 +13964696578 +15825992484 +18528897420 +13652949978 +15836686794 +13653835914 +13617659783 +15135938968 +18868040339 +15136217147 +18848832200 +15108345305 +18542439661 +13991411689 +13608842130 +13606595120 +13602692678 +18505672337 +15195013047 +15127165821 +15856831768 +15144312580 +18881910485 +18568874039 +13640616632 +15844763931 +18829737661 +13670279901 +13681036848 +15891975779 +15117055790 +18536186800 +18808481658 +13688409157 +15116561097 +15853141790 +15143974040 +15844984708 +13990661195 +13949075646 +15162208024 +15151969096 +13672289465 +13956837179 +13602013005 +13695334376 +13906010602 +18590584567 +18591789184 +18839376457 +13644330953 +13654246274 +18890364283 +15193035785 +15805098113 +13668399540 +13684579328 +13676042605 +15159317604 +18534569247 +18588878491 +13923691352 +18552413771 +13697198615 +13955804920 +18807174973 +18526311831 +18570992042 +13675196028 +13644289872 +15899606857 +18828290979 +18833252732 +18573747584 +18840243315 +13947974170 +18594651820 +15886573960 +13942929970 +13933735545 +15864022664 +15827599168 +15132828379 +15820339180 +15146737527 +13936604297 +18888925479 +13904182959 +13661368421 +13970533436 +15875619220 +18824997529 +15115813920 +18549149300 +15836436076 +18892632071 +15193520200 +13688695129 +15855959919 +13698347271 +18856818515 +13987580591 +15866909457 +13660495832 +13947826928 +18885710807 +13601764696 +13974435248 +18582219731 +18864717733 +13673163039 +13616126650 +13630235183 +15869993873 +15187175452 +18898488056 +15810543184 +18848335644 +18823651515 +18505787738 +15829836912 +18853374829 +15864697181 +15118157577 +18829981151 +15879473906 +15885436786 +15122012699 +13907983840 +18828835636 +13964788405 +15173351686 +15891632084 +13966274624 +18851632107 +13993441728 +18830140065 +15859080373 +15109970760 +18552594988 +13995318735 +15145802823 +18513933017 +15187653323 +18838898624 +13903713058 +15814818506 +13654188710 +18877856435 +13648423633 +18552323289 +18864202102 +18566403227 +15879199820 +15873963401 +18832100634 +13944773130 +13699378461 +13632270238 +15191452994 +18541688862 +15847449359 +18895262885 +18507567890 +13683586284 +15111298706 +13656331642 +13655761064 +13625528236 +13968459576 +15138495947 +13665518817 +13960291066 +18841198554 +18888802035 +18594030397 +13991747047 +18500725609 +18534540790 +15851915968 +18811939123 +13625152115 +15147126658 +15808637906 +13962540524 +18501156189 +18880811862 +13699652372 +13614091125 +13985041847 +13919122138 +15856037764 +15173620630 +18826105506 +15138281765 +15829544206 +15873690016 +18802788245 +13902295995 +15857825452 +15148178554 +18592862499 +18818994632 +15888689754 +15850630612 +15103228248 +15866373028 +15841322878 +13678014001 +13934762203 +15868183516 +13660904498 +13987603520 +18514519223 +15865457941 +18586475341 +13662100041 +18564057133 +18888266064 +13934712386 +13631795203 +18536329783 +18806130196 +15105145803 +15849525503 +18833424140 +15867503502 +15113268641 +13671629232 +13901958950 +13665637609 +13966237841 +13985420205 +13960472467 +13953678278 +18869414964 +18868116722 +13911935651 +15183136180 +13668710940 +18893397231 +15144645133 +13610187329 +13635367490 +15146540283 +15818079143 +13687551260 +13960346657 +13680332951 +15192591942 +15839302272 +15140215073 +13632269139 +13670652024 +15892486803 +18599690977 +18517331430 +13689366027 +15139142818 +18827418984 +18819172940 +18560998278 +15122505876 +18806078520 +15110203697 +15184261610 +18578185732 +13995807324 +18515182546 +13626948895 +18540854027 +18571728493 +18597678685 +15882311827 +18578055636 +18524343942 +15185597968 +15816092189 +13970193267 +15164235352 +18859374807 +18592439629 +18587727330 +18578392016 +15146341463 +18896709211 +15187542118 +13681642408 +18520778811 +15186779819 +15810023777 +18818139525 +18589030350 +18565984893 +15833102856 +18567863408 +15106562069 +13937675232 +18898842030 +15855255494 +13970536201 +18829841972 +15190557621 +13621105871 +18576913375 +18823203114 +15126077890 +18825183106 +13602888469 +13615863957 +13699470747 +18556920169 +15824294376 +13980202908 +18560480400 +13990364508 +18534004563 +15172392431 +13921051165 +13632538182 +13944144262 +15892633856 +15134238823 +15874956896 +15804181721 +18586711446 +18506882311 +15841698473 +15865021052 +18545957689 +13609544353 +18538539083 +15195267259 +13999979121 +15100871036 +13634288925 +15821735889 +15881300623 +18851585392 +15876244700 +18854045028 +15897634257 +13616558222 +18869301366 +13907135944 +18595869073 +18882415587 +18569261499 +15141565703 +15871421893 +15104122248 +13643531609 +13612968099 +18590538896 +15124016774 +13626268158 +13946320319 +15188457245 +15190037438 +15179665968 +18870308261 +18812278012 +15817467637 +13659239032 +13965541792 +18533176370 +15810807843 +13945060170 +15151978211 +13669221656 +18542290779 +15112253099 +13980427439 +18548451238 +18572086783 +13955702171 +18535988227 +15818354837 +13631204546 +13613653767 +18870982117 +15889252551 +18557720290 +18800389144 +15127875297 +15827614186 +18567182368 +15890302289 +13664192157 +18822586144 +13618198123 +18889527380 +13676051450 +13944731538 +13631175546 +13966351128 +15100388811 +13933550978 +15132211089 +15875786383 +18512992381 +15162568499 +15148102042 +13628530757 +13616826481 +13604292972 +15129096551 +18861591114 +18550943821 +18807947609 +15822956336 +18514668275 +15119197330 +18572887805 +15853073500 +18528452471 +18521674197 +18845692982 +13680991314 +15806765073 +18831035021 +13667009383 +13966339444 +13939686699 +13688478132 +13616070310 +15895049611 +13954934647 +15892623791 +18817935842 +18815569438 +13671733309 +18540150643 +13674268201 +13632512075 +13928927771 +13925301295 +15813687902 +15195205645 +15169028559 +18870662987 +18802434425 +13968512478 +15112747114 +18827316987 +15179514869 +13934967517 +15198996839 +13927264576 +13699798341 +18581472107 +15131064340 +15813731527 +15135700093 +15192129412 +15172154623 +15880445698 +18513699748 +18828331736 +18857185447 +18547665049 +13604282367 +15197562645 +18857746720 +13603954412 +18831887508 +13628364436 +15157339713 +18593125901 +15888145520 +15163639782 +18593176859 +15889077747 +15146149664 +15178292052 +13637705104 +13994681781 +18852551742 +18892924405 +13962299587 +15144724833 +15845049912 +18550836507 +18508175618 +13660496827 +13611044996 +15181085617 +13601748873 +18875954465 +15800978244 +13947841906 +18886897025 +18549044518 +15885669419 +15127900873 +15899822021 +15855426165 +18823258108 +18847471353 +15802486783 +13674489691 +18529474986 +18823108337 +18568302501 +18827530551 +15825899423 +15886257240 +13928436633 +13904911237 +15813835414 +15198726443 +15137600439 +13606592963 +15817030041 +15140403598 +18517495830 +13952648568 +15154002373 +13625632746 +15143264300 +13973226996 +15810881076 +15897752731 +15140076960 +13912984947 +18544204399 +13631138249 +13933155951 +13617734292 +15822267413 +13645572778 +15847246293 +13676756328 +15113307160 +18877640205 +15873321259 +15878116852 +18527952111 +15831819790 +15880348410 +13682204033 +15821268752 +13901395710 +18891894309 +15178416107 +18545358714 +13612018794 +15180602854 +18841583352 +13681554917 +13627006049 +15192091388 +13646797086 +18872625855 +18539587105 +18873528160 +18879251041 +18874581674 +18817075679 +15857032456 +18546393397 +18579612999 +15154616748 +18828374156 +18813579615 +18511206442 +13971098559 +15160863157 +13693962959 +13611447935 +15187481573 +13992933492 +15143002075 +15111670589 +15154590985 +18575376138 +18854719848 +15180015766 +13935498359 +18585549889 +15862429568 +18893074712 +18850426962 +18845573703 +15868488501 +13962702818 +18893636063 +13972572619 +15118922462 +15840958444 +18860322245 +18553460584 +18895526576 +13680869206 +15883279503 +18857501874 +18552309550 +15851578336 +15844790590 +18846588742 +18587565017 +15152493261 +15829265650 +15186615084 +13635256470 +13948431651 +18527090904 +15823574858 +15112663852 +15106440514 +13956861333 +15874691530 +13604042314 +18868938063 +15823564854 +13936472215 +18539968897 +13906348304 +13937689482 +13917988536 +18512524236 +18856051271 +15845285616 +15833945315 +13968869145 +18595687963 +15153994116 +13992220579 +13644280899 +18533541115 +18853230767 +18587556576 +18845943217 +18842717967 +18539775675 +18554261892 +13604099400 +13666478483 +18813993529 +18887347792 +15816325801 +15855697961 +18845808176 +18556107776 +15869584725 +15146301820 +18857173971 +13965755548 +13910765518 +13995373123 +15184626413 +15840078519 +15187287244 +13666374869 +18876906501 +18548655666 +13646374806 +15126556494 +18861335028 +15850668281 +15144427502 +15829668032 +13977342195 +13904009736 +18524403126 +13611954313 +13961426016 +15170660297 +18568870630 +13628333945 +13604876017 +15120189187 +18836628580 +13938659080 +18886104772 +15808459159 +15152315720 +15112555128 +13962482693 +15801079695 +15853409794 +18532425830 +13929338525 +18546182422 +18533692379 +18824254716 +13961124846 +18537198196 +18813660875 +18548128584 +15863543472 +15177856327 +13667453286 +13658302319 +15831754908 +18857806947 +18548123142 +15888406120 +13663128065 +18588992800 +18837596997 +15851929563 +15177292475 +15837501777 +15804298935 +15193547836 +15821066269 +18532561171 +13955717986 +18815356129 +15116255686 +15834606158 +18808994439 +15885053004 +15182554083 +13918906745 +18509181836 +15161680683 +15145749160 +15879628173 +15810523928 +13903633480 +15153106921 +18556527147 +18818003281 +15197232303 +13982425653 +18592764277 +15825547140 +15843800364 +13658669427 +15800486241 +18893083424 +18560845202 +13610987609 +18554988228 +13603475610 +15146724093 +13603726656 +13952476292 +15818026807 +15809949761 +18593796141 +15877797224 +13607635615 +13993399314 +18812586450 +13987902652 +18852792945 +18568881174 +18576158108 +15851901308 +18896293241 +15884233467 +15111636277 +18530385375 +15177948539 +15868606756 +18863789690 +13686577523 +13904768439 +13626564105 +13997480652 +13610888921 +18584829925 +18524832517 +13639788314 +18895793150 +18531700850 +13989719978 +13978314453 +18845529160 +15106792493 +15193454699 +13932924104 +18879532208 +13662489593 +18547949631 +15859917228 +15834746451 +15811594446 +13935788941 +15104003854 +13987606673 +18528494375 +13999317214 +15163336456 +18893071486 +18577530613 +13906016287 +18543746801 +18583190164 +15894677288 +13629112403 +18591687922 +13619218512 +18821173001 +15825609417 +18515947306 +15843627808 +18597878714 +18589944185 +15192791197 +15159745919 +15894798470 +13615619427 +15170271818 +15117245181 +13605003121 +18877440953 +13923434921 +13979142404 +13959541694 +18870879972 +15827285894 +13969180535 +18520178542 +13930336862 +15194737297 +18519210891 +18587872962 +13920548750 +13683400288 +18881031520 +15882489322 +15845273757 +15123049518 +18893694638 +13642912622 +13693540375 +13974465432 +15881483910 +18532897258 +13981016071 +18500893321 +15186300273 +15888507301 +18557597595 +13697983620 +15105531363 +13677278525 +18848014520 +15868429651 +15852684616 +15894567067 +18598241200 +13987345177 +13926695025 +15180623852 +13987594777 +15146292796 +15806311180 +15889427369 +15889329115 +13625057998 +18568483010 +13936157992 +18823660946 +13931641032 +18807499670 +13660908211 +13662283013 +18574082026 +18511672110 +15850260378 +13905130364 +15872228990 +13990935056 +18533391244 +13980771322 +15182090384 +15837264585 +13696273837 +18843672751 +13672430726 +18585969031 +18811644014 +18538589150 +18587773332 +15826322587 +18817279936 +13959891751 +13945932572 +15183683626 +18577317867 +15180033172 +18882871712 +15893187988 +15815869799 +13919330620 +18594349189 +18575085494 +13965310727 +13633100191 +18878117181 +13609302845 +18839481229 +15873206397 +18851071704 +13697576879 +15873317864 +13605569910 +13955389601 +13658035947 +13936625918 +18883049375 +18819602998 +13699105194 +18590344099 +18886792273 +13684796277 +13966188865 +15156165456 +15894145648 +15880883875 +15167322147 +15185532174 +18591351549 +13963803808 +13985713275 +15106309509 +13900481274 +18821238712 +15114465601 +13982245845 +15123676590 +13656713095 +13620825812 +15827788382 +13916551422 +15101383106 +18852738358 +13674171200 +18595094657 +13673893560 +13626070319 +18507438131 +15833166830 +18588939133 +18885900439 +15836892686 +18818076680 +15130765429 +13906671142 +13698164270 +15897381905 +13620064323 +18839562546 +18586589793 +15853127804 +13638322154 +13946767970 +18586356599 +13925580732 +15165430404 +13910356349 +13603970834 +18599089487 +13940024308 +13652540584 +13642139059 +18512681848 +15803620057 +15843219327 +15840159732 +13932007207 +18851713853 +15110069977 +15872732471 +13602681651 +18816114358 +18898935484 +15104883585 +13971750893 +15826107084 +18834540324 +13622333964 +13624911497 +18851114676 +15894037325 +15883526154 +18866877918 +18814782778 +13676327113 +18850630725 +13994728024 +13982871755 +15158505030 +18862631192 +18539515374 +18808125597 +18521986863 +13656852923 +13931337280 +15847085256 +18503405032 +13954980313 +13994886978 +18578973073 +13676526308 +13663341342 +18808469149 +13643094409 +13937519311 +18803917046 +15856287065 +13686777879 +15185838299 +13916642073 +15852612396 +18852718486 +15889822375 +15196162022 +15127970736 +13948853998 +15148998796 +18535916530 +13694142701 +13647988977 +13652645208 +18843940492 +15113870949 +15845551945 +18886695344 +13972639690 +15816123454 +18803912104 +15121751286 +13950826030 +15880925696 +18888515728 +13626738522 +15858960048 +18542549741 +13607246431 +18597211902 +13655647867 +13913235497 +13655132986 +18573107393 +18557147917 +13609018267 +13614282147 +18897949431 +15197183602 +15847451509 +15859864664 +13977515970 +13606315250 +18514799847 +15185754527 +13655814411 +18855253472 +18573894700 +18896047139 +13697973749 +18581898361 +18579142286 +13961094489 +18583256308 +15832579095 +15172456855 +15134298966 +15178954840 +15828160826 +15899704326 +15177250797 +18527292911 +18841937310 +13608109987 +13652404944 +13998836581 +15848080915 +18802487156 +15860285718 +15866157503 +18826538893 +13967738374 +18894555641 +18542074217 +15849783283 +13631349599 +13672169460 +18588578226 +18891139517 +13669340875 +13691281515 +18816178213 +15814367422 +15135884777 +13907433892 +18513384422 +15863598009 +13603926576 +15188609156 +18826496442 +13992495833 +15189758479 +13643678524 +13677863991 +18837886629 +13957515392 +13614484951 +15879797229 +15114876455 +15812133621 +18574857302 +18543466140 +18587851386 +13994275967 +13902834453 +13643995424 +13950835694 +15857990899 +18830112371 +15199912343 +15809135606 +13916300955 +15143334875 +15853337562 +15872841401 +13624370516 +13611798348 +15873879997 +18828903431 +15823076596 +18566520715 +15177267755 +15853558001 +13949098077 +18874394660 +13910576522 +18526951049 +13605436606 +15158164477 +18849378641 +13655453969 +18899412477 +13691478438 +13940288390 +13968421050 +15150235095 +13916610710 +13610797700 +18844888252 +18570456428 +18596373383 +18812691465 +15141223079 +15823247849 +15172096858 +15874520000 +18812033848 +15169368232 +18871303921 +13976725304 +13988036826 +18879148019 +15850597999 +13600553343 +15859320979 +13644977895 +15126390924 +15135405453 +18526255938 +15811797166 +13653994524 +15882083196 +15812193668 +18513006575 +13665862270 +15861141028 +18828560645 +18506931167 +18851540545 +13954131815 +15188691183 +18845297480 +18868519322 +13967928182 +13677366151 +13633668556 +18894455012 +15137243165 +18540736182 +18568069025 +18847289523 +18562814401 +15805153610 +13633860883 +18821576355 +15842811634 +15833096994 +18853067890 +18545728388 +18866366108 +13628087079 +18511086501 +13645560237 +13990305003 +13955841283 +15886992668 +18531720082 +18507472275 +13959466127 +15117798012 +18557484331 +15823904070 +13681738906 +15867867547 +18596618433 +13638005462 +13935084142 +13655511803 +13942862426 +15107015981 +18526163252 +15879510938 +13946408433 +13604894807 +15880925443 +15194190724 +18862665616 +13940046161 +18520981676 +13926864576 +13981238409 +13907742089 +15874716123 +18599647462 +18525416318 +18593249827 +13953078089 +15879088260 +15849818850 +15191401667 +13927065757 +15160911490 +13969229361 +13956227406 +13617149579 +15141365027 +15160893868 +15863299368 +15147290753 +15104712410 +13639095103 +18892598966 +15183382580 +18850328983 +18582483607 +13996453276 +13671865982 +13938597480 +15161370107 +13929524411 +13691377871 +15829982523 +18814001554 +18839799140 +15887541159 +13640571252 +15804407638 +13921405607 +15885076044 +13632516355 +15882978351 +18866000305 +15164472059 +18818881999 +15188599607 +18865900853 +15873827422 +13915599387 +13640261164 +18843401332 +13983919437 +18510538559 +13975419280 +15836674238 +15833773105 +13902570090 +18829510164 +15848635325 +13654505776 +15106464209 +13917552472 +15140095310 +15194542080 +13966617271 +13953627564 +15185128703 +18892609812 +13654327180 +18559173371 +18566289477 +18869623079 +18886447549 +13621429666 +15819779576 +18802387608 +13931149017 +18888483328 +13950431993 +18552591400 +15825301417 +13663740515 +18550625248 +13961896031 +15197342238 +18882649305 +18837854294 +13915952153 +13910063348 +18555002578 +13688668076 +18511351147 +15105123217 +13973278002 +18874450293 +18817815747 +18880253372 +18585127026 +13613701770 +15107760892 +18562995524 +15824172772 +13630142789 +18804914759 +18897671516 +15886224853 +13920195038 +18817770101 +15858102335 +18556444190 +15169974717 +18822670837 +15872978115 +13644993009 +18538783701 +13995835914 +18539095873 +15124125207 +18554493245 +13661448782 +15821076998 +13977470116 +18809706696 +15865254566 +15854426102 +18820123372 +15188954338 +18827205801 +15863602707 +13944963562 +13682043073 +13613296679 +18586704270 +15153776556 +15815910187 +18534191340 +18526769202 +18810394494 +13979498411 +13696430122 +18578443647 +18883559986 +13669742049 +15867519342 +15820289992 +18828350130 +13994510268 +15839628313 +15874316492 +13928742776 +15898142850 +18871185354 +13900516136 +15845932227 +15818131502 +13955244013 +18587872812 +13662020819 +13970297302 +13681598510 +13991211135 +15841853033 +13600165673 +15806010726 +13682269844 +15877089051 +18808393080 +15115574382 +18508136862 +15129187531 +18545449066 +13621384745 +15882304859 +18895135246 +15801925720 +13952404352 +13950817589 +13975593554 +13640527522 +18808330362 +13966576763 +15188910913 +15802667059 +13935699875 +15865244848 +18867926056 +18890444899 +18897373805 +13902265144 +13911724711 +18522444771 +15142992119 +13612775456 +13947791604 +18885856687 +15870658976 +18500257985 +18836867856 +13601378080 +15835938723 +15192546001 +13915193510 +13956383103 +15823400647 +15131251293 +13956603739 +15132992341 +18542903345 +18582686582 +18841766335 +13660644244 +13627794078 +18503056061 +15804244916 +13926208337 +18825749444 +13979235194 +18816182545 +15887717335 +18864476052 +13953971974 +13682700054 +18554247653 +13614916126 +15817699204 +15128227907 +13682610152 +15853795486 +13996191554 +13929994579 +15154035785 +18812599183 +13688857913 +13978533073 +18805780391 +15823573245 +15832295187 +18584977704 +18830364196 +13961830798 +15122695069 +18584197161 +18556021675 +13930626142 +15896847053 +15193321066 +13922788268 +15856414366 +18520123232 +13989125300 +13933962903 +15164742455 +13694736122 +15840565458 +15150330910 +15110418914 +15114481575 +15170667059 +15169067265 +15125000392 +13971657088 +15151249038 +15120375527 +18818972428 +13918328182 +15147882724 +13600372622 +15197087175 +13621802470 +13933220404 +15810552719 +15184673914 +13937027189 +13983448309 +15832261229 +18823215948 +13926793558 +18535570194 +13619929261 +18853839792 +13604756014 +18593450506 +15817563730 +15888556477 +13625942751 +15115378053 +15191649849 +13602929576 +13911156935 +18819868702 +15104268947 +18506472370 +15108588534 +13658592712 +18510389660 +13661913105 +13925604550 +18596616396 +13664249931 +13947536681 +13990133704 +15887653945 +13666803107 +15162025064 +18532190613 +15847582815 +13956822464 +15895902888 +18537677246 +13950706040 +13961264015 +15805876225 +18863607136 +18562003063 +13963952393 +15192689942 +13615123794 +13995881397 +18839420691 +15802189004 +18562062301 +18502727414 +15198151613 +15117021905 +15103323900 +18899680148 +18873875591 +13973954483 +18823196172 +18552094882 +15837546243 +13953526939 +18823530843 +18538442318 +18591230310 +18563598275 +18823947650 +13989437666 +18595249527 +15145356388 +13942217180 +15847967159 +15883417333 +13648022112 +18890839994 +18568408641 +13937618772 +13603420248 +18819493258 +18566986681 +18587040088 +15819545183 +18834124708 +18552712038 +18548469013 +18899701504 +18853134312 +15188697325 +15134877202 +13630954512 +13612479288 +18554697686 +18502539421 +18808046296 +15112488533 +13677002418 +15888039772 +15813785304 +13991745788 +18860696721 +15802581727 +13959032978 +15175933820 +15112850268 +18895440891 +18500441177 +13977511103 +15188634657 +13615477889 +13637878469 +13647299982 +15830874681 +13698040837 +15841607912 +18892594882 +13936856961 +18897385150 +13651493297 +15123715072 +13995283383 +18591750245 +13679034508 +13911525181 +15823878853 +13948192103 +15876385608 +18827964869 +15862870201 +15115779977 +13905104148 +18540765333 +15844911287 +18572859772 +18811105282 +13685919720 +13658289242 +13939471729 +18521142154 +18540328280 +13995501453 +18892935610 +13985652064 +13614018899 +13664577250 +13930382447 +13673492250 +15126981351 +15184384387 +18884717520 +13941497358 +13978392139 +18535162273 +18577635283 +13959965535 +18505773101 +13642122533 +13976854410 +18572792425 +13986406668 +15167416981 +13937585759 +13640038093 +18540904649 +15113236726 +18538396123 +15819862132 +15817652580 +18887223360 +15185604688 +18510739458 +13646979319 +13993385368 +18861662488 +15877085345 +13677583835 +15863133410 +13970879271 +13995032316 +15837673735 +15125001606 +13632092386 +13947866897 +15163277239 +13658893686 +18511396010 +15159831063 +13633615236 +15126804514 +18823205134 +18866640330 +15873676588 +18508960034 +15113530997 +15841019422 +18502772179 +15836427261 +15811078315 +15873892115 +18868204306 +15843311353 +13693018782 +13980891892 +18508229552 +18510016276 +18830893203 +13642987426 +13675120239 +18589379211 +13612285256 +13966005542 +13647265088 +13976954056 +13902295205 +13628336644 +15893921585 +13637521048 +15836454053 +15820137420 +18574900914 +15809582664 +18836351590 +18876511783 +13685058849 +15877816124 +18854413214 +13658117542 +13969758600 +15821256362 +13604022751 +13663789421 +18861419871 +18525872754 +13944029146 +13991059387 +18599319241 +15190684489 +18535610204 +15126351275 +13694589105 +18864335724 +13976617189 +15836751559 +13614646798 +18547057196 +15861814427 +13902911708 +13621200842 +18899459244 +15110666202 +15802576772 +13979302026 +15180294683 +13667223221 +13600017360 +13941323916 +15185782564 +15871950316 +13963847694 +15870169196 +13665174112 +13614835234 +13652072953 +13960461794 +15894066160 +18823782238 +13611838198 +13603137547 +13671742752 +15130861996 +15866487384 +18558727292 +15157988819 +18586455717 +13918474051 +18812115163 +18549985692 +18816593495 +18897466418 +15820318232 +13650586738 +13617035633 +18538992616 +15838930027 +13674212208 +18584056992 +18888456033 +18532434629 +15869108154 +15850057981 +18521831624 +18585618854 +18882696635 +15138722203 +18810777174 +13907705720 +18896960266 +15808229183 +13601510723 +18520271809 +18869902107 +15899182187 +18533597993 +18566650558 +13660140290 +15193186086 +15173325225 +18557970222 +15163230473 +18820707932 +13988123154 +13973910780 +18866032493 +18528342192 +18553206552 +13677084254 +13994968064 +15189976179 +18873071005 +18868931244 +15190152542 +15160243355 +13906848375 +18543320995 +13671602050 +18886272236 +18599197080 +13620463475 +13607281531 +15193276049 +18547143355 +13672895454 +18839042039 +18523750320 +15871875120 +18801497411 +18820282461 +13920719085 +15173400625 +18827892669 +13921381970 +15166621228 +18886522258 +15810991555 +18817013704 +18864272741 +13927898703 +13603547557 +18878915218 +18556721057 +15131417439 +18855367825 +13667438818 +18530883563 +18520557103 +13618248441 +13965908000 +13990471369 +18878934017 +18832725357 +18595887992 +15887241047 +13989120163 +13931686671 +13952012368 +13931024115 +18597670229 +18538822481 +18585266664 +15820274485 +13954127007 +18815976768 +18851968477 +15118263010 +18528067974 +15832636550 +18870687981 +18545477028 +13972750186 +13657921746 +13636487430 +13604237908 +13986869048 +15898378043 +13667903003 +13922002381 +13928883490 +13622530914 +15890374554 +18514175594 +18891007670 +15137185906 +15149210968 +18888929110 +18555330714 +13906180476 +15896044945 +18581249153 +18528739411 +13984378852 +13681559192 +13684727166 +15103479148 +18579538208 +13905307013 +15106090298 +18511195164 +13918306252 +15109503288 +18575026536 +13670215289 +18557488489 +13945785202 +18502192782 +18594171218 +13610352818 +13611628472 +15167300363 +18805479676 +18567639036 +15846313019 +13906090769 +13616187614 +13642846205 +15814918813 +15832474523 +18546596907 +15142741015 +18574556123 +13970489937 +18819407764 +18827744195 +13699802226 +15827484344 +18528966741 +18509560580 +15813957008 +18533268243 +13675951063 +13911075329 +15152845589 +13988922213 +15128633328 +18813286577 +13982918509 +13643053183 +15172864978 +18551116001 +13993186581 +13621431773 +15813288257 +18804970162 +18577784835 +15146326055 +15153118151 +13677839806 +18523170984 +15172343827 +18519311630 +18583685927 +15129563858 +15104194955 +15896930804 +18512216734 +15133065326 +18819171294 +18532469052 +18566813951 +18546316820 +18537284112 +15843260264 +15887300991 +18826254100 +15105856425 +13902359883 +13617730157 +15167648777 +18559903183 +13944830705 +13922934797 +18810495572 +18898977416 +13937418254 +18575655898 +18599094694 +13677513988 +15883785544 +18821386514 +15831297828 +18579988309 +13689807178 +18889577548 +18564351717 +18584337914 +18820942271 +13699743547 +15177239367 +13662867102 +13928756316 +18589307678 +13955453689 +13998573288 +13682411044 +18835268639 +18853902143 +18509384969 +15189417149 +18842038768 +18866691422 +18850104557 +13636252901 +18575743941 +15890643145 +13939452939 +18810249572 +13668465493 +13987316878 +15100971521 +13602261273 +13627079142 +18572602839 +15836115480 +15117317745 +13924673288 +18577884763 +13644719710 +18861102026 +15817221056 +18890195962 +15861552141 +13607006800 +15196596717 +13910081803 +15861935291 +18552109942 +18512829255 +18599990640 +13613156004 +13613786149 +18529173058 +13604838499 +15852321269 +18813641750 +13966203302 +15834199473 +15195850910 +15829557929 +15866649974 +18819866101 +13644684128 +13622177101 +13935836320 +13666207380 +18877745432 +15132801867 +15165498184 +13910929718 +18558760273 +18810804792 +18883284192 +15191671978 +13632415414 +18889914449 +18868373534 +18515753281 +15828258845 +15160908684 +15164582598 +13686500850 +18540130597 +18806799734 +18871488607 +15123496775 +13659663605 +18563883475 +13689876469 +13951268812 +18597777099 +15198515440 +15897424430 +13675415305 +15885654385 +15807806296 +13668203406 +18859635345 +13908038185 +18891432712 +18522055798 +18851178510 +18584115434 +18882039200 +18574048399 +13998801267 +18504084315 +18578134225 +13600222041 +15181017211 +13923979370 +13954804672 +18898047053 +15199479782 +18864941237 +15804175490 +15156128726 +18572533083 +18573719811 +15887127569 +13662247370 +13687186737 +15803608044 +15832608319 +15850476441 +13693017912 +13635127058 +13674864497 +18868532833 +18812610867 +13685995444 +15851344080 +18849921279 +13990216206 +13675272453 +15130241806 +18545654614 +15872106963 +13972044383 +15836845300 +18537063435 +15891079118 +13650378437 +13678548705 +13663926154 +13684441513 +15163810056 +15159352737 +15130120791 +13617640350 +15160057398 +15182984443 +18583686726 +18868063505 +13678425436 +18583662520 +13648548525 +13695618967 +15864783883 +13957837281 +18800028872 +13621841588 +15821137293 +15152370729 +13641120096 +18807012103 +18889780863 +15827641875 +15837682825 +13945948181 +13674817562 +15870552074 +18512538276 +13994182108 +15135758779 +18550383257 +15811451601 +18872425382 +15172631056 +18846717687 +15146670475 +18807451066 +18555958145 +13687554502 +15126061607 +18534415423 +18874158832 +15139452735 +18821839613 +15889151897 +13602774922 +15826827773 +13942795682 +18870407588 +18819423832 +13656945791 +13612947615 +13654888809 +13911492591 +18553877034 +18518137541 +13693799274 +18598781634 +15184029671 +18519779575 +18899690462 +18829196359 +13663220042 +15856849353 +18883988176 +18873941919 +15858436267 +18827504019 +13996751535 +13600797698 +15851795789 +18842370211 +13659836972 +18833067088 +18853089184 +13971416291 +13960591283 +15109093480 +13614566107 +18536322474 +18520013861 +18558203403 +15833744325 +18835702235 +15882704342 +18523397820 +13971036922 +15889960467 +15194878945 +18861804131 +18892223129 +18873473004 +18590497617 +15118535564 +15109590490 +15148022260 +13953670957 +13951223454 +15113331833 +13683095346 +18830684873 +13681582089 +13964689069 +15172596295 +15836986570 +18873216452 +18548991281 +13631062471 +13680628301 +18837761734 +18574453962 +15170932668 +13614329280 +15857034923 +18818364043 +15115206194 +13666145932 +18572735923 +18826253418 +13931998262 +13603534543 +18891778931 +18807131409 +18583911484 +18567108342 +18877148299 +13697228143 +18575975381 +15819014138 +18585670788 +18895872216 +13998324371 +18523069990 +15158320381 +15111839176 +15101612134 +15870234863 +18520811295 +18540631212 +13961137829 +15806002119 +15125986714 +18520920776 +15831043157 +13971254856 +15106171319 +13993432370 +15111487593 +15157866310 +13992165202 +18582972228 +13900279261 +13648582862 +13629622951 +13624997149 +15827854810 +15847066234 +13922869738 +13630959292 +18896239339 +18831121251 +15821300568 +13652406849 +18810784797 +15836529697 +13955742961 +18531279750 +13694805554 +18548603200 +18823031623 +15852918545 +13616176668 +15127019631 +18803505420 +15899571145 +13613956144 +13645766046 +13975088598 +18544206701 +13644872378 +18805623116 +18825841355 +18589192863 +13680446038 +13945575783 +13661701929 +18569526050 +18555223769 +18561765068 +13653136822 +13984817078 +15176838804 +18831357848 +15103530000 +13637532819 +13951329548 +13912506943 +15160331797 +15850002939 +13695901408 +15140702029 +15848733588 +13660275494 +13670917004 +15860578867 +13640201107 +18822305502 +15898550195 +18852751281 +13607308337 +18569675402 +13995964892 +13991357951 +18532840890 +13613218439 +13616697745 +13931858997 +18554671304 +18543117574 +18858528695 +13996585419 +15838144653 +13621312517 +18561132440 +15124923551 +18508348146 +18801581856 +18547871722 +13957196540 +13687250593 +18564792042 +18812518928 +15189713543 +18507885673 +18869623804 +18873719452 +13947745834 +18540365659 +15189096646 +15884603894 +18882558961 +15121210771 +18559505023 +15191470939 +18549228866 +18899793688 +18526021109 +18587378581 +13917074836 +18510388052 +13658052012 +18581214184 +18597666304 +18825102667 +18553078687 +13921635473 +15804701257 +18816228837 +15823110709 +13610501620 +15889771622 +13658404184 +18894216416 +18896506701 +18589230858 +18850701815 +18898242805 +15155289135 +13998168785 +15848495655 +13600896590 +13672553493 +18843980083 +13637033817 +13972136416 +15107182966 +13987912520 +15140067367 +13919349117 +15820418919 +13642627364 +15118714638 +13627122398 +15815472996 +13684644746 +18508580753 +15175492482 +13673547870 +18515833591 +15173021461 +13698719510 +15870715686 +18836371849 +13698521423 +18546577424 +15131971089 +13683772851 +13650685697 +15893846137 +15177530108 +18866793180 +18591137779 +15166047767 +18549413370 +18869394839 +15141818959 +15143443983 +18537020942 +18887756066 +18800949460 +18596127397 +13676126734 +13940667277 +18521110899 +15157861846 +15114295049 +13614707398 +15874315087 +15198254104 +18533320160 +18827217960 +13682178684 +15178751904 +18881515903 +15893238932 +13607839118 +15142309124 +13997255705 +18892079569 +13660193754 +18828359328 +15851107189 +15804934563 +13986576427 +15887922335 +18844657667 +13943818423 +13641471510 +13922492935 +15155683819 +15128274923 +15160959989 +15842680793 +15168751997 +18849044504 +13635542781 +13657238437 +15106537282 +18817732565 +18501261990 +13627568926 +18577820437 +13603567864 +18879267954 +13909889958 +13982892071 +18841039507 +13983026016 +15160429784 +15805760338 +18873680610 +15136217778 +15165331015 +15883939914 +15858104977 +15813583274 +18834034236 +13628183015 +18558713221 +18569747043 +15848101004 +15143072775 +18505165396 +18542695368 +18822694818 +13600503560 +15130190608 +13623878948 +15137062315 +15805102496 +15131068292 +15137841635 +13603607017 +18840615605 +15847007476 +15121859450 +18502124156 +15898149135 +18570277363 +18894662950 +15105641980 +13993840042 +13911881435 +13971708313 +18807841744 +18896053756 +13930976362 +18541281497 +18831091811 +18533404203 +18807431353 +18873563560 +15878022750 +13606110727 +13662919089 +13958242052 +13636887364 +18861010806 +13674899470 +13664525430 +13608917185 +13636631385 +18819180106 +18571055858 +13936483207 +18559328093 +18849759979 +18529699898 +18549533424 +18552485244 +13991515142 +13689922566 +13995463400 +15850203417 +15800913566 +13923222756 +13983366656 +15899146038 +18883100227 +13932066701 +15137412253 +15182679642 +15888765855 +18884948780 +18808401349 +13636272356 +18513740550 +15143124781 +15804590118 +15183147971 +18532810427 +15846040443 +18812785967 +15137170309 +18500219065 +13692049691 +15819989985 +13948116546 +18574177867 +15135242764 +15149736249 +13683905226 +15803368615 +18886745350 +15171386291 +13677693363 +18591452636 +15800248685 +15117154504 +15192732269 +15848812057 +13630841868 +18882746439 +15120592675 +18863965606 +13655428793 +15177673092 +15112274515 +15176617190 +18863612733 +18520049656 +13985594887 +15818970451 +18505427341 +13641375851 +18545185456 +18877706781 +13918967791 +13640363838 +15106922489 +18515087649 +15164922693 +15807223432 +18565179137 +15896908750 +15858644520 +18879051957 +15895296478 +15178431167 +13924534219 +18515644075 +18521658880 +15169910566 +13671793798 +18589847150 +15826812387 +18593400374 +18855789833 +18854597819 +18829020905 +15155108092 +18877455502 +15111893887 +13901356866 +13901859961 +15122941498 +18813258031 +18540682138 +13679225731 +18528568963 +18864680922 +18528361029 +15167113517 +18847207241 +18873668666 +15808519109 +13935540244 +18843659918 +18801711690 +15117975950 +18844655075 +13912043043 +13911541964 +15870903806 +13655020681 +15878535197 +13927346920 +18501132075 +18590940330 +15849543310 +18557601603 +13922456114 +18577466341 +13665235083 +13658705520 +18834288745 +18818360921 +15800461243 +13682112953 +15153653273 +13627045914 +18543901744 +13973141833 +13921377357 +18830120027 +15126816971 +13930476755 +18507347011 +15867326163 +18894500814 +13611186550 +13959058141 +13941276481 +15893404889 +15183449677 +15863365129 +18806222520 +18824564762 +18893430061 +13632668156 +18568612671 +15837506351 +15147029635 +15193375264 +18504723208 +15168056231 +15111022942 +13664147272 +15187009623 +18884544725 +13974274020 +15165814530 +15101830806 +15871998485 +15895791387 +13623253499 +13672102355 +13912335078 +15841145953 +18856923153 +18839530361 +13679091764 +13606770182 +15142591642 +18843208266 +15800936909 +13949753514 +18522020144 +13604302482 +13937510318 +13690897371 +13642964154 +15109088561 +13674568629 +18571019253 +13674639552 +13986756793 +15129797853 +15140460743 +13613609503 +18528303285 +18859240891 +15141452480 +15809713083 +18879356326 +18895638441 +15157712929 +18520061893 +15880698370 +13621728748 +13909199060 +15136125068 +13636287803 +15149270140 +15199782928 +13955952619 +18808607627 +15887368637 +18892808942 +18815363687 +13658176965 +15800424260 +15842131698 +13652310124 +15106238053 +15117720138 +15180325580 +15174832460 +13638973141 +15194047436 +13904319181 +15136366851 +18537907564 +18834069536 +13936566910 +18586933283 +15157787511 +18543747361 +13650378673 +13615834696 +18845918089 +15886325319 +15180463957 +18823020108 +18858187950 +15801116229 +13916863422 +18823203824 +18861424917 +18573464464 +15868178205 +13950333890 +15128159965 +18881394019 +15165364822 +13649778370 +18520780095 +18542277824 +15100413406 +13932590447 +18580586089 +13971888317 +15154447041 +13691397600 +13672110501 +13950672100 +13674884255 +18572818425 +15809416730 +18596527248 +15890947363 +18814417764 +18843370468 +13989935034 +15165361102 +18867286858 +15856642475 +18540342860 +18565701229 +18578685118 +18836168659 +18566016906 +13672852836 +15117231598 +18851073190 +18516750467 +15859050611 +18849040289 +13622920510 +18853941552 +15120135507 +13659264467 +15840628482 +13917385332 +15114825062 +13611674568 +15191637751 +15178182997 +18539899393 +15821314571 +18859438064 +13663587960 +18826764524 +15842789827 +13616681002 +13976705857 +13620022116 +18560255475 +15165590968 +15867303670 +15801117290 +18886125765 +13995533337 +15820126668 +13984024134 +15143597718 +15194992892 +18894867347 +13900487377 +15880432485 +15843921518 +15847524520 +15887789406 +13692193197 +15196243146 +13969190987 +18837106265 +15848336771 +15819496125 +13953921359 +15894776013 +13925394938 +15108471870 +13971075402 +15116226396 +18842743502 +15889085393 +15891166226 +18842955148 +13982677864 +13654315139 +15890586429 +13620564682 +18872175621 +18532983783 +15833579784 +13920053160 +18525592731 +13675070342 +15184332814 +18807443965 +13904177120 +18582288484 +18527208173 +15143359683 +15104289831 +15107445425 +15198885810 +13927074772 +13959349667 +13687955042 +15897783894 +13961002289 +13966985830 +13677972467 +15141021305 +15860454431 +13956903844 +18832792800 +15840329734 +15885944749 +18870515085 +18816190647 +18544438237 +18548679280 +13698755427 +18822352458 +13655376631 +18577208006 +18513846695 +13947262024 +18530094111 +15146988312 +15824943457 +13989682398 +15176420975 +15196011239 +18537889291 +13919682737 +13989117010 +18543316549 +15114868179 +18803563128 +18820457335 +15161579689 +15107866878 +18849700623 +13612656883 +18855035954 +13619109221 +18572166140 +18586197425 +15838847147 +15111731129 +15142975628 +13654973193 +13648269746 +18802791614 +15170187327 +13625806363 +15136887932 +15157909413 +15866155618 +15185484781 +13998814712 +15199495244 +15187852859 +13654958777 +13900775202 +18569169156 +18580605748 +13630659378 +15155462142 +15864682259 +18588806626 +18851882362 +13652445440 +13946962967 +13677295200 +15146841072 +18827096676 +15139015149 +13910066272 +18535054284 +15836524580 +15890737541 +13913490581 +18842485145 +13924450816 +15153305612 +18587306304 +15151433607 +15832259330 +18565353306 +13602747204 +15814534481 +13983092251 +15130657813 +13939566968 +13939369254 +13637203468 +15138537912 +15189387809 +18838399786 +15199085076 +18514194685 +15142664862 +13615344649 +15105714120 +18506486953 +13675227585 +15889973131 +18590171689 +15802850785 +15878636083 +18881377733 +15173219734 +13997012257 +13683656548 +18572565195 +18830241356 +15819432999 +13651928892 +15144738701 +15174935884 +18856781492 +18867311621 +13995878674 +18888675904 +13953912738 +18838900986 +18523844292 +13626898371 +15119712751 +13988283151 +15843470368 +18834960378 +18871874879 +13919804192 +13976233630 +18503413979 +13656246875 +18816069385 +13952482473 +18516102091 +13681856179 +13969792903 +15151063827 +15119638446 +18550550659 +13915648307 +13693951586 +15801040027 +13677405239 +15803300021 +15860280579 +13668780829 +18537073210 +15841759280 +15115463862 +13681623209 +13943383232 +13625406828 +15138027426 +13947190314 +15838466519 +15837153647 +15889583991 +13663044827 +15849693469 +13674091041 +18841381840 +13951406064 +18854235202 +15843630267 +18805925124 +15826602219 +13664699548 +15179178316 +18502820265 +18537451240 +13636760559 +15193962065 +13620267613 +18891682964 +15157927025 +13676665951 +15841865785 +15155058779 +18898573039 +13637429279 +13926753441 +13662995031 +18896790553 +15190291270 +15124280172 +15886643891 +18584260655 +15173234375 +13925051078 +15133634116 +13667812343 +15188791738 +18872026171 +15893189458 +15842874358 +13978254377 +13666901052 +15189475666 +15831737104 +15853392833 +15872489157 +13902285536 +18544411664 +13630658221 +13600612382 +13904560369 +13626643892 +18557609365 +18859978928 +18832881240 +15109379211 +15891242498 +18513930158 +18557327032 +15847059809 +18891032035 +18557742780 +15185624852 +15181316507 +13642477911 +13927220262 +18511450633 +13698052986 +18886985537 +18544691922 +15895245687 +18838061248 +18895938971 +15191516081 +18807204994 +15100715271 +13930867760 +15192929482 +18832303232 +15163385496 +18536359334 +13918171235 +18567553852 +13964560341 +13900528945 +15817533452 +15847114657 +18510691523 +18872069346 +13672347178 +18527329007 +18567073609 +18874450785 +18556919179 +13602025348 +13632835984 +13603938767 +13653941335 +13916490651 +18579348853 +15188887249 +15130472954 +13694072916 +13954332958 +13695160829 +15878413177 +15197656472 +18523348719 +15147819176 +13637629386 +13989707699 +15160736484 +15163061156 +13969968279 +18879201510 +18819054861 +18528584922 +15840580670 +18812615572 +18851444307 +13673883993 +15822649334 +18890487067 +18532275664 +18567427691 +13950808763 +18520510590 +18589823411 +13617867721 +13920804330 +15157898739 +15887174263 +15113575309 +13658383301 +15180206162 +18824437545 +13900602477 +18814787128 +15114044567 +15802074512 +15176307294 +15843357822 +15148903182 +15849587897 +13968371716 +13608070914 +13931584833 +18551148360 +13935601347 +13903704257 +13970680184 +13902318080 +15168657286 +15878627208 +15851310068 +18816908659 +15870643176 +18810847039 +15803035654 +13654104844 +13664094736 +18885303174 +15887756909 +13948171574 +15880188546 +15895217147 +15181138924 +13681228636 +13624671247 +15175535129 +18840736879 +13600970916 +13979765809 +13658438649 +18540156938 +15156317654 +15172506018 +13690751567 +15146920604 +18597009082 +13683497292 +15897048088 +13610261886 +13619185057 +15142110448 +13629754114 +15105902032 +13610135054 +13691235235 +13951072269 +15848888520 +15881579241 +15156502122 +13995634853 +18576476966 +15182800182 +13623680664 +18553534535 +13940879185 +13946001750 +18584384193 +13680676749 +15882886472 +18582854585 +15825771692 +13913581910 +15894900655 +18858821482 +13967609730 +18506088950 +13935219816 +18580129181 +18544845568 +13992684780 +15841951398 +15805903131 +13971799072 +18522721458 +18558913895 +18515964225 +15191333253 +15881345859 +18501580284 +18834211681 +15129125602 +18546645552 +15891048131 +13963782607 +18888252083 +15807154604 +13686796359 +13912812189 +18550344253 +15842550850 +13686048345 +15840092532 +13665831140 +13694997120 +13902273930 +18822418800 +15146651082 +13662597552 +18540333049 +15157160467 +18519982862 +18852105357 +18805665676 +18527558951 +13656235336 +13608084404 +13997398870 +15806302638 +13967091252 +13917616479 +13999776572 +18556416816 +18535749495 +13986086618 +13998355849 +18859951976 +18531004520 +13606691815 +18864079436 +18821046258 +18554442846 +13654041958 +18842708189 +13907856350 +15102366638 +13602142756 +18539464058 +18576601912 +13975418130 +15828995878 +15822888353 +15893726180 +18832333127 +15860762151 +13905072041 +15112144062 +15850745705 +18866039490 +15124331944 +15154023944 +18882985945 +18556238552 +13975839163 +18556646275 +18824580855 +15163051096 +15118164032 +18520297155 +13992253502 +18889853395 +18880682559 +18864756026 +18807939205 +13943002873 +18509156741 +18534504901 +13679656578 +18890125068 +15892159174 +13602229204 +15165067526 +13971437600 +18552992643 +15118753214 +18568911119 +15826809399 +15828155259 +13961732485 +13971131960 +13623060907 +13658569313 +13642872336 +18521199171 +18870205683 +13998202764 +18538272269 +15162477587 +15160055837 +15863076011 +13976498095 +13999108901 +15834855015 +15163799013 +15111988855 +15888832987 +13648196607 +15894307491 +18585457611 +18832360845 +18578223238 +13683814780 +15166812703 +18867735562 +15144445576 +13668157367 +18867554084 +13637279120 +15128586961 +13963783391 +13901970445 +13995092313 +18892814269 +18812263915 +13668954145 +18895596137 +18562115805 +15864557320 +15839109361 +13985771292 +13608833177 +18588753712 +15171144378 +13998920096 +15827816638 +18516375860 +18575076663 +18564843979 +18843526493 +18598548687 +15846454235 +18886663340 +13644729450 +13632174529 +13632127616 +18853676109 +15833632843 +15104977109 +18575497873 +15889137747 +18879663187 +15812464206 +15877486942 +15870865771 +13651630333 +15139277634 +15185613742 +18843362342 +18530317932 +15879299630 +15822863235 +15106304224 +15800774186 +18869673896 +18839585305 +13926610739 +18562148071 +15851347044 +13638174043 +13929974122 +13952290228 +18869926398 +13997210098 +13934980938 +15103028133 +13922702723 +15153626615 +13652005896 +15814315280 +13903284231 +15851673270 +13658422333 +15175852397 +13651963304 +15863673765 +15115658304 +15137347768 +18898380819 +13658328897 +18561814153 +15161572242 +18599627535 +15800383698 +13697734245 +15174885290 +15113686483 +15125068496 +18853643398 +15128988080 +18583879808 +18868382381 +15818849008 +13919514609 +13905395718 +18550187730 +13617461944 +13634745946 +13631884162 +15857739426 +18533659533 +13957131899 +18501833630 +15837376549 +18584953019 +18500935832 +15866097793 +15192814955 +13625434755 +13973896319 +18531661859 +13613060192 +15805016065 +18800352039 +18813649319 +15814851534 +13654502799 +13988249535 +18811583429 +15814895966 +15165346720 +18560563813 +13935439231 +13967708361 +13915840171 +13970062729 +13634631240 +18812987908 +18808031164 +18526818606 +13618947774 +15876398377 +18547312475 +18520237685 +13974646576 +18535471599 +18537285034 +15851884265 +15117739790 +15844685446 +18502139369 +15177062654 +13945252259 +13628220950 +15803010116 +13937803567 +18844484028 +18860830703 +18885338793 +13671772399 +18805275654 +13692033808 +18892806906 +18583629351 +18553402182 +18865683809 +13924411266 +18510176975 +18513445581 +13995944962 +15845634117 +18875581619 +15892991088 +15892296750 +18565659295 +18899426963 +13626376442 +13615719444 +15852680115 +15144925564 +18814168643 +15815095227 +13663932612 +15823384693 +18832763628 +13657395219 +15184645576 +13975584709 +13977656163 +13611129080 +18871472935 +13671469395 +13650474193 +18542886041 +13627227204 +18581250264 +13935579786 +15874727559 +18572637488 +18555234464 +13629881182 +13996639531 +18833785284 +18519171737 +18571810131 +18589197216 +15872460059 +13662337289 +18556885363 +15895324332 +18824772633 +13610685182 +13979242419 +18855905382 +15137614312 +15130608644 +15867623481 +18506641982 +13615378920 +15827673778 +13653629155 +13620584659 +18542562660 +13921065630 +15808127293 +18595799958 +13989298196 +15864237758 +15889384207 +18548328334 +13913002082 +15868469193 +18814753717 +18859746209 +18899310637 +15139418153 +18548260569 +13968968106 +13957166656 +15127322853 +15129988127 +13619854754 +13942820713 +18883660354 +13662496629 +15890983017 +18590455578 +15849526025 +15886608708 +13957091877 +15185624988 +18585551862 +18507482705 +13644398738 +13601985250 +18560147591 +18536327479 +15194883189 +13919762283 +15178510499 +15114200081 +13991981561 +13996319208 +15864899834 +13994075043 +18828640188 +13635144103 +15146955281 +15843193985 +18578305251 +15872636258 +18522528875 +13621622135 +18596331727 +15115916496 +18883015682 +13982839513 +15827629812 +15157510144 +13903835916 +13977396669 +15190449806 +18889620098 +13678982697 +13690852608 +18860682488 +18558807681 +18834798316 +15870090441 +15110497611 +18886319945 +18883391172 +15153733197 +15179997865 +18537716018 +15818393466 +15811417174 +15185886345 +15191838554 +18843069845 +13965149670 +18536441011 +18827281338 +13970046115 +15153584710 +15895332774 +15897717064 +13618015781 +13667081846 +18808179163 +13905564796 +15170171749 +13607984787 +18514464901 +18879968357 +18851958781 +15101859652 +18825331040 +15828023070 +18810567891 +13979790944 +15804146466 +13642856329 +18558943208 +18580350354 +13633577598 +18536988296 +18871534166 +18879056834 +13951047074 +15883205038 +18510724417 +18892085818 +15137231286 +15178359146 +15167017250 +18593038924 +13964598023 +15170613618 +18572338191 +18864898818 +15141449824 +15105339844 +18537089792 +18518774792 +15861523532 +18849895901 +13673475065 +18829816535 +15858712942 +15891113802 +15179421249 +15114003612 +18824710997 +15836738762 +18810919686 +13944982878 +13911161230 +15894875745 +15884904584 +15856555000 +13666808894 +18526162329 +18878961155 +15179365570 +13933471822 +18811131126 +18885662159 +18875142726 +18567918741 +13944655471 +15122694412 +18510343604 +18840456660 +15176951389 +15131002679 +13905797520 +15107039292 +18853545122 +18875618709 +18887952724 +13902021508 +13651921866 +13929668468 +18877730037 +13922236111 +15148545803 +13645990325 +15894353934 +15852309568 +18578829548 +18585821898 +15879462419 +13669983761 +13671847625 +15899199495 +15130424838 +18821227829 +18569675579 +13643570451 +18594309495 +13918474880 +15847391252 +18520130751 +15876669435 +15153863008 +13683594767 +18540233811 +15177981008 +18587102948 +13980221734 +18841740089 +18844667520 +15106638242 +13942122976 +18536010200 +18528792388 +15806266394 +18844199077 +15101737256 +13931232480 +13606267468 +13604298857 +15816402349 +18555780475 +13918476273 +13626254831 +15839992301 +15166855991 +13961927051 +18553821721 +15883452796 +13984950196 +15817593530 +18894320070 +18555336783 +13907315230 +13933791552 +13665283740 +13953324746 +18557992125 +18585496647 +15836852538 +15824641332 +18840382366 +18824885333 +18807159081 +13904642590 +18895061004 +18848202623 +13992424956 +15809517554 +13625496811 +18829243256 +18829383733 +13648392984 +15173488641 +13693183580 +15199688059 +15127808419 +15836644118 +13980849103 +18824002372 +13642553655 +15832506777 +13668644430 +18810192682 +15830759451 +15809985447 +18592513828 +13625792573 +15151867497 +18594237246 +15897330564 +18888376307 +13659995549 +18535234469 +18545947514 +13676758158 +15897462542 +13657404446 +15821744555 +15185077686 +13606859010 +18845909269 +13937510793 +13655780321 +15142323154 +15169085474 +18579472145 +18855249305 +13640025872 +18580729392 +13903747399 +15116915482 +15829103310 +18850424844 +13970448592 +15181096709 +13651135548 +13667733788 +13923909348 +18867229072 +15825419528 +15131462706 +13919387548 +18552754896 +18516385373 +18834625231 +18540065126 +13665055615 +13689590412 +18846810183 +15118212780 +13638141210 +15114932689 +13629764058 +13669151495 +18580357799 +13655592426 +13643152116 +18586593595 +13917885784 +13660210168 +15176584993 +15146283227 +18560086127 +15123831480 +15823292565 +15876387765 +15111763716 +13917216882 +15853843992 +15117825731 +13678310633 +15868832932 +13940551905 +13956666807 +13974188988 +13689550915 +13618308218 +13692126613 +18841578506 +18834100275 +18574401115 +13644998337 +18835282839 +13673926151 +13648677264 +18884216234 +15871687209 +15819530246 +18535353840 +13616342246 +15830156604 +15837870487 +18807359952 +15801347582 +18528971087 +18555688389 +18577128718 +15192677116 +13957026709 +13661992244 +15115857486 +13679517409 +18887281491 +18898466094 +18564294698 +13954811023 +13940062006 +15155988779 +18549584973 +13691925462 +15181128992 +15816450119 +18829789103 +18521044197 +15127234005 +13697834070 +18509514623 +15153676586 +15853263690 +18539913551 +15841890685 +13639017066 +18850318877 +15131371376 +18867441055 +13626551812 +13987464828 +13997390084 +13626795672 +15171721423 +15150880512 +13937276053 +13628203313 +13668852105 +18833175078 +15112425095 +18836058199 +18504532502 +18582789147 +18835065235 +15824061807 +13689653348 +15879308366 +18550356647 +15179303999 +13665197778 +18804623619 +15138256321 +18583961615 +13938928118 +13942928602 +18859912587 +18511732222 +15103547835 +15122762268 +15123877101 +18522185095 +18563650677 +13949702505 +18853444682 +13659653647 +18517218282 +18801698786 +18564895463 +13628717917 +18839968995 +18574328402 +15854894470 +18832062390 +18544710844 +15112007516 +13936908125 +15864598701 +15197956788 +13926065350 +13677043920 +15842782886 +18826041468 +18530828910 +13630192976 +13627763530 +13906766433 +15890498903 +13951495741 +18549894809 +18578012159 +18850148844 +15126659755 +18585374826 +18854206420 +18526506970 +15165778279 +15874639730 +18802772582 +13604996069 +13901187139 +18804776528 +15829786672 +18851171809 +13622643484 +15130754225 +15124662686 +13639685237 +15819520110 +18809724769 +13918534411 +15158220469 +13649024435 +15824503697 +15803575016 +13682927900 +13603278048 +18524190737 +18580235184 +13668039499 +18596271790 +18880192941 +18503751886 +18857895391 +13616466363 +15178733643 +18851519715 +13647788618 +13686932164 +18519933928 +15134363058 +13914774752 +13690541482 +13645572680 +13666767863 +13964953243 +15828955032 +15801904700 +13625883101 +13637621542 +15194452106 +18579816666 +13969273550 +18898786941 +15106775200 +15874186833 +13676369727 +15182648285 +18871474532 +13916695064 +15169250606 +13605667925 +15812417480 +13959025519 +13663304199 +18813869426 +13693210471 +15192221784 +13963107322 +13607926484 +18819716021 +13975313581 +18845355173 +15137024695 +13641042955 +15182925790 +15899632272 +13940236349 +15173538775 +18565409300 +18870833635 +15197106283 +13657397950 +18879067204 +15801714405 +18824534542 +18864522126 +18851845428 +15113717253 +18541506320 +13632494240 +18892189742 +18585880127 +18877523932 +15894631679 +18865630135 +13997885576 +13922558295 +18881655141 +18540339098 +13938106870 +13901334784 +15129598002 +13988542341 +13638714426 +15823280625 +13919060605 +15853747034 +13936287590 +13981910567 +18806331105 +15138754793 +15876638814 +18897590085 +15842479245 +18860770849 +13628643201 +13971388035 +15866110098 +15852426264 +15816476088 +15848401681 +15100889632 +15884666024 +13640358146 +13688512947 +18839795286 +13924511049 +18524320236 +15847650606 +18868725375 +15145544694 +18801873485 +15136270246 +13972091762 +18800093591 +15150177908 +15890933103 +15833356271 +15103450054 +15859127858 +15820772119 +18546796112 +18568165771 +15183745328 +13620861272 +13919103006 +18819239276 +13635117574 +13919681963 +15811090089 +15148037216 +15131760905 +18817347687 +13952875228 +15876258168 +15873920999 +15197751980 +18585373248 +18842533792 +13618392010 +13995473553 +15133662112 +15883840638 +18845444239 +15128871144 +18840571468 +13613650527 +13637163460 +13629871342 +15101530854 +15856712367 +13979706310 +13991565268 +18833267818 +13651350467 +13677819678 +13629478137 +13698997544 +18847252898 +15159659850 +15849073116 +18586310339 +13622111560 +18511783632 +15841642521 +13615545091 +13675418597 +13606360771 +15183730255 +13965519103 +18855855373 +15151813844 +13902152012 +18589989092 +13979245778 +18899333722 +13623883301 +13986425113 +13635252233 +18543349178 +13647936288 +18517487719 +13948152944 +18870301289 +18522036313 +15168259020 +18559659272 +18534769514 +13999370521 +18584595077 +15115309130 +13963070005 +13615019052 +15166637860 +15850942728 +15115055975 +15803595594 +18866565588 +13992026417 +13918701477 +13604139410 +13938744503 +18571100487 +15160589302 +18576756528 +13648632700 +13641553641 +15827429367 +18897703447 +13680182387 +15857568338 +15835537407 +15860145065 +18555389941 +18512596119 +13914334422 +18866434889 +15805179614 +13675528092 +15805954684 +13989258068 +18574791596 +15152420352 +18857501092 +15810563447 +13937008771 +15142303863 +13653190408 +15872045622 +18547395016 +15130001591 +15862695150 +18532967327 +13690216656 +18559651968 +18563905532 +18541959865 +13642404167 +15821088346 +13956755118 +18807690904 +18545179293 +18809693989 +15106058991 +13645639485 +15840622393 +18558244723 +13662258204 +18522919657 +15161240930 +13651775040 +15194967064 +13978949438 +15878793292 +18574674922 +15822195227 +15832647201 +18574561155 +13906994788 +18817793962 +15802641774 +13909545374 +18515061454 +18580727211 +15127284564 +18588376112 +13924547078 +13668267514 +13619557892 +15836520423 +13969234783 +18500483425 +15866270368 +13979212034 +15145272363 +13694055682 +15176402926 +15199791697 +13636268102 +13929685015 +13601987238 +18574726119 +15822598356 +15132419760 +13983762289 +15138522207 +13641203608 +15121502176 +13644119219 +15116135452 +18854240605 +18589493748 +18561078706 +13673821974 +18588611797 +13989442560 +15824805546 +15839685639 +13948778846 +13982607528 +13949182633 +18896955793 +15176927039 +15127617794 +18523716902 +15197698196 +15843383129 +15141483761 +13680814424 +13995252917 +18887967518 +15192786147 +18530718430 +15128880246 +15831014093 +13687381367 +18547885678 +18836794823 +18865762112 +18538097318 +15169319222 +18821143860 +13654397298 +18522553654 +15175337494 +18852690243 +18529414324 +18556081424 +13931685788 +13694790041 +18571800911 +13925582505 +18817900506 +13951786935 +18849813573 +13693290418 +18507725397 +15144461104 +18574824293 +18596409529 +18572553198 +13616855144 +13976418321 +15138602084 +15866443850 +18578220142 +18870395879 +13977568386 +13616957084 +13950315149 +18813854814 +15851579768 +15150153239 +13681407822 +15829555764 +13683179348 +13695441915 +13604644811 +18579442522 +15851910051 +15194057228 +15804528771 +13958382224 +18510266673 +15107128151 +18838020169 +13902911059 +18883785729 +18899955830 +18819908757 +18574352652 +18577035826 +15157242442 +13665826892 +18576497370 +13633508445 +13999227061 +13918623802 +18597288638 +13603308159 +18804001373 +13931618749 +18511014786 +15178480042 +18877532624 +15851845618 +18518345233 +15890104031 +15866100900 +13926730229 +18585761775 +15841172159 +13614086823 +18829710822 +13601125571 +18563133802 +15164443475 +13961524301 +15118864864 +13940907840 +15106487219 +18853989721 +18804755304 +18547078441 +13607850696 +13963118069 +18883540923 +18887439404 +13962646479 +15114281363 +18829748455 +15140245834 +13605013265 +13930455762 +13900972581 +15155854965 +13947376460 +13954250323 +18599180653 +18820513261 +18841875397 +18538493684 +15196650895 +15858271724 +18523104618 +18881971643 +15864761626 +18889206010 +18541216928 +13964170043 +15174650860 +13695916930 +18515577036 +13611408699 +13975346494 +15175984030 +18567274544 +13954758955 +15800227287 +18891990231 +18850101151 +15884620308 +13694848687 +18867659664 +15874907941 +18836854898 +13957499636 +15159824126 +13628427219 +13636190559 +13952779691 +13961788287 +18837797665 +18839282801 +13905060277 +18508262907 +15142137077 +15816582001 +15846966976 +18819332979 +13917713996 +15195357527 +18541116739 +18826335391 +18594675064 +13606951590 +18883339721 +13665339939 +13656324262 +13654495933 +15884466226 +13652268533 +15850202546 +18555093334 +13644295353 +13654487967 +15171108100 +15111931504 +15808981758 +18829160314 +15823235260 +18882416740 +18505027139 +18894872313 +15846105179 +15856296829 +13947687991 +13981578526 +18517366769 +15103179221 +18821288812 +15832738828 +13918941524 +15837215239 +13642637570 +18560570081 +15128368206 +15190599972 +18506847319 +18589962004 +15800775270 +13980242538 +15805611869 +13605332213 +18854118187 +18595996828 +15856703997 +15167898276 +18555916738 +13664966128 +18861307294 +18829960373 +13626320662 +13627215457 +13946259630 +18552320769 +13645156057 +15861971516 +15114790304 +15120519241 +13957503297 +13931508852 +18840964433 +18804138047 +13635925724 +13660405495 +18842929010 +18836915696 +15813209824 +18575430324 +13699281767 +18540778590 +13912334349 +18834681776 +15196772493 +15893977530 +13694102646 +15177078997 +15814242646 +13676414920 +13981805050 +13986637189 +13924951014 +18546521519 +13691095678 +13611956193 +13916187218 +13911896250 +15185536853 +18589126091 +15840599493 +18827764580 +18545239343 +15144365539 +13626481929 +15160406802 +18868886832 +18855526971 +18540590037 +18519542934 +13613697488 +15152199704 +13983026694 +18523758111 +15888328317 +18512116871 +15893446855 +18876526272 +18551771431 +13616405838 +13930683788 +15159324322 +15111809031 +18839062104 +18578400943 +15122684941 +15807932161 +13623624321 +13647961623 +13958177040 +18878250919 +18550256922 +18522297407 +13677711518 +18511997079 +13955475693 +13920862527 +13928761689 +15834071416 +15164285590 +18803648112 +18526940468 +18812623982 +18865856994 +18807879049 +13697906870 +18524339221 +18852894436 +15172879400 +13646135262 +13636388659 +13964558214 +15117152004 +13613948483 +13987547966 +15156943756 +18894099017 +15829966777 +13662201263 +13688202949 +13660250366 +18847728544 +15899793877 +15821460623 +13610154804 +13943115744 +18854681981 +15131910963 +18868095942 +15819771042 +15860574714 +13986523524 +15848757603 +15826964543 +13690276247 +15812939291 +18823829987 +13634598234 +13609594221 +13620086953 +13908100530 +13974962238 +15132149519 +15868689622 +13904486300 +18852167513 +15197670819 +15176505946 +13618111614 +15839602756 +13945548307 +18537900231 +15892859785 +18559289948 +18561012236 +15168917946 +13653474966 +13648929507 +15857294939 +15118285471 +15187984786 +13656151274 +15129818772 +18581843037 +18564334758 +13627479128 +18566013312 +13627451054 +13963283039 +15186737354 +13691337989 +15848772971 +13688634383 +13604278501 +15888942369 +13935670999 +18831727096 +18531097364 +18892528093 +13960589003 +13658174488 +15171702146 +15842048339 +15879295066 +18878020922 +15163656546 +13903583800 +18858157583 +15165733060 +18520030202 +18531909465 +18815590617 +18548654348 +15804852198 +18814533291 +18898383584 +18521982819 +15132693965 +18898004778 +15173957343 +13957630024 +15184944902 +18517316531 +18804048364 +15837203863 +13635096793 +15826585710 +18508989088 +18872897585 +13679370813 +15843216738 +15160065597 +18541415904 +13606347052 +15835020896 +13632953300 +18864186283 +13982415181 +15135309727 +13958724160 +15812453754 +15187809313 +13603067557 +13973514874 +13912408271 +15165540005 +13677118256 +18861000726 +15837251983 +15102513947 +18837076527 +15199371093 +18507887734 +13685301162 +18516338423 +18878071640 +13973030591 +18551076148 +18580193427 +15179160576 +18892605579 +15845287271 +15197893442 +13944298786 +13982304108 +15160711126 +15859719629 +13654320051 +18887159842 +13967775445 +15128139275 +18840025882 +18582513378 +18522727631 +18572320476 +18854282286 +13912195706 +18598474212 +18884513940 +15152862632 +15183435419 +18554180577 +15110658381 +18895129970 +15150866226 +18537593621 +13699590114 +13935001533 +15809235206 +13601497232 +15176042275 +15161586457 +18886441634 +18853324933 +13914648611 +18841929605 +15103714633 +18520770447 +13631357994 +18850320344 +13911954987 +13637843865 +13696749846 +18812021185 +15886830070 +13958005109 +15114087682 +13999169300 +13673000724 +15893703826 +15168740927 +13670698414 +15144642669 +13999037069 +18542633659 +13968456853 +13936233948 +15112484908 +18578738985 +18810420989 +15873005562 +15154870199 +18566495214 +13665000499 +15860563836 +13666913632 +18509387890 +18815758232 +15142081006 +15161237468 +13604493143 +13956208782 +15872784285 +15886839581 +18506491526 +13930342564 +15142075274 +18828530703 +15149725489 +13647552069 +15896727628 +15881262914 +15816287788 +13995770915 +15819138917 +18531611969 +13691155489 +15160541001 +13616962081 +15151523972 +15102691130 +15136560389 +18547424643 +15820073064 +15892325026 +13635055800 +13680679650 +15825370673 +13924680466 +15198545376 +13918118339 +13690728822 +15135399961 +13632784288 +18839472548 +18818572931 +18840200819 +15156934991 +13681056084 +15192530636 +13959448784 +13602419412 +15886523993 +18534002220 +18816813887 +18524533740 +13699087710 +15116347373 +15130363002 +18577105350 +15839634373 +18882830596 +13662039703 +15873672272 +18597066715 +18872996102 +13622925709 +18875658366 +13964218343 +18897920002 +18565639557 +18864004802 +15165168241 +15123727086 +13954299975 +15858927200 +18814442718 +15889242673 +15818406805 +15176020569 +18839983092 +18566493463 +13951669819 +13673954407 +18525566520 +15146860961 +13685661396 +15192070340 +13919980270 +13982728941 +18531464253 +18569164034 +18582084501 +18553642795 +15153996182 +18822367549 +13929984417 +13606857658 +18580903886 +13976326414 +18878307088 +13956314769 +13634314052 +13952829716 +15871028502 +18570198937 +15878350314 +18553227244 +15141048363 +13969666153 +18560142182 +13602988077 +15878367064 +13956822346 +18558991795 +18876627712 +13623916128 +18823163079 +18813479421 +15179110117 +13985901194 +18869162812 +13688644928 +13608990845 +13636606358 +18858295088 +15131496976 +15152833290 +18875948431 +15148335374 +18570111086 +15137381498 +18532935896 +15129474748 +15119544182 +15102996829 +13971977237 +13682927242 +15820121669 +18522989107 +18522077501 +18819486387 +15827361599 +18537631975 +13993966784 +18596037077 +13690130330 +18884697835 +18829595812 +15163253194 +15145322537 +18847750760 +13653724100 +18555369722 +15137608940 +15870088598 +18880275089 +18531592079 +13664633094 +18868149834 +13919447533 +13988623099 +15808455704 +18520409757 +13677135956 +18863598947 +13930925817 +18542084661 +18892031009 +13661885929 +13651328794 +15132277614 +18862647262 +13607328641 +13975131662 +13621029016 +15827000579 +15885497552 +18538441913 +13661341342 +13935417025 +18828481803 +13613221301 +13609903560 +18571863870 +18827806120 +13652739170 +15887516057 +13946918441 +18512487190 +13602502062 +13647482788 +15116213433 +13628449817 +15856413074 +15888862476 +15111366210 +18553755869 +18542085835 +15831905790 +15114622048 +15816691244 +13943060036 +13984784761 +18545905926 +13653054028 +18555263622 +15119834727 +15819153609 +15196071987 +18569031641 +15140156927 +13621305620 +15818589501 +13912875170 +18829189227 +15150003064 +18545082268 +15124746226 +18812787020 +18525745767 +13932384625 +15856758458 +18899054240 +18837216817 +18529495928 +15869125717 +15100844682 +18870455685 +18586983832 +18578384884 +18534365973 +15169325167 +18862622101 +15829047020 +15111360441 +15182618722 +15851091182 +18818951860 +18880423163 +15883938668 +13952902303 +15185248855 +15839086348 +15174872937 +13633272934 +18829538820 +18824673128 +13910606979 +18863347780 +15876812075 +15181826320 +18536856332 +13681706996 +15137676750 +18582006245 +13686846570 +18581928419 +13966683957 +18857779912 +13964120134 +18549915612 +18528353185 +13910730156 +18551467122 +13962790148 +13649351033 +18820936974 +18835912622 +13606271711 +13988038782 +13908908949 +18812648954 +13624990984 +18872357777 +13932052412 +15868148215 +13613611033 +15184042924 +15198906509 +13987412926 +18517221410 +13955594534 +18877583315 +13969906366 +18813766501 +15829487806 +15889434466 +15181941774 +18579354315 +13663369214 +18849087641 +18531641548 +15854831799 +15177761570 +13645475037 +13666207908 +18535790497 +13643170640 +13663406079 +13969329073 +15171647394 +18570606911 +13930655453 +13961594451 +13619400563 +18836258684 +18889927205 +13976428195 +15145812999 +13695666302 +18812894722 +15178466856 +15145966325 +13621610087 +15181569365 +13682322397 +15119472144 +13936172404 +15835181084 +15149690312 +18577147184 +15178604634 +13967164723 +18597415593 +15801827788 +15818061652 +13601262137 +13624823525 +13995481088 +13605647044 +18546260473 +13954910999 +18866102705 +13926376253 +18559735164 +18510708361 +13627677420 +15866947496 +15884281816 +18588383182 +13674928273 +13954672549 +15880625712 +15145234450 +15833158576 +18564826630 +13699320525 +13643824136 +13630429436 +18588402109 +15188172830 +15828927979 +15154816459 +18865871069 +18894198395 +18575486361 +13946220805 +15831829416 +15836790174 +18576466161 +15108053466 +15166106982 +18575952424 +15165456172 +13954739690 +15175982224 +15885235930 +18550961328 +15127700402 +18570372806 +13698219313 +18890408169 +18893326550 +13659646109 +18584223655 +13610675660 +13964246562 +18518246619 +18892368947 +15841229054 +13651669772 +15811170980 +18535771750 +15829828812 +18835105711 +18567739213 +13951419787 +18840694179 +18512328971 +18877013451 +18863086399 +13927833612 +15142396199 +18837514444 +18853286867 +13909383242 +15822839640 +18865443738 +18895353354 +18586085333 +13632161641 +18534915448 +15100599777 +13677466750 +13693649230 +13944891736 +18804893841 +13961880252 +18501217351 +13947878207 +13611143377 +13973171629 +18546257576 +15881409840 +13627280780 +13921415495 +18887669840 +18862204658 +13686572923 +15113798425 +15124526124 +13956902053 +13981978095 +18594930449 +15867701868 +15177111976 +15833491028 +13690347865 +13958563462 +15140056166 +18886255341 +13960937479 +18524825807 +15152681129 +18810209368 +18510232211 +18817382469 +15849948510 +15873701587 +15847103475 +13986679650 +13611801324 +15898525189 +18843583099 +13997903814 +18889639721 +15106280384 +13629431551 +15192747483 +18539802917 +18852955264 +18896592280 +15190908722 +18804527631 +13929974886 +18508173874 +18856136886 +15802933859 +13962263545 +13997380360 +13678177510 +18516053602 +15822052198 +13935698927 +15844934522 +13617994829 +13675348839 +15138483142 +18852575140 +18592407992 +13605939751 +15164046943 +15176313418 +13605382703 +13695332506 +15850105367 +15839616428 +13629654829 +15869703699 +13950194442 +15842329010 +15185779354 +15839412742 +13623344370 +18883422115 +15140194941 +13625311361 +13991418623 +15161289920 +18845420594 +13634699810 +13646719078 +15896914194 +13632427991 +18804961659 +18583400754 +18888373594 +15873864138 +18582709188 +18832036637 +18591307820 +15139204177 +13618911732 +15857928283 +13970626175 +15137200846 +18551526653 +18807719363 +15874250132 +15803996944 +18543358830 +13903940148 +13959302306 +15114882468 +13675563691 +18560041172 +15881749281 +18869831829 +18808764334 +15855190701 +15834919311 +18847251116 +15119082724 +18597697205 +18569395362 +13669799039 +18861489155 +13633657114 +15132185179 +13925250697 +13660744569 +18559490992 +18542347002 +15845358513 +18803663396 +18847331047 +15109435514 +18865524143 +13629872533 +13640986980 +13973454886 +13685540707 +15892787884 +13917613865 +15181004428 +18829716126 +18820609256 +15856693622 +15866477174 +18810792483 +15876729167 +15827845539 +15851827864 +13673704867 +13602865848 +13683815158 +18567120798 +13601236366 +15824620080 +13926305133 +18804681185 +15850834011 +15822072017 +15816540092 +18853489697 +15895874853 +15192606586 +13689851285 +13966526375 +18527047353 +18577151706 +15838162047 +13946847212 +15184141793 +15860097081 +18512164161 +15186215492 +18886910515 +18824972053 +13601928932 +15836222402 +13933129420 +15867045327 +15137875253 +18827645639 +18552425196 +15170841464 +15134103578 +15829311068 +15881040960 +18802687381 +18833076385 +18842825429 +18593460033 +18584349183 +18502797819 +13925825233 +18557172260 +18589420653 +13988605075 +18804297754 +13613921601 +15858367036 +18508862606 +18574214602 +15199076439 +13618319577 +15818731967 +13938340082 +15131185010 +15836607096 +13696399113 +18578709872 +15842536519 +15175098871 +15842997039 +18874978055 +13671724376 +18534663810 +13926301248 +13630060164 +18819443438 +18863402589 +13624164557 +18894716025 +13966575983 +18522021039 +18868198708 +15173251506 +13988254767 +15163188249 +18527843359 +13972716715 +15894616095 +13982559803 +15804764898 +15846697500 +15100640280 +15850194345 +15164743582 +18535494876 +18818833291 +18594114319 +18806307365 +18518841850 +18803534160 +18578822782 +18812494866 +15193801197 +18894536023 +15838664161 +13922087523 +15863765942 +15815517376 +13644914003 +13627972743 +13903493855 +13620836752 +18583466859 +18556735419 +15188706786 +13953514575 +13937157317 +15129521933 +13901900808 +13984624219 +13629621463 +15882005414 +18509666610 +15813538908 +13605310410 +18590866489 +18843646773 +13653953626 +13668202243 +13634084423 +18854017700 +18582874804 +18513136346 +15874284662 +18530531141 +15147294471 +18527469067 +13955611199 +13944528754 +15846340895 +15196500508 +18558956652 +18525376974 +13995296035 +18829141791 +15150391703 +15143156545 +15119421899 +15132665281 +13683848989 +18890978707 +18899327078 +13659686371 +15806813610 +13613446885 +18813765855 +13901276340 +15843692161 +15844413756 +13694168402 +18567650423 +15832092155 +18506615373 +15141145745 +13696581865 +15166098311 +15821083082 +15882478687 +15859938657 +18575311367 +15814731294 +18849572842 +13965959160 +18533165789 +18883931299 +18506345137 +18898664967 +15193176095 +18860974011 +15866841155 +13969377625 +18520954450 +15853805693 +15174150415 +13965716368 +18574750772 +15838047128 +15857213772 +15180429110 +13600053530 +13662902963 +13961781969 +15170271568 +15894658980 +18823541555 +15887876993 +13639539947 +18542132193 +13902851379 +13649574513 +13698759463 +13622276084 +15817757961 +15817105481 +15122619082 +15880565196 +13915018481 +18825356130 +18569441629 +18888458192 +18589332111 +18862007858 +13971154771 +15169180910 +13696684517 +13672008921 +18804912798 +18883389009 +13690740109 +18552930987 +15889024536 +15888379725 +13627055605 +18809654821 +13696822463 +15873809711 +13607759718 +18599483170 +13630822555 +13608792982 +13968343401 +13623039376 +15821830594 +18592189058 +13640362662 +13935205076 +18589798634 +18561941222 +15864468484 +15804301662 +13945108516 +18552889069 +13951148005 +18568149042 +15176213732 +18534593016 +13630670870 +13967067314 +15132239953 +15884970520 +13661270966 +18537729757 +18500239798 +13923002209 +18597320570 +13986340971 +18873346349 +18846282274 +13996929917 +13636132561 +13678372077 +13910010150 +13941949275 +15871155482 +13661343513 +18846299080 +13630743812 +18830820707 +15158719643 +18845773722 +13699074717 +18509969666 +15810375830 +13986559152 +15888829154 +18507943843 +15829098478 +13986977272 +13602591503 +13690390127 +15809716091 +13657754303 +13913211255 +18586153048 +15114399029 +18558387579 +15884411196 +15881505113 +18551309252 +15847601613 +15108990587 +18506936887 +13986882613 +15175444209 +15100017219 +13921540082 +18587732391 +15192315099 +18897157872 +15132656008 +13971687111 +15182951803 +13697083390 +15880938187 +13694712575 +15158902876 +15183260717 +15127045009 +15120435977 +13969095337 +15878399290 +15830859969 +18815629821 +18548352742 +13945456052 +15821095004 +18528694109 +13958132413 +15846340737 +15199537034 +15153843830 +13690975847 +13903357366 +15128097272 +15197240375 +18512725116 +18593794958 +13663897414 +15861762469 +13985723297 +15883984160 +18848565472 +15884632969 +15149996782 +18824067143 +15134017873 +13679452992 +18883023615 +13694543246 +18550324662 +18591693389 +15187024934 +18800618753 +13966675248 +18540276105 +15839537704 +13925251143 +18525535977 +18844547073 +18570812953 +13690854261 +13615262931 +18851348318 +15115777735 +15882272184 +13959278924 +13972956375 +18862117673 +15172381008 +18891995543 +15124871108 +13674858124 +18882555168 +15821868367 +13626956404 +18874751107 +13630466802 +15880920164 +15182808645 +15839917230 +18850038185 +13650876205 +15890224649 +18548585837 +13998154625 +13993254453 +18537182672 +15174246070 +15879440560 +18524715505 +18897197222 +18885410884 +15156340091 +13638806545 +18856261140 +15869083034 +18535421710 +15170847435 +15172794310 +13689699435 +15169871865 +15885615091 +13936011309 +15108202780 +18855048003 +15131056191 +18559607279 +15141611531 +15186768163 +18899379565 +13965587067 +13948769809 +15807758650 +13628462221 +15879274139 +15155658404 +13633555023 +15862480874 +15110087100 +18568841794 +15134809742 +18599762723 +13643173512 +18822711945 +15867716999 +18517722325 +18832229371 +15153185857 +15130128857 +13977996539 +15828982765 +18579454295 +18891430329 +15855239155 +18893312719 +13944244290 +15802509964 +13938072707 +18505706391 +18852669612 +15890491607 +13933095383 +18864089045 +18840364101 +15116571657 +18828598978 +13608114014 +18819709310 +15179027282 +18866133951 +18569804346 +15863604229 +18534314063 +15885837169 +18880583228 +18848248484 +13982817599 +13680256618 +18800085104 +15188212716 +15182340499 +18803702457 +13685898393 +13695786298 +18545481085 +15198380380 +13908213673 +18823697306 +18865867031 +18519036865 +18843299958 +15870887918 +13602841420 +18826054552 +13996150014 +13662135551 +18562216489 +18852316463 +13650358331 +15146793171 +15183890586 +18803699161 +13971465424 +18861104365 +13912155243 +13653355650 +13617951080 +18836356278 +13979812673 +18805442051 +13672177309 +15188447678 +13952236824 +18583701897 +13949174780 +13972037280 +18884057482 +18535620787 +18865388284 +13640365681 +15889672485 +13643221136 +18536923518 +18895434333 +15192314789 +15114640321 +18533833106 +18865884436 +13965941669 +18810873758 +15125577703 +13941673722 +13939567289 +15116975293 +18805734582 +15868537177 +13929655374 +13932269662 +18896010438 +18846808440 +18511530742 +13924090995 +15127022128 +15868420996 +15886455211 +18847819069 +18505722877 +15815044192 +18842447308 +15141583008 +13680393250 +18593971489 +18529446629 +18573953387 +18871892459 +13638072186 +15121973310 +18855081626 +13997626216 +13917752860 +13990840985 +18882188799 +18516749628 +15814817699 +18833140897 +18884979568 +15153134621 +15113180317 +15879491519 +15131431113 +13671548159 +18562444527 +15195126735 +18544868303 +13662494932 +18846354485 +13688979198 +18586118713 +15898441819 +15159430293 +13643511984 +18528985687 +13604245554 +13910378288 +15844141400 +15882311868 +18541658536 +15149721709 +13929113064 +15880976163 +15186649067 +15822869781 +13609496321 +13972818835 +18510253978 +18808571717 +18535814466 +18894587158 +18539665165 +18883726415 +15800215199 +15123265506 +13916308101 +15862080166 +18515622913 +15883256441 +15840108375 +13602776106 +13918757107 +13604317715 +15107118897 +18821339301 +18537213950 +18889425039 +13654205025 +15128494138 +15154639011 +15824459358 +18509932807 +18833218451 +18860212956 +15843435969 +13965932053 +15845512538 +15895343024 +18880792904 +13618412213 +15864823350 +13938157390 +13984193339 +15873817367 +13654212764 +18854649198 +13628953808 +13936344038 +15157943200 +15892723815 +13601282145 +18595855779 +15162375955 +15152198820 +18503153098 +15889857097 +13668463443 +13920593283 +13614533209 +15159014294 +18848797007 +18581031504 +13637675201 +15889384027 +18835319712 +18801185170 +15177271159 +18593135587 +15161389396 +13914740044 +18560884616 +18554670070 +13618747732 +13611955281 +15831727030 +13673755415 +15102773575 +13940075862 +13658728658 +18568562288 +18564220328 +15884649794 +13666356626 +13904787280 +15199037124 +15151537032 +15153000798 +13973030024 +15132712409 +15866387461 +15876525525 +18538669864 +15882575406 +13623886009 +15178311669 +15192553091 +18874618947 +13609234045 +13689947255 +13971303356 +13924825306 +18574045174 +18593954510 +18892334249 +15863716796 +15855678537 +13630816969 +15150883528 +18887165618 +13646496432 +13669339053 +15170057390 +13902875125 +15182814575 +13950367775 +15810970747 +15847206963 +18584367688 +15130911268 +13630967165 +15124777085 +18580758719 +18812413231 +15144673943 +13694951077 +15184755833 +13677084317 +13631427592 +15180849556 +18566386163 +15134780154 +15864606611 +13957441985 +15827572699 +13965662374 +13654999062 +15832782570 +18533774175 +15148615904 +15163686044 +18840126026 +18816096216 +18510498561 +13952973553 +15119506157 +15151776358 +15176231939 +18569659468 +18843144194 +18878264193 +15117562570 +15806547930 +15811619874 +13634183610 +18864164221 +13634045086 +18599188956 +18591000024 +18563124136 +15844572677 +13648473783 +15180445948 +15105517539 +13914614826 +13926654668 +18533566448 +15118489710 +15827828195 +18577621583 +18572359864 +13962065977 +18887213953 +13957392356 +18565849608 +13661366736 +18558556090 +13906055165 +18561110436 +18875720290 +15832928240 +18572584923 +13932743940 +13613732748 +18533575421 +15811810558 +15190755722 +18592336944 +13633747898 +13693786895 +18587614757 +15191553151 +15106469233 +18563797933 +15197791351 +13924680801 +13661421861 +13971074757 +13935969372 +15892586607 +15882343854 +15145987614 +13976660240 +18829818708 +18517894937 +18523475873 +13944455205 +18586955565 +15852884103 +13685326707 +18557458317 +15828919990 +15147474702 +18509032347 +18835390009 +18895184172 +18581023108 +15886841862 +15134533166 +15895012739 +13682100237 +15196281457 +15810412009 +13934474003 +13933449390 +18562727028 +15802471876 +15194909158 +15826938742 +13953932755 +18598450537 +13937786038 +18804644459 +13604376060 +18888103649 +18510990539 +18549628995 +13641841995 +18557570720 +15816505383 +18837941767 +13978640910 +18800106564 +15836864829 +13928093926 +18589474343 +13973929528 +13959305159 +15181250714 +15176731776 +15873244968 +18511414586 +15178813259 +15896560351 +13604474196 +15129168341 +15105313796 +13900544649 +13941919384 +13908326484 +13990450958 +13656166989 +13907495419 +18566450044 +18558580160 +15837711337 +15180261109 +15857910888 +18837228160 +15865148133 +13940400196 +18595368035 +18526420840 +18574392553 +18846349939 +13663527426 +13984170889 +15846996891 +13934647665 +15167462910 +15151446063 +18590595345 +18801415301 +13607099389 +15192436201 +13978674466 +18501920961 +15815744334 +15878070961 +15881099782 +15836682655 +15137409014 +15134400773 +18824598024 +13977347999 +15864572514 +18505277004 +15854831128 +15844163798 +13997344708 +13945171450 +15863154364 +15815266676 +15834849885 +18808575085 +15172091014 +18826472525 +13602607044 +13654276331 +18540417937 +13927893323 +18892719248 +15178313602 +15192529056 +13958546272 +15149553431 +13654061817 +13610593826 +15878883568 +15837907496 +18832391970 +15843321524 +18592636202 +18856123378 +13975806525 +15866922843 +15140320635 +15137408893 +18566449678 +15151479817 +13913760770 +13901200022 +18539791221 +15128331069 +13686459908 +18567249204 +13649154757 +13994804240 +13949464317 +15104336063 +15809658693 +15111273877 +13901411855 +18842283806 +15810317824 +15110363158 +15179738575 +18856831873 +13959515119 +13628444274 +18505996000 +18858621700 +18817174712 +15156090476 +13664301489 +13654701765 +13909424901 +15147354440 +13928596295 +15803408804 +13986877519 +18873469278 +18853222220 +13936828817 +15879095308 +15154783205 +15810795623 +13696585350 +15876495274 +15151943820 +13944921975 +18867927257 +15168412280 +15120129604 +18854584608 +18510194478 +13600770380 +15157130495 +13692268005 +13928467176 +13663494571 +15883342280 +18519551947 +15136611206 +13909439921 +18847579751 +13611322347 +18540893092 +13974099311 +18891258986 +18589825456 +15125645435 +18898954445 +13929720126 +13655916939 +18516190380 +15863820877 +13989946667 +13900912759 +13922909148 +15173944708 +18556141775 +15807496195 +13630808416 +15832934175 +18873818376 +18823401598 +15843246228 +13906948205 +18898596348 +18562840810 +18805618280 +15851316835 +15106661035 +15141902516 +18536316582 +13670881949 +18577369969 +18592857269 +13687905369 +18520513504 +13646547848 +15859995353 +13616487790 +18533679317 +18803630053 +13908075015 +18501461770 +18556386331 +13632882230 +13644426796 +13653313835 +18842798478 +18538907581 +13937781195 +13673097019 +18579741675 +18828239127 +18557592641 +18894489818 +18866922709 +18570831149 +13958945158 +15832651533 +18547616290 +13605759133 +15874167692 +15140360761 +13679663003 +18833102453 +13615019298 +15886448002 +18581793003 +13666193944 +18867911944 +13951626643 +18875962267 +15889164235 +13962327096 +13944768680 +18578535511 +13663525166 +15191472844 +18568921774 +18808548104 +15838650504 +15106086120 +15154461763 +13675390340 +13631250111 +13939859108 +18818757715 +15144646813 +13927300722 +15155749200 +15184352194 +18504700488 +18891599934 +15856242632 +18847940718 +18596474684 +18592693718 +13904620838 +18576784091 +15125612403 +15884644610 +13950420889 +18539056973 +15143672720 +15806282046 +13699758310 +13693848158 +15804414093 +15182143680 +15818702342 +15172600703 +15155954246 +15151047689 +13627475223 +13652881790 +15126142161 +18529583377 +13978231062 +18879806320 +18574713164 +13994793577 +13693076991 +15162661070 +15181113263 +15847453684 +18540034524 +13646383971 +13686190662 +18893336708 +18524264957 +18881726904 +13922014585 +15132395605 +18805762032 +18574846565 +18801330047 +15870735362 +15851520844 +18598623558 +18801825527 +15115886598 +15809026014 +13684777856 +15110406270 +13999675873 +13691657471 +18503713448 +15181731390 +13976811410 +13922807800 +18528086958 +13632190919 +13949191315 +18560688752 +13682910606 +15895806285 +15147300334 +18584113934 +15823913519 +15831457335 +15191490690 +18589586916 +15108125866 +13643161926 +18830308122 +13938471889 +13669158055 +15875514398 +13630792800 +18516947686 +13682562051 +13653996870 +13926427565 +18876136361 +15855851823 +13925854381 +18593564816 +13926453121 +13693815822 +13988616969 +15860863257 +15195353866 +18823493566 +15852595113 +18835976132 +13663469913 +18543010798 +15898114548 +15142350664 +15874245161 +18584029580 +15107735098 +15850222281 +13946357979 +15894307201 +13963800928 +13668526398 +15877138343 +18539259868 +15854344541 +13641569117 +18505145682 +13910079684 +15161621689 +13618872669 +13911273587 +13681288180 +18842027860 +15121396469 +18575125630 +18546326507 +13661501615 +15178124980 +13956901237 +15820448092 +18881303428 +15104321351 +18886278188 +15105819444 +15138319217 +15862870024 +15190000482 +15176776027 +18525264417 +13979809622 +15819752506 +18810897200 +18815929413 +15827722368 +15897432573 +15194155048 +15803774240 +15160056852 +13671553889 +15861673160 +13965867544 +13915241022 +13960934725 +18891343337 +13688987985 +13925318051 +13659479904 +15843303602 +18536234307 +13640887091 +15194146786 +18561681932 +15857178553 +13656727927 +18598808505 +15176386339 +13932914622 +13901344448 +18803473220 +13634853193 +18806617847 +18871394354 +13606224211 +13993124794 +13928016818 +15879125399 +18867731766 +18557493553 +18591832403 +15809869478 +15872434265 +15842039933 +15820369215 +13627717268 +15873602365 +13941363313 +13633124287 +18899083350 +18878308264 +15831116669 +15804978291 +13697892666 +15102974944 +15846589301 +15162593926 +15199245795 +18825042014 +18585766239 +13954157324 +13647320754 +15811211392 +18890793755 +15846489479 +18594034040 +15890907922 +18521263868 +15843144076 +13639789635 +13622010253 +18542602787 +13926795250 +13637850673 +15823002998 +15131925646 +13678441127 +18866344306 +13971243437 +13644330337 +15860511457 +13676791802 +15818344543 +18858584092 +18802928908 +15134414159 +18853605713 +18897181082 +18504823252 +13981798725 +15193759186 +15189284741 +18827888334 +13900372266 +15812884041 +15832172605 +18588685069 +15838607026 +18898168778 +15199032326 +15145657699 +13910080355 +13678810333 +15835377184 +15832295461 +13645393942 +13903881984 +13946258729 +13660132296 +15136164029 +13630715860 +13961265095 +15856903988 +13658799242 +18831124459 +15198500670 +13983937104 +15182004509 +18823233835 +15195394433 +15810215444 +13653553952 +15174290338 +13934595824 +18541771548 +13622359533 +13964099643 +18598099479 +15146659576 +13664107502 +15821102593 +13935843312 +13908963363 +13984751959 +15864944962 +18834575341 +13610249479 +15880146632 +15117522632 +18823246463 +15170376244 +13981181701 +15196186161 +13643402591 +18892909082 +18833321332 +18859332885 +15186215815 +18588299106 +18518141084 +13699733202 +18830596985 +15806983743 +15833137727 +13635872858 +13961996140 +15174983934 +13979028459 +13657863826 +13639789962 +13974406893 +15182991580 +13627994318 +15194675898 +18855605878 +13661963855 +18890247315 +18578235774 +15195783856 +15165913716 +13615515577 +13900122222 +13943889101 +13985262609 +18873230927 +15830514940 +18596888910 +13911031144 +15829695426 +13610798659 +18810697387 +18521191347 +13968078110 +13619890684 +18555149033 +13632980544 +15130391875 +15856972465 +18878204229 +15870140940 +13617919127 +13657005432 +18539955593 +18860906384 +15873000148 +18598699773 +18514070521 +18530656688 +18800600438 +15192925782 +13943587630 +15193781076 +15860766504 +18542388321 +18579439946 +13915413503 +13976669246 +13917191843 +18507448357 +13684092790 +15899048763 +15128392453 +13669505673 +18584103529 +18525787733 +13972294251 +18851489465 +18802455900 +18864581131 +13987726371 +15820120886 +15844585988 +15875530924 +18532832230 +15845119718 +15133775578 +13986529545 +13691311328 +15198363005 +18550927365 +13627125884 +18851827135 +13969364130 +13976208044 +15855095656 +15104001910 +15811257325 +18866723821 +13934786220 +13994384791 +13910879236 +18510075680 +15150745481 +18821246163 +18863560339 +13923362063 +15878609502 +18541820214 +13650310965 +13977722075 +13696970317 +13964490613 +18550403719 +13909012483 +15142923111 +18523644913 +15858212644 +18570731784 +13952519433 +15803782715 +18867116927 +13963037363 +15880001936 +18561509279 +13683610401 +18502719109 +13608004440 +18542916398 +18544230521 +18582256935 +13959868294 +15826811348 +18517065733 +13978938854 +15868806407 +13907736134 +13982053282 +15150831300 +13637549399 +13659814146 +18887409428 +15819628572 +15803961598 +13998031638 +13900582409 +15806601216 +18814860420 +13953360586 +15165826627 +15169906382 +13914348582 +18501850826 +13623436752 +18859296111 +15853910848 +15845721082 +15114738793 +15148112041 +18855483567 +13657194286 +18567044133 +18523827211 +18802167605 +13621193286 +13607597018 +15143635541 +13916807656 +15176296184 +15130749196 +18521970089 +13693872179 +15136368400 +13620556574 +13666058810 +13955134875 +13993017186 +13915331111 +13619925841 +15894604372 +15886141665 +18505082776 +15847503759 +13983410237 +18852105590 +13981668213 +13968174281 +13956659681 +15177861017 +15197995121 +15817533285 +18505494522 +15822119059 +13990178835 +18817067130 +18502952470 +15152111286 +18873914363 +13970252414 +13645857797 +15812315496 +18558528283 +15898376510 +15888088893 +18827500059 +13924749739 +18863622692 +15151356500 +13601931510 +13951507284 +13674581392 +13994774869 +13944403307 +15109691467 +15138168779 +15858285226 +15873561690 +13686657939 +18504114887 +18811406163 +15804081938 +15173336972 +18861513688 +18539861263 +18540819953 +15187834406 +15865549700 +13630661593 +13655311983 +13625456656 +13696878206 +15189233575 +18804632590 +15152164778 +18531553365 +13992588909 +13622694308 +13927105178 +15862014497 +13679362080 +15831115645 +18538792852 +13667324753 +18865228594 +15836621664 +15137818347 +18821273865 +13666363075 +18800345066 +13938858573 +15874027620 +18552748659 +18861972419 +18590554499 +18511580802 +18899300552 +13677680427 +13945561871 +15896608021 +15836789852 +13617945717 +13678687372 +13950143458 +13965118083 +13995706030 +13997759800 +15870128347 +18876931294 +15831936197 +18503508004 +13645687248 +18835042924 +18515299912 +15870415205 +13959253672 +18574757631 +15191112407 +15818875743 +13615332117 +15852790846 +18810314243 +15801419700 +15182225580 +15842239423 +18801125515 +15135343805 +15881852902 +13991744768 +15856717022 +15894409219 +15857805020 +18864570313 +18580850681 +13624867329 +18563679735 +13942485074 +13650399175 +15181438245 +18838116088 +13919941996 +15886582307 +15132310532 +18819287662 +15129552483 +13638654937 +15805337812 +18816694296 +18591294926 +13639612029 +15855095725 +13681094385 +13945545741 +15190638143 +13669896647 +18521020450 +15149501570 +13632239751 +13649775914 +13630413557 +13606683339 +18885527597 +18509714214 +15177241643 +18522590316 +13671752127 +18891339720 +15122168323 +13992620724 +15898531131 +13969097610 +18885740912 +15896482378 +15186695803 +13686032228 +13612743344 +13973076150 +13685254767 +18520572638 +18889986105 +15137862791 +13602895202 +18828735605 +13610828107 +13670276254 +18563392318 +13997815651 +15889492421 +18560909364 +15825768891 +18593218024 +18527221095 +15185506304 +15851972597 +15187997431 +18866495949 +13931163451 +18804238015 +18562385240 +15861579633 +15117489919 +18859490972 +18572146239 +15813729654 +15886023768 +15121108831 +13696790345 +13967008043 +15830606942 +15820811858 +18893197880 +18574090828 +13649628278 +15170720784 +18526582395 +15193788259 +18583065486 +18505969111 +18584928771 +18806220602 +18578923198 +13980539858 +15827368426 +13923884659 +13623130199 +13611898693 +13696979340 +13613366973 +13607545062 +15136717126 +15153853325 +13605779034 +18857550224 +18802731164 +13648019382 +13662484072 +18844977290 +15829354525 +13624659369 +13957281487 +15888227289 +18882176454 +13683026294 +15124839979 +15152660543 +18830064971 +15100319557 +13608487676 +18831920926 +15152809562 +18860827411 +18550844758 +15176847051 +15890923899 +13652289588 +18522615792 +18518334078 +18577227852 +15132206383 +15153260494 +13600581508 +13910358968 +18521086995 +18871767052 +18880109985 +13962835209 +13907748666 +18838505567 +13684661753 +15861563498 +15870268605 +13986963901 +13665520309 +13612776590 +13995000947 +15803395929 +15813849703 +15139678464 +18557214234 +15183325987 +18505026107 +18529691048 +18848401952 +18552211980 +18536989251 +18556490281 +18514144629 +18559655791 +15827291512 +15140463001 +18827771829 +13625580560 +13614646487 +13920751611 +18586107035 +13921449020 +18865340511 +18505545578 +15181608592 +13690689184 +13988720489 +15804413998 +15849438819 +18526723407 +13972092022 +18844003284 +13916637837 +13962717543 +18870622618 +13662507661 +13989137643 +18593261472 +18875307150 +18509975551 +15893195497 +13910750828 +13606931584 +13954761144 +13968659909 +13647525509 +13911177279 +18526340031 +13950334825 +15192797361 +15170125786 +18509903231 +15187629036 +13625185307 +18824172426 +18829455302 +15184123868 +13976563354 +15829089772 +13989689636 +18575973112 +15126459253 +18519496912 +13611584463 +18562418515 +15820252560 +15848300034 +13951868992 +13929643589 +18894036988 +15873267030 +13697870469 +15832050296 +18574826701 +13980729901 +15100458587 +18523229464 +13633228482 +18820927581 +18842857133 +13978750007 +18558728751 +18557422944 +18803523285 +13911259737 +18824551624 +13940239409 +18512595690 +15805053700 +15800194135 +13925967239 +15858569403 +15859875636 +15189126107 +13615124289 +15197661233 +13624848247 +15839703630 +15883272502 +18879625723 +15134075883 +15861116910 +18516633097 +15870808448 +18535292560 +18850081257 +15113994643 +15829581058 +18510656242 +13997322206 +18559124711 +18545355032 +15842205656 +18527632546 +18580340166 +13987274315 +13954012052 +13679260523 +13618391892 +18544636197 +13688234132 +15114037659 +13657164745 +13956080297 +13670110505 +15828457130 +13981803729 +15151715209 +13957600467 +18555938140 +18506145610 +13629708514 +13611246063 +18546658187 +13969593704 +13628628985 +13629172636 +15871974993 +13972685928 +13981483404 +15110545654 +13909749601 +18531987340 +13946966176 +15873127152 +18527086694 +13957007040 +13603499383 +15164725370 +18809568362 +15154977347 +15889415793 +18882204780 +18868869008 +18532433691 +18848012426 +13670232410 +13945937459 +13638757168 +18899942281 +18878479433 +18520123928 +13993422491 +18519237936 +18598289358 +13978515710 +15803889900 +18524404828 +13993055050 +18893533690 +15127568678 +13966546290 +15122057993 +15885807163 +18540884740 +18870986173 +13960640402 +15819773488 +15835783728 +13606912168 +13699442823 +18557567783 +15832142324 +15148843660 +13951322639 +15810803197 +15806744074 +13976951731 +13966384404 +13913319217 +18817577426 +18854626881 +15875049428 +13693457007 +15856142747 +15179496366 +18547151842 +15853726088 +15114456810 +13927522464 +15142597973 +15171126596 +18804668493 +13976716837 +18892945488 +13601150889 +15854053745 +13902349867 +15176289623 +13901125308 +15163218182 +18567562318 +18548894672 +15185169068 +18880882051 +18508057849 +18842911791 +13625147918 +15833243309 +13901749124 +18598145432 +15870993449 +13654991336 +18826732769 +18875059868 +18567007430 +18835672706 +18867702840 +18846244374 +15195787097 +13909272010 +18535796852 +13953196511 +15836761432 +13900822739 +15839334629 +13934954207 +15115714624 +15890840977 +18500230284 +13928342001 +13639718622 +13658166120 +15168415391 +13990725306 +18585865526 +13696772793 +13912430228 +15865434713 +13968851345 +15166817742 +18578613955 +18808856365 +13632058222 +18516385557 +15808298120 +18525201725 +18870904657 +13915561129 +18854052724 +15858272301 +13604097955 +15808683652 +13994946623 +15157958742 +13926693879 +13621786104 +18584854946 +18849171885 +15159845977 +18828882681 +13685858130 +15176794246 +18585085761 +18520884921 +18821983648 +15848235407 +18563851819 +15850810986 +15813504044 +15100556443 +18526015401 +15120157048 +13633779921 +13924284344 +13989071783 +15824918782 +15144602330 +13685223610 +18860146306 +13644318780 +13921972416 +18899973582 +15879610112 +18856661321 +15170695741 +13614112994 +18823695214 +13946584529 +13925051896 +15810430893 +18890230584 +13657355508 +13607242527 +13605025436 +18831602627 +13648866175 +15878463282 +18512004383 +15834795013 +15181941162 +15899911832 +15151277026 +15130520934 +18860335539 +18521872592 +15171617696 +13681255945 +15805756468 +15861658274 +13973082189 +15828878463 +13945623354 +15189252103 +13974308836 +13606470387 +13985053966 +18552793598 +18809099177 +18824581375 +15810072089 +15857059926 +15108060325 +15819648330 +18599738344 +18527370123 +15178737774 +13607068666 +13658823947 +18872117499 +18870289137 +13915464335 +15882237841 +15805239703 +15111705536 +15808533717 +13687742347 +13965303822 +15824482634 +13685363475 +13649400616 +18820143734 +15876323930 +15861687903 +13601075589 +13680472912 +13934860791 +13924984524 +13996219736 +13944125570 +15889234723 +13628472054 +18853928405 +18850586211 +15866318362 +18857837379 +15890476324 +15887131629 +15167599910 +13671505383 +15845452304 +15849192114 +15842129312 +18517878213 +15133037167 +15899496420 +18807790397 +15193860754 +18843895020 +18577987619 +13684430462 +13925964530 +15132359992 +13647090893 +13623817349 +15142230949 +15830875445 +15867641606 +18866132472 +15820818265 +15866284260 +13903854768 +13637614376 +15138262147 +15872471466 +15865515585 +15135801264 +13659590859 +13916949096 +18530036440 +18864818216 +13971527429 +13624091340 +18823541002 +13919209990 +18599248203 +18548349416 +13925696323 +18837548829 +18849775787 +15162170268 +13691309671 +18801021059 +15189646249 +15858653467 +15868019077 +18832009112 +18563339199 +15159295640 +13675094089 +18853403665 +18876011044 +13933837533 +13653199807 +18888434850 +15848147181 +13928052211 +15154343613 +15884241481 +13911428847 +13974004558 +18814418231 +15840475968 +18500746618 +15109630937 +15848395319 +13946433108 +13938644714 +15891457858 +13633524316 +15827190745 +18556685954 +13604688095 +13981657595 +13923059759 +13949992588 +15854232153 +15157064322 +13619467594 +18891233572 +18584255254 +18808494751 +15865658286 +13927689455 +15131798660 +18555973074 +13641425082 +13630048079 +13932885915 +13679116745 +18581019687 +18844267111 +13986374466 +18885504219 +18568683921 +15825742788 +13946435907 +15187669581 +13636841998 +15831105804 +13605219146 +18580725554 +18893770859 +13601143340 +18823140351 +13686272289 +15148597156 +18802669368 +13689097887 +15819769698 +18876392289 +13661895492 +13600012741 +18865740824 +13990800620 +18857544434 +15887971943 +15815072663 +15121387744 +13943027752 +15893247735 +15102184518 +13993279970 +18854117994 +13618700468 +15895109756 +15162356020 +15825706548 +18819021951 +13600954556 +18802839507 +13932534654 +18595518092 +15110129729 +18857386254 +13939580503 +15834570536 +15139020071 +18510462572 +18598679873 +15174150695 +15889107822 +15855354106 +15881549340 +15836591358 +18548883836 +15871626175 +15835995078 +15836959018 +18503090840 +13989834079 +15159213708 +18878454068 +13900984196 +18841026895 +18534386232 +15125172204 +13640438770 +15114259991 +18829889238 +15844733269 +18543837089 +13980439752 +13915311520 +18581827028 +18577478020 +15809552755 +15112034955 +13620122355 +15891445367 +15113899679 +18826604029 +18567561467 +18541572758 +15811504419 +15892545943 +13986900281 +15845365061 +13984613272 +18541673640 +18804972930 +18532309479 +18543917631 +18829235916 +18590455433 +18523822378 +15812557731 +18551426171 +13659967392 +15892645752 +13619540256 +13984873812 +18826703781 +15135849727 +18542526962 +15103105466 +13636100997 +15139378175 +13979453196 +15182709257 +15124105641 +18856496217 +15164973652 +15827132525 +13969250924 +18869093150 +13665617893 +13623714105 +13678449999 +15135099057 +15177476412 +15866781905 +13906294108 +18891012817 +13614795050 +13658673333 +15867553131 +18568975219 +13973315008 +15152953800 +13907031970 +15838494017 +15125181149 +18870945534 +15153953124 +13633946318 +15869262471 +13603460953 +15122636616 +18846623810 +18832446291 +13983816589 +15156076363 +13996657081 +15894980562 +18839114687 +15143892128 +13919227972 +15847532930 +13995452583 +15174721041 +15139588466 +15882486422 +15164676819 +13956380439 +15896071592 +13951022765 +18568341511 +15160465242 +15184270882 +13614445919 +15198211490 +13974862710 +18855611019 +15194976757 +13919410937 +13612299042 +13665108463 +15128919619 +13908757836 +15875031826 +18809462023 +18814153467 +15833277279 +15846675768 +15107230913 +18885793906 +18858975910 +13682901535 +18546615906 +13981665646 +15851098403 +18875215920 +15138015842 +15132097451 +13636841927 +15836695752 +13972987150 +18514046166 +13932292462 +18882824176 +15862352765 +18534253580 +18549764034 +15122203731 +13685390555 +18887860272 +13625736674 +18594868304 +13674328324 +15867022067 +15143820632 +15811357759 +18811134615 +15152983775 +15116978192 +13600249672 +13600523785 +15148774321 +18512628906 +13648889638 +18537855111 +13962645308 +18844138592 +18575207778 +13624735903 +13919246964 +18851105151 +18818747730 +15158923513 +15828851175 +18505131169 +15879811838 +15112693027 +15139012062 +18845653055 +15880359292 +15126571908 +13900227603 +13981415055 +15160888908 +15191154888 +15861588755 +15158384726 +15153835207 +18880070499 +18584762259 +15127484687 +15100776492 +13985382801 +18867099244 +18538787222 +15190638296 +18539031132 +18832778918 +15111633152 +13967393855 +18550341113 +13934345864 +15100780864 +15842560906 +15150014296 +18568262199 +18583429988 +15170668535 +15149914509 +18825463476 +18851151973 +15134439089 +18896123844 +13998480531 +13938927647 +18847996430 +18853333207 +15104406122 +15803629707 +18585692599 +13611905886 +18846797437 +15824772508 +13933541612 +13968143024 +18526370162 +15181994089 +13633097244 +13649932732 +18886355597 +18882926529 +15135600341 +18806332709 +18854080886 +15150833091 +13994997565 +13632689863 +18557170868 +13931484449 +15877876769 +18893218017 +15862363749 +18828705704 +15854336509 +15104855316 +15846354376 +18846552493 +18893141534 +18815294380 +13958418946 +13989415106 +15848006394 +15120392955 +13962919734 +15129268579 +18875311299 +15863308128 +15888237701 +15172485781 +15147990424 +15132440344 +18598149809 +13693763507 +13990432143 +13642081964 +13901993697 +15826191887 +15159907388 +18574073118 +18542143236 +13923632524 +15867911370 +15866286424 +13929373802 +15802532501 +15153992221 +13681688572 +13993073592 +15854207980 +15173107253 +13918041926 +13684830669 +15861306293 +18826344304 +13649908102 +18520149315 +18836704779 +15126494166 +18568597115 +13931376753 +18511461393 +15153746953 +18581631044 +15155299761 +13906959435 +15109273879 +18569500380 +18512427480 +18861626645 +15108232430 +15173418847 +15887483398 +18516843121 +15857367124 +18884656092 +13631254659 +18803397328 +13998572676 +15140407224 +13679752274 +18500774515 +18514078339 +18506368813 +15800572093 +15848509015 +18842771036 +18572177263 +13935476973 +15889325948 +13913040723 +15195671175 +18879105527 +13969900634 +13609310866 +13680850483 +18886065631 +15858839019 +13932190754 +18836116686 +18558402000 +18500395805 +13925482637 +13690295964 +18805997622 +15194044469 +15885804987 +13987374530 +18510163902 +18865788401 +15110999271 +18595539342 +18894605801 +18515756101 +18530743245 +13951259067 +18560088828 +15881803060 +18505205472 +13671018152 +13692097423 +15885357190 +15128417593 +18556530695 +15149838505 +18829465416 +13642810537 +18567258927 +18552497588 +18580819997 +15107935702 +18556228337 +13902432626 +18523477216 +18893855703 +13917743600 +15159908313 +15871980578 +18845193294 +13682618506 +15858133418 +18546169979 +13912851792 +15810964431 +18522979980 +13638065861 +13688476202 +18865946557 +15818329328 +18564462900 +13917401166 +15874935624 +13626012312 +13923904617 +15193624490 +13613201900 +15108846914 +15877012950 +13907590194 +15837647880 +18574833498 +15802526864 +13986349407 +18885237903 +15166497519 +13674858093 +13644642006 +13938188345 +13684003965 +15109340003 +18836245761 +13922235323 +13626740180 +15809317021 +15815918923 +13649600954 +18877931606 +15884479340 +18547134582 +18597218537 +13971637265 +15852407128 +18844855980 +13698799056 +13626107845 +15857974495 +13615348258 +18579643896 +13699356904 +15161107104 +15867828182 +13989390139 +18572270861 +18822136249 +18883632531 +15178189972 +18875644895 +15821174698 +13940634252 +18863096448 +13928373821 +13912697818 +15175207605 +13900069713 +13663192106 +13953824887 +18845541584 +18532489845 +18830502276 +13631022291 +15112965297 +13645395799 +15895987815 +13917429676 +15192675444 +15883018950 +15197872858 +15122642793 +13973073865 +18812914824 +15102135005 +13689601113 +18575718037 +18852437571 +15802762775 +18829908912 +18567912859 +15813268896 +18886328018 +13939541269 +13649842181 +18552569889 +15823089204 +15883624360 +15855180184 +18831977860 +15134789676 +15842354012 +15109858364 +18838011263 +18886003201 +15161957832 +15836022429 +15867506441 +13652013973 +15801877832 +15872515032 +13626169542 +13974532361 +18588433685 +15183152932 +18501567176 +13620839486 +15148639078 +18836811087 +15832365375 +13692385430 +13990123124 +18585596003 +15884851330 +15809690406 +15848581402 +18805290127 +13649939941 +13930756466 +13600856293 +13912315725 +13612503452 +18593526276 +18551173709 +15830341867 +18511530774 +13901313466 +18541305495 +15857242746 +15811711766 +13601924543 +18512287549 +13693905258 +13935695265 +13955032550 +13977426472 +18805134434 +18845602802 +18847646104 +13934066585 +18899539123 +18581359784 +15822366549 +13649240887 +15892498428 +18511871858 +15145870932 +13606134233 +18810233558 +15807746689 +18559300478 +18584120641 +15164152531 +15863612000 +18895127759 +13937780336 +13658238224 +13971985705 +18856966599 +18816993073 +15864096355 +15139701401 +18890553367 +18890039116 +15185114935 +18803187431 +13616453231 +13616558328 +13693870337 +18533043349 +18585797348 +13607404262 +13947243561 +15874301363 +15893879432 +18892763823 +13922327491 +13968672291 +13994845560 +15852181241 +15862886136 +15827454058 +15160791731 +18821449092 +15824571133 +15194397725 +18820914833 +18834569855 +15836058844 +15875770812 +18592234992 +18850379298 +13625887728 +13950655804 +18573903205 +13617567144 +18598045965 +15867342875 +13993185389 +15806232993 +18826400760 +18586452502 +18868380761 +13600638266 +18548493785 +18517618300 +15164774225 +13948539034 +18595974098 +18523206725 +15851479460 +15803733911 +13667620354 +15100668691 +13651851562 +18591261881 +15862306375 +13616283111 +18857093604 +15832660299 +15897426661 +18533101897 +15133118174 +18592241329 +13604699704 +15174320559 +18566821103 +13664879406 +15855982683 +15197842746 +13647681857 +13656418441 +18859923005 +15104747328 +15823074390 +13625248132 +13644539534 +15803969055 +13672176888 +13914025877 +15821761499 +18816752704 +15102012279 +13628859927 +15117392484 +18591623745 +13902245088 +15828490059 +13658427215 +15108834487 +15800874615 +13627513383 +18870934389 +15196834037 +13929909063 +15144151058 +18891416545 +15162592376 +18575436670 +13957339363 +13685584017 +13674416154 +18597602910 +13996745553 +13999039345 +15867207033 +13966590138 +15152319920 +18861116409 +18560010491 +18569503000 +15898831563 +18853191690 +18518496236 +15160127066 +18594559137 +18816612749 +15834859848 +18825062497 +15890550969 +13972042369 +15198020829 +18835607307 +15823629140 +15149279692 +15145294668 +15111048926 +18568765506 +15117323038 +13933349999 +13626779301 +15162670795 +15868197216 +18814784302 +18880291460 +18800816805 +15840196673 +18526892963 +15893560839 +13949821487 +15162102033 +15196085205 +18897570029 +15898750566 +13929471621 +18526210661 +13972033182 +15134432730 +18549483204 +13933556178 +18571534050 +15891652135 +15137827062 +15829167450 +18555959308 +18563370956 +15830098141 +18843072222 +15803964520 +18552737853 +15884789350 +13654976317 +15170346938 +15825551181 +15872830125 +13618440753 +18587895895 +18881337194 +15808564934 +15170639865 +13670944167 +13953624664 +13647310477 +15152249452 +15830532796 +15188212765 +18867609745 +13648131116 +13633305320 +18886383363 +15872526198 +13973608845 +15124854218 +15892253081 +13617736206 +18820160325 +15881926292 +15129173137 +18860303672 +15195656442 +18834976250 +15802010714 +15891145347 +13920168186 +13956044169 +15856456338 +15111340447 +15826374294 +18505569205 +15158100615 +18521261698 +18854347923 +13978155790 +18893362140 +13620440265 +15128299166 +13690599332 +15148821542 +13646121396 +15857813961 +15127360272 +13613648049 +13654657637 +18851582542 +15119281496 +15105415644 +15167619893 +15865382520 +18500892038 +13613521775 +13618836267 +13908065608 +18843924438 +18587555965 +18815615429 +13607060319 +13634659765 +18830977777 +18803311217 +18859145755 +15165162139 +13644974382 +15162049394 +13648278550 +18508257368 +15132024954 +18505628301 +13967224313 +15121708472 +18598301759 +18572276016 +18511356367 +13937797406 +13686312454 +13948735297 +13922014160 +18816014152 +13628050653 +15150819749 +13601853129 +18876571997 +13667847340 +13641978586 +18500970081 +13985057990 +15165900552 +18536894094 +15881768843 +18889170669 +15103993930 +18556679756 +13986022970 +15189693173 +15164578121 +18815212145 +15847763083 +18891332843 +13945382154 +18509787738 +15193726851 +18585928574 +15846669983 +18892419028 +15848349859 +18520194596 +15179394421 +13952103787 +18584877255 +13696446510 +13969147221 +13655049357 +13990386856 +13938891363 +15805146591 +15135982046 +18598364838 +13694043967 +18891289097 +13694507018 +15823364265 +13612310776 +13672804690 +13686051697 +18513807650 +15163172646 +15113957942 +13692999361 +13651073786 +15824284542 +18800729219 +15197604877 +15823584824 +13616219428 +15139350595 +18864866367 +18573225779 +18568327447 +18896318983 +18554306000 +18849834083 +18858428983 +13941995180 +15178312260 +18820918685 +13685582122 +18553327282 +18866870532 +18593631097 +15145908607 +15160204206 +15153708583 +13679530045 +13633491546 +15167750500 +18518063087 +13937455943 +18523042845 +18896743893 +18866926491 +18805922969 +15181027699 +13617743539 +13979405100 +15103704818 +18806481688 +15873558161 +18825866724 +18515302884 +13927379173 +13903106663 +13641087352 +18873608756 +13695866221 +18565554616 +18897866077 +15197273691 +13928158982 +15122180863 +15828987726 +13996878332 +18578307651 +13949036115 +18544331053 +15109078112 +15115534441 +15880140811 +13693322150 +15164748470 +13662056067 +18542116737 +18816533677 +13663278780 +15827408488 +13611320341 +13685046006 +13913152344 +15177125726 +18859261817 +18822478229 +13696754478 +13613730280 +15147599796 +18897052582 +18876140365 +15128348253 +13633652174 +13695703481 +15129145083 +15102464364 +15138951299 +18585658479 +15875520986 +13921557115 +15836059467 +15834007855 +18569294468 +15123910086 +13682352530 +15805309648 +15833246724 +18541711737 +15855011317 +15876107594 +18828004433 +18509573302 +13993158291 +15101829920 +15850993752 +13636200603 +15830779144 +13944263323 +15192652708 +13631904048 +15823247300 +13964303915 +13902108540 +18815687556 +13962959585 +18595754631 +15838901071 +15883529827 +18505731481 +18847981326 +15146483967 +13699150307 +13964855854 +13965482830 +13628433053 +18546789836 +13905579623 +18540376159 +18533022177 +13936154192 +13944630938 +15825206970 +13600427404 +15806723737 +13952362421 +18810139982 +18516708830 +18841898100 +15180735832 +15190902676 +13632584598 +15887877792 +18824886654 +13993860598 +18850114865 +18591510185 +18888889843 +18831994213 +18598627739 +18577870125 +15165501425 +18520295953 +13943340619 +13606424681 +13682570184 +13603511414 +15121725835 +13603439298 +15189693402 +15138126476 +15880079084 +18509558427 +18506654102 +13670945755 +13691547788 +15163712057 +18508056601 +13615313433 +13657545689 +13972745391 +13641345667 +15842197133 +18887138122 +18573102915 +15872813487 +13680913271 +13989661444 +15878989747 +18541428080 +18543908336 +15120141937 +15171601118 +15872953481 +15192178057 +18829346624 +18508409673 +15858680720 +18837145472 +15105023152 +13695001693 +13957899378 +18536337307 +13676555150 +13989157220 +13978093350 +13679787853 +13909434566 +13635550794 +18804543376 +13654527053 +15840124965 +15816615344 +18518926999 +13622210966 +13978698750 +18587068879 +18538604285 +18588481675 +18525557438 +15171563552 +13645881863 +18521225384 +18586103197 +13613620586 +15830517958 +15193671457 +13924172602 +13934239669 +13679241589 +13637204536 +18533119907 +15198556582 +15155168218 +13959397230 +15151524940 +15877753292 +18848704920 +18898098999 +15129299719 +18564962675 +18560014686 +15172516838 +13981706463 +18827395249 +15863157699 +15119430653 +18852993821 +18830286908 +15817427062 +15864769044 +15823533305 +13609182556 +15878899136 +15160746692 +13967241821 +15121652412 +15153522505 +15109827438 +13914412157 +18595035003 +15140244234 +15130005481 +18818213692 +18820236298 +15181942828 +18851264707 +18590976794 +15886464854 +15840596552 +18834444280 +15182695460 +13674218645 +18811692965 +13909681961 +15137613072 +15137811344 +15800591680 +15134327939 +13674313621 +15853347883 +13644140957 +18810736317 +18505135932 +15863654705 +18872940980 +15808910643 +13927096563 +18580019620 +18504035681 +18895481979 +13995760015 +15116450949 +13968882134 +15110666375 +18886673405 +15125853175 +15122095058 +13661100348 +15100759654 +15145154061 +15828405812 +15803196134 +18830860350 +13902884265 +18549031250 +15885214757 +18879045619 +18539265195 +18804196431 +15142262106 +15889476290 +15854594879 +15820396836 +18586750899 +15883568677 +15140452363 +15826615862 +18891236007 +15812774479 +18853398471 +18895459269 +15177085732 +15815953197 +18549301460 +18865932987 +18544193018 +15122059743 +13654414894 +18825188488 +13687848750 +13637109684 +15143011243 +13931516973 +18821575778 +15815841127 +18574871832 +15852110096 +13996745784 +15160445637 +15848851392 +18519694414 +15190320044 +15815435956 +13933693964 +15835423014 +15197090081 +18531208937 +15895260047 +13982375517 +13611354257 +13951750255 +13613665137 +18503699286 +13900506679 +18535704995 +18540825721 +18591078071 +13685317535 +18584331304 +13925284533 +18881325651 +15144650275 +13987110101 +15877474087 +13642211060 +13950704854 +15850625437 +15145115732 +18572940034 +13927577798 +13912601776 +13633618103 +13621032519 +13646395757 +18829685750 +13914796718 +15894191328 +18582961206 +18888025059 +15133936105 +18836592167 +15150887374 +15125823489 +13644618057 +18874863147 +15876844178 +13908922133 +15168958427 +15133831913 +15175480870 +15175479764 +15178216656 +18515415088 +15139804707 +13989475943 +18575036875 +15851519412 +18549017372 +15823806355 +15142893625 +13925932619 +13620814726 +18888120384 +13669887680 +13691331011 +18582202023 +18501651052 +13999516922 +15150667393 +13985372566 +18804542218 +15835107191 +13970291472 +13605240226 +15862762683 +13911176561 +15824579130 +18802112140 +15155538858 +18873155268 +13693434428 +18820667396 +15872085614 +18525647897 +13942378798 +15865950683 +13635503989 +13687419491 +18815144972 +15885465323 +13630328683 +15123249092 +15149825272 +15866319681 +18506132547 +13639522500 +13627789324 +18837422893 +15183428726 +13695790906 +13930246869 +18529425506 +18509543166 +15848652618 +13641123603 +13965338294 +18812873806 +15869164690 +18574472439 +13934172784 +18806834081 +15883227119 +13934001506 +13615246553 +15815847171 +18593723001 +15123524279 +13617718013 +13615119165 +18857530404 +15198312574 +18848535657 +15877979184 +18509089569 +13957319641 +15823593001 +13994517191 +15827095615 +18810143548 +13981148196 +15870320740 +13947041213 +13613711460 +15188068280 +13635370155 +18820789873 +15813936499 +18503337540 +13907691538 +18586125856 +13999858621 +18801503124 +18809075073 +18896800301 +15128338645 +18569221821 +15125150608 +15833137640 +18825227788 +18823940974 +13965236160 +13647854049 +18545074786 +15170132320 +18516218297 +13695293210 +18844642248 +18509951863 +15805375621 +15864602058 +13628943348 +15809268461 +18575213301 +13953626764 +13902452935 +18516520070 +13691042703 +18843315335 +15180278195 +18532903166 +13649549636 +13922726476 +18532998017 +15890488652 +15171357599 +18593685911 +15136944109 +18885210387 +18889258210 +18516139455 +13943841485 +15151354984 +15878179293 +13937958756 +18843979524 +18527430710 +18866663702 +13642085892 +13923460193 +15172926850 +15169017433 +13659327885 +13687856932 +18818164540 +15894465637 +15887274029 +15823960450 +18554181802 +15833070479 +18875621229 +18593181035 +13684259725 +18866285155 +13653327669 +13954804147 +15129945319 +15163518841 +18560303060 +13618639481 +15181306529 +18810952919 +13903733878 +13612675275 +13998029841 +13992922521 +15802680365 +18815955231 +13902808040 +13946067998 +13952416176 +18871080020 +18883189951 +13934572826 +13603945242 +13656928511 +18598516770 +13663052776 +18594132395 +15816122537 +18544687398 +18896376421 +13622198300 +13651514465 +18555103324 +15894391940 +13950301281 +13998490543 +13909299818 +13684950015 +13653117081 +18866327921 +13955835581 +13623668565 +15184873435 +18564784787 +18832525578 +13913454390 +15820595932 +18522461577 +18813546666 +18506747244 +18503491369 +13966087777 +15195492614 +18835240527 +13619421717 +13664796341 +15892503456 +15860985493 +18879800344 +13987504076 +13617730953 +13615992359 +15180192634 +15873145454 +15141310090 +15860472268 +18822637244 +13622159543 +18584077161 +13658837277 +13960778367 +18500360926 +13642990293 +13606345176 +13958488927 +18514983177 +18872803672 +18851670887 +15897836825 +15145505337 +18533186619 +13679570146 +15824975908 +15134597192 +13928768150 +18810016364 +15831710650 +13985460478 +15144633561 +15164193621 +15851308890 +15834672602 +18857173154 +18840643108 +18882979888 +15160125495 +13656151160 +15129811214 +13993961427 +18832727718 +15170348661 +13645641767 +13604122462 +13988218757 +15899882304 +13962107733 +13691379428 +15154000003 +15835354899 +18530503833 +18811139995 +13977372007 +13661741864 +18524880708 +13923009494 +13624825198 +18529686233 +13986248185 +15110335216 +13946047222 +13619558233 +18532333017 +15815039774 +15198069479 +15839152813 +18804181540 +15898852732 +15836283381 +18529746774 +15809456178 +18832674625 +13929973327 +18520272631 +15809425589 +15813848255 +18813367436 +15874670374 +15883963563 +18520627593 +13639175397 +18869985375 +13937610696 +18865931215 +13901017233 +13964678937 +15152677351 +18878144098 +13625087780 +13631871140 +15171188494 +13620466108 +18531407745 +13653981463 +13990911995 +15151645356 +18579884994 +15818118044 +18522602935 +18802885564 +15199315537 +13600826547 +15136207527 +15897716281 +13950004374 +15115428751 +13962032725 +15164610276 +18563575209 +18555063587 +13683216317 +18855446585 +13996687451 +15108412174 +13933231584 +13620748858 +18583541897 +15186704004 +15890367450 +13967394683 +13647130905 +13615697925 +18873876267 +13638293145 +18885719797 +15852294530 +15115723160 +18855553939 +18550992321 +13612872264 +13994531316 +13606143513 +18825163747 +15801505737 +15109306719 +15149139188 +15152218749 +18536229849 +13642431295 +15186936532 +15197062881 +18815323040 +15132053321 +15827275570 +13640108303 +15128875848 +13676248593 +13970406757 +18859441537 +18805811274 +15807622862 +15178739224 +18876676836 +18815057905 +18523198932 +18579606757 +15887038244 +13674299272 +15859942443 +13612992759 +18801292170 +18594581186 +15103894707 +18814447343 +18511433653 +15137426864 +13661847559 +18849773198 +18816789493 +18506462612 +15896303188 +13653877611 +18855409986 +15120041682 +15811685072 +15174514116 +18548172671 +13985005798 +18503377672 +15830162091 +18520824708 +13906985250 +18840750970 +13687518299 +15100156812 +15133313110 +15120700555 +18843663619 +13982620168 +13676919805 +15130206378 +15854562092 +18800436451 +18545283784 +15188527894 +13654520261 +13968357873 +15175355457 +15165322469 +15125589363 +13907432463 +13682639876 +15875398800 +13650789048 +13610375082 +13993628567 +13996501120 +15118986886 +18539646567 +13925458192 +18530184935 +15897023078 +15851977188 +18523069004 +15873113727 +15886467610 +18570314468 +15195878123 +18890990888 +15192526699 +13964146333 +13988798915 +18583337854 +13985386378 +13988262556 +13924598377 +18517115659 +13930466660 +18853276321 +15861680673 +13664610470 +15185883193 +13939793351 +15883343254 +15122392919 +18517145271 +13927687008 +18882226167 +18887063183 +15873005974 +15151496966 +18819975388 +15152438430 +18858712655 +18580038565 +15862559569 +15834531807 +18871078471 +13919671838 +13916163202 +18885560953 +15852354957 +18573253112 +15842342509 +18885190640 +13686400477 +15870045583 +18574436673 +15862809244 +13965344173 +18801715974 +18838937803 +13970615879 +18828135618 +15820394343 +18866268618 +13956797722 +18870739962 +13934656088 +18890791438 +15100677339 +15184533872 +13955235818 +18563777123 +18541683932 +18596695393 +15883226549 +15872922706 +13669408471 +13653294128 +18860092837 +15112058533 +18888292027 +15871611604 +18517056498 +13949062719 +13995082122 +15174134378 +13654531989 +18577706532 +15801206050 +15801331280 +13665704076 +13974912864 +15146041322 +15817148233 +13678590218 +18806217251 +15171103030 +15833715866 +13907212601 +13912760781 +13945803857 +13976845389 +18884377877 +18891077839 +15842761584 +13640222717 +18826295639 +15862472600 +13629754949 +15835853838 +13698720219 +15131817233 +15835405754 +15884526397 +13609947934 +15846536059 +15874998999 +18597362118 +13637120194 +18834148945 +15816307146 +18530858120 +15852704144 +15164271924 +15897532418 +18817154444 +18846111413 +13953061267 +18574896415 +18801642972 +13992447506 +18527664642 +13627790673 +15868515398 +13991993350 +18505903097 +18580367744 +15124769844 +13956650523 +13680494117 +18572408017 +13654170155 +13654480328 +18539176855 +18576823200 +15182580617 +15178857986 +18595248581 +18513577841 +18851704405 +15823583665 +13698095917 +15155375868 +18527095867 +18813891694 +13957004324 +18813172952 +18837760855 +13610902882 +18544878008 +18512565946 +15867826048 +15170027802 +18552379631 +15803982638 +13660975315 +18583601234 +15190519004 +18843157040 +13990488801 +15883331336 +18878628507 +18833253136 +18562850747 +15832746838 +18849952951 +18830810703 +15124644997 +13972182200 +15103685860 +18504072058 +15101449005 +13931776689 +15169420892 +18524705088 +18861925175 +15899498467 +15154679961 +15177863234 +18599544982 +15800089412 +15104349801 +18571637266 +15854967381 +15801516603 +18823634928 +13652578451 +13973708779 +13904096500 +13928511379 +18561783596 +18552476521 +18876187422 +13673881462 +18870519930 +18578441364 +13982091716 +13662198709 +15840585762 +18510639473 +18844180745 +18502737959 +15877670773 +15818096607 +18587989391 +15173304200 +13673384923 +15169673117 +13979395432 +13956013094 +15101831154 +18867867490 +18847436656 +18558202754 +15863540910 +18867743578 +13606683821 +15860853204 +18573586890 +15152112315 +15147771450 +15170667016 +15816459749 +15163159522 +15168693922 +18558656577 +15871575289 +15818710221 +18552526971 +13627157483 +15881752118 +15172509633 +15132634070 +18545227035 +15196721460 +13983673783 +18519784666 +13653840529 +18577516090 +13994690089 +13940537127 +13663706971 +18547560307 +13691562120 +15874356802 +18856390035 +13695198813 +15110328793 +13913774309 +13982899114 +18519614768 +13937732871 +13918249759 +13969456492 +15885520400 +18878139470 +13643705362 +18848849948 +13984816196 +18557217764 +18817900881 +18865887540 +18500638386 +13936479151 +13930575901 +18583533733 +15147507833 +13948589713 +18548231730 +15173802927 +15159919937 +15897592790 +13654414072 +15840496215 +15128444547 +18871042473 +18871870094 +15898350658 +18578096219 +18570511790 +15866144637 +15113681289 +13909227652 +15159852419 +15126608836 +18516662583 +18880229464 +18548348865 +15805134898 +18562814413 +15887131149 +15176464406 +15891582358 +18851365468 +18504923003 +15892678397 +18567713772 +15102947360 +15857058962 +18551230265 +15886429544 +13693728128 +18552556814 +13610717915 +13687557092 +13930725523 +15830956254 +15135191229 +15885409676 +15826149392 +13664467603 +18836251643 +13995869541 +18895470230 +18517876404 +18511326456 +18893385031 +18821468191 +13682200234 +18527008904 +18889048039 +18840843805 +15818199130 +13655239746 +15187714131 +15875365582 +18547314413 +15188485526 +13943923986 +13907084914 +15831783010 +15157232409 +15882337192 +18545827740 +13671953706 +15877602197 +18533760456 +15806045048 +18526074340 +18513885883 +15194207467 +15113740611 +15145585646 +18824996871 +13620403341 +15114822521 +18505275372 +18866899128 +15140598253 +13681970567 +18531990628 +18899771044 +13657520937 +18880940488 +15101595568 +13987526189 +13677662466 +15132725619 +15136593481 +13963099830 +13634625094 +15161580199 +18804828534 +15158609555 +13663677185 +15130528168 +15891313365 +18563878201 +18815676248 +13631492456 +18512219110 +15141822346 +13685095806 +13961751924 +15102218621 +13944766559 +18582169276 +13628401573 +13626926991 +13949471478 +13920270693 +13951657675 +13929955407 +15161821702 +18815117100 +15185411867 +15828372849 +13602677300 +18842027790 +13607407923 +15862310679 +13631809044 +13650382213 +13612645282 +18542437404 +13651447612 +18599901587 +13648211043 +13910986681 +13645934384 +15868007333 +18813205285 +13676450809 +18547798508 +13637913223 +15815571759 +18824849670 +13977259484 +13694618543 +18535862466 +18856779915 +15889967211 +18834429105 +18824185506 +13619582368 +13605410935 +13969312788 +15174790612 +15800570069 +13909494863 +13996229777 +13671477182 +15876361560 +18820032790 +18596620757 +18508731342 +15806160078 +18865154596 +18541097429 +13670751673 +13928766228 +13617597119 +15140958408 +18888227377 +18894763507 +13656223990 +18894717082 +13671407660 +15167466870 +15145584245 +15162531566 +13624977415 +13699627539 +13647828714 +13653711010 +15881794425 +18845203724 +13617756239 +15829459610 +15831882685 +15197582210 +18545890996 +18844630743 +13991986911 +18881219389 +15817611445 +18823459398 +13987027888 +18533817756 +18825601952 +18861130708 +13648020370 +13656296970 +13992391243 +15898462563 +18531920642 +18590858488 +15160418141 +13667531240 +13988690084 +13670483360 +15828020145 +13678557750 +15122166239 +18856061008 +18578584550 +18515081764 +18508026005 +18871495039 +13677972998 +15862951240 +18563282455 +18567357277 +15898763105 +15166148069 +13936283449 +15857974229 +15177146708 +18869084735 +18514913348 +13963880790 +15184094218 +18817280000 +13917637498 +18815963403 +15811607801 +18545370398 +18836610666 +15158461736 +15166542520 +15125694606 +15167194698 +13686849726 +15125679208 +15100258702 +13950197302 +13997275998 +13618849274 +13986601180 +15198829940 +18855842763 +18839516205 +18852292926 +15181061023 +15124317288 +13959865204 +13979200191 +18501890537 +13680602787 +15803990028 +18548532885 +13988204993 +13997631909 +15117821090 +15844179414 +15157626862 +18890288563 +18894192241 +18829661916 +18518897571 +18548381205 +13918760080 +13648090286 +15864620891 +18815435792 +13612952535 +18818472146 +15162673599 +15114224610 +18560423911 +13944868525 +18577823797 +13609597811 +13962726094 +13956375994 +13980360536 +18582439195 +18827546561 +15160908634 +18550449838 +13901506742 +18833098211 +13647618241 +13635934953 +15156510446 +18839179620 +15141973153 +15174307201 +18844825313 +13902553768 +18813725336 +18868565341 +15125460882 +15846678534 +15818812462 +18512210925 +15192402989 +13644694139 +18555269367 +13640431849 +15882659884 +18528929432 +13910785074 +15815329898 +13928215263 +15864052171 +18896165494 +18542874221 +13918027714 +18542614516 +18898951820 +13666713193 +13971543510 +13614254419 +13622594512 +18509848359 +15108439469 +13937298526 +18888606946 +15132652434 +18597271661 +15175977680 +15145144317 +13689629524 +15885611708 +15824613047 +13938577654 +13977749228 +13632501103 +15815997504 +15127886950 +18897079788 +15861728482 +18528385423 +15194217179 +18519737884 +15192402277 +15808222785 +18809017251 +15153420181 +18506264060 +15893824594 +18501885159 +18826217476 +18835099847 +15839644363 +15809934882 +18584420431 +18583723235 +13937003795 +13612872960 +18592621677 +15823874986 +13626533936 +15805095875 +18825924245 +13988057558 +18807466055 +15866965023 +13994124429 +18527536993 +13938944461 +15156403592 +13950803245 +18542856946 +15196774621 +13637886065 +15856547965 +15815576781 +18588176841 +18845716396 +15860909379 +15852276474 +15889144577 +13665506527 +15148070171 +13600816930 +18570744160 +13935839098 +18510682802 +15842505965 +18574233459 +13630445048 +13609560627 +15803908803 +15885885236 +18829032375 +13965367317 +18587964335 +15141118999 +13627316775 +18536274811 +18871578572 +13602013354 +18540069952 +13629839248 +13933832463 +15835519975 +13921968310 +18881371493 +15814820500 +15196736833 +13654600097 +13621509893 +18549041857 +13680670059 +18892090947 +18801576229 +13931568171 +15176126215 +13635514399 +18550869576 +13975266176 +18862376671 +13603976131 +18591994374 +13636288266 +15890739853 +18847365969 +13637111078 +18558427930 +18559834290 +18595778830 +15186476279 +13658976136 +15101296797 +13687879678 +15861650551 +13937457743 +13939045542 +18556789702 +15885931731 +15896462455 +13948422056 +15862409436 +13681623244 +18544242562 +13672135246 +15830869310 +15835133724 +18511215924 +18513341126 +15846114859 +15877900905 +15867134487 +15191500595 +18885356545 +15156216169 +13932388260 +18825578267 +13969833431 +13953330397 +15807817824 +18566027512 +18875708106 +13638717164 +15805810532 +13996074190 +13693140045 +13977492344 +15119026952 +13657283318 +15191457712 +18892918178 +18887577719 +18511702972 +13970813735 +15820849635 +18855695785 +13648848635 +13611703433 +15834582727 +13645258971 +18578322689 +13695201613 +15877612903 +13668174258 +15115813820 +18867010541 +13615468042 +15170444535 +15199347178 +15838659057 +15852737527 +13927593547 +18898573700 +15166301880 +18880689300 +15107696821 +15180723193 +13663870742 +13975130536 +15178989193 +15873742215 +18816672343 +13650207917 +15146985789 +18513329144 +13909286682 +15157122334 +15842882462 +18815662878 +13667411626 +15899203788 +13610430391 +15880001972 +18520734916 +13950744545 +13670768388 +18551091951 +13956693526 +18816192555 +18586927852 +15171661073 +18581594438 +15865082884 +15184948257 +13638848759 +15107299577 +13936591731 +15891419760 +15842080410 +15852815813 +15806884052 +15824628315 +13677572556 +13964705127 +15174316424 +18806112024 +13624311450 +13622690653 +15804840624 +15100444401 +18566061101 +15813912588 +13904335284 +13986036188 +13682626260 +18840978886 +18801189577 +13978692622 +15892991588 +13974366278 +13674167479 +15122238689 +18806191257 +13977829128 +13906764745 +18882059112 +13975286594 +13681258922 +18533512188 +15889403658 +13687126282 +13679361181 +13979568769 +18818755440 +18520635029 +15804467253 +13906731861 +15182835963 +13954129436 +13688453291 +15147899096 +15842431845 +18573345892 +13947537136 +18835658976 +13656722749 +13962152084 +15871986028 +18503895864 +15101163559 +15805605252 +15857789489 +13995929202 +15817773105 +18525796000 +18523556377 +13909624885 +15153476751 +18807694840 +13987919674 +18562046245 +15887268641 +15864866200 +15809353499 +15145844971 +13668558137 +18513082609 +15826431023 +15131880027 +15874708376 +13622839604 +18872991355 +15159399258 +13685093388 +13948247183 +18841214257 +18508690715 +15144026673 +13647663504 +15871588846 +13609477073 +15819801062 +15149964267 +15111749635 +18862165294 +13634014108 +18807130770 +18582462927 +15869121185 +13657446416 +13907685649 +13693988974 +18532472209 +13634885652 +13654304943 +13962085764 +15158604089 +15815115244 +15876339430 +15137633441 +13683708380 +15114602355 +13956964570 +15185372207 +18887223928 +13627671862 +18879983028 +18813598894 +15187967033 +15858664654 +13620072425 +18508127421 +13962259470 +13628516407 +15855819006 +18813946258 +13972827364 +18510132142 +15100758968 +13976491282 +13680927519 +15136525748 +15873242276 +15129392498 +15103203915 +15842872141 +13640188597 +13604034979 +15166521751 +15800966731 +15887291595 +15831316106 +18541978323 +15878252403 +13685172635 +15145565291 +13923249581 +15845469268 +13674571656 +18535752364 +15174424355 +15858108465 +18813701063 +13686113191 +18561983748 +13672290802 +13672698430 +18844189217 +13955895656 +13696903404 +18866125765 +13950993272 +18544895694 +18564299887 +18573774003 +15118677979 +13629629684 +13955670551 +15884211880 +15156199253 +15802968498 +15824641982 +18804016751 +15139138222 +18872492750 +13945492330 +15163914900 +13680127808 +18537452546 +13618282052 +15840699549 +15172189086 +15846941645 +18887419527 +15899294608 +18899399919 +15801411818 +18534608799 +15836644406 +13689264665 +15134742841 +18506195967 +15121929294 +15126663745 +18558156103 +18867372204 +13644409508 +13967008691 +15811114037 +18822166718 +15803851943 +15879446477 +18569837552 +15834459121 +15169855763 +13940473714 +13900996104 +13929317435 +18591894518 +15155875026 +13912225357 +13663797672 +15146559123 +18587523795 +15811985953 +13625291862 +15138352314 +18874753013 +18885447490 +15151375172 +15146164485 +18855898165 +15163345580 +18837506833 +18590004322 +13668513795 +13672979449 +18517754643 +18855054584 +15116553765 +18552265085 +13930899532 +18579240806 +15834551723 +13629560470 +13914191210 +18535216670 +13635003935 +13909104126 +15196525969 +18522354523 +15158432739 +18531567860 +18883473806 +15831745384 +13967389725 +15836226744 +15825038636 +13605000855 +15166872742 +18854887592 +15832538357 +13606568765 +15154683642 +15163714342 +13942674926 +15893544138 +13995709150 +15819788643 +18579373538 +13650658643 +15190645425 +15877985979 +15830195226 +18502184264 +13641661752 +18820334087 +18501825279 +18520323287 +13632582265 +15888498126 +18882202347 +13941164539 +15125821975 +15182764042 +13698838343 +15882789850 +18552230581 +18546363861 +13688168757 +15832475539 +18521913907 +15106636747 +15196023353 +15198632244 +13954452759 +18586935701 +15180504375 +18539356551 +18850565273 +15853711590 +15883839708 +15827185813 +15159108580 +15860830680 +15812704570 +15108550984 +18898299583 +18896239117 +13983896371 +13998323684 +15114102339 +15863460807 +13689967522 +15193172589 +15133877707 +15868989001 +15141377257 +13945116124 +13678050441 +13948706624 +15112435088 +13660904294 +18804026938 +15827784678 +15173669249 +15191817578 +15139396443 +18508344712 +15184482775 +15828789259 +15147077084 +13916694140 +13638933901 +18574121854 +18885853605 +15869151585 +18849336872 +15197510870 +15124519685 +15834666650 +15877311248 +13649633009 +13601921974 +18553259411 +18812833567 +15139671668 +13975211087 +15820792709 +15820538457 +18811528751 +15859152745 +13940882570 +13966439567 +18868135740 +13978552698 +13960761877 +18507403380 +15815430965 +15839115871 +13602917812 +18513595700 +13994572228 +13940107920 +13932751614 +15835071305 +18874342837 +15114544713 +15872920486 +15886949332 +13645083095 +18581942605 +15863441159 +15881485737 +15857602621 +13953207521 +15145533091 +18839617775 +13609252810 +18558863679 +15195844786 +13667754960 +18810633828 +18576731002 +13660614970 +13993326829 +15862679996 +15817496109 +18598876433 +15187778249 +18821326271 +15851518542 +15161071622 +13618982001 +15846436769 +13933582398 +13990422701 +18587345262 +18854336109 +15886133205 +15186602423 +18836255629 +15163786811 +18863150056 +15826439236 +15182385685 +15825927815 +13661165919 +13953836014 +15838774841 +15856369736 +13660861335 +18523450932 +15140934522 +13918501719 +13618622766 +18580105801 +15808006890 +15800179946 +18841766930 +15818139563 +18576483503 +18886592526 +18575751102 +15140618206 +18887632643 +15105284414 +15876450399 +15862225919 +18842600443 +18809399508 +15163131595 +18529320109 +15816141535 +15182356828 +13645832596 +13972786825 +18579315375 +13605938230 +18553870321 +15149247493 +15802439925 +13632733387 +18513591997 +18541019844 +18895991083 +18873182665 +18502756442 +13927901172 +13924124355 +13666542813 +15863786641 +13996900608 +18853496244 +15892725967 +13902529876 +13679415746 +18885926534 +18864545557 +15877268915 +13679958937 +13640079177 +15194751449 +15835317990 +18806070193 +13963938684 +15196980810 +13645874273 +15129084995 +15124090341 +15111016149 +18506362468 +18585095072 +15874743687 +13643539019 +18818977754 +13991185378 +15168192468 +13993461802 +13629183534 +18564082533 +18878272496 +18804776516 +18848429006 +15115845400 +18885038911 +18868377334 +13973624444 +18845389648 +15811194826 +15872556078 +13685986674 +15172164017 +15820697431 +15105464830 +18506881206 +13977936807 +13672169284 +18520907822 +18501466375 +15861556728 +15128235339 +18597493295 +15145147586 +13922795235 +15187288974 +15851398195 +13971148111 +13651027051 +15890039737 +15825920362 +18849611856 +18867184398 +15876429908 +13642964080 +13641208039 +18557143607 +13627298758 +15853596530 +15860342537 +15139640391 +13626040512 +13920210486 +15142929263 +15892243827 +15180051053 +15853579388 +15115899837 +13644472587 +18507386467 +18512359699 +15812582638 +18529843601 +15821870452 +18543025172 +13937233978 +15198654528 +13619080451 +15850109926 +15157910745 +15805264432 +13915241189 +18812822707 +15898066897 +18568444412 +18529299301 +15126159870 +13952293480 +13610245335 +18829109736 +15165349885 +15151404154 +18890920901 +15880515349 +18876019137 +15199364948 +13694731378 +15146161788 +15118963371 +18569005329 +13950866270 +15117216323 +15899191774 +13959821864 +15115099617 +15139210325 +18517238868 +13953254246 +15840318731 +15809538130 +13680090780 +18531356439 +18887068299 +13904725129 +18874097072 +13949017669 +15104041363 +13913654422 +18592485547 +13910728358 +15849136326 +13950412307 +15199660948 +13615148137 +15197360539 +18851198851 +15147163100 +15134776637 +13926388063 +15814358096 +13606327258 +15851201869 +18530417428 +13962547921 +18806654721 +15175449890 +13961770194 +18512203522 +13942654490 +13921182703 +18554447622 +18539747620 +18843417809 +15809564134 +15153068846 +18564813973 +13627051371 +13965981310 +15830157010 +18536006062 +13651411516 +18534159214 +13948925609 +18551908768 +18551959535 +13919083484 +13697494592 +18827711406 +18860229441 +18836348630 +18592767352 +13913738234 +18868618559 +18898869058 +13619750478 +18828427744 +15135755416 +15830577086 +18861216036 +13921985099 +18585263259 +15809254366 +13647595937 +15876477091 +13674516911 +18569289609 +13996275593 +13939522730 +18884894446 +13692191349 +15131023522 +13690623064 +18532323884 +18513555273 +13635564858 +13697752651 +13643893362 +15132054382 +18580154385 +18851876492 +13948150293 +15105937613 +13681726074 +13916215086 +15199656128 +18575720448 +15875946209 +15197332679 +13964393707 +15851564151 +18813261720 +18840352751 +18519063611 +15805069005 +18826975278 +15865646391 +13606377946 +15124056909 +18845559825 +15817944864 +15182452656 +15118530660 +13954760707 +18543955705 +15125925176 +15837531955 +13999106994 +13925563964 +15138197525 +13686100001 +18897436108 +13668757669 +15105467219 +13641164118 +18825152152 +13954653913 +15831368792 +15869626157 +15822578326 +13675001349 +15175087963 +18883544630 +13643838027 +18541827401 +13672523624 +18571254040 +15860121092 +18804252366 +15127325101 +18548055616 +18824705460 +13973410408 +13987066449 +18581329010 +13948452447 +18565181148 +15829146925 +18523295814 +13973610202 +15831604693 +18543782487 +13682627871 +18518163943 +15195503758 +15174180718 +13617187591 +18581168934 +18526826611 +18846337170 +15124594763 +13612699813 +18582593818 +13947758215 +18867880582 +15884813962 +13954870196 +18561742433 +15173377033 +13631638701 +15889774587 +13914350044 +13995201408 +13648968154 +15883494585 +13617027164 +15893571946 +18552049962 +15179843376 +18869917575 +13655916245 +13687814689 +18506226089 +15840054629 +18854307635 +15897807325 +18873441335 +18864482387 +18584820373 +18513620201 +13956077615 +15119022834 +13980498112 +18826569995 +15849624755 +18865370376 +13923728915 +15139980053 +15140542669 +13910862556 +15825377195 +15135731167 +13968116532 +18869190713 +18517287537 +15188248999 +18809851498 +13678345987 +15136723279 +18803524564 +13618902760 +13670109546 +15116331177 +15827649144 +13667815585 +18863770159 +18587121496 +15181069705 +13634823765 +15190815366 +18560897231 +18843602067 +18868194526 +18898993329 +18552322948 +13693601045 +18504661379 +15148836181 +15111732662 +15141183851 +15106288223 +13603886849 +15808747738 +18506219811 +18589429680 +18562251027 +15896551536 +18510959784 +15879466966 +18881854077 +15800357471 +15101435626 +15887749089 +13958103012 +15117208421 +15841343888 +13987436260 +13657355303 +18890689584 +18824733191 +15809361693 +15159695389 +18894414906 +13981888152 +15843813892 +18502135252 +13649741596 +13625848301 +13633744123 +13687806246 +15859812826 +15822137758 +15130844319 +15102282704 +18882999805 +15839944625 +13956159618 +13634172706 +18859794913 +18569769829 +15156388512 +13928917438 +13955272086 +18855695533 +13967531841 +13927596313 +18506105473 +15820003852 +15887231757 +18543659046 +15119122101 +18840934476 +13982757747 +18804408452 +15895882070 +15826001116 +15111273556 +13914707925 +15132127146 +18581663748 +13998340056 +18583584726 +15159408133 +15805178486 +13654805152 +13972117458 +13620868675 +13659347241 +18828258414 +18811293948 +15824817512 +15868752175 +15812202731 +15836911180 +15802437599 +15810140303 +13975236546 +18858614815 +13604376899 +13972117932 +18591406794 +13935011680 +15166101288 +13921365954 +18806588650 +15193387307 +18885393356 +15168987603 +15162264967 +13676509733 +18500346894 +13623116622 +15129693822 +15163643837 +15134871569 +15109430416 +15857031718 +13601804768 +13663270094 +13907707714 +18596149509 +18539729409 +15114471808 +15168162803 +13699664215 +15162700630 +15128972449 +18833263896 +18881878895 +15890648202 +18554413918 +15866204487 +18810102411 +13936666413 +18565059384 +15141072682 +15114230833 +18570463925 +13968777310 +18517118888 +13979424955 +15133354845 +15823410938 +18812581748 +15154277491 +13955704042 +13927410168 +13693927802 +18596148204 +18831826317 +18577191229 +15894361457 +15101881213 +13952793989 +18577904179 +13632183160 +15887013412 +15879935798 +13648017430 +13677047994 +18529321182 +13656479336 +18588570050 +15118500578 +15127764851 +18584860761 +18864621438 +15136573988 +13990891450 +13620714353 +18553768988 +15149426714 +13678585928 +13697777219 +15135556141 +13945916043 +18835122076 +18872000236 +18808542194 +18554208216 +13937891727 +15167603714 +13614845967 +13650575220 +15829860824 +15167147104 +13601365761 +18837440436 +13927114072 +18845218839 +13616876201 +15819920213 +18559058327 +18824294198 +13940548154 +15101285792 +15850181466 +18528461583 +13685233408 +13934913003 +13930672136 +18824162581 +15876661541 +18541075308 +15849355040 +15801831664 +13923406747 +13923101296 +13975300500 +15125874878 +15173824924 +18860916867 +15131656874 +18538946129 +13655511735 +13688983857 +15885371836 +18575079988 +13994184360 +13947895555 +13916059561 +13954318179 +15892667956 +15834076688 +13958338408 +18551565253 +13956936673 +18887809818 +15883539856 +13662068620 +18821361881 +18812202106 +15122594022 +13682172988 +13685528594 +15800723447 +18875723362 +18815858278 +15122131177 +15163376939 +15186210919 +18867487442 +13929435144 +13937996970 +13603179808 +13677377339 +15121348270 +13650212682 +18809628029 +15896277717 +15111337040 +18855257432 +18828461036 +13940429577 +15837718394 +18837121414 +15108514503 +15196660527 +18524020566 +13626881323 +13938383358 +13622400556 +13637407056 +18816155215 +15104375155 +15840888475 +18528795120 +15810794574 +18508224664 +18500804409 +18864163319 +15881172470 +13985395754 +13612290372 +18870348346 +13903802051 +18579954568 +18841502688 +13686623898 +18888104698 +18586771045 +18512387168 +18542973996 +15110907635 +15184574473 +13975669706 +15129127021 +13671323332 +18524240041 +13905136034 +13954159995 +15145400595 +18577212701 +13947189896 +18877525477 +15130744848 +15189654218 +13960835482 +18886218671 +13918360529 +15119831712 +15194578547 +18536973396 +13686502267 +15172172144 +18832217749 +15857270256 +15852276787 +15113217027 +15872074586 +13977181762 +18862022095 +15108909210 +13958337260 +15822941417 +15887422442 +13958525893 +13647162955 +18540030750 +15893860582 +18579534957 +18515335319 +15898514104 +13626488102 +18517896019 +18884986475 +18885586230 +15194634604 +13648175359 +15839568608 +18531762902 +15812827201 +13907864457 +15197045494 +15107375579 +15127365233 +18848182244 +13697978268 +18582537136 +13944554174 +15833638730 +15835778184 +13925716278 +13671498109 +15133575605 +18534711265 +15196892933 +13639201186 +15140701567 +15868223027 +15193280697 +18876767455 +13915614500 +18599385797 +13956169476 +18593810223 +15131123136 +18854113270 +18851924351 +18843415727 +18505732970 +15199274559 +18582909284 +13694583836 +15825096140 +15871188883 +18898137780 +15871182247 +13647917331 +13987765772 +15137298961 +18853508406 +15146602887 +15111789475 +13633610277 +15119678200 +18557977364 +15158749777 +15108175688 +15168836192 +15868013266 +13947896182 +18554153093 +15123701171 +15108103051 +15128206384 +13610638920 +18831529937 +13979860739 +18581747357 +15130747224 +18898510904 +13616015975 +18551788487 +15823203490 +15139674585 +13640297897 +13920326092 +13644642799 +15106945242 +18532876713 +18508696137 +18871176893 +18556811319 +13941717762 +18549257589 +18846693303 +18879733063 +13915819017 +13911822437 +13979966671 +13646226751 +18570351707 +15140079297 +18580704956 +15145165503 +18507486277 +13618519868 +15114447617 +18571947048 +15844660295 +18564721695 +13909927218 +15176724214 +13660413320 +15132876950 +18597685424 +13912029772 +13630491736 +18595354527 +13985173484 +18554471008 +13636593038 +18533999392 +13632475840 +13662484291 +15880644676 +18817185641 +18522219802 +13958369218 +13661967152 +18540609455 +13623061084 +13913359402 +13927673392 +13652912634 +15874933824 +13625021057 +15843770692 +13644816009 +15808163565 +18517992014 +18805724396 +18889368745 +13930535654 +13648617056 +13978182309 +13962231698 +15141258159 +15872341470 +13972115897 +13662800686 +18829902252 +13987300785 +18808428719 +13611170144 +18822807535 +15838871981 +18866696160 +15831464021 +13608961088 +15197271302 +18862146871 +13627982465 +18507328021 +13948312152 +13906788551 +18886051754 +15835332107 +15842538906 +13627290952 +15102046003 +15811098960 +18525112265 +13916102754 +13699874161 +18581269807 +15158619186 +18840987341 +13654390204 +18589618264 +13983815651 +18568838979 +18536128364 +13668304070 +15199546061 +18577394851 +18850503409 +15193829165 +15895740183 +18895822464 +18568200989 +18524137171 +13985704014 +13651253940 +15196352188 +13629993524 +15172036165 +13954410824 +13929322258 +15178259804 +15157297167 +18877359344 +13998311050 +18572574497 +18589009860 +18830996517 +13940601650 +13914887172 +13690083944 +15144874691 +18525101371 +13649929715 +13657278694 +15878257095 +15836965933 +15170308209 +13693855439 +13941444006 +15807807446 +13956956789 +15870465086 +15142937616 +18852350558 +18885203560 +18888383633 +18885065732 +18551481923 +13633258703 +13957712667 +13682095556 +13926556001 +18513978013 +13922761853 +18850022888 +18891290747 +15838732406 +15115438501 +13932435675 +15838261525 +18881469560 +15814672090 +13655004573 +13622748012 +18520143624 +13663583265 +18569380009 +15844094152 +13643520156 +15853127643 +13673102036 +15158999256 +15103833368 +15829337015 +13635500837 +15127603972 +18540350711 +15854613376 +15138763720 +18595251185 +13685114302 +15183472603 +18524112576 +13618707781 +18858419286 +13944765658 +18503002279 +13665947302 +13651509545 +15166981471 +13688190763 +18533753742 +18599427915 +18859820088 +18550231349 +15808430465 +13931633749 +18819088956 +13994225225 +18825548370 +15889451730 +18836350656 +18831736250 +18842585285 +13677722496 +15113539179 +18575896736 +15849843567 +13631613815 +15889873422 +18898610644 +15152893786 +18511296748 +15848330578 +13679733795 +13927745547 +13907290202 +13616465352 +15867253411 +13648145655 +13989942418 +15828585477 +18581173141 +18540383909 +13697633841 +15841040175 +18847275806 +15835879408 +13691438074 +15129738746 +15872315432 +13612956198 +18894389834 +15865848703 +15807306986 +15832043096 +13663313207 +18852340501 +13686657105 +13655658157 +18854164165 +18541702256 +13620626460 +13931992522 +13601191223 +15175273952 +18809235439 +18818010611 +18889249355 +13929723364 +13981659559 +13685546432 +13930665172 +15106565973 +15197650752 +13693206876 +18539760409 +15162703708 +18820457637 +13994046251 +15891863274 +13967700813 +13963350945 +15166170845 +15842742058 +15860001109 +18547647091 +13629958265 +13608163457 +13903939953 +13980376377 +13956524837 +13900816436 +18887714047 +18559053420 +13975646775 +15809681927 +18508853324 +18508496654 +15800314550 +15115875538 +13927801565 +18515026089 +15860501698 +15113299130 +13983216747 +15887208058 +15814575501 +18807187750 +18577859423 +13901382710 +18823525671 +18875687892 +13944796497 +15886359098 +18858217784 +13668877881 +15841871325 +13993386535 +15885428565 +18828389784 +13971446126 +18520993761 +18822112227 +18547432437 +15194455014 +18872823859 +15812121981 +18565040442 +15811481875 +13975608797 +18597979680 +15112149758 +15846678006 +15813805263 +13638828167 +15837102806 +15813364341 +18551782124 +15845161086 +13985576648 +13945367643 +18503973902 +18822148587 +15827574468 +18543202654 +18882958327 +13941369497 +15821300294 +15809981197 +18522209126 +13931167278 +18828825818 +13998032558 +13628396063 +18889792053 +15156700406 +18888423130 +13932799630 +18568442434 +13618944271 +13684997941 +18835054291 +13919333828 +15119445159 +13993620115 +18819219440 +13921533730 +18564090518 +18557820623 +15195204450 +15816530400 +18880376728 +15850423995 +13975021787 +18877055365 +13654226021 +13916140382 +13903578717 +15137276305 +13975841299 +18826609347 +18556174921 +18881475790 +18529199850 +15184364035 +15854787902 +15194844824 +18804871810 +18589991422 +18511388263 +18884348813 +15869433365 +18550030469 +15812611120 +18864252025 +15105609006 +18885116696 +15193624295 +18897509676 +15891519597 +15102587094 +15822774604 +13609512131 +18562447437 +13660764908 +18587165464 +15866457032 +13668118954 +15135160738 +15882762038 +15810501701 +15160481234 +13612078317 +15109884336 +13643620458 +18595311996 +13961765454 +13624985799 +18569550005 +13980643323 +13662813446 +18812181826 +18898676187 +15168365155 +18506535009 +18862311211 +15111666182 +18521570006 +18819348837 +13635260624 +15181657697 +18527275223 +15198907715 +15101230346 +13637519060 +13626433976 +15162293955 +18564409596 +18536009288 +15164313032 +13934348417 +13941097600 +15837277345 +13665795833 +18555106989 +18813525897 +18899935731 +15190209288 +15848798876 +13685390807 +18863991198 +13676112747 +15825307441 +13919588635 +15815342979 +15156625349 +13909108399 +13669456462 +18517090961 +18571115980 +15877207922 +15112142855 +15804629382 +15132469810 +13918490594 +13939336515 +18840283949 +15881725817 +13606769571 +13977838408 +15837738709 +18848970163 +13692778975 +13943731768 +13941774394 +18826213390 +18816255505 +15804620782 +13985576083 +18546829657 +15103592302 +15197186125 +18528223863 +15163089828 +18823832644 +13631409750 +18858993808 +15893364574 +13954464370 +18825987848 +18834321382 +15812400927 +15153802554 +13960902987 +15158525867 +15160369756 +18801752848 +15808992167 +15872521818 +13997101664 +15864063936 +18890617839 +18517823978 +13954998775 +13963722272 +13610883781 +15888431367 +18815570068 +18859070407 +13623395122 +18521201968 +15878728065 +18805905498 +13639851640 +15189027208 +15180174982 +15889481657 +13654901535 +18899161843 +13662942923 +18503514077 +18805606799 +13988630493 +18515745878 +13942954285 +13676038438 +15110778454 +13931758905 +18879386755 +18585399602 +15841172819 +15181557308 +18505845365 +18852164927 +13666012989 +18509380272 +18814252008 +15877617726 +18501965248 +13621439215 +18880786034 +13625624855 +15183191758 +15819692445 +15811531864 +18836613454 +15156997940 +18806097257 +13642383777 +13672249654 +13965592357 +13606408826 +15835436936 +18828567706 +18835123822 +15821874946 +18513179546 +13699009548 +18803638109 +15804839799 +18521219760 +18893041452 +15890906638 +13926102566 +15150774933 +13606374900 +15115860810 +13931366652 +15119736211 +15810504192 +13990007782 +13648395835 +15138681496 +18560482539 +18557229346 +18817151710 +15839205137 +18838053440 +15841630467 +18873763640 +18827055519 +15153643008 +18507670811 +18547880374 +18574243226 +18530534486 +15826037642 +18509334365 +13694676294 +15103957063 +18576779808 +18876550773 +15854257937 +15105528920 +18515822750 +18562419016 +13903395981 +18535718820 +13608273458 +13699942046 +13917631497 +15121064618 +13665047014 +13991638373 +18820845788 +15119725057 +18501660169 +18826452052 +13943999386 +15866434980 +13603425038 +13943136019 +13947935702 +18579003618 +18884611897 +13983706197 +18841518364 +15141861603 +18877046961 +18564929270 +15152996397 +15181264460 +18857831721 +15171813361 +18809089498 +13607801841 +15121525371 +18504945841 +18866888265 +18897350884 +13696363109 +15132758047 +18826625268 +15821699191 +18898079569 +15190619460 +13698146749 +13652334076 +18577091592 +18899758959 +13623270261 +13630235016 +15803319376 +15129349798 +18823737978 +13933268802 +15889767984 +13919425456 +18894025741 +15107510272 +18809099530 +13917823255 +13681826989 +13997566416 +18550752817 +15101156259 +13671103462 +18857763343 +18829657913 +18515705632 +13992050718 +18898755722 +15183627821 +15845960111 +13630834937 +13631267508 +18555697784 +18586624223 +18851115841 +13640334116 +13656151763 +18501881619 +18584407479 +15175284259 +13638500091 +15161348759 +18885474074 +13637360961 +18846028374 +15891074412 +13918239366 +18533475688 +15882062842 +18544516907 +15829803821 +15109326316 +15176399928 +15125043975 +18867493360 +15849244025 +15874165972 +18808247730 +18511901691 +13601591776 +15856413278 +18545812603 +18858472060 +18525911215 +15108738974 +18841944311 +15849484311 +13681623670 +15875855117 +13927869633 +13950053612 +15880432582 +18855127412 +18576040493 +18549694232 +13974122703 +13662862109 +15174209550 +15140018296 +18509452791 +15897342366 +18848698910 +15188689250 +13693671669 +13687940782 +18853101858 +18551008756 +18883757439 +18584772697 +13940085342 +13622672050 +15895130888 +13974651535 +13639736170 +15828134945 +18816472789 +18887607151 +18866895230 +18800897173 +13609224295 +15860670375 +15832243234 +15125568338 +13987005674 +15837063587 +13915703109 +18522245144 +18566187822 +13601393165 +18851331653 +18540462115 +15134036642 +13640601059 +13929173709 +15804299803 +13633941364 +15110980425 +15138420360 +15121546504 +13942122470 +15881182539 +15194862871 +18585333448 +18515665670 +13940103748 +13629315131 +18886724830 +13977679094 +15142915422 +13695178120 +13952144237 +15817473380 +18560705289 +18519174688 +13957168969 +18588459363 +15853748774 +13645514911 +18553553843 +13680540705 +15115155659 +13912294906 +18859964958 +18848431472 +13908512587 +13931422807 +15133201435 +18502421198 +15824515170 +15111149903 +18878470271 +13684116702 +15185859561 +15869177036 +15125673914 +18577278156 +18523291286 +18820962129 +13670126173 +18825573862 +13908712217 +18529408371 +15876425289 +13662168609 +18584744087 +15103929219 +15108602577 +15899922303 +18827712895 +18817674642 +18564814848 +15879545592 +18866997529 +18567646746 +13952908976 +13646676304 +15187476778 +13912972116 +13939774627 +15159375530 +13674698199 +13920664287 +13928897337 +13908064116 +18554561452 +13933266145 +13981284716 +15821540244 +13604053930 +18558588131 +15109773227 +18853263010 +13922327527 +13630746375 +13685593198 +13908593211 +18521847012 +13999246786 +13938666280 +18860581866 +13604519429 +18589319666 +13985657302 +15163362692 +18512956440 +13627559185 +13698858762 +18806525429 +15882690191 +15842887851 +18574532152 +15158854286 +15852473043 +13676282118 +18808980065 +18848058300 +15881234455 +18593986537 +15803021435 +15880654715 +15896821752 +15120238462 +18829462334 +13679473193 +15186161055 +15879851171 +13621329593 +15120566257 +15184041373 +13930720844 +18816844578 +15123863569 +15172350374 +13661052795 +13683375059 +15111517916 +18861710414 +13681244323 +18877980260 +13948481900 +18860529827 +18574100685 +13924619477 +18882310668 +15828692325 +18856414294 +18861490188 +18510457458 +13929874384 +15106106817 +13990398324 +13968034254 +13973730262 +18887165425 +13683071177 +13636536047 +18807723422 +13980226841 +13934043107 +13605381952 +15848419951 +13641496783 +15180438646 +18877992240 +15887850081 +18523568516 +13995408105 +18552818336 +13667499920 +18513080356 +13669292175 +13655759847 +18550451256 +18591431125 +15867632018 +18547431133 +13948391428 +18859202995 +18519189619 +18860359734 +13969459403 +13632444228 +13987155100 +18542257971 +18590130453 +13953725811 +13603524297 +18847974548 +18544488862 +15804202269 +15839658957 +15149547616 +13900390162 +18583566504 +15100336547 +13687262713 +13656657111 +13939672664 +18548820498 +13691155933 +15165044008 +15825286147 +18807607509 +18845224624 +15174456569 +13941291929 +15163584501 +13903001188 +18878010057 +18856313467 +13672991949 +13657751812 +15815345341 +13629650477 +13983710162 +15853004634 +18546139759 +13977806440 +13608440437 +15868363968 +13959482211 +15849881674 +18514426520 +18593730468 +18567166951 +13636615521 +15859499588 +15847867454 +15144195934 +15814716803 +15195281245 +15109874400 +13632151001 +13679956048 +15164149966 +13644829243 +15886607213 +13971152685 +15136349315 +13952901522 +15177539658 +15892881113 +15177723302 +15838576899 +18865252834 +13999691377 +18890751996 +18861642097 +18836590048 +13614198827 +13923211739 +15160475502 +13961017668 +18533152628 +13603596702 +13616556767 +13674221117 +18503198425 +18524692421 +18893588885 +18500885297 +13682939718 +15179776630 +18824981903 +18582929763 +18511947349 +15184969020 +15164916346 +15176107640 +18819956336 +18517308029 +15162694563 +18556480106 +15151176895 +15868876791 +13926909435 +18874854063 +13638847165 +15881572077 +13982685738 +15884802078 +13684294154 +15826509128 +15144221266 +15829727450 +18507206271 +18849576836 +18546474841 +13641528819 +13686918579 +15805021012 +13934907485 +13683078569 +18830943974 +13926397739 +15874091563 +18531112897 +13675014992 +18566203352 +13687659781 +13986198079 +13911683059 +15131806861 +18859377526 +13642589885 +15864175768 +18563346756 +15189600243 +15872373439 +18842724459 +13924820368 +13672546917 +15849179948 +18890506355 +13975558008 +15136487581 +13622627222 +15814239218 +15882985394 +13647314467 +15100014059 +15148607335 +13916529782 +13928371577 +13935939266 +15847885319 +15824108862 +18512714719 +15839462812 +13911096113 +18869757757 +18865142233 +13941088404 +18806479665 +13966287854 +15166693062 +18812920745 +18570415954 +18821618016 +13969850745 +13950420271 +18830475631 +15159538836 +15841743152 +13617829614 +18825663891 +15867819304 +13965161217 +18535359752 +18874299659 +18527832408 +15864777017 +13637114813 +15162088564 +13922696874 +18836311715 +15807996350 +15175030656 +15865077553 +18854968805 +13632499005 +18860417054 +15887944644 +18878670032 +18541277058 +18804106324 +18539808913 +15180430285 +18843614312 +13964298507 +18800337932 +13933045086 +13631435334 +15853475946 +18814395577 +13637936453 +15877020880 +15168232567 +18509604985 +18840996674 +18531053981 +18509097782 +15124096051 +15815944677 +18830457632 +18877703401 +18811582063 +18871984809 +13907842753 +13674074734 +15100394719 +15164567039 +13637798806 +15190096905 +13676242544 +15829505748 +18868496222 +18520661847 +18878776385 +15167213983 +18849437828 +18545505865 +13631629037 +18593412829 +18886746409 +18849918993 +13997667324 +18840014415 +15845050264 +13901258921 +18575942416 +18521609694 +13634183972 +15106941709 +18563249437 +15840128714 +13682541377 +15125404160 +15809212967 +13906391075 +18806428225 +13984085983 +15143811891 +18550197632 +15827198058 +18532269292 +15863695970 +13925353095 +15870397440 +18554837457 +15859312916 +13918466362 +18883182322 +18524072000 +18811724738 +13653087411 +18567640914 +18875255455 +13924312304 +15119966786 +18536018501 +18801269033 +13671669435 +13699805441 +15893362992 +15152917772 +18878680768 +13627121354 +18867252486 +18816370374 +18579347644 +15871622322 +13624750775 +18889503568 +13684366186 +13970810447 +15196949141 +18898367700 +15156902734 +13911585884 +18565270326 +13642531157 +13657667699 +13946811576 +13641540377 +15843693636 +15178730485 +18876317061 +15836758095 +15896516108 +15833414873 +15817494109 +18877188221 +13645671253 +15160617222 +13659446282 +15116543805 +13969618351 +15830801649 +15879749976 +18870096291 +18840383582 +18866661621 +18500630013 +15826946977 +15189314406 +15840628532 +13610833621 +15868565542 +18591443795 +13909732107 +15117121579 +15185335505 +18522356325 +15834169814 +18542295729 +13903292083 +13667111044 +13992498487 +13988006733 +18519659123 +15878518684 +18803986016 +13663359883 +18846737588 +13984291577 +13699305574 +18598385639 +15116019448 +15134008019 +15840736486 +18839035350 +18583010383 +18564554399 +18511424612 +13916961565 +18818056009 +15157218387 +18531013935 +18598700363 +15841030741 +18525375173 +15149430436 +13653840482 +13601790769 +18816398888 +13902247796 +15199645082 +13909714705 +18539603808 +13974154535 +15871361456 +13980467972 +13904201289 +13992734287 +13634354936 +18571382074 +13960127488 +15811089742 +15817662682 +18869381105 +13696353738 +15164378522 +18502471493 +15110073175 +18854796030 +18558809285 +18585602461 +18804316237 +13687767496 +13972681722 +15109818169 +15134203457 +13673793953 +15869707295 +13618215600 +18572332227 +18825932933 +18862768467 +13634138098 +18585928755 +15852835965 +15829757693 +18587027455 +13922909266 +13656652760 +13680608456 +18554034755 +13611641652 +18871621365 +13608681626 +15173681076 +15821573535 +18549771498 +18842762918 +15150915416 +15172288021 +13945569959 +15130268575 +15816927000 +18523408319 +15838170087 +18533125170 +13603172666 +15891093718 +18825439488 +15118527104 +15160146948 +13665111391 +18594341115 +13992658825 +18812664041 +13624627905 +18576622976 +18807945907 +13962388732 +18848381093 +15822009799 +18578364165 +13643934351 +15135268929 +13901617948 +18568317929 +15859819055 +18598977549 +13995965042 +15883907168 +15801609409 +15820285060 +13644790479 +13999988595 +15843200481 +13972696549 +15803662329 +13619605268 +15172350156 +15812888743 +15811892301 +15801255719 +18531066988 +13625839304 +18820002451 +18844545786 +18804708101 +18575176814 +15149369623 +18555114458 +13932000668 +15187823442 +15884649197 +13650105615 +18538521285 +15175442746 +13960414313 +13921118635 +13913772881 +13677332849 +15899737546 +13650821487 +15129461889 +13677757453 +13643815341 +13969590995 +13652760790 +18859962240 +18582983746 +13988724781 +15147246617 +18806711587 +15137726642 +13946507595 +15886001065 +15877977147 +15829908827 +13971527780 +18833469443 +13672477653 +15885900861 +15851878430 +15805389179 +18571182206 +18542518997 +13682342954 +15108949467 +18880085774 +15895141614 +15134435527 +13636006089 +18526743648 +15822646579 +13977679788 +18858090702 +15166562863 +13672388691 +15151242406 +13668484880 +18891815382 +15104561325 +13653300483 +15180212233 +18885994652 +13927843298 +18501253351 +18809314415 +13634281333 +15190189425 +15193512169 +15145377737 +13698848847 +15816679762 +15124371156 +13694766534 +13989116496 +18561284728 +15872764124 +13688449796 +18581774829 +13928777896 +18561110790 +18843354253 +18858857040 +13601858684 +18517899129 +15813571766 +13679081722 +18596864995 +18535524291 +13614234152 +18801716871 +18554150913 +13610698763 +18819024135 +18867855608 +18822083429 +13631101443 +18535733245 +15134732402 +18556484479 +18547921414 +15103872906 +15169538373 +18821135216 +15811885263 +15811203988 +15812551855 +15878795267 +15135288751 +15147053805 +18824249658 +15168072636 +13997352908 +13909964661 +13632994440 +13632416803 +18591823084 +15888195840 +13923519553 +15865339804 +15890104153 +18567234987 +13679329709 +18872932444 +13696742217 +15162614391 +13997398231 +18546769608 +18526045519 +13600955591 +15885022709 +15834993071 +18599292478 +18846621751 +13947745124 +13969034427 +18840351195 +15164729122 +15842626238 +13943229478 +13900500468 +18500465158 +13976543467 +13614602483 +18843000660 +18554124130 +13641732546 +15135639380 +13638720402 +15809091651 +18584103946 +13936857473 +18516175899 +15803332203 +13624617142 +13912329808 +13679380656 +18535098754 +15836592957 +15849597397 +15867337375 +15894661700 +15150397346 +15818754363 +18813108656 +15861535974 +15802796936 +18594521359 +18845188394 +15875902581 +13606178183 +15160210802 +13659955913 +15122344173 +13667224098 +18810659971 +15139262499 +18812731055 +13984238022 +15160872431 +13621686711 +13621206900 +13641717530 +15199257766 +15184872922 +15881361428 +18563637312 +15852023405 +18577565185 +18861206085 +18852453416 +13677158640 +18809695275 +13698561720 +18595308175 +13987896617 +13628403429 +13965009954 +13933015403 +18890760550 +18804626791 +15884951768 +13962287175 +15888297702 +18591023843 +15128118401 +18569101778 +18511453678 +18883640698 +13963454306 +13653599683 +15125168439 +13696716417 +13984103475 +13973660003 +13692674891 +15861227283 +15107866795 +18859560373 +18842572267 +18805400342 +18883679731 +13964841112 +18521503519 +15178683163 +15890185574 +18509949974 +13901151871 +13628316285 +18521344035 +18881943977 +18834299131 +15196242326 +13603274382 +15875970330 +15824808509 +18837362735 +15802757442 +15133174343 +18556208470 +18890298618 +18555042445 +15849376229 +15834028339 +13607872915 +18594980058 +13697824656 +18535277621 +13957049200 +15131584830 +18548204974 +15849264173 +15185380465 +15878018031 +13613495008 +15865420611 +13956743351 +15182693275 +13646339733 +18535887314 +15144919037 +13939994348 +18899259160 +13601724855 +13669030407 +18539739905 +15830585240 +18592708955 +18856606884 +18884102676 +18596288934 +13971112073 +13959266655 +13640976930 +15186411022 +15146486827 +15815669555 +15156593840 +15146672621 +18838650035 +13971190764 +15888911089 +18586865609 +13680605040 +15880467022 +18875057348 +15857688672 +13987383663 +15815192917 +18560143946 +13975188878 +18809907192 +18559309536 +15142656295 +15891698461 +15843513509 +13957908801 +18546583513 +18544495105 +13970704993 +13954689394 +18871170440 +15118988415 +13695496429 +13669797942 +18880346969 +15118433348 +15847905632 +15179437594 +15825303748 +18543208005 +18514738879 +15868594865 +18521416527 +13937353249 +15806169440 +15829038438 +15107404661 +18555705522 +18867489018 +18852767381 +18565870408 +18868002785 +18521273151 +13668820754 +15171108397 +18598043084 +13988804843 +15163387654 +18882867580 +13603100373 +18583675193 +18858888290 +13664871904 +13913734757 +13986487852 +18597101361 +15818717867 +13983051108 +18846097434 +18545745480 +18866050290 +15815504735 +13933192144 +18520844164 +13664905468 +15149974539 +15883436258 +13635405843 +15816527744 +18874873172 +13995134435 +15110415942 +15119316998 +18848020875 +18863339907 +18826002979 +15846547421 +15157734191 +13618962745 +13955594965 +15871825866 +15888870835 +13660554108 +18511430421 +15806665577 +13631124789 +18871174660 +15189965619 +15142000943 +15874676054 +18864530660 +13691737605 +13916492621 +15157083422 +13948957267 +15816245353 +13967236646 +15889189819 +15889924428 +15851725568 +18502426949 +18813972897 +13637370178 +18863042534 +18588727694 +13917071850 +15811616285 +18519114518 +13604633349 +18812397419 +18556694025 +15806410327 +18564045677 +13642660607 +13680607330 +15836420027 +13934809054 +15125969895 +18516403560 +15837622659 +15840289824 +13677217303 +18867959993 +15186000249 +15123918555 +13922895267 +18813004038 +18503198843 +15891612979 +15178779764 +15135594152 +18863897331 +15196045586 +13976619583 +15806402936 +18523330877 +18871379440 +18816966391 +13648085565 +15154119483 +18536258853 +18824575001 +15876894382 +13644395767 +18895588266 +18521109720 +18878697176 +13632373453 +13655280778 +15864775121 +13608262381 +15817512515 +18839374248 +13995984086 +15843166132 +13910461435 +15142278094 +15191161513 +13975433841 +15804542882 +15112133139 +15876490354 +15176263598 +18857185024 +18593835088 +13992681149 +18846645985 +15821879985 +15861346073 +13943567671 +13676550805 +18579050044 +18896268706 +15826977226 +13995375162 +18881272654 +18533652115 +18504125911 +13670534451 +15849718335 +13627229075 +13693928409 +15103728429 +18533401229 +13905787963 +18570530417 +13940393510 +15839808318 +13617802990 +13979174876 +13989826254 +15894709518 +13603112428 +13952977580 +15172957951 +13961158995 +15814862155 +13630580801 +15183396071 +18592615742 +18821087163 +18514934551 +18565947019 +18837202531 +15880824222 +18836155535 +15194987939 +15181453007 +13653727330 +15819691054 +15198726563 +13933197460 +13624573479 +13667718633 +18825827053 +13997595250 +13689163891 +15153417337 +15178547237 +18507278934 +18572263653 +15829286424 +13600566761 +15107165880 +13659020432 +18873598090 +18874784184 +13616415854 +18819859175 +13665896912 +13677985746 +13650010613 +13619269004 +15108818187 +13648242350 +18875834749 +15890429519 +18591396718 +13986555168 +13950197057 +18568353743 +18844077138 +15871175931 +18539509075 +13901461531 +15884240709 +13976572905 +13964030221 +15159215114 +18554418063 +13910560878 +15113391381 +18806829923 +15171993359 +13626067864 +18853827604 +13999726364 +13698602286 +15145062947 +15871768067 +18591851189 +15896391897 +13625595285 +18897620413 +13907744721 +13903741416 +13608934582 +15195910421 +18578138711 +13699004491 +15105368375 +18893050716 +18804169027 +13609452589 +13632690827 +18892054482 +13640851686 +18507756787 +18543071881 +15157854909 +13926363990 +13695729532 +18557167796 +13942846304 +18596754728 +13900694337 +18590766171 +18842130046 +13964809204 +18579926526 +13614215478 +18570300772 +18535264271 +18598470020 +13620905730 +15885915691 +13671542788 +18813757274 +15168306962 +18511663756 +13604916136 +18522297470 +18860401490 +13684523849 +15835710280 +18579301684 +15162754746 +15121263316 +15172420974 +18596035342 +13955526525 +15169858993 +13698200725 +18844044823 +18525625527 +18802412389 +15872215385 +18553564561 +18866757743 +18856902887 +18889068296 +13926611878 +18563577489 +13930082847 +15879298437 +13903790947 +18561103690 +15138986262 +13921536179 +18573270132 +18810144183 +15111223952 +15196166412 +13922790856 +13619752432 +13936523438 +15138237262 +13620152691 +15867012985 +13665554786 +13651212804 +15163959915 +18866004924 +13993502271 +18570965725 +13620778888 +18863193237 +13640693402 +13676568532 +13969961533 +13644144190 +15896364156 +18835011809 +13979587953 +13636307599 +18515669936 +15135935005 +15823171741 +15851945802 +15843847796 +15898657555 +18813314081 +13671261629 +18843933776 +15163911796 +13919557917 +13629759668 +15129854241 +18826416569 +13685372509 +15151697850 +18825977100 +18536633073 +15898852363 +15173430295 +18569312434 +15153323488 +15168281993 +15121157675 +18534686362 +18554187075 +15873625724 +13919507244 +18584020899 +15194621640 +18822469718 +15180073906 +15813522777 +15170373666 +13987753768 +18565158030 +15198741585 +15108840226 +15139434145 +15165706240 +18896112805 +15186950078 +15157461157 +13691395690 +15885737213 +18802577168 +18583064775 +15130568663 +18821303876 +15117655071 +13959760876 +13990823504 +13662513670 +18893938636 +15894711663 +15815457618 +18527195262 +18537302507 +13601328691 +15802607662 +18879219785 +15880000014 +15120815511 +13921029527 +15193641212 +18598269900 +15120297125 +13627174694 +18833166276 +13965390853 +15834392189 +15123606941 +15113197802 +18549246703 +13918997463 +15149183436 +15832091858 +18824959022 +18529585312 +13636633940 +15848479789 +13614608725 +15175348927 +13975399643 +15842407269 +13987604570 +15820699692 +18546275639 +15826711998 +13643298517 +18570463960 +18817599958 +18589815332 +13912356905 +15152280245 +15171971121 +18883131675 +18580351418 +15888290198 +13604497099 +15181815715 +18859016231 +15119709584 +13643387515 +15874275955 +15144697780 +13917318232 +15101616311 +18578372200 +15884760732 +13608595716 +13927284639 +13927863886 +15101722358 +18819339593 +15819024987 +18846900246 +18894128487 +18554903533 +15849928003 +13607721257 +13652661010 +18567395963 +18836320984 +18866333997 +18525918888 +15826111854 +18598968111 +15109040226 +15116789409 +13995852451 +13606187758 +18568551100 +18551564808 +15150995091 +15120516332 +18853820343 +13929749800 +13676041355 +18849372388 +15159988535 +13939949104 +13676872241 +15834123331 +15163691613 +13668861010 +15859604065 +15129322966 +18509371128 +13992412340 +13966479663 +15159553992 +13650155290 +18590023478 +18506520939 +18895262687 +18827232898 +18827635423 +13674949693 +15174299260 +15870188520 +18865502083 +18557145260 +13698634969 +13670263536 +15128258173 +13625192994 +13683912447 +18544871337 +13923401104 +18542386087 +13694683499 +18850213220 +13646183430 +15135263396 +13999970228 +13900084968 +15894427185 +13971428126 +13928494415 +18574865344 +18555068409 +18801129834 +18527014021 +13690134234 +13645409259 +15895628349 +13907328869 +15817244231 +13668534225 +18576526496 +18589125281 +13686189232 +15803917362 +15129838590 +13616289767 +15896770404 +18512620138 +13938044348 +15887356207 +13900291406 +18513187014 +13602422598 +18570502441 +18856957190 +18540944014 +18517355699 +15134336519 +13958252405 +18838186905 +18585947499 +15168495455 +13681991325 +18814079252 +15172338485 +13678127568 +15185765795 +18845981760 +13962258573 +15879345526 +15173457221 +13648365037 +13987017117 +13989531752 +13602360545 +18898294825 +18888694847 +18851600550 +13679013328 +13630614535 +18528537334 +15867391105 +15872096861 +18597827259 +13994272675 +15892987551 +13617639557 +13695930249 +18503693988 +15853211045 +15837921467 +18849556403 +15167023638 +18880258712 +15141992299 +15129817426 +18806084964 +18565325166 +13621804533 +18575644278 +13662436199 +18542267868 +15811424666 +15838427317 +13920317366 +13916862183 +13686030053 +18503506603 +15808398336 +18504647234 +15818095091 +13983397415 +13624777082 +18856665983 +18585840438 +18535988589 +18567324061 +15890884796 +13647732501 +13679842331 +18567281563 +15148295921 +13980234237 +15153330634 +18550434158 +15826697946 +15145521006 +18584452907 +13675747007 +15149734814 +15169592099 +18806670283 +13644366794 +15875698587 +13952900805 +18849699212 +13673523182 +15101947992 +18857500592 +15142412328 +18840488964 +15155774156 +18894787686 +13963118803 +18862261896 +18574564504 +15132898772 +13617753683 +15821727735 +13686637900 +15121201753 +13948324099 +18568226193 +13624824905 +13905233237 +13658036598 +18557590549 +18566457662 +13642868926 +15810665593 +15826859690 +18599162017 +13955143262 +13928857519 +13913697721 +15887660673 +18841600125 +15888950026 +15837313886 +15186741493 +18840618567 +13917153153 +15854597051 +15820277305 +15155671726 +15105200659 +18517129691 +13619042928 +13670676721 +13654188627 +13946102767 +15111561941 +15860935215 +15883304050 +13652398762 +13694417333 +13969489204 +13630578149 +18866608832 +15187509237 +13903189960 +13936371844 +13686985360 +15864598413 +18865983621 +15828434864 +13954500652 +18544810647 +13930230480 +13610647469 +18503442411 +18891181564 +15104878836 +18542867559 +18838217130 +15180832722 +15801607888 +15161742042 +13625028444 +18542678885 +15124523847 +15161075002 +13926530867 +13962417038 +18803572387 +13919001088 +15863983861 +15117792462 +13685778331 +13629649297 +13920988936 +13656912503 +15131397777 +18833393631 +13608646156 +13911929942 +13654130219 +13616781982 +18505617282 +15895741230 +18536867628 +13900672366 +13953177297 +13970300164 +15194347123 +15838515719 +13691306928 +15843399991 +18899536562 +13951247281 +15863337532 +13995106739 +15862782647 +13644985748 +13934531550 +15854407160 +18538040409 +18582016930 +13928621038 +18542740451 +18831743086 +15116749283 +15842525383 +18849791421 +18566126848 +15177588335 +13601031076 +15815656089 +15888668331 +13647765002 +13940616167 +13680783112 +13919666676 +15119310661 +13693699017 +15186722982 +13681071336 +15811286151 +15864920062 +15802348541 +15871924935 +13684661937 +13932587842 +18802209645 +18570311724 +15849404457 +15160546857 +13640760469 +18523162996 +13675983719 +13607812218 +13930544347 +13603106999 +13607381780 +18889098365 +13996521144 +13932521499 +13621690596 +13670499481 +18547996885 +18587098672 +13919534307 +15195914790 +15871954096 +13626666853 +15832247224 +13621435077 +15129254218 +13958162640 +18564010378 +15160819175 +13994121207 +15864732568 +15186160174 +13676790309 +15830086659 +13986036280 +18818488858 +18816733534 +15847306225 +18810568441 +13978385448 +13642580411 +18542804314 +13975838897 +15848252427 +18865632876 +15179707544 +13961623557 +15824617754 +15819609705 +15894472383 +18884950020 +15878052733 +13920988905 +13905584686 +18859627317 +13689904603 +15177702517 +18895160395 +18819821498 +13640180987 +15178268706 +15185356307 +15818703370 +13682008844 +18569622545 +13653320773 +18860202995 +18865538195 +13606328001 +13629850234 +13644217710 +18851728489 +15172896777 +13601157252 +18539220348 +18559860454 +18541443638 +15120707261 +13633095385 +15823728655 +18527147126 +15889216539 +13666895734 +18505993795 +18549439845 +18866380679 +13959164105 +18571369614 +18591577467 +13923265252 +13951667789 +15867423371 +18857900706 +15125960363 +15180513590 +15850069560 +13983155945 +18842052307 +15133013912 +13605454511 +13976015192 +18851326462 +13942954389 +13620236996 +18574570427 +18532516073 +18865174894 +15167108783 +18501826279 +18844944104 +15139542415 +18575132478 +18804064297 +15890240534 +18598199603 +13656377049 +13602435368 +18872376609 +18528874949 +15836829188 +15104676455 +15187028444 +18500695281 +13649749902 +13684625256 +13697727873 +13932565881 +15898249482 +13930803534 +15800701111 +15828103715 +15178201611 +18531772847 +13915895799 +13936478356 +13667983148 +18874456717 +18504457432 +13944142853 +18536045622 +18580684070 +13631618611 +15847721138 +15817393422 +15148641504 +15187857925 +13903700876 +18894113281 +13654929973 +13648422715 +18516190266 +18509481654 +13950391286 +13907178883 +13979499773 +13937700298 +15800414976 +13677357299 +15138596144 +15886055038 +18560271480 +15176563192 +13974075072 +13933434920 +13966031009 +13665580510 +18591567300 +13679774291 +18847977027 +13617753610 +18507664992 +18548976134 +13986365155 +13904844675 +13631083308 +18523231946 +13611566981 +13933458079 +13998908878 +18510404017 +13962567790 +13690069322 +15164583590 +15851325939 +15147037180 +15848073899 +18841144690 +15870452648 +18842264420 +18881953418 +18500575697 +18837374923 +13983879802 +15139744533 +13997243153 +15892658240 +13980146504 +18869095414 +13967463353 +15886236573 +13993950977 +13673216355 +15127397577 +15183174958 +15853626038 +15867265393 +15862812618 +13945066748 +18588788575 +13620833644 +18826011175 +18894640264 +13682294910 +18514471391 +18547948099 +13634998362 +15155858180 +13987700449 +15865146002 +15836357738 +13694006400 +15143782653 +15170518922 +13630706390 +13951896837 +15877578594 +15157355390 +15894081365 +18584987329 +18877090903 +15127168215 +13647536884 +18591727607 +15151528009 +18530027948 +18879719026 +13908442944 +18579971302 +18879693685 +18890655715 +13605862058 +13684872434 +13974796975 +15128876438 +13602053747 +13655997439 +18865175404 +18872645378 +15868360579 +15137562507 +18858131391 +15872251051 +15814855769 +15860395288 +18543023954 +15184706236 +18556136212 +13678061167 +13682041376 +15142960427 +18542955058 +13951560132 +15842377138 +18804137605 +13606706788 +13919413080 +13999643087 +15189068871 +13632103532 +13934140064 +18853676114 +13945743343 +15851516373 +18878412757 +13605288669 +13600830687 +15831753602 +13921666233 +13934146819 +13905952145 +18820743164 +15878406025 +18820216593 +15886053394 +18830930776 +15850426594 +15185340835 +15809223175 +18515121359 +13691428484 +15148262042 +13656203478 +18516978895 +18887024632 +15108087090 +15861756860 +15829457729 +13681780069 +18526620456 +15808972535 +18868525543 +18579778176 +15162899202 +18811852185 +15845331046 +18846558503 +18595402395 +15809755816 +15190593895 +18836096756 +18582077423 +18869530937 +18549589803 +13687827069 +13932726840 +15129470862 +13648140637 +15885205039 +18831360455 +18534611797 +18583480319 +15189356132 +15109000940 +15879000416 +18534706988 +15188691688 +13964316962 +18863472010 +13626728753 +15804608780 +18866450240 +15870028831 +18881941098 +18582569696 +18572840767 +13930824877 +15123467829 +13632056028 +13685355599 +18887508019 +13994826120 +18886070447 +18808405164 +13625922882 +18535704020 +15800096984 +18502360824 +18841670492 +13606867143 +15157738994 +18843083919 +15168772734 +18865899704 +18830104966 +18821779052 +18541240562 +18892434872 +18841936556 +13603578721 +18524797989 +13984360516 +13675866712 +18598831666 +18535160409 +18582089149 +15813188662 +15100776569 +13615137193 +15192026523 +15187440788 +13939814997 +18833838352 +15806600890 +15152142392 +18860682972 +15113515414 +15136737029 +13657134336 +18821960800 +15841472272 +18886757326 +15115550143 +13622086372 +15898781019 +18575267815 +13902565548 +15190881950 +15845192872 +15882425560 +15197626073 +18598869927 +18566087265 +15848907504 +13615255318 +13960945881 +15125460898 +18855760152 +13930516448 +13901518074 +13956527002 +15162251902 +13977905346 +15110470134 +18884324386 +18518126318 +18566359298 +15857771886 +18575775474 +13662720054 +15196023626 +18568785491 +15121473640 +13917969586 +13640542939 +18890519537 +13993575244 +13635265261 +15109192943 +15147836038 +13910392939 +18808871066 +15850554954 +15876400216 +18515003064 +15810236644 +18871031722 +15827991979 +18827276567 +18543252105 +15852330509 +13920365580 +13659947501 +18556994109 +18847799491 +15114700464 +15884682906 +18549860596 +15174061524 +18835907968 +18897338312 +15805463950 +15117102403 +13978486250 +13644120391 +15866136955 +13693473289 +15123481569 +18515871225 +15804120476 +18521484219 +18505819533 +13974798901 +18806867557 +18863279038 +15164144054 +15866826717 +13912456721 +15170721631 +18801869432 +18510178464 +15147643069 +18599679156 +15187407637 +15193805969 +15129789479 +15156450596 +18553228943 +13933125912 +15840722052 +13967244986 +13637246535 +15893172479 +18521448553 +13642781935 +15113047291 +15115745185 +18820151678 +13928329511 +13931288792 +15146987586 +15826274747 +15146557494 +15840475362 +13603793125 +15161658151 +13630452146 +18806683793 +13667845759 +13602528141 +18597406886 +13697117980 +15132941921 +15112503327 +18552051100 +15839695432 +15813853610 +13650074870 +13990558079 +18575662479 +18845502520 +15830943444 +15100906378 +13607550573 +18555568976 +13646217553 +18554076513 +18852472073 +18506673972 +15106550216 +15839347560 +15859012553 +18882675633 +15844704190 +13965690913 +13622119426 +18552755118 +13965949374 +18599285908 +13631502445 +13613943419 +13664816668 +13972547057 +13984630769 +13911296938 +13617452217 +15140330175 +13948520235 +15107022160 +13939853770 +18856004217 +15831549570 +13677537054 +15869872932 +13983231365 +18593678933 +15848615530 +15142536143 +15888373595 +13966062318 +18875751975 +13956976727 +13623983809 +18541504640 +18568024449 +15142105121 +13901775841 +13963612277 +15865022995 +18592894648 +15894963114 +13943515909 +15117803759 +15197985220 +18504887871 +18802782719 +18562000309 +13989651550 +13696946716 +18876127750 +18512747067 +15887247134 +13607320763 +18541642564 +18518407521 +13957614258 +15808756535 +18537929337 +18837040288 +18597495646 +15198565075 +18521678316 +13937481041 +18500169197 +18585282013 +13652982259 +15130804821 +13916513206 +15844426802 +15871252260 +13908116998 +18862686747 +18877445612 +18509818786 +18852719181 +13623795968 +18855290908 +18867240888 +18523417231 +13618507574 +15821536589 +13629650666 +13689099886 +18558866440 +13984126700 +13953079939 +15122424141 +15827879126 +13638757515 +13633387869 +18869397494 +18829261087 +13685988163 +13945241087 +13660125322 +15148899052 +18875347405 +18864217588 +13970258624 +15197430926 +13939405228 +15846106764 +18520028133 +13986680571 +18560784637 +15112297227 +13681853192 +18825179670 +13637285851 +13691163964 +13985050494 +13612968454 +18876681283 +18891288897 +13657204528 +15198322947 +18594193780 +18881633856 +18556757307 +13974282294 +15890846818 +15100624429 +15127486303 +15176740059 +13639921325 +15889517664 +18594691651 +18806835037 +15141827996 +13623705192 +13643237387 +18590426446 +18574768763 +15108524707 +18828384800 +18518521555 +13604602278 +18525128839 +13991207939 +18882698163 +18807315260 +18538566917 +13646925989 +13609789401 +13974220330 +15161202270 +15150318393 +13958743285 +15149919634 +18805263590 +13908385415 +18834444211 +18534711162 +18554129818 +18844604900 +13910607805 +18868666932 +15113472209 +15167345712 +18864017371 +15141478156 +15836407680 +18857772048 +18516103566 +18502163298 +18830344760 +13658405158 +15165274932 +15120424381 +13926325251 +15182648510 +18515480960 +13631620073 +13634419167 +13656186385 +13994591036 +15192019890 +13979834502 +15195545768 +13699461355 +15858515692 +13691316542 +13998773515 +13665129528 +13943172348 +13943645724 +18874073063 +15102685927 +13987978419 +15811012068 +13620224205 +18541241277 +18836150240 +18539748987 +15864724279 +13974397758 +18850598840 +18522516972 +18870824819 +15128533873 +15161049685 +13654876149 +18596344410 +18508613691 +13618542317 +13992703469 +13626274636 +18568901222 +15832323534 +13941224628 +18892589165 +15106130056 +15852211537 +13990992026 +18867824891 +15149159030 +15150716171 +18848750696 +13978971852 +15105604341 +15170925988 +15800688662 +13920540655 +18507141879 +15189884426 +15117668588 +18810739540 +18540421888 +15161848840 +15891693524 +15146365184 +13923544531 +15891716476 +18564654920 +15891332873 +15854252261 +18858911109 +15810479344 +15177925897 +18533246776 +13603489644 +15848359912 +13676404021 +15109061149 +18858495146 +15899093763 +18501001502 +13686462104 +18891883143 +15892285780 +13636684104 +13685102540 +15192049744 +18566135163 +13658147499 +13660428237 +13640340139 +18858242824 +18540981557 +15806332708 +13645735060 +15172955749 +18545092937 +13965485939 +15863293132 +15190428389 +13682140502 +15867033190 +13614503730 +13912851763 +13930159068 +15162383810 +18500466296 +15884350874 +13991210188 +18504238481 +13940859827 +18882072355 +18846925711 +13643510534 +15139655612 +18519987996 +15188261560 +15147841635 +13639498724 +18856951817 +15853635319 +15887160570 +13975231716 +18862063463 +18864641568 +13942399089 +15143399896 +13967203660 +13929576408 +15898261383 +15135465942 +18566239423 +18801112195 +15872728511 +13920464797 +13633915145 +18577825554 +15897309971 +15134855620 +18539570435 +15898164172 +13671138287 +15185125724 +13966835083 +18514053710 +15873130331 +13904962788 +13673826590 +15161365189 +15128705154 +15188523192 +13967174740 +13630256566 +18500314713 +15170129639 +13620020565 +15148990930 +13929981716 +15800506942 +18828300727 +13932694785 +13628361587 +15864553560 +18804099161 +18851001151 +15176937032 +13667959856 +18829750155 +18542831465 +13650201659 +15119128863 +15140088202 +13931175591 +13628947936 +15895731537 +13977103095 +13675625245 +15849346285 +13684000011 +15805676181 +18573808311 +13685125479 +18887946418 +18801025038 +15834218139 +15856584591 +13602087699 +13979603359 +18813230831 +18807959503 +15151940000 +13904462768 +18597315754 +15874438357 +18890125598 +15874264537 +13998080141 +13617218894 +13952776928 +13689470643 +18534401576 +13943842312 +18840693850 +15170083490 +13630510163 +13608812510 +18592035205 +13961963225 +13975023606 +15168335315 +13961963395 +13693590737 +18504596711 +15165581746 +15869639475 +18877071272 +13693353077 +15801105164 +18873897941 +13671397899 +18847864277 +18526874239 +13937923632 +13686592061 +15819636849 +18516494501 +18593466404 +18568458774 +18530766430 +13637551103 +18536357058 +15899676252 +13671716935 +18833661313 +15822261377 +15893516880 +13628140000 +18566016123 +15869583425 +15851700054 +15888629454 +18505152011 +13677460779 +15143573748 +15167019974 +13676317364 +13908556545 +18514663072 +15151480190 +18529635150 +18840290446 +18855654949 +18560480950 +18863848555 +15810510228 +18546922425 +13629389906 +15874534115 +15198189873 +15871024022 +13632151478 +13693340053 +18805909565 +13913350037 +15826545821 +18596815928 +15831391046 +13925210900 +13664703993 +15863424038 +13625371991 +18569691287 +15148501705 +18804868106 +15187464353 +18508924068 +13646439948 +13911212139 +15886558816 +18505436649 +15824050469 +15102448133 +13631194297 +13901843263 +15838007308 +13663880863 +13697946757 +18872365222 +15161999219 +18509197059 +15187516170 +18865120930 +15808843647 +15169243865 +18822963163 +15122081271 +18517535992 +18817485749 +15849122296 +18885050536 +18593492213 +15104215574 +18506785738 +18536089662 +18813177186 +15816206616 +18586564984 +18553382767 +15126321855 +18806343048 +13971373225 +18899124567 +13605972603 +18585409324 +18855566188 +15130593176 +15142594236 +15815490511 +18559961014 +18846222100 +18554325698 +13643917724 +18585876180 +18806098464 +15894128548 +15853822160 +13906420118 +13934846115 +15897262183 +15100625657 +13639943284 +18855293476 +13679741178 +13636593989 +13634054064 +18830424637 +18862685445 +15860108322 +13941074481 +18504270411 +13683923351 +15125100649 +15164751961 +15804899831 +18819798560 +13918354203 +13905120018 +13926629672 +13930037280 +15810995123 +13676112526 +15808922727 +18838768804 +18590508037 +18836537072 +18865472117 +15154436793 +13635324958 +18565550207 +18847271034 +13613508795 +18832905492 +13926064487 +15153446007 +15898991558 +18814406757 +15802733380 +13994013112 +13663561205 +15145313072 +18861200625 +15845849230 +13911422266 +18855324668 +13603067962 +15821809196 +13914562907 +15101244213 +15120902563 +18873717656 +18802742840 +18544064443 +18599553223 +18560364724 +18589696126 +18567859466 +18500317650 +15111625310 +15115164278 +18516051743 +18533766836 +18582170424 +13936326601 +15169443173 +15814426280 +13900182941 +18518553596 +18871592596 +15161357742 +18822048270 +13681855347 +15195325235 +18829423377 +18505890346 +18569933855 +18535709737 +18575595534 +13958592172 +15165170399 +18850568565 +18507461923 +15823220609 +13695591571 +18593855580 +13964685696 +18844522279 +13913753476 +18573190177 +18536292589 +13611841629 +15897957422 +18562604814 +18572399274 +15851173488 +13996826922 +15823859863 +13624927381 +18535392393 +15883137002 +13967801548 +13912299523 +13900424435 +18857942519 +15119247818 +18528207355 +13990077182 +18571922652 +13621881066 +13985952879 +13932735054 +15852179945 +13645139591 +15894145861 +13982528254 +13993792895 +15882786546 +18522785068 +18813394787 +13630340590 +13931614479 +13978544606 +13990450044 +15194838565 +15152429876 +18811505613 +18867983477 +13600756467 +18816124674 +15176865418 +15188451344 +18841893082 +13641457795 +15119587990 +15127556097 +15162038831 +15136244993 +15129370084 +18507090001 +18535410011 +18810702821 +15833076525 +13659578080 +15883252285 +13698530233 +15171284209 +15170512283 +13963077289 +18829249278 +18579845162 +15137417527 +15810761786 +13902311966 +18835117023 +15854766447 +15843778232 +13695440618 +18590374910 +18862802002 +13631835811 +15876030621 +13600010483 +15856727279 +15889065561 +18528517861 +18828142975 +15877348252 +15889601656 +13931969577 +18567996928 +15137042180 +13600739679 +13948281625 +15822102795 +13989008427 +15838280965 +15162156501 +15110777670 +15842243063 +13634446065 +18878013359 +15844917781 +18877788350 +13923033226 +18571035933 +13909591351 +18890598227 +13627554216 +13651955091 +18846625434 +18858720593 +15170230640 +18812101456 +15115510712 +13993935087 +13913390337 +18551354319 +18526213807 +15859155973 +13695474162 +18577687206 +13636387997 +15127989665 +13981568125 +13986072420 +18853835504 +15817766330 +15191705112 +13925644271 +15892738542 +13641174933 +15109829108 +13973856191 +15859769632 +13902677261 +18823653121 +13698628378 +15882023173 +18521101737 +18511575092 +18553944119 +13911352993 +13905298487 +13954075646 +15195679988 +13907105238 +15196367923 +15802160445 +15108626543 +13664682200 +15814251336 +15897223030 +15809126772 +15843277917 +18530639808 +15152551654 +18529491062 +13662112733 +15813435494 +13634474854 +18873515111 +18572581782 +15887256719 +13989529397 +15160953755 +15833915763 +18877233884 +13672146694 +15144989229 +18863306752 +15196870508 +15150842252 +15805360825 +13609714561 +15883807838 +15829966056 +15116474607 +13909305029 +13923642008 +18566754127 +15848840534 +18812666966 +13932013668 +15840771316 +13916524200 +18868175052 +15829369274 +15176946683 +15823192192 +13994845241 +15817317922 +13695271322 +15824837103 +18579459016 +15876836858 +15105924534 +18514973539 +18800617744 +15832321128 +15829047681 +15807791469 +15809543594 +18844695125 +18892957435 +18526646676 +13989726291 +13645980999 +13656363217 +15197618674 +13652495538 +13620119102 +15862422299 +18830804428 +15185713406 +13919371077 +13921881581 +18552714353 +15107296474 +18508770579 +13605144248 +15812790197 +13647819503 +15189070995 +15831289021 +15173694317 +15199118146 +18584410293 +15866526925 +13921880202 +13671144057 +15837719011 +13690158841 +13979622314 +18817555729 +15832037845 +13953101635 +18593449707 +13691801562 +18876469619 +18547942039 +13666343211 +18517430041 +13652067490 +15803423555 +15890306607 +18840320178 +13966478412 +18563646568 +13600495439 +13903723654 +13934567064 +13962443475 +13979987979 +13646539061 +13965041648 +13957892262 +15824800094 +18530358564 +13696611445 +18589603584 +15119645647 +15843812750 +18597853800 +18848010582 +18816698121 +13687359908 +13684916797 +18886318951 +15117872644 +15893371915 +15142321213 +18819824501 +18832650737 +18864679670 +15860094205 +13601786051 +15185370030 +15171492815 +13965775648 +18814613636 +13676363733 +18514419557 +13665295332 +18884273049 +15124429375 +13961841154 +18892568767 +13907605989 +13611188334 +13647472120 +13938646765 +15894296810 +18560084300 +13987182566 +15850035914 +15134416645 +18593807751 +13696196052 +13967742138 +18894527322 +15103232567 +18580193844 +18526700774 +13631843406 +15115119905 +15863650638 +15178948334 +15871191319 +13980065276 +15193237398 +13645546163 +13634324392 +13640059563 +18507854638 +15880017949 +15812230502 +13962708650 +13909190165 +13633018242 +15822396556 +18596836853 +15861387479 +15880936195 +15801799535 +18837797200 +13902945728 +18807276373 +18591586479 +15873904092 +18876918675 +13639369177 +13913740995 +13909471263 +15104602629 +13654032923 +13944093767 +13913145078 +18513170225 +18898387283 +18546740513 +13971925830 +18545077215 +18599802529 +18819364002 +18830932980 +15136149779 +15134949046 +18563976061 +13920021367 +13948960735 +18529485224 +13965757066 +13993352420 +13926161920 +18857066289 +18877063807 +15821562657 +13986372533 +13972274528 +15184286851 +13679910443 +18553663309 +18803821515 +15148524804 +18834744817 +18889073861 +15830496925 +13952745797 +13688976609 +18555312316 +13649153445 +13684102248 +18861310240 +18823916691 +18583497913 +18589314041 +18870270378 +13688303617 +13996483748 +18558460521 +13652765538 +18891227793 +18570162641 +18567353812 +18822670021 +13680412078 +15826586607 +15123457235 +15852676770 +15887642269 +13979940931 +18545404837 +13658492722 +13659202789 +18514728588 +15814334164 +13628327091 +13604630057 +15878617110 +15849686055 +18812679680 +15141486336 +15146364625 +13673139715 +18841160157 +15197961024 +13936994011 +13986966538 +18821783447 +13956647379 +15125821777 +18861374655 +13661263208 +15118553617 +15856647816 +18579765325 +18869744885 +13929489816 +13908003650 +18857571184 +18819757189 +18568245384 +15847533412 +18560710953 +18888857670 +13619799891 +15147073128 +15826556536 +15132893852 +13916906576 +15155055871 +18824342253 +15196794091 +15145212774 +18888488656 +15861449101 +18812750207 +18893059312 +13920958259 +13917405864 +15893747638 +15109094121 +13904407188 +18577496147 +15181907650 +13677237031 +13943886772 +18855661384 +13971150052 +18536907712 +18804416397 +13683168244 +15137321002 +13921424832 +15823990988 +13954787543 +13982629343 +18858556777 +18522430461 +18896951929 +18855040505 +18883937703 +15133084113 +13996865900 +18587569712 +18597490050 +18815793870 +18523013386 +18890477782 +15851272043 +13637252638 +13962228460 +13913842753 +15151061444 +13999337329 +13933763066 +13952030764 +13998307048 +15189208816 +18539314957 +18555374622 +13684363812 +15804988476 +18854428163 +18597590719 +13961673207 +13609356143 +15861652707 +18528387000 +13965903817 +15146625742 +13952348189 +18825129717 +15147475602 +15818181063 +13689151060 +13964166983 +13933622780 +15110082346 +18844497508 +18539370477 +18885734556 +13958121252 +15163196259 +15886182325 +18890233974 +13613152430 +15146124072 +15819137086 +18830543850 +18532634313 +15823213272 +18848990213 +13664109946 +15811588279 +15162063665 +15862645985 +13930515841 +15816218946 +15176584089 +18824969512 +15875597891 +18597754767 +13996423360 +15171617210 +15157882972 +13921027109 +13688179127 +13910316054 +18526396961 +15862887417 +15134437764 +15137916571 +13649051394 +13639419629 +13618673637 +18803063102 +15123706011 +15884683675 +15114821245 +13984173547 +13692434612 +13665841913 +18855455338 +15832680027 +15886216571 +18820526019 +13955889868 +13929759470 +13962470768 +15176278632 +15899629385 +15812738908 +15175077261 +15857942159 +15887868646 +18590059281 +13940550219 +13954564720 +13919680330 +15823839853 +13981368276 +18576318315 +18549426447 +13900233841 +18516112382 +13622351423 +13653877475 +13660844103 +18543632731 +15878698115 +15116903280 +13934059589 +18551552622 +13917050527 +13922695790 +18598103130 +18595960208 +13674605727 +15195170156 +13619503831 +15154829487 +13905766052 +18514391001 +15124835545 +18863901223 +13653212047 +13980097765 +13994417381 +15890118161 +15187068645 +13972466540 +13643239164 +15187014771 +15153038670 +13992999806 +18861724654 +15199323698 +18826477840 +15160918420 +13667011851 +15828034830 +13686885389 +18574681153 +18865576461 +15103399257 +15825571209 +15877111892 +13972390120 +15812890065 +13689617942 +18820871271 +15104507034 +13608772653 +13614560716 +18877542750 +15110666576 +15108485847 +18849030016 +13655269194 +13967754922 +15187368506 +18545304452 +13904385010 +13995489536 +18892918891 +15880050738 +18567400305 +18544271822 +18598211269 +15146026960 +13641376647 +15872539421 +18876187724 +18872551060 +18867141410 +13995140484 +13623334444 +13975802934 +13624132982 +13954426181 +13688264623 +15133474960 +18868208432 +15838407950 +13663499525 +13979741096 +13651396764 +18593398321 +13683638039 +15195007454 +15812999509 +13698356678 +15830953320 +15850327953 +18877360144 +15810323836 +15199184321 +15811842554 +18508442320 +18859297746 +15122751651 +15881982726 +15153901820 +13659389187 +18882558376 +13677739868 +15160468058 +15146915119 +15868394281 +13660837246 +18513371452 +15122087583 +15105065598 +15871759967 +18880513321 +13955832556 +13919971766 +18590194770 +15883425546 +15853583553 +15852495819 +15828624589 +18528549757 +18847671295 +13974312165 +13645978662 +18850206705 +15895904890 +13652806196 +18834717301 +18835062239 +13990263228 +13988651471 +13628460853 +13608273528 +18885452901 +15898375708 +15168980365 +18589809477 +13600375425 +13986224232 +18574986905 +18892628229 +13910049841 +15800857019 +15816689974 +15169879436 +18842958482 +13654479830 +13632404314 +13933453001 +18514865309 +15834145954 +18592673331 +13920129371 +18864452233 +15829716551 +15859375206 +15809293263 +15895837126 +15844711841 +13609424475 +15192058771 +18803004985 +18599072114 +15115186274 +15819561096 +15868094850 +13920781339 +13672184935 +15866773902 +18806503665 +18560842412 +15897349487 +18506743668 +18563785275 +18818742775 +13993224139 +18855516603 +15869705680 +15886494487 +18861849246 +15179094562 +15836959538 +18515834787 +15188822381 +13944171428 +18584997539 +13965114781 +18869460535 +13961182027 +18884578271 +13978324242 +15848480106 +18539251584 +18849220778 +13631469818 +15817800329 +13659523162 +18821300761 +18858929445 +18553842407 +18816760480 +18899120555 +18520004294 +15887715688 +15833429887 +13954285410 +13691358317 +18558209357 +18845364094 +15884895536 +13634197542 +15882201403 +18808145115 +18586697007 +18572323961 +15827785590 +15124279472 +18557234393 +15146648741 +13908945312 +13974066175 +18884650139 +15186027145 +18805758889 +18828709693 +18858472616 +15107440136 +15174958567 +15160198749 +15113366880 +15142163074 +18545846155 +13953674298 +15115954858 +15844342347 +18883970171 +15163300864 +13908756121 +18858124849 +18520314639 +15863266541 +15804728123 +18588108141 +18542324009 +18837688376 +13672111427 +18516588592 +15144152479 +13999931979 +18502962042 +15839994279 +15802828665 +15167254239 +18877531286 +15804503366 +18591986136 +18880425082 +18834560961 +18881089612 +18526369015 +13995347740 +15885625325 +13917525775 +15831736730 +13982102368 +18528444477 +18556854617 +18523838947 +15152666852 +18862475592 +13647181162 +18850927132 +18533774888 +15850835091 +18883796234 +18506494192 +13999795025 +15868811590 +13968473104 +13640643201 +13962466202 +13699614993 +13645827973 +13900796911 +18822363899 +15800248644 +18841211870 +18860020851 +13626266312 +15849544046 +15896688233 +18804122353 +15857490153 +18819363263 +13963467269 +18547988452 +13936259843 +15857679934 +13910979365 +13958899350 +13971715603 +15823342003 +13935843650 +18853868475 +18595030982 +18554681239 +13949447727 +13609653087 +15168294948 +13973757718 +15865242873 +18829432503 +13914695248 +15120206792 +13976700083 +15874275898 +18564699964 +18806339097 +15165824348 +18534546070 +15863415570 +13915830957 +13979463056 +15159091173 +15817910229 +15802880651 +18825911551 +18541900933 +15830360615 +18536588701 +18545563100 +18812352382 +15103777587 +15120247400 +13997889810 +15188601496 +15875602463 +13667015448 +13662817050 +18840597599 +18823637706 +18871036951 +15112947515 +15807244741 +15131771202 +18546818501 +15107626229 +13600846667 +15884849368 +15856543823 +13904990194 +13918112045 +13958759726 +13651266199 +15841420075 +13916564744 +18804966237 +15809718951 +18870571383 +18839879281 +15819991204 +13975945773 +15840221365 +15136407422 +15116381834 +15138628576 +15133330108 +15142321945 +18598036289 +18535184359 +15193568819 +15129858439 +13990408237 +13989356934 +15129182915 +18896829754 +15195118034 +13674523587 +15161116741 +15812105319 +13688592954 +15881007094 +13947949721 +18898089081 +13972743285 +18800125192 +18596220489 +15104401973 +13951847997 +15172340404 +18881498469 +18804231917 +13960065439 +15168286645 +13674456153 +13629958626 +18882740750 +13913744570 +15875493016 +18861576599 +15847441881 +15101272266 +13667457584 +15844939704 +18801041010 +15834941928 +15137999530 +13916958835 +15188484822 +15898586304 +13947894755 +13619938558 +15845086775 +15106116715 +15167142228 +18596939872 +13668560475 +13968408714 +15878912664 +15168316370 +13918820313 +15880288778 +15883019925 +15815410053 +18591867368 +18842361532 +13950566784 +15123007767 +15101676140 +13669792486 +13943524986 +18841517175 +13944621464 +15839039950 +18558020602 +18517353497 +15826480798 +15875084063 +13662519560 +15849509401 +13938360885 +13917735820 +18854055512 +18588088981 +13604206937 +18865847045 +18546676858 +15829087859 +15172482763 +13935117963 +15847055504 +15844860352 +13973557937 +15876895140 +15151609007 +18827106605 +15861680516 +13643484687 +18595351636 +15132145561 +13613928452 +13920961614 +13969632032 +15195778578 +15881806024 +18590159807 +15136996251 +13626685419 +18824429556 +13685905785 +15877360787 +15105057988 +15898060824 +15805152847 +13609810265 +18537127334 +15134999683 +15836115445 +18827829093 +13690279275 +13941777486 +18567458974 +18869459583 +15881169845 +18508765316 +18511799190 +13980751577 +15187327189 +18521980910 +13928954056 +15809556903 +13944404742 +13602638714 +15897787957 +15152167173 +13950528848 +13919597962 +15897351356 +18528795274 +18560776154 +13919706250 +13655666549 +18857819027 +13689165645 +13924039225 +18873577525 +13607742740 +15848858035 +15174733342 +13939529270 +18893202910 +13620788678 +13686781646 +15103593187 +18853995512 +15830735686 +15882360166 +13913858075 +15190996658 +18845340029 +13921604052 +15833354698 +15146309226 +13983910408 +18825423119 +15876847947 +15133198680 +18811869226 +15111969449 +15198724476 +15883267530 +18847875943 +18859155270 +13609476010 +13651630814 +18888210301 +15818459250 +13991553492 +18896834473 +15167369210 +18845360233 +18525844884 +13676893060 +13657472697 +13692109833 +18884351655 +15104912294 +13906307111 +15842523019 +18878925302 +15876818489 +15102694424 +13986843803 +13668591671 +18517261616 +13948164938 +15858743813 +13697289473 +18805532281 +18568799263 +15878690000 +18520024372 +15850782719 +15876030427 +15855354259 +15127827048 +15882359089 +13667274641 +18534755326 +18581803027 +18504371832 +18578862813 +15126287702 +13970000920 +13614082237 +15867345567 +13630730589 +18837385878 +13626523931 +18505843953 +13969123457 +13679970481 +15898066272 +15895184476 +18591924436 +13652149398 +13973816660 +15160696452 +13614262736 +18816598906 +18801898467 +13918441988 +18565606096 +15198588517 +15181661355 +18820364185 +18878713760 +18830681952 +18543988273 +18844656590 +13997768269 +18595927394 +13659981088 +15109934017 +15154461495 +18862494173 +18898503199 +18543107022 +18580494754 +15888098542 +15839543278 +13919284590 +18547764461 +18563675923 +13626905092 +13922735165 +15813727243 +15866928450 +13662916927 +15132656017 +18853299483 +15148077955 +18500512523 +15131280717 +18853833598 +13627167264 +13921230028 +13669121172 +15109164826 +13622585530 +13697798998 +18581947087 +18803881903 +13610330374 +13959924237 +13957385637 +15811886071 +13933571402 +18584730437 +18532091112 +13915693679 +18598758766 +13694405023 +15195621145 +15840997437 +13682012050 +13984049854 +13679353421 +13912303661 +18552295824 +15176740433 +15182118801 +18574212665 +13914924133 +13641800288 +15807973920 +15122140173 +18509788846 +13671108602 +18590653236 +13900366846 +15115647698 +15855180295 +13978395634 +15100839530 +13652372451 +15158483768 +15892307296 +15102702718 +13988216024 +18876819724 +13967411909 +15890153896 +18572964031 +18848523975 +18842503034 +15868778345 +15131326895 +15844497956 +13962818875 +13654018841 +15844184508 +18548479503 +18823458237 +18568266181 +13667697623 +15871204016 +13900396389 +13683152234 +18839482386 +15844580545 +18843861105 +15838400455 +18523410397 +15890779055 +13904074948 +18545826919 +13993125048 +13629791504 +13605559282 +18558006811 +18881866696 +13986757006 +18896140403 +15103451065 +18849376650 +13624587108 +18576498417 +18882552455 +15199637815 +13691667132 +18899868331 +18866631357 +15835445409 +18551716543 +15899367919 +18546408885 +15863851170 +18833862064 +15818945406 +13906633117 +15130377807 +18579298545 +18551070087 +18574527231 +13658149759 +13698521599 +18802741197 +13614407342 +18810034723 +13919646441 +18525079484 +15828707387 +13653363610 +13662087604 +18886542830 +15111360561 +15870394892 +18878040447 +13638131464 +15101407771 +15123027271 +13905763085 +13982075154 +13961411882 +18885267807 +13902209485 +18594122375 +15151415741 +18583656390 +15829769728 +13951848651 +18563017275 +18580014041 +15859037635 +15167850117 +18898466539 +18889130909 +18877426162 +18552972665 +15141668547 +15879963736 +13603896785 +13624278424 +15873641781 +15819324170 +15868969231 +18877743059 +13657913406 +15834543243 +15196317327 +15130187783 +18525736780 +13619435947 +18842926897 +13675475616 +18560854448 +15102979840 +18572443820 +15162127560 +18532421470 +18583718391 +15147912993 +18587070370 +15151400141 +13663457332 +18898164658 +13965905980 +18574216544 +13640261466 +15121260410 +18539922721 +15110888839 +15883073538 +15880508218 +13693564747 +15151270224 +15121932984 +13908313438 +15133289755 +13695184963 +15172921479 +18886744440 +18573354559 +13913591146 +18570015810 +13628886502 +15161756604 +15874739709 +15195941080 +13613279079 +13673428198 +15126950760 +18854781161 +13914009764 +15824775596 +13932933884 +15824203343 +13927099431 +15129041895 +13690490524 +15153467344 +15170085828 +15834755764 +13965429621 +18803230499 +13660738273 +18577214092 +15827499210 +13622135792 +15194205889 +18520230712 +13969566892 +18857346259 +15113728463 +18543718949 +15875797997 +18541834186 +15880920669 +18847375290 +18853862921 +15894531712 +13621356054 +13688242597 +15139181055 +13928789061 +13687522737 +18554694238 +18583905600 +13907855987 +18511841541 +13645209275 +13618238969 +15869746072 +15839515922 +18522264448 +15195085423 +18576351126 +18506621690 +18820211367 +13978638455 +18895544244 +15109816131 +18846542697 +13678218597 +18550078250 +18823044152 +13988198242 +15871033346 +15894557037 +13993624694 +18873547827 +18524963351 +15861206513 +18864813147 +15178989151 +18544655493 +13655328693 +18555389181 +15111311663 +15131387418 +13636844985 +13949015541 +18877233365 +15154183041 +13640153830 +15159664309 +13952111954 +13967356606 +15806638364 +18887399464 +18858945600 +13922907102 +15148003137 +18538310143 +15178076021 +15121258550 +13697565224 +13614417378 +18596630996 +13933727928 +13666658870 +15827449342 +15184485687 +15816652882 +15185697459 +18863069273 +18891341884 +13626764139 +18577576563 +13667511357 +13696412212 +18824990524 +18564295065 +18872189278 +13978629152 +13682913614 +18578181379 +15186329303 +15820494837 +13990178024 +13925077173 +18561834363 +13638578470 +13928857523 +13979231818 +13618331105 +15130619294 +18598445773 +18864064500 +18854578362 +18596760538 +13944118729 +13916490238 +15165475113 +13611264574 +13959404729 +15109791361 +18563870383 +15127451656 +18524458125 +18564229707 +13990440180 +18856574080 +18572740887 +15100345656 +15880560663 +15135628145 +18548972572 +13698428806 +18802099230 +13636004335 +18869464833 +18562401718 +13632797803 +15100489072 +18522554891 +18587593418 +18520566804 +15100708011 +15856494096 +15866494050 +15894132207 +15845244438 +18558568826 +13620422486 +13912166525 +15868181202 +13657591898 +18532472173 +15109686853 +18833628797 +15863969997 +15804045650 +18514676840 +13618218365 +18826419700 +13607140628 +18830516063 +18879626259 +13649283739 +18552917002 +15146544341 +13947106244 +18528998784 +18899841129 +15879825916 +13942477500 +13670998189 +15824044579 +15803366534 +13906192399 +18842513752 +18508218698 +18866147928 +18574295270 +13934359800 +18840603197 +13694188081 +13649735688 +13610719951 +15105726788 +18531592925 +15885258244 +13604055753 +15884226315 +18879369843 +15192007826 +18840718805 +13690419343 +15805244920 +13600871900 +18856470531 +13657419739 +13639911349 +13639700249 +18506691953 +13696383725 +18845699723 +15163641280 +15892765444 +13916427470 +18816504448 +15874252796 +15130821326 +15882231968 +15845000595 +15127163175 +13608696901 +13977829273 +13952223328 +15100415279 +18554833736 +15852427187 +15898486138 +18871131286 +13656966279 +13987721881 +18804296703 +15843747850 +15896315660 +18501678718 +13911329587 +18848282495 +13916618706 +15150226510 +13906195838 +13903230564 +15186096481 +15115579776 +18807520676 +15159852515 +15150870878 +18822092612 +13604766347 +18854380918 +13689266769 +15126632880 +13617275753 +13981622949 +13933518202 +13927983258 +18877001824 +13694860776 +15182685267 +13609672400 +15817032316 +15103147453 +18810649448 +13932237877 +15195443909 +18895337519 +15144357706 +13939227795 +18528748723 +13901246498 +13917472145 +18592537010 +18867675703 +18565337588 +18511763354 +15184413113 +18869962112 +18879319514 +13693162254 +18849294098 +18504483285 +18501739790 +13914627632 +18543535474 +15151276869 +18558491789 +13915141702 +18505941177 +18567844035 +15155651863 +15848718166 +15881290548 +15809945200 +18591674884 +18857042735 +15896177392 +18525728063 +18884684731 +15866546544 +13948491458 +15899055930 +15803322260 +13900282025 +15172517643 +18560814322 +15839366064 +13698294641 +18811915645 +15827267983 +15168870027 +15807019588 +18579720071 +13660087498 +13609975787 +18583958660 +13631041517 +15840248353 +15109567338 +18581204482 +13635883275 +18843373187 +18808310083 +13679554598 +15182315018 +15167508772 +15181925826 +18592000082 +13678072307 +15119293531 +13661918979 +15193425769 +15803370452 +15156134815 +15854410595 +18859263894 +18582343045 +18517323569 +13915418821 +18865819647 +18546686562 +13934462666 +15875578505 +13665601622 +18508073272 +15878520906 +15143615328 +13984631897 +18802847345 +18580851839 +15169015762 +18512719082 +13974381262 +13919999275 +15867638682 +13638715695 +13605610318 +18810517919 +13673359884 +13676072338 +13613645263 +18562504366 +18888756118 +13609441295 +13931176449 +18899428874 +18526208857 +18863471365 +13990691566 +15199156169 +15128119454 +15143742760 +15126021846 +15133954040 +13671449775 +15147778611 +15129134785 +18523627547 +18552979390 +15184996025 +13940599287 +18873247192 +15119170646 +13673596274 +15171356076 +13973083659 +18813035819 +13622502691 +18506627427 +18584995701 +15120881913 +13950033235 +18828323617 +15164577962 +13684234027 +18806587207 +13661225192 +18823667474 +13685580000 +18872212331 +18503490414 +13664337890 +15881134278 +13957544067 +18551820781 +18841856261 +15844134482 +18549352937 +13617903371 +15832444652 +18820827101 +18599852887 +18537907153 +13938394825 +18534915915 +18542743358 +15163109470 +15868057322 +15160346125 +13906071667 +18545590460 +13906673055 +13633708162 +15823659358 +15817092490 +13672477303 +18501568751 +15892825365 +15144559763 +15143514937 +18597167458 +15112783244 +18579335794 +18568716521 +13958622418 +13940070159 +13945686906 +13962544534 +18532664527 +13642601650 +13618565360 +13947344805 +18553620394 +18507700438 +13958669010 +18552893383 +13679145706 +18562866880 +13975793100 +18805298610 +13916794578 +18587383576 +13684255004 +13961260207 +15111333097 +15864796830 +13973990858 +13910582824 +13957464786 +18871017991 +13675574115 +18859396085 +15148155829 +18881594507 +13904439511 +18514591813 +18572304083 +18836127583 +18509463918 +18883201622 +15120094747 +15866331904 +15188284906 +18822975428 +15890287258 +13987221604 +18881035531 +18596314059 +13601814153 +15861427146 +18592341427 +18571428970 +15197708006 +13937750609 +18856741303 +13955092421 +15150602653 +13986294109 +13659589774 +13957917079 +15858366519 +13654055579 +18886026433 +18896150390 +15151049388 +15137559619 +15826193818 +13625737972 +13676605205 +15818791541 +13924605294 +18875033926 +18570110657 +18844774801 +18534739706 +18531467415 +15198658680 +13929297461 +18881403047 +15864445409 +13924941344 +18842874410 +15188662658 +15813155139 +18838890096 +18509130238 +18517925093 +18856745142 +15171397113 +15821985494 +15176113422 +18850780476 +18880994928 +15866507645 +15878535184 +15833259890 +15818095458 +13921422126 +18861188864 +13647152443 +18563666211 +13915234474 +13998006720 +15861583464 +13640845732 +13672036677 +13984782361 +15831854993 +13696074479 +13607999838 +13684837671 +18809980865 +13622340679 +18507914022 +13673755027 +15801881750 +13946959026 +18532351303 +18522837794 +15192734898 +18577223270 +15181157036 +13662744188 +18581223308 +15805810142 +15812854148 +15190419566 +13985657489 +13983027754 +15146309685 +13658325465 +18832991745 +18552790901 +15894700180 +15870134914 +18579582250 +13676288401 +18523388517 +15861175218 +15837436054 +13690637946 +18521238625 +13911727226 +13675000844 +13995219300 +15884140400 +13618239624 +13928306058 +18899898322 +15149498095 +18520526253 +18576690068 +18501050697 +15878631119 +18856924961 +15184145744 +15811167083 +15875891220 +18877663819 +13937246169 +13660954331 +15853724162 +15117244993 +15827617126 +18559085303 +18848223698 +18545219451 +15898185737 +13996684851 +13697815577 +13928566981 +18516977268 +18562072454 +13921176850 +13643248952 +15838812270 +13698513660 +15853685154 +15899464617 +13661661790 +18543050942 +13956853357 +18567504090 +15137007329 +18539499381 +15869019942 +13611910586 +15812106565 +18895693275 +15132920403 +13631125567 +18585690316 +13651391234 +13981355212 +18840767226 +15888658843 +13942965091 +13696887753 +18502189536 +15892487357 +15862112173 +15845520975 +18594057568 +13624327064 +18553224763 +13937174124 +15864708906 +18592910483 +15177031497 +13659359090 +18864315411 +18813688922 +15109448645 +13904596537 +18511904669 +18514744386 +15107057791 +13689536799 +15111034134 +15106144173 +13697975820 +18872168707 +15146663673 +13686483394 +13985854046 +15847307655 +18516785324 +13938453930 +13699299612 +13920608474 +15165234436 +15816545066 +13607539577 +13654350271 +18545805766 +13634545515 +18535217912 +15880433246 +13968961426 +18806684837 +18537008036 +13630374825 +13947982897 +18815252064 +15876140449 +18504362580 +18506368230 +15829554428 +15859448448 +18517948909 +13642082261 +18525340853 +18863663729 +18856052859 +13632148153 +15124581405 +18854479334 +15108862214 +13946886586 +18845013734 +15160446680 +13903105389 +18855242726 +13989656033 +18862280158 +18538900193 +15875845212 +18872637081 +18566650509 +18524838669 +18538855873 +13636617029 +13926950404 +15877369916 +15835908469 +15184910304 +15162937953 +15144831636 +18834134242 +15874752043 +13923028526 +15839865157 +18815767338 +13921515226 +15186028957 +15896170356 +13903624718 +13956757741 +18511110656 +18899719652 +13619990080 +15120307838 +18588720085 +15816534115 +15170709545 +13657731323 +13951754922 +18501187176 +15122203670 +13680345581 +18584125192 +15190765670 +13662108258 +15819192379 +18883873582 +13659620897 +18590810774 +18545188778 +15849718185 +13646922788 +13932284111 +18595693851 +13660312735 +15824051084 +13663391066 +15173336547 +18582807217 +18888809656 +13670497527 +13939320012 +15107930058 +13676136576 +18885367088 +13960171149 +15836826226 +15881421302 +13655419963 +15868030323 +13936899853 +13946237424 +18821385093 +13954544627 +15845213271 +13910080360 +13922906777 +15876411322 +18822472566 +13600451844 +18599497255 +13619787777 +15117972298 +15168314001 +15802195635 +13961911239 +18577134206 +18898856157 +15857853828 +18890560527 +15154207447 +13684784275 +15865075709 +13923273283 +15841790880 +18858144554 +13966185030 +15882983302 +13663212854 +15166989762 +13681099979 +13639353769 +13953044176 +15193606788 +15113831545 +18561649226 +13971045559 +15121558352 +15852971418 +15189824230 +13915532415 +15850770117 +18500948631 +15876537368 +15176723037 +18581806238 +13617205465 +15147685850 +18529799124 +15824807908 +18524126857 +18542419150 +13922801991 +18888939255 +13656644917 +13990440151 +13946350303 +15870401391 +18593007995 +18553155436 +15812077357 +18577859816 +18530452082 +13965837208 +13945982580 +15102560340 +18872938633 +15105321452 +15191861474 +13986708771 +18880429404 +18544721551 +13956710694 +13931361220 +18869511325 +13977898947 +13956566130 +18877545166 +15893741102 +15863531217 +18525493251 +18830187415 +18856370576 +15132537189 +18857538538 +18550372415 +13993420783 +18874437961 +15199686472 +18893185913 +13929093186 +18829647320 +18563975809 +18528127453 +13943999948 +13930965655 +15142253969 +15168054701 +13607440509 +15110436701 +13603697226 +18524706847 +18841462651 +15107268167 +15152212060 +13933708611 +15893748685 +15879023214 +18505694672 +15111618057 +18545829305 +15187128795 +15128940111 +13970648989 +18592597779 +13986916344 +13926925672 +18819519106 +13979046162 +13936913054 +15870908156 +15815506141 +18813919099 +13614621619 +15182046670 +13648453319 +15899751530 +15140286618 +18532642717 +13947163095 +13998862355 +13612584689 +15140247256 +15100992641 +18595132682 +13600974733 +18519165836 +15191220175 +15899270091 +13643190993 +18555378405 +15103712030 +15812277703 +15857466895 +13692819234 +18551761085 +13622568954 +15801231523 +18824178150 +18855780573 +18875809635 +18892481216 +15827349988 +18813512509 +13648266670 +15834486748 +13666952489 +13963377552 +13913534260 +18849909815 +18875695692 +13624543758 +13601461269 +13987354778 +15853793644 +18860406830 +13648807750 +18544168810 +15815424495 +18846207798 +13683224279 +15894645981 +13951430177 +15850250557 +18532363178 +18504927236 +13627852442 +18599543862 +13619670993 +15833155604 +18577448595 +15174322442 +15892226369 +18828176723 +13699581371 +18521026030 +15862495752 +18889288714 +13631852856 +18894758423 +15137584542 +13662348829 +15848393803 +15140277345 +13644941796 +13625007971 +13613678733 +18597021336 +18816446028 +18566259533 +18594806917 +18576532380 +18846921694 +13910980192 +13656949203 +13974073816 +18839969568 +18859007221 +18558965344 +13935350401 +18511089807 +15150746614 +18589636194 +15108579313 +18877800258 +18838807392 +18849442153 +18865534649 +15182821803 +18809055018 +18874350165 +13640527294 +18885078260 +15828231609 +15192876695 +15151300429 +18825552207 +13928269729 +13997162959 +18834629136 +18537885158 +18541004725 +15102859771 +15113487501 +18832386678 +18836390791 +15156973557 +13900316897 +13626220675 +13986075793 +13695466899 +18859520554 +15179619471 +13698379103 +18526407282 +13990514527 +13698859327 +18524513804 +13927585167 +15806941244 +18502339111 +18836509981 +15107825055 +15159212152 +18557695939 +15185734879 +15823088753 +15157171073 +18845160203 +15143264059 +15838794973 +13616275809 +13619133398 +15120599741 +18805803388 +15199101339 +18835564789 +18586540742 +15112627380 +18525238923 +13614656484 +15199716843 +15108151277 +15191641185 +18584887010 +15199491802 +15828825932 +13698549621 +15119480253 +15140072627 +13684512028 +15183367277 +13933179848 +15857764614 +18843192755 +13944148949 +18506622949 +15826200030 +18857582732 +13932686607 +15830672376 +18844647137 +18507896012 +15894332352 +15152808877 +18825395647 +15135195279 +13917306858 +13616247849 +15859855638 +18566152176 +13629406878 +15800295858 +18866453928 +15131230958 +13922540884 +13960475314 +15132153915 +15885397869 +15135705580 +18536970964 +15125088903 +13674949266 +13929909136 +13691710429 +15121154625 +13932278621 +18833133624 +18882396684 +13673532371 +13989829472 +15843968530 +13945283377 +15820206845 +15859833906 +13947179355 +15887920686 +18518239322 +18594379187 +13901808772 +18829121112 +18810784770 +13699650436 +18854483016 +13653955855 +18589018157 +18889303235 +15852030707 +13921784963 +13904252167 +18884446830 +15136621342 +13679051056 +18830365483 +18596342231 +13606308637 +15821474599 +18539875978 +18576421668 +18832140346 +18824518528 +18519853629 +18864003239 +13649237542 +15809418769 +13911917715 +13959463823 +15113989511 +15149031699 +13997294290 +15866674411 +15879651644 +15138562816 +18575870831 +18561105057 +13945323994 +15849965522 +18881706832 +18551307550 +13921275641 +13652259354 +13691174190 +13664762738 +18520899271 +15847861940 +18829985621 +13666845882 +18589229150 +13991335235 +15822510885 +18838852237 +15138795462 +15114576023 +15150514638 +18824390600 +13939369241 +13990903322 +18812627195 +15883770834 +18805179268 +13906428188 +18803561336 +15102466164 +15879014897 +15191772645 +13935284629 +18896058538 +13689359934 +18569329525 +18819989468 +18817573263 +13695498828 +15174100196 +15834613598 +13669257309 +13682556885 +15158100415 +15188342989 +15879635488 +15811707598 +15163263291 +13694430595 +18848021515 +18580037374 +13698707600 +15190002250 +13650655798 +15816337708 +18881213049 +18852360031 +18856325291 +15867263073 +15117913672 +13980443994 +13630734356 +18826243436 +13960504327 +13901531896 +15850442992 +18888334234 +15833371650 +15173893888 +13678469549 +15115248201 +18545193739 +13987237802 +18588285884 +13660551797 +18833975358 +18530626804 +15135490397 +15158825493 +13987671278 +15194326481 +13606610477 +15800815048 +15886479378 +15812766346 +13680360902 +13697386705 +15892365828 +13906929572 +18827056490 +18530844294 +13948640389 +18534162880 +18834217521 +15877916039 +15865909551 +13672029422 +13645564535 +18857806121 +15187691193 +18885961030 +13900197786 +18572375602 +18576916741 +18570745558 +18520149662 +18506217978 +13603341920 +18598006090 +13958464080 +15134181205 +18862702360 +15849509284 +15863782402 +13603312377 +13694880252 +18568172305 +13626745823 +18511867236 +18586228682 +18558948147 +13989415506 +13961037685 +18533317168 +13960584468 +18837066533 +13692483642 +15153195591 +18549317285 +13666514225 +15123074046 +13920916362 +13630937337 +15894244933 +15811970326 +13916495449 +15815037797 +18502843417 +18856541400 +18512974356 +15108674843 +15128075853 +18597512525 +18894302978 +18541135621 +18594491327 +15827746889 +13613718509 +13688070931 +18558824525 +15851946816 +18559552288 +18534230959 +13994409294 +13929443767 +18555998306 +18826555156 +18573260699 +13645963757 +15174321442 +15116356442 +13947288833 +18895371329 +13653391935 +18577134970 +13955659874 +15855775200 +13635863093 +18849370580 +13942084882 +18504043424 +18886523194 +18885632617 +15856178557 +13658811336 +13634985482 +18835877232 +15189862662 +15881929421 +13905548172 +13604141892 +15167711719 +15880461347 +13609745244 +15159112458 +13931763402 +13662878645 +18539128212 +13617209422 +15830817557 +13624481812 +18515559703 +18867989334 +18855134767 +15131409719 +13698338173 +13653025176 +18544242117 +15145432813 +15192317824 +18880217491 +15114738000 +18880995181 +15862234065 +18896433310 +13623553533 +13967050307 +18808610355 +15105448358 +13961118935 +13988223825 +13688743962 +15808533615 +18821770416 +15838905722 +18590901810 +15889629335 +13946028678 +15138239757 +13963223741 +15889995952 +15188591277 +15118887418 +18840040781 +15806703763 +18805870529 +15138970351 +13978604008 +18560211491 +13637882106 +18883841977 +15159166934 +13674371894 +18892387633 +18550460561 +15891535861 +13999739349 +18866518631 +15134147274 +15140790259 +18895296715 +18866874279 +15123806469 +18825150821 +18836213506 +18852007989 +15814439610 +18522055722 +18535898393 +15193280084 +13693099612 +18582664451 +18555160065 +18801383606 +13977254808 +18516084949 +15878636790 +15880633042 +13695689990 +15804054438 +13657173941 +18509278285 +13640945795 +15845972697 +15130986086 +15830501673 +13676188209 +18831362479 +13614702609 +18597361484 +18859837937 +13955919397 +15847992624 +18598894865 +15820883836 +15188676736 +18541383572 +15134433135 +18827073747 +15166883266 +18818006420 +15850268348 +13620106072 +15176004384 +13981893792 +18531689579 +13630435951 +15837855979 +13684603593 +18852444728 +13699339406 +15893759775 +15803258573 +13947691606 +13618664092 +15825133226 +15878533131 +18828155509 +13682556743 +15826631234 +18843337266 +15188025158 +13975957266 +18811255912 +18846900179 +13680815228 +18834691865 +15800045054 +13940074740 +13657024834 +18534788671 +18569820493 +13991991209 +15868325114 +18881715871 +18854952712 +13989331591 +18830389788 +13952045213 +18878569964 +15143287055 +13975260960 +18529560812 +18862321695 +13658565000 +15112237241 +15151946930 +15104406524 +18573227388 +15162251952 +13931705288 +18513147481 +18504039614 +13951556772 +18868000788 +18527810175 +18525194527 +18527255496 +18571571026 +15812387855 +18831531530 +15148297912 +18816130104 +13694029248 +13643191560 +18559460165 +18830803949 +15186427105 +15175548132 +15859508827 +15152110024 +18527678921 +18511472393 +15861279475 +13607259775 +15853549291 +15820252144 +18564377000 +13931918530 +15156758691 +15863947047 +15814330386 +15105020703 +18558723843 +18518963568 +18867479458 +18883468987 +18592892324 +18856833753 +15122265044 +18596379738 +18819947255 +15801321367 +15801113365 +15827395631 +13663918286 +15154155229 +13960852723 +13675901729 +13916137610 +18520263561 +15193853505 +18840761646 +15853891676 +13683189223 +13930003546 +18832563829 +15873422340 +18566319832 +18511888181 +13964250344 +13692997624 +13969046829 +18541639716 +18839539897 +18827167484 +15138829464 +18598117893 +18576447737 +13600646709 +13980738788 +13672663397 +15154515793 +18850056897 +18875066788 +18547560765 +18501563202 +13941548317 +13698579548 +13603593537 +13699947645 +15137036684 +18850570172 +18589911869 +13940209521 +13633759461 +13601609370 +15886537001 +13601150624 +15195407272 +13647745619 +13962897502 +18853537369 +15837660387 +15800859818 +13641288485 +13627488025 +13606438973 +15173930913 +15848020909 +13697753393 +15821722223 +13653421357 +13685455257 +13903116232 +13953900604 +18828288018 +13673565127 +13641899059 +18508276173 +18814878358 +18872581196 +13925079604 +13653377937 +15816217008 +18847815765 +13951724795 +13918811482 +15141566609 +13932807391 +18521461822 +15135557587 +15816934544 +15103414249 +18840574690 +15822840012 +15892634712 +13985950593 +13961140544 +18868848260 +13972352881 +15887327447 +18575429958 +13932856527 +15897833932 +15871806453 +13962048393 +13601443867 +13691601823 +18838482741 +13607791751 +18834015456 +13623588078 +15866338588 +15105355076 +13668691913 +13621241087 +13945089579 +13643527817 +15104816604 +13668683370 +15164001335 +18818184329 +13967555140 +13960193633 +13661023353 +15842673338 +18544357713 +18569188632 +13610616655 +13688749979 +18871076787 +18599533750 +13646076707 +15160688233 +15852205988 +18564177368 +13934727299 +13934460049 +18531711316 +15890788320 +18895714506 +18855295909 +13992378506 +13649143662 +18847114676 +18820152751 +15166456136 +13690450089 +18535007188 +15146421343 +18577403940 +13682730099 +15814560808 +13943280283 +18594477276 +18595520149 +18582933082 +15168694074 +15190550655 +18571401951 +13965870384 +18870939613 +18529874006 +18866031792 +13985098518 +15154738133 +15180753162 +15158228954 +18552063711 +15847114030 +18851714123 +15850388133 +13958043489 +18854807200 +15165857077 +13919206026 +15863914411 +15121681363 +18526774227 +18862798044 +15117162876 +13635507952 +13981435155 +18873888319 +15100831176 +18873155217 +18845440466 +13977515325 +13601550507 +13954800360 +18867831906 +13931805264 +15176302638 +18877425110 +18562280560 +13918363106 +13654482268 +18567214859 +18868605825 +15103006252 +13616173563 +13613953330 +13687527035 +13660711407 +18813780549 +13916301848 +13654431967 +15885617239 +15158093383 +15137941087 +13974264735 +15874324361 +13669421527 +15844068939 +18502174300 +15810041094 +15899888580 +15873760674 +13972179084 +13654306100 +13695556626 +15829753056 +13674675196 +13644525844 +15107061056 +18810772028 +18541176852 +13626105260 +15159806392 +15121691425 +13922741034 +13911011576 +13949115132 +18571835688 +15865036108 +15169600651 +13932561282 +13969699264 +13602300785 +18542617792 +13926151307 +18591957407 +18883397779 +13624446542 +15120312018 +13697322957 +13930784517 +15888252555 +15117374479 +13685710635 +15186599481 +18527287328 +13620457742 +18884522024 +15106991605 +13967856163 +13699919084 +18540915123 +18898301261 +15178367916 +18553655941 +13992770851 +15830564620 +18845425399 +13662273110 +13938254865 +18830632588 +15117654166 +15177049462 +15852182607 +18587237060 +13677192503 +15874268598 +18802366566 +15861887736 +15174964181 +15195631625 +13915311026 +15143274182 +18550558932 +13676026063 +13984335276 +18595757020 +13692649704 +15818045729 +15117247679 +15175114437 +15806417064 +13960365797 +18819583315 +13683532041 +13693139316 +15819742169 +18823991367 +15802753721 +18560414367 +15174317448 +18890960086 +13910482424 +18572210190 +15878491232 +15894433382 +15854760642 +15140767589 +18547007781 +13626392970 +18867006488 +15847880619 +15808189632 +13984884176 +13624281768 +18827170987 +13957609337 +13903075135 +15193694255 +13690793410 +18808742674 +13970439479 +13930100258 +18578647142 +18843358853 +18501223472 +15802726366 +13695646570 +15875619539 +18510350171 +15144078946 +18596088171 +15143681049 +13669384322 +15128706085 +18831615201 +13962101550 +15886327779 +18821476204 +13608048284 +15182729076 +18516044194 +18566692313 +15132121896 +18531977108 +18871008044 +15850153736 +15831939946 +18817209208 +13600855015 +15890151846 +18806995927 +18833871000 +18585620847 +18812760912 +15162153287 +13645722643 +18598735098 +15139059799 +13660981400 +13998926446 +18895463803 +13995127128 +15178751808 +18524211331 +13689548531 +15848853380 +13971546048 +13698325176 +13616654997 +18874151248 +15181064325 +18575397261 +18575483742 +18886284616 +18877625170 +13936124739 +18877955278 +15101180550 +15169155280 +18587173297 +13964990303 +15113338458 +18578470649 +15136738840 +18857164896 +18522410785 +18870072265 +15819086547 +15111205425 +15109747013 +18532430030 +13938372883 +18847553259 +18846084477 +18567605900 +18846179588 +15845179671 +15147970386 +15882039955 +18526630616 +15870249007 +15148490955 +15162537413 +13966387839 +13926005989 +18538042466 +13923227620 +18842334605 +13906389921 +18511088930 +13901096560 +15848985658 +18564760657 +18510314481 +15145743238 +13925063311 +13696892530 +18554076729 +15824643759 +13980629343 +18847924966 +18504121182 +15876072964 +13995426597 +13975072581 +18556262945 +13969932062 +18551208256 +13644494842 +15890570120 +13998250243 +18844731523 +13903591252 +13683932747 +18876553504 +18831104790 +13645110152 +18562943542 +13613500775 +13673622763 +15824017051 +15134679348 +15867672965 +18541926045 +15844795853 +18805367406 +13654368591 +18561332638 +18858480476 +18871948758 +15871898467 +13660851899 +15113541527 +13985794299 +15811679611 +13907230929 +13696049832 +13990255298 +18877582937 +13919195016 +15158310865 +13602458107 +15158040897 +18824168464 +13969284992 +15172707517 +13628368938 +18891868773 +18886146563 +15869054896 +15158151213 +18575892139 +13655994889 +13617762256 +13632866119 +18505055068 +13698639759 +15131846929 +18520600587 +15146232606 +18546999003 +15189597774 +18571304900 +18578760388 +15180275129 +15816978244 +13952591683 +15829244712 +18592924672 +13622286904 +15174296411 +13652248442 +15834855654 +13688382972 +15810017237 +13953452077 +18824147369 +18862820780 +15162197385 +15828953433 +13630946453 +18584487145 +13988158421 +18821577913 +18824030519 +15831844436 +15136155379 +18833789570 +13651526849 +13905417104 +13674840350 +18579643992 +18820062490 +13606355756 +15196525729 +15822637794 +13608843580 +18866327016 +15103045216 +18884257683 +13657360619 +13930636492 +18833598034 +13626669564 +18507090587 +18505938288 +18834786428 +15144413399 +18507677257 +15832433105 +15131384005 +18867777909 +15187864006 +15829606504 +13617695302 +18807385245 +18591628116 +18548257324 +13694392302 +15816235094 +13957586694 +18817585055 +18598964617 +15148004680 +13922796005 +13970395217 +15132689683 +18571003072 +13632694177 +15141211366 +18826124231 +18832595120 +13943198196 +18855561110 +18862130271 +15132440709 +18808843242 +15165159535 +13958232741 +13995891807 +13970896216 +18898807506 +15108404708 +13938170055 +13628383729 +15856333709 +13683331035 +15158801393 +15801181679 +13697282723 +13914081484 +13642879731 +18521616690 +18534363931 +15835559828 +13608429161 +13668315365 +18892948871 +15188295255 +13907309580 +18537180115 +18589467538 +15849196432 +15840251687 +13652365230 +13696596924 +18504950488 +15800953619 +13903235354 +15800326595 +18873893420 +15115102016 +18550448283 +15826163842 +18506117422 +13665645795 +18537822769 +13661315868 +18861644290 +15897707327 +15198718720 +18820824676 +15128791112 +15870230554 +18560038617 +18813101293 +15839538585 +13917263720 +18569122461 +15882079136 +13608952787 +15816888097 +15132915559 +18518055378 +15147799828 +15192012901 +13677086776 +13972617935 +15818491671 +13677704189 +13906584352 +18589042348 +18567892236 +13946847219 +15173791819 +13909853355 +13970919199 +13940923647 +18890033888 +18877506974 +13658512988 +13907442785 +15143379011 +18892401311 +18504739282 +15188265539 +15817665876 +18848001786 +18579309423 +15875948827 +15182235586 +18807139838 +15891652689 +18889568137 +13685700059 +13680085032 +18502204301 +18835081820 +15811479190 +15866142322 +15880661635 +15829086864 +13665535302 +15189025161 +13933546578 +15122416537 +15894370892 +18866755156 +15835867754 +15803606300 +18552783536 +13966299789 +13928033646 +15808353067 +13685054174 +18878777777 +13635575380 +15131689825 +15869947243 +13651600483 +18860678725 +18861658079 +15135982753 +13930849482 +13610875377 +18843212594 +18805624238 +15887639341 +18521670911 +15897351300 +15105062204 +13978923575 +18819693693 +15898584519 +15823679451 +18506004581 +18593699050 +15122228043 +13619408301 +15132202034 +13982975088 +18803519701 +15844700161 +18843911579 +13642796690 +18871289812 +18824644596 +13973010980 +15190647845 +15111142515 +15862238363 +18529636906 +15158686212 +15199534364 +18889334250 +15198820148 +13957789658 +13922269195 +18822709530 +18549344833 +13905948094 +18890124721 +15181207634 +18838244525 +13914424598 +15841279009 +13992330075 +15132660496 +13972192348 +13903586147 +18558679086 +18585737200 +13645382826 +15860689906 +15890933500 +18819085402 +18525621811 +13951748605 +15162942381 +18510386118 +18809987310 +15131802256 +15182193162 +15828857844 +18879746348 +15875229104 +15888280608 +13678181215 +15155854938 +18855756372 +15160560197 +13941978042 +18579859350 +13647949620 +13997633036 +15199263035 +18892103544 +13976514073 +18561875297 +18886216093 +13976480436 +15175204697 +13652797785 +18817736163 +13648157723 +18576816639 +15100397886 +18523448971 +13969369293 +13920270645 +18828604408 +18570145131 +18545681481 +13914150303 +15133527742 +15849734120 +13693374084 +15895391020 +13940948903 +18586563514 +15892927610 +13603663063 +18871998152 +18831352370 +13960096908 +15127528306 +13946965141 +13635023124 +15123278900 +15114291953 +15194554515 +13969786845 +18869571712 +13999483471 +13967610888 +18538215910 +18583193561 +13993024989 +18868334823 +18589331982 +15102319710 +18843132007 +13677980813 +15861660895 +18824098182 +18860304242 +15896379317 +13996078405 +18545994629 +15809478064 +13953104605 +18879013116 +18588606022 +15839240706 +13912045233 +13652486721 +15167798266 +18501789629 +13637083387 +18864962343 +15849360476 +18584451568 +15118834183 +13658001838 +15840129300 +15823549432 +13942568373 +15165143440 +13620129238 +15168163513 +13661831053 +18824973278 +18894125204 +13988805166 +18817977403 +15110353421 +13674953952 +18559249909 +13636355241 +13670101402 +18552626052 +18559380866 +15160748033 +15191214307 +18831730615 +13917914162 +15184000233 +13666932576 +15846402287 +15855152163 +15869693316 +13654671784 +13649311900 +18838917305 +18815118613 +18505891877 +15159306519 +15835682237 +15889849759 +15136711479 +18587819427 +15880794441 +13903551425 +13916176650 +15821978378 +15846449257 +13656928003 +18882413915 +13633039785 +13901127233 +13938513509 +13600977139 +15111326458 +18817143647 +13664292432 +18555193665 +13676116385 +18848755025 +15863682439 +13620571441 +15137208849 +15127784738 +18516929098 +13965259317 +15107355332 +13925125843 +13945816700 +13645856978 +18589488798 +13684026433 +13949513698 +13668348924 +13948320681 +13912351772 +13624410478 +18502472238 +18878772863 +13976165662 +13912258930 +13948167629 +13651896013 +13907837540 +15829669014 +13965126986 +15876624512 +18838718974 +15164287806 +15832197169 +13623549445 +15133752939 +15176270773 +18874531753 +18854643258 +15880795603 +15110937188 +15857813475 +18874467554 +13989471451 +13918755243 +13956067562 +13965489221 +15854390637 +13625738448 +15856194854 +13620766342 +18515018387 +13917560558 +13966764328 +18506836560 +18577606362 +15113192510 +18539179461 +18599359372 +18596587213 +15880609184 +15144215763 +15147398516 +15802019097 +18863270807 +15149327362 +18564204392 +18533410222 +18524944378 +18560023430 +13935697595 +18546253517 +13958869039 +13638862737 +18875320154 +13662887512 +13603862445 +13692645722 +13935022535 +18511223789 +18543680030 +15834344876 +15126736777 +15804749525 +15132569596 +13915147835 +15141669286 +13605011197 +13686556282 +13642919037 +13623546262 +13677078683 +13974776281 +15853564848 +15833406870 +13607151286 +15858537193 +15819192831 +18841846088 +18855126122 +15874782853 +15867757280 +15806814936 +13654832712 +13916772625 +18568522753 +18822163723 +18822908639 +15190693286 +15183107953 +15115446006 +15166919785 +15831619300 +15140365497 +15829159451 +15849875964 +15132715423 +15871878277 +15193715254 +15126564927 +15826472076 +13673330860 +18856661739 +18594803886 +18890237088 +15145321760 +18808236903 +18878096548 +13659802409 +15199588273 +15164984351 +15800227860 +18890755362 +15129859938 +18803565298 +13612328308 +18813136323 +13675499898 +18516803545 +15147968169 +18810778405 +18559761007 +13663520245 +15892878605 +18809312920 +13921285082 +15150638612 +15109763365 +18545645244 +13960548130 +18530924341 +18812646586 +18566661235 +18823607458 +13978005175 +15809003763 +18553807950 +13918039203 +15862484629 +13967517150 +18544947411 +13952442082 +13634663906 +15108072241 +18883988661 +18861293932 +18545418150 +13906691922 +15876862843 +15100731043 +13610460933 +13621258458 +15121511816 +13693543638 +15855652947 +18897618306 +15852998052 +18575308485 +15121989345 +15143834348 +18579812636 +15155947154 +18518748980 +13917437541 +15839666497 +13655320939 +18546208408 +18568576568 +13998656957 +15840329243 +13974594887 +15165870151 +15898221327 +15861565594 +18840205700 +18848689080 +18860796625 +15835150602 +13647329789 +15105419207 +15152229823 +13615580388 +15846237525 +15107371401 +13685239388 +13953021109 +15888369642 +15838421786 +18807449942 +15154838693 +13936476759 +18863968007 +15850399706 +18864871295 +15120118273 +15166257056 +13935396397 +15152611316 +18856603881 +18835192688 +15813990521 +13965265730 +18888749953 +15134873823 +13653473022 +15191112058 +13989455641 +15160726569 +13954242262 +15807718745 +18531740184 +15850759182 +13629981520 +15141666113 +15857359643 +15840645414 +18852123641 +18508625106 +18826098241 +15190662775 +13665739400 +18518433112 +13927802913 +15887564678 +15873868200 +13602366789 +18855422291 +13681059015 +18837667601 +18503134437 +13947174024 +15169985255 +13938255498 +15157661292 +15173788405 +15875758965 +13971355093 +13622951343 +18552859704 +18505738513 +13937140345 +13986156820 +18825539382 +18809307721 +18890473170 +15104763645 +18818025515 +15871767069 +18830753873 +15859706873 +18572566635 +13957601631 +18552007797 +15874774287 +18867056521 +15193588002 +13617954005 +13691662263 +18850224602 +15836946626 +15194605666 +18824615678 +13996417542 +13610653204 +18809209005 +18883777716 +18587785175 +18865048323 +15126420420 +15159571450 +18538081860 +18836123251 +13689650908 +13665188047 +15898542666 +18869666348 +15104526321 +18551637733 +18509119837 +13635114214 +13698532795 +13621220637 +13642393161 +13647776186 +15156409065 +15832496628 +15871760804 +15888419595 +13654199551 +13904611373 +13975786720 +15111135138 +18833920685 +15168943691 +15811572276 +15120049579 +18546998310 +18529402981 +18515307046 +15833420077 +13905343905 +15154059991 +18518414108 +18885152480 +18897818123 +18523835760 +15145386668 +18599535010 +15142413271 +13941133218 +18544330600 +15897647084 +13692415171 +13950381377 +13943439657 +15883392417 +15871287681 +15195336187 +13968043240 +18555920790 +18551026188 +15189264395 +15190709830 +15807957072 +15826780284 +15838318173 +15823988549 +18589819108 +18859913542 +15176464137 +13661628109 +18516523433 +15874057445 +13657924801 +13915620944 +18554881239 +13654084056 +15161666888 +15137216802 +15109089601 +18582207367 +13652495490 +15169024859 +15845906647 +13645691910 +13902383044 +18567498747 +15879808653 +13645313542 +15816473058 +13697580460 +18500663993 +15139604177 +18567903330 +13933419093 +18564534301 +13690231026 +18561571201 +15187195451 +13988846945 +18580516336 +18881251993 +15801833890 +18567587476 +15119926654 +13919557188 +15106467405 +18852904827 +13914596952 +15166395925 +15841727942 +13913451790 +13948071216 +15832292969 +18585835332 +13687352403 +13941950714 +13644173446 +18832485762 +18883248562 +13952225710 +13989831495 +18801716931 +13611074944 +15894910061 +18834734362 +18850352807 +15880487893 +15133950759 +18578449677 +18899134012 +18502655951 +15863666012 +15161328837 +13690073945 +13976517992 +18811818915 +13680245678 +18536431593 +13605851110 +13997457111 +15140516143 +15153288459 +13925144160 +13666811597 +18533871750 +13657539505 +13912533798 +13927524547 +13665592916 +15182960624 +15103430920 +13658665950 +13994174193 +13993555247 +15867776181 +15809528264 +13915043946 +15832511303 +13655104079 +18576403868 +15193567015 +18854756636 +15133302043 +18803298043 +18517702313 +15139802542 +15858442835 +18810388140 +15820376472 +15854780396 +18887244985 +15148816895 +13913337443 +18513987885 +15110501488 +15845492248 +18810809398 +18806806867 +15154095761 +18844171355 +18803618352 +15124979435 +13696165592 +13669793691 +13977226069 +13953670203 +18540075760 +13673386630 +15141014934 +18849224390 +15103328748 +13989971640 +15800673609 +18527033441 +13932215380 +15192129854 +15878002744 +18534394637 +18552097984 +13672957177 +18571234759 +15864771991 +15173076215 +18507393038 +18561291952 +15885617855 +13652577618 +18850491441 +15811048304 +18513714136 +18598288121 +18516628401 +13633505035 +13989658030 +15161785877 +15116082099 +15176717062 +15830111892 +13994224525 +13980185822 +15155109865 +13622706336 +18869084438 +15812993305 +18537159863 +13961950885 +15886393737 +18570183473 +18841241886 +13943155577 +15112130250 +13904474880 +15819136022 +13620277830 +18544588023 +18500687915 +18884295906 +15134764200 +18541198650 +18832659540 +18505277730 +15845209636 +18542686237 +15175366219 +15855461476 +13639522966 +15887747611 +15186873831 +13616140037 +13658091319 +13955893873 +15887540590 +13988106129 +18809212804 +18539037971 +15134888375 +18842696413 +15897865210 +18562689286 +13647764385 +15829884030 +18850831756 +15824636744 +15134347905 +18574928387 +15161883302 +15185204533 +13969449987 +13679645945 +15874107898 +18826488218 +13696374574 +15814724814 +18505383250 +13640733195 +15194619690 +13947272180 +18882930418 +18812149372 +13635100056 +13966960186 +15896436882 +13991238940 +15163668374 +18587574011 +15877427930 +18855356585 +13900335042 +15886801760 +13629612260 +18824601517 +18822208790 +18507006691 +13658897674 +15868865398 +18593842411 +15872555939 +13627523731 +15160432543 +13908499744 +13909478275 +13990817642 +15103899872 +13696607918 +13975898520 +15897783515 +15152634136 +15805796146 +13665611865 +18845437293 +18834493330 +13606583914 +18807763264 +18837981608 +15838296477 +18898570845 +15113061628 +15814500949 +15167207239 +18599466880 +15144337201 +18886712567 +13686675239 +18854796221 +15129680526 +18574807550 +15119988574 +13968469441 +15874361274 +13987570239 +15829815825 +15863494476 +13962822926 +18801855376 +13941176856 +15130390405 +13978963806 +18842097051 +18599103014 +15195221989 +18858013909 +15896123385 +13654163053 +15895695650 +18592296162 +13651944560 +18821495562 +18865004803 +13986705199 +13992313520 +15185308295 +18599433999 +15179982682 +18832156003 +13913703276 +15876951271 +18523442364 +15842055749 +18852926602 +15108596578 +18532846212 +13661224894 +15856284622 +15819502786 +18879558436 +15839291960 +15182137502 +13615989311 +13629920945 +18805739733 +15155667515 +13663464217 +15842897516 +18855411101 +15130303392 +13956226855 +15890060515 +15139435069 +13984512050 +15817166186 +13615619658 +18830210987 +18594623591 +15890793854 +15164831791 +13932003797 +18881208666 +18849983108 +18869796280 +15176220758 +18827872331 +18810207869 +13648623743 +13696905739 +18570707939 +15857442877 +15853059587 +18895503412 +18588383072 +15891691766 +15160241033 +15877223778 +15891230929 +13927209009 +18891536450 +13644424207 +13945745237 +18595787861 +15101384739 +13998870436 +13610276941 +18884792773 +13672574364 +18865756007 +13963433718 +13922220283 +13907957628 +13998489963 +15172957099 +15874935362 +13638729719 +18545454818 +15188599037 +13683926583 +13628253963 +15817743930 +18500046703 +15118633599 +13997849038 +15111227536 +15895220885 +13949565131 +15158458572 +18814480065 +15891804594 +15884906206 +18838751674 +18871655033 +13981803263 +18870474511 +18511760766 +15140674267 +13922177146 +18885146217 +15823520331 +18822482628 +15820436347 +15846463406 +13980621990 +18533788619 +13956258674 +15808575150 +13691527710 +18818967854 +13640841826 +13908480300 +15184611810 +18806304930 +15167539413 +18817985428 +13675873304 +13927520149 +13958540308 +13965497410 +18879016994 +18526858174 +18874213821 +15819302372 +18858683644 +15194912893 +18881373593 +13929103857 +18801014550 +13931729412 +18886982319 +18861250257 +15808972903 +18866274919 +13918075298 +15176302138 +13638863479 +13632486040 +15178028923 +18552570282 +18822361268 +15143761768 +13691405027 +18585280970 +18823160243 +18820244206 +13609376130 +18571155867 +18874131978 +18810758180 +15871144681 +13970339543 +15873138582 +13640013876 +18862881975 +15104642583 +13913557313 +18821631306 +13633770831 +15104460352 +18865367608 +15866567986 +13632827555 +13919877468 +18830185695 +18542159807 +15162222334 +13947905114 +15808655408 +13688179143 +13946102696 +13902212796 +13643965545 +13932734602 +18577553237 +13937354818 +13935465238 +18524287958 +13681471096 +13630693094 +18881164882 +18832172049 +13646564444 +18595479482 +18866692447 +15132105979 +15892412618 +15872051790 +13973276831 +18539073331 +15165342036 +13918026108 +15125531555 +13901327151 +15805817918 +15823903624 +15187376754 +15885051422 +15820076051 +18533625443 +18874508520 +13975313842 +13984112591 +13972749453 +15890263031 +13606276279 +15863586867 +13613383743 +13609637992 +18895627098 +15100714684 +13643071198 +15884879217 +18506390136 +18586987765 +18870974865 +18873760540 +13959931107 +15170611745 +13911310908 +15143633136 +13989191686 +15115953155 +18827765898 +13959314452 +13947634490 +18590918492 +15110550181 +13913678924 +13936895629 +18817773332 +13679506429 +13662294216 +15185290362 +15109435040 +15190555501 +13625570180 +18877535454 +18881663931 +18885737529 +18835978453 +18583023632 +15877836722 +18549493322 +13944696176 +13920833302 +13675490338 +13637279452 +13992117858 +15170723922 +13942364861 +15896384595 +18829279691 +18850458665 +18884787142 +15839259998 +18878692603 +18856260753 +13636211262 +13919282485 +13647425721 +18807185595 +18580456021 +13671821372 +13610323488 +13988817455 +13969697665 +13655886165 +15161725663 +15816105100 +18844676238 +15118948473 +13614557243 +13971841028 +15806644993 +18507760744 +18872624629 +13990339486 +15855454359 +15179880718 +18542362395 +15827030480 +13666097434 +18522790502 +15893716310 +18577766977 +13670796823 +13999801595 +15113067785 +13911850009 +15851659181 +18836136114 +18598859967 +18805700294 +15139337543 +15155528519 +18531798370 +13687132125 +18575046644 +15876075806 +13971984007 +18828472998 +18543044809 +13979059359 +13642552103 +18826678623 +13915873283 +13651081840 +18814764460 +13929301908 +15153319464 +13912424802 +18501603104 +13631808470 +15863179303 +13931718292 +13994494992 +18842411308 +18581132808 +18518694037 +13949138786 +13966656505 +13908652414 +15133280108 +15146361294 +18567031081 +18884744538 +13989969565 +18888537573 +15102580893 +15887829335 +18552224094 +13699608536 +15800596259 +13653174699 +13680955339 +15894033566 +13689491587 +13605789687 +15184028757 +13667748138 +18587584471 +18849043606 +18828645760 +13931427569 +13992893635 +13952456164 +18539204780 +13919445800 +13976249327 +18828923641 +18809793045 +13637073779 +15195981690 +13652104736 +18585599794 +13901943646 +15193239427 +13974989164 +13600608627 +15174028963 +18862182239 +18549579389 +13697600741 +18878751920 +13686343945 +15121953119 +13978100514 +15830611396 +15894488448 +15134213008 +15817859909 +15126749939 +18837259042 +15101414370 +15191023757 +15105583893 +15182822580 +15879744337 +15882057105 +13672322733 +15843905967 +15838378740 +13693198449 +15168312878 +13937455630 +15162774529 +15176199177 +18817130989 +13912243582 +15154620106 +15839502544 +15888354020 +18898671100 +15835105390 +18575429064 +13688472091 +13969621163 +18826704201 +13985600016 +13695379456 +13642271073 +15886746386 +15109345833 +13655688337 +15869643631 +13929003383 +18877466910 +18832837447 +18533441672 +15851129888 +13686921327 +15104109282 +15894190575 +15850788385 +18848002956 +13918845202 +15896828743 +13621722630 +13955015706 +15194687249 +13919117864 +13607545877 +13929221295 +13627967120 +18580859569 +15849481489 +15835301747 +18857498849 +15870324756 +15817363715 +15880291977 +18566470472 +15139402658 +18554725874 +18545967505 +13960153765 +18880676398 +13669119005 +18838849847 +18882002708 +18555359783 +15863615170 +15118015365 +13670630808 +15133893000 +13974200660 +15140852119 +18543941197 +18527655086 +15824376998 +13975918025 +13968676668 +13696208004 +15157369093 +18512027776 +15146402623 +15160002759 +13666229226 +13969800072 +18827996455 +18598969754 +15855874623 +13637283668 +15883485550 +15191884499 +18584906953 +13920615962 +15852425299 +13608199278 +13979452516 +15108560297 +15102570573 +18531264438 +18596831806 +15187531936 +13655999231 +13651209301 +18884474069 +18539782504 +15885015131 +18838723936 +13935885743 +18582010449 +13998703945 +15857119051 +15875770346 +15180918261 +13932942228 +13627925576 +15134483379 +13673133045 +18534771908 +13973524761 +13609407364 +13611124453 +18818246925 +18888806991 +13975343436 +15817623820 +13611004181 +18521319938 +18570436826 +18856000556 +15173745755 +18888379462 +18882300971 +15814779659 +15808720304 +15133888046 +18888574249 +13904658480 +13955696341 +13670585792 +13985783967 +18507704339 +18588161479 +15196158881 +18508913875 +13690757917 +13643800159 +18593134828 +13692281320 +13603061074 +15138566549 +18578326653 +13911739801 +18843069271 +15130856368 +15147808816 +18806635533 +15855903558 +13902718255 +13643329374 +18560717654 +18538433241 +13604362033 +18564860623 +13644037379 +15849395826 +15889318176 +13665763512 +13919348680 +13604298244 +18804413324 +15883420441 +18519523282 +13650478520 +15865755700 +13999171954 +18555044055 +15122490742 +13909466767 +13946496164 +13643302287 +13685343594 +15857360792 +13607257245 +13903800386 +15173460101 +13604080547 +15829215476 +18562658049 +15821069337 +18535653586 +13663628978 +18893260716 +15806737599 +18533093007 +13612193365 +15145708937 +15848258198 +15197312472 +15864876429 +15133491576 +15863965660 +13967119003 +13934005282 +13603005882 +13989706674 +13634585614 +13980337961 +13629860243 +15112057661 +18847337283 +13638476107 +13602257074 +15882452719 +15162265938 +18820753207 +15143051706 +18848677738 +18503345763 +18834005483 +15861491363 +13699871209 +18534328512 +18511984464 +15801844803 +15892488176 +18515583124 +15109134006 +18558546774 +18829729955 +13602957775 +15112916279 +13610442848 +13960775454 +13942102533 +13673644449 +13933835307 +15801282797 +18804729641 +13959284478 +13922085644 +13904701895 +15176588907 +13645025171 +18560258808 +18545650945 +13939636595 +18577564576 +18841798708 +13945897532 +15878548332 +15820307956 +15167792965 +15176643988 +15854255454 +15829734415 +15155157050 +13996909759 +13659127074 +13906194017 +18852630947 +15890348278 +13607696996 +13991094526 +15880819901 +13607402681 +18833173583 +15830166293 +18517328200 +13662995734 +18848101180 +18877329041 +13698717540 +13947463632 +18538441209 +15147692226 +15120923500 +15111880127 +15186762463 +13918788322 +15864609045 +13694275613 +18561842638 +13906561891 +18587769859 +15803267245 +18814235458 +13648798996 +18819966596 +18830554731 +13903836605 +18874190882 +18512012587 +15870623531 +15143181388 +18562837739 +18532634800 +15119128733 +13660764028 +15118833804 +15175593451 +13915670701 +13934163409 +13638026230 +18521676343 +18878706352 +18843655174 +15124041641 +15841131920 +15855291679 +13606635570 +18844585822 +18541580417 +13609399993 +15110533519 +15141385262 +18508672130 +15199143885 +13963500657 +15852108122 +15151803521 +15868696812 +18569245346 +13631734047 +15834700333 +15114870164 +18513760328 +18866245788 +15866651719 +15850282181 +15867855717 +15827643449 +15889698208 +15118022160 +13936476333 +15189001814 +13912704758 +18882486788 +15886006508 +13688187599 +15867051990 +18581674924 +13913247896 +15822338020 +13909042028 +15162140688 +15194190816 +13616917893 +18835153325 +15838315714 +18815059356 +15836164811 +15186260375 +18528668558 +18565542529 +15179221065 +18584077309 +13905861460 +13941596133 +13676053809 +15145270936 +15139259554 +18573588614 +15109204922 +15136948546 +15863964307 +18857415235 +18880522663 +15151676388 +15855721369 +13677305680 +13993585173 +18527101930 +18809199168 +18803119700 +18897052346 +18557100919 +13902394403 +13907202511 +18562698191 +15841685913 +18872303841 +18568590996 +18571616674 +13684300401 +15883927383 +15156610851 +15131646494 +13601269327 +18524781999 +18578778490 +15857022985 +13641591388 +15196119471 +13680988127 +18598346298 +13611960028 +18848664485 +18862278201 +15880594129 +18858443813 +18807287362 +13606478147 +18541642133 +13934669312 +15100205764 +13991466055 +15862876081 +15129335421 +13972822574 +15861347483 +18515093310 +13943126544 +18893259161 +18838425138 +15125172944 +13946377975 +18886517611 +13635172356 +15195685328 +15874851250 +15152977201 +18807027682 +15150201141 +18527392817 +13961008576 +15192634882 +13676397651 +15889876698 +15162541763 +13984774829 +15193029856 +13680263711 +13962682183 +18869371522 +18885149784 +18825383814 +13964939775 +13680535689 +13944126945 +18535904773 +18895747597 +18829648722 +18508751390 +15155165339 +15180003157 +15117124385 +13625597615 +18851061206 +15121941015 +15145458194 +13653808242 +13973711802 +15164450757 +13975302227 +15894836942 +15801479419 +13669566790 +18567168048 +15120065796 +15827910095 +13991206010 +18556009792 +18532485796 +13660533520 +15837196476 +18880426049 +18829641743 +15805030133 +18885029885 +13681068116 +18884940710 +18553657776 +13965582035 +13999424673 +18878889435 +15109856720 +13999261592 +13632306505 +18573238379 +13950013293 +13619293051 +15823538804 +18566371039 +18528677153 +18532124360 +13926001407 +13925580807 +15149557510 +13989320966 +15107228323 +15119256590 +15862286404 +15175364940 +15138641847 +15144308944 +13605958896 +13640149670 +18512304084 +18801900303 +15124343961 +18580201424 +15146261683 +13644704053 +15172746855 +18865462897 +18883806851 +13921599930 +13659924192 +13969344967 +15859895250 +18510038677 +15834823965 +15815648279 +18807073452 +18846979970 +15134706369 +18536672075 +15838302103 +13679359431 +18882405482 +13992631509 +18572994847 +18585566833 +13970035885 +15811329606 +18863819949 +15179718832 +18806401843 +15870269391 +15178617943 +18802798003 +15878288413 +13968926097 +18533426962 +18560803130 +13627702125 +15148505748 +13603349162 +18842518739 +13697773477 +18520595660 +13936388783 +15146378712 +18864500614 +13629302861 +13924954437 +15140145612 +13644442870 +15112783450 +13652638266 +18892965381 +13902282679 +15892846776 +18509402246 +13965073320 +18570469841 +13993722250 +13970374350 +15852977529 +13949692581 +15825460777 +15846642719 +15848647140 +13631615014 +18556628234 +15820357745 +15847232882 +15802374959 +18553209515 +13623184298 +13609593557 +13680637886 +13914676284 +15885582863 +15178239790 +18890192298 +13660191019 +15809679576 +15872908819 +15184583120 +15870808390 +13663673100 +18583373763 +13975031753 +13974180346 +13993232770 +18881844761 +15864761495 +13655628116 +13699466890 +15817252042 +15163532630 +18558951655 +13690239424 +18881576975 +15132977233 +18810653113 +13613343672 +15819438761 +15160734819 +15855639319 +15117216695 +18867878890 +18843581693 +18539263245 +15821418565 +18894191234 +15126063895 +15829231366 +13616688131 +15128209028 +13668296313 +13983099353 +15880056062 +13977191575 +15820115382 +15159847679 +13975443456 +18830818863 +15834635793 +18803477399 +13916327762 +13696861486 +13646028087 +18818444769 +13614788019 +13664512790 +15131315241 +13973578747 +15174711613 +13930827882 +13693698813 +18560752265 +15193674590 +15819129601 +13914518672 +18800346658 +13933846743 +18522343635 +13993961156 +15857956507 +13641456328 +13632565142 +18838297982 +15141604589 +15896798648 +13904910006 +15168354300 +13690145727 +13966759693 +18811181193 +13611596363 +18584899770 +15178024151 +15824160984 +18814690869 +13974572339 +18516666858 +18852032988 +15839241831 +13693965524 +18580677727 +13621701752 +13989803022 +18851282489 +13980951335 +13942575415 +15878399996 +15807690577 +15178706843 +15879537601 +15137700280 +15119868894 +15884413146 +13950582121 +13648344841 +15116948696 +15851715725 +18594812454 +15846871742 +18839159628 +13607353369 +15158506159 +18895793290 +13916185902 +15133999438 +13979655211 +15134622140 +18812753794 +13608381265 +18843982662 +15894944482 +15805921527 +18583218463 +15133655603 +18525051660 +13917931237 +13659857570 +15893953601 +13955444586 +15851305976 +15804989290 +18848322152 +18565068111 +13665002590 +15160460348 +18885797492 +15841641972 +13915913059 +18506186801 +18848111872 +18561134242 +13653637758 +13690340572 +13600268207 +18841810367 +15108306996 +18876979825 +18563348499 +18844450271 +18877655871 +18521650862 +13997999359 +18532900527 +18534758951 +15183995464 +15880277349 +13614790877 +13946176490 +18896134136 +13626713640 +15865116349 +18802281165 +13694717032 +18537742737 +18885050691 +18879621415 +18592383384 +15132461215 +13954376100 +15815833211 +13638524609 +13629463708 +13617749780 +18833934913 +13956097044 +18843262958 +18556376838 +15127602001 +18818514250 +13678345463 +18848404352 +15865473182 +13628093966 +15842818840 +18864385058 +13601651709 +18837394579 +13955039854 +13970182602 +18806370544 +15179014844 +18853355204 +18846218970 +18816287622 +15884065382 +18558552613 +13649445194 +13903356160 +18502028213 +13621305296 +18811766686 +18521833654 +18862068253 +13981289000 +15124883849 +18558112903 +13601811659 +18888890515 +13645242651 +13977970289 +13945928616 +13910913597 +15178316933 +15869070697 +18880199591 +15817879861 +13674461082 +15817166144 +15145215314 +13916144799 +13922134116 +15131130819 +15108689849 +15813884592 +13621686214 +15865534284 +15815403927 +18557812391 +15877735114 +18522625467 +18864025072 +13944050723 +18599693010 +13604759526 +13950831604 +18864464515 +15818466623 +15121032458 +15143535790 +18810269175 +13637418367 +15135580192 +18510091118 +18824139409 +13683521843 +18838677582 +15898258149 +15119677525 +15840684545 +18593211479 +13915440650 +13694382314 +13963839433 +15801272902 +18588731494 +18824475935 +18571375525 +15805802594 +18530628952 +15102312968 +13974462915 +18541582645 +15895271467 +15100102749 +13615822482 +18826653833 +13920429410 +13955612033 +18504389932 +15890051450 +18879265850 +18855088865 +18528683989 +18593904279 +15895746542 +13680392878 +18870940919 +18588257130 +18537550683 +15880921566 +13969850310 +18527081536 +13999932991 +18884839402 +15831058908 +13970782205 +13626926648 +18863599792 +15801376464 +15147171783 +18843055977 +18515141093 +13640885238 +18865583420 +15127690257 +13960206198 +15801383228 +13938451622 +18523422889 +18540611353 +18857256374 +18532059552 +18814028800 +13641820684 +18578033858 +18868054913 +15868679662 +13615098832 +13929847227 +18524136484 +15191441007 +15826062864 +18561587495 +15841853094 +15873083061 +13961587739 +13957434151 +13952048622 +18573601847 +13944884869 +13986786414 +13924915877 +13907170806 +15127472903 +13650397406 +13991231808 +13939912470 +13906332815 +15888747824 +13651765829 +18895439073 +18555289057 +13930798495 +18565230219 +13644018319 +13914095159 +13605436979 +18571285624 +13929343471 +18823820164 +15802489700 +13983581546 +13918167836 +15116753333 +18538132468 +13629765363 +13636613495 +13909950083 +13668392488 +13699121323 +13974610066 +13965337970 +13919738384 +18803981603 +13688998131 +15815076701 +15154719195 +15853426635 +18824823254 +15162296875 +13939253934 +15199213452 +15858322729 +13905407364 +13601042987 +13982693772 +13958521559 +15113410538 +13641306280 +18578416338 +15175249646 +18896343297 +13688612396 +13946282415 +15822779999 +15194802321 +13613375267 +13635042141 +13616369022 +18823424903 +13633620848 +18838097041 +13602961125 +18820091166 +18556270804 +13697256785 +18815602871 +13608239120 +18866583686 +15825324659 +18846939323 +15155981075 +18514416679 +18839310024 +13695172773 +15155905909 +13607009439 +15833816210 +13637895177 +13617970770 +18823979856 +15843034941 +18861338812 +15113260626 +13602130925 +15885161026 +18594418068 +15160664418 +18842848188 +18518975095 +18546976649 +18546359783 +18856289291 +15859933459 +15127216784 +13646227024 +18837107972 +18511074876 +18522473080 +18855314821 +18556596811 +15812781917 +15874978492 +13926733922 +18565394838 +18817928502 +15848227103 +18590345224 +15825757295 +13914873711 +18829476902 +15183885718 +13604207641 +13623651469 +18818484655 +15882576489 +18875518219 +13951502123 +13607776371 +15141697692 +13984320382 +15857470949 +15199046922 +18845529144 +13696740352 +15843515015 +13647060518 +13969809617 +15139397837 +13649343663 +18851515637 +18508434408 +13642780838 +13947253507 +13946981692 +18552451804 +18599470447 +13689193330 +18566205191 +13900994845 +13912525777 +18862418552 +15809281766 +15883599502 +13672275215 +15112624510 +18595082041 +18515513471 +18571203893 +13609612788 +15194137253 +13928006961 +15869371013 +18589207219 +15831093159 +15188094412 +13661035770 +15195773649 +15182447578 +18590788409 +13658036593 +15122900852 +15118479997 +15103944714 +13621880156 +15899752811 +15158270905 +18579676031 +15199514371 +15869032231 +13621397974 +13676352194 +18558224553 +13973634851 +18526741986 +18509345846 +15198549877 +15829505984 +18531571165 +13660677100 +15112333625 +13918470786 +18592567907 +13979959448 +13924167148 +18801170924 +18800921965 +18849047968 +15125275546 +18883268209 +18895978778 +18822727415 +18880659124 +18825186874 +13974488594 +13916878213 +15870635955 +15166850605 +13976489413 +13674161742 +13638635411 +13661570865 +18564361709 +15866431198 +18884276023 +15150925017 +18511736321 +13637206141 +15840726763 +18503701067 +15178107532 +18829463332 +15854748308 +15172079398 +15827446091 +13699109913 +18578043516 +18876636308 +13612749451 +15170278290 +13646286100 +18588129495 +15864745555 +15167478906 +15157002451 +15865823528 +15888820974 +13646814743 +18571425784 +13646512731 +18572496363 +13931112254 +18894996233 +15135513245 +18519501336 +13983903256 +15146952983 +18592031647 +18812753149 +13939433579 +13934914225 +15838012887 +13919687543 +15192122749 +13988007622 +13679941702 +13607914243 +13907179067 +13946945700 +13905520996 +15186560442 +13633383060 +18812957794 +13927596550 +13693541478 +18581041180 +18595983563 +13909899103 +15159681545 +13660277582 +13665314689 +13968229431 +15824332290 +13961649148 +18898813253 +15121655247 +18557271883 +13652095847 +15818567485 +15876536717 +18562297383 +18808954321 +13677487215 +15107695135 +15820506971 +15861672662 +13612734911 +18501086171 +18802769126 +15870749710 +13963232256 +13615154370 +18854300962 +15873029887 +18830687591 +13683236703 +13989130478 +18595256534 +15188349480 +18844320858 +15808017527 +18549302849 +13677694716 +13636799631 +13667514600 +13942949322 +15112733238 +18561193600 +18504151463 +15144073835 +13686220206 +18857032419 +15815796131 +13913194612 +13668525132 +18881989912 +15837707540 +15850316130 +13922965957 +13924173327 +13993083782 +18871502104 +13678064411 +13639849760 +18845001330 +18884056341 +13910141406 +18534665543 +13907174694 +13984261718 +15875051384 +15184450806 +18877226552 +15804375640 +18826890014 +15184215387 +13600320448 +13997597094 +18806812139 +18540706816 +13908788269 +15100605101 +18533969585 +13962345470 +13600714019 +15146124866 +18540855449 +18824418170 +15872530076 +15132249876 +15176750208 +13668527125 +18890240367 +15881563086 +18801038482 +18531548691 +13981645339 +13690781937 +18859501944 +18591507246 +18568585125 +18502392678 +15169700040 +13991349935 +18885250380 +13602489688 +13685374650 +15179761325 +15194289294 +15855225815 +13912790749 +15871088780 +18538977990 +18566779725 +15118888049 +13603510958 +15820807024 +18895744253 +13989047768 +13969748873 +13918831613 +18520592276 +13967313747 +13925513869 +15154008324 +13611701097 +15880699236 +18862500247 +15122048465 +18818678167 +15874543088 +13686257395 +13919568764 +13905206129 +18525320707 +15849316502 +18565106038 +15128569532 +15154886121 +18590226389 +13641930705 +15126371628 +18563163345 +13657792021 +18880961913 +18801131094 +15829708162 +13623161361 +18850121342 +18584332345 +13662528338 +15803623393 +15869557019 +13952217180 +18823829117 +13665473405 +18557136574 +18872747647 +18860420099 +15877004877 +15840060441 +13914928831 +15839004736 +13950923947 +13954629856 +13689816982 +15856640197 +15896141862 +18893168829 +13622860181 +18855371018 +15134421910 +13973327180 +18844969782 +13608312741 +15859046015 +18574262785 +18864529896 +15804780409 +18540556846 +18506968093 +15106799849 +13607208726 +18829821751 +15154207771 +15899449271 +15168768551 +13642500822 +13606956169 +13638947382 +18837716462 +15122658095 +13975879127 +13699469894 +18844761953 +13986389080 +18818575862 +15814955292 +13946082484 +18823109690 +15145035238 +15875687299 +15867140668 +15866507038 +15858622195 +13642124002 +15187644769 +15832075865 +18867484798 +15846389917 +18867496859 +13607307139 +13613068907 +15192497883 +18801284182 +15878520339 +15878584777 +13695318480 +15107744878 +13611511249 +15143687709 +15132382936 +18569377157 +13628525555 +15834694252 +13930745178 +15844925472 +15189259305 +18587734294 +18887993892 +15839047124 +13959943403 +18542485005 +15103749727 +18822788305 +18807812880 +13996090535 +15833727718 +15874453270 +18503960828 +18874918498 +18527614781 +13938898099 +18812080681 +18584621820 +15156649162 +13925831147 +13640518023 +18586342149 +15153017838 +15160255178 +13937668090 +15114430932 +13620482986 +18545683897 +15873329069 +18558645242 +15844353770 +18842179763 +13655607986 +18801371728 +18846135842 +13934608317 +18578196124 +18851636287 +13981054836 +15809740766 +15105520810 +18859406124 +15821672931 +18850318541 +18835953894 +18876482442 +18531550119 +13970334516 +15890121323 +13616194577 +15196300407 +15160906435 +15827969762 +15107349125 +15152607858 +15870007921 +15183936541 +15848022757 +18507102136 +13670779457 +15127270025 +15138722107 +18870397142 +13693372100 +15884892675 +15176429287 +15858625542 +13903110099 +15862056150 +15889806411 +15840669365 +13999695152 +13622951750 +15123749362 +15168526081 +18532920140 +13659408564 +13634905555 +15103359575 +15131538119 +13929077356 +18553750249 +15117801129 +18539250398 +13683213043 +13651911382 +15862942936 +13659634896 +18814132020 +13994198747 +15883952843 +18530593199 +18526152633 +18507847487 +18891188441 +18878147949 +15111414938 +15173738258 +13967992105 +13930091962 +13965839673 +13642379864 +18816337168 +15168783881 +18822296945 +18859431285 +15879880974 +13650438924 +18893298366 +15852948171 +15882641091 +13959384194 +18558976870 +18846413209 +13926616616 +18843748522 +18847545192 +18541327300 +15125113401 +15187662250 +15176342622 +18895158325 +13996455616 +13980651762 +15822898422 +15116484432 +18551381640 +18864694177 +18848279187 +13970276205 +18833041395 +13694865811 +13646085591 +18829187950 +18501809209 +18829188032 +15886341701 +13945812945 +15170561927 +18534234086 +15180756494 +15840830549 +13907415671 +15847053489 +18846264895 +15830587079 +13630341550 +18504830753 +15821197119 +18859044561 +15167252305 +13985021956 +15127625128 +13694374369 +13673627040 +18820891845 +13901074378 +15156865534 +13950702135 +15124938419 +15194364388 +18524745179 +18856969357 +18857302240 +15808371255 +15895460564 +13910327150 +15174101697 +15801489457 +18552159615 +15887558791 +15182809654 +15832368571 +13997095838 +18555602538 +13654951216 +15129165088 +13603246005 +18809325425 +13954069422 +18848685599 +18533156759 +18576748956 +15109544288 +18877547001 +13685347465 +18819431036 +15180729552 +18872712689 +13617682802 +18585717482 +13610045796 +15194302393 +18591903741 +18890022279 +18596184090 +15805737890 +18565873958 +13904293009 +15160674468 +18808422728 +13921333751 +13971627471 +15850431185 +15117753915 +15168363495 +18532552614 +18898445324 +13943496588 +13644735901 +18844381215 +18561501889 +15891412009 +15854880473 +15877023777 +18524817275 +15136550052 +18816987958 +18801495687 +15152262848 +13649457247 +13934806015 +15830950024 +13914048011 +13632432245 +18542668327 +15884459800 +13684078064 +18561997686 +13654552802 +15897092260 +13970021811 +15858530398 +18514859858 +13975758375 +13943821959 +13634781900 +13617900254 +15111402859 +13912094577 +15196074824 +18512355145 +15136453849 +13678846058 +15834143863 +18810860252 +15110428976 +15802932268 +15169575391 +13932702986 +15859899003 +13976681875 +18885179419 +15874717621 +18859079561 +18599949888 +15891061516 +18568461080 +13613302464 +13942165838 +18829160209 +13673942089 +18576412386 +13916284467 +15164217441 +13654201217 +18580702108 +15841323866 +15170311534 +18513856577 +18571247149 +18554204112 +18500084392 +13668422901 +13647436768 +18881764735 +15118505405 +15196505336 +15899950743 +15847007594 +18572124209 +13961173475 +15196955939 +13916430856 +15123885726 +18556679160 +15800391160 +15895071232 +15192236926 +18539201395 +15129523133 +18531903626 +15150489559 +13965899495 +13943492842 +18847544142 +18812002044 +18821438690 +18858369227 +15132501852 +15138264690 +15849245354 +18830445188 +18825330841 +18549768681 +13978849585 +18807807167 +18881412676 +13943954369 +13634716286 +18548808713 +15848714855 +15122245413 +13947068798 +13932737127 +13655242092 +13660193166 +18866787739 +15161250413 +18852572955 +15126843940 +13996052340 +15859607115 +15820104805 +18514710019 +18560431098 +18827013932 +13904783859 +15833605641 +15849291358 +13944374123 +13944398410 +13608767199 +18506399203 +18880760665 +15819531105 +13981164579 +13610429850 +18553868935 +18559346935 +13683419685 +13974240650 +18525152997 +18595918691 +18563022220 +15195991912 +18853774479 +18581263081 +15823329431 +18835746881 +18864665955 +18512473040 +13990972012 +15898775704 +13632673875 +13603225937 +15198226328 +15113429011 +15157680408 +13643052099 +15109919098 +18864778318 +18570957464 +15136049310 +15892708129 +18554702002 +13956923563 +13670137339 +15841398802 +13995866252 +15187081765 +13693917941 +15807294934 +15853896781 +18851780132 +15879621356 +13641369619 +18889398728 +15135264361 +18860892616 +13690515385 +13909203788 +15152197166 +13690683533 +18526592610 +18520304395 +13946854064 +18842621821 +15861147662 +15180636365 +18596376645 +15184389600 +15809014713 +15191521037 +15871383760 +13664390567 +13617124040 +18888340168 +18853703907 +13927470943 +18543693587 +18501550070 +15151505322 +13679683026 +18872263512 +15183247875 +18529473042 +15851737756 +13977720655 +15845013079 +18522929472 +15884124746 +18567030079 +15140715282 +18519829697 +15881123311 +18515290299 +15873357790 +18568631649 +18883981532 +18554817770 +18539356173 +13915858214 +15127979256 +13667533260 +13973033910 +15861313890 +13943441378 +13609666644 +18505827004 +18851240694 +13642840682 +18831263179 +18564112167 +15125055902 +15142056118 +13698209208 +15818427440 +13680624731 +15811520807 +18567311432 +13642118210 +15893850854 +18548213579 +15199600544 +18596272714 +18575792195 +18897765624 +13692935316 +18848987788 +13969746848 +18587316319 +15153672678 +15130882234 +13956657708 +13981564058 +18812200213 +13967305010 +13938628713 +15171300736 +15147349954 +15107454800 +13960990849 +15104013646 +13955416921 +15182816385 +15849626168 +15184058602 +13990351225 +13980834045 +13640345612 +18893003875 +15168544040 +18859485456 +15118481015 +15806847812 +15892818591 +18800845967 +15132687683 +15865297794 +15156231202 +15845438540 +13917932834 +18530289999 +15879489199 +15129261040 +13640412332 +13694534711 +13911947831 +13685860651 +15836423970 +15807365366 +15893477446 +15828732589 +15157677249 +15138991530 +15873122055 +15179918627 +18533665571 +15173156109 +15860202875 +18805950410 +18523303484 +13688737099 +13679296132 +13966359674 +15117526395 +18564977468 +13944695872 +18554846149 +18547503466 +13973637191 +15130567598 +18500615684 +18881984084 +15108731111 +13641651384 +13904786328 +18587974095 +15816985777 +15116111922 +18806967109 +18589850916 +13600950573 +18526946599 +18847282460 +18555311356 +18588766059 +13663267299 +13676514711 +13690352856 +18550002271 +18895336767 +15831751416 +18899453550 +13989200296 +13907475410 +13672360567 +18867936473 +13920826466 +18891092581 +15156134773 +13697741834 +13643938164 +18590738597 +18522218934 +13696928156 +15861248131 +13612124071 +13966577092 +18505995594 +13999394073 +13928161744 +13973338807 +18872011142 +15807565649 +13683967282 +15803377380 +18532134834 +13662692753 +18833614878 +13994948433 +13998643589 +18848883281 +15832774575 +15898445710 +15155682097 +13694949711 +18581097234 +15851804791 +15161687088 +18866539279 +13930688393 +13628621280 +15844238325 +15182267103 +13645916461 +18888296841 +18862381074 +18818963861 +18555126812 +18898312832 +13636257812 +18567030918 +13622166533 +13641487544 +18591390383 +18892958605 +13648057841 +13625722662 +13903749830 +18599155785 +18825243662 +15131388946 +15103696316 +18867558958 +13963684401 +18510737342 +13655030098 +15105547018 +18874653149 +18883524301 +15137918474 +13945306286 +18844321715 +15126665062 +15149332842 +15888042496 +15189239904 +13973025587 +15145967903 +18808112359 +13682555393 +15884730224 +18851729458 +18594560024 +15128014023 +13628538316 +13698701037 +15116819259 +13649909442 +15865953279 +18585291221 +13693896010 +13670599538 +13998274448 +18583917972 +13674803835 +15895617576 +13938253004 +15134966878 +15862080823 +13970920286 +18523640413 +13698212655 +15132068322 +15860523905 +18562426920 +13627302083 +13920760217 +15825467820 +18898134575 +13905756585 +13667183701 +13648425734 +13692749410 +13665934980 +15187541849 +15182357676 +15120957889 +15891121249 +15110736933 +13930965645 +13629872296 +13918119206 +15880454716 +18822282380 +18512979682 +15897455905 +18869497839 +15165318296 +13667550919 +18807403047 +18587765615 +18899027881 +18870876385 +13639956201 +15897467293 +15153621797 +18527356440 +15828093774 +15891612574 +13629754452 +13687587795 +18535524921 +15165211497 +18855660985 +15110157300 +18896272618 +18831988386 +15137657771 +18883125756 +15106859436 +15137059509 +15845021408 +15102575184 +18862929797 +15802991382 +13902990062 +15121720263 +13653874196 +13986078229 +18820641403 +15158891663 +15161315244 +18519134723 +13625580320 +13618850490 +18896466738 +18577362203 +15883306023 +15884025706 +15895653661 +15154946802 +18851158534 +15889360454 +13933486185 +13607811277 +15896784509 +18870600334 +13920051583 +15813298398 +18525638353 +15868063196 +15127171184 +13912663827 +13934931683 +13618654314 +18814919898 +13960314992 +18579646192 +13676389898 +13635388235 +13669357157 +13650074404 +18593778789 +15199956635 +18580539667 +13648634482 +18503057061 +13970048504 +13618029069 +18593553558 +18568874768 +15820527853 +18847208469 +18878341653 +18571713095 +18550373449 +13952301493 +15120557788 +13931408095 +15155876775 +13607038895 +18896540667 +18585456599 +15871903890 +15199945330 +18818856964 +18884459286 +13906354991 +15830566266 +18840267263 +15156356169 +13941352999 +18897681165 +15863935537 +15873904744 +18564315015 +18505428254 +13668924467 +15101488219 +18802078620 +15877255371 +15853025989 +18532422671 +18846518593 +13689711185 +18824275590 +15811544129 +15119137834 +13965672451 +15146140341 +15812674796 +18591042803 +18814087514 +18541800812 +15825929380 +18806126505 +18865316899 +13934107209 +15149847846 +15198162049 +13928209192 +15166127619 +18561506988 +15167068461 +13910573720 +18528568917 +13607448980 +13976274447 +13967800014 +18802848402 +13696125256 +13613834074 +13994620232 +13926642368 +18546796411 +15193555554 +13959030061 +18533410802 +15146149497 +13641825367 +13679185831 +13622556310 +13904490332 +18515515849 +13620123558 +13677590022 +15166299908 +15822545959 +18563924987 +15891853897 +13624224757 +18533109464 +13913329080 +13986966650 +13690169261 +18507009093 +13665427900 +13609612230 +18572612530 +13954342907 +15156215551 +18848682456 +13636711769 +15861503022 +15895853300 +15115861383 +13632567906 +15195048473 +18533627279 +15184464068 +18862959335 +13978412646 +18846588754 +15105660306 +18539215741 +15852612147 +18889777385 +15819402575 +18826253215 +13611627978 +15180261459 +15151532659 +18891066571 +15839737555 +18851938755 +15867488976 +15141570670 +18888056037 +18557065069 +18547194897 +15851891264 +15152455057 +18586643018 +13631597434 +18893872338 +18834998662 +13688931656 +15802124536 +15872821842 +18525001594 +18862209062 +13951472831 +13929755124 +13991633782 +15893199302 +18580906370 +18895705946 +13993696813 +15162000964 +18579846557 +15808661364 +15877780497 +13923419820 +18874826424 +15899814705 +15880176584 +15840216268 +15859264690 +13662236854 +13674083296 +18829421136 +13679767700 +13990642402 +13920686306 +13625115338 +13947106244 +18519140491 +18830676362 +13979617535 +18895504152 +15134341439 +18507589607 +13681087418 +15819315826 +13621351553 +18533905596 +15108638114 +13957115582 +15188513700 +18881165265 +13625558836 +18824079618 +15814534873 +13655228277 +18525924268 +15894067432 +15194118029 +18838817248 +18811635609 +18547004772 +18809258858 +13634986822 +15155461063 +18889977052 +18897692254 +18849839941 +15828890085 +13623639860 +13958500493 +13971738283 +18835801364 +18522163170 +18859503655 +13957656106 +18855582049 +18804097422 +13942194612 +18546130795 +13944617732 +13961667819 +18813103369 +15154073459 +15126780139 +13620039956 +13980029784 +15878690878 +13625578852 +15885196056 +15156116699 +13916867531 +15836669365 +15179187628 +18854158021 +18597927902 +13687788646 +18879326986 +18574311492 +15805508831 +15838394224 +13991643626 +15807945890 +15130816279 +13611098996 +13660278546 +18598047542 +13989260029 +18891210050 +13979599536 +18532861362 +13698854991 +13954671941 +18580790425 +18546436510 +15854399076 +15177579032 +18887922027 +15157727192 +13958255370 +13637091154 +13630735365 +18853224810 +15192624586 +15127056769 +15165455134 +15846247218 +18803389379 +13606834061 +18505256672 +18817617993 +15897009245 +18892869993 +13656881096 +13678139164 +18537411466 +13935518536 +15110195384 +13912954739 +18514794389 +15803927253 +18851737236 +18843204390 +13628630882 +15146452953 +18858509408 +15195889217 +13925661610 +13962433607 +13680020520 +13984399422 +15127833381 +18866873485 +13646819681 +13983919269 +15869855964 +13975937491 +13673882201 +13680496281 +13617422635 +15139184357 +18871621865 +15135754877 +18868444700 +18863736826 +15850279297 +18538167791 +15145931299 +15125199541 +15895221755 +18837138012 +18574178888 +15859533558 +15130018936 +15883765768 +18802612255 +18500863846 +18540446894 +13968191677 +13696444251 +15177646786 +13673540760 +15122175749 +13920025551 +18519910873 +15864018251 +15855515806 +18523837034 +18808143370 +13637421087 +13993137212 +13964410226 +18578330803 +18571947193 +13946440624 +13944630958 +18876615645 +18896258701 +13977469804 +18864602718 +13625673723 +15879051973 +15115012521 +15804244672 +18599361835 +13612002776 +15157720482 +18543316327 +15137010614 +18542577146 +18828745693 +13910857570 +18868525207 +15804316532 +13658701532 +18556363339 +18896762750 +13605811683 +18827621904 +13933590646 +15153057552 +15854090853 +18846071530 +18805287779 +18568603763 +18581602364 +18863393280 +18873541317 +18832325134 +13643170572 +13614100619 +15182269042 +15820794021 +13939124256 +13982904156 +15144997158 +15821968292 +13982069081 +15110358447 +13981409979 +15850682505 +15121587139 +18565564308 +18816609400 +15140482629 +13976455060 +18890184681 +13957302158 +13673147254 +13654909266 +13640187917 +13647900342 +18565684891 +15153401012 +13967138105 +13613296696 +18523993561 +18518465732 +13685155645 +15100446554 +15180725104 +13623749202 +13904221829 +18817726981 +15184258762 +18581767896 +13916245002 +15896229449 +18526805249 +13912530807 +13609303247 +13923702699 +18562143387 +13989071680 +18593753572 +18572840142 +18873694715 +13613300917 +15893210071 +13985205691 +15154836353 +18872929048 +18564648352 +18876763140 +13995353573 +13696758483 +15162596851 +15884995531 +13903799507 +13954533561 +15857979503 +18877286688 +15809999808 +18594000269 +13973671689 +15175785057 +15175234354 +18809150869 +18876488380 +13985612060 +18816986628 +15188121624 +18524073972 +18824383217 +18879985472 +18573318431 +13655503781 +18567986132 +18835552214 +13625076408 +15129278862 +15876982590 +13644225574 +18821300943 +13693366761 +15839526988 +18891067099 +15163751371 +18521500146 +15190050904 +15162737758 +13918194105 +15143195589 +13628629713 +13683131928 +13993641098 +18809211218 +18594022383 +15143661528 +13620571619 +15829866808 +18518577130 +15175711830 +18848315880 +15858908791 +18867828546 +18800141908 +18596356754 +13936235601 +13687070165 +18847125530 +15156193768 +15102322839 +15175891890 +15899366896 +15825676719 +18838402000 +13639598326 +13620280119 +18864304860 +18848687168 +13930207596 +15172819231 +18864704684 +15153200729 +13633741380 +18817213559 +15162336809 +15127082639 +18534814709 +13694078167 +18587656502 +18867714011 +15803091330 +18555431221 +18596223726 +18565886894 +15134660561 +15818070607 +18884239947 +15818728656 +13989520917 +18804367850 +18865506982 +15870114577 +18594828821 +18547352543 +18581417048 +15150272073 +13928091769 +18515622957 +18589089411 +13619033939 +15193635846 +13668215787 +15808466671 +15197078432 +15855563694 +15117965137 +13994549334 +13615461549 +18853928444 +13600126439 +18837893463 +15813041626 +18853328966 +15119179780 +15123812328 +13615261222 +15191947998 +15820107618 +13626911095 +15875519755 +15819657425 +18504769573 +18804003928 +18882360039 +18515137595 +18516364784 +15114160123 +13991383456 +15140362378 +15154024283 +13986266548 +15167151402 +15197914939 +13674728500 +15134147620 +13917478025 +18865519814 +18822449757 +13697944898 +18552369261 +15141611266 +18876432701 +18567410969 +15816503594 +18835340254 +15867873344 +15851417945 +13942897218 +18588056773 +13656964567 +13977702230 +18589851467 +15811826655 +18816497820 +15161000763 +18866141069 +13917148287 +13626306264 +15837442900 +18534546898 +13958020054 +18597585081 +15854937251 +15841482301 +18591278210 +15113461454 +18855088147 +13975204561 +15163089725 +18820484313 +15103338668 +15843508698 +15815069077 +15889568638 +13699694267 +18528617594 +15867588795 +18885097707 +13621356379 +13615153815 +15110137476 +15876438074 +13952668442 +13940648110 +15111885048 +18542912707 +15810224802 +18862873500 +18558867316 +18817531774 +15104623226 +13672863155 +18825062361 +13902154629 +13928295338 +15185434870 +13965224899 +15874427808 +15858410580 +13929222095 +13647076962 +15829673762 +13692939500 +18844661211 +13922775557 +13930462918 +15824221560 +13945810943 +15833383176 +15852390252 +18516855202 +15142114926 +18506724710 +18846280871 +13695132914 +13663144379 +13999937456 +15153395157 +13957048121 +18546176878 +15862647998 +15178781351 +13671609770 +13995820562 +15807427803 +13920240697 +13990161120 +18844800222 +18852285824 +18889211971 +15848173174 +15807727157 +18597000362 +15821863815 +18804258720 +15127233008 +15855620991 +15121695392 +15803229185 +13914427235 +15889312411 +15115718489 +13692205999 +18863364732 +13689924197 +13670324175 +13954528862 +13665982676 +13973417765 +18802026215 +15818348549 +15870030395 +13617999761 +15165851531 +13903479640 +18544117120 +15169258515 +13651467247 +15834800592 +13676921885 +15883069938 +13926034896 +13949385539 +18864329485 +18516456648 +18855298288 +15192754898 +18576125202 +18509182621 +13640905481 +18508580521 +15871760594 +13644554189 +15872854863 +18528563429 +15193238526 +15149198920 +15196304074 +13600027105 +18851165318 +15139205558 +13905951744 +15815156543 +15185898746 +18808546309 +13965046582 +18883443296 +18585923847 +15118057831 +13904035202 +13946594369 +13603930863 +13984992528 +18830954943 +18846821676 +18537217744 +15133685101 +13611658386 +18836754585 +13687663585 +15864834752 +18522431806 +15199742515 +15178018013 +18856784814 +13976686592 +15136807607 +18503350475 +18824492400 +13699703681 +13931218725 +15858770849 +18501248298 +13987918188 +13678367205 +18563471753 +15898837190 +13945141725 +13636282403 +18581057417 +18579317165 +13971224500 +13931903962 +18865514501 +15829781554 +18827501626 +18801499745 +18857963252 +15133857338 +13911195188 +18583814177 +15815226411 +15141646103 +18854478698 +13675623901 +18885012712 +15131950137 +13915195377 +13610670068 +15863970900 +13642295682 +15110974186 +13642792367 +18800077687 +18599184387 +15892505602 +18581269253 +13638306477 +13988554134 +18516269409 +15833238684 +15151853850 +13973347632 +13637123811 +18596802394 +15133261828 +18585828488 +13980039526 +18813273890 +15857598271 +13636905627 +13937702280 +15184535179 +15184628410 +18894768594 +13920214461 +13929436975 +13646768576 +18830902086 +13970525227 +15197765673 +18580375661 +15127156876 +18889174593 +15166285403 +18528596475 +13643033856 +18839359322 +18853684291 +13684989292 +13911315946 +15875984819 +18536122728 +13908138774 +15103649094 +13948780088 +18563062593 +13981920933 +15890008120 +13957052186 +15853960016 +18832707077 +18522845675 +13929891538 +13682951221 +13960268257 +13909261478 +13618221260 +13612742267 +18580553113 +13966634302 +18596131484 +15118713549 +13693542628 +13662046678 +18557917944 +18864735803 +13665333233 +13981363829 +18539599401 +13605558570 +13649125837 +15175998658 +18523318403 +15854040205 +18890338981 +15112294831 +13921016672 +18510796922 +15141586410 +15821977004 +18849426941 +13969397838 +15845120474 +15138109385 +18535282521 +18801067469 +18854515586 +18891315552 +18565383608 +13696673025 +15119302162 +15861638490 +15881632009 +18854470108 +18827806415 +15183715982 +18861854931 +18840554047 +13942821165 +18529135567 +18579853122 +15818707567 +18803767893 +13632042684 +18516458372 +13678844309 +15136857438 +18562055168 +15189181581 +13910276261 +15846832408 +13976320400 +13635551998 +15142392501 +18517272383 +13915615692 +18853234071 +13600635216 +18831591563 +15886976768 +15135578049 +18868933245 +18566804295 +13979759580 +13914494069 +15165217830 +15192194804 +18563472943 +18813019776 +18854503642 +15157117848 +13611827832 +13968714806 +15193646069 +13980505563 +15847701946 +18566308893 +15187749884 +18864928362 +18857177965 +18894588783 +18804780365 +15146436777 +15870136975 +13971425068 +18833929613 +15854965499 +18835985478 +18583546870 +13649724779 +15803010935 +13918589976 +13666770417 +18889958113 +13658051984 +15810119473 +18864258469 +18583343196 +18807653624 +18879489233 +13679061757 +13606484584 +13608600017 +13674370397 +18859002090 +15127400073 +15804399928 +15178816546 +15108460520 +15164289958 +18518106024 +15849121021 +18578733813 +13961875038 +13910129120 +18539579554 +15807858603 +13645706691 +13981784607 +13643543823 +18811792121 +18845184027 +18544460795 +15111963558 +13955315666 +13646854090 +15161218819 +18581288677 +15815893629 +13674326723 +13681804549 +18896606124 +15122672789 +15881548419 +15193443012 +18891930103 +13991862258 +15120744289 +18876904570 +15844050289 +18546590639 +15805654916 +18896256180 +18587781801 +15870990721 +13659086188 +18544343435 +15865807515 +13648503694 +15125244486 +15813791449 +15831417854 +13658435944 +15800867780 +15125670280 +18888442416 +18839508165 +18504588531 +15129159665 +15144687986 +18550225671 +15165225465 +15165985118 +18859026724 +18865211563 +15138478866 +13643510216 +18596500807 +15876687721 +18822258815 +15193605237 +13699825036 +13655256854 +13661191162 +15834679412 +15130840677 +18560798934 +13662993359 +18819293386 +18553092942 +18847152674 +15113131421 +15844319401 +13934557613 +13900390011 +15128812190 +13641937435 +15173059910 +18817163098 +13982142501 +13925909611 +13987449342 +18824351170 +15807491401 +18858538186 +18522134579 +13643540883 +13998466588 +15161894924 +13621478439 +18570561276 +13672541799 +13659143255 +15161832324 +18515099264 +13970700464 +15180741878 +18508825617 +15837351024 +18806285998 +18866651885 +18512562050 +15157771971 +18892724813 +13940534139 +15163730684 +15849711590 +18545905257 +18831312639 +18853596374 +13682624913 +15887951226 +18510146688 +15833060857 +15187938205 +18592807291 +15890559635 +18875429691 +13616920063 +15898723131 +13999921746 +13679998363 +15838213750 +15150321375 +13617488515 +15103712628 +13690133437 +18539656731 +18857882757 +13926671734 +13621098225 +15109155508 +15102107001 +15835906302 +18887158122 +18844866394 +13957532069 +18537706597 +13656034337 +13966035507 +15840954889 +15158004061 +13665667924 +18819607761 +15807846240 +18537539615 +13661052713 +18898196408 +15180086371 +15873202949 +13603932396 +18556512017 +13931587373 +15861353952 +18805243803 +13629596607 +18595667110 +13965448152 +18513878024 +13953818327 +18821276860 +13699377077 +18828115012 +13602224370 +15830965935 +15180566774 +13912234515 +18899837567 +13972466748 +13637286338 +18843424492 +13689147945 +13912101096 +13664748193 +15167249426 +18887874392 +18519929628 +15819599991 +18823110890 +15163212924 +18552444006 +18832784415 +18582721424 +18593629073 +18835486723 +13963347309 +13610684931 +15151536735 +15855624535 +18856398349 +15124246085 +13681716897 +15848723711 +18872181536 +18547533053 +15186299985 +15199829308 +15111738267 +13673618582 +15895712198 +18894334424 +18883433515 +18888758731 +13940898165 +13925911692 +13639073238 +13692754747 +18511665041 +13656966004 +13630686970 +15831916635 +13648236987 +13615958096 +18582700295 +13943853509 +15863289981 +13680555390 +18889172822 +15880705544 +13913083009 +18519091159 +18532570698 +18855627198 +15801503149 +18884504277 +13606872718 +13902138144 +13931829819 +18856171102 +13986784756 +15186561413 +15806074306 +13938819894 +13929613399 +15867274135 +13602640468 +18574392889 +18540851159 +13620207865 +15139739476 +15171848390 +13989278345 +13684461233 +18536892182 +15179280564 +18580556283 +15816964607 +18835774016 +18888650870 +13924667953 +15176801752 +15188732940 +18856530848 +13999613515 +18883585548 +13632663256 +18504855360 +15120774673 +15180767321 +13942649213 +13676464201 +18500110992 +18826214613 +13633958358 +18570949869 +18587455061 +18897148780 +18882269489 +18881315966 +13676458492 +18526731426 +18805777487 +15827792041 +15179793214 +18836369210 +13982084110 +18818980404 +15880673556 +15884189408 +18853483707 +15147621946 +13973893548 +18826989565 +15126049283 +13944191128 +15890975389 +13914171826 +13937516661 +13915207133 +13656399237 +15871458103 +15884364492 +15164200861 +18821792484 +13985431599 +13648925785 +13949653447 +13629809995 +13680679056 +18543795690 +13656409162 +15877324141 +15830592603 +13637720865 +13636632595 +15101008127 +15891264945 +13658449658 +18816270501 +15143205838 +15810520622 +13956105834 +13985795310 +13644185277 +18531037188 +15142051649 +13693592263 +18586547017 +18822127727 +18808364204 +18878218643 +18556283321 +15864748513 +18803144132 +15815249579 +18573462414 +18551065591 +18828068780 +15886037516 +15116331029 +15166395200 +13929053771 +18584383091 +13687611871 +18593540291 +18876160241 +18536767659 +13630173906 +18561778503 +13668287090 +13607501348 +18504001755 +15163423304 +18835991644 +13609537512 +18825423824 +18593229961 +15135935599 +13668130690 +18516672471 +15151500920 +15178794341 +18597213778 +15821847938 +18824474965 +18801822012 +15882695327 +13996761707 +15140218665 +18529208030 +18540132664 +13953541741 +15831533842 +13670694357 +15140865505 +15170082187 +15812387365 +13968796055 +15879411763 +13676538965 +15144761028 +15115543373 +18575632084 +13669895905 +15141446255 +15148388598 +13659749752 +13952980927 +15853280428 +15855300676 +18861809311 +18864972588 +15103434190 +15844616947 +18842071932 +13946415374 +18533266906 +13678066684 +15198952132 +13624042582 +15895175230 +13912313416 +13618593709 +18878470383 +18522357235 +18829737753 +13646631985 +13664701856 +13664691878 +18828751362 +18574417319 +13646210386 +13693835904 +15847038912 +15896516251 +15884388525 +15164747607 +18886297879 +15857793320 +13943051698 +13953953317 +18829191582 +18895853954 +13698095197 +13647078472 +13646690127 +13616942284 +18538946189 +18877069092 +13935029345 +13694475778 +18812413584 +13624928496 +18527303248 +18883260826 +13914664374 +18526943908 +13661910215 +18838910944 +15821354321 +18875014471 +13678727985 +13994185868 +18591450760 +18557569333 +18527894280 +15173932745 +13986020880 +18863971893 +15126656520 +18501487730 +18512405845 +15168734500 +13681551306 +13945823453 +18548417235 +15888668910 +13906189252 +15883176767 +18818142329 +15878171535 +18570708426 +13695810561 +18809901264 +18879644176 +15167835034 +18575295080 +15168890616 +15814100855 +18854270131 +15876343308 +18864688494 +18599696087 +15125461972 +18898715567 +13636590775 +13653032104 +15174305173 +15873371279 +18599997496 +15819432853 +15809274078 +15100928182 +13610965052 +13903211134 +18540222540 +15848174543 +13921661980 +15835756690 +15813199011 +18894460543 +18896958977 +15169442326 +15130444723 +15178784582 +18575737464 +18507251383 +15807008045 +15881679022 +13992228786 +18578595248 +13675339770 +15882058966 +15155971934 +15160915191 +15135390713 +15855518710 +15865524439 +15871508092 +15843278486 +15857796777 +13665766455 +15191180915 +18587874442 +18828054617 +18833811301 +18872121389 +18597057105 +18813224883 +13902890558 +18548355171 +15137483756 +15824821161 +18514165180 +18584715904 +13944060888 +15866294225 +15104645889 +13968212321 +13616614321 +18597303646 +18852036594 +15177668050 +15810107986 +18552927206 +18576115727 +15157328088 +13698628276 +13960841420 +15188458727 +18833212096 +13650231040 +13601076087 +18876006361 +15849707782 +13699366714 +18544221537 +18532027557 +13955747780 +18571676148 +15109955136 +13923805327 +18855887212 +18828722692 +15109751872 +13623286502 +18574400083 +13945465926 +13667310128 +18887182023 +15832326135 +13928956315 +15110427003 +13618342729 +18827745756 +15159337773 +13924395005 +18879960338 +13631656245 +18580911430 +15820714014 +18870645717 +18888995753 +18815927442 +13912668745 +15891085954 +18530393313 +18871534252 +15838860700 +15130935765 +13904782576 +15108459082 +13660574037 +13681368616 +18830884709 +13965624570 +18584555032 +18818272319 +13911944001 +15886246894 +18517234356 +18505306370 +15830892956 +18572240787 +18557541808 +13996695835 +15187585519 +15128905633 +15182663247 +18581203198 +18568556061 +18807315310 +18538116161 +13958815732 +13606314004 +15153930357 +15843760447 +18569695169 +15199986819 +15162206526 +13976733177 +15857810575 +13607096080 +15881377186 +13974692484 +15829585357 +15858184454 +18567692522 +18800180462 +18878661915 +13980965130 +13630124559 +15899394848 +15813918805 +18827501808 +15134405935 +13623320122 +13657502837 +15196542995 +18523301337 +15873718746 +18541207137 +18887789862 +13954458627 +18580523152 +13912926809 +15841576048 +15866965145 +18886624640 +13671757557 +13620144479 +15868324792 +18849808043 +15128806701 +18870876461 +13600311448 +15891225612 +13959582435 +15883763181 +18889265781 +18862398517 +13669135725 +13631802894 +13670328987 +18817539430 +18564202741 +18824636216 +13976948645 +15807983589 +13952041038 +15857219746 +18589579556 +15839597687 +13977341955 +13600452115 +15866211980 +13625162532 +18511874770 +18518084705 +13609656344 +18834536940 +18804322461 +18831885996 +18533086385 +13644374423 +18572719004 +18566150666 +15868926993 +13607572264 +13918627808 +15131408244 +18871090457 +15853232528 +15132512989 +15884123018 +18814947842 +15898412368 +18596105310 +18544579137 +15136667384 +13687944795 +18557922630 +13659265341 +15853609891 +18861175363 +15805931795 +13657707615 +18516590837 +18845654932 +15880833670 +18889586837 +18544581752 +18528047154 +18825531556 +18599925538 +18824656086 +15868832065 +18500354188 +18836124104 +18546173090 +13649420393 +15826023885 +15856295453 +15111637985 +18818318243 +15874578235 +13919504570 +13683183086 +15820476568 +15174537513 +15895606890 +13685578776 +15890493355 +18529866238 +15198701274 +18864153095 +15803327702 +15181430017 +18502642375 +15855128711 +18883307335 +18806268060 +18844729180 +18509372573 +15183356723 +15809307324 +15186808268 +15822164543 +13645343326 +13605117299 +13629149802 +18865100944 +15886641508 +13919580716 +13902408575 +13679279546 +18574144431 +15822040515 +13908410866 +13905150693 +18832445409 +15130487977 +15176195932 +18502105603 +15834157314 +15829435538 +15858955016 +13958839895 +18504851503 +13605528670 +13982188482 +18896954771 +13681409121 +18898147501 +13948417900 +13934935477 +15893313185 +13995563366 +13968298733 +15100906795 +13942931267 +15814380774 +13695456521 +13634620172 +13965416608 +13932614255 +13618248883 +18841241625 +18562390646 +13954750214 +13921999424 +13994870207 +18567080338 +18524543694 +15874748142 +13639443553 +13939533168 +18577166987 +18513423134 +18532932374 +18565173595 +15187192704 +15124508027 +15877725280 +15157515484 +18531707670 +13921043794 +15891169736 +13608791779 +18845248939 +18559614341 +18829828855 +18878616294 +13625796295 +13942870774 +13937118139 +13627406104 +15897834519 +13900538317 +15836756664 +15197877959 +13942768003 +13941390238 +13658988204 +13628258946 +13972317830 +18504562578 +18532651423 +15190018693 +13963991749 +15117540230 +15140666334 +18599239552 +13942431426 +13961949968 +13999525926 +15194686742 +15171193955 +15193483922 +15189279703 +15848564984 +13969395601 +18877695874 +15880238380 +13652199404 +18826993293 +18812362235 +18891624021 +13644069783 +18538200941 +15163450762 +15113631587 +13605642260 +13943884111 +18879486449 +18589370265 +18593575973 +18872552731 +15120341969 +13607460780 +15180186005 +18527481410 +15172183700 +15154557401 +13904037850 +18828255449 +18816161315 +18874613590 +13998835825 +15168244213 +18537632693 +18864253316 +13691369594 +18515580223 +13964613536 +18830066249 +18545495202 +15839744700 +18873508938 +13934556800 +18582255917 +18834041190 +13672691893 +15820667514 +13957513083 +13687245043 +13984733112 +18830151959 +18561570867 +13952926132 +13922383217 +18558749318 +13694734201 +18846243059 +18880628645 +15137714982 +15879723702 +18516483935 +15188608858 +18837136614 +13699253649 +15811019298 +18503140571 +18564079986 +15877728414 +18879684505 +13602820305 +15158522281 +15199326698 +13918601563 +13607014427 +13911013777 +18529915834 +13974288455 +13924329824 +18569416528 +15138272471 +15895194546 +15891628448 +15120007591 +15121919945 +15168815386 +15115713823 +15117588520 +18595903925 +18821377687 +13986046118 +13686820106 +18552723496 +15154636014 +18541391813 +15873394071 +15875150889 +15851456250 +15101068878 +18838163797 +18887224106 +13928467183 +15155040411 +15156562198 +18813407527 +15895026067 +18546191259 +18578670283 +13628005033 +18581204794 +18878070198 +15158647703 +15118861778 +15867554813 +15818411839 +13951037883 +15198968295 +15192262969 +15800524236 +15865240915 +13978212989 +13601085891 +13949542673 +15175676619 +15199576405 +18807157961 +15806639959 +13939529792 +13926977250 +15838357659 +18821914318 +15192704695 +18564969713 +18875647771 +15154543476 +18532585787 +18504588196 +13656924256 +18828003209 +15889494730 +15104714497 +15110873004 +15823748207 +18862737787 +18881232048 +15148189500 +15842113352 +15194514892 +18899475827 +15800393409 +18553961580 +18831684128 +18863046483 +13964472515 +13668336417 +13645392082 +18812569890 +15829649944 +13653308461 +15884068754 +15888085272 +13647587021 +13997107396 +18516773272 +18531903551 +13963353484 +18872168664 +15877140332 +18544908246 +18810191068 +15147740361 +18882323177 +15826990806 +18568766782 +13672327985 +18525181773 +13607651796 +13999774293 +15876146270 +15176695211 +18808037468 +13692225867 +13929877581 +13911444976 +18530426227 +15852052015 +13639239445 +15116068035 +15174676197 +18819267278 +18854628084 +13991467375 +15898861158 +18850758013 +13665672606 +18522462647 +18844602894 +13653907069 +18597835790 +13655930089 +18504316739 +13642644990 +13947594709 +13977560926 +15840802720 +15100976642 +15151537164 +13905773437 +18520954802 +18850569374 +18899127369 +13935637121 +18862108778 +13939635358 +15130104381 +13926573697 +18869592123 +18510977584 +18881695211 +18867738160 +13918093396 +15181363248 +13910569335 +13679340394 +13685971046 +15131260947 +13909793756 +18876083667 +15855415326 +15888456766 +13969322519 +15118805826 +15837512704 +18870094751 +13937293627 +13614399351 +18509428538 +18539226348 +15851618204 +18841683630 +13608622331 +13931751162 +15826867453 +15182710416 +15888219880 +13603845907 +13995030911 +18895155374 +13914981860 +13923442462 +15847911721 +15166213749 +15172722079 +13628759997 +13979882550 +18594429475 +15172751983 +15837631793 +13667763289 +15146507799 +18895235377 +15829266013 +15122259705 +15882256365 +15893040405 +13654668627 +15104796509 +13997568192 +13944870214 +13684411811 +13934837699 +13694960886 +15183894332 +15820443071 +13975622910 +13608905396 +15182463202 +15106300939 +15866353725 +15804041379 +18543352560 +13632748679 +15830065994 +18840307865 +18812521905 +18577156945 +18846112039 +13675930529 +15801413456 +13627597636 +13978338731 +13694843578 +13944085680 +13967862004 +15172686579 +18892912448 +15845368260 +15183737292 +15196010769 +15872102545 +18576152796 +13961281662 +18800686079 +15833103811 +18575732313 +15898844698 +13953442302 +13973318223 +13624237300 +18548025553 +15881860153 +18845953012 +13906628125 +18522284726 +15156708664 +13664799781 +18827256862 +18576247908 +13937521696 +15806873570 +15822996996 +15841245102 +18537621306 +15887824533 +18804768923 +18836327967 +18867622926 +18858159027 +18813722363 +15172550943 +15137072398 +13620190198 +18559004139 +13905497186 +13935081604 +15111246604 +15140568618 +15816922687 +13650441335 +18812790912 +15859194827 +15125307838 +18532844732 +18824839537 +13958300540 +15188515019 +15191191408 +18868317703 +13622732365 +13674143995 +15166326696 +13968058577 +18886178178 +15197916096 +15818866933 +13907944718 +18862585852 +15801763450 +13615537106 +15127325907 +13919749362 +13631588235 +13689411896 +13621625951 +15894715773 +15147183216 +13949164499 +18822094155 +13926039998 +15833549768 +15113739767 +18584121097 +13634972907 +13986893974 +15814047619 +18566482219 +13639202431 +18884006756 +15841596780 +13602541924 +18876349244 +13992189967 +15163267495 +18850817177 +13647087815 +13630223626 +13944060643 +13964010242 +15879915122 +13642753273 +15128086565 +18588887355 +15121897023 +13966584703 +18529628228 +13602699048 +15108131786 +18590654998 +15834686967 +15842986295 +13925995813 +18813634327 +18857501700 +13643068285 +13985544848 +18807325746 +13961336222 +13681015572 +15842614543 +18581084717 +18538794980 +15140462571 +18899522668 +18838751656 +15136147662 +15830353746 +15168026018 +18538615262 +15132439936 +15816166545 +18802426823 +13910719732 +15809557631 +15852780465 +15862162524 +13915397851 +15824995935 +15818492338 +18508482717 +13904634711 +18571280721 +15856476328 +15875041725 +15813842189 +15856646754 +15141007820 +15817312408 +18539628181 +18556863855 +13658315711 +13623340945 +13903808527 +18538053933 +13983478679 +13950391548 +13697190313 +18872177292 +13957914692 +18508298254 +18848758106 +15114067381 +18800111136 +18517587753 +15101283990 +13958229074 +18591727142 +15118744394 +18807551972 +18536797994 +15193233564 +15130264765 +18818450277 +15179649425 +18529165535 +18536102132 +15172804863 +18860152008 +15865227602 +18833610960 +18583818471 +13967257434 +13654107668 +13913486153 +13958704407 +15168968679 +13965716715 +13917080672 +13962118074 +15872682258 +18561248595 +13907949914 +15866206146 +18550880486 +13957491754 +18525802348 +18506569114 +15167249899 +15153640900 +18541337747 +15833561872 +13962573430 +13971402471 +15150057776 +13945051320 +15858985245 +13631286359 +15869434760 +15108893248 +13609710455 +18871877349 +13671637054 +13983453661 +15872139030 +15871879915 +18562298926 +13907827555 +18509186691 +13999552858 +15157197200 +15131437609 +13973798134 +15802047221 +18801512401 +18877383905 +13974832708 +18801083896 +13677837706 +15142937434 +13917072190 +18855580177 +13682350923 +18806798910 +18528569441 +13610053139 +13653073740 +13632486470 +13610479687 +18554349801 +18573697908 +13631068297 +13927178016 +18573785948 +18852566777 +18802214936 +18824497860 +15847315703 +18884564672 +15860380380 +15168665670 +13940314914 +13606879589 +18581849024 +15855583752 +15864330899 +13994370835 +13611834031 +15163755279 +15117942339 +18562730022 +15145591586 +13643778052 +18545218522 +15832919182 +15172523769 +18542543356 +15894855101 +13930084221 +15105045799 +15807901951 +13974052412 +13633433176 +18887168316 +15807283351 +13975110550 +13947339380 +13631052111 +13655879023 +13920487339 +18514755579 +15139020436 +13620169084 +18827970329 +15857089147 +13696014659 +15819401781 +15165034431 +13929269976 +18866675908 +15875389633 +15105281304 +13931724050 +18849802382 +15865667715 +13664815928 +13692406829 +18595436441 +18525124175 +13699179409 +18826691982 +18526405611 +15158638188 +18851856049 +18539230758 +13926281830 +15160881549 +15827598339 +13655405799 +18856941308 +13939468688 +18532444001 +13985803182 +13634239827 +15865984347 +18570152165 +13984424945 +15104052413 +15850252608 +15801949743 +13973659239 +13699365894 +18874038607 +18878179580 +18596374446 +13957119670 +13698630218 +15869859576 +13920665533 +15155981230 +15880741988 +18834719721 +18870709362 +13627679190 +13928781795 +15129262865 +15835218698 +15118791337 +15809082078 +18589554250 +15845886460 +13911246466 +15105674299 +18829243434 +13933704988 +18868911816 +15838886710 +18821276705 +15899034845 +13644893638 +18844826706 +15847348760 +13608969753 +13620451525 +13682120841 +15830342313 +13943652290 +13934648326 +15871023829 +18587501514 +18819835730 +18551537587 +13990298545 +18552765309 +15147672341 +18597986264 +15887062271 +18535184800 +18510162196 +13944652750 +18523050797 +13606356654 +15814046589 +13614645453 +13671133421 +13948847054 +15143454711 +13613629720 +13958472093 +13619173223 +15140090824 +15103213069 +13919800400 +15176270252 +18510303862 +13654670196 +18860408756 +15172928067 +15132335973 +13933075136 +18861950895 +18516159381 +15823707487 +13995951890 +13979264629 +18826658087 +15888731125 +18842024884 +13601592188 +15829316420 +18566255289 +15873822762 +13642659881 +15821290416 +18569899684 +15811184711 +15826331197 +13687244565 +18541964953 +18517847468 +18816433963 +15162145453 +18558518179 +18873929581 +13607714027 +15825091426 +13918085921 +18810281655 +13666898208 +18827324310 +13985556924 +15864800118 +15181502111 +18824125457 +18568126995 +15895000783 +13980813973 +18806288170 +13913285649 +15137473321 +18824911560 +15868297098 +15850940284 +13962659031 +15834617469 +13604150083 +15886942581 +15130926565 +15809869088 +13972672127 +13679627998 +18593529452 +15125651218 +15150714606 +13918626179 +13609851692 +15840825564 +15176580556 +18556336352 +15166321805 +13624624223 +15150154505 +18559273323 +13667337649 +13957229056 +15118376127 +13974618455 +13974989769 +15826311051 +13674888033 +18555064219 +15813597779 +13628200967 +18883882593 +15834778685 +15812811597 +15883814527 +13994190624 +15889522451 +15120263332 +13684739335 +18837674128 +18592364221 +18838690616 +15862397500 +15891778676 +18854644800 +13637367023 +13910145140 +13660830167 +15887103524 +18553564338 +18533314203 +13973553715 +18568232678 +13658772624 +13634829119 +15887174141 +15133423976 +18538243021 +15174863066 +18837239371 +13687290635 +15828541245 +15161310824 +18895056252 +13660621943 +18543284309 +18570727781 +15810591824 +15815688373 +13687127886 +15191284355 +13935462336 +18801228371 +18891825097 +18598093547 +15874814092 +13966352777 +15194795897 +13910756870 +15827291834 +13640876337 +18592273008 +13661590490 +15876389373 +15183206257 +15184273366 +15857105704 +18831506591 +15133688574 +13954041816 +13677692288 +15121550789 +13683050150 +18538448635 +18884627730 +13687509001 +15112330945 +18591135620 +15168092757 +13604223406 +15834325684 +15145066353 +13638059366 +15124260727 +15175954465 +13623422254 +13954065011 +15123061811 +13951091186 +18531966323 +18835920433 +13653436725 +15140879345 +18889261794 +15878259629 +18564617287 +18827953899 +13968106653 +13957415920 +15835288568 +13972547992 +13647113519 +18819251227 +15184248230 +13919946002 +15884074537 +13680072836 +18856804862 +13697845792 +13982055156 +13688591774 +13953724303 +13905190678 +15811225397 +15861229840 +13682416944 +18866558916 +13934383716 +13930638935 +18898578875 +15855993380 +13692421197 +13979350439 +13963777179 +18807914271 +13630545777 +15800184577 +13677330899 +15135517609 +18835929541 +15184989074 +18816982732 +18544737478 +15826579611 +13687718207 +15132977340 +18590013218 +18576031600 +18549592963 +13639086338 +13991803547 +18890453580 +15862113925 +13964194870 +13926999933 +13985265043 +13930044382 +13901280978 +18852792550 +18572904328 +15861769093 +15171564418 +13971307824 +13999054797 +13653071408 +18545934530 +15805356470 +15813931198 +15816759268 +13607592372 +18893009031 +18841179901 +18879464800 +13996374551 +15128335150 +18583882577 +13647431059 +13900230674 +18850427081 +18802263990 +15186126398 +15169578616 +13605078225 +15816248776 +13646666247 +13692053807 +18525764752 +13615385898 +13621474358 +15886219913 +15139040382 +18869692681 +13676950722 +15816446144 +13934727509 +15184410899 +15185425062 +18875565424 +15851893908 +15114097647 +13913263469 +13928932873 +15863319304 +15872118298 +15162203790 +18583405773 +15860129940 +15831686419 +18804820158 +15179886592 +13941514853 +15855884194 +13951987871 +13946402415 +18545877896 +15121647830 +18594912559 +18898947325 +18830289765 +18590234042 +18536347208 +18821026595 +15872180081 +15114105998 +18831152081 +18502134724 +13988571521 +18802021342 +13901185141 +13627140666 +13608447443 +15880865105 +18573015852 +13903843342 +15837853507 +18596770258 +15896903809 +13999744208 +13696603143 +15848742331 +15141881678 +13908210295 +15101086723 +18508330079 +18895226462 +15891534929 +15823602493 +15809806066 +18834527582 +13687695567 +15850985402 +15866386729 +18843877328 +15195709277 +13613860737 +18597411725 +18867705642 +18581089080 +15103746039 +18845958299 +18867888120 +15101106318 +18591806005 +13681796031 +15833769438 +18589373811 +15124515254 +13903219324 +13956687322 +13904531379 +13642973429 +15154152883 +13972399701 +13656713187 +18843815079 +18506213501 +18521986251 +18857552535 +18835969895 +18802513011 +13902829670 +18844874549 +13688016043 +15194186780 +15158704196 +18551458367 +15122016491 +13601560177 +13611629347 +15153627156 +13623236129 +13689089252 +18576615290 +15191548777 +18590014085 +18585036630 +13695305388 +13618234910 +13914195084 +15862980024 +13651534461 +18516730961 +15146386906 +18558656138 +15145508250 +18561763850 +13632905456 +13654430680 +13652146511 +13662495130 +18579444145 +15825019536 +13919311503 +13618952280 +15843097401 +18807623811 +13621404264 +18882141265 +15818829032 +18828269093 +18821752299 +13959626929 +18818576719 +15842928524 +13916663673 +18583534173 +18539156077 +15116255568 +15133111066 +18816031243 +18514789827 +18832773740 +18894915882 +13622419077 +15802353552 +13641544923 +18568797023 +18569892969 +13942072334 +15102014076 +13927960782 +13990767611 +18825708764 +15813095626 +13948696914 +15843328517 +15194364365 +13962117805 +15831380537 +18862996029 +18521739812 +15838433789 +13986028000 +15868919835 +18532324861 +15147073043 +18574108564 +18899638983 +15184230885 +18817379551 +13924551428 +18824380970 +13670548631 +15136653928 +13678670970 +15195990325 +15196177946 +13900712315 +18895991548 +13994841872 +13672107184 +13942479390 +18874723979 +15167263004 +18598857479 +13637134784 +18854057141 +15824952618 +15119690657 +13916788451 +13691378388 +15831054874 +13674740077 +18850776168 +15122767878 +15870397089 +15100899360 +13654730478 +13694454334 +13690491267 +18527328216 +13682690141 +15114489663 +18579655955 +18826119163 +15157719134 +13629836158 +15847149072 +13645244630 +13986714333 +15864755423 +18887980714 +13648509606 +15195929882 +13905387898 +15812149090 +15158277144 +15884438504 +13942427099 +18595207992 +13984534895 +13973734324 +13644026584 +15869908578 +13964565435 +13691500408 +13902946468 +18819939480 +18825524846 +13933316016 +15193363542 +18552210640 +15865450814 +15810211052 +15147951250 +15128712045 +13947425918 +18820422791 +15858946538 +15878909266 +15133617562 +18599195718 +13645457899 +15873143646 +18893929217 +15135996935 +13968659263 +13692679415 +15875403321 +15827142989 +18867930528 +13935518704 +13669226586 +18802810281 +18523880321 +15134529442 +15877404155 +18841344610 +18560538857 +15863068220 +15185723523 +15818511876 +13651871981 +15868531915 +13654720883 +15845850111 +18592474144 +13995320618 +18810397702 +18851943940 +15168529933 +13648285957 +18868423902 +13951040663 +15801639674 +18867873027 +18832100105 +13903366084 +13963836768 +18853959239 +15844987399 +13689478705 +13988073619 +15122909504 +18503012319 +15824989415 +15849484035 +15148611688 +13694985368 +18819281731 +18507528240 +15816949598 +18510604297 +15897988130 +15847095532 +13992528025 +18514478482 +13935284953 +13680348814 +13962361118 +13952240203 +15147658766 +13657331360 +15815595411 +13909605015 +15160511699 +15154789485 +15190886904 +13955218074 +18824225328 +13917209029 +15817053146 +15853474600 +13946903901 +15138269667 +13956264973 +13913113790 +13957314435 +18529493692 +18554713440 +13990433205 +13970353803 +15832534186 +15130130636 +18886042450 +18848616649 +18824320885 +18595774420 +18834643846 +13942716162 +18507796740 +15833509051 +18827239106 +13993049935 +15152172378 +15812681416 +18841430380 +13615304437 +15897233307 +13615457778 +15176658374 +15127230130 +13908414604 +15136054259 +15817736380 +15152213230 +15841072971 +15860530941 +13905033974 +13686251671 +18858974354 +15816829022 +13652630038 +18575077291 +15866530273 +15885743683 +13917427789 +18872631696 +13621313866 +13989298823 +18529269325 +15114645371 +18892264392 +13667699562 +18847348715 +18836230094 +18563564901 +13995306229 +15846365169 +18587838752 +13636503071 +18875290492 +18580678071 +13627398531 +15871274297 +13970000401 +18826399753 +15172849193 +15173996491 +13946427776 +18866546767 +15122927129 +15187735305 +13664666763 +18879434651 +15160575008 +18506611003 +18862230021 +15832802485 +13946789437 +13686149342 +13958153132 +15846151533 +18842527696 +15111080039 +13634716237 +13956091618 +13917752835 +18546161349 +18841849202 +18542678137 +18852931896 +18832293552 +13976317455 +18827982992 +13620889290 +13688704384 +18835200956 +13981743660 +18891245985 +15802841061 +15826967298 +18863044786 +15828547730 +18857834311 +13608901574 +13991444619 +15828456129 +13936173221 +15103556517 +15802360658 +15816542014 +18501167256 +13926121999 +15802551260 +18896049071 +13951784364 +15155728365 +18570408785 +18834679022 +15188873995 +18563089863 +15821756775 +18563373892 +13602477772 +13974373110 +15850683655 +15134205916 +18803782597 +18551170097 +18872205511 +15165378010 +15190753455 +13918027332 +18571423872 +15840008502 +13644717430 +15133253565 +18567282873 +13942593378 +15841756896 +15846203228 +13682666783 +15844547321 +13979839749 +13904601334 +18874231096 +18571183052 +18882880222 +13602661783 +15856765507 +13985359590 +15128939050 +18851459769 +18888040562 +18503994119 +15131461166 +18508449219 +13689380388 +15805093338 +15183358135 +15130460989 +13926904663 +15814091031 +15833963410 +13991645811 +15813471210 +13617877951 +18575690390 +18577400875 +13982532615 +13689888153 +18576534766 +18825850849 +13959898701 +18802119340 +13953134381 +13946819405 +18807409089 +18827549858 +15148772714 +15114408730 +15891415122 +13694672827 +15171481263 +13634797333 +18555859916 +15128629579 +18852256725 +15150247685 +18865370980 +15847360304 +13617915987 +18817318367 +18851443086 +15189780040 +18558820782 +13636935574 +18871326703 +13638298911 +13684965587 +15836800939 +13620174294 +13616620329 +18801488356 +18587756214 +15842624734 +18826843554 +18867859861 +13672013984 +18851654763 +15159567900 +13964247569 +18850331883 +18857839559 +18870523229 +18887051737 +15164064084 +13913816463 +15834262689 +18580218057 +13953077538 +18562839537 +13968717057 +13952665129 +18843695488 +13932831999 +13686790797 +15116129110 +18811013657 +15144388683 +18855695215 +13909255796 +18823206543 +15830931154 +15167768957 +13949367769 +13977746916 +13967298862 +15804854374 +18566720586 +13908672464 +13606302217 +15126307791 +15107728592 +15196612173 +15145246484 +18870051276 +13993979873 +15882073401 +15115959592 +18551030180 +18592730108 +13636010818 +13942519031 +18522282271 +13679745322 +15155323523 +18836915482 +15122374572 +13949417170 +18523116120 +13972935312 +18554974178 +18525500566 +15120106764 +15111940989 +18846441573 +13649659137 +13933223505 +13974952699 +13656295856 +18554061680 +18863419428 +13635120897 +18545458561 +15173968103 +13621613942 +15151454250 +15185627417 +13942137766 +13976449614 +18518337482 +13618423130 +18578580426 +18599794842 +18590781248 +18550589456 +13954922994 +15115414644 +15869846172 +18848014061 +13678435017 +15116500277 +13622236096 +13984523210 +13916890933 +18506468993 +13967360142 +13994090914 +18813054167 +15823973447 +15863580083 +18513940258 +18828878322 +13602925868 +15155448448 +18899755916 +15111544142 +13977024656 +15876802936 +18818333106 +13654661639 +13975387761 +18505746690 +15185762082 +18565178314 +15891270795 +13678988949 +15846619135 +18590588579 +13655275532 +13609868719 +15125792404 +13660923481 +15811543538 +15805850093 +15840559341 +15878789126 +18568884355 +15163929850 +18575302775 +18851500460 +15862413999 +13674601930 +15140871029 +15152596178 +18818318142 +13653594905 +18590395230 +18849775160 +13994836883 +13646369324 +13686492555 +13675415096 +18817405092 +18818655852 +13667652668 +13912823933 +18564236040 +13692641893 +13986393166 +13918405894 +15168617115 +13935954625 +18876923457 +13958479726 +13953936734 +13998467008 +18586192404 +15841228067 +13908459922 +13931203642 +15807213661 +13966310832 +15171605285 +13631785507 +13666286484 +13605007130 +15100728755 +18896393410 +18800081347 +15816149191 +15147993958 +15141127422 +13663183012 +18886815602 +18577267888 +15880699262 +15814456893 +15865070166 +15897045584 +18511545468 +13651737631 +18872659901 +15105056025 +18868777726 +15876040594 +13638946533 +15149649035 +18884927514 +15184974538 +18512199910 +15865029641 +15899086219 +18575055155 +13609034435 +15872711240 +13651937320 +18587095410 +15806024804 +18827597520 +15817028733 +15176833691 +15889890787 +18892640328 +18509827538 +18868436536 +18557791316 +15853351592 +13693503436 +15169032641 +18520775199 +15816042112 +18899669238 +15139872509 +13659896923 +13964182283 +15177229856 +18803371626 +15892302283 +18896491359 +18812301620 +15190445672 +15821888649 +15175748299 +13992708044 +13654678541 +13929865398 +13643179833 +13653503236 +18530014424 +13667600264 +15820793307 +15868886125 +15111188548 +13997416504 +18597769266 +13965150314 +18860877337 +18504721912 +18522435093 +15885427046 +18501402906 +15179993695 +13601740650 +13955709964 +13934003024 +18518323485 +13625996783 +18581294224 +18582842845 +15809132588 +15874272488 +18884052047 +15868424998 +13625117604 +15131757775 +13953704488 +13607247132 +13922583233 +13978644905 +15153642009 +15862025235 +13908310558 +13994118430 +13990499456 +15164608088 +15889196605 +15826318164 +13902826835 +18889482728 +13910401180 +18539150237 +18579872473 +18539750878 +15838641418 +15879695316 +15120217479 +15150402997 +13695246152 +13987438747 +13639913290 +15129625352 +15814100858 +18562698567 +18550970654 +13625817030 +18860627103 +15108726971 +15852313143 +18894107110 +15831287243 +18536636939 +18877125036 +18514732851 +13693537578 +13602156480 +15123889110 +18571443432 +18831926920 +13998230382 +13644131469 +18579463384 +15132703404 +15877417863 +13956384169 +15812432161 +13915005238 +18546105072 +18579251632 +15818383626 +15818389754 +13601229891 +15110264751 +18892740183 +18579815487 +13687972748 +18896362743 +18812484656 +18580259240 +13696255269 +13611876517 +18549230706 +18849959521 +13986881657 +18570295985 +15185535123 +18853913049 +18517780920 +15186733075 +15826881998 +15182969437 +13616794464 +15892939832 +13619463267 +18534126595 +18580266938 +15829209662 +18802468944 +13602884467 +13960415669 +13698345637 +18857168997 +18834449558 +15178142573 +15800890303 +15184659703 +13692261686 +13623999618 +13912213444 +15119699842 +18509348403 +15108250469 +18570809225 +13924381814 +15842641320 +13945208195 +18560639824 +15187522626 +13922184304 +15811182158 +18578079736 +13977175437 +15855520191 +15862673812 +15835160660 +18543633066 +13635304317 +13642569063 +18557992717 +13625026025 +18879240271 +13626769151 +15159777250 +18587738635 +18557165187 +18897398759 +13936461091 +15860655164 +13949313907 +13913114506 +13656830218 +13983694383 +13629145433 +13974596119 +15179117017 +18567849323 +18566981183 +13671705013 +13993354997 +18586343691 +15866896921 +18590274587 +15189901847 +15896880418 +18507961259 +18533303135 +13616849521 +13676924996 +15802153782 +13613959259 +13689107298 +18577267249 +15889000902 +15137718490 +13649550949 +18874273607 +13634188528 +18876254856 +18560582596 +15842005266 +13636319104 +18836513829 +18852701808 +18550786874 +13932805142 +13660815051 +18544104006 +13960431404 +18892467704 +18552162346 +15837591283 +18571784294 +18551292050 +18561640622 +13987523299 +18504144219 +13687689846 +18801442423 +18817673229 +18587747679 +15166273557 +13976207107 +18550992263 +18824450719 +18866784667 +15187456393 +13915564448 +15149467617 +18850048134 +15135952001 +18871103067 +13994920201 +13942250190 +18508063587 +15194108672 +15101958809 +15888654356 +13993969742 +18527687982 +18569280519 +18551495626 +18896334158 +18870815336 +13642106418 +18540809314 +13961874260 +18835597695 +15841272210 +13920217598 +13905089100 +18886927886 +13602226597 +18525666643 +13647117887 +13617084954 +15864448058 +15887072305 +18831544322 +13971133635 +15806356979 +13977138669 +13975653247 +18566624345 +18561145863 +13642536583 +15812727123 +18863772869 +15895209156 +15158133445 +18578627625 +13955448421 +13635268386 +13996256001 +18516980162 +18526707464 +15179384759 +13944557876 +15808037969 +15837617108 +18520399554 +18868430076 +13653347685 +18579804105 +13664009354 +15828307801 +13675159454 +18849782874 +13680302407 +15808054836 +13653718309 +18804844728 +13691243438 +18542188810 +15833670619 +15106879916 +18500381369 +13619614882 +15816046199 +13636900194 +15832817005 +13600679153 +18874360375 +13934196933 +15106363659 +15899187153 +15131193841 +18867557063 +15894085621 +13634984680 +18513115641 +15162954298 +15143802741 +13632962296 +15188781655 +13624828086 +15846164101 +18849456294 +18503531080 +13913026202 +13674941933 +15867576468 +15872832258 +18529149422 +15115262656 +15823957462 +15189339645 +13912478700 +15875099507 +15147352386 +15819223702 +13628952212 +18860712126 +13974318706 +15824660045 +18809031777 +15195678387 +13926267505 +18599759334 +18891176009 +15183779474 +18803573475 +18553090083 +15151374632 +13696135765 +15821859604 +13658522313 +15870721707 +18877709819 +18582598678 +13669533098 +18583434406 +18871900501 +13697723494 +15800469042 +15159659912 +18543113684 +15896273738 +18531433475 +18596707830 +13971792554 +13911434918 +18858453982 +15804094138 +13693473043 +13692675434 +13928775702 +18507276550 +18824876525 +13991637413 +15129893591 +13916634692 +18876018839 +15812891198 +15826305394 +15849301373 +13916905362 +18884692251 +13913189048 +15104335772 +13925440135 +18837550354 +15160178024 +15126380290 +13691715221 +18594495473 +13924246678 +15139989716 +13909339335 +18564759618 +15899132747 +15898135763 +13966943300 +15171865391 +13961142472 +15824685842 +18515195945 +18524318002 +18884056756 +13648934313 +13960379602 +18506221398 +18539575358 +18808285689 +15147882042 +15807645109 +15887422221 +13624773751 +18874994441 +15891833157 +18875068962 +18585776107 +13685556581 +15197325587 +18537323835 +13627654672 +13960928299 +15112673191 +13968410783 +15812266107 +15121732955 +13630672196 +15105463002 +18848749926 +18521210895 +13609472184 +13919682948 +13695194250 +13925716620 +13617891326 +13623646171 +18850178940 +13922003123 +15816702423 +13953133835 +13937160969 +18519769914 +18544079400 +15842987378 +18579509150 +13930811263 +15106338457 +13957876855 +13634219234 +15823615660 +15113381434 +18544586320 +18589514554 +15857070163 +13993176936 +18587846442 +15879275620 +13602844088 +13614472116 +15815434644 +13979866604 +15141770164 +15808325372 +18562074495 +18551822870 +15824240677 +18882684267 +18884087681 +18844676481 +13659448114 +13663989732 +15862898250 +18554966840 +15111882517 +15135150832 +18577389196 +18804334400 +13954412768 +18591099340 +15185491310 +18802274903 +13924772320 +15839199084 +15824157146 +13665976384 +18502846355 +15156007239 +15131293799 +18843899868 +13986002704 +13940262205 +15815649095 +15156246361 +15890332530 +15117307183 +13911311097 +15854006952 +13981283703 +13644699583 +15864859463 +15133354256 +13668702263 +13994659235 +13605243895 +15178841252 +13681737191 +13689655227 +15156841633 +18820542420 +15805725802 +13616396127 +18800466048 +13613770406 +15183230470 +18833405186 +15173560636 +18816142228 +15143019270 +15891978812 +18838583319 +15818845766 +15199002058 +18564873895 +13695904961 +15144878471 +15179254972 +15120288521 +13632089304 +15173406646 +15881697008 +15887236749 +15862368024 +15843186612 +15879761586 +18895113765 +18538537402 +13632795893 +13942459865 +15172817128 +13690007426 +18576937025 +18805397510 +13953777272 +18807482894 +18868877101 +18585111595 +15833732906 +15173977958 +18569872777 +13999929579 +18879191623 +15116373530 +18504738594 +15841428658 +15182972702 +18895478617 +15812965743 +15815590945 +15839945042 +13681093883 +18894087358 +13915937724 +13903699727 +15129675457 +15120862725 +13682957345 +15128853940 +15162154154 +18826951927 +15861405595 +15897896913 +18520992985 +18516365818 +15826573443 +15890212119 +13997877072 +18573168079 +18827891501 +15100952418 +13981307881 +15812430281 +18818338273 +15879751172 +13918100792 +15142855281 +18575731209 +15811584949 +15815093806 +15184671919 +15832931994 +13971838286 +13954501821 +13677381082 +18597061109 +18895215005 +18804635471 +18555867533 +18540517707 +13616174908 +13959708871 +18515564455 +15858278518 +15107733080 +15842027717 +15830154690 +13942208005 +13978647493 +15870436542 +18836848899 +15886286047 +13931586952 +13607981095 +15193218075 +13916302697 +15819698623 +13926307343 +18877660031 +15104302784 +18841988948 +15118136623 +18547214213 +18516681553 +13991502775 +13697020972 +15154716103 +15133613832 +15102493907 +18875768643 +18534606379 +15182705358 +18894245111 +15873178927 +15188405494 +13972800053 +13632429673 +18588781374 +18843126032 +13623205646 +15898410342 +18864380296 +15151080062 +13659923307 +18862334169 +18876493796 +13940727431 +13951692814 +18565519267 +13923238559 +13985419738 +13648883785 +15103219106 +15196433385 +15821652483 +18582917846 +15888444087 +18899108290 +18583007389 +18575747741 +18542981057 +15808901218 +13967350147 +13692001852 +13656172653 +15835010020 +18516265913 +13949804532 +15849344156 +13986753924 +15103463040 +18885146487 +15836904609 +18817837990 +15192291154 +18879873592 +15805566034 +18546385276 +13611264243 +18824894405 +13698522745 +18808984176 +13639542309 +18551808587 +15172044977 +18818095397 +13609154081 +18554669386 +13931625678 +18821902991 +13915957304 +15803227338 +18572934315 +13939761304 +18800691684 +18870236497 +15178456187 +18563597231 +15194805244 +18563021690 +18555229768 +13666972778 +18814110314 +18826991704 +13960159734 +18883443341 +13682558547 +18542188493 +18887832723 +15152301430 +18895864912 +15871223862 +18530465305 +13680333768 +18599151157 +15107389689 +18524699483 +18527336567 +15115646273 +18501917613 +18523541684 +15115285118 +13919338609 +13964187717 +13643849083 +13976130542 +13603234216 +13674692812 +15825816102 +18590848911 +18821706447 +18807892503 +18850738999 +18831613456 +13931805924 +15135923458 +18519001400 +13958189106 +18829325539 +18503243788 +13672497350 +15830565919 +13941022942 +18807779465 +13612689726 +18550499333 +13616002066 +18813134956 +18532669643 +18561961387 +15896149681 +13929556578 +18803037804 +18806459864 +13657174490 +13633637210 +18893227604 +15149672222 +15869625303 +13667881717 +13655509657 +18833779842 +18570515499 +13639782286 +18557291960 +15816790296 +18891985983 +15165285512 +13608825527 +15812953601 +15800843257 +18855475819 +15151692755 +18867699192 +13669714912 +15144575755 +15822941097 +13917307470 +13905203988 +15149766955 +18578138486 +18873776365 +18897005830 +18888586358 +15899051246 +15871412951 +15803491768 +13906924859 +18529595652 +15125334752 +18583291600 +15159716168 +15168719189 +13926130030 +15865967058 +18873070623 +18507876422 +15166073002 +13912385493 +18812400848 +15171327079 +13656294134 +18838240568 +15123561940 +18574340814 +13648168609 +15884718391 +13925484553 +18578453271 +18569200811 +13926479735 +13900550915 +15820862375 +15802885479 +15858685458 +15196548551 +15809305961 +15174926908 +18518036709 +13913136851 +13699761057 +18810260984 +15144663450 +13643392902 +13643540057 +13685034408 +13946753367 +13985185329 +18826274667 +18535558544 +18847816006 +18503657889 +15888696810 +15817648821 +18870711976 +13667593336 +13653874378 +15856812331 +18883465333 +13690660384 +15862646370 +18816439051 +13687307564 +13641914846 +18514978571 +13937363683 +13975572910 +15190365397 +13999348359 +18554782493 +13690023411 +15112698117 +13951667922 +13914201585 +15866154831 +15103807870 +13935368114 +15119437221 +15891540743 +18507843900 +18571411521 +15818845839 +13994412814 +18864804466 +13659948663 +18549394336 +18558747678 +18541975007 +18841564794 +15199758534 +15871409797 +18547667374 +15112202841 +15887763028 +18880152718 +18846244585 +18864211426 +18829947516 +15814360558 +13954642716 +13676911156 +13620069163 +15129183445 +13939397861 +15823730157 +18858101329 +18826144584 +18546344969 +18566721582 +15176477017 +18892057386 +13603961522 +18560929247 +15109539032 +13692488368 +18501860172 +15846429716 +18596963889 +15111260732 +15148272041 +15899195290 +18897495844 +18578395355 +18809269429 +18535869853 +18551069435 +13996467285 +13629288554 +15163661866 +13917878115 +15803850934 +18513660813 +18802883904 +15847748245 +13931790814 +18593856712 +18535977551 +18865315724 +18853163649 +18818830594 +18547995027 +15162339877 +15161086064 +15123626447 +18564968654 +18899158194 +18546282181 +13614173397 +18567295497 +13983721364 +15129233251 +18537407621 +15884197745 +15122725320 +13965858611 +15803548288 +15824281287 +18811827937 +13987696154 +13986928152 +18583177716 +13665617441 +15896015466 +15181389875 +18876705745 +18853768972 +18523987852 +13958856816 +13957913652 +15106847188 +15143456388 +18552000518 +13633562451 +13987758530 +15821192841 +18874578213 +18558723501 +15121724868 +13906922766 +15130861634 +13631998103 +15146843512 +18539776423 +18541066164 +18851330714 +15843222212 +15811193311 +18530193555 +13944641137 +15869461161 +15126296614 +18819443879 +13646283810 +18886557741 +13938431584 +15841416823 +13982800720 +13988382882 +13644133419 +18534569438 +18564185737 +15101868841 +13959906007 +15104923207 +18825061949 +18504116814 +13612383595 +18502966140 +15170113238 +18820212210 +13901852856 +18550508573 +18525677394 +18840798194 +18800612402 +18590714219 +13667796367 +15860629489 +15848958389 +18572526397 +13938922026 +15868738182 +15160065915 +13692847233 +13623408071 +15145102580 +15891648531 +15842343041 +18561220130 +15801450666 +18859256754 +13953670779 +15181962171 +13994942945 +15875962090 +15811966093 +15887725013 +15123236216 +18577958695 +18845042820 +18870153389 +13905580245 +18833275103 +15117871212 +15861570971 +15167278625 +18800026370 +18500969137 +13936441200 +15816188123 +15170341627 +18826007845 +15161715972 +13673688915 +15157779076 +13609271828 +15118268957 +13921007404 +18841323121 +13686186448 +18516843415 +13909620423 +18593412273 +18887151323 +18817357312 +13964874003 +13987377257 +18845143701 +18841870577 +15881524454 +18876659757 +18854721085 +18894664297 +18878723126 +13973224467 +18541276652 +13664309474 +13664661726 +18523792356 +13620952622 +13615415004 +18560773148 +15126485069 +15140436898 +15815414587 +13973129636 +18818802027 +15876751440 +18520788918 +15864687888 +13650762056 +18885846505 +18516601557 +13607714142 +13606909352 +18856244304 +18809383411 +18856803891 +15128401022 +18836014872 +15103834100 +15845177279 +13620862460 +13604184436 +18899473789 +15885634057 +15129077385 +15837526109 +13662011324 +15868010451 +13921215641 +15113570189 +13908286481 +15121610911 +15108484605 +15161354835 +15881840235 +13955234669 +18594718193 +13667922284 +13947653573 +13970460124 +15891442155 +15109551692 +15136791708 +18801872894 +15129520333 +18598948725 +18898410440 +13652961157 +13602572207 +18846564921 +13986609304 +18536355548 +13693630552 +13947303311 +15133878753 +15811033224 +15121434134 +18572143074 +18552352169 +13914275131 +13948217048 +13607113083 +15152999814 +18856136140 +18589001763 +15152706673 +18847872275 +15835259238 +13960146091 +18547681885 +18882138686 +18886883389 +13915359607 +13936574344 +15868962938 +15153993442 +15864443661 +18828813211 +18507701794 +13911296877 +18815808126 +18851088663 +18889459869 +15891188836 +13640601597 +15876210250 +13623451972 +15128437507 +18558990760 +15843212289 +13605352731 +15161045745 +18508505140 +18839753325 +13990946160 +15822747480 +18880283634 +13616101166 +13912288682 +13648499934 +13637829334 +15853733567 +15156537323 +13660089603 +18581824219 +13972058524 +18803859479 +13980547044 +18588145006 +15146631498 +15849863787 +15898479957 +18593528346 +13668810686 +18857620220 +15859550514 +18522770392 +13699140862 +13961388947 +15890076029 +15815048291 +18513836348 +15863860729 +13937610828 +18890730609 +18549155699 +13618839163 +13612493454 +18830015917 +15174970183 +13921858434 +13963515519 +13621324821 +15830040824 +13973252376 +13671192494 +18812194606 +15812384917 +18868376681 +13614760744 +13612295274 +15884969885 +15845829024 +13997874792 +15163695214 +15119135997 +18832072502 +18824082348 +15152648496 +13679057456 +18885811312 +15843717741 +15893656294 +15139411568 +18502434248 +18840521658 +18542111172 +15105175379 +13692340395 +18892984137 +13675803688 +18507707339 +13685962280 +13671297994 +13622743378 +15135133486 +15179538626 +15807097287 +18559407936 +15879789061 +18540240156 +15857649268 +13643423595 +15861315113 +15165437602 +13942312468 +18592802596 +13961537185 +15839968491 +15809010860 +13672496435 +13934710684 +15191053652 +18505802362 +15887577938 +15163624052 +15152922005 +15874871910 +18534226882 +18886005484 +15825209609 +13651310361 +13909412764 +18580102378 +13659951401 +13660975621 +18853196568 +15841661938 +13999412295 +15882692931 +13623091714 +18868280439 +13966822966 +18893538045 +13984643615 +15183298248 +15114186266 +18875336378 +13972683991 +13643146581 +18513974059 +13660772022 +15826873758 +13628716168 +13617892284 +15102397487 +13906171138 +13980618180 +13989870506 +13920311634 +13684823661 +18859095818 +13658829508 +15134936652 +18592495991 +15187273078 +18812841853 +13952642416 +18515878213 +13961160513 +15131099413 +18850287814 +15173085647 +15830728536 +13688743905 +18550552590 +18539782790 +18526205876 +18500160566 +18804705861 +18810415895 +13634582666 +18895571507 +18539033342 +13931369792 +15815657285 +15190143486 +18510552085 +13631408459 +15897758838 +18516224281 +18503663878 +18801936783 +13980612219 +15106974054 +15810258816 +13979148386 +18819668160 +15150732300 +13931235087 +13950248564 +18504602111 +13689897511 +13929994992 +15164393861 +13979775526 +15857022644 +15131471738 +18831981779 +18811963068 +15823716551 +15878048180 +15848121058 +13696155106 +18895141301 +18576146678 +18527788285 +13977489702 +15177558469 +13906999774 +15866626373 +15849348705 +13995089604 +18557606874 +13669882160 +15139312116 +15842357637 +18582765878 +13932761506 +15845479273 +15894405815 +15161433329 +18591680913 +18550663472 +15894046625 +13602512277 +13902117266 +15163492327 +13945647652 +15846871710 +15160681772 +15118016812 +13688382916 +18563570431 +18818816381 +15157685736 +18850867240 +18530140712 +15865921797 +15848994893 +13637590279 +13630915082 +15156204813 +15890132648 +13604470221 +13642001406 +18849249326 +15853736703 +13969514865 +18807578455 +18510777977 +18597897770 +13916156653 +18538851874 +13614667913 +18587567758 +15855808439 +18554078061 +18889118071 +13656609669 +13661479103 +15136465817 +13639277840 +18807714624 +13685632243 +15850720023 +13605769499 +13676269744 +13661166736 +13618711996 +13969336316 +15120755038 +18825158308 +18519674426 +15855026234 +15898471410 +18879143149 +13923644467 +18879292791 +13687072946 +18523931229 +13903224786 +13980703835 +15112063421 +18576777994 +18539911302 +18811990780 +15132744310 +15882632810 +18587532579 +15174416920 +13949058778 +13946639944 +15832768107 +18597866918 +18554612195 +13953692236 +15188152358 +15144377432 +15140049956 +18501420557 +15807759290 +15114077671 +15199999151 +15139494729 +13928761647 +15119552526 +18826797259 +13998069857 +15803766373 +18521675890 +18889652203 +13932792333 +18859315038 +15866985835 +18567922429 +13949777487 +15892624364 +18821007488 +13606283710 +18572698821 +18570291781 +15863486270 +13654034400 +15113291411 +18849430084 +13665863086 +18597955297 +15119477697 +18809079730 +15120462113 +13951343535 +18891607463 +15808227656 +15150185475 +15884275418 +15831671223 +13685703167 +15860895375 +15808322062 +18559644300 +13912486640 +18594428150 +15199328876 +18564420831 +18541926523 +13672670111 +13667360677 +15891128261 +13937219999 +15147295393 +15156052858 +18522823576 +15856898780 +15161703235 +15175353723 +18807989741 +18800029942 +13914650307 +13630441476 +13932535736 +13955859680 +13665691558 +18891393600 +13922478367 +13914473219 +15152948995 +18871478613 +15898794582 +18597604267 +13928262858 +13692297323 +18527355638 +15109475749 +13679106829 +15801670611 +13943082770 +13623396031 +18561524619 +13603287796 +13945791150 +13635699173 +15892615655 +13937689542 +15110368411 +15819991201 +13935059140 +13918951659 +18813007630 +15871042748 +13693112834 +15183880999 +15879056823 +13690966992 +18580543545 +18503116900 +15142357983 +13608036889 +15196351570 +18546205317 +13691399795 +18838275307 +15130423759 +18874734352 +13948564437 +15179114189 +15114674920 +15196940704 +13665900613 +18855914469 +13608587155 +13990531782 +18804352343 +18872879469 +13636161223 +13686273499 +13637542535 +15165747166 +15175917456 +18584703365 +13919713979 +18835741725 +18567497248 +13617013256 +15806098593 +13913522867 +13911334572 +13922065541 +15865274611 +15109738371 +18881006049 +15160756562 +13903279587 +18505993295 +13631247774 +13675653256 +15165665892 +13998991801 +15178648684 +15880287280 +18581859938 +13666617815 +13672614382 +13949083371 +18868569291 +13972289453 +15860637049 +15837485399 +13601776693 +13600176992 +13980587760 +15183883873 +15110436504 +15178522548 +13997115659 +13921677420 +15811721712 +18896921428 +18546730231 +13914057692 +13604979495 +18579050956 +13923702815 +13604109808 +13959339094 +18857757616 +15136850402 +13933256028 +13962403131 +13987653946 +18565392145 +18510336561 +18882602700 +13671730353 +13673218053 +15891353978 +15195796552 +15187485626 +15824477215 +18828009255 +15882872514 +18538723085 +13909969494 +18594112346 +15886216309 +13915425875 +15869958668 +15156574343 +15180273741 +15842083202 +18567025916 +18582450996 +15176340017 +18863368084 +13979829564 +18873515538 +18547071082 +13974436259 +15152418432 +15125156504 +18533129569 +18847869224 +15858680717 +13963040445 +18849521284 +13966137031 +18508285541 +13684941295 +13605535144 +13607687219 +18879627500 +18810401683 +13600001249 +18584409862 +13625603401 +18895349321 +18582208852 +18885174295 +18875613720 +13948998528 +13929590062 +18541166149 +18589571056 +15138806850 +13954858459 +18586500511 +13644930296 +18805651419 +15167637241 +15173109676 +15100164377 +18805534524 +18561294261 +18817764068 +13636991816 +18819684992 +15855694420 +13618048756 +15809592213 +18576496349 +15117290734 +15121770271 +15136693475 +13930267479 +15871591204 +15115947378 +18579279318 +15868743145 +13603013439 +15106505807 +18519326172 +18573695810 +13975663843 +18584050729 +13948329810 +13931417222 +15800364551 +18875804384 +13632240037 +13691453911 +15820617699 +13980356885 +18865157551 +18875193231 +18886698286 +18830498460 +13962607388 +15104758887 +18821936013 +13612874079 +15893531773 +15199630571 +18887733953 +15165834200 +15858632844 +13932298329 +18591224328 +18853781456 +18542876205 +18597745042 +13629348609 +13968180287 +15838074344 +15804674590 +13690989980 +18583751132 +13609521851 +13944112446 +18557106294 +13960721818 +13963916111 +13957209872 +15180739967 +18815481890 +15814929931 +15155738185 +18587532298 +13908304827 +13623264236 +13938659580 +15830628154 +15852597322 +15843134340 +18810591529 +15878100416 +18538562810 +15861139428 +18592132813 +18543078560 +15175281719 +18523971579 +18891254470 +13961290911 +18882073477 +13606189369 +18571369296 +15845014584 +18806307640 +18563925873 +13962900913 +18563198050 +18879571929 +18577345287 +18507784561 +13676121174 +15193883069 +15167063357 +18509069047 +18508795249 +13657899760 +18580936135 +18552335575 +15847979904 +13638980618 +18880293514 +13683943229 +13942219104 +18575223220 +13944857547 +13906069183 +15161789814 +18847474669 +15103068146 +13948761976 +15118606441 +13939245225 +15166028877 +18501360984 +13900042685 +18586025603 +13664240349 +18508636970 +18897963847 +18861490926 +13657144356 +15100227480 +18545130322 +15182272916 +18540687751 +15811073700 +18802884657 +15121035923 +15889942678 +13972433429 +13626054880 +13669270037 +15846129327 +15170228244 +18888803595 +18512759251 +18868689312 +15804217482 +13697746235 +18519696678 +15155699546 +13909373848 +15172508759 +15147130836 +13647725021 +15184106333 +15150731295 +18599401184 +18845436519 +13908860184 +18543006494 +18880747006 +15173659751 +18593582775 +13659381014 +13947049980 +18822336831 +13610106418 +18550111119 +13952232958 +18533166573 +18581832024 +15126031037 +18577062300 +15102649005 +18849293554 +18889368371 +15805821100 +18892988407 +15188688532 +18834848759 +15114712701 +15893563814 +15111103368 +15806740589 +13905744751 +13921664547 +15114184073 +15141459479 +13992048472 +15140659040 +13698551363 +18815874770 +15848370772 +18802744871 +18815471245 +15153028107 +18865123233 +15179396547 +13698418904 +13689096911 +18876782064 +15876650150 +15171145398 +18508781538 +13903561128 +15892621377 +13945303847 +15856678091 +18859685223 +15882012382 +13658123707 +15835744803 +18838142421 +13686877186 +13919809089 +13978270998 +18598715667 +18512970054 +18813512094 +13916615275 +15128905698 +15805605021 +18813776313 +15147486918 +13658022110 +18849613559 +15898199750 +15124486682 +15802736636 +15188133809 +15809832684 +18883671001 +18554625053 +18893084312 +18503325162 +18854885785 +18810002606 +15824137750 +15142495126 +13967616492 +13910206232 +13977987720 +15875515560 +13692000566 +15885459424 +13981759904 +18847720135 +15193379419 +15196041513 +13902849136 +13989003394 +18540702456 +13698611473 +15182408052 +18854267690 +18543456391 +18865025923 +18517722995 +13944527404 +18898906841 +18564568044 +15135181959 +18823216856 +13950579691 +13966081598 +13959330746 +13694564363 +18599696554 +15827905537 +18816219132 +18843839019 +18856372809 +13936125890 +18574489715 +13976708323 +13654550768 +15828528557 +15849427158 +13972651822 +13693837105 +13636149475 +13950068550 +15196267376 +15126319301 +18564878469 +18591499469 +18589452718 +13638007078 +18843067059 +13954681316 +13604319441 +18858645216 +13664665279 +18597499472 +13688435526 +18887171626 +18563785311 +15852899288 +13939000598 +15830501017 +18860934081 +13606769078 +18818124859 +18581032998 +13638559883 +18828250552 +18539915170 +13699970867 +18841173296 +18504848134 +18893200944 +15888966770 +18518725641 +18827082132 +18827086063 +18573420111 +15170541334 +15855557921 +18840516887 +13642973877 +18518341883 +15176954723 +15181877807 +15143122817 +15149194551 +13658105231 +13656572827 +18597896332 +13681267672 +15152732415 +18582612169 +18596223246 +15191572044 +13957545975 +18510914244 +13900181427 +18866419368 +13622579254 +18573310695 +18809007152 +15892253425 +13626816202 +15859067207 +18503731983 +15802576427 +18571192212 +15172943738 +15144201123 +13644990415 +15893539318 +15186906294 +15881720513 +15813914095 +13949786270 +15818537507 +15186862857 +13990422289 +13678088547 +13985704958 +13961511207 +13614426794 +13905055835 +15142582526 +13645011197 +18505933799 +13908768606 +18543963768 +13971461960 +13921437853 +15117131999 +15188687770 +18899245092 +13969600274 +13680447310 +15879030609 +15123442608 +18573926619 +18565401958 +15847020323 +13624425697 +15114403197 +18829008094 +13963184532 +13907478737 +18596541530 +13945534996 +13976138146 +18534711762 +13984533593 +18519979589 +18832401426 +13944613765 +18508156517 +18572701790 +13698468305 +15111356644 +18586627664 +13655361318 +18502668097 +13632101578 +13961032990 +18846244054 +13629867767 +13927510261 +13945405920 +15176944139 +15840294514 +15158195635 +18847634456 +13679927444 +18565487744 +15187298858 +13927279313 +13640312999 +15108632665 +15873164805 +13682646919 +13974379031 +18850072495 +13911014234 +15110938377 +15875680962 +15804827307 +13939006926 +18500421016 +18577002641 +15179537168 +13920487419 +15138248749 +15840614725 +13641942713 +13905717527 +15128846626 +15816055444 +18590685634 +18898941640 +13657115116 +18524057233 +15899146418 +15864041913 +15877186428 +13628037650 +15879441419 +13655102873 +18540250142 +15161559744 +18527212888 +15139766009 +13922163160 +13684697207 +13976058250 +15811895914 +18508795233 +13902644744 +15116606226 +13620526091 +18541922760 +18539806153 +15883824252 +13644621002 +18554212820 +13919018846 +13623911926 +15182527689 +15194250831 +13684912871 +18542965581 +15801964398 +13943360491 +18874136601 +18581268626 +13624880038 +15142778213 +18803153532 +15839295971 +18847063005 +15175813241 +15191698585 +15136482858 +13601319808 +18804418073 +18802048850 +18875178919 +18886292692 +18857051139 +18585725566 +18558507607 +13973992151 +15181256081 +13973493747 +18842762577 +18568365630 +13682588636 +13995792960 +13631793438 +13906557292 +13659153358 +15899269379 +13913177298 +15173847561 +15859725516 +13952918594 +15137327144 +18870663906 +15811080985 +15101647031 +18825245207 +15804865869 +15805707588 +15113684432 +13680253576 +13625226111 +18575273761 +18513420425 +18574934062 +18564905573 +18572834806 +13945749568 +18870435885 +13951564639 +18540930415 +13600358590 +18560227933 +13689925791 +13914473943 +15118262786 +18805707723 +15880072302 +13626715378 +18544607221 +15880525756 +18553781892 +13956308567 +13916582962 +13925785443 +18899295356 +18822552989 +13685637612 +18556389954 +15826171771 +15173172962 +15123334107 +13612751026 +13672974440 +15818472960 +18852945567 +13647660642 +15818913791 +15826109283 +15867729773 +13600868596 +13678075244 +18804000037 +18519196699 +18860181853 +13610443081 +13950856084 +15882217952 +13903192270 +13607715639 +13986114546 +13946997671 +18555457279 +13639790666 +13613622277 +18532435387 +18565616444 +18581098191 +15138225808 +13961582972 +15174016995 +18827604164 +13676414807 +18595310943 +18557615777 +13946669766 +18561454536 +18851437774 +13938864643 +18899272840 +18822983751 +18837592014 +13679189743 +13694499195 +15822276918 +13658950838 +15110919064 +15824597063 +15110055413 +18535318436 +18552170437 +13951346728 +13672886279 +15845717595 +18540367337 +15161196643 +15120377768 +15839693306 +13948360792 +15178385825 +13967524357 +15160016326 +18515113026 +18897786794 +15818920726 +18590643381 +13617551761 +13968151173 +15108646725 +13998533636 +18581806737 +15886409969 +18853207943 +13665391866 +13916151371 +15883816225 +13902940627 +18820071048 +18534899549 +13662564104 +15121515380 +13672865059 +18549723184 +18847469960 +15195935287 +13973623012 +13971146976 +18826155177 +15184284104 +18529940141 +15871579895 +13935010626 +15192138494 +13627717172 +13904768622 +15886002935 +15126458926 +15199768337 +13613732835 +15873073173 +15857003010 +18586542313 +13602501146 +13996268446 +15890659257 +13933775598 +18596259560 +15888967208 +13913640776 +18563629852 +13678969556 +13661923316 +18545640807 +13646977594 +18860978811 +18859839526 +13945799019 +13978982910 +15830343034 +18551840827 +13613053365 +18808832989 +15866929901 +18878052668 +13957944125 +13684615553 +15853841963 +18563140913 +13947302680 +15166316409 +15193235875 +18588018830 +15882446552 +18852728799 +18886219782 +15830144771 +15198939785 +18817431090 +18564147872 +18531003995 +18569510211 +13911005724 +18511699538 +18886000472 +18546612291 +13610780461 +18861551067 +15169618288 +13633180707 +13608713220 +13690115905 +13932304912 +18835039044 +18588704907 +13602926706 +13928964676 +18543939656 +15128901171 +18832065584 +15134139483 +13622684409 +15163318948 +18534725433 +13666499258 +13680634046 +13998699031 +15134019774 +18529608433 +13609111386 +18582249079 +18559923433 +13910211706 +15144670358 +13982447688 +18556015354 +13658393879 +15196068028 +18507865358 +18517524284 +13622245312 +15168120273 +13987550115 +15827082130 +13951577708 +15135425354 +15183926277 +13993251941 +13625943123 +13688375497 +15133524135 +13662839415 +18818767002 +15137044532 +15884518383 +13982976799 +18866990608 +18542998919 +13608109175 +15199403803 +15142807073 +18803033679 +13961571781 +15803455857 +15835175139 +15155895784 +18830276904 +13629826303 +13950481374 +13970501468 +15887451798 +13653929848 +13620228288 +13690250800 +18823785231 +18506508378 +15850599759 +18547718040 +18505608152 +18872827428 +15883262377 +15193832077 +15118850921 +13984582964 +13619771347 +15821270944 +18514518724 +18588719854 +13960895165 +18503772487 +13653497394 +18515515154 +15125441987 +18887224961 +15138776666 +13966225004 +15180078223 +13947826138 +13941662117 +13613757786 +15187466638 +15161179115 +15848450278 +18899775297 +18867861154 +13962838305 +13638955887 +15880439001 +18835252719 +15167533617 +13696371472 +15117825746 +15164710222 +15179241740 +18866672341 +13638807569 +15827211667 +18856703493 +15828760861 +18888950526 +13682112096 +13997373787 +13917526644 +13994539573 +18599998845 +13941678715 +13600888471 +15196008021 +15807573299 +13607326978 +15115019502 +15847725612 +18807608058 +13927485895 +18537072990 +15158021426 +15882824957 +13900070310 +18806249512 +13948203252 +13919624903 +18558806273 +15895790246 +15130264512 +15131485884 +15883256751 +18525010837 +13643441805 +15804336438 +13969707483 +15875024962 +15817429524 +15168321575 +18880400731 +15139557344 +15128893045 +13626928227 +18550632020 +15809216482 +18897983484 +13937301757 +13996412972 +13908887931 +13652542588 +18551534652 +13628529868 +13905437565 +18809771013 +13931323009 +15138104460 +15858201406 +15893765632 +18825564517 +13650329702 +15804665704 +18856440605 +18806886589 +18521380926 +13658256171 +13981255314 +18814765956 +15868242818 +18501331637 +18588117477 +15118529046 +13614259566 +15830557600 +15176153587 +18566223703 +15878806654 +18895698245 +13972227110 +15863602340 +15116246869 +15824121917 +18867359778 +15852868138 +18501591966 +15873797512 +15176819200 +18507527550 +15881175484 +13695272044 +18577687031 +13697001098 +15811353985 +13646942041 +18891190359 +13664644217 +18802703530 +15139902663 +13693153720 +13906853806 +15831502563 +15151862046 +15143525174 +18551388367 +18594472234 +18837364915 +15108981219 +13940909834 +18827120410 +15163524733 +18870283171 +13986414897 +18509861084 +18860517728 +18844944889 +18813356008 +13919728806 +18565346459 +18812070566 +13663004885 +15118088910 +18580886202 +18874636546 +18530489698 +18574468185 +15838467003 +15875700078 +13955353823 +18813383855 +15831062357 +15159432836 +13939258001 +15182061937 +18882362180 +13927539327 +15166333103 +13689306575 +15814835874 +18884944776 +13652863145 +18516062613 +15838533020 +13624891721 +13956759138 +13974828663 +15193477049 +13667295540 +13990369370 +18842979834 +13936177267 +18574754391 +18555888424 +13982787612 +18578259971 +15805304578 +18876179593 +13944292735 +18834709604 +13656266019 +18808994498 +13600592179 +18883622998 +13901121332 +15152339349 +13687043384 +18816380747 +13954900711 +18853353421 +15132362808 +15128056621 +15103870269 +18540785246 +15119672880 +15104568537 +18895783308 +13604187149 +15874257366 +18593431095 +15884746113 +18512003062 +18832511624 +15175455821 +15125192994 +15822703539 +18504129361 +15160788030 +18584100402 +13919441262 +13683760435 +18526323072 +15131054341 +15878872797 +18549433573 +13620273040 +18571671629 +15140525401 +15129749338 +15128526129 +18877904428 +15139896738 +15870964423 +13691846736 +15823110653 +13635844546 +18866565190 +18517250668 +13974849943 +13608775562 +13618761297 +18864513119 +15125315331 +13936710966 +15182745390 +13911283306 +13618111391 +15879765166 +13606379494 +18830670343 +13639359241 +15833072783 +18886053940 +18543598090 +18568730291 +18546740918 +13651122617 +18803986248 +13607127783 +13687233683 +13697258626 +13602120469 +15197238060 +13942303084 +15118465470 +13902201076 +18593536195 +15168616136 +13973932341 +15865524679 +13985291090 +13991563982 +15875490381 +13682146327 +15165640151 +15828218268 +15820452956 +18806522099 +13611392914 +13633601710 +18880666255 +18510283289 +15843378704 +13634776224 +18887900662 +15860910306 +15827442531 +15840073616 +13600513112 +15196356691 +15114386144 +18579315765 +18530706655 +18888197195 +18520544627 +13907415438 +13644723938 +15851717048 +18816326156 +18593860601 +18804820242 +13675805415 +15872206507 +15143479307 +15872413569 +13672209993 +15145724747 +13936176529 +18554519168 +13672888568 +15170600760 +18860578682 +15198989117 +15873020171 +13969729976 +13687299817 +13958412842 +13685412541 +13903802197 +13625933017 +13922424573 +18555159237 +15116804496 +18594167753 +13676474769 +15196029677 +13676200694 +18839795749 +15882697939 +13698203748 +15169183739 +18879788391 +18514848659 +15842773721 +13972150696 +13925754592 +15854068873 +13933090300 +13608646856 +13693823091 +15124910853 +13695525401 +15823245334 +15105598028 +18529973097 +13991544946 +15859201974 +13662652514 +15190381140 +13638688238 +13952956506 +13999163131 +15119927119 +13983312506 +18580038225 +13967162860 +15159735489 +18545138706 +18502025796 +15179690620 +15863390966 +15883805118 +13959483223 +18570882845 +13684234581 +13639519377 +15824873535 +18820044005 +13694267610 +18857261311 +15114985766 +13664356520 +18871458726 +18846232760 +18807648792 +18822253477 +18813655348 +15143029204 +18827763303 +18549732647 +15198816879 +13946810069 +18861917410 +15849371821 +18858247743 +13958347655 +13679396339 +15103342176 +18581624541 +15169291488 +15148913138 +13988184404 +18548424379 +18893265951 +15886210867 +13622485787 +18873545363 +13697731155 +18865949480 +15898771215 +13940069329 +18830788186 +13643722328 +13965943828 +15888606827 +13604889654 +13913008666 +15800906277 +18508886354 +18807641313 +13650402903 +15187721883 +15869969415 +15133131567 +18841201380 +13911884716 +18803050960 +15100482892 +13962216264 +13610826068 +18843440432 +13660308365 +18891454980 +15169648362 +18867432978 +15133803806 +15180839959 +18808708070 +18590933092 +13653461550 +15144575251 +15849603540 +15801181148 +18814455011 +13940221372 +18535278979 +18507091266 +15190305654 +13692652639 +13959095486 +18804418250 +18570754727 +13996797788 +13680410659 +13626649041 +15168336963 +15156372832 +15885370938 +13660197144 +13943102280 +15138721850 +13643904079 +13984641455 +18881741233 +13664856531 +15806294030 +13944454093 +18585943616 +15854553789 +15152072816 +13991968514 +15822593584 +15142175731 +15190581626 +18893050659 +13990606446 +15800243179 +15814440449 +15897805748 +15859183233 +13609139115 +15893472361 +13936468309 +13636826250 +13617402988 +18868315162 +15147760347 +18511257984 +18860705633 +13666523396 +15888691773 +13681714789 +13646313731 +18582606606 +18897667415 +13930498741 +18561290816 +18818083775 +18883189677 +13633468450 +15171713393 +15154565958 +18535642464 +18526497190 +13667379974 +18896018340 +15802493106 +13934423258 +13919349192 +13909536677 +13972805651 +18554634011 +13950944803 +18898861888 +13671851429 +13958478875 +18850655916 +13930659699 +13677516909 +15827660740 +15863824225 +18555442862 +13613430998 +13997845811 +13602800534 +18867034759 +13636792373 +13681844550 +15815399859 +13927783709 +13690521076 +13695364673 +13939633929 +15107913338 +15872406862 +18818635276 +15152030394 +13633252677 +18848259620 +13990348154 +18810091715 +13904081627 +15898373498 +18593558993 +13921160910 +18580995791 +13686343862 +18895655371 +13976816248 +18896831941 +18584016041 +18588531481 +18554460210 +18572606860 +18535225655 +15111826676 +13674408677 +15161426681 +18547374115 +13932634649 +15119115276 +15873953538 +15845940246 +15882740184 +13972433265 +13926453422 +18556794372 +15113599896 +15874085678 +15139559867 +15169201331 +15808717211 +13948998876 +15861403894 +18803226096 +15890258051 +15132549275 +13991614617 +15865919007 +13926729821 +18541166572 +18537853186 +18532870208 +15892969887 +18861568687 +15166186287 +15151852823 +15898485499 +15113895828 +18822704393 +18536565862 +13988615722 +15155550434 +15194301995 +18857923446 +15191406074 +18826023222 +18517578672 +15161551274 +18884562946 +15134729896 +18801570877 +18550859273 +15810919346 +18857471054 +15166388916 +15152951195 +13979688455 +13650294450 +15145704386 +15128928659 +13948092180 +15168622770 +18592525140 +13619431329 +13920876657 +18514742802 +13933555027 +13608285653 +13641138669 +18822158526 +15149286449 +18890186329 +13615179757 +18858316632 +13644727677 +15809158669 +13979875821 +13644020124 +18581011658 +13900114885 +13670421829 +13970072594 +13924042280 +18510679637 +18834385427 +15167747558 +13915514455 +13628062535 +13624264580 +18513474367 +13655029235 +18888169820 +13942742369 +18891985916 +13906190929 +15877843537 +13623731216 +15806218971 +13963952735 +15158911614 +13674710497 +15124924010 +15195131092 +18522949783 +15811233395 +18529179731 +15177829175 +13927000925 +13966805894 +15116789944 +15180465421 +15122394068 +13944443817 +13992697250 +15175969405 +18559446988 +15158094799 +18899230955 +13633875643 +18853373626 +18835161877 +13692334207 +13654459614 +18859674845 +18849766789 +15825675803 +18571549635 +18514543036 +18547254994 +18850685376 +15899969821 +13930584953 +13668228375 +13933106006 +15898506970 +18842609013 +13945073108 +15175150596 +18522167713 +13970815013 +15879563701 +15184052903 +15183490506 +15115998893 +18573546461 +13688464035 +13630194270 +13959673680 +13933588082 +13645975016 +15187337361 +13950319305 +18599272609 +15846571934 +15120193519 +15195462371 +18597140660 +13606571530 +18811909637 +18804761533 +13682754633 +18866896904 +13628419436 +13650333252 +13961502915 +13972100233 +15187221098 +13690409012 +18589835620 +18854014842 +15884297792 +13656690676 +15875131381 +18574726873 +13655299113 +15142382726 +18818897024 +13624494515 +18819913577 +13952605091 +15855192756 +15107655794 +18578628359 +15886686938 +18591557254 +13668975398 +13960955448 +18885311698 +13907317800 +15867812348 +18888895711 +18533226182 +18857931237 +13611680848 +13625431433 +18521075246 +18580500148 +18571476446 +13617542665 +18575691925 +18580625347 +15805827778 +15182693942 +13928440744 +13620389015 +13681442525 +13632115706 +13997069168 +15878109257 +18523666220 +18879897042 +18839678771 +18523189394 +15868618643 +18509490093 +15166608899 +13928409673 +18851707671 +15801091322 +13650462405 +15161019834 +18578685763 +15114528268 +15802858171 +18818063876 +13993029680 +18831182784 +15839821223 +13669275430 +18821494274 +13678816726 +13629150169 +13986256775 +18868447854 +15184723096 +15177180122 +18582442322 +18897101086 +15826292784 +18885341260 +13647321697 +15856237110 +15116464203 +18809939189 +13967279483 +18516285219 +15142212890 +13962310927 +13644330804 +15805413346 +15122069904 +13681366228 +15136518163 +18527817919 +18509599720 +13911005721 +18589451304 +13983900574 +18598140329 +15836590958 +13624998752 +13611648186 +18832361383 +13616615440 +15856010575 +15800352970 +15160671553 +13941371069 +15153894525 +18559553187 +13978348900 +18570411437 +13665055053 +15813608831 +13922245602 +13694123754 +13651991609 +18546651427 +15193146967 +15886492278 +15103345517 +15835914286 +15875377766 +13675218090 +15104587288 +13961173492 +13692650985 +13664180107 +15175310079 +15180126927 +18826925438 +15827067281 +15888358647 +18843006158 +15834239813 +15828799381 +18536362782 +13926461773 +18842132716 +13667216937 +18850729117 +13977204776 +15840595202 +13672099664 +13621228744 +13984228293 +13667075962 +18846395902 +15178683163 +13930601110 +13997220469 +18547872643 +13642328602 +15186459222 +18866272447 +18555478886 +18896717895 +15110007287 +15101753853 +18875938034 +18511601284 +18508891465 +15887303354 +18832696212 +15823035604 +18844492695 +13949767901 +13651234293 +13923841498 +13628158541 +15825767506 +13979286718 +18537004496 +13651228511 +18514186250 +18850043785 +13947297529 +18814271829 +13934030805 +18516302693 +13947354079 +15812982388 +15856309636 +18565150710 +18839364628 +15143714112 +18805381459 +18842815337 +15805137710 +15842187519 +15199732077 +18577508373 +13966713005 +13639169976 +15813297129 +13628789745 +13628411920 +18579208147 +13941278067 +13674083082 +18571806927 +13938758092 +15193141963 +18503182545 +15852563700 +18806865783 +13942586881 +15165610081 +13606206656 +15188795969 +13938999924 +13984651700 +13931053782 +13916239847 +13664205089 +18591905722 +15846257049 +15881237880 +18897049952 +13688827248 +15869618212 +13639416856 +15802182373 +15809873015 +18859443141 +18875842345 +13622894999 +18879594643 +18530827091 +18558241380 +15872569341 +15128157707 +13936461665 +13947058592 +15132479571 +15171535652 +15120022988 +18855025058 +13621827195 +15882043943 +15806153263 +15899623071 +13997595209 +18547987802 +15177888620 +13670927727 +15185162428 +18581362002 +18597803909 +13960785071 +13637245521 +15125471628 +18552535154 +15851923810 +13646906793 +15871299271 +13918820166 +13642021688 +13995514827 +18837485925 +18879068964 +13959966967 +15139988526 +15170930769 +13927048645 +13655196047 +18561411725 +13698718287 +13606368736 +18856284629 +13644314266 +13613249749 +18875441326 +13614781945 +13675157308 +13612839774 +18576650700 +13936979280 +18595454517 +15120458062 +15893872954 +18878941035 +15120065313 +18589792161 +13620518122 +15150408738 +18560325707 +18535073934 +18571529692 +13933313597 +18860853785 +15167372093 +15124397235 +13991919686 +13666816929 +15897252241 +13940701133 +18528182094 +15850006098 +18866311148 +18881696532 +15175107076 +18880868993 +18813980999 +15843111222 +15157563040 +18549115342 +15882110773 +18842283416 +13697061465 +15122504594 +13690557988 +15138110436 +13693869032 +15860623611 +18862477981 +13643361004 +13693692645 +13985613110 +18893945810 +15184164478 +18554557571 +18836042594 +15854657223 +18542155848 +18897476126 +13633429192 +15173016690 +13695455290 +15152285774 +13960785872 +18556876487 +15844213155 +18536364059 +13688696366 +15148951758 +18500737578 +15129684551 +15873118949 +18534970650 +15175442035 +18525912290 +18538414163 +15848284252 +18526071000 +13614025441 +13983599339 +18857656134 +18850227721 +15102634699 +15184506956 +13978367329 +18543821318 +15160522325 +15154850607 +18570371700 +13901726387 +18547526023 +18518759527 +15135547520 +15843090509 +18860434864 +13927923211 +13686678081 +13940953878 +15133012088 +15899107629 +18534597735 +13623590645 +15864111326 +13946304575 +18546381418 +15888401872 +15820341928 +18837566690 +18545332214 +15883635103 +18591665647 +15841133599 +15115585538 +13672619591 +13919898966 +15101509634 +18876364899 +18549348031 +13651812310 +15144286773 +13689949640 +15128319175 +18535614446 +18853441020 +18807345320 +15184961579 +15838247324 +18566635560 +18582908199 +13984363456 +18831447337 +15856781466 +15881791791 +18814090094 +15899885690 +15802279618 +13633783423 +18808443873 +18510723084 +13988635172 +18575679051 +15153693935 +13976612018 +15180147769 +18528055149 +18841343769 +18502053313 +18527438551 +18842736436 +18522502963 +18582246262 +13965842838 +13967058696 +18539456516 +15890838030 +15813518983 +13657346540 +13961843379 +15882601090 +13634085352 +15804088204 +18891080800 +15111076082 +13618214500 +15815144991 +13951827977 +15188970205 +13985789934 +13983677486 +18545651727 +13608559723 +13665255276 +15898946044 +18829875267 +13982149309 +13978940031 +13928072856 +18840207800 +15877114671 +18879870282 +15127890006 +13622502409 +18823409382 +15819229625 +15186582038 +15817962677 +18825150449 +13656370878 +15113877908 +13624471918 +15818532163 +15143657675 +13624483427 +15125083746 +13916366622 +13628039615 +18511719488 +13925470623 +13622956121 +15163757007 +15189964532 +13631653486 +13620962904 +13964724912 +18829180608 +13906682246 +18545470787 +18871474551 +18548361458 +18856689283 +18510865344 +18884496115 +18582852095 +15196598888 +18851652107 +13649180680 +13926914914 +15152505977 +18854830815 +15190606776 +18526234219 +18537563641 +18877224140 +18828225972 +15149029033 +18586970317 +15883351030 +18894316525 +18553346702 +15810170505 +15884783490 +15893206505 +15826302556 +15150967830 +15895887491 +18815269333 +13972322911 +13645670490 +18509767572 +15163951411 +18595225027 +18530659192 +13605793178 +15813403856 +13930341058 +15102797570 +15185825954 +15866215042 +15178488321 +15806424276 +13663145842 +18880208567 +13635604522 +15897424470 +18831828842 +13651538861 +15807486957 +15851792986 +18846192074 +15863308478 +18567362153 +15172931553 +15870329566 +13601791618 +15895678109 +18810977422 +13951405092 +18581303138 +18570434455 +13904690781 +15182521168 +18887016852 +18828895609 +18816439299 +13693164314 +13641510132 +18592263902 +15133229896 +15158552237 +13931203488 +15823527256 +15858276363 +18870855760 +15128414334 +15837070384 +18517483881 +18814607780 +13946149324 +18873435231 +15112219562 +13688148253 +15115163484 +13632470927 +15899040856 +13926369106 +13976163366 +15819656360 +15129507055 +13677159704 +18827651088 +18531288764 +18552782315 +18552188977 +15186799207 +18892837678 +18531643250 +15815183517 +15857259759 +15874021908 +18873613397 +13931412892 +18899211746 +18582253359 +15153792988 +13676106453 +18588384275 +13663209860 +13926353238 +13920213212 +18538566670 +18515616151 +13932995441 +15137160318 +15892907524 +13937855206 +13606548658 +13646734878 +15177699715 +18584960263 +15805865299 +13600892977 +15137968274 +15121086146 +15874026514 +18848239951 +15144898161 +13910993048 +15180559602 +15844372717 +18881052343 +15170000416 +13962167175 +13965456648 +13938064925 +13948914510 +13617341503 +13939481898 +13946157328 +18821356445 +18803553210 +15155930317 +13989335260 +18562314145 +18535104559 +15108726659 +18537694573 +15806233013 +13634737978 +18587477456 +15193669824 +18599281008 +13924491255 +18570906366 +15880833009 +13958024181 +18875553832 +13913387265 +15134886655 +13667297822 +15811157460 +15153053560 +18817897409 +18878260845 +15808467185 +18587600768 +15133974578 +18517210857 +18872663364 +15844216517 +18899690305 +13994779581 +18526555819 +13684796176 +15176249516 +18888559674 +13636573691 +18889613198 +13603000592 +15181046707 +13974577043 +18864092021 +15891593811 +15838614349 +13959723266 +13667167108 +15153705146 +13972053615 +15126762807 +15823755671 +18599107470 +18505813986 +18818192732 +18517527523 +18866715786 +15197034826 +13906846387 +18571725783 +15155531790 +13931443463 +15107162530 +13613682399 +13636333674 +13918404682 +18819473062 +15871221581 +13942919860 +13955410648 +13991006763 +15876044395 +18832649027 +15841588306 +18822855890 +18819406062 +13995122305 +18514623861 +13631404194 +13979016089 +18894285887 +15875534569 +13938500260 +13978222171 +13995628986 +13620909118 +18810938249 +15881275372 +18500046084 +13965524434 +18519214412 +13660937160 +13636426066 +18573092027 +18849470017 +15114713998 +13971768838 +15120892491 +15885246338 +15834990850 +15810410031 +15189836185 +15174608939 +13952202697 +15887727056 +13602331921 +18842967116 +18845200080 +13937653215 +13639316450 +15897145326 +18890016378 +18826928408 +15897526790 +15849348437 +13961149177 +13985200496 +13632267831 +13946059600 +15832086326 +13648132787 +15852856050 +18585559582 +15188387735 +13954811558 +15177037254 +15850035501 +13657382876 +18881635010 +18506103435 +15806516002 +18847627528 +18813940417 +18522846632 +13670295788 +18852065764 +15183063028 +15146267141 +18802084308 +18888823827 +18541612184 +13905646038 +15173721982 +13680942084 +13916173813 +13997103061 +15804930669 +18531483988 +13912011982 +13904925217 +15113399343 +15120888152 +18857436022 +15139798178 +15195671358 +15803635381 +18839746210 +13603512103 +18588704255 +13966344439 +13673466234 +15142421932 +13618014154 +18823919436 +13636196741 +18512322207 +15111447773 +18839807493 +18557008326 +18539101262 +13697815184 +13951484399 +18590769075 +13920673784 +13679333157 +13665010883 +15866244229 +13913631418 +13646578453 +18509079243 +13984199949 +13693593342 +15114379167 +18801046761 +13979541393 +15855142136 +13941030815 +15139649882 +13620725815 +13625530948 +15184619662 +15805943803 +13969951474 +15191222584 +18553957283 +13604292082 +15106455086 +13997561490 +18563079724 +15896237071 +15183359428 +13694144608 +13623011691 +13944772035 +13651243257 +15124531529 +13983067621 +15828945635 +13942296006 +15841212056 +13926572656 +18849035689 +15830590292 +15126436978 +18863065872 +13974312074 +13638211153 +18888564912 +15112929041 +18569064110 +15826291789 +13677450763 +13671318827 +18597426617 +15120193542 +15188659996 +18820157023 +13657064506 +15866909752 +15879182720 +13971013856 +13634786266 +18547879689 +15108465606 +18859518889 +13686924131 +13681124947 +18522224161 +13608772697 +15862874905 +15138969406 +18823081289 +18880628983 +18502325904 +18884835361 +15853450711 +15848831246 +15865546059 +13670148541 +18839580421 +13679333267 +15855052729 +18880147265 +15826826095 +13919032221 +15137038828 +13610202370 +15802256052 +18580880177 +15183151886 +15831946230 +15890447974 +13935919658 +15168294218 +18894613837 +13936775888 +15890380539 +15148885548 +18569355139 +13655121539 +13962844125 +15887627647 +15138178120 +18821090351 +13976198847 +13935750692 +15175486880 +18517167040 +18887343155 +15132802483 +15832973763 +13683116017 +18839980117 +15817232988 +18852989978 +13612242613 +13665495902 +18894246134 +18556774381 +13983398014 +18532001783 +18878938680 +15814404703 +18583253997 +15161554750 +18800225538 +15174637942 +13664417370 +13615703008 +15151630575 +18816838783 +18826361918 +18594426811 +15870059182 +18874193059 +13663468614 +18583002130 +15180914447 +13636685338 +13603558186 +13699756878 +18533837897 +15897334788 +18895908063 +13624248932 +18879483221 +15860494504 +15167286988 +18572857641 +15140539498 +15803728828 +15149579508 +15145241600 +18808897086 +15147808671 +18530621449 +18599252794 +18858884090 +15896890753 +18877197683 +13676843069 +15816940593 +18588152614 +18524484833 +13998356294 +13906682327 +13997042486 +18808500974 +13632177589 +18595372752 +18588427758 +13653039958 +18525669013 +18506125173 +13960572799 +18502318540 +13612533030 +15184469465 +15834353576 +15895558549 +13619989080 +15128110152 +15829804117 +18881452421 +18563158266 +15125324281 +18524341281 +18557554564 +13904217990 +18577404948 +13620518284 +15857888371 +15116509889 +18523998986 +13957660730 +15193134596 +18851040941 +18591732341 +13669552768 +13942839782 +18514949633 +15830542434 +13958303079 +13654714348 +18813862807 +15885890849 +15178814567 +15898268015 +13932230247 +15829118041 +13933335921 +18839463289 +13620455985 +15829164618 +18587335366 +15152522525 +13997751447 +18592606587 +18561815944 +13905217735 +13980114259 +18514688703 +13945762387 +15839840236 +15114481254 +15811473105 +13623534550 +13632533675 +15806226588 +13621471912 +15192712557 +15891279370 +18521986576 +13994533843 +15124195309 +15153453861 +13999247723 +13928938959 +15872501120 +18522850436 +13941506131 +18856187340 +15801477806 +18509366355 +15152390125 +13947779743 +18864572036 +18547374070 +15165619515 +18875109922 +18875886429 +13649085802 +15876590500 +13687790346 +15195270336 +18538193203 +13603927074 +18573650269 +15129080899 +15862960130 +13690930576 +15833190884 +18595460546 +13917651204 +13665223575 +13993026976 +15175869140 +15153380402 +13645592345 +13905071389 +15127250825 +15140207168 +18558749996 +15855733336 +15835314040 +13645572609 +13639101626 +18562511990 +13604703191 +15887758716 +15141608196 +15142082805 +18595589404 +13925376451 +15870733158 +13670570148 +18597299161 +13640130411 +15874095769 +18514497857 +18536738387 +13657463032 +13639164250 +13992358456 +18577107364 +13612768250 +15819780306 +13975281568 +15128416176 +18874638964 +15153154184 +18512002996 +15821923446 +15150097792 +13637396831 +18828873816 +15858320474 +15186115292 +15844461814 +13621296395 +18833065406 +18585252274 +18802189265 +18545996263 +15894351098 +15826826119 +18874017764 +13615114101 +13698954210 +15118848109 +15812412970 +18805600838 +15884470856 +15860120869 +15157985807 +18827372520 +15183239069 +15858841489 +18516331307 +15111652129 +15126022916 +13655674357 +13929299277 +15128958962 +18596220084 +15860088364 +13997877142 +18820075967 +18593440813 +15159507321 +13957987985 +13904014098 +13638851304 +15183413067 +18579666227 +13951728653 +15859226991 +13913807009 +15871300850 +13623332433 +13931001264 +18825242515 +18822136833 +18505222346 +13985997355 +18568687093 +15166668255 +18578448088 +13978955379 +15109768913 +18534716721 +13995439058 +15882888907 +13636738131 +13932084434 +13632646986 +15163523652 +18816868987 +18818063351 +13622984703 +13664581244 +15172901859 +13662354636 +13942756802 +18560651713 +18893188933 +13616596722 +18510345824 +15879735317 +15850005605 +15889602175 +15192953659 +13670630819 +15185217049 +18832320695 +18825852754 +15823106212 +15850491297 +15837259976 +15824538404 +13600510644 +15117219524 +13629344819 +15800273479 +18835997181 +18837085212 +15889209083 +13971604714 +15814345254 +18500465486 +15142911047 +13665341453 +18814551988 +18560156263 +18823048570 +15811817310 +13903239313 +13625741836 +18574205750 +15169154375 +15143744387 +13912426004 +13629526974 +13628521617 +18535738905 +13910610956 +18867242935 +13953669590 +13930756921 +15834984356 +18554184374 +18881034720 +13969342443 +13978872404 +15852709342 +18801548082 +13610601896 +15807213853 +15124563535 +15882635353 +13647676578 +15856735287 +15849522859 +18533252308 +15812910601 +18844440736 +13698482728 +15134281289 +13678610961 +13664573337 +13972726554 +13653384535 +13642386830 +18893919585 +18888370439 +18517405462 +15874208779 +13670746826 +15856966165 +18571862590 +15838093026 +15185397948 +13941877891 +15121910235 +18810524703 +13659578978 +13984689641 +15180936030 +15193534058 +18532179743 +18859956775 +15883646570 +18890866234 +13620804108 +13683421870 +15876109483 +18828363697 +18841498257 +18896540480 +18887963347 +15836241502 +18818025863 +13653873507 +15837328054 +13962945913 +13938350834 +18840702992 +13983574670 +15861683548 +13917395120 +18847915829 +15827961616 +15890501266 +13645428061 +18535436635 +13925312235 +18500498778 +15852726441 +18537523328 +13640795201 +13691726717 +13913789363 +13929616178 +15155281727 +15171120878 +15105443276 +13997001147 +13937179146 +15870611976 +18554606415 +15116704043 +15184957008 +15892554072 +15104473407 +15858621146 +15155465954 +15873143579 +18861784487 +18825308065 +15879599447 +15899354502 +13934805515 +18806400267 +15886787213 +15801622942 +15864752319 +18529722287 +13959559830 +18861045900 +13995427052 +13625156895 +18801557501 +15843377035 +15165313705 +18555920269 +18507249429 +15821489922 +13696437288 +15194656229 +18885523450 +13947742082 +18899873684 +13988095942 +15881104938 +15866458570 +13622774173 +15135718986 +15876879297 +18591960343 +13908082179 +13972254906 +15820798338 +13651339311 +13615382527 +18525645259 +18835414136 +13999305667 +18890555993 +13685777463 +13640718711 +15122726090 +18884900820 +15822296462 +18506111838 +13696981019 +13959992862 +13916402971 +15134848420 +13618055262 +13984605421 +13605172727 +15186233398 +18833523063 +13913174602 +13972914292 +18863509614 +15183936918 +18580788834 +13696843981 +15143770652 +18531516501 +15133302484 +15157850290 +15198710198 +15139408607 +15129317941 +15119389619 +13633025636 +18533366881 +18821497010 +13971833786 +13909754045 +18814573101 +15114721434 +13602805887 +15809686485 +13682566361 +15102985739 +15172318075 +15856749637 +15893868426 +15826031741 +13675724530 +15887975400 +18896645851 +18821658101 +15839009623 +18820353068 +15881493030 +18594873469 +15126816783 +13607757774 +15809212340 +13665816327 +18545850392 +13908613209 +13620424790 +15160124535 +18872668846 +18844183769 +18804292400 +15809985862 +13629775125 +18814200288 +15185408640 +15149218600 +18844339306 +13634597158 +13693305999 +18836268350 +15187394105 +13699108808 +15139462519 +13604271991 +18563592900 +18831762282 +18576140741 +13962354486 +15800257293 +13680253952 +13652523875 +18869637163 +15850092940 +13692668409 +15810700995 +13684257574 +18834961645 +18532699038 +13698919917 +18555361951 +15110174924 +15168718302 +18888897342 +15810144556 +13668507839 +18847220426 +15145294992 +13970649528 +13639073641 +18818637720 +15162717567 +15115471701 +13612010200 +13932110673 +18529588965 +18807428221 +13933224610 +18528819054 +15138803333 +13935766609 +18869701472 +13624108943 +18891085159 +13999998838 +18855905726 +18503460201 +15824738655 +18803252518 +15187011530 +13653912974 +15872960600 +18811085843 +18801133359 +15180715073 +15821048107 +15837074490 +18802825546 +15841132066 +18866258348 +13610968946 +15176405254 +18561575814 +15828187849 +15852005964 +18522869449 +15109481395 +13668446169 +13695357078 +18810368755 +18880019358 +13685561503 +13995223805 +18507253868 +13617417175 +13659800517 +15856572551 +18844451182 +15158351870 +15837837158 +13628022328 +18500485096 +13901633986 +18568942566 +18585537834 +15111470304 +18543062244 +13997670602 +18527606786 +13625872646 +15863597892 +18806168301 +15158266303 +13610361646 +15155087434 +15196116168 +18855130989 +18851273772 +18895395597 +18565781308 +13684891387 +18514679758 +13987875233 +18582256971 +18818378170 +15890205914 +15195774009 +13605093470 +15889186041 +18547828073 +18895031708 +15157949183 +15154384443 +18545356241 +15849113531 +15892255815 +15121408907 +18521347541 +13958988171 +13655082616 +13913005024 +13945629567 +15157404024 +18875830599 +15869316117 +13935229040 +18590921238 +18512697691 +13694252798 +18579541526 +13650718219 +18556804035 +13678231009 +15836345616 +13993730024 +18545829505 +18591819677 +15852732786 +18578961021 +18855548903 +18538960412 +18865939642 +13691111578 +13926063612 +18566047176 +18569787986 +18845809522 +13665537399 +18591241903 +15881188402 +18826686844 +13942862539 +13662997705 +15836681935 +15134073243 +13645133004 +15161642763 +13998903271 +18844160136 +13945517967 +13929716596 +13605512201 +13615433983 +15199488461 +13603614207 +15863461721 +18821761258 +15834420160 +13953594374 +13655760195 +13601744971 +18512069786 +13669838206 +18855615797 +18569652709 +13942425250 +15157752706 +15166254708 +13920900316 +13622075364 +18596939335 +15137033980 +15113077365 +18594565645 +15886484063 +13633822623 +15838249697 +15896446236 +18533182299 +18858152064 +15132089470 +15868091389 +15151788856 +18535096848 +13603464174 +13997029414 +18869795150 +15137529644 +18597476518 +18831996778 +15834961568 +15117552474 +15113354531 +18834443333 +15878402204 +13921960654 +18559802491 +13936620083 +15173818893 +13673969687 +15133925226 +15190958742 +15836372929 +15873127191 +13618484537 +15119998458 +15145529904 +18569949046 +18535686656 +13945792750 +13643184753 +18573196105 +15808143226 +15890433778 +15831398382 +13965949805 +18863669401 +15113595875 +18808690325 +18567479513 +13684089034 +18846427033 +18845253178 +15852410127 +18501794885 +18802081025 +15897524976 +18837691164 +15878577074 +15132273350 +13621627263 +18597225355 +13975377239 +15110766261 +18826975115 +18541118195 +13690450533 +15811077581 +13658625929 +13669316472 +15131736956 +15163843932 +13958346834 +13925012948 +13975137785 +13608168554 +15802065786 +13636049904 +13986113910 +15823106796 +18810482612 +18556584358 +18561309364 +13919245166 +13629864080 +15852178486 +13955088584 +18846262617 +15805703451 +18503661799 +18883836864 +18847711782 +15831161939 +18589303132 +18801132362 +18807658629 +18591586667 +18891225798 +18553354706 +13693033789 +18595233481 +18554656163 +15843077075 +15139088409 +13983297198 +18894831133 +15838190038 +15822661278 +18521460374 +15808157936 +15827028898 +13602284749 +13619449499 +18867495452 +13917239689 +13975776635 +18575927380 +15804301177 +15180521501 +15826876269 +18821323704 +13632401304 +15827500770 +13946988164 +18801546853 +13940390967 +13933324988 +15880918518 +15841986975 +18897702920 +18569488867 +18847097891 +13663239311 +15195019913 +15879135545 +18891116345 +18573246814 +15899579393 +15808896054 +18572637055 +18547701588 +13611849483 +15133417829 +18507215187 +13990586758 +13948567167 +18530255563 +18839366544 +18599514693 +18836912484 +18849728047 +15170637240 +18866254516 +13957825515 +13921485522 +18825914064 +13949714689 +18884603788 +15875616804 +15145755238 +15875467744 +13932292717 +13917003352 +18502620744 +15180425190 +18585897374 +13959993656 +18805877944 +13992591092 +18874348702 +18886068460 +13970043941 +18850711033 +13939429111 +13909401963 +13993194493 +18869439750 +15850501594 +18844850104 +15104628764 +13973296068 +15146380840 +15812678923 +13917591754 +18505899498 +18577869424 +13949707466 +13676706903 +15117046112 +15181025919 +15865000361 +13678223393 +15892735974 +13624879692 +15182527699 +15150593543 +13653984812 +13646330192 +18555253906 +13698122998 +15195589206 +18850266755 +18844521425 +13904059450 +13618283795 +18859162524 +15871279071 +18883070090 +13611284047 +15108413528 +15139021237 +18591913634 +18521429305 +18860342987 +18502935321 +13607695876 +13905346178 +18804090474 +15163707954 +15122917468 +13675923308 +18537974653 +18850887626 +15180060893 +15151084193 +13663047381 +18591912135 +18595837107 +18814493376 +18825169359 +18819516863 +13628522291 +13613531735 +18599800445 +13994024493 +18889010653 +18893036060 +13913246924 +13661960775 +15861345526 +13641580845 +15889110495 +13601011510 +15810534224 +18873534045 +13697809917 +15822499145 +13695141071 +18594883198 +13933286667 +18808767210 +13610799039 +15843282666 +15129311002 +13692770004 +15875825030 +15829864522 +15804666862 +13639480551 +15831140620 +13987675788 +13617164305 +18563594087 +18842100958 +18549640399 +15139496567 +15837468137 +15132329831 +13612470582 +18875565229 +18825158292 +13643129105 +15142512919 +13654290566 +15131071107 +18885425636 +15810263070 +15838161074 +18803368704 +15875075597 +15106071644 +18876163258 +15131524592 +13951033566 +13604044068 +18838654355 +15171651577 +18896325124 +15172720273 +13670948082 +15162247573 +18570239905 +15839468710 +18524851786 +18884742749 +13643554890 +13636722601 +18521906046 +13663979787 +18532157155 +13999987985 +13948062555 +13962700643 +15865036870 +15842221669 +13633375270 +13979637009 +18548389378 +13638796747 +13939520451 +13672749732 +13953216744 +13936729658 +18500565795 +13637145846 +18868303258 +15893140171 +15184295629 +15107210555 +18582818507 +18884181712 +18805814165 +13602142539 +13672389321 +13690437307 +13605255722 +18889753254 +13970443065 +18859840747 +13977527329 +18561964997 +13649701092 +15125001098 +18816092382 +13936984937 +15198355155 +18587139333 +15888554293 +13940878630 +15800179230 +15140146787 +18529996427 +13601998778 +18896780180 +13677168577 +15161129355 +15121857282 +15154825371 +13950371079 +18816412455 +18522333127 +13992061129 +18528238599 +18843142917 +13667756124 +18552464838 +15872042349 +13960229226 +13666472698 +18593748188 +18563004273 +18866934002 +15822240998 +13614122535 +18546832241 +15144891587 +13666803423 +18893504149 +15103755996 +18518581298 +15154669989 +15874414326 +18505567666 +18864607669 +13938161874 +13956716879 +18835534067 +18559740701 +18574599114 +18569522813 +18560684423 +13965049231 +18824890467 +15156190294 +15170428407 +13949797257 +15885630199 +18875930231 +18534441218 +18585891937 +18897294346 +15121010290 +13623127867 +18579295103 +15181257374 +18507909463 +15191002814 +18887237053 +13940094403 +18869432743 +15146181308 +15107256274 +13673081966 +15175562487 +15132100800 +18585983675 +13924123219 +18574560556 +15182954172 +13913318313 +13920821728 +13617545578 +13619441374 +13910972332 +18529402812 +18894465679 +18594810993 +13947883279 +13671098024 +13901221163 +15139464048 +18854995144 +15112373303 +18840490326 +15881845430 +13639062197 +18500316918 +18582119375 +18894316017 +13621579209 +13617421878 +13610314033 +15120180050 +13642211269 +13689727157 +13950067461 +15862136572 +18502908492 +15177900768 +18882182737 +13941037793 +13605687835 +18556842508 +13984839637 +15824727919 +15892591271 +13639689180 +13613105364 +18562092085 +15807640963 +15188093903 +18808277884 +13974321453 +13617789965 +18886964658 +18894518620 +13665871733 +13930672782 +18527497854 +18849352266 +15165549750 +13652485103 +18814967767 +15894273231 +18808468102 +15839868576 +18568616465 +15874843785 +15119223201 +13659483744 +13687275661 +15816792471 +13689911718 +18585307377 +18890843109 +18568762175 +13962761250 +13917343679 +15826362244 +13939817843 +13993863975 +18861954817 +15892257745 +15162113450 +13911521201 +18599091837 +18842173360 +15179991656 +15831795414 +18500044474 +15181152310 +15837144468 +13683522104 +13619139346 +13906171350 +15104257046 +18898172095 +15864137641 +15822540429 +18875906230 +13623790113 +18839320049 +18870957299 +13975718467 +18538162360 +15878592840 +15128370971 +13683025674 +18529322014 +13902888792 +13900235265 +13909936016 +13607464274 +18859177512 +18892445387 +13987147291 +18512807978 +18870466630 +15150322755 +18807750188 +18871895637 +15181125292 +13989213582 +18552360023 +15860930772 +15831814907 +13924691354 +18806004651 +13951825117 +18860058215 +13960105115 +15120502049 +18548373265 +15818746266 +15181913969 +18502544946 +18539848040 +13654466618 +15811888205 +13686671298 +13967821014 +13904650677 +18828340962 +18529886273 +15106324460 +18841939700 +15113425631 +18504139251 +15156935589 +13994327752 +18891876015 +18590018188 +15135758738 +13662284526 +18542966095 +15850564805 +13666487390 +13634567680 +13638514326 +13992204159 +13931951761 +18549867091 +18529395380 +18833060992 +15130413665 +13666155774 +15839566481 +13646438401 +15802383152 +18830209510 +15886999968 +15103585341 +13621315678 +18571973274 +13625139124 +18899624010 +13946935930 +15891340919 +15834964090 +15151234246 +13628659377 +18577128289 +13958795052 +15192804203 +18892012528 +15821531131 +15143534955 +18505223813 +18508237252 +15151869302 +13671145602 +18579684596 +13955464635 +18844992978 +15181958639 +15863232542 +13681325370 +15183635836 +15154746129 +15115166209 +13923270837 +15829830567 +18587536865 +13675824619 +13942434841 +13944734246 +15196389577 +18823669393 +18500548172 +13959078992 +15841073773 +13625932119 +18871311677 +15834896843 +13636457618 +15813001008 +18579447347 +13949880588 +18869566987 +18841474711 +15816024831 +13930639909 +18808398073 +15101140634 +15105265911 +13943004378 +13963821734 +18830263455 +15872457528 +13958463854 +15193696252 +13924895904 +18810026130 +13677516514 +18855165605 +15118892155 +18576429461 +18578784377 +15128543865 +13986498633 +18595879050 +13647025946 +15117585679 +13914410958 +15140406801 +18542197194 +15158988635 +15115187184 +13683113678 +15173063709 +15875149393 +13911618134 +18518784483 +18848778576 +13647936795 +15199661364 +18808561196 +18864437338 +15816868675 +18543093272 +13959815608 +18588664525 +18517945175 +15874102860 +18889191623 +13620460455 +13661411896 +13976584578 +18523525565 +18843843062 +13621849459 +15116687260 +18596360993 +13988771251 +13656832271 +13652877086 +13910030469 +13902623377 +15850225212 +18513949485 +13687760287 +15101570416 +15877503135 +15126949890 +13626540241 +13950549565 +13634070102 +13929608841 +15103183013 +15881718912 +13641590266 +13934994412 +18858196536 +15837588166 +13933670866 +18538080738 +15868593556 +13900711347 +13951937170 +18812570919 +13662184931 +15842495502 +15157071387 +18851847408 +15801711777 +18559923200 +15883600965 +18865690099 +18539621395 +13973239222 +13614659507 +15868635652 +18816204926 +18806020858 +15858020317 +13904616619 +15186118892 +15855394587 +15808807383 +18527134176 +13675855818 +15163898590 +18843175049 +18881200314 +13965671003 +18584728045 +15183630007 +13652135332 +13935317576 +15153759260 +13949996429 +18538383237 +13660128780 +18896781902 +18583568086 +18812497822 +13650941328 +13900525199 +15191574130 +18589112298 +18872974519 +13600187484 +13686652558 +18577935718 +18848123300 +15183680736 +18595071794 +15813463134 +15867943433 +18818872577 +13951186025 +15873297317 +15812563327 +13964004631 +15879790505 +18840646513 +18889926025 +18857379790 +18806792521 +15845933434 +18833083621 +18831983268 +15814691670 +15194606034 +13607605742 +13911538537 +18551521727 +18585649146 +15199535031 +18548526071 +18546718032 +15827582418 +18576655508 +15853868593 +18846698500 +15818764473 +15180363758 +15137126636 +18540951596 +15851279249 +13612398072 +15107628602 +13670847715 +15147610920 +13915645268 +18814273829 +15857043370 +15876496600 +18536047729 +13950936832 +13638756488 +13676527575 +13901521778 +15898693208 +13925032657 +13688015075 +15802205903 +18815137033 +15144532816 +13955251298 +18586014235 +18876098529 +15867978851 +18546945698 +15118266745 +18568940455 +15107142832 +13688099225 +15161447257 +13984592085 +15186194473 +13663614403 +13946541054 +15806353662 +13910932620 +13992161347 +18877981092 +15181723882 +13669929440 +18586293554 +15130579630 +13967075078 +13946728352 +18892566662 +18887697006 +18847611686 +13985667681 +15131402361 +18825291725 +13995905025 +18522425256 +13655199334 +15106820092 +15871213349 +15803196669 +18564769830 +18896733166 +18861436040 +13957874596 +15120397021 +13626176818 +18845736933 +15842249494 +15119401590 +18514123107 +15854510161 +18574255437 +15857134982 +18536417965 +18512949057 +18817253155 +18865335322 +13643050697 +18865241673 +18592545221 +18839084951 +13905972836 +13697107461 +15809621313 +15148000769 +13647059285 +15126123433 +15810797076 +18850499808 +15897010009 +18574165313 +15157117874 +18802834966 +18586861344 +18514734769 +13956560680 +15115512153 +15181751656 +13619270636 +15163132471 +13969976324 +15815290509 +15169517388 +15887358580 +15175193440 +13950009456 +13906769123 +13692397584 +13674247023 +18568046156 +15882847469 +18557877212 +18585384740 +15182558288 +18857687561 +15827275292 +13625959239 +13985360055 +13606883199 +18592254152 +18807284468 +13996372459 +18865296417 +13917023264 +13677134251 +13606872387 +18593086260 +18515724396 +18818646670 +13688717685 +18501476601 +18810878935 +15849128895 +13699633253 +18530730242 +13619872448 +15172174631 +15170520292 +18587445097 +13907017488 +18572958595 +13981920685 +18519486330 +15876357194 +15194382734 +15105923226 +13661611658 +18594563793 +13693486631 +15127141355 +15137016242 +18836866024 +15123802754 +13923029488 +18528833592 +18802932044 +15804439291 +15160610139 +18555825109 +15161391694 +15875981554 +13955925539 +13957710864 +13940030529 +15103392536 +15827294226 +18537250858 +18812135461 +18844096524 +15137655186 +13962120673 +15800528553 +15824771679 +13903096196 +15167649400 +13950629801 +13611324604 +18523823778 +15856947745 +15108918234 +15147294314 +13637139578 +15128743804 +15889338956 +13656167391 +13675284230 +13609789193 +18841850851 +18837220141 +18819926153 +15892142443 +15857883733 +15185449697 +18530734677 +18507581530 +18537059310 +15848879935 +18899443532 +15883670308 +18882783664 +15189329075 +13948785663 +15111024037 +13929431852 +13940061388 +18864782137 +15149558425 +13925812268 +15850755453 +15838485385 +18809790163 +18550293832 +15187530893 +13925886033 +18542838635 +15812631552 +13944534520 +13928685759 +18859552416 +18825461353 +13613040034 +13934907221 +13921563488 +18829991441 +15838239066 +13678917510 +18557585449 +18867734578 +13622719207 +15160242458 +18532773068 +18575561444 +18586343573 +15827285970 +15830407014 +15142280496 +18579079581 +15124845537 +13952527488 +15885916007 +13937137978 +15167541763 +18506586846 +18547623553 +18526156536 +15893950621 +15160926244 +18566854755 +15173107029 +13990077964 +18836626673 +18876158383 +13930089261 +18898506257 +15881274399 +15883742511 +15876927764 +15155932078 +15160306595 +18539685214 +18826754854 +13601482399 +13991387830 +15115261822 +18564241998 +13965444396 +13666236783 +13990703309 +15867838130 +15134590465 +18574703553 +15872940760 +15894289626 +18524114942 +13652035579 +18531372141 +18524123650 +15831890015 +15176914285 +18549328351 +15199061102 +18871989687 +18599042820 +15890971370 +15861812226 +18544841901 +15850264824 +18807863274 +15889261059 +15138621596 +15136654648 +15115334420 +18554153182 +13980351698 +18552237969 +13602585009 +15123474563 +13980807695 +18856777507 +15143458221 +18864590323 +18546616146 +15176529873 +13605093773 +18899587131 +15835029061 +18806024613 +18535616437 +18572531914 +15843556047 +18552084637 +18849783869 +18524492364 +13620288480 +15108633397 +13918260472 +15804639733 +13624213955 +18899157836 +13607892981 +13615447211 +18585283629 +18548703419 +18575546123 +18596877296 +13669245842 +13676419936 +18825183475 +18582055231 +18594805935 +13922252192 +18827711514 +15853716424 +18853485899 +18843259254 +13678687649 +15898331370 +18584888069 +13625627456 +18883046378 +18550169300 +15170340285 +15197738303 +15124649035 +15815445603 +18873894399 +15843903802 +15894324215 +15838474457 +15179158693 +18595273563 +18805293936 +15109832341 +15180411473 +18542836300 +13679695464 +15181687775 +18580169158 +13918326667 +13677032696 +15815117103 +18807618049 +18505934145 +18810165633 +13663791085 +13923967317 +13670037409 +13614335339 +15891458280 +13695638281 +13900082804 +13927242495 +18831156726 +18839675396 +13653863192 +13935560327 +13933588121 +15145510005 +13693005731 +15167359471 +13601043083 +13978549617 +13982330561 +13905288078 +15196060798 +15815597508 +13953740639 +18879663873 +13696611605 +15845117661 +13673011821 +13691023046 +15120666429 +13962184122 +18856181562 +13624024782 +18541932524 +13671198121 +15154272598 +13601062891 +15803789576 +13928191301 +15186284776 +13936784747 +15878674745 +18581642320 +13900993802 +13945618254 +15852390359 +15846366586 +18508459022 +15864191223 +15819693472 +13682834921 +13917751943 +13975227449 +15142248181 +18817799228 +18870099326 +13600382352 +18576496924 +15178283897 +13696852550 +18832737905 +15186877984 +15137060373 +13909164352 +18804299647 +18815600918 +18806553078 +13941788811 +15115639968 +15819895393 +15162241386 +13928406648 +13610034382 +18802488686 +18510255568 +13931094956 +18838878875 +15169222590 +18876723245 +18520286465 +18554635824 +13920428321 +18800825592 +15847334463 +15137922453 +18835343502 +13645653845 +13939820380 +18558759025 +15173313780 +13962240462 +13605217867 +18573113140 +18898465530 +15836016378 +13608513472 +13988118758 +15169166098 +15198115583 +18563553405 +18590899728 +18549890190 +13624438946 +13623637378 +18547942489 +18829089785 +18503005342 +18800190965 +13961508956 +15803712679 +18565660402 +13619898321 +15137102909 +15142983374 +15806153395 +13608043878 +13619076286 +15198508928 +18870771977 +15106273528 +18549530772 +13992953141 +15180057045 +15187691141 +15827083840 +18555394987 +18893345261 +13640534797 +15114121729 +18869220575 +15197164481 +18825576299 +13917170080 +18887536086 +18549650154 +15154146135 +13993976165 +15145621048 +15876373335 +18873203618 +13695660247 +15868146490 +15899783721 +18859556490 +18819459994 +15880575511 +15152952168 +15134068686 +13669101875 +18871294613 +13971311409 +18803453616 +15132875651 +13683059687 +13924500138 +15864869362 +18819610862 +15810291827 +18524111976 +18545397218 +13987168342 +15180128969 +18534443491 +15857862869 +18830796048 +13691695362 +13661644164 +13652346287 +18520384598 +18838278703 +13652769026 +15113628434 +13986563451 +13632692925 +15175158118 +15166772942 +13636385530 +15804521129 +18560462155 +15881813533 +15194538091 +18897365456 +13900062614 +18826263539 +13974217118 +18517795547 +13627402881 +18573408036 +13657030514 +13954463640 +13957291661 +18529392231 +15855224234 +15897231438 +15174817453 +13903215089 +18804748849 +15109075997 +18893480392 +18571325182 +13940172916 +13904430488 +13646011232 +18518858001 +13654908262 +18571705735 +15836481932 +13660925274 +18848100784 +13926177412 +15869120506 +18813388544 +18838561114 +13686038659 +18576311582 +15812520694 +15837972004 +13677102164 +13689704535 +18862911760 +13630059604 +18598009264 +13635320762 +18865211808 +13995220149 +13947693199 +15146341709 +13678890461 +18511407972 +13922434857 +15175195933 +18519523771 +15858923332 +15821474342 +18895585952 +13909667112 +18856338493 +18555062881 +18838686460 +18550865916 +18579999921 +15189942222 +18808561576 +13935204026 +13900463482 +15135098786 +18532802835 +18553928944 +13606663202 +15197455169 +13915907472 +18595242493 +18511923430 +18876166926 +13998161253 +15103113124 +13668829465 +18842408004 +13662670904 +13986526205 +18552404554 +13922469245 +13698583740 +13946603447 +13644979338 +18845923823 +15100412619 +18561253450 +18591100366 +15183260186 +15831113902 +18512236829 +18565214693 +15872910297 +15899805267 +15897223613 +15800710032 +15818235576 +15187757330 +13903291510 +18878773890 +18537530717 +18890596036 +15142372299 +13910726150 +13694452189 +18830748918 +15123114443 +15802057866 +15821209133 +18558746864 +13903589943 +15185807728 +18816606552 +13908039158 +15865792022 +15113863484 +18529576556 +18587286633 +15118844183 +15803636657 +15111907341 +18567868177 +15859012409 +13926628057 +13662877689 +13910360522 +15837534729 +18554170528 +15144651295 +18815176139 +15862722145 +13694074481 +15839665365 +15173125342 +13971623565 +15189881963 +18578240425 +13627100481 +13923238282 +18579025535 +18568445430 +15144449530 +13931491704 +18805316590 +13697899707 +18527396712 +18873642247 +13612257507 +15889765560 +15888294188 +18861514241 +13630308337 +13908916926 +15801370784 +18824466426 +13658589087 +18825417799 +13621506262 +13651563479 +18504859253 +13934652510 +18833381193 +13660207786 +18843875800 +15122036092 +13936568342 +13940207179 +13698881668 +18811796233 +15825060894 +18835433366 +13915934718 +15860269139 +13973990939 +15813738689 +18541980078 +13625567494 +13673150732 +18872892699 +13659536970 +13618740790 +18522384073 +15872697923 +15895672750 +13604419966 +18505265356 +13686178184 +18828667305 +13698402488 +13952105114 +13643039601 +15116553884 +13697750734 +18576818511 +15883019224 +18844919427 +13924155117 +18532432044 +15184590277 +15109281676 +18506248533 +13904289709 +15137335257 +18578388223 +18562103132 +18896950067 +18575134305 +15100865113 +15130636629 +15157871977 +15873328503 +18847397247 +18555975331 +18818035165 +13909752853 +13932462195 +18895738671 +15800591933 +13945194964 +18848897556 +18579867652 +15880995852 +18511928941 +13668166804 +15169790009 +18505348033 +18557659575 +15867213739 +18525867361 +15816387300 +15853432476 +18806372320 +15800178604 +15166530652 +15813069820 +13960466710 +18524813629 +18530866014 +15177329529 +18594108088 +18571610467 +18536032012 +15856964549 +13659038417 +13652551062 +13623256515 +15189388597 +18824999071 +13630261471 +13640450870 +15845225811 +18523571365 +15892524625 +15134885247 +18862850789 +15151855926 +13941264928 +18843013473 +18845400737 +13924644131 +18845153348 +13698181677 +15857332542 +13647359727 +15184970684 +15194287264 +18562675314 +18812364765 +18862674458 +13618637789 +18831669658 +18864257880 +18866479137 +18559879839 +18538386186 +18836379035 +15139677070 +13967442841 +18816652497 +18520808601 +15815254780 +18874030133 +18545540429 +18882419741 +15894325073 +13641623314 +15884629897 +15889780332 +13665756858 +18586090095 +15863915773 +13668216785 +13662747037 +18566697175 +15104060810 +13628181446 +18594666443 +13692508119 +15851356565 +15104173450 +13946384285 +15164379006 +18508195977 +18596915790 +13986397089 +15177236633 +18815422058 +13613323226 +18864192186 +13984200837 +13667160600 +15163489753 +15869276749 +15899270356 +15188980141 +13942007355 +18504892786 +18594696712 +15865960860 +15139516385 +13687324130 +13900288512 +13977944971 +13650765341 +15836429309 +18571363254 +15151142279 +18523386184 +13952692004 +18562341436 +15154582266 +15885964069 +18568490504 +13985560871 +13677590227 +15170873841 +18544841356 +15886775195 +15876173876 +15100105306 +15136693397 +18514553279 +15164863170 +18538288802 +18820517053 +13628612704 +15110298665 +18851837133 +18830804352 +13941706579 +13620225974 +15114530402 +15178859549 +18863647154 +13649739757 +18844795946 +15101024498 +18841000103 +13907369377 +18857338868 +15816237843 +13668553387 +13674003217 +15848427290 +15867791452 +18561096395 +13925007762 +13927730362 +15877853662 +13956466680 +13945557157 +18522646907 +13634549937 +18565305342 +18886699200 +13978093239 +13652789926 +15128572976 +13958082385 +13661702124 +18835416206 +13628660218 +15897745975 +18574148860 +13940416922 +13603929954 +13677003389 +18566211107 +13631199872 +15868154623 +13912179811 +18899388467 +15124500235 +18543011937 +15172799340 +18524337703 +18846805407 +15873925848 +15106458105 +18520260882 +18540570665 +15174543731 +15892210082 +13657785877 +18561327953 +13629875996 +13689786570 +15821045248 +13653238122 +15196163325 +18506461311 +13928403436 +13982757377 +18524416080 +18860657316 +13977234429 +18850298059 +15158941556 +15143730722 +13931263827 +18515383137 +18508732330 +18822358992 +15164522615 +15174481135 +18880022739 +15821104378 +13985854019 +18813649978 +18536620653 +15854767006 +18555410434 +18584056817 +18540166029 +18561443356 +15856798947 +15190067864 +18816186541 +15810706232 +13615400082 +15818598552 +13908097637 +18832519591 +18552486036 +13919977706 +18538729117 +13626299674 +13697074487 +18834163849 +18515939135 +18546009954 +18806586967 +13690995258 +18811174158 +13671115158 +15160279618 +13617589704 +13666466437 +18834081045 +13642904646 +13695471926 +18580553904 +13698879267 +15139717774 +18541497622 +15177539363 +15178080689 +13960841714 +13980841971 +18865018073 +18828296705 +18551241806 +15833974771 +13670433960 +15104967872 +18804037614 +13625332885 +13687473250 +18894825827 +13922068781 +13640660067 +15109363770 +13601801445 +13632909039 +18899647543 +18814325018 +13929011245 +13984431498 +15147554377 +18832689983 +13965818724 +13633263197 +15184889118 +15161984017 +18523940758 +18856058500 +13650729353 +18882020698 +18860671723 +18577509256 +13958108988 +15162945496 +18546000259 +18865440055 +13972470684 +18598082332 +18523518670 +13921512720 +15107203212 +15101878935 +13960397317 +15835609169 +13631506656 +13944910843 +15143998533 +15857494067 +13925211622 +13634800254 +15153744508 +18554230410 +13648532371 +13629980961 +13963087513 +18868277056 +13933970931 +13669064364 +18533815447 +13618057763 +13665442123 +15115356943 +18520017526 +13929914686 +13981818276 +13666049804 +13639162516 +13610667123 +18564651084 +13623266163 +13619484980 +18879902784 +13965045888 +18858459394 +18888058063 +13989001596 +15827529794 +18503942811 +13607143394 +15118055463 +13954920080 +13943104854 +15882537378 +18522847369 +18520498991 +18861452444 +13921389874 +13999710640 +18505985187 +18583563464 +18590716444 +18878299906 +13677566949 +15198038271 +18573446788 +13989943783 +13608859926 +15108964072 +15865143743 +18830998246 +18879595783 +15125863771 +15871757705 +13603631326 +15879162641 +15196006681 +15881413481 +18571575215 +18890137789 +13640165365 +15818631837 +15140217466 +13604154649 +18547019282 +15194822818 +15135466581 +15181242749 +18881364210 +18572270964 +13691412386 +18806048972 +15176424989 +13698253293 +15864380465 +15873468756 +18814734930 +18553830721 +15173849151 +13959331896 +18824455840 +15119579077 +18809195329 +18806408166 +15107351135 +15131530270 +18578781086 +18565262543 +13954656261 +15149225154 +18516843899 +13975104342 +13625189928 +15156451513 +13979221882 +15157142832 +13999868076 +15126430683 +15147764117 +15107502575 +18804908635 +13661050172 +15150045738 +15128952047 +15191577731 +13958393751 +18574509335 +13930422878 +13938665407 +18536473145 +15164105236 +18501174876 +15114312562 +13977497562 +15176599388 +18525946539 +15876371973 +15191471622 +18515289060 +13962703738 +13633762607 +15859090046 +15195389925 +13945254893 +15873983062 +18517229392 +15811642639 +13924531475 +18537910140 +18874706804 +18802720769 +18837543576 +15185511779 +15197318983 +18829506860 +13651136804 +18833314087 +18576521664 +15186249996 +18571339995 +18857331550 +18870137259 +18896649938 +18552292276 +13694331133 +18846573956 +13605409409 +15857166772 +13619593392 +15175868390 +13609437493 +13611605699 +13939115071 +18597213253 +18509560041 +13937314908 +18542836901 +13970862954 +18840340448 +18555481549 +18544472261 +15822497005 +13960360994 +15804413489 +15125618552 +15194472190 +13617600119 +18544520350 +15862098169 +13675512775 +13964966245 +15823767824 +13922252404 +13919284479 +15116798331 +15889027647 +13607566247 +13639221881 +15825454156 +13952567440 +18852773780 +13660994404 +13627572233 +18818646678 +18594427472 +13645345523 +15868916527 +13913900955 +13994844409 +15835417570 +15102130781 +18562843533 +15121678064 +18589442509 +13948709326 +18555319497 +13970141456 +13997255906 +13963288694 +13640056095 +18591498839 +15160455233 +18597573091 +18567020016 +18540056546 +15159980684 +18810931243 +18538514718 +13908221588 +15894251122 +15842443303 +18841636686 +18881310359 +15841317878 +18839389557 +13641980418 +15862927976 +13935306857 +15838565740 +15112374219 +15147484321 +18836249791 +18572340780 +13612822820 +13901307716 +18584227665 +18892774620 +18573101320 +13988848625 +18583618941 +13951961748 +13981118159 +18866619722 +15149633665 +15851871351 +15159544755 +13638759184 +13663223051 +15155166473 +13951818370 +15180083509 +13954893880 +15167047772 +15849324203 +15188137461 +13655377851 +13999673787 +18807204183 +18874925216 +15875078684 +15818099329 +15866291228 +13698005368 +18542660232 +18894767010 +18593828103 +15855146291 +18518184764 +15854356921 +13982819153 +18883932738 +15839498995 +18875059271 +13903145385 +15868118170 +18514692583 +18871359291 +15813169298 +13617508085 +13694913077 +18895499683 +13678416147 +18552997835 +18830269316 +18553002603 +13646565651 +15111513742 +15138515855 +13656316846 +13604502500 +13660930001 +18884338959 +15893922678 +13960193417 +13620103747 +15137532361 +15824045514 +15879707645 +15867789885 +13625502341 +18527245782 +18821794417 +18889126759 +13909487904 +15813253797 +15198197768 +18842746116 +15161415032 +13692481112 +13954576688 +13659874390 +15807852430 +18841870569 +13958790003 +15878775629 +15825972759 +18508510490 +18545921007 +18877148714 +18560260993 +15834242828 +18596874094 +15149419133 +18554426161 +13684811065 +15828439407 +13902179571 +13989915222 +13961843228 +18553910973 +15135650059 +15136957619 +15842245654 +13699561655 +15822085098 +15188356542 +18541206919 +15169822378 +13640211307 +13613919983 +18838834155 +18849033331 +13943393952 +15847308127 +15139400112 +15888302710 +15826326580 +15857660897 +18876995465 +13677024954 +13966734459 +18583505409 +18531578091 +15856449121 +18840825182 +18592355006 +13936771446 +18520311683 +15192277378 +13908579637 +13998319050 +15805977273 +15152994084 +15147967872 +18857172302 +13929236439 +18563493228 +13954628966 +18576279269 +15122487889 +18893022418 +18896829625 +18891281217 +15810875764 +15168758208 +18512509799 +18593350817 +13905618568 +13605874342 +15822545625 +15874945184 +13937935638 +13656019635 +15105329149 +13601187256 +18845264591 +15117818865 +18567832943 +18533855779 +13671362687 +13922398043 +15156021424 +18542552596 +13601567135 +13995991425 +15135505204 +13907905398 +18500905878 +15121586664 +18845302591 +18589335382 +18539080662 +15132737015 +18869508323 +13665336272 +13666060065 +15122135385 +13601892535 +13993656548 +15839890901 +13692237402 +13613185249 +13929162178 +15165196091 +13622324285 +15158660322 +13678590201 +15810760105 +13954318886 +13956504270 +15187038074 +15171116415 +13670608350 +13684702771 +18549800026 +18585031100 +13658921715 +13637679044 +15175822612 +15108770050 +15145310159 +13625249809 +15174742755 +13618219608 +15148554232 +13942429073 +15838064149 +18586965301 +15891350119 +18835585937 +13905751435 +13654198586 +15113522409 +15165339107 +15114926945 +18814698280 +13913573108 +13923509109 +13979518272 +13676728386 +15891665818 +13683789084 +15153281410 +18517416302 +15152721389 +18897718189 +13683477608 +13636487042 +18815653192 +15806388788 +13609617256 +13613905806 +13938793240 +13689905587 +18848186284 +18869837587 +13644507039 +18580878015 +15842024824 +13641908847 +18506346552 +15185763122 +13918881483 +15199539350 +13992607654 +13646247741 +18584768954 +18537913972 +13982228328 +18560865020 +15196968062 +18557661542 +13687392344 +13956863277 +18877926714 +13904029875 +15810100068 +13676675437 +15812807922 +15837200540 +15163616206 +15858325131 +15153109015 +13695314643 +18800287526 +13633358624 +18880016200 +18518343079 +18521257180 +15890799425 +13672000320 +13949928464 +18542724541 +15814858991 +15805883462 +13618008362 +18856284828 +13648295258 +15888017023 +18572074886 +18804102567 +13660299294 +15199315500 +15845778419 +18540710216 +13667264527 +13912715537 +15804493838 +18814933962 +13621215467 +15171455224 +15842088611 +13935063570 +13653437375 +18562748339 +18583376779 +15196696060 +18575690411 +15816111325 +18547422133 +15174178244 +13918796116 +18513943157 +15105818728 +15188040080 +15824411193 +15177007514 +13659646522 +15175508098 +15842599176 +18505570623 +18882372766 +13684132199 +13928853662 +18891963386 +15111094556 +18844182732 +15853862244 +15842165932 +13968121180 +13918944892 +15106165086 +15127426568 +18589942924 +13971870691 +15169571185 +15132967153 +13665069239 +13692807674 +15829600080 +13607927906 +15109202286 +18579469783 +18527626951 +18887711407 +15199759223 +15829868969 +18556099226 +15808911442 +13644410606 +13600665190 +18526088830 +18541931551 +15154163767 +18590580520 +18574038740 +13943437533 +13655536415 +13618633750 +13677687273 +18834230530 +18512837131 +13672612796 +13923463178 +13919240771 +15154736621 +15190136971 +13963160895 +18546346067 +13984955676 +18554187513 +13626825573 +15169467579 +13615311184 +13669148197 +15893948037 +15807825625 +13635535579 +15842336721 +18850909746 +13936197292 +13926041536 +13977358856 +13953590230 +13965085623 +18566320358 +13911591014 +13991947246 +13900515219 +13901132448 +13606261894 +15882351217 +13906384846 +15130888508 +13623243484 +13622932309 +13918994075 +13969120697 +15199604294 +13948831952 +18591950865 +15173282824 +13670792819 +18881755917 +15853783239 +15821057561 +13984441223 +15828262215 +15815278225 +13661036107 +13900065532 +13620472038 +13996966749 +13996759757 +18518869320 +13913855575 +15162894021 +18560335250 +15853263763 +18529771086 +13673484761 +18808669536 +18573115825 +15856928822 +18506161632 +18509215416 +13956015177 +15126633280 +15823416826 +18858607020 +13683972833 +18565811918 +13678711647 +13928734834 +15896403836 +18888572210 +13646490887 +18593866512 +18873237375 +18529317778 +18820412087 +18596342270 +15815153754 +13650187921 +13925016902 +15117509334 +18567844633 +13965977711 +13910304415 +13646012798 +13916809179 +13694228611 +18528640985 +15853565674 +15837899063 +15157614270 +18827961859 +18852552592 +18543379921 +18874422002 +18591485215 +15862075873 +13935900397 +15129909063 +18586071738 +18515217290 +13646744512 +15101656247 +18888171407 +15812330355 +15151317242 +18827036335 +15810124849 +13624257978 +15117142588 +13623726749 +13682220490 +15870911449 +18845860088 +13936800552 +18823304524 +13906579744 +15863825324 +15114235224 +18880521236 +18525987210 +15134833089 +13664587927 +15886557782 +15148460198 +18541414623 +13908755999 +13962842025 +13674523871 +15164294885 +18896046894 +13901037307 +13637858338 +15890327556 +13976239416 +15884153045 +15874369536 +13960094835 +18804942600 +13971943891 +13904307708 +18534258674 +13966139080 +18521655479 +18829250162 +18528214826 +18538237942 +18592366292 +13678428223 +13933891652 +18819845219 +13621687528 +18804788314 +18570030825 +15179840899 +13911254560 +13971422753 +15101544042 +15894340283 +15104636525 +15196853181 +18517839246 +13934840961 +13646217284 +15815752345 +18816798530 +13969633138 +15813438051 +15806390055 +13649659641 +15821596568 +18522043769 +13681839012 +13625043065 +15127299333 +15877913519 +18840082619 +13927805029 +15104757097 +15114018730 +15851979535 +13966992383 +13913179934 +13630526776 +18887158770 +18518974795 +15114531569 +13656710218 +18589687636 +13965242464 +13617464499 +18541693857 +15820771766 +13959648676 +15896493693 +15888523797 +15877762818 +18833003688 +18858248350 +18877773275 +13909015835 +15828101856 +18834996447 +15895175602 +18847487522 +15841619772 +15128719847 +18584122015 +15185222536 +18516342057 +18564087843 +18820513658 +15164922305 +18854476347 +13694321763 +13626129095 +15880284250 +18883649162 +13640313836 +18868882210 +18553946467 +13929892757 +13905367366 +18806381749 +15845777354 +18550063868 +13672902418 +18801586030 +13946047415 +18527296286 +18857607861 +13973040164 +13648281457 +18539283240 +18863038876 +13916195577 +15895257621 +15142425851 +18890089352 +18890779109 +18887095404 +15812087086 +13689313294 +18511406022 +15888060504 +18563041174 +15840627771 +13673518021 +13986488893 +13642847614 +18821340617 +15146583930 +15892691965 +18533073442 +18538779674 +18543801754 +15164697033 +13685016932 +18869387950 +15874454703 +15187101766 +15843457964 +13926341130 +18539672130 +15837928258 +18841502036 +18892744214 +13661300404 +15872948873 +18828932034 +18510670530 +18891283657 +13913511506 +15836655071 +18599112472 +13613164935 +13615448584 +13929285420 +15137412969 +18585332042 +15142670263 +13646045832 +18843172344 +13656658369 +13624862151 +18577945031 +18882098520 +13972740349 +13678370019 +15145475689 +15894974484 +13927327624 +13972451033 +15867959023 +13937083871 +13942493694 +13613306086 +15188200456 +18516093247 +13605116356 +13912140271 +15160132221 +13694579808 +18586817637 +15116541026 +18814093178 +15806630638 +15145510203 +18848148898 +18587855119 +18831232903 +15102497505 +15853492793 +13912267803 +13910916303 +15150469194 +15122952239 +18860455620 +18877831978 +13907729155 +18874316367 +15824183547 +13660365367 +13670342126 +13663823091 +18852540857 +18839975553 +15152744209 +15833787163 +13933721790 +13970931031 +13609667040 +15819073812 +13626903960 +15104421614 +13626495846 +18885641230 +18839724648 +15858309975 +15879995565 +18897769723 +13624427019 +13665732731 +13918936935 +18554470062 +18829913100 +15153214959 +15852800122 +18805939674 +18897707620 +18528942222 +13654253072 +18814608151 +15118637909 +13697773409 +18553276577 +18592829115 +13927847139 +15841714978 +13672187866 +18861642949 +18588721774 +15141789791 +15177598252 +13912545915 +18578030853 +18562524261 +15829179460 +18809958887 +13904799298 +18551825692 +18886991356 +15810374847 +15822789491 +15896000068 +18874400555 +15185067318 +15846628249 +13917295326 +13988347220 +18821746228 +13953222134 +18855602643 +15173252850 +18876491181 +18832705104 +13678102067 +13948317847 +13955691909 +18888283889 +18875195378 +13603186439 +15850042290 +18535604837 +13922032028 +15825932001 +13689677137 +15139984472 +18861464670 +18858336692 +15137282858 +18825830464 +18886187961 +13963677454 +13670353172 +13930827644 +13643877267 +13653976502 +15191680410 +15157608365 +18501082216 +13612364170 +18865293020 +13691011566 +15193510704 +13679433775 +13604634702 +18887114519 +18872020157 +15181917528 +18559336127 +13904478895 +18547924142 +15141435217 +15144516418 +18880130400 +15841580229 +18814250340 +18850483170 +15180008764 +13968136416 +15815719009 +18823616957 +13691053168 +13973955492 +13619871512 +15138779938 +18562238359 +18504397165 +15851690807 +18562300388 +18858692905 +15820636511 +15892652033 +13691808803 +15140909893 +13948668610 +13964347191 +15854031495 +18506602559 +18500960255 +13919044475 +15808058328 +15173119166 +18821093108 +18842348068 +18529250282 +15855386832 +18824640816 +13983154541 +15197795922 +18562913864 +13900359526 +18575425835 +13601781579 +13636325862 +18844402330 +18598580782 +18850741267 +15102937327 +15816554964 +15845098603 +13687585261 +15887721561 +18892108585 +15136526378 +18860694138 +18827316853 +15866648110 +18894506811 +18529374123 +13990822538 +13994421150 +15815265039 +15122628647 +15878979959 +18848046276 +13999161352 +15825093529 +15155099360 +15840838474 +13630304490 +13940874106 +13613429561 +18522195314 +15117988430 +13935545032 +15120180003 +18588910679 +18589901575 +13975465671 +18550646595 +15874084897 +15117085048 +13663632874 +13686278904 +13948974388 +13609926887 +13616121620 +15899521640 +18569451413 +15125361128 +18525714171 +15131197742 +15832877938 +15196893902 +15841157317 +18806417588 +18868276550 +18596248011 +18821274498 +13667761553 +13931619355 +13669036373 +15884597576 +18536654654 +15113596041 +15861130488 +18821633619 +15838098752 +15135793292 +15803628581 +15893787531 +18576660185 +13982167866 +18840821358 +13932335939 +13618654850 +13926359591 +18585654783 +15887055391 +18580858032 +13988481017 +13670479910 +13626923676 +13959937004 +15850781985 +18887585106 +18591036587 +15115617396 +18544947286 +18513530374 +13940859570 +18833824264 +18533399402 +13635668469 +18868591826 +13649481719 +13969090198 +13604579543 +13630801610 +18565541841 +13950329169 +18507303168 +18562890474 +18867725227 +13671138335 +18855940073 +13968076299 +13937174749 +18834952431 +15102209783 +13965051223 +15842398138 +13997777581 +13985584077 +18580365627 +13940431220 +13923454391 +15883298317 +18897919077 +13915786955 +18536181941 +18880202851 +13642198430 +18515821825 +18864464261 +15121749466 +18870023273 +15198409023 +13628254624 +13620753247 +13603546727 +18864501833 +18598677470 +13929036989 +18545896119 +13611958137 +13657319426 +15113606477 +15133127217 +15171264479 +13919122858 +15882127742 +18525256332 +13660266514 +18570475685 +13671544149 +18595959274 +18801699109 +15171410523 +13916898203 +13977418027 +18524818580 +13636644533 +15846789905 +13692922255 +15847832690 +13940327801 +18528866329 +13909967596 +18825785109 +15842792085 +18537509139 +13901436352 +13955827423 +13927561037 +13941328118 +13987023571 +13697675669 +15119519100 +15163809924 +18804737091 +18850846773 +15869856125 +18549118621 +13678139832 +15821956603 +15116414485 +15834012336 +18515437974 +18808814088 +15859322894 +13638654005 +15807967017 +15149496569 +13683834230 +18875267252 +18874203834 +15116279996 +15855212336 +18808681672 +15821443298 +13954132642 +15850390937 +15860318379 +18559984532 +15176416703 +13666088177 +13948275960 +18543563346 +15152753596 +15121815154 +18511650299 +15888112486 +18845181875 +15871052972 +18552327104 +18881893867 +13947747226 +18876593740 +13666240460 +15120596915 +13601562616 +13661739765 +18813635515 +13639328300 +15868551173 +15866967176 +13970635038 +13622842211 +13675808154 +13637841324 +18896545535 +18801316868 +13651493534 +13665642825 +13671916815 +15806856603 +15157235700 +15171414312 +18840414143 +15135596820 +18874684587 +13609192729 +15161465559 +15149643173 +15103369329 +13659669589 +15860143281 +13647695860 +13680445082 +18527272806 +18882269268 +15807843427 +13630342216 +18527987561 +18886903176 +13935639246 +18871007262 +18598892380 +18564786401 +15801363799 +18803642502 +18510581213 +18530897819 +18872762931 +18510957594 +13920828565 +18518284810 +15180794367 +18812320299 +15889471343 +15119775296 +15177618995 +18846489723 +13610409659 +13667532839 +13610210878 +13678422151 +18511398799 +13973866355 +13901276344 +13680300424 +15875534420 +15115205087 +13641014597 +15832043489 +18596010551 +18894353867 +18530706432 +13690168394 +15867158954 +18524943461 +15143956418 +18500186579 +15123053467 +18877921663 +15188976362 +18511875256 +15116649002 +13676349016 +13620007176 +15142007526 +13966357033 +18507096615 +13929181903 +15107738256 +18520388300 +15864990982 +15105211759 +18549536604 +13989920685 +15124003806 +15146134026 +15886905714 +15103944725 +15879713337 +13922528056 +18886418309 +18853450864 +13903207591 +13950783241 +15870662982 +18899923931 +13921711148 +18520341230 +18549282559 +13946580840 +18856687014 +18588986774 +13961601098 +15864318763 +13959128389 +18534504487 +18811009817 +15835780768 +15887479896 +13993932425 +15806625102 +18861517976 +13656052008 +13628247251 +18864086712 +15881653163 +15179260240 +18876625141 +13629166961 +13696525995 +13625459233 +18506876464 +13901070958 +15860598289 +18504914548 +13915725845 +18866117943 +18567515949 +15860277549 +13912072099 +13910479731 +18559884812 +13605335908 +13655837211 +15899688990 +15110556762 +13644257804 +15127037788 +18872702701 +15172253639 +13660051249 +13956329287 +18526248218 +13649609331 +15867278624 +13977771959 +13621955081 +18863533722 +15896754262 +18865310199 +13696446452 +15852847304 +15172638107 +18506748303 +18571923442 +13658034156 +15193441274 +18874604512 +15181689725 +13962479525 +13933582286 +13624110512 +15818551104 +13670929850 +18513752850 +13633773402 +13978195198 +13671691623 +18872683856 +13957003495 +18500196411 +18869154097 +18831272785 +13925060940 +13656577395 +15134617630 +15168343565 +15106505694 +18533868836 +13954923326 +13627806752 +13934132942 +18881375657 +13688552333 +13643840721 +18562816621 +15812161872 +13611736274 +18885813956 +18551145596 +15197991669 +13907832669 +13618460299 +13919966800 +18850738014 +13652100636 +18864166880 +15824685690 +15108510808 +18847741291 +13687076462 +18570445490 +18883795235 +15885688698 +15828467949 +15159595208 +18549165970 +13903390826 +18529728885 +18896611546 +15190287415 +18861369791 +13649739518 +13937552392 +13925154206 +15819585124 +15897431539 +15856389606 +15869800530 +13983990493 +13960665068 +13654498510 +13956482571 +13605277427 +13659279615 +13907547940 +18525337947 +13609626276 +13608252274 +15872781620 +15155053604 +13979481164 +13950997749 +18867275549 +18839479864 +13644756092 +13615991462 +13941880507 +18880046048 +15810905557 +18592712464 +13964925962 +15153443850 +18542513278 +15845056130 +13917813809 +15872495719 +15862453936 +15179075217 +13693943411 +15124119502 +15164403621 +18536114595 +18816366629 +13970463890 +13922430696 +18892573983 +15820223472 +15823870079 +15873455576 +13976216419 +13662220593 +13647392509 +15831393042 +15806578833 +13912458425 +13978606965 +13672874544 +13963617436 +13939432410 +18874426776 +15857468430 +18510791191 +15168814715 +13619694164 +18517541613 +18814613701 +13996412224 +15150226910 +15116426577 +15139594696 +15100440408 +18504074857 +18554293722 +13994004358 +18565209942 +18534179851 +15838389212 +15885755859 +15179755806 +18595020304 +13685002126 +13644119202 +18520936941 +18530337291 +18889902792 +13960952129 +15107909587 +13993500320 +15824437133 +13955043298 +13611856287 +15123174479 +13954439379 +15844398215 +18582954490 +13997472964 +18816069485 +18510605750 +18584175562 +15199065368 +18526817346 +13979904047 +13958649546 +13634514063 +13620598882 +15891190809 +18529054770 +18885689561 +15148218875 +13620197686 +15132410121 +15196817958 +13989946767 +18840498839 +13991148208 +18586946057 +15190577916 +18865206262 +15851290091 +15198900129 +13926932833 +13656161453 +13638818710 +13638848203 +13989296545 +13631484979 +13967732241 +15850421158 +15197829875 +18827814425 +15899642802 +13610960617 +13964454502 +13631738069 +13968174651 +13624938258 +15101590260 +18562049771 +18853810673 +13919965323 +13621679126 +15148668389 +18588584206 +13685164769 +18572279042 +18572284479 +18867734537 +15189115164 +13993979765 +18527480297 +18541533752 +13985215300 +13979754358 +15178060886 +18866454388 +13963508380 +15877768316 +13664547546 +15871730716 +13619121759 +15878571797 +13919670349 +13620673240 +18577088512 +15853888302 +15164751058 +15135049951 +15143187227 +13993864871 +13622501459 +13922672264 +13938945445 +13981924215 +15871877550 +15122560504 +15856172559 +15108892354 +18848358054 +18529249295 +15846447070 +18525651506 +18505943824 +18537768970 +18800864154 +13629852813 +13627931252 +18539641691 +15809831181 +15815383176 +18566534646 +15169996518 +18882885320 +13621287060 +15146466125 +15127419660 +15168118489 +15198598327 +18577065452 +13652460437 +18521960961 +15150667315 +18833500873 +15868131018 +18559432972 +18879611726 +15117514854 +13633261829 +18500278622 +13929318823 +13697728256 +15822688207 +13645154688 +15833909101 +15812461196 +13623067250 +18831948032 +13966129434 +18805069895 +13659793369 +15899676210 +18530470902 +13921895065 +18836971709 +15158320619 +13956970020 +13993628194 +15868891259 +13627821520 +13696906869 +15852554428 +15108240517 +18539840578 +13639247319 +15167170505 +18570852608 +13669785268 +18516693092 +18511063674 +18569982224 +13942959256 +15130827377 +13903359431 +15124518690 +18873622669 +13913170799 +18811422168 +15860817700 +18804409194 +13976290756 +15875897683 +18883345421 +18889876547 +18507372847 +15175275340 +13674995279 +13946931722 +18899939557 +18546835000 +18593692227 +15186443855 +15879829229 +13961726758 +18867723715 +13969576831 +18834975475 +13668172730 +13921026596 +15177763259 +18827484879 +15865406837 +18503215170 +13613666056 +18517136815 +15856710755 +18853408502 +13972436352 +15114105445 +13903792126 +15828251773 +18580354021 +13697219901 +15135399693 +15125142283 +13691329017 +18540371896 +15183767466 +15181695699 +18561182745 +18546727193 +13627136802 +18591355145 +18525907168 +15163053065 +18556452962 +15127919018 +15165457475 +13615581879 +13647303150 +15165661341 +13943006803 +15190919918 +13605344324 +15125624164 +18859507495 +18556817856 +15800195240 +15129977010 +15843381409 +18547589740 +15800846181 +13943849167 +18545437142 +15839626041 +18816761193 +18503464297 +13900940527 +13925369478 +15851746290 +15122200035 +13924454310 +15179914326 +13927316392 +13683175655 +18823037539 +18573625788 +13901838845 +15137372229 +13685334047 +13637105263 +18586420188 +15196590101 +13694188093 +13695603569 +13656772414 +15177130131 +18865372434 +15145541090 +13954270681 +15803863471 +15196037022 +15148550005 +13990274330 +15836274145 +15131364482 +18591023030 +13621045525 +13634370596 +15139899682 +13998417836 +13669725901 +13957856775 +18588632105 +13673149211 +13912908314 +13944193706 +15125290192 +15892364825 +15101552791 +13640783220 +15836050254 +13915599741 +18542706911 +13679395286 +18874547526 +18896832921 +13609529589 +18868871569 +13956160963 +13635056246 +18527326765 +13956822300 +15882267495 +18516882618 +15863539876 +13696060276 +18562899145 +18577474488 +13903858300 +18545362305 +15171994875 +18593998255 +18800660521 +15885971242 +18818133517 +13905041635 +18867673457 +15872629874 +18543705284 +18588542278 +18579196719 +18538082005 +13626467265 +18566716098 +13623960602 +15852984626 +18567171641 +13987014760 +13648729845 +18593111980 +15834897878 +15119695194 +18568539978 +18886898347 +13691303582 +18855003216 +13953455188 +13681827071 +13676807202 +13972953189 +18509251466 +15131708523 +18593397393 +15180301965 +18895715045 +18895097191 +15190331314 +13619154041 +13668296229 +13614263270 +13989952295 +13612570309 +18578184807 +15840334098 +18806361175 +13685585865 +18804448107 +18510593431 +18501414719 +15191679117 +18565794860 +18524629059 +15142811191 +13620637755 +15864332706 +18833693102 +15882303944 +18853593540 +13978888002 +18883934534 +18896078110 +13634405331 +13908416116 +15184478734 +15852955469 +13618849977 +15837210032 +13649509313 +18595440155 +15855435132 +18874264806 +15159529753 +13683089550 +18840745130 +13661810802 +13688282578 +15806486352 +15894776498 +13659573177 +13921997395 +13988850044 +15800531986 +18534912229 +13925132884 +15820265498 +18818664712 +15165526268 +18866498922 +13630137765 +13667985014 +15193060263 +18882630345 +18584975490 +15108058581 +18861035897 +13681305128 +13626909973 +13623292908 +15166894117 +18513030624 +15115898935 +15188107149 +13644179509 +18562481142 +15191082566 +15132009364 +15874399423 +18542285248 +13638009227 +18882892041 +15124593497 +18506596216 +13910107241 +13647719773 +13611439520 +13632740386 +15888982906 +13617360294 +15155940543 +15881323705 +13951185063 +13935111128 +13933871156 +18575450698 +18825665172 +18815136954 +15889469169 +13926628890 +13978228381 +13645399959 +15152956232 +13670139986 +15803459058 +13907498335 +13677630400 +18803015757 +13972891064 +18872260956 +13903360461 +18545008002 +15846213170 +15816124343 +13616924926 +13661646414 +13987133720 +15192751161 +15876873216 +15193479538 +15120605523 +13684727588 +18539178576 +18873409477 +18544673890 +13670501024 +13634801371 +13635258098 +13936698863 +18859768660 +13916565085 +18539353897 +18548665230 +18553838475 +15164718816 +18504793254 +18864251488 +13933380160 +13959253910 +18589352868 +18840663572 +13650152292 +15162023869 +13635483588 +15114805637 +18839266308 +15888786297 +18810375590 +18574484159 +15118566703 +15854972755 +18593354837 +15819473842 +15871001377 +15814628176 +18560638257 +18578539496 +15193647206 +13932983332 +18834029577 +15814134118 +13931462106 +15118818359 +15135864714 +18560085535 +15810079792 +13980479135 +15138615283 +18846141804 +15116814426 +15801316080 +15813002657 +15809979967 +13970460310 +18893077381 +13676699291 +18568015815 +15183899958 +18572704385 +13694315935 +13912570289 +18826202757 +13675083728 +18580223767 +13622307690 +13904036537 +15176823452 +18856012113 +13692670364 +18813261143 +15149437797 +13631922925 +18576974204 +15895034015 +13620132669 +18869433899 +18517885471 +18845493820 +13640799607 +18859697473 +13944304168 +18507867418 +13643710343 +18515902935 +18534913898 +13926082496 +15169326807 +18533189048 +18533834908 +15871184678 +13934793339 +13916677032 +18871228184 +18567365157 +15122635414 +13632831067 +13671249272 +18874125751 +13648882880 +15187609527 +15103599502 +18576780993 +18885361486 +18815871272 +13932295830 +18856511438 +13953675038 +13676381686 +18877796749 +18537082195 +13602560883 +13905440580 +18531321017 +15885549057 +18850333068 +13679573801 +15835969087 +15884731862 +15152772437 +15855599175 +13910367680 +18504589866 +13911550196 +15167510912 +15878031392 +15102785279 +13904225992 +18807199011 +13952109029 +18854496429 +15807009891 +13610726418 +18501023318 +13699955083 +18861854085 +13982043284 +13659073198 +15133449657 +15838321414 +18536740041 +18871848853 +15850455447 +18807054845 +13639428752 +13961517734 +18848717847 +15836728344 +13993679973 +18856818991 +18868084888 +13627255012 +18544307309 +13953233976 +18862267491 +15194541959 +18877108130 +18824665248 +13962688086 +15881535868 +13635063817 +15830057772 +15182058269 +13930657727 +13981468815 +13982483937 +18590971986 +18598228663 +13648188812 +18867031035 +18553246443 +18504686247 +13937827926 +15111000597 +18831235712 +18574351306 +15851711148 +18575349523 +13601557393 +15890164297 +15191535937 +13971594807 +13931597348 +18586722893 +13657696056 +15150204115 +15887821175 +13925505962 +13687288859 +15179449991 +13655706068 +18543337015 +13684218841 +13644493520 +15120641284 +18874028694 +18553770929 +15827644520 +15880621391 +13943820127 +13949386997 +18859873472 +15155038464 +18512090430 +18534822631 +18859918028 +18891260531 +15146039249 +13903303150 +13960716103 +15889300907 +18815383149 +13998070944 +18846051349 +13664012303 +18544031421 +18868350203 +15882770919 +13962339483 +15862025706 +13616620657 +18557754113 +15159523856 +18848448251 +13652042430 +18572930599 +13990388520 +13964178402 +13678872559 +18842084408 +15856310029 +18892037238 +13600456967 +18809176248 +13643104210 +13608981949 +13930662782 +13685380333 +13652981517 +18818338460 +15154890337 +15895053087 +13945514168 +15862353617 +13678344323 +18811490473 +13935073949 +13915294483 +13681196394 +13940842363 +13619314347 +15820539310 +18885041386 +13618812753 +13969619275 +15103577306 +15159201819 +18596143998 +13919194257 +15846002100 +18813011389 +18552605952 +18833009291 +18808405890 +13907852881 +15148182986 +15898573891 +18853158642 +18802368982 +15821495606 +15857571794 +18851389779 +15877642826 +18576682248 +15806014399 +13930085689 +13961315592 +15148164243 +18547007513 +13915377368 +15821455023 +18855434868 +18546683710 +15810160867 +13678415858 +18570540388 +18509570903 +18883342452 +13638168199 +15103943899 +13975909072 +15110313145 +15163500021 +13900097761 +15153912171 +15107511757 +13913043266 +13645667173 +13954752885 +15128346238 +13935091127 +18853466546 +15833734990 +13945899656 +15173344259 +13942791448 +15109163457 +18834649847 +15835772567 +18810827357 +15112850185 +15130005962 +15870249930 +13685302400 +18838201299 +13615849593 +13998098719 +18847441782 +18867447841 +13942303949 +15111228844 +15832363496 +13623800561 +18817161728 +18595949969 +13905814704 +13981172286 +15883032611 +13651540240 +18816585721 +15176239685 +18516690221 +13633026040 +18848242380 +18876813700 +18847585104 +18522028421 +18876007496 +13697251599 +18517026234 +13970482172 +13610313408 +13931593560 +15168752018 +15126657801 +15859267698 +13949317282 +18864469794 +13699466982 +18882223988 +13655890045 +15189834620 +18811314994 +13606200688 +15124475622 +13652068123 +13667592402 +18592503993 +13963273606 +13959932131 +15197626595 +18586484681 +13926457804 +18598307777 +13670270704 +18575313109 +15160117361 +15115290240 +18567057116 +18582187684 +13621548174 +13643251621 +15136042864 +13608268876 +15875479253 +15117194930 +15170951953 +13651007811 +13963774738 +13949915722 +13695766645 +18596071038 +15138744545 +13940497755 +15179844829 +18515588624 +18527105043 +15809459107 +13958841553 +18814579212 +13923991678 +13660804740 +13679446638 +13634036853 +15145925044 +18850829525 +15155930469 +18599206119 +15841855052 +13953879962 +15849692888 +13998175101 +18576213534 +13939517152 +13921731268 +13964428576 +18596054356 +13638136662 +15860565004 +13960383409 +13686593841 +15807840509 +15102583559 +18509738901 +15889157968 +15891860514 +18804522981 +18881756419 +15155077878 +18581170687 +18892001284 +15189102323 +18855570108 +13664223876 +15852051241 +13670559244 +13621434186 +13600336845 +18817220888 +13956577909 +13639283011 +13685316952 +13949518734 +18826782246 +15122810427 +13906736902 +18822883111 +13694515748 +18522099545 +13971236413 +13985099706 +18517354229 +18537552907 +13987158980 +13961645025 +13959882850 +18552111085 +13643935643 +13660300537 +13965463924 +18872027159 +18513165005 +18550745320 +13644807378 +18844240763 +15839221639 +15152401069 +15146547383 +18519364194 +18887952992 +13696420005 +18846922803 +15856505537 +18892156220 +18519986673 +13695247774 +13946417130 +13639579882 +13645002908 +15867374689 +15889906614 +13900650026 +13958299580 +15816022033 +18801503969 +15822912907 +15874796769 +18810626366 +18882531908 +15171925251 +13922308623 +15883768102 +13935901365 +15107787231 +13628397640 +15152282581 +15858578218 +18887260900 +13989048285 +13988257848 +15172383843 +18816000164 +13945972154 +13940240633 +13999911924 +13963768831 +13687212184 +13965347943 +15173400619 +13601585521 +18827772278 +18587991933 +13699803089 +18841803193 +15125325989 +13688050833 +13663843240 +18831593280 +18528261126 +15844175913 +13677436193 +15151296351 +13600736911 +18858928443 +18865604793 +15100539944 +13686967250 +18835029992 +13929117516 +13687365097 +18591437914 +15850822563 +15871599612 +13983995394 +13973589459 +13959024380 +18897449911 +13971312263 +15840015180 +13680918416 +15876328335 +18866006812 +13603308475 +18868795381 +18536902079 +18531543008 +18520596652 +15883328634 +13696079314 +15811777385 +15882807406 +15113742911 +15876499829 +18538992573 +18573185903 +15821898100 +13687756534 +18540525647 +15199615594 +18810230148 +15152074078 +18877648407 +13969950088 +15835181437 +13934360610 +13922082514 +18503462799 +15805233491 +18844786978 +13624660680 +15192133816 +13674041022 +15154692254 +13969715779 +18808848490 +13992895463 +13956173347 +18860330867 +18856534522 +15816254897 +13905353290 +13668270505 +18894523177 +15814424593 +18556632286 +13916704333 +15899394700 +18580043588 +15148591269 +15849408177 +15150176280 +18840553812 +15811842504 +15848330203 +13643849551 +15861333475 +15171043186 +15821226094 +15163610185 +15109750504 +15138680645 +13932941034 +15875152986 +13976804684 +13625310154 +13680969594 +13928142589 +15862553228 +15145239925 +13624406739 +15865206259 +15843900566 +13978095597 +13936433485 +15133280196 +18816923803 +18589570488 +15121843222 +15159030041 +15877917293 +15818901103 +18548268885 +15883924710 +18554622470 +15176970781 +13965271915 +18883612270 +18550137187 +15165906228 +18587244881 +13603718191 +15838562413 +13942423268 +13653802644 +15182130568 +13992648410 +13653405961 +18890411477 +13947031059 +15892890431 +15831279194 +18515989589 +18588594499 +13643603884 +18585531718 +15166533903 +18575381116 +13697542993 +18866792517 +18542717728 +13932686792 +13954249269 +15835359926 +18832084075 +15199691628 +15127694726 +13905057971 +15135245093 +13652253086 +13613587043 +13976195673 +13681579594 +13617190706 +13974250231 +15161808049 +13930386555 +13698987944 +15193342452 +18557526811 +13981363163 +13625213516 +15158386320 +18881424961 +18823943074 +13601124952 +18591902996 +18830309996 +13695862703 +13930371674 +18859271215 +15885833638 +13929590391 +18812143155 +13970099038 +18855502391 +18825120446 +18819389441 +18898921684 +18882312163 +15137180923 +13917074836 +18517358484 +15844634628 +15822940877 +13636915683 +13664966458 +13680014304 +15872449283 +15166197788 +18581051928 +18596027626 +15810783148 +13653402487 +15861480437 +18511546489 +15853901856 +13681769628 +13981302990 +13662719132 +15190676876 +13646904716 +18825220825 +15170859781 +15806878865 +15871974663 +18575101141 +18511270737 +13901174001 +18532440099 +13940494836 +13693533862 +13606177736 +15891948205 +15875790083 +13668874019 +13618799361 +13654462112 +18597522469 +18557288113 +15179324785 +13968143436 +18838658587 +18534087143 +13668106717 +13935051002 +15187954268 +13617707311 +18560658516 +18888447670 +15135141163 +15132564343 +13639655637 +18898459046 +15848051849 +15866224575 +18823220369 +18820446334 +13953682386 +15190702632 +13929921016 +13666619066 +15850159197 +18564710824 +18538987959 +18883412899 +15800270174 +15199081963 +13659918354 +15865635662 +15139047698 +18587745651 +15114369562 +15831582613 +15145687811 +18571036291 +13617498995 +15863964046 +13698621851 +15827230362 +13989671571 +15196068891 +15192599300 +15897814923 +13966262253 +15883703910 +15857312790 +18576322931 +15184578727 +18592050903 +15892842940 +18592184050 +15818332284 +13658669005 +18549941884 +13955560827 +18891705111 +18524207182 +15165987309 +15170672241 +18596183144 +15176353705 +13664392001 +15154367233 +15125011126 +13980904515 +18539879981 +13936321683 +15806133771 +13602415166 +15872523066 +15193057312 +18525593985 +13948749172 +13676342778 +18514544669 +15878208124 +15880374980 +18594194548 +13960848452 +15198269873 +15128754481 +15822531186 +18825824054 +13643611848 +15880487651 +18800397695 +18833192730 +15154358535 +18845698386 +18814119938 +18525913395 +18568179542 +15188334553 +15800015398 +13680030832 +18802997844 +13670285178 +18805239077 +15193096744 +18840256471 +13948933289 +18818454405 +13976313686 +13627310902 +13670764856 +18556710671 +18869607747 +18831859366 +15165461771 +18519479959 +15894172797 +15887270407 +15169972066 +15195607612 +13671082250 +13966561990 +18847053246 +18879966331 +15193519063 +18572016285 +13959499026 +15889844490 +18561803204 +13626993724 +18586148824 +13625436529 +18837851981 +15136669651 +15815360709 +15808394703 +18874300109 +18517749912 +15858845109 +18835430372 +15852902406 +13976262012 +18845018089 +18883520161 +15182069761 +13983326095 +13683212104 +15194576502 +15166630756 +18823769055 +18513034914 +13674128039 +13619970346 +15895584999 +18534310490 +15174012235 +13926062210 +13919898663 +18838381994 +15196172513 +15180734541 +18534166717 +13693807046 +13612310508 +18831636871 +18567660530 +15837282244 +13953392234 +13942245438 +15165884946 +18892824480 +18819875711 +15182120959 +18501454224 +13657054008 +18866328925 +18832026036 +15123033477 +18585945346 +18807873965 +18589009894 +15865785512 +18832604161 +13971171907 +18507523936 +13635606217 +13692961376 +15155054402 +15176240920 +15855382855 +13931375805 +13912577126 +18509086193 +13917086647 +18546618403 +13909387675 +18513728769 +13634407970 +13656560020 +13680879029 +15111710955 +15145003310 +15895005930 +15112382907 +18559895462 +13632644355 +18813121262 +13913562249 +15161493130 +18542993184 +15149510392 +15110098611 +13907295477 +18512096401 +15810914572 +13953342034 +18824233418 +13683903456 +18848683134 +18512998470 +13684162769 +13903399244 +18801987884 +18824449751 +18843355404 +18544883771 +15115916329 +15138105718 +13964860111 +13638442566 +15107356459 +15161799819 +15894646145 +18804836702 +18821720307 +15188784998 +13621842744 +13947411372 +13905842113 +18810513752 +13914365776 +18542938053 +15121347233 +13637543366 +18511346532 +18824378562 +18522836767 +18837634235 +13631689920 +15899665145 +18868066244 +18514291158 +15187215034 +13925043689 +18890258219 +15155163352 +15171821354 +15859512815 +15154416205 +15105465291 +18582748181 +13667087615 +13951343512 +13616376845 +15185196710 +18807439558 +15823209373 +15867229827 +18893433867 +15146123862 +15146415998 +18551662034 +15808837671 +15825097639 +15867382682 +15838277342 +18531602682 +15818368347 +13658400654 +15814692365 +15815978333 +18542599667 +18887561839 +13673039796 +18565539366 +13671250141 +18592584722 +13657623853 +18850409032 +15130835155 +13663170505 +13926656259 +15155381271 +15161430943 +15895963637 +13648950853 +15891941559 +18563960156 +13610800095 +13666138912 +13657694926 +15876128132 +13915408469 +18894020472 +18871538806 +13613191410 +18817256141 +18805685914 +13989750383 +15164745181 +13641757733 +18857279229 +18596531779 +13976508145 +18581906389 +13663883012 +18588422540 +15180484875 +15854087829 +18599963924 +18519906908 +18826375153 +13944770545 +13944136522 +15166149863 +15810734097 +13671207501 +15875966866 +18552320598 +13914398841 +15832800298 +18516150420 +13958531591 +15162314776 +15102271028 +15804847849 +18869964900 +15143537981 +18599177330 +15897459764 +13994447999 +18544528082 +18806262140 +18837920858 +15126804116 +18816573540 +13982564547 +18843087980 +15140459081 +15802640000 +13907833473 +13987909738 +13951061669 +13985422837 +13670947697 +18565704319 +13678815193 +13945373262 +15114470582 +15836033159 +18539435207 +13675704175 +18540879787 +13628099214 +15124656845 +18539432112 +15151489939 +15874047867 +18548155816 +18507876340 +13910515746 +15856504410 +18839844805 +13934071059 +15176276556 +15192654245 +15163678979 +15111436651 +13644540661 +13969795055 +18596837583 +15188831013 +18823142280 +13646773072 +15106264597 +18529552893 +13910699645 +13665804892 +13608683167 +15835138439 +15877384134 +18549432489 +15160282030 +13965220673 +13986689698 +18820505783 +18564515564 +13603136152 +15856671471 +18820393940 +18583159776 +18515590016 +13963285747 +15801416917 +15130991537 +13921474584 +18583753174 +18874299223 +18552305619 +18551692453 +15805078929 +13903194142 +18575398494 +15804110779 +15115302664 +18568609164 +15830815460 +15187583073 +18841898183 +13636454713 +18841480882 +18880851287 +13926992307 +15162874137 +18805782866 +15835407507 +15806277903 +13993634469 +18578137004 +15111047503 +15146064213 +13605921438 +13688575174 +15811737684 +15851920467 +15192184299 +13660565871 +15851916519 +15133567622 +13900525523 +13612093421 +15193071931 +13900799794 +15127867294 +18537436675 +13961721441 +13617141443 +18580329955 +13946992591 +15158809230 +13678521400 +13953184509 +15833341507 +15871351823 +18839720758 +13906672770 +13900517673 +13619039273 +18855553970 +18889798506 +15838745177 +13687763175 +18539734522 +15873089851 +15818240393 +15189076568 +15875303326 +15129569814 +15836510955 +15811092080 +18802581455 +13960855389 +18531606143 +18572358478 +13669417837 +15852188376 +13943168346 +13658871399 +15860014132 +13925905349 +15865472973 +15145101291 +18856966082 +15868869617 +13912084988 +15863919448 +18894177771 +13902329561 +13911059035 +13917956728 +18524751050 +18815918479 +18571321469 +18850671236 +15116750421 +18810313710 +15850493726 +13946550226 +13682366850 +15853020774 +15872173910 +18845360754 +18856653453 +15800294266 +18850449483 +18563408357 +15812994836 +15102538494 +13949690731 +13676214284 +13663637504 +15118438133 +13937372052 +18563153004 +18804377103 +13600185285 +18855767819 +18552813250 +18524183658 +13949501242 +15818261962 +18812157897 +13625846664 +13918124176 +13669254112 +18570416414 +13916502848 +13947597175 +13672021226 +18862081610 +13906930873 +15121074791 +15811361574 +15172746406 +13671333666 +15816953095 +18569060073 +13966589133 +13613381560 +18523686153 +13685077298 +18518783625 +13969793561 +15159521882 +18873141746 +13631915581 +15151010571 +15172253157 +18515940092 +15110839857 +15166424328 +18800984180 +15806507879 +13641744865 +15847165557 +15105447047 +15107069281 +15100212615 +13989971949 +18852804040 +15835922082 +18517541689 +18884046265 +13607967122 +15875434073 +18875442255 +13962623332 +18579750307 +15151330226 +18845289434 +15141236129 +13994262559 +15891173688 +13662323169 +13951964357 +13675629742 +18579548681 +15199781502 +15148486473 +18833000632 +15841033684 +18508398725 +13659465961 +13991424799 +18845285915 +18515055007 +13924421234 +13648291251 +13953144977 +18557277750 +15872456145 +18568736649 +13919713331 +18572626408 +18868403968 +18877913703 +18880601682 +18851321131 +15866606696 +13983102924 +15112786233 +15880006131 +15193796504 +15897258953 +18557881084 +15815240255 +13948769657 +13674463202 +15144433751 +15140695833 +13640380337 +15185104118 +15125006044 +13620084919 +13680968695 +13664339154 +15878179916 +13606000988 +18862013649 +15163216223 +13627724867 +18588950355 +18521974653 +18888925303 +13638560788 +15815928813 +15804442858 +13936936901 +18807310690 +15890724487 +13949357093 +18523441809 +13941062004 +13983178267 +13913529229 +18804983991 +13693274117 +15106413686 +13622770015 +15856187474 +18860043500 +15806068666 +13923971171 +18807086583 +18886911873 +13608351231 +15141178377 +13659410904 +15866181999 +15179455732 +13933538753 +13985642197 +13609084360 +13663883068 +15155227736 +13678359730 +18859673446 +13655759453 +13630039713 +18834008514 +13674917664 +13605269166 +18538188935 +15171382839 +18523013277 +15803371590 +18841448413 +15858331984 +15806285394 +18807856112 +13673456043 +15869288592 +13618674927 +15894984244 +18845624711 +13944464478 +15113355652 +15811379461 +18858274206 +15894944158 +15851697571 +13971654441 +15826475044 +13670969428 +18872241726 +18549727410 +18591161005 +18871830114 +15138946842 +13971987600 +13652279126 +15878753548 +15853537897 +18800263717 +18547361057 +13985149242 +18590575374 +18841132198 +13601141318 +15897151838 +18812625775 +18800839769 +18580470849 +13634862737 +15884225800 +13958485830 +15844774888 +18594598816 +13958805585 +18892917776 +13982428774 +18527418728 +13624420116 +13955562304 +15818917170 +13638392687 +18872802596 +15197359009 +18583060271 +18525091645 +18524210446 +13697173705 +18814313748 +18817651072 +15892742890 +13949860040 +18531308428 +18818700986 +13602963783 +15149593819 +18824223245 +18566649914 +15193782654 +18810688061 +15858089814 +15144842130 +13641463144 +13936773288 +15800612239 +18593906227 +18569869630 +15196702721 +18551311206 +13977043289 +15116337216 +15870795316 +15199763585 +18576235279 +13902267600 +13916209090 +15175675466 +13692652396 +13664463948 +13605554030 +15117576606 +18840193493 +18523811053 +18891009014 +13974577614 +18546827547 +15808869960 +13689805937 +18859524329 +15834974227 +18870513133 +18528287411 +13979298151 +18573750991 +15829694902 +18559164999 +18572825541 +18530107527 +18553620948 +13900469513 +15179447841 +18829896040 +13678060397 +15870038064 +18530265227 +15846848919 +13624190227 +13982915021 +18867624054 +15818556639 +15893836346 +13917602218 +15187499481 +18874858064 +18559933037 +13618866366 +13987845153 +15142241349 +15884819872 +18598773496 +18892801749 +15183232563 +18840446063 +18835714784 +15820571748 +13920315555 +15887544636 +13922963614 +18574981208 +15839863746 +13652367799 +15180077948 +13970360853 +13936582391 +15841976753 +13911465575 +13900105745 +15876248105 +18591070864 +18506441346 +15142783041 +15157467832 +13629795178 +13606284545 +15114175907 +18880327253 +18597844348 +18838975527 +18832860587 +15184389380 +18899503421 +15806661802 +18527397436 +18595823001 +18509897703 +18814080267 +13647201908 +13667099253 +13933099963 +18845960302 +18833930964 +18546224862 +18822425372 +13939075505 +18530035405 +18829988674 +13692388061 +18586208609 +13625746146 +18865199765 +13977162046 +13667929095 +18502714567 +15830459013 +18856474299 +13675616811 +15875798075 +13915084388 +18890334614 +15883480383 +13688095869 +15831644195 +13942363073 +18577977364 +18538589037 +18585993677 +18558588931 +13906578024 +18825707463 +18554080821 +15105425444 +15172994096 +15800556551 +18832176131 +15140034973 +13949001197 +18557706073 +15875437626 +13648759863 +13634339957 +18806828523 +13993175532 +13900858100 +18544406306 +13990757050 +13934896896 +18850578512 +18804808612 +15154237229 +13622685238 +15843407116 +15886189221 +18845518807 +18866315018 +18811905651 +13661290395 +18829523513 +13649568883 +18509379037 +15122774193 +18875488339 +13604129276 +13661961717 +13994212314 +13632303197 +15116831818 +18588634743 +18555275094 +13925632308 +15863405071 +13968823439 +15146713188 +13699451321 +18870508874 +15133871925 +15857239050 +15890835606 +15190212023 +15865707919 +15849253018 +18563070467 +15104371502 +13624144150 +18857240232 +18542736656 +13933221667 +18892025802 +18599898982 +15119993116 +15195687234 +15110269830 +15829866453 +13906004412 +13997072766 +13649532330 +18840094172 +18880109642 +15870238641 +15844925410 +15107702183 +18804076343 +18540919388 +13983145846 +18519889002 +18547615536 +15866355742 +15827399644 +18844457130 +15801659809 +13918515797 +15840604529 +15189454399 +18879020459 +13949471316 +15855877981 +18889806634 +13602326673 +13616371319 +13631392798 +15161431284 +15181576084 +18504321408 +15124524218 +15885116450 +18855581127 +18824571649 +18507895346 +13632067555 +13669502008 +15143783806 +15148661638 +15866090088 +13904017275 +15153161571 +18850654810 +13922272885 +18871808344 +18509708078 +15155257874 +18861199678 +18851199168 +18845621954 +18805501430 +18812588087 +18599229504 +18526192876 +15160115307 +15829923701 +18835713559 +15890449378 +13641285632 +18808067056 +18828132959 +18873958072 +15192858099 +15851696585 +13699675717 +13610543277 +18599168391 +13669090575 +18502118560 +13681577973 +15154703423 +18844768368 +15862340658 +18824463705 +13687563408 +18576503388 +15823957914 +13642048381 +15801890596 +18810059044 +13977483804 +13602567148 +15898446293 +18554694910 +15849315534 +18597773670 +13697461584 +13644221780 +15826092264 +18590903939 +13622145141 +15886045138 +13924727876 +15872625228 +13637575917 +15177793090 +15134072016 +15162753201 +18816586137 +15894204999 +15105057470 +13904979284 +15105318359 +13638724790 +18564967087 +18842827032 +15841479470 +18809496920 +15818739920 +15839247849 +15179652137 +15115529529 +13982154933 +15153820224 +13623942463 +18573847539 +18571133034 +13967071280 +13970658511 +15145428940 +13909992596 +15156626089 +15156299792 +13902222461 +15191000276 +13930872234 +13649937120 +13962728772 +15121808318 +18558092545 +18820548385 +15871844491 +13959102625 +13945048818 +18559679185 +15166398184 +15198994578 +18884921450 +13662322820 +15118395244 +13675724896 +13615531781 +13977222715 +13631955578 +15882862457 +15123137298 +18881723243 +18883484631 +18524704705 +15171102473 +15154990312 +15185262237 +13949951582 +18833031421 +18555325866 +18524907238 +18537647701 +13908026394 +15119552322 +13673376030 +18568615842 +18597264518 +18531833356 +15859628495 +15170734513 +13909973943 +13959425674 +15872609086 +15142871482 +13655734370 +15881198292 +15116924407 +15843411387 +13687604334 +13904986552 +13663153338 +18510040880 +13630622524 +13686768050 +15180939199 +18885696624 +15106798023 +13652793339 +15851402446 +15889846389 +18845553280 +15124988580 +15143336358 +15823356689 +15839187316 +18855075521 +13661210354 +18591696006 +18886891173 +13992596099 +15878557079 +18524769967 +13604027465 +15191371668 +18525406155 +15106661211 +18579258731 +15118541490 +18869535897 +15808388008 +13975246683 +13937931555 +18504520045 +13920499562 +18596066133 +15188493631 +13685210594 +13946288092 +15860202146 +15106757812 +15836512854 +18831549589 +18565597622 +15877296557 +18851869341 +13611903420 +13635849163 +13682518190 +15122463830 +13600723015 +13647846527 +18575603627 +15871620256 +15835599098 +18862879122 +15896233765 +15148078842 +15844763562 +18842339537 +15142757793 +13996075999 +13652797981 +18587774899 +15839498483 +13633602747 +18817241113 +15880204593 +15198679544 +18818169616 +18514206608 +13624613208 +15160421657 +15128109242 +15102818328 +18533618994 +13928583569 +13619635400 +18502574389 +13698219366 +15167950338 +13603534268 +13992319654 +15106053411 +15167485497 +13995143779 +18807726829 +13940296738 +15874144346 +13664025407 +18556070210 +15877564014 +13982213843 +15120523140 +13661443927 +18538323802 +13623509046 +15856447769 +18828636795 +18831972305 +13601255961 +18528892304 +13620729603 +13950571319 +13983931181 +13692866441 +15185851220 +18597304967 +13681262806 +15150496530 +13607343668 +15893379244 +18879683831 +18837822585 +18862711992 +15876809394 +18833446933 +18827647724 +15130652193 +15194190898 +13949816662 +15144165645 +13616123002 +15177008846 +15173267657 +13641819372 +18823868786 +18880814770 +18860712489 +15108511676 +15156151859 +15801033789 +18587550417 +18522205343 +13916733707 +15179879537 +18889622532 +18892408564 +13670409868 +15142398908 +13918840488 +13945439911 +18500744047 +18889770976 +18567616348 +13635941695 +15858648777 +13692825857 +13907518757 +15857045676 +13956559773 +18579040827 +15883343081 +15167031401 +13962131211 +18565090655 +15814939707 +15845935348 +13676066019 +18577624847 +15889592234 +13667015298 +15828252543 +15175594858 +18593663155 +18532804663 +18838351097 +15175523099 +13902120617 +13919277822 +13914710569 +13966111612 +15896236145 +13942670127 +13651676144 +18828635633 +18842241986 +18818687063 +13953118607 +13682617234 +18577872639 +15154835064 +18847480056 +13657243786 +15171662550 +13901123331 +15816952700 +13906051574 +15158762681 +13926943614 +15113389337 +13636035267 +13981394823 +18569196622 +18873749747 +18800071869 +13638690427 +13986472096 +18895534248 +15130869888 +13983031676 +15808067840 +18834145452 +13989761001 +18583435077 +15143837837 +13919613961 +15827339630 +18517372868 +13657495394 +15841937842 +13673209958 +15182598246 +18816732132 +15826202206 +18548200303 +15803642696 +18815940969 +18802088802 +18819637006 +18878867342 +13914443036 +18582575834 +18577910556 +15116866122 +15138230428 +18897335315 +18842317034 +18532349244 +13902879514 +13650548944 +13624051164 +13986563736 +15814324708 +18880598795 +15151712393 +15178795696 +15195129844 +18867325480 +18814407652 +13624029296 +18826155992 +15897640105 +18504003098 +15121473687 +13641041608 +13975864358 +15889996921 +13612224396 +18524886535 +13631049015 +15109298313 +18506635032 +18510752676 +18526503456 +15836100549 +13651355744 +18527639648 +15106936680 +15141212558 +15146808363 +13928079693 +18593985955 +13991795210 +15140629919 +18858573048 +13914274579 +13927455314 +13633250054 +13903053745 +13652090268 +15107873443 +13911172733 +13994988768 +13984122932 +15891792826 +13993357133 +18826312709 +15170493943 +18549408601 +18831205308 +13667145043 +18851265983 +18801856401 +13641735463 +13672926742 +13991570930 +13971721714 +18801580301 +15813263875 +15816439264 +15845671346 +13627247703 +13976894710 +18860783457 +13961211023 +15139912798 +13685139794 +13618497768 +18587505121 +13908213649 +18525319730 +13680800736 +15157528762 +18893694089 +18891524998 +18519729961 +18520479164 +15800536106 +15155118876 +13928809024 +18525944685 +13972218368 +13609375928 +15871996511 +13925832934 +18848736090 +13613590441 +13677627952 +18838612227 +13975663015 +18858919601 +15194175365 +13914947021 +13618878047 +15869484438 +18841078710 +15879798135 +13606063339 +18830935448 +18541349998 +18809630728 +18578775757 +15883611054 +13966588077 +18592667322 +15127830808 +15132009452 +15864903297 +15886283241 +18886254262 +15121111033 +15140279213 +18533506421 +15823426251 +15169933490 +18855495011 +15153250143 +15802461033 +13653633960 +13922799171 +18504717625 +15869082218 +18560195072 +13609915611 +18508137071 +18849450567 +15175623928 +13676561376 +13934907437 +18512918123 +18570617502 +18830620498 +18547841170 +13911751581 +15148702901 +18580418126 +18853915490 +13970653748 +13930713179 +13964304623 +13924383859 +18597640236 +13649854055 +13916263332 +18505435848 +13993021991 +18592358857 +13638783755 +18594352576 +15854304491 +18522303561 +15859456015 +15858202472 +13672393158 +18509143471 +13948597487 +18805118543 +18835973444 +13947251715 +13620657411 +13938469905 +15141066405 +13989486906 +15179978176 +18574781828 +18834318971 +18549759912 +15888999936 +13974087590 +13991075489 +15850728744 +15100416594 +13603323062 +13677879356 +18858551113 +15115698523 +15817244664 +15824265655 +18559497304 +13982841372 +15114332734 +13970080000 +13905234840 +13682102728 +15868354304 +15192123583 +15181457263 +18524974818 +13615511462 +13617278475 +13638550248 +13927152490 +15812440332 +13924406546 +15874411841 +13620053456 +18527779641 +15183987222 +13623701044 +15134340966 +13676982359 +18868040644 +18868445281 +18558628544 +15152049421 +15874403389 +13694427848 +13948388463 +15827191148 +18810940442 +15132169447 +18835422953 +15168545867 +18535270491 +13931849354 +18577259040 +13648521089 +18807243365 +13681081679 +13673368618 +18820090560 +15157393624 +15101412111 +13961762623 +13618663757 +13903395296 +13674992585 +15148122576 +13924137486 +13622439474 +15195098169 +18531333635 +15840760018 +15821534334 +13950027635 +15137630344 +18819571631 +18508812414 +15180993629 +15158438412 +13948053341 +18558018926 +13610549726 +15813222698 +15825400102 +15837683420 +15884515799 +13982961092 +15859416615 +15855815859 +15156921979 +18510173605 +13942931951 +18594680972 +13606344586 +15166228397 +18800549600 +15898394667 +18508908430 +15151878933 +13931213923 +13912389008 +13937583744 +18823148426 +18859927561 +15171358236 +13901461605 +13688320420 +13653350010 +18569071332 +13621534326 +18876945903 +18868842065 +18512492844 +15185782995 +13993215536 +15863639438 +15862400864 +15859550997 +13613645567 +15804537123 +13936795980 +18532938582 +18879270257 +15826741708 +13697556716 +15170853065 +18543902716 +13686354454 +15831116961 +18538082358 +13612012358 +18868347883 +13941300269 +18842145772 +18840128026 +13929916739 +18894428800 +15156506454 +13696734313 +13965693642 +13950632945 +15197387925 +15108737012 +15192339986 +13915334500 +18841313597 +18830363027 +15836736106 +13979842900 +13965466947 +18526032059 +15101565316 +13676632924 +13947674399 +15178213005 +15840453379 +18831833182 +13999063093 +15138397176 +13655404168 +15827902399 +15195894319 +18896814380 +15862242284 +13970815332 +15844623528 +15833753839 +13993098523 +18882007368 +13979558968 +18864840534 +13946706157 +18861676063 +13917978260 +18597153266 +18556840366 +13998801416 +13910265611 +13621116434 +15138264089 +15872854374 +13626244246 +15143998458 +18577590208 +18599996485 +15180112492 +15160944220 +18579231948 +13646626254 +18527870221 +13901722635 +18837165828 +13940564361 +18524705552 +13964445131 +18865212245 +15881793615 +18850346982 +15804310970 +13607562600 +18830519433 +18573495709 +13696030198 +13936557748 +13998793643 +18875292369 +15165808597 +13689044943 +18520530051 +15108170002 +13631722315 +13926067760 +13699791647 +18815197728 +15134874622 +13990920679 +13643119107 +13939909075 +18846179185 +15864951664 +18872450153 +15111393742 +15172416489 +18542339048 +15160533590 +13663014364 +13968482529 +15818880066 +15811152219 +18596009576 +13985444785 +13681071956 +18537920179 +13937538490 +18523919480 +18818065081 +15123674730 +18576963480 +18595654515 +18845286455 +15826571177 +15802035405 +15106228423 +18518705860 +15873424672 +15178202021 +18888683841 +13998417277 +18895044457 +15879812576 +15120120342 +13991122202 +13681600403 +15824788548 +13630472112 +15822426240 +13638808261 +13694142661 +18873808534 +13684647172 +18800195070 +15883904883 +18854417094 +13994866015 +15164537729 +13650881312 +15192491319 +15145237074 +15126620245 +18819818279 +13692303495 +15152389940 +18525357710 +15801262694 +18578543217 +13622596068 +15153525377 +18570239723 +13976203758 +18531676284 +15190524150 +13908551415 +18508818479 +18578981884 +15870819436 +13955627397 +15181849348 +13992955604 +13637467946 +13974639939 +13972120789 +15880166355 +15147647674 +15800316444 +15892012930 +18843818589 +15182115986 +18871072721 +15826895182 +13611743635 +15827000101 +13697153216 +18877510371 +13629861533 +18513141173 +18566337727 +18549592599 +15881379117 +18532429588 +18882872172 +13670981378 +13972194337 +15805863006 +13649879657 +15873185283 +15106524413 +15825261391 +15182217076 +18857603225 +13958167634 +15177898918 +15898653302 +18564500401 +18862291273 +15802021370 +13652809788 +18526857946 +18540501079 +15196618757 +18818861023 +18894029136 +15872734025 +15823981770 +18593176450 +18588133193 +18597275630 +13917043066 +15159652432 +18896815367 +13995261564 +15180373966 +18554460437 +13667741570 +18574192134 +18506683720 +13961459553 +13970559818 +13903566245 +13957399220 +18510787733 +15800521278 +15892390616 +15134715785 +18526326270 +18832602065 +13666660028 +13962077637 +18560324789 +15818886953 +13682025930 +13663725813 +13662103644 +18885549664 +13678557833 +15850988453 +15826161295 +15871886661 +15168977239 +13682716231 +18594904061 +13910759435 +15136493237 +18554007081 +13633383023 +15823622189 +18841206701 +15898952046 +15852069766 +13699283152 +13962384648 +13678161911 +13680368840 +13919458035 +18834522513 +15884530270 +18839808433 +18824780670 +15161324461 +18530128945 +18898404877 +13955695789 +18850020042 +15176824388 +15121826344 +18844872199 +18522622779 +13654278784 +18568350396 +15139812539 +13905396237 +13666282462 +13940263149 +18884260632 +15107487082 +13687972663 +18807915578 +15122570399 +15836362407 +15169204114 +18503326428 +15173244159 +15809753782 +13909061173 +15149729582 +13940631482 +15834382102 +18863110746 +18812470992 +15171657829 +18823038736 +18833110172 +13661073086 +13976966839 +13615082213 +13945502919 +15187397812 +15192773649 +15121743669 +15191390190 +18534235792 +18814655735 +18890651953 +15192009485 +18801908724 +13616947421 +13674709397 +13915410392 +18502807918 +18833754928 +18573437747 +15121907875 +13683987590 +18551340009 +18529201356 +18551033726 +13691394224 +15807525583 +13679852504 +13988016855 +15871321343 +18566795663 +18559816327 +18516417524 +13909942977 +15842498216 +15824134745 +15148677345 +13949239459 +15828204310 +15143137159 +15887583632 +18896667243 +18592652928 +18577773392 +18530705135 +15158266962 +15102513618 +15880087354 +18886543473 +13902477968 +18844779550 +13682773195 +13931293174 +18815523071 +13636917633 +18527003571 +15864046456 +15872854674 +18566714722 +15146095180 +15805303153 +18867531604 +18507319716 +15851554867 +15803471991 +13907423485 +13999374539 +15866247316 +15178531955 +13969686647 +15185560253 +15155456118 +15111876451 +15822015968 +18801921243 +15190329879 +13642452760 +13688976689 +18839454651 +15170342233 +15815709999 +15172309109 +13653228120 +15889517173 +13662968865 +13912838775 +18587029878 +15885293458 +13963915848 +18582970289 +13681773539 +13999074676 +15167555237 +15878210052 +18843309252 +15114736211 +15804549108 +18580145340 +15819117555 +13995510748 +18537457343 +15191743150 +18553286088 +15154722277 +13668407091 +15102417258 +13624981037 +13940977487 +13612699989 +15174708104 +18880797641 +15129457013 +18897055850 +18511756797 +13648051346 +13649960275 +13617741747 +15869568650 +18821955394 +18564059107 +13636999252 +18595433525 +13634011309 +15855279043 +15185054669 +13696033903 +13936210545 +15826879521 +13695240490 +13960797452 +15128195981 +13657635161 +15840336895 +18838180406 +18506347082 +15198753395 +18582689813 +15100836666 +15147529743 +18816590929 +15106187619 +13672768023 +15862207079 +13677052850 +15160866770 +18887300829 +18583233338 +15108995133 +18829452450 +15178524885 +13695078420 +18820871723 +15876671765 +15873604251 +15185900731 +13913518885 +18573377163 +13614180268 +13901081544 +18552178503 +13948671899 +18508188400 +15848671620 +18526296043 +13944550468 +18539267903 +15156620571 +13621756618 +18814532090 +15122978467 +15128514486 +18560833409 +15172469202 +15815083095 +15150923967 +13925428248 +13964325349 +13657985504 +18561434499 +15843949516 +15866731193 +13601865145 +18581392325 +13669756057 +13961505771 +15886439022 +13938576185 +13656952435 +15843552897 +18547525187 +15873546824 +15807670081 +18525881317 +13602474608 +13990926914 +18841223970 +13645323439 +15108326799 +15136764902 +15875037764 +15129911757 +18837615538 +15153974649 +15853997382 +15810391326 +15888286900 +15176086825 +15153128461 +15131578672 +18572325180 +13647935461 +15140542536 +15896821350 +18897327744 +15800658890 +13648168973 +13931394422 +15801167424 +18565422821 +13612485171 +13957481560 +18501116597 +15825321356 +18839072829 +15190433036 +13969528473 +13968721862 +18518378673 +18508090414 +13668828269 +15143338548 +13663613007 +18830849805 +18879283515 +18595734665 +15886981651 +13961079670 +18843219157 +18524870495 +18553925484 +13640269273 +18885911015 +13999544792 +15140896308 +18504468779 +15164436089 +15897127219 +13622650291 +18522740905 +18814120119 +18558493769 +15812692377 +15878057591 +18564946607 +15893020672 +18824937998 +15877014213 +18533405037 +15164055482 +13906554954 +15178955926 +13941880164 +15112379507 +15808600486 +13964297206 +18871245251 +18821818613 +18893328847 +18539259766 +15820148051 +15854841639 +13693788527 +13900080314 +15867536521 +15834318903 +18532412089 +18879113722 +13907391817 +13912910113 +18511561408 +13613111485 +15865317563 +18838936574 +15810520211 +18859602546 +13654246154 +13611057327 +13922002655 +15875460423 +18558810688 +13621685388 +18565094048 +13968999188 +13925878686 +18501808803 +18584133381 +13966881773 +13922889926 +13690012523 +13966759546 +15852726971 +18530230609 +18520801891 +15848436881 +18811197397 +13637235545 +15156844433 +18898839589 +13624754798 +15189106905 +15147402421 +15180635159 +15835916182 +18803520966 +13956654483 +13696992116 +13906583262 +13944727372 +13643455662 +15811182518 +13939531137 +18540371539 +18884191205 +13658527643 +13616746414 +18869982240 +18531338133 +15893984939 +18560749460 +13650883504 +15811448298 +18842414212 +18878070960 +18859755627 +15168133359 +18849386116 +18562916846 +18550545350 +18543664279 +18845708069 +18832684048 +13677814122 +18859753496 +13615595010 +18511564514 +18875429921 +15127716806 +13637372419 +13689029588 +18578412723 +18548111610 +15151932492 +18522609851 +13613192106 +18591356818 +13611967268 +13636214570 +18871696036 +15862306362 +18879021580 +18800716590 +18862030837 +13614731170 +15896381563 +18876942187 +13958525991 +15860594301 +13982272311 +15816446662 +18851983871 +18556966885 +13995510643 +18515847365 +18802057355 +18872462441 +15189019134 +13624134244 +13653858659 +18581270939 +13978091737 +15854273539 +13979154391 +13670804350 +13609315194 +15809898258 +13641895828 +13982073376 +13943473042 +13626784367 +18571803443 +18515947731 +13905588501 +13948477595 +18827516418 +18819226282 +13972926655 +15830419537 +15196261343 +18562010293 +13984643597 +18863261467 +13618708254 +15851453666 +15810644723 +15867481629 +13919562668 +13969811365 +18842934417 +18589338245 +18808253446 +13676607917 +13947494785 +15139120707 +13604417943 +18862719236 +15871410126 +18881849487 +18803408116 +18860914850 +13619549724 +15151506381 +18867102394 +15845276374 +18847608369 +15175179982 +18543115165 +18817069641 +15881047204 +13693490685 +18815749235 +15837399228 +15886121672 +13939273663 +15183270199 +18588429174 +15155715305 +15152469957 +18504780918 +18564139961 +18832029406 +13637997882 +13616355206 +15861095265 +18519852149 +13629830473 +15153297387 +13999140152 +13934997999 +15173559341 +15822635403 +15194682437 +18589783890 +18815817135 +13655947577 +15116548661 +13951058462 +15892538579 +18515100413 +18837633325 +15856202785 +18540062847 +13670402483 +13610616516 +18500715980 +18572841458 +15118805862 +15883512362 +15891714999 +13618587102 +13982695606 +13609704245 +13604512612 +13693433877 +13633008459 +15117770346 +13677929635 +15874088572 +13934597263 +15863259948 +15184905073 +18829343696 +15811946850 +15819770441 +13675378504 +13970126312 +13966590883 +13922883485 +18534743108 +15145588379 +13995694381 +15872606034 +13672725820 +18554442528 +13906096342 +15128316625 +18558401487 +18855569007 +15106041752 +13913301752 +13925263971 +15118614773 +15113953591 +18827466137 +15841319908 +15159274056 +13935955601 +13932624659 +13604540045 +13960035348 +15861214794 +15111710932 +13977738241 +18803446284 +15878065348 +15135752896 +13681496455 +13640982736 +18893123194 +18573471988 +13986824538 +18558835885 +15821258233 +18509593224 +15843256729 +13696349369 +13942425468 +15862422149 +13975879385 +13955012127 +15844117921 +18564663984 +15103715493 +18553676297 +13964212672 +15141357754 +18570969311 +13605098834 +18576113121 +15829121448 +15106488373 +15197153621 +13624231157 +18847426473 +13664559124 +18818988361 +15878172947 +13601466876 +15163150839 +18555982649 +15850656593 +13650856110 +15199610685 +15884306389 +13929037583 +18502182093 +18842789996 +15164313441 +13959743971 +15164176427 +18833028444 +13637620657 +18827157042 +13919187118 +18532777358 +13632146006 +15843094253 +15842284316 +18842027948 +18592096156 +15122476008 +15802306307 +18836807714 +18505657231 +15150863436 +18858836157 +13636015814 +13913118931 +15810471587 +13931487856 +15801618754 +18823251828 +18573398094 +18804602320 +18831423693 +13969988249 +15119365311 +13930370476 +18836857272 +15138181117 +15190063238 +13669219833 +15812543885 +18530807020 +18553609268 +13664917703 +15820676258 +15111124053 +13915315028 +15132681971 +18582815013 +15149696860 +15160836967 +13651897298 +15890104745 +15822676674 +13602843389 +18861780615 +13669912687 +15158031268 +13955049035 +15155272747 +15150963078 +15148324948 +13976657293 +15102541403 +13930411766 +13690166952 +18573355829 +13926551544 +15804879499 +13633200413 +18522060312 +13928218758 +13658939255 +15139995252 +13974816106 +15169913928 +18820275210 +18849712587 +13619333744 +15834606675 +15134246285 +15890471285 +18876686645 +13661886234 +15800570645 +18834561557 +18805967117 +18849828923 +18880159074 +13617404529 +13903790328 +18819150351 +13647816733 +15156625272 +18843203545 +15849981995 +13909073183 +15898306532 +18891160647 +15158418534 +18564171461 +15157790247 +13960765143 +13920224896 +13971276395 +18507546021 +18590783981 +18857510277 +13611292788 +13930998746 +15821862220 +15159468480 +15154168446 +15870598648 +15125966262 +13965380096 +18844583314 +13914523202 +15846324338 +18559774328 +13672281856 +15821738790 +18562832174 +13694249387 +18809683743 +13649142887 +18508069561 +13639221455 +18874764052 +13607650691 +18828138685 +18521531522 +18885492113 +18519891176 +18801573745 +13958291837 +13602115546 +18895010437 +15159289194 +13926137505 +13647534031 +13672656733 +18870698038 +15813552474 +15816026810 +13684516507 +15102191313 +15890174351 +13650956135 +18823386628 +15805009613 +15161381095 +15182167723 +15857867677 +18832280019 +15847874781 +15160522118 +13938194345 +15101096615 +18513655921 +13601455507 +15885183310 +15120885190 +13654185581 +15135242681 +18866903300 +18889770204 +18843603766 +15846122944 +18883790620 +18828184225 +18886624785 +18838932343 +15180861399 +13987102109 +15180997081 +15110618268 +15857084567 +18818205393 +18807268102 +18580214053 +15882917265 +13925033639 +15144835383 +18846391891 +15120014547 +18844590122 +18842023379 +13608801403 +15853003037 +13975455314 +15152745772 +13603915243 +13996445161 +18898714932 +18501498771 +15109664107 +15800540110 +13679363158 +18539036786 +13642008232 +13969628785 +13692351896 +18548727174 +15184792112 +18519127586 +15189238185 +18832276300 +18891709492 +18598559688 +15140539177 +13633723446 +18530269426 +15155653594 +15130061966 +15102755937 +18582400783 +13627700757 +15855070152 +18590759356 +18580140671 +18896505969 +15194690632 +13917677215 +13604692747 +18555920099 +15890295510 +18862585096 +18503023342 +13601039656 +13998742857 +13907067175 +15123104382 +18821869942 +13676436717 +15106518566 +13988230374 +18852611630 +18846683839 +18867196083 +15189228945 +18556910534 +13949141748 +18892015567 +18578886051 +18835213538 +15861611247 +18855653712 +18532809754 +18518888836 +18878534320 +13697312299 +13608058712 +15852911239 +15165452014 +13652745843 +18857332532 +18814329370 +15855170054 +13629257175 +18523688940 +13614898083 +18508757137 +15822521610 +13987883377 +18549606894 +13673449604 +13648468040 +15823885006 +13995949443 +15841961158 +18517295125 +18507380369 +15817324909 +18862978302 +15823530642 +13957253332 +15813733470 +15859040265 +15102412531 +15152081402 +18587203142 +13694929823 +18555678624 +13938015216 +13685749989 +13651690300 +15144456752 +18806150241 +15818753295 +18858738677 +15879430317 +13982760468 +18888693054 +15891787825 +15159480716 +13613458780 +13654848680 +15877589007 +13688238107 +13934210519 +13909081218 +18500313673 +13947911725 +15142357774 +18519266874 +13971134342 +15165448653 +13954105561 +13612583898 +15893358789 +15143017673 +13958390977 +18564812846 +18544402366 +18578403928 +18523466312 +15196321410 +13669749104 +15155389113 +18562407619 +15871116982 +13663719662 +15181136461 +13676905170 +18899180703 +13656401798 +13934599847 +13680636356 +15878849242 +18534440132 +13939449529 +13608457934 +13658531706 +13660149774 +13972787593 +13966561399 +18535467420 +13962645374 +18863751499 +13938678321 +13666352119 +18859754600 +13614953304 +15154407122 +18550015823 +13698471727 +13906433427 +15169617033 +13621064248 +18567797460 +13613765317 +15889594295 +18809735757 +13989069944 +18891311160 +15858892697 +15834626757 +18841779873 +18545331293 +13616204288 +13601264684 +15110706790 +15855214887 +18514116289 +15827349185 +15180865024 +15188883175 +18569481843 +15841965377 +13681311692 +18537276222 +18523687414 +13900775877 +15143790504 +15158941791 +18552775993 +13931757843 +18520882091 +13662198335 +18504382291 +15861311412 +18836648068 +13901667107 +18554756146 +15190001132 +13976692706 +18564008477 +18845203607 +13630028905 +15172479630 +18862165488 +18551114886 +13939035690 +13635871248 +15135178294 +18526858578 +15858781883 +13601703451 +13670489401 +13633133395 +15802143188 +18541259625 +13696688145 +18576976334 +15104586921 +15175068879 +18851171912 +15835893524 +18541690640 +15103601848 +18861722302 +13690622810 +15182211646 +18822023379 +13932363175 +13902883353 +13982365346 +18864034882 +18532348219 +13962719093 +18809058885 +18594172334 +15802699611 +15174857494 +15140683142 +18816552273 +18861449254 +18598855152 +18893032077 +15138922863 +18521840131 +15169731013 +18859636408 +15117708133 +18521821759 +15814297710 +18507338463 +15823011060 +13636635968 +15193672332 +18833407611 +18808045903 +13970755650 +18883179878 +13620173981 +13684887580 +18830350813 +13915826081 +15866804093 +15182676915 +18555090472 +13961418550 +18821564331 +13606969109 +15191359820 +15810555106 +18568396820 +18534296575 +13658277974 +13660046138 +18876663473 +18856582687 +18831778173 +18537644824 +18870285707 +15844040842 +18836769045 +18528033950 +18554671804 +18813006444 +15153424175 +13902678399 +18507724674 +15841019773 +18864524000 +13984292253 +18889865236 +18833465232 +18889827971 +18813246669 +13687282855 +13650488176 +18877885060 +15840382196 +15183807573 +13952910243 +18832850698 +18549182589 +18533533584 +18555519766 +13699526602 +18549953352 +15131737979 +13985012004 +18809934715 +15802946532 +18581615356 +18800622962 +13968885339 +13633970196 +18880486498 +15150144761 +18595439393 +18525066493 +15110638491 +15146308124 +18584302824 +15111043609 +15139583856 +15861178621 +18547348378 +15119940987 +18501392173 +18884691359 +15131668400 +18824532370 +18824342080 +13928082441 +15139168642 +13633830118 +18884676698 +15102075549 +18551987559 +18562556585 +15172549435 +18841486533 +15891406288 +18596410513 +18803641176 +15800873705 +18556409993 +15859857973 +15186184011 +13937108454 +18878399850 +18555285874 +13968818504 +13652013718 +13637804014 +13655347767 +15188650329 +15880081342 +15190322753 +18571159337 +18821873367 +15861150778 +18560963279 +15817222967 +18889043747 +13679637078 +13945041690 +13959395776 +13612112313 +15198506202 +15841505236 +15185753249 +15190636118 +13698518531 +13903784820 +18553084657 +13691535607 +13955730110 +13999453461 +18523709840 +18843506729 +15106796700 +13689144907 +13921270132 +18856446208 +18530715830 +18504009390 +13699023500 +13913736448 +13992753547 +13986900337 +18862139583 +18561922158 +18861960649 +13611850850 +15852569961 +15880352095 +15182921373 +15120632583 +13917207667 +13974560303 +13693711255 +15159802259 +18875185306 +18826987818 +15801057139 +18808866437 +18568396385 +15837208217 +15197806103 +13646156328 +18503564891 +15126849993 +13644056077 +18595024764 +13680680765 +15188292700 +18522962048 +18839889033 +13671342873 +15151032604 +18845815611 +15863495617 +13611968931 +15193099932 +15100043563 +18800613114 +13915456514 +18895717121 +13943832274 +13650712402 +13942829216 +15115609098 +13621685183 +13643265194 +13642968274 +18879682239 +13650563214 +13638949734 +15821006986 +18516366156 +18507699398 +18876819033 +13650293546 +18585244145 +18847256910 +13937747450 +15122703637 +18813737772 +13641079739 +18535654863 +18849890713 +13673420643 +18899590579 +18881668986 +13974783598 +13698626150 +18536764839 +13931630955 +15863222577 +13651107267 +15863754099 +13667048662 +15800395108 +13988800152 +15159524106 +18502299328 +18564272509 +15126873982 +18546353558 +18841395859 +13663217455 +13663461262 +13610097818 +18582024798 +13693187055 +13603008675 +15185276850 +15863889061 +15872659360 +15840486099 +13978502923 +18854447993 +18857218359 +18803176232 +13672561028 +15123550018 +15856003183 +18553630241 +13653869014 +18803548281 +18581251142 +15182289533 +13674624434 +13985835985 +18847939909 +15153587562 +18897688568 +13933495236 +18839571508 +13913172465 +18891859479 +18525600277 +18597313246 +13910598258 +13944191217 +15866372867 +15819919162 +15116522990 +15834433223 +13984367522 +18576741179 +13944342112 +18502169476 +15888649634 +18574480899 +13690755311 +15857877784 +18583703469 +13960904058 +13665081034 +15853201859 +18824600865 +15877698038 +13640290548 +13963141567 +13626544303 +13615461055 +18825624327 +13933700729 +18505863522 +13640852161 +18588288970 +15176388494 +18503888518 +15161333323 +18839444961 +13985879077 +15885619532 +13673877296 +18868301290 +15898000644 +18855138320 +18540099614 +18801648486 +15129802333 +15147390760 +18875101403 +13644942761 +13683041205 +18562000889 +15172123499 +15162621003 +13691527264 +15824565139 +18815511345 +15847481490 +15106271629 +15199539547 +15893041453 +15866596818 +13671502909 +15120299991 +15824947405 +13636956838 +18890072186 +18899009332 +15834072124 +18517326684 +13903460785 +15806885845 +18505431086 +15189484571 +13984772738 +15837709237 +18573243393 +18844484901 +18551978933 +18811734349 +15839252521 +13984841003 +15840044313 +15884485164 +15848793430 +15100241950 +18863183355 +18851116527 +13957158007 +15839869425 +15159151045 +15193187961 +13666818010 +15168488259 +18512694986 +18805050129 +18870577174 +13636384825 +15812372807 +13992774811 +15145270595 +18820812137 +13693645853 +15111710717 +18522022212 +15833796649 +15808301553 +15813754730 +18820591288 +13995517480 +13680812758 +13686315797 +13967510792 +15195426630 +13960069976 +15825026189 +15196080570 +15802845608 +18557978609 +13978208164 +18512039445 +15175538599 +18587100319 +15819526747 +15165096528 +18858953314 +18516054768 +18588611355 +15842315259 +15876511934 +18833961790 +15892044231 +18505308863 +13642671965 +15139902645 +15862733186 +13625036804 +15114708826 +15107358757 +13950177828 +15166076597 +15120946549 +18824345164 +13959963938 +13998092976 +15851650448 +13932972349 +13664691203 +13652059179 +15895266054 +13932939524 +18828352681 +18870945382 +13980795496 +13976150198 +13635997563 +18582169574 +18878342691 +13633410208 +15810661551 +18889270767 +18548248385 +15821573876 +15166040976 +15828262877 +13613446669 +13637619761 +18558015556 +18543020668 +18818005568 +18811795429 +15154165147 +15835631425 +13629001210 +15130271212 +13694016342 +15800014049 +15862241935 +13988726741 +15114990918 +15861857045 +13682459548 +18532239179 +18815262751 +18576111620 +15146195085 +13901732222 +18539446940 +18876420573 +15831532812 +15864836416 +13658250144 +15113508628 +13628455412 +15866794272 +15118167393 +13693473779 +15840520175 +15886239669 +18512919119 +15163138146 +13962840278 +13923971924 +15872225639 +18832387340 +18876333004 +15816749984 +18508257598 +18811917864 +15808163184 +18853906499 +13998174093 +15836249384 +15857911985 +15170124423 +18828844300 +13968406097 +18820643017 +15816739267 +18837207515 +18856022010 +18565087490 +15108576559 +15122999019 +15892468923 +13626602270 +18594176517 +13666006773 +15836536628 +13656166592 +13911551220 +13998242798 +15148227075 +13914514133 +18886993731 +13616273563 +15159525893 +18573826360 +13947346575 +18894163131 +18861039923 +15816821649 +13988627339 +18548662377 +15892481735 +15891829121 +13949103127 +18827020171 +15860674619 +15188723211 +15817160291 +18837225639 +13608620858 +13672734266 +18543073148 +18818884748 +13981995083 +13687240614 +15843871390 +15815230248 +13981387142 +18838848648 +13913337275 +15129520763 +18508739040 +15117239517 +18516960430 +18504203366 +18575328437 +13945312191 +18518245490 +18512409058 +18590828774 +15103771208 +18849258728 +18824880710 +13912151500 +15838864219 +13952176952 +15151570068 +13686171096 +15163995466 +18866521338 +15168037973 +13954800953 +13668587615 +15121254770 +18528821194 +18513354868 +15193158902 +13955946760 +18864286712 +13663932938 +18870497408 +15814031282 +18538376447 +15867952603 +15130754561 +13900259474 +13643988537 +15188168818 +18817490248 +18580631584 +13946351610 +18833715271 +13661908269 +18529434540 +13672711670 +13652157279 +15807755399 +15894512478 +13652609192 +13992221285 +18874441469 +15822352737 +15149242955 +15870603813 +18530218125 +13675549095 +18596904752 +13681177109 +18502442120 +15891486146 +13996399710 +15880610203 +18597090554 +13630138915 +18573153170 +15182621820 +18531400853 +13932362926 +18808358867 +15190723376 +15143529020 +13997027094 +18550365933 +15161591530 +15187305235 +18834382136 +15175019445 +15115647730 +13672801507 +15164575799 +13969235873 +13649781414 +18813407687 +15197490325 +18896717777 +15115600519 +18818179086 +18520473168 +13641852924 +15111360943 +13688817767 +13906332304 +13990691409 +18837624900 +15177450317 +15881845541 +13627390656 +15123238703 +13623111287 +18829997725 +15108950475 +18517960522 +15874708214 +15843302831 +15179136523 +13691643378 +13613418020 +13955981054 +18548309267 +13983450308 +15806167373 +15821901097 +18511333174 +13951244748 +13628184739 +13908354815 +18893739510 +15184745369 +13982055728 +15128009690 +15143362867 +18880114849 +18868698213 +15150740365 +15191564313 +15118342680 +13925440805 +13979597993 +13969880849 +15158094067 +13993931897 +18803202998 +13934746373 +13940230742 +13654112578 +15138918980 +18564063340 +15157340444 +18572603334 +13975949894 +15845942606 +18546255668 +13654621701 +15125984698 +15188099025 +13928919193 +13982860186 +15184325796 +13979851749 +18501796102 +18844217533 +13965126468 +18883968978 +15169075626 +13688464310 +13982203244 +15832605199 +18575940585 +18584549985 +13984644860 +13962396810 +18893901074 +13667898013 +13954567657 +18842103603 +13682972983 +13983841014 +18827421689 +13996527428 +13657713313 +13677797252 +15863673305 +13969311072 +15857676998 +18852007986 +18518059739 +18806942516 +18552976482 +18519586186 +15834275115 +13691678554 +13650041170 +15122533535 +15842230901 +13940620526 +13640652475 +13983770337 +18546112320 +18818160290 +18535674665 +18849489709 +18815012795 +15151974649 +15168060188 +15161986507 +15196421309 +18879514674 +13645874113 +18899429354 +18523958357 +13639886395 +13671395551 +18518968917 +13925573525 +15104933164 +13645086181 +18817230858 +18580836700 +15881321830 +13950093398 +15183708064 +15804245029 +18510756570 +13687985789 +18522121350 +15851264183 +15169000037 +13921161824 +15173868487 +13603041654 +13972139054 +13628840842 +15157618565 +18586499868 +18819744470 +15835927753 +18806420155 +13656997670 +15129995123 +13692980250 +15164297770 +15164601961 +13916751889 +15815457688 +13618534277 +13659591191 +15135090523 +15856015537 +13633308531 +13912929705 +18879888298 +18849750124 +18582174056 +18880416486 +13971197126 +15153384603 +13910670968 +15809416711 +13684160218 +15839145616 +13640331229 +13640524622 +13912040332 +13636572174 +18888646631 +18844792319 +15185194654 +18529562400 +13920248273 +13975891856 +18854073556 +15142979770 +13676532668 +13606691139 +15146434182 +13971578930 +13909072963 +13938368372 +13696704592 +13906419314 +18838792784 +13950542074 +15842285298 +18815870574 +13625271220 +18824303351 +15110437875 +18864656128 +15895222741 +13691753565 +13926412317 +15875786385 +13628374222 +18584561591 +15114964238 +15881792055 +13969914752 +18872798205 +13903726479 +18868611863 +15187926852 +18878486962 +13663379561 +15146891160 +13922749601 +15872911431 +13657469050 +13644161294 +13948967584 +13982931868 +15139745616 +15831755844 +15179826242 +13615865945 +13666166678 +13686083568 +18814464223 +18553492533 +13642507624 +18849193494 +13617220242 +15800430184 +13660323663 +13965872192 +15185382290 +15866040099 +15179997485 +18802719231 +15884893122 +18857519508 +15100943301 +15127346158 +13957270192 +15195151305 +15191425151 +18818673248 +15832478268 +13906458993 +13614343265 +15854923686 +13991432002 +13650923697 +18513955218 +13639105134 +18840925076 +15128585420 +18585730153 +13691273792 +15862596575 +15895977287 +13632137032 +15833113430 +13983742659 +15831214146 +13646496808 +13667991189 +18531208748 +15118074047 +18583984642 +15860921095 +15137379971 +15179213798 +18869945978 +18532998273 +18595894024 +18560061325 +18855734636 +18831469844 +13616636745 +13994160376 +13647602508 +18565115666 +13669357199 +18558891800 +18850042654 +15116081035 +18825311019 +15115723634 +18889718193 +13607979980 +18836923903 +15157401202 +18579746675 +15122003208 +13604952645 +15128393963 +13965526949 +15813035503 +15829249166 +15136296162 +13610550704 +18581807333 +13911531918 +15139677357 +13945016702 +13658214777 +15812525674 +15125279729 +18556368347 +13940641543 +15199362387 +15871601008 +15138905142 +15189402873 +18865437136 +13901721435 +18537719496 +15164760828 +18576588159 +15153838898 +15883372994 +13663752793 +18588292449 +13986499667 +15194644800 +15845286087 +15813894779 +15841042463 +15185413797 +13902634168 +15136525933 +18815105896 +15160499765 +13963825966 +13687980319 +13900732464 +18812442964 +15180430699 +15194446274 +18857371097 +15147833641 +13658488519 +18863283138 +13998764146 +13935155869 +13991577812 +15837667790 +18557675879 +15886018185 +18504390915 +13917040251 +13989814432 +18575985590 +15153491196 +13616239845 +13969603150 +15846340282 +13670035855 +15122728986 +15141763420 +18822520879 +13937303408 +18506839774 +15892005746 +13655600738 +18867003604 +13991666465 +18590270904 +13975052527 +18858043408 +15147864954 +18529936670 +18842710492 +15164270234 +13908611714 +15173694251 +13901867566 +18587357688 +13961510162 +18508791742 +15833857524 +13919189535 +13944924294 +18515920872 +18848745193 +15143115569 +18857358424 +15899696784 +13991941105 +15152075435 +13616102055 +15823105042 +15147824432 +18574059694 +15189252827 +15879573104 +13640222305 +15146544045 +13973017033 +13937487154 +18501407906 +15841915725 +18812404712 +15186418183 +15861433209 +18874079051 +15848593921 +13634731329 +18869655891 +15868255819 +18533922727 +13664256446 +15805678105 +15143661132 +13693423902 +15891903764 +15807874674 +13609146974 +15805134185 +13952009010 +18572832179 +13988882290 +13998653393 +13935453402 +18575062103 +15867445352 +15815037962 +18816364761 +13981377891 +18560197411 +15897245714 +15128546241 +18846459220 +13901071177 +15810799574 +13990856284 +18523502551 +13932477864 +18834792054 +15898782904 +18896645018 +13698398392 +13973729942 +15824667506 +13930012357 +13931878808 +18551016790 +15813763682 +18541901235 +18893852577 +15126709763 +18862289176 +15833109945 +18815784074 +18854214473 +15894134192 +15861012396 +15131992952 +15833890974 +15179232295 +13612332655 +15172988096 +15890245490 +18599133619 +13651705471 +13609378571 +18566224295 +18539986457 +18535294125 +18878197367 +13642011107 +13909701088 +13681499433 +18828973808 +18545409289 +18831267571 +18564913888 +13629213989 +15899786309 +13680564175 +18552732000 +13600458102 +18576990156 +13995457258 +18589884015 +18597953799 +13669566799 +13633311479 +13939502431 +18855193801 +18585521071 +15877634972 +15193233954 +15180220439 +15826433519 +15815297900 +18880233489 +13905043644 +18506451073 +15831719431 +13936982689 +15137941215 +13927556146 +15821378478 +18532811341 +15884003825 +13970389326 +15890144584 +18582684316 +15828655401 +13948444082 +13985967267 +15828441705 +15179380954 +15167184873 +18503603801 +18839368588 +18561129702 +18893894330 +18870225174 +18852345235 +15113084265 +18527286678 +18882041781 +13644874942 +15166857035 +18896772429 +13984918487 +13690532684 +15863290908 +18845466422 +15835243958 +13935283573 +13653873585 +15857831200 +18527236488 +15844807534 +13641486506 +18852573726 +18859447850 +13981443090 +18840171540 +13958407833 +18507911688 +18515924845 +15197818233 +18515437091 +15873009125 +13685948100 +13681191899 +15823041268 +13630466811 +18535075075 +15842221626 +13629781151 +13979251831 +13631118382 +13606559781 +15815361324 +13909595651 +13927466592 +13632640297 +15852061377 +13919629477 +13607685867 +15127619419 +13952067454 +15813655127 +15835915881 +15818493449 +13645472378 +13664158645 +13610314086 +18854695568 +15105543524 +15842469675 +13613133322 +15880934972 +13951082949 +13690992762 +13655376024 +13665845872 +15899396247 +18545335495 +15863242485 +15885919239 +15897126581 +18833573995 +18548391749 +15111455546 +13656301580 +15122096078 +13942146146 +13947353693 +15872784882 +15170527222 +15889139421 +18833269957 +18505282353 +15128903108 +13682910332 +15165115266 +18571790628 +18505657959 +18890457539 +15131536278 +13915901916 +15144097927 +15872055918 +18815007888 +13607194849 +15864423176 +18801875476 +18846199412 +18563400424 +15887577083 +13944545000 +13681815022 +15822063121 +18598641465 +15173585573 +13600062473 +18535616170 +15141241011 +18862070761 +13687814328 +18535082070 +15189462481 +15148535062 +18892415294 +15889250831 +13644308126 +18587127431 +13945399737 +18574793963 +15820277031 +15131393488 +15129299085 +13671009760 +15868121580 +18831458320 +15852753029 +13617857792 +13690633954 +13906041425 +15136589224 +13668808568 +15102054806 +15851971578 +13904065975 +18508685818 +13608318437 +15887253833 +13963408078 +13647795622 +18867399816 +18803278863 +13633094264 +18595077324 +15140668123 +18871306576 +18548249953 +18584358399 +13971891733 +15815450589 +13916945314 +15110746973 +15882448427 +13651405977 +18565560515 +13633726977 +15834359180 +15881029282 +15151778686 +13970676667 +15889112235 +15117138395 +15194182971 +13915293427 +13969224069 +15834920797 +13914062918 +18844767680 +13616807566 +13661040551 +18574622743 +15140828560 +18883945955 +13978119078 +13971829799 +18555735996 +15818538962 +13984599425 +15137815811 +18868593785 +18863649365 +13650324934 +13961822765 +13646241187 +18865653879 +15183220372 +18586281178 +15870035778 +15811996330 +13910193966 +15842122371 +15898504380 +13985415393 +13690547853 +18586354556 +13607847556 +15146418236 +13660069513 +18866065143 +18599132163 +13913174299 +18522596691 +18531970393 +15887423217 +15881980406 +15898425888 +13903575573 +15814483792 +13609845950 +15176440659 +13958382170 +18838903503 +13637871900 +13677291716 +18877575410 +15893008274 +13630583896 +18887170030 +15866177533 +13699265978 +15897874457 +15126682369 +15186084740 +13997097710 +13931147827 +15826404047 +13619172231 +18841726128 +15196636637 +13990481448 +13996846710 +18860349382 +15153164032 +13697039906 +13921279771 +18896327435 +15186084847 +15875765202 +13910487965 +18871366136 +15847268556 +18596731548 +18549713257 +13935398761 +18523180783 +15112903469 +15186335457 +15846205607 +13613770751 +13962885324 +13634156515 +15139291068 +18595066656 +18806851369 +15844741410 +15194347302 +15196102937 +13604056707 +18894587829 +18569873801 +18533380322 +15834056981 +18834008229 +15155875092 +15812596319 +15811835315 +13600232522 +13656061596 +15808519702 +15890946844 +15141066045 +13658467208 +18577370794 +13988774002 +15163760896 +13699460646 +13998963290 +18871037947 +18513562734 +15898669330 +18561742734 +13906900156 +18899046474 +13612890788 +18517363014 +13684798295 +18870218245 +13633423731 +15125032965 +18871082486 +18581508324 +15115753159 +15100240449 +15100819944 +15867109764 +18525340392 +18546734720 +13614192374 +13944806690 +15104502073 +13917501076 +13613698801 +15878891364 +13998839658 +15804349962 +15877284979 +15170409020 +15830582775 +18858023054 +18516372018 +13639981697 +13627179027 +13924558085 +13917333987 +15871349740 +13684411467 +15109397953 +15890333928 +13999195623 +13653022825 +13918179467 +18880874439 +15170618873 +18569933344 +18537489883 +15810816501 +18822901526 +15168730038 +18555381355 +18889317974 +18550291152 +13901220145 +13651354284 +15121840756 +15871149223 +13902297950 +13615203667 +15120223262 +15158660307 +13675945243 +13655086404 +18859169321 +18896382959 +18847169601 +18847526207 +15142342812 +18589647263 +18524113904 +13645168982 +13968152763 +18846910090 +15899821316 +15116911943 +15106468319 +18592624845 +18570736529 +15842490274 +15871984439 +15807402301 +15871356254 +13994312602 +18895531356 +13635197828 +13656326448 +15162673160 +13940066710 +13924008866 +18810615131 +18539102023 +15820759756 +15868234390 +18882668695 +15865967537 +15892152131 +15823927256 +15187572331 +18564995984 +18845075816 +18551371057 +18536871456 +15806552279 +18819653273 +13974981905 +13632712687 +13630561187 +15163239036 +13980317222 +13903587262 +18891352007 +13689496550 +13653541887 +15195142066 +13605489986 +15175842999 +15152938932 +15876504619 +18895193696 +15819511668 +18532801585 +18556246392 +18592317505 +13968966568 +13623589142 +13616231080 +18532810550 +13957329028 +15144778381 +13620516808 +18589124831 +15860823955 +18880644769 +15872025674 +15198370291 +13946281260 +15144019492 +18844210258 +15824639245 +15193990596 +13958668131 +15142028385 +18580504270 +15137537547 +15192933861 +18559100685 +15104939820 +18534831152 +15805548053 +15865971847 +13607377306 +18884997171 +18812309790 +18838397000 +15172869257 +13931300834 +15103885352 +13628684898 +13672487528 +15855214666 +13916897276 +18860856993 +13993363205 +18811100371 +13939911624 +13626130754 +18896328204 +15811128707 +18565484512 +15119030083 +13646179458 +13665341415 +15198591259 +18527725891 +13906630932 +15840524780 +15807447052 +13931760257 +18821950632 +13607686308 +15174043094 +18581633093 +13602192303 +15196428800 +15155991427 +13600889683 +18518010380 +18869508636 +15890216740 +15886464631 +13690581529 +18879291612 +15848079149 +18580446011 +15857851429 +15168264467 +18527748820 +13987004230 +15841576581 +15143779710 +13620675889 +18814613533 +13912870964 +15840215965 +13686739227 +18827457525 +18574172873 +13607891455 +13605056615 +18816759773 +18853217439 +13918698610 +18547230474 +18502989547 +18878685693 +15172610567 +18503321195 +15851422676 +13611066897 +13992641657 +13691446252 +18832362362 +15877765755 +13915824043 +13993772083 +18835432011 +18819466121 +13621024283 +15103719653 +13671550667 +15129070337 +13913647651 +18548450778 +15805411433 +15801721740 +18544957406 +13652945059 +13904440837 +13982630654 +15182165438 +13987841902 +18806429319 +18826559238 +15821493470 +15124677042 +13952892358 +18530945963 +18544385646 +18831655487 +18547361740 +13649115123 +13602352844 +18836859466 +18530496277 +15133138511 +15136293039 +18858657915 +15127499562 +18822877243 +15100359087 +15842954680 +18509324463 +13905378000 +15144252478 +13972168421 +15167178538 +15173979767 +15189891361 +18566069273 +13628490721 +15823058892 +18587120654 +18898832190 +15118500085 +15836049118 +13617988419 +13928027221 +18862914393 +13980041507 +18567768400 +15147275064 +13951523087 +18572018432 +15843604165 +15181852082 +15180524267 +15838427252 +15110429899 +18565811832 +13971085569 +13995526783 +15805240519 +13646296859 +15187691512 +18843570252 +15137967420 +15890066666 +18889257555 +15882229491 +18836844365 +13928547132 +18556279489 +15156013094 +18828857963 +18800142249 +13643582069 +13681196257 +18815129355 +15825807340 +18514355670 +13696123567 +15808415441 +15854772615 +15806287429 +18578514333 +18833419972 +13929258042 +18896190335 +18562344775 +15104977150 +18891292741 +15876363917 +18844865149 +18875613691 +15873404424 +15878853886 +15119440536 +13662021589 +15155397914 +13621484899 +15126157239 +13683443081 +18837241152 +18845176989 +13942985045 +15117452517 +13915459138 +18896011173 +13964657445 +13683680906 +15105906474 +15115735242 +15186833719 +18879924697 +13652822632 +18898797289 +13961552121 +18527666352 +13925980348 +15831402006 +18876667638 +13654934834 +13959443953 +18827727965 +15879730067 +15105320081 +13623884482 +15812753311 +18547204983 +18536899446 +18869586486 +13613154198 +15179556602 +13922649198 +13682843790 +18856148992 +13922862424 +13658788764 +13601981972 +13625968245 +18831064911 +18803623320 +15100406302 +13981985428 +18534927788 +18805393908 +13675268856 +18831087813 +15827463365 +15828198345 +15827009330 +13672372635 +13982954234 +15119396200 +13991729557 +18811978692 +18539170525 +18598204582 +15168238055 +15170440349 +15112221652 +18857836496 +13642859121 +15810561911 +18574047694 +13980114179 +15163222546 +15161932060 +18579144430 +18888980155 +13698219467 +13646169526 +18590192185 +13623905957 +15193573145 +18523101532 +13952355064 +15868351805 +15111346801 +15113897465 +15171245327 +13982522440 +15882142116 +18833309034 +15141897921 +13903468571 +13995710948 +15828897643 +13984091908 +13623230250 +15109028743 +15186311086 +15175693187 +18513187881 +15804753417 +15140314617 +18568075553 +18824460826 +18816646538 +15826838763 +13982544057 +18842313073 +15893482008 +13681754035 +13693135746 +15819930421 +13989523728 +15871733802 +18863556456 +13678294678 +15816557942 +13983628460 +15831867228 +15115011032 +13955820053 +15889988673 +15881725306 +18501629184 +13661693470 +18506578979 +18860873762 +13904420385 +15106200340 +18881478777 +15163162689 +18521490608 +13687115056 +15156348372 +18849071193 +15877236124 +18818370761 +18878615714 +18836514072 +15127755956 +13653162678 +18862310592 +15873079058 +18846300694 +15878188319 +13900874472 +13987757466 +18840363189 +18896649302 +15852873704 +13985589938 +18850818454 +18861783306 +13932130732 +13668788103 +13964031121 +13605906964 +18563778689 +13958743194 +15168649813 +13614872770 +13984654957 +13657627762 +18561694411 +18535699479 +15147010663 +15899274905 +15168645290 +18869664409 +18891887305 +18821607792 +15148834653 +18566887666 +18525704726 +18851025709 +13609238215 +18542522447 +18845393668 +15854693551 +15838317069 +15102623623 +13933580502 +13617540241 +13990963121 +13970576083 +13978005280 +15847137303 +18530658884 +18827626102 +18587109620 +18535641505 +13904482235 +13627127186 +15834671111 +15890472967 +18885365356 +15120658098 +13953096352 +13975695740 +13975143422 +18568002300 +13666250826 +13991724495 +13675833031 +18862248168 +18807358063 +18572374990 +18861491614 +15829825246 +13614901939 +13996676352 +15189172595 +13958481478 +13923841313 +13622085127 +13675706943 +18537575225 +13633800571 +18516182982 +18817723946 +13687055739 +18508957451 +18884205851 +15137288775 +18556731044 +18831796069 +13618664301 +13918309220 +18809102560 +15837163045 +15130000901 +13919241927 +15110066929 +15845638770 +18546035833 +18525949532 +13998277147 +18848289478 +15843869583 +13666868829 +13695763714 +18592753571 +13919291419 +18872296703 +15117596425 +15193218642 +15868873352 +13648006099 +15159399333 +15822973819 +15819664326 +15104373869 +18577562695 +15141868939 +18804485655 +15858854573 +18893584311 +15826674900 +15115276974 +13656067338 +15896598905 +15150816741 +13963674107 +15109693051 +13609089325 +18565533989 +15101867098 +15817468577 +13954312806 +15859088191 +15892179400 +15128576527 +13698945898 +18521796971 +18569266057 +15153465159 +13901923030 +15189921549 +13655709988 +18891003226 +15872901381 +18583258859 +15114408413 +15108473789 +18593976523 +13600156670 +18876179474 +15153714319 +18541762543 +13913372317 +13609927827 +18807507441 +18836054585 +15846755775 +13993654916 +15805318552 +13937529287 +18850931958 +18834073728 +13668196281 +15112292014 +15867387768 +13929887994 +18869589331 +15180071585 +15878785092 +18845080345 +13615380279 +18514518016 +13973669473 +13959726846 +13946847947 +13632201048 +18525635874 +13992348388 +18596982878 +18566909607 +13609307206 +18593210461 +13623525752 +13679865863 +15135722370 +18553404335 +15122382528 +13616952201 +15893011988 +18500549467 +18565499012 +18884483203 +13666688447 +13649216733 +15833520482 +18525117730 +13913302999 +13666365870 +18529877052 +18831209079 +15881923895 +18586937505 +13687449355 +13666389596 +15865418002 +18854243960 +15821266658 +13911216824 +13993906699 +15147114303 +15181784035 +18824354068 +13611914863 +13969650862 +13975147807 +18574379302 +13965785843 +18897319745 +13640177663 +18860620949 +18542912007 +15834301977 +15164513181 +13955558861 +15841288195 +15110682875 +18875565853 +18548631724 +15809680863 +15811699027 +18585701240 +18875639920 +15853958000 +15127173294 +13992630954 +13954603561 +13953514569 +13900898836 +15860350730 +15898725718 +13635155758 +13900151038 +15148461292 +15197975579 +15114407368 +18558910880 +15193563767 +18858269145 +18829899561 +15129201989 +15893959893 +15866494440 +15863963571 +15137548012 +15181054589 +18821169628 +15153900313 +13685986562 +15148971280 +18544204645 +15851699963 +15167222276 +15107417760 +13695088331 +18580087852 +18894921706 +18519278502 +15851661597 +15130213491 +15892339366 +15185761634 +18877777423 +15137296711 +15821314552 +18595454749 +13621552463 +18859013434 +15821390657 +13600483616 +13956902487 +15146678097 +13626876395 +18544132722 +13636133735 +15844425279 +18889308790 +15843459635 +18810253947 +13671910395 +18563419948 +18571945521 +18571756551 +13922587041 +18500933709 +18828190343 +15105349031 +13978460818 +15133559191 +15133960048 +15154196744 +13999227243 +13690362106 +18534092053 +18546669766 +18832481739 +13646969534 +18858769442 +18882968636 +13654064337 +18835519771 +13639703210 +18882719964 +13952740153 +18579460484 +13634242373 +18572627905 +15822003052 +18857385951 +18521833936 +15838202355 +13948004192 +13634786698 +13682841833 +15872172970 +18517772524 +13906158852 +13650130203 +13990928991 +18854995400 +13958208644 +13660196594 +15884414644 +15129570705 +13683998441 +13675804696 +15881862175 +13669467794 +18530890585 +18513975606 +18878785451 +18506439657 +15823278079 +15808154726 +18534721976 +15142722873 +13622771306 +15139717313 +15114565092 +15108469002 +18819893795 +13961848485 +15187281994 +18815033751 +13602750073 +15197134810 +15193942089 +13928486448 +15102880944 +15877877582 +13667517663 +15151323168 +13945743870 +15183847157 +13693156864 +18812076996 +13614862677 +15889335875 +15143648501 +15815839640 +13974904389 +13602503243 +13996270934 +15875884830 +13622154472 +15109467216 +13611016477 +15830695555 +13640684063 +18833214537 +13983437599 +15112321113 +18599535034 +18805962696 +15809646636 +18509142981 +15886834408 +15110828999 +15842698553 +15100125590 +13955889885 +13939518908 +15830432672 +15154787206 +18892729426 +18865466467 +15885934473 +15188000308 +15146713931 +15174489791 +18552049761 +13955202662 +18564476540 +15137538472 +13679157802 +13661252661 +18805366343 +15837684638 +15877462947 +18877611846 +13924256832 +13925593532 +18595435186 +18590626371 +15159465127 +15807767436 +15840177027 +15848300904 +18561542115 +13936710588 +18513999406 +15848302876 +13690062280 +13932694141 +13656970022 +15162868473 +15184411055 +18582187128 +15187181090 +15170469672 +18866975461 +18537035467 +15144978601 +18515804670 +18592496660 +18596139447 +15893715241 +15838151775 +15867791173 +18520782449 +18879961812 +13625733134 +15825529471 +15802123713 +15838454168 +13979659078 +15827912415 +15160515116 +15805870688 +18503070685 +18858857698 +15170503804 +13609100431 +18552878313 +18855170595 +13609396683 +13659345390 +13923485537 +15113104419 +18892630715 +13663367750 +15887667861 +18580622805 +18552123074 +13939009558 +15829993185 +13988603123 +15168907104 +13918104217 +13977532898 +13600508620 +18868922923 +18881952408 +18502427925 +15171489902 +18824629763 +15115873684 +18806645810 +15883154483 +18544766644 +13948603786 +15816252815 +13667553032 +13957782358 +15108820331 +15120921281 +15134053441 +18557938074 +13633587882 +15889926457 +15135907236 +15160882066 +15846763360 +15166312654 +18546497113 +15891180406 +13967254865 +15163477165 +18544257011 +15189047338 +15835913725 +18888103977 +15879508169 +15116623335 +18867561178 +13947170874 +18519500121 +13616626126 +15175009643 +18580639593 +18828063714 +13945619334 +15169451369 +13975321397 +15140732112 +13968964261 +15141133226 +15899618507 +18511004044 +13688938563 +18599792037 +18555300157 +18548975807 +18880976873 +18850716303 +15845921058 +13648661607 +18813495403 +13979075756 +18813109173 +18508134050 +18529714549 +18871525294 +15841311932 +15188573555 +13658516967 +18881724529 +18867488624 +18574220553 +15165074211 +15117543613 +15139294896 +18551425302 +15150831778 +18898357194 +13665744426 +18576066488 +15857598303 +15854721583 +18804728453 +18893282306 +15128768087 +18594160786 +15839301468 +13960548968 +18838518951 +18890526917 +15181750111 +15881164211 +18801958932 +13652994025 +13624382667 +18858715593 +13914735217 +15102710337 +13903966371 +18595020325 +15898301112 +15121009164 +15854297608 +13938334345 +15899842723 +13685746741 +13637681409 +13955080379 +15155787414 +13943285826 +13938076191 +18890771455 +15182556263 +13914737375 +18869489943 +13966375511 +18574820341 +18846941371 +18559386736 +15827049798 +15165447827 +18841141443 +13971554232 +18893600240 +15163618828 +13957399227 +15853163753 +13962734977 +18551658755 +15178107570 +15836884878 +18544099703 +15821222432 +18848355142 +15160551613 +15180019720 +18832535642 +15815435465 +15159000201 +13924631649 +15886424539 +13615695397 +18887451630 +18510042694 +15844532853 +18503398140 +15191068496 +15102150321 +18895022468 +18542384436 +18592236640 +13979112022 +13983130188 +18875390294 +15816768013 +15895026215 +13924096480 +18556996556 +18527084848 +18819648590 +18823850860 +18871521555 +15146769681 +13632174295 +15844311963 +15812282845 +15848229387 +13992458015 +15869725516 +18544780334 +18838939783 +15868816362 +18870872729 +18541047984 +18583887930 +18587858510 +15861670887 +13908345392 +18847913912 +18552867385 +15865806630 +13988609038 +13989374329 +13923722735 +15102491941 +13949939752 +15152043013 +18574837781 +13625911996 +13628051123 +13939079884 +15857464219 +15894320946 +18824405240 +13961163689 +13997775942 +18881062830 +18533406557 +13606292989 +13980410979 +18541173944 +15199592670 +13984083329 +13927413105 +13601493542 +18550261808 +18508431412 +15107896030 +13938287724 +13688852366 +13649348826 +18872986972 +18509639179 +13622001270 +18537667763 +15135838125 +18845673244 +15837994269 +18899389577 +18890771108 +13664817470 +13981157372 +15170672274 +13690876124 +15845621112 +13636207412 +15198086341 +15820851855 +15110700047 +15849808861 +15889244891 +18861944950 +18896386797 +13947480827 +15164996749 +18505488688 +13615510186 +15891468849 +13643691872 +18837888389 +13640806270 +15134341549 +13695524019 +13952159515 +13660905837 +15170301385 +18540774087 +18529928335 +15802689017 +15899507772 +15199254731 +15109540749 +13914189087 +15860815978 +15102082206 +15855160387 +13622550890 +13658211336 +13624209442 +13974191418 +13664002340 +15882500560 +18890580903 +18515865989 +15808873842 +15126847440 +13643948753 +15894793429 +13981814107 +15878780064 +15157910842 +18822833657 +15864336391 +15843863356 +13674312099 +13986728757 +18512499655 +15863696091 +15163223053 +15158567161 +15867610390 +18508060539 +15107434701 +13687220720 +18825654724 +18552699412 +15156274386 +15837855579 +18888988298 +18561628916 +18815400466 +18569809489 +13993719730 +18576611667 +18855830094 +15890392121 +18812903460 +15890612379 +13961910321 +18537743483 +15812662292 +13663376142 +15874269253 +15886701967 +13905815271 +15882327882 +18544165097 +15106829473 +15152006557 +18558900624 +13686136125 +13998924921 +18507655031 +13617765240 +18525037038 +18851999778 +13656974924 +18893042944 +18508201047 +13617238771 +18899883776 +13647610136 +15866347862 +18520087190 +13925216589 +13928545929 +18830103683 +18552071056 +13937882643 +13622710620 +13624390877 +13979763149 +13915720159 +13628749107 +15864133238 +18837132778 +18594324834 +13998673937 +18877139374 +18533502481 +15896177245 +18825350582 +18580136235 +15888828624 +18516872885 +15844940498 +13639300218 +13943838733 +18544931663 +15184572911 +18830357315 +13618275500 +18585221716 +13625371907 +13612384641 +15857324952 +15890932362 +18555267738 +15157463298 +18811405006 +13653158712 +13979626557 +15852521770 +15187448670 +15146472439 +13602891853 +13925354604 +18897927762 +18508671532 +15894585514 +15809860245 +15115735843 +15819407289 +15880287362 +13644577957 +18815688979 +13936614083 +15181358202 +18824410938 +13611478410 +13930699332 +18596032645 +18800418840 +15808619976 +15822393557 +18564262962 +18575287622 +18872805659 +15888882029 +18535168675 +18516122036 +13950999954 +18880528162 +15108305563 +13968491800 +13904116156 +13994132620 +13613374839 +15114556272 +15848330114 +13918504848 +18593878782 +13623745065 +15112485414 +18547193253 +13999347369 +15179208126 +15143303948 +13603064039 +18826692439 +15845074666 +13909998336 +13905905839 +18824074909 +18841942868 +18821438095 +13905985865 +13919339863 +18841091019 +15180955407 +18537535312 +15111585556 +18541379449 +18580074318 +13927657205 +18548625843 +13697574233 +13621091483 +13973262910 +18832435975 +15105231005 +15149683989 +13644714352 +13938304783 +13941841861 +18819048905 +18861859971 +15170973869 +13645048783 +18551536586 +13968668511 +13915835348 +13918507813 +15855665861 +13921798680 +13998830016 +18509890757 +13984788605 +15176597960 +15132540505 +13665935801 +15815547722 +18835811566 +15844177371 +13938494162 +15134025994 +18838635636 +18853053947 +18890445872 +13620173825 +13662580047 +18851624824 +15836852095 +15839537331 +18594923454 +13949544036 +18505465471 +13612597686 +13955297727 +13901431812 +18506114336 +13945692800 +18818217138 +15173633626 +18837534888 +13944980896 +13915557745 +15876231134 +15107627184 +18848800905 +13620552673 +15150923728 +18813405615 +18898779730 +13934153632 +18511918934 +15827556472 +13985624414 +18560590055 +13939970384 +18825993368 +15135986291 +18847836238 +15819763868 +15853637582 +15125928436 +15852095143 +13911092887 +15849772150 +18597065707 +18530698447 +13611961496 +18552807138 +18868669093 +15891070451 +18820885657 +13945094446 +13912365089 +13942990243 +13974094191 +18505365811 +18813229566 +18573679060 +18807874504 +13617452404 +15851274380 +15130679983 +13942729460 +18815793475 +18511831030 +18582522927 +13982559187 +13923912586 +18508520649 +18881823189 +15186840004 +18861242949 +13689098047 +18853979486 +15824676525 +13667479278 +18882803880 +13926522736 +15891260465 +18587976904 +18880265728 +13608078718 +18575853014 +13968778183 +15150399908 +15847492163 +18885969811 +15140141292 +18840653180 +15812121072 +13676984265 +18534134063 +15823609478 +15128115366 +15864452843 +13938747802 +15893520585 +13680712779 +15808923070 +13676011357 +15811136913 +18856422096 +13682711695 +18886030634 +13999094322 +15804714748 +15886001259 +13691726318 +18531026028 +13681165850 +15166138501 +18573584326 +15811426722 +15803125848 +18515418178 +13926589597 +18843815235 +18544002736 +18838415359 +15804363959 +18559495560 +18524227005 +18850916231 +15154830490 +15844224168 +15818493125 +18519927054 +18500944069 +18563669544 +18587525633 +18536255708 +13691505480 +15114656836 +13620118534 +18813885542 +15833148391 +15807440475 +13940381113 +18537601595 +13995072609 +18805346094 +15139483449 +18812582945 +13981547032 +18897940883 +15882652782 +18863354161 +13935410953 +15126105884 +15109543970 +13686944836 +18810422702 +15891759810 +18839715008 +13904250756 +18806480574 +18805715881 +18836006592 +13992565227 +18885806710 +15882277292 +18879396628 +18501760109 +13608079115 +15139520482 +15830231252 +15810471167 +18847050163 +18884047960 +15854930359 +18527104989 +13661276599 +15851925390 +15170540123 +13632569382 +13675849026 +18501320598 +18540995885 +18585624994 +15199122923 +18509424114 +13973770735 +18885535557 +13643825504 +13938134973 +18506197572 +15828767186 +18874226875 +13918111637 +15139987926 +13604164634 +18812845628 +13918783573 +15845392965 +18814718333 +13960420717 +18885876334 +15838226636 +15898527234 +18881845822 +15114649217 +15887068462 +13690375170 +15135818358 +15800380368 +13997304111 +15149710713 +18820967308 +18568480860 +13942778073 +13902166754 +15825588709 +13649248601 +18546872828 +13974582838 +18881456330 +15123863427 +13910542998 +13904145323 +18892316851 +15153370338 +13973880351 +15845928873 +18879429261 +18866393174 +13958662559 +15189672101 +15819099156 +15802262752 +13681379122 +18895675979 +15186595657 +18596584541 +18571790037 +15863512711 +18510073151 +13971391614 +13692481153 +18594709753 +18551168815 +15818984041 +18857385512 +13997229105 +18860395451 +15140947648 +18531111824 +18893427799 +13917415575 +18826054679 +15199878816 +13697693809 +13671877429 +13641567140 +18575855923 +13634822969 +13989444499 +13975338027 +13958260038 +15826243362 +15116949307 +13925079493 +15166386619 +15148182685 +13613392087 +18870108046 +13912554463 +18520501887 +15892398693 +18590976874 +15153911500 +18570758084 +18856415729 +15818468986 +13968687374 +18553292627 +13618344156 +13941145257 +18587514968 +15183810163 +13937634083 +18858197409 +15897888865 +18858578022 +13644932577 +15878181005 +13645686591 +13679686289 +15831814299 +18532200782 +13672872475 +18523883028 +13612023716 +18881032495 +18594158901 +13680768788 +15830117659 +15147008173 +13926344247 +18895152079 +15191935692 +15109632954 +15818455378 +15188428596 +18519526452 +13625597236 +18597045875 +15887776201 +18571085455 +15161094806 +15841427477 +15160384355 +13661197611 +18501442938 +15860672293 +15835594142 +18862689923 +13647939285 +15144425967 +18878997214 +18594750814 +15194563771 +18860738661 +13660422832 +18875073965 +15196671699 +13907132805 +15819929601 +13970748974 +13673770367 +15179709777 +13661233340 +18804807468 +13672534433 +18893712665 +13909009178 +13603321954 +15874227790 +13628456326 +18894626883 +18877150413 +18893627860 +18565223175 +18549487602 +15846592187 +13609500876 +18516192267 +18836112389 +13626596850 +15881561971 +18843359499 +13965937604 +18505851367 +18556242877 +15842545099 +13624696342 +15151634869 +13604137916 +13660761310 +13618166309 +15197302531 +18817526159 +13978301576 +13968226543 +18877970884 +18865490573 +13612629125 +13917899535 +18824961833 +18812681685 +18842559055 +13640258533 +15875554280 +13904644107 +15823239217 +15191479373 +13928659192 +15147453961 +13955501706 +15896625539 +18820208917 +18876944526 +15816499780 +18823108287 +18570609992 +15834639399 +15142763724 +15818444482 +13681632568 +18512072882 +13930831144 +13662013426 +13919343510 +13993666662 +13921185918 +13689405818 +15159681375 +15184398076 +18512932850 +18899681224 +15135008032 +15897498257 +15801580046 +15803923776 +13925693139 +18862172729 +18814335568 +15188721685 +13993169716 +15104864504 +13930005467 +15129444227 +15848471430 +15104262572 +13972516922 +18535771586 +15861878994 +13658141794 +13664929907 +18827154360 +15148771847 +13917222190 +15822904848 +13997301667 +18814143650 +15125985662 +18569020742 +13926327584 +13655821612 +13679878610 +13630802629 +13612071226 +13992608515 +18852516885 +13956442331 +15830547008 +13603115047 +15108560073 +15195962728 +15895110944 +15845875136 +13912387927 +18524027538 +13684560526 +13905416161 +13602504797 +13927688760 +13900872790 +18875666948 +15835219868 +18891628943 +15830215965 +18827816076 +15806679293 +18890431495 +13907915402 +13965763761 +18829715946 +18892549200 +15842650011 +15847265161 +18522400644 +15825918226 +15850751117 +18545465621 +13614642424 +18511270692 +13687178452 +15166163456 +18595938289 +13663112333 +15845289604 +15172454256 +18806705888 +18879864278 +18874213423 +13638265067 +15841793708 +13645839045 +15834233371 +15179884941 +15807691809 +13689007548 +13631038250 +18577118995 +18858550890 +18883377799 +15812686535 +15120158888 +15169612957 +13698960447 +13949705330 +18845876271 +18595295296 +15873582403 +13951349487 +18816159707 +15192400204 +13925838626 +18868708065 +18817249566 +15814741455 +18596070207 +13916248882 +15831876051 +15198535892 +18559397490 +18858837923 +15826339936 +15819392154 +18560653499 +13664242412 +18831832995 +13998821649 +13684081123 +18850624550 +15817588626 +18833566120 +18534381402 +18574960667 +13618635735 +15866703489 +15864665000 +13988779610 +18565709736 +18597720059 +13933804425 +15119546451 +13929061920 +15174149319 +18885563508 +18829993761 +15193840370 +15136637398 +13625233468 +18894319932 +13985723703 +15111409083 +15845940877 +15131603136 +18517076330 +18861778274 +13926376166 +15103136722 +15128346429 +15123213717 +15870188690 +13954465099 +15874725936 +18597645130 +18557127819 +15864763013 +15158595675 +13940325512 +18579448624 +18590754186 +15192451954 +15860489519 +15131839280 +13631473624 +18545871877 +15853574073 +15822160456 +13972368344 +15825249967 +15834106720 +13953171443 +18815562113 +13954538033 +13641551900 +18874600014 +15857180514 +18547105391 +13642373797 +18557003696 +13630176809 +15863229377 +13676550474 +13612518447 +15893981592 +13919043741 +13989411758 +13926347657 +13964876174 +15833578451 +18872135098 +13691363756 +18590001137 +15182083863 +15190850313 +13630531566 +13951086239 +15862225742 +13926152980 +18594796400 +15857623928 +13608242029 +18586646583 +15898571682 +15879742419 +18800274144 +13996137534 +15117292294 +13936799940 +15894595886 +18860481747 +13655715602 +18859549599 +13674205343 +15839252044 +13917834303 +18888953750 +15101511826 +13693751049 +13971782039 +18869276833 +15170508470 +15829033358 +18570798407 +18562334729 +13639056178 +15164335775 +18886039087 +15117286691 +15878760331 +18541418151 +13628106100 +13994297837 +15891002269 +18550941788 +15868542021 +13660596752 +15829776680 +13646212279 +18818777396 +18509669377 +18565976018 +13644489538 +15860491681 +15157084424 +18585807730 +15802180268 +18866032174 +18514506013 +13958682565 +18801966425 +18500643647 +13636189553 +13620217577 +18530680378 +18544505076 +15141179840 +15169565339 +15176127518 +18846319118 +13927538545 +13926733026 +18525933269 +13968748044 +15105816059 +18514213732 +13683948891 +18547649634 +18551669189 +13975797979 +15807673826 +18870905720 +15174223331 +18869037931 +13618167852 +18877747921 +13925926086 +13962584126 +15869466972 +15168979363 +15862410861 +15117724264 +18876691991 +18832910256 +18589518775 +18870179169 +13688457680 +13920224020 +15853254627 +13950298517 +13624807248 +15859400168 +15121432345 +13608121397 +13611065495 +18848281222 +15831345389 +13927748637 +13944589234 +18835945675 +13983673896 +15807314596 +13663495822 +15809357872 +15159055061 +15131115238 +13949186612 +18565122806 +15163487833 +15170866426 +15139128812 +15162138286 +18588389853 +18833239602 +18886767756 +18844821317 +18847539123 +15853694960 +18560462508 +15826720433 +18831664997 +15170164940 +15100902948 +13601011035 +13616387013 +13689109860 +18564667912 +18575846656 +18868619561 +18574660864 +18587063590 +13901493116 +15838751992 +13650044025 +15831445892 +15881880701 +18878128889 +18871861356 +15831090116 +15179767562 +15109493017 +15173918598 +13684212559 +18804702669 +15800447125 +15151693430 +13667921920 +18531454175 +15159378611 +13622440782 +13618724340 +18522595727 +15896850142 +15109948238 +15832002119 +15111748912 +15155137957 +15884335902 +18816258406 +13948782959 +18814542985 +13647990249 +15866248869 +18560807653 +13976148554 +15186689657 +15839097617 +15124917957 +13688171718 +18569163839 +18593331185 +13681057375 +13906751859 +15103178299 +15199293110 +13639361537 +18881947639 +13934683000 +15101749154 +18589427305 +18561437279 +15842026116 +18812213287 +18546535262 +15193117879 +15817794457 +18838143220 +18588262865 +13663883326 +15114268045 +18863683477 +13930444672 +18584956295 +18551547118 +13965076408 +13971175045 +13688514805 +15115444032 +15830933843 +18588793763 +18508956001 +18843382069 +13600259801 +15196118595 +18518976518 +18514954439 +18543724979 +13989502750 +18880316102 +15198823957 +13974764753 +15815438421 +13699914142 +13635061680 +13956267149 +15170861722 +18526500462 +13992289268 +15812885570 +15192920228 +15123223887 +18876698460 +13609385465 +18529814375 +15806897813 +15805455003 +18535564843 +15878993867 +13911608576 +13994113959 +18529619213 +15880534687 +18807134687 +13933263973 +15151208276 +18806104856 +13668337228 +18862793424 +13633177983 +13969482314 +13956798815 +18830828372 +13946367906 +18563223367 +18885371600 +15856193429 +18562893907 +13922920181 +18833987233 +18542028104 +15137166937 +13986195157 +18870768170 +18598970892 +18816780418 +18550318256 +13638559952 +15148470031 +15846294645 +13647693708 +13624781821 +13675540006 +13691702763 +13610151832 +13902921681 +13634767106 +13933670162 +15843451817 +15153309039 +13619741204 +13629972477 +15108244901 +15129941592 +18502799853 +18577347429 +18568248211 +15834955856 +18538646617 +18892527399 +18872057718 +15877478171 +15166286317 +18851354432 +15161971972 +18553611015 +15801626487 +18586949139 +18563494729 +15894992595 +18828320440 +18535790979 +18511516071 +18523266424 +18520187162 +18850856239 +18893409377 +15885097383 +13634525140 +15864196285 +15194784599 +15127687219 +18525102523 +13650524991 +18862070022 +18523144508 +15866602765 +18560825659 +18867674943 +15164062301 +15836862176 +13637659553 +15811109152 +13944065129 +13642449386 +18833185730 +13642913014 +18870937759 +15155204676 +13955404957 +18522498616 +13971413726 +18537835831 +15119659814 +18547162827 +13914251621 +18888982839 +15858231121 +13641189739 +13612885601 +13917930374 +13631793360 +13971151800 +15142765593 +15135997469 +13608683215 +13616664057 +13602176537 +18549200062 +15178043113 +13674425292 +13629614096 +15808973929 +15801345114 +18876089458 +18509914661 +15884995516 +15880369481 +18547444415 +13640602343 +18878796476 +15821716628 +13686173542 +18510701307 +13667701804 +13612342057 +18877473739 +15142434409 +15824413852 +18530089196 +13919381066 +13627399020 +15103670843 +15195258751 +13602675114 +15844654694 +18590021927 +13691956996 +18806765906 +13629938516 +15156659221 +18810031983 +15873185492 +18551084554 +18564946574 +18552731435 +18585816805 +13929402643 +13611109298 +18570186294 +18529999549 +18890178413 +18538459331 +18832565863 +15875739165 +18873436202 +18559221519 +15853146995 +15197193777 +18563371453 +15108492060 +13672554116 +18560580056 +15846259529 +15192709955 +13955010616 +13969407794 +15863723856 +13972118014 +18592573376 +15893738562 +18897932086 +18579412560 +18806952103 +18543730104 +13681372068 +13647534588 +15872673565 +13675562470 +15112616175 +18871155869 +13961603292 +13996976939 +18513847716 +15130317431 +13919242192 +13623132067 +15100471853 +15866876026 +13919271278 +15108557431 +13946185948 +13615439034 +15898308453 +13961248946 +15154759363 +13919695630 +13670229412 +13903205064 +18584197639 +18576221647 +18544344494 +15171723839 +15804520396 +15117667037 +13940470230 +15151121182 +13647484788 +18542529411 +18585509571 +13609543674 +18578546530 +13997878723 +15142664766 +18895473467 +15879233605 +13695728827 +15848969033 +13680293464 +18837233363 +15175495792 +15882807422 +15837365596 +18542146188 +18575835801 +15886058108 +15174164424 +18506915311 +15179930815 +18857814765 +15197169633 +15126358171 +15143460537 +13621670548 +18542941777 +15874661845 +13609801221 +18517140233 +18889500140 +15175439501 +18598549673 +15837453227 +13985128183 +18591149802 +15118821572 +15131661249 +18814449133 +18535220266 +18549310820 +15193478715 +13610396336 +18535116473 +18827597260 +18885329231 +18851792139 +18579065410 +15107922900 +13633095471 +15129125142 +13693985375 +18877706464 +18889929004 +18511156209 +13966174940 +13618491086 +18886779679 +13948296387 +13677380329 +15818244225 +15197925627 +18855736584 +13686032836 +15852762246 +18581439442 +15854574130 +18899182617 +18526354412 +13978957994 +15870877181 +15118792365 +15841192599 +13606509296 +18516008751 +13621849209 +13658782737 +15144549010 +18871543935 +13629707698 +18556239609 +18885756846 +15177915255 +13916534125 +13901891888 +18550409737 +13608886468 +13646260270 +15172870890 +18531907860 +13957304993 +13939123785 +15194600299 +18846495508 +18585118500 +18818533874 +13604995684 +18854121277 +15121153782 +15809746398 +18802524111 +13612687257 +15867985972 +18842937570 +15175594623 +15877785483 +13641253342 +15116402888 +15825265345 +15869101484 +15127926773 +13606200780 +18575585357 +13601998253 +13618302082 +18507845204 +18821690538 +15859023391 +18599450008 +13690348211 +18891049414 +18528018422 +13615726579 +18579242741 +18545559968 +15885588429 +18897303228 +15833471954 +13935966676 +15163577342 +13630857467 +18885054678 +13609748720 +13935682712 +18571796338 +13686049394 +15873560879 +18586689440 +15827450203 +18563139263 +13906423572 +15854693668 +18846700318 +15116090092 +13684221169 +18804739164 +18570014284 +18811626690 +13661175085 +13673923953 +15136298492 +15863951270 +18831630117 +13966608988 +18816674340 +13921314528 +13677976889 +13958603926 +18810051842 +15117477112 +18597975013 +18540344531 +18897867278 +15164982240 +13668916859 +18518938762 +15831726239 +18892470121 +15120117590 +13963550813 +18873700601 +15133003838 +13671427822 +18536997616 +18853625991 +18536738308 +15851094771 +18857757503 +13988564586 +15197523913 +18846685338 +13685721751 +18870612491 +15103045463 +15178533007 +13915804476 +13695458618 +15857305513 +18829466241 +13990405624 +18535810832 +18557924234 +15135429408 +13680380820 +15157652420 +18814354002 +13926366341 +13971868314 +18820652978 +15857476203 +18895895244 +13602078798 +15157485231 +15843659244 +15103393410 +13602248151 +18845927939 +18891197279 +18531086307 +18817917239 +13966896494 +15142421659 +18599870717 +18513034358 +18522688002 +18569578037 +15875888556 +18807522563 +13947447134 +18827733541 +13682345689 +18532626926 +13941468122 +13683186867 +13664473847 +13683820750 +13629868657 +13647329533 +13677378729 +13635243488 +18806205695 +18857197858 +13632490466 +13994028011 +13600219617 +15871891211 +13982226177 +15193688866 +18828083839 +13689375979 +15876443168 +13983631467 +18803777394 +18827388978 +15172934756 +15129615989 +18586568900 +13933796474 +18515414098 +18821735119 +18537023548 +18839480300 +18583499350 +18541544813 +18876822830 +13658654278 +18825459700 +13936514746 +18534150852 +18534048853 +15121127236 +18888924934 +18884720575 +13992013632 +18890713460 +18855656741 +15843660082 +18514794576 +15199095635 +13697474074 +13637037604 +15894283556 +15177619429 +15155751835 +13633428364 +18846380963 +13652627626 +13691900562 +18548722274 +15875222211 +18801550723 +13907836284 +13642947256 +13611147356 +13609324469 +15149695541 +13653990403 +13975063390 +18575781015 +18576411314 +15100647618 +18878562078 +15892683024 +15894468254 +18879152723 +18858671951 +13642169204 +13923830792 +15146583584 +13941914503 +18837196494 +15175245208 +15888774308 +18815916100 +13607590346 +13692440769 +13642560441 +15180955269 +18803164163 +13939943932 +18525531794 +18860528703 +18842623547 +18892355789 +18854556737 +18820861473 +13933281345 +18566848704 +13948265900 +13648275912 +15808670855 +18875427525 +15147114683 +18520800713 +13960700630 +13652635608 +15111985748 +18529382285 +15824076830 +18533577355 +13957329719 +15120722863 +15137491442 +18823654357 +15860164148 +18890989211 +18538027857 +15824228099 +15870940122 +13902259424 +15859009453 +15842521207 +15851072909 +13943766389 +13962800164 +13688718594 +18879807254 +18537252855 +18847798283 +15162841116 +15128655904 +15802404719 +15801983027 +13903023239 +18523755967 +18803221854 +13699498416 +15188324882 +18564305573 +15874575290 +13618027533 +15877343355 +15168826473 +18515950738 +13949887580 +18818549348 +15850969502 +18894118583 +15105223950 +13984976926 +13620180724 +18522306481 +13954175591 +13654841757 +15118687381 +15151041725 +15885090726 +13916846713 +15192661056 +13682644944 +18830405473 +15182090276 +18889509640 +18560835141 +18545690554 +18816381492 +15192115045 +18817955062 +13901238020 +13975944225 +15875361195 +18515484825 +18591606906 +13972429057 +13687133529 +15814120518 +15194561378 +18872622592 +18886807467 +15126356764 +13929096742 +15873206722 +15105521853 +18846881021 +15116721318 +18843923612 +15147431843 +13698701569 +18822731030 +13695500006 +15865181580 +13663720189 +15105204644 +15131909765 +15194258705 +15874182880 +15172832275 +13666378858 +18817784974 +18898471836 +18502240502 +18526447462 +13624614990 +18803775951 +13940332351 +13980355844 +13960049395 +13633377560 +15889031905 +18852936200 +15136024367 +13665046130 +13997304677 +18841186190 +18562205586 +15893239713 +15856500884 +13660216083 +13681415285 +15168950475 +13635094614 +18881033044 +13924415227 +15803363818 +18591802848 +18812873980 +13657891253 +13975654324 +15181588104 +13662661126 +13976239045 +13959452955 +13641877150 +18587133348 +13914752407 +18575240983 +18888064558 +13699243388 +18516455602 +13651679008 +15134315554 +15173341529 +15869185068 +15897938525 +18500217747 +13693033391 +13976993291 +13622619620 +13988445350 +18867149623 +15187234629 +15108169416 +15875201258 +13614713534 +13657527787 +18522069636 +13656181776 +13661424155 +15888055446 +15855462070 +15841096685 +13636240707 +18866629846 +15104115975 +18588320605 +13621564145 +18529870340 +13624087662 +13999627241 +13992988365 +18541587437 +18849465892 +15192540648 +15819010667 +13646538566 +18805383616 +15105618299 +18585079124 +15834838352 +15819166994 +13692337676 +13679414593 +13902998399 +13935533104 +15819295260 +13653722082 +15192051873 +18857784812 +13916003530 +13674151079 +15181052184 +18814289289 +13987147174 +13991693732 +15136283384 +15109961853 +18579638609 +18531877983 +15850539249 +15179746317 +15862182372 +15802002210 +13644079955 +18833306518 +15105136649 +18882316952 +13661021290 +15839655973 +18505366957 +18801759722 +15169901987 +18555172795 +15184886695 +18896048029 +13662677838 +13907035405 +18855282312 +15123650023 +13621679770 +15805505680 +13917996765 +15128326973 +18807099370 +13618893785 +18897392255 +18879247197 +13978659484 +18870712737 +15832453402 +18877453159 +13956956144 +13613591152 +18847927190 +18888588700 +18873580434 +13916507190 +15897311214 +18545962963 +13659292468 +18583932365 +18505883841 +15109400081 +18534600551 +13928780712 +15188403195 +15173311232 +18539842657 +13941782600 +18830778209 +15111751165 +18582033252 +13637272735 +13986501327 +13925116650 +13671275510 +13670120139 +13690612226 +13954001249 +13610586583 +15840501449 +15894003255 +15171688027 +15197924643 +13955333972 +13628658072 +13653626560 +18554442475 +13645067195 +18599421981 +18538786867 +13616657073 +18863726373 +18507864150 +18825421517 +18514918439 +18517819778 +18811575647 +15118497856 +18549270740 +13694054649 +18550598361 +18573427271 +18532640057 +13988042403 +18817856317 +15858412891 +15165873008 +18885879472 +13975467289 +18894103666 +18868873900 +18805160149 +13652124913 +13680403145 +13682624792 +15865481902 +15802207949 +13619841622 +13604577134 +13632320009 +13925856486 +15127820889 +18568995828 +13919315589 +13645959268 +18579220477 +15818100099 +15176877556 +15877629519 +13667986091 +18500339718 +13916367974 +13915409430 +18898778591 +15890072281 +18860639558 +13956226886 +18874685061 +15871346972 +15833098224 +13634276478 +15867897868 +15846792432 +18599925237 +13614394332 +18548127017 +15870020991 +13663456835 +15192865120 +18519914133 +18502585221 +18579793072 +18528787953 +13690719656 +13955810341 +18885207857 +18585878910 +18521844904 +18802949655 +18847494988 +13971699043 +13634792110 +18500105022 +18812396820 +15189464114 +15109701246 +18809939258 +15123247719 +18584075532 +18567659575 +18590059580 +13646535713 +13964091908 +18867336653 +13914000451 +18844287490 +15103557600 +15819865079 +13610052040 +18842149466 +13669707465 +15893857661 +15893404708 +18871880526 +13952962329 +15130717941 +15897010327 +15818549587 +18811214205 +15133581369 +13654657865 +18854764406 +18873703898 +13910673616 +13917354055 +13604735608 +13667179105 +13612487490 +13913097153 +13693964670 +15114931000 +13618021071 +13943040421 +18831804137 +13996068349 +15856551546 +13662798825 +18511748674 +18553254621 +18560913592 +13653315269 +18521669771 +15133833240 +13989851617 +18819889217 +18580920523 +15840851057 +13928540901 +15136149126 +13972562196 +13699913915 +15189731055 +18510493383 +15871536657 +18596493473 +18586203811 +15863002589 +18819723592 +18824606342 +15876387000 +13953840619 +15145648656 +15190554761 +18886231233 +15808631594 +13978535506 +18804430872 +13953206875 +18564221633 +18856729479 +18559297023 +18534461184 +13604347793 +13653893891 +15873779797 +18574234695 +13902899762 +13989424389 +13608416457 +18854227238 +15187487324 +18831840086 +15148687791 +15170049927 +13637927247 +15178007632 +15892895787 +18842954122 +13627373886 +18587431698 +13911618743 +18853457876 +13924304995 +15169208057 +18823945403 +15139849534 +13623185968 +15175299874 +18556536920 +13606533890 +13986493629 +18517490883 +15166654847 +15896817632 +13605620234 +15880768574 +15841269511 +18524936649 +13609473649 +18583365817 +15141765811 +18516822298 +15843364933 +13688613830 +15802303219 +15150809563 +15197228506 +13619520756 +15860334623 +18518799576 +18555703999 +13931546110 +15146057254 +18575260494 +15827335566 +13938470500 +18838913668 +13662310033 +18505670555 +18579509594 +13620850073 +15188910989 +13991458560 +15174672404 +18556935064 +15117203359 +15114292073 +15820989633 +18579426497 +18869260373 +18531969257 +15875762799 +15145788988 +13682146463 +15825591682 +18512920677 +13666193068 +13697019541 +13923824119 +15141000072 +15129462262 +18512167634 +13970560496 +18824569111 +15181490228 +13931084353 +15152010893 +18518545813 +13666391626 +18587246401 +18542207808 +13629252844 +18521885313 +13960371732 +15139131038 +13648875965 +15872040799 +13680715626 +15106639524 +18880578670 +18885225111 +13940731657 +15887116649 +18862536868 +18503297143 +13903904716 +15105126698 +18822921819 +13918254273 +15162225489 +13602641787 +13973695315 +15810001080 +15859961600 +13660684543 +13634024764 +18809488848 +18895947464 +15883769614 +18551499872 +13605312850 +18852286356 +15152642158 +18866826030 +18839594619 +15884808368 +18505451418 +15811219072 +18512786463 +13646964022 +18879669403 +18832268394 +15831239673 +18848649339 +13965949999 +18802094720 +15810530599 +13631560897 +15851134823 +18556718117 +13624806764 +13969376052 +18522624053 +15816608936 +18510641660 +13931812060 +15126261017 +18574859467 +18559231676 +13969355507 +15888859979 +15189928725 +15101287265 +13653019894 +18898727998 +18840632834 +18809475832 +15857660341 +13977369548 +13627433827 +13970189362 +13914462343 +15183792339 +13966546355 +15867317123 +18837840521 +18876847478 +15819692313 +15161669901 +13934284004 +13974037345 +18892268547 +15805321591 +15155145321 +13927537981 +15881278330 +13686864609 +15142752525 +15159770220 +13651094121 +13966589535 +13986498076 +15175328426 +18831808162 +18568478289 +15823669568 +15858642961 +13984909752 +15834509389 +15161396332 +13918324950 +13643517047 +13917672709 +18562071682 +13687552926 +18528079917 +15807271451 +15193864520 +13914525135 +18892019362 +15898402070 +15187955070 +13678534236 +13635491226 +15179036500 +13679614113 +15896977401 +13603566648 +15852334568 +13605703304 +13629954595 +18845551563 +18868714542 +15181087807 +13935119536 +15117794171 +18583974682 +13676295693 +18559359294 +18868366477 +15835643191 +18837791851 +15181034546 +18855834868 +13942915904 +15801728309 +13669635645 +13664729064 +18538233805 +13694048436 +15133364354 +15847832976 +18550250361 +18593661457 +13900484257 +13607295117 +15190749282 +13945487203 +13916376960 +13911181737 +15809031501 +15868241896 +18534583943 +13968116271 +18566729954 +13927816784 +15126725937 +15820689322 +18870995987 +18574646185 +15143031791 +13678664027 +13686047125 +13957502510 +15136305650 +13671665039 +13983382672 +13669930765 +18866617310 +18534079020 +13688502437 +18513176778 +13624780119 +15195343758 +18544230773 +18533183439 +13916633664 +15842081314 +13657243758 +18574976658 +18527125190 +18890421758 +13951359523 +15196868364 +13638115956 +13977000602 +18538714549 +18544065293 +18532501890 +15185066029 +13665826300 +15824024830 +15805268377 +15143185092 +18563594745 +18858515996 +18540999706 +18841510735 +18522733889 +18543640040 +13616424431 +15157406080 +18560595984 +18829807667 +18832723136 +15118430801 +15157176493 +13988810634 +13991416478 +18510560236 +13920989721 +15154417289 +18543896727 +15882722653 +18808972010 +18812757044 +18578125441 +15195553267 +13609262592 +13925628239 +13952193867 +15807675864 +13690988981 +18544276910 +18503500735 +18827844524 +13981296306 +18540113874 +18523105186 +18523760504 +13959202809 +18508987443 +18507919685 +18867603301 +18801188135 +18894404434 +18865103932 +18882911454 +18859891232 +13989529304 +15148664770 +15820251576 +18884272971 +18881024345 +18552135334 +13903061115 +18875135639 +18841145684 +13635657508 +15135737769 +13690656516 +13992086289 +15873647996 +18841987692 +13684654232 +13678372966 +15809585322 +15116241721 +18879330472 +15831592313 +13932820969 +13937294445 +15147159778 +15879565107 +13637845023 +15134775092 +13690503256 +13994207172 +13937925273 +15143698458 +15888756461 +13672595584 +15108939952 +13683119221 +18512014280 +15834616481 +18848366234 +15890811137 +13644678917 +18892386432 +15824261428 +15884969731 +18583101061 +15897334885 +18893743452 +13656549263 +13603770784 +13999027346 +13939981155 +13975303330 +18863602348 +18567746369 +15172732114 +15183750992 +18537987687 +15867505753 +13934510363 +15144172428 +18541940488 +15196582714 +18598322585 +15875404366 +15829826763 +15823227013 +18556968931 +15860974679 +13671133890 +15838718837 +15869754173 +18807781680 +13651017696 +15820192658 +15115856404 +13612181900 +18862982811 +18842563539 +18562112542 +18808063604 +15833849354 +15167417441 +13955915498 +13665123045 +13940699088 +15819677556 +13642689501 +18814263577 +15827725586 +15820876033 +13919015083 +15887163725 +15879342656 +15896757494 +13949527707 +13940914583 +15155414672 +13999394605 +13980560132 +15869247087 +18524108618 +13642854464 +13929832277 +13610100704 +18542327547 +18841662112 +18541555813 +13919622033 +13969350903 +13655280188 +18583474267 +18830732055 +13666729426 +18528430407 +13911132692 +18813129080 +18864197528 +13602732876 +15163941858 +15156691340 +13975980445 +18824239091 +15802473942 +15103396676 +15802910085 +13610592282 +15119772276 +13991838540 +18829746606 +18864564647 +18880192433 +13903439216 +18540965459 +18842783263 +13982152014 +15816359752 +13963260597 +18581016646 +13909414569 +15842310079 +15879047856 +18819884643 +13944813769 +13920826788 +15150098201 +18567028471 +18566162849 +18535654954 +15827858563 +18554235653 +18590057401 +18806122075 +15836944223 +18857289360 +18820908736 +13670006543 +15877860561 +18519668410 +15188645296 +18813023504 +18818328973 +13685873097 +13939897954 +18554587491 +18583048889 +13672504993 +13610614940 +15143678267 +13963657891 +13600973524 +15855064169 +15804534219 +15105055787 +13686880810 +18873525072 +15858248374 +15892596607 +15159552433 +13654045289 +18888146564 +18883260927 +15130585842 +18807372266 +15197393088 +13670403927 +13903580027 +15800741222 +13696510375 +18516466743 +15834677000 +15156063013 +18839969773 +13975465857 +15195672587 +15138648431 +18882026182 +13627125618 +18833942773 +15833350923 +13993359661 +18889752886 +13946465800 +13670603759 +15880883136 +13994613422 +15145447043 +18572999529 +15866305951 +18532717642 +18535372958 +18589625807 +18590412639 +18514171109 +13941478539 +13917841530 +15198714922 +13924475195 +18593406653 +18597916313 +18884013801 +13919906754 +13963259288 +13931574763 +13631061298 +15199226460 +15123821625 +13664364009 +13911864351 +15133618182 +13610643068 +18886373557 +18818770822 +15818441200 +13903275922 +18834205245 +15899787795 +18519856785 +13670328208 +13981477406 +18533489390 +18544425288 +15157104119 +15175746093 +18535918429 +13925209543 +13988183889 +18898571766 +18573849860 +15172997773 +18544707461 +15858986361 +18510908915 +13662200505 +18592340852 +18566068778 +13966167640 +13911803009 +18815024572 +13695410666 +15818455704 +15106465706 +18549600745 +15888013748 +15822020862 +18532040358 +15165281372 +18510400154 +15872433834 +15830753450 +13640372182 +13910998716 +13934759329 +18857455137 +18505337608 +15808563696 +15150075595 +13909051761 +13682899058 +15199057083 +13690115914 +18590861407 +18502131412 +18885134516 +13964108257 +15804576751 +18827645157 +15818500154 +15141460261 +18577888044 +13954763830 +18591646188 +18528068244 +15143533702 +15847034927 +18869829720 +15872429180 +13653453220 +18887775120 +13635022521 +18552739222 +15182889811 +18503251263 +15879305935 +18505789168 +15184691550 +13903504249 +13674131178 +15899269977 +18591569851 +18867824709 +15149128307 +15868924886 +15113884644 +18835228849 +18879911907 +15893408602 +18886294774 +13689630346 +13647440368 +15886447240 +15189400296 +15157174706 +15820710763 +18805521596 +15197798787 +13642045436 +18860804561 +13676593144 +13659575603 +13984053907 +13959530774 +13978766701 +18565198225 +13983987751 +15867066667 +15852009637 +18509020761 +15839444598 +18800649363 +15109347019 +15816835955 +15153805479 +15133215870 +18534574158 +18546513410 +15859737325 +18845334871 +13907242293 +15181338057 +18548081317 +18807911496 +15102493291 +15125622462 +15184999658 +18826538421 +18810067761 +18501934485 +18528800280 +13977608390 +13677285211 +13627007065 +18875547065 +13977300281 +13954803254 +15140557988 +15855144265 +15128226325 +18511271679 +13613747110 +18547800926 +18895155360 +13646872792 +18814887765 +15129704267 +18536438639 +15177354290 +18531453155 +18594768711 +15190656977 +13656870359 +18509988557 +13929566736 +18514451318 +18555639902 +15809985193 +13623676744 +18880548035 +13943276302 +18868258989 +18898459638 +18804596795 +18584600868 +13684306664 +15875279615 +15854692682 +15837994348 +18561698044 +13947148652 +18818047824 +15184700786 +13642017939 +18880862665 +13623779222 +18574191292 +15826337156 +13901993838 +15831048187 +18895137798 +18849151466 +18881281320 +18526588957 +15167156905 +13668684619 +18589195760 +13633364345 +18524187621 +13995070789 +18849605537 +13652608983 +13981216659 +18553505786 +15120806724 +15839666384 +13615276795 +13616706228 +13965940772 +13649188553 +13602048048 +18808020456 +13929867372 +15865201613 +15838749713 +18529270610 +13669247666 +15116307342 +18595229306 +13681215806 +18591422550 +18848696608 +15855128698 +13931183292 +13661633514 +18854469891 +18889944296 +15891200283 +13953036476 +13663465573 +18502774819 +18879298779 +15157117891 +15822861641 +13613257079 +15124666057 +15824653117 +13950782769 +18807095095 +15126333150 +15174412663 +15169545511 +18827009876 +15192793689 +13670284382 +13918984352 +13946489988 +18522669742 +13668428024 +15877799116 +13925631137 +13968260218 +13988907679 +13908933855 +15179667679 +18845964177 +13636729409 +13932627244 +13656702257 +15188245661 +15868411379 +13686969923 +15158242919 +18804200480 +15812786012 +13680811817 +13645596831 +13609480622 +18855616217 +15172907697 +18850509866 +18561850428 +15890730602 +13940896586 +15869852727 +18530787781 +18553048247 +15892569251 +18840166331 +15117022121 +15852912813 +18524204146 +15821983624 +13653103863 +18808260379 +15168749925 +15161416709 +18584725833 +18557670378 +13696468628 +13939111715 +15875828241 +18859966756 +13699630948 +13985713536 +15843030531 +18567632824 +18532923116 +13942939140 +15107213203 +13970140489 +18584074392 +18827545620 +13674079221 +18552588635 +18811491799 +13915717370 +15114752884 +18566832020 +13979026347 +15873503889 +15890496146 +15811851955 +15150461511 +13902696052 +18582397676 +15111028094 +15843963486 +18835981794 +15865381665 +13923119967 +18511258247 +13908476841 +18514819540 +18826876300 +18818464998 +18823471521 +13610933904 +18851795945 +18583781564 +18560343100 +18856328238 +15118425490 +18501789442 +18811978853 +15164756224 +18594669097 +18502689435 +15191524223 +18506652296 +15188597875 +18522540998 +18511700050 +13912927317 +13625123489 +18865254520 +15124705154 +15194880108 +18576116607 +15878125143 +15144245604 +15812345543 +15859262285 +18816008662 +18815231719 +13694308932 +18503935074 +15801228533 +15812997402 +15877503863 +15149073425 +13970993105 +13927399742 +18594730984 +13612969260 +13626474318 +15136184484 +15815002896 +13916176556 +18534576973 +13909401635 +15867308582 +15107476037 +18534716559 +18543051899 +18592813889 +13902156804 +15803188745 +18518985584 +13621251875 +15810796789 +15109890040 +15862875821 +15888366947 +13687106784 +15865682881 +18573194746 +13934980383 +15142378062 +13639472755 +18872455491 +15185067828 +13911605118 +15194821273 +18878406730 +18850166045 +18824431209 +15121547810 +15177588386 +18869160234 +13951286070 +15102707110 +18585788472 +13944663219 +15196825979 +15898259673 +13997535471 +18823738001 +13689375556 +13911510864 +15193791467 +15112038071 +13692417835 +15865005424 +15105101647 +13696536620 +13953016390 +15101194215 +13964947779 +13991738142 +15189305799 +15804546119 +18527105289 +18584909358 +15855985455 +13615665197 +13624089298 +13939621769 +13906233161 +18831311435 +15154819529 +13699418822 +13937091955 +13658530929 +15884706133 +15111666391 +13658263502 +13993213287 +15116063203 +18510674739 +18563590428 +15129394728 +18541454889 +15812754515 +18567838824 +13974823561 +13684510699 +13990471846 +15804185436 +15193115243 +15130512323 +15167154180 +15149328912 +13928816133 +15181520354 +15889770044 +15842317697 +18875567952 +18803481217 +13989333642 +18848867296 +13614678830 +13933834328 +18829588648 +18572511573 +18868549130 +18528473976 +13982977575 +13929884220 +13908512465 +15185575631 +18863049691 +15831189762 +18560856650 +15803730240 +18802218424 +13953810571 +15898172760 +13647143746 +15865600714 +15117910926 +18506217048 +13995255510 +15867592528 +18557590733 +18802032393 +13911625615 +15855399798 +18850100285 +13973871687 +15802577702 +15864696072 +13605562385 +18881297443 +18854671538 +13929700879 +18870668842 +13631397048 +13645511136 +15183232870 +18573994113 +13675494041 +15148375074 +15870722113 +18551956751 +13650543273 +15855512729 +15832359091 +18824029616 +18810223441 +15195937992 +15847539453 +15108767223 +18506264238 +13693793289 +13953079535 +18554249525 +15895193990 +18569362594 +18897229689 +15860568022 +18878803694 +13923110560 +18848018224 +18513707321 +13629302446 +13634816403 +13934306670 +15180174688 +15102232059 +18548084916 +15184340801 +13998016432 +18818689335 +13692398150 +18553088671 +15113536752 +13602149542 +13689138167 +18857201136 +13943565981 +13624159096 +18503667774 +15831301308 +13951065630 +13640682153 +15884312164 +15175208038 +18805451411 +15116618305 +18899437346 +18508073523 +18537132401 +18841088183 +13659787151 +18517445268 +13973994778 +13619609920 +15159673653 +13613888439 +13967099496 +18587395302 +18873145487 +18864989362 +18517161751 +15895474465 +18837325898 +13688108474 +18507788987 +13697162571 +18822306934 +18833690927 +15896609662 +15886103117 +18862738572 +18805474612 +18526511180 +15187563250 +13977621338 +18575303958 +13901062259 +18579568950 +18891516922 +18558888443 +13977481968 +15822646912 +13601658329 +13998238853 +18856380895 +15136457442 +15882867606 +18588116297 +15892624825 +13675773247 +18826303765 +15160096729 +18514546645 +13900652638 +15885193373 +15163900221 +15888508858 +15116423311 +18831591706 +13915106660 +15193836821 +13934356762 +13946289872 +15847969637 +15889224950 +15826985971 +18866276424 +13981168451 +13961758113 +15856746289 +13909939729 +13648315904 +15822275757 +15831166238 +18573354265 +18506200910 +18847433394 +15115944728 +13943923521 +15886751848 +13684603003 +13983280770 +18828797002 +13685498030 +13602009479 +18802547198 +15149902520 +13692740069 +18815067761 +13924568440 +18866877378 +13980862406 +13960085062 +15863348013 +13993187951 +13682084725 +15140571427 +18801677418 +13915787538 +15841112059 +18807334807 +15161615188 +15125023001 +18589731863 +15898223515 +18576079487 +15835969651 +13665082841 +18819464669 +15145128105 +13984984975 +18533614522 +13614010599 +15130565680 +18893119666 +13981336636 +13623751080 +15892911962 +15840305865 +18548909080 +18806985819 +13623840755 +13966533185 +15179210942 +13904816477 +15874079243 +18587014170 +13965998188 +18803293946 +18510128646 +13905682299 +13696303639 +15848699576 +18536194618 +13933011313 +13992823928 +13952746743 +13926126527 +18824260105 +15818642361 +18848846268 +13902328733 +18824084409 +15895443298 +18878842798 +18865050245 +13921607541 +15817381207 +13669521145 +18539852620 +13682058768 +13951806566 +13983548810 +13640332374 +18530711089 +13960412546 +18583964542 +18531379646 +15105800853 +13651461205 +18588055912 +15817762687 +13649381794 +18560993595 +15160659563 +18558654675 +18826108951 +13965125445 +15121925323 +18518943067 +18868931169 +15833386167 +18593661068 +15888560457 +18839103198 +18567491523 +13627635593 +18511088805 +18893723635 +13632653737 +18879891115 +15172086467 +18569571054 +15852072253 +15894784723 +13960038109 +18548739828 +13994047143 +13946151613 +13695019169 +18573579619 +15858482615 +15116201044 +15192764145 +15840079275 +15107151094 +15850787526 +15899847815 +15101663668 +15108437829 +15145922267 +15179656233 +13630928476 +18541098677 +13620848501 +18564307862 +15138826738 +13911017048 +13999691134 +18838474399 +15816643445 +13927490070 +18811329904 +18596278189 +18504695340 +13938972983 +15195020931 +13611276842 +15160650277 +15818672814 +18576948794 +15198654071 +18551748794 +18581995865 +18588638681 +13650895259 +18867971075 +13696169724 +13640723037 +13685350635 +13601267565 +15180458141 +13930121700 +15115332357 +15838311005 +13625345364 +18569372756 +13951047142 +15843343021 +13964504393 +18882393526 +15146644923 +18865476078 +15134658893 +13926652656 +13668892356 +13993887396 +13661530656 +13985773063 +15176521272 +15172401319 +13605975481 +18565854488 +15804734050 +18829252813 +15812889675 +18561720062 +13909202545 +18521454100 +13944703976 +13991706522 +15855994454 +18540039937 +18518809027 +15803086065 +13917695869 +13952271650 +13940215219 +15853248361 +18562204277 +18530947746 +18819220383 +13621826364 +15140069915 +13608890273 +13946843689 +15128823699 +18860654512 +13693316013 +15103206938 +15122846235 +13608259387 +13976305397 +15810377947 +18892578330 +18826916239 +13653419787 +15845969932 +13602178040 +13667271237 +13943392362 +15194478306 +13691600420 +15818393930 +18520600347 +18527711699 +15815355620 +13980378350 +13610909145 +15892754590 +15100843728 +13652212039 +15112244105 +13996011509 +15145490684 +13697544871 +18594654277 +13950523002 +15107161676 +18517532338 +13904651792 +18879981000 +13663529391 +13621968151 +15109713960 +13621420810 +18599210508 +18516202764 +15149679008 +15137467466 +18578804793 +18594424994 +15184425015 +13932287479 +13928367228 +15810864447 +13958977237 +18579618713 +13615682976 +15182413718 +13922255535 +15818428432 +15183540712 +18814091805 +18588357432 +18884927595 +13622255070 +13618216818 +13973363346 +18844724362 +13623377809 +15139610138 +15866246765 +15879831654 +15807686298 +18596298392 +13997969037 +18557376165 +15898526355 +15161198322 +18858633732 +18524047307 +18871385542 +18510828924 +13699458774 +15840191876 +15136385666 +13980471052 +13979477466 +13618703335 +18876360227 +18822301898 +15824487990 +18568767067 +15831680707 +13955839752 +18854532609 +13677169211 +13680744197 +15832658975 +13616295375 +13982973542 +18529816645 +13992440570 +15887133300 +15868875687 +13929993941 +18802323181 +18893417422 +15128035501 +15156628619 +15851972859 +18817336373 +13947483595 +18595291779 +18896412554 +15120449780 +15898433284 +13631044152 +18816793191 +13919453977 +15853055730 +13944167650 +15808177052 +15894642908 +15866967729 +13915006633 +13942508866 +13604715954 +18542362670 +18835109869 +18538224071 +13691328547 +13665961241 +15860489293 +18585053725 +18802099398 +18521963298 +13685981899 +18845525877 +15167207278 +13937689576 +18810920727 +15193531401 +18833707703 +13637645817 +18596730921 +18822068747 +15853998383 +15177301978 +15194245720 +15130884368 +15819471870 +15876743275 +15810012950 +18802403092 +13965679708 +18865762595 +15151533957 +18557624834 +13903071403 +13936167510 +15147374151 +13622042184 +15136952912 +13926222605 +15894110230 +15113603218 +18552642927 +15178176307 +13661788058 +13645253248 +18808587351 +13692514879 +18592789716 +13924753393 +18818459049 +15843875552 +18866761187 +13621095440 +18525130473 +18598444738 +18506212816 +15146551005 +18860711767 +18877100841 +13672149647 +18898042772 +18874411551 +15831560647 +15119184556 +18880459147 +13633907603 +18881279937 +15869003269 +15159398679 +15895728396 +15112660774 +15816972507 +18570645995 +18561658718 +13912138110 +13977557025 +18881074635 +18598799625 +15175617960 +18837940501 +13665038632 +13965253437 +18538920624 +18889186965 +18535724673 +15157814504 +15856237517 +13696431781 +13682539078 +18841831733 +18871743032 +13917239266 +13673267767 +18523739204 +18599410651 +15137984199 +18855016005 +18508685323 +13667943725 +18503384491 +13985388254 +15867090059 +15838375632 +15885587639 +15108656324 +13958673377 +13974824767 +13912961402 +18557912496 +18590399459 +15842806419 +18533999171 +18567333302 +13631794290 +13669959570 +18500165242 +13991311901 +18590560489 +18574926452 +18870971533 +15892433413 +15886183172 +15811016775 +13958821399 +15884530046 +18562979441 +18847602320 +18527171466 +15815739751 +15188816964 +18820831589 +13910602579 +13999064231 +15820535268 +15897063920 +18519327766 +18503874560 +13675453979 +13678071005 +18883200843 +15818728644 +18893190727 +18892756150 +13648869569 +13671244807 +18517801127 +13645777918 +15148856850 +15177593026 +18856598218 +18567328922 +18547811434 +18534554272 +13986791167 +15125684818 +13694451653 +13968847283 +15810860519 +13667425752 +15888502838 +13943883523 +18855598607 +15117919618 +13688657108 +18535709127 +18858381356 +13920085868 +15852454270 +13950188312 +15117138236 +18835060022 +13687371280 +18574708738 +13904104222 +13992712020 +13688066102 +13696606225 +15834491666 +13965479850 +15107649458 +18511185971 +15873099040 +15845222857 +15845036201 +13976815154 +13643064788 +15822028659 +15194338105 +13905952724 +18873006809 +18825518548 +15102571898 +15163009029 +15183776019 +18809689970 +13654250971 +15137018848 +15896648862 +15132247907 +15880967541 +15153459654 +15854058436 +18875992885 +15145874015 +15867700358 +15198063631 +18831037057 +13603043825 +18598090853 +18551448665 +18583597858 +15166747968 +13698161389 +18808290275 +13690353647 +13999734618 +18819801373 +18554930618 +15103394582 +18835663095 +18862551159 +13615360253 +18806609454 +15821671410 +15177078183 +18890017706 +13983996809 +18833055399 +15868033899 +13995352074 +15829344550 +18862653387 +18506239922 +18881890936 +15850261174 +15183188697 +13919571055 +18807196142 +15109704458 +13929118465 +18860798112 +15147961137 +15152513120 +15801633910 +18898438570 +15191497652 +15803693317 +13691019940 +13966059118 +13648812911 +18851063823 +15810011780 +15159643058 +13655992137 +15860468925 +15185372101 +18581252774 +18818114877 +15803763080 +13646946793 +15850104175 +15136644321 +18871167628 +13695840303 +18884216447 +15143934615 +13997055868 +18802673772 +18810206110 +13947717406 +15875644339 +18850437334 +15129206717 +15819156204 +18831770636 +18882745514 +13657345498 +15187786122 +13922145320 +15834659276 +15836082561 +13942232632 +15185891382 +18841004123 +13613709027 +15872779132 +13628403017 +18812215714 +13668460450 +13613975991 +13908555510 +18852475222 +15803602913 +15873975714 +13976424926 +18883476203 +15136670067 +13913551204 +18890237639 +15830789567 +18874950566 +13659959002 +18879176831 +18547226587 +18878044804 +13699814366 +13950245076 +13940449875 +15882119368 +15174922142 +18831697293 +13635138290 +15862211990 +18869067920 +13632585009 +15105671458 +18807399845 +15835603987 +15824436443 +13983999774 +18894394961 +13683167373 +18527146501 +13918263348 +15837927199 +18562117084 +15158817658 +13612779018 +18562228709 +13671266169 +13951036334 +18549529033 +18844610633 +15178211456 +18837042917 +18520633427 +15187587221 +13907207979 +18890427132 +18814807708 +13961652841 +18521427273 +13990800615 +13900796159 +13612990783 +13699597686 +13915701858 +15843575123 +13920693303 +18526126061 +13995950650 +15851439102 +13966477315 +15137259334 +13641378421 +15187173689 +18841447202 +13691416814 +18860205047 +18847295027 +15896969001 +15855206922 +15827326345 +15104753562 +13901708257 +18588187586 +13612158257 +15830930326 +15175315338 +15130136566 +18542756229 +15119913591 +15165183665 +18594827171 +18524057201 +18507804149 +18574057499 +18573258972 +15840346931 +18542421193 +15134809186 +15871676910 +15141465624 +15122657070 +15142126561 +18522441090 +15892854422 +15114746415 +18845881533 +15148013134 +13904695489 +15854261279 +15130283306 +15814302158 +15880306729 +15114396412 +18887708788 +13618500207 +18595646351 +13921161720 +18571003487 +15842724651 +18593470108 +18894558420 +13625836544 +15117140323 +13968677663 +18808538994 +18507548248 +18559062795 +13614616842 +18839746796 +13992367049 +13697070954 +18580983011 +13966227848 +15191997462 +18812496819 +15175504250 +13635553409 +13997650420 +15833163531 +18836040723 +15821082023 +15109229034 +18518853254 +15137570910 +18527142091 +15102919020 +18892712133 +18566400276 +13670809240 +13669046509 +18539792988 +15100501802 +18518593190 +15874065454 +15886269696 +13912393392 +13641965243 +15186319061 +13957130968 +18575588111 +15141380979 +18509927507 +15164648039 +15893697798 +15821845010 +18559187355 +13914548709 +18578607937 +13972296814 +18830616201 +18556192748 +13959500255 +18588720728 +18501323373 +13911921465 +15819361951 +15845303941 +15144703792 +15886614941 +15199565210 +18571293321 +18858987103 +15867314427 +18578614123 +15888680928 +18545353463 +13659995755 +13943874690 +15845607905 +18510302211 +13911884069 +13931234774 +18829680190 +15877202301 +13636674222 +13639239173 +13951485679 +18557491553 +15160727282 +13689318927 +13970517483 +15160289062 +15899687941 +18806991899 +18889035487 +13944190330 +15134101682 +15817657063 +18847858935 +18585068233 +15822893676 +15165039532 +18810192978 +13689622535 +15832273855 +18552965384 +13682101243 +18542810958 +18528348326 +15169947071 +15113737174 +13955716606 +18523198765 +15152470578 +15885098543 +15166785922 +18877453353 +18831154884 +18874307011 +13699713204 +13639500042 +18504485679 +18867569228 +13610261293 +13952037328 +13632760086 +13917907203 +18523364274 +13961170600 +13617476797 +18869837629 +15122483434 +18828455800 +13643062189 +15164164703 +13691730891 +13608854412 +15188360395 +15134670329 +15199147357 +18546194145 +15151468383 +15159900694 +18887605650 +18826204745 +13685939878 +15845259672 +13981351883 +15833880894 +13926590434 +15802370431 +13972676468 +18531485658 +13628470271 +13966337770 +18555094436 +13630378170 +15181100511 +18512388677 +15179955550 +18501943277 +18839559897 +18529240253 +15810248845 +15123652295 +15148144100 +13623427050 +18833925173 +18581034101 +18876881255 +13980631201 +18557145174 +13913744221 +13961328179 +15159232149 +18820400185 +18821586956 +18809985421 +18872620545 +13952241739 +18853639297 +18891789989 +18582652751 +18579395574 +13977819893 +18536063230 +15140717181 +13660806884 +13918585228 +13951352902 +18872395346 +18856095610 +15132572353 +15888991481 +18521381279 +15883537932 +18882508978 +13970654769 +15894858978 +18550574755 +15112560542 +13630306240 +13637937327 +18503476122 +15838967694 +15153108678 +18515703649 +15804239610 +15116327327 +13968140115 +15127547260 +18867516681 +18510416564 +13638207644 +13643120812 +15114637517 +15145144160 +13612608807 +15814461146 +18859621998 +15866371715 +13927587104 +18523635133 +15186731780 +15190958281 +13688052114 +15810790453 +13962604988 +13933674472 +18517279097 +13640385868 +13668384361 +13626220329 +18590081563 +13925968618 +15812213620 +13698934246 +15140254559 +18856454440 +13607573228 +18870427989 +13674860364 +18546005075 +18849101584 +15186802120 +15873227949 +15806408749 +13608649905 +18544193957 +15888266347 +18528632657 +18849054524 +18895830095 +18810743860 +15169392591 +15169050287 +18899715673 +15866542716 +13906257075 +18879072605 +18504349554 +15848105768 +13681155606 +13964435749 +15824480088 +18889113093 +18845271286 +13988410862 +13615819963 +15886735307 +15854688234 +13993122153 +13994742242 +13957221573 +15176664764 +18560866996 +15840860373 +13930424249 +13625438550 +15871636829 +15864917695 +13625746316 +15140261665 +15893571010 +15889197359 +15841451457 +15128202343 +15129461524 +15154711982 +15851820640 +18503328305 +15871448757 +18537270770 +15156805291 +13669041887 +18859900238 +18576898619 +15191852101 +13659992655 +13630317017 +18834244436 +18887406509 +18565501872 +13663808783 +15817900486 +13660423354 +15894887156 +18823018124 +15105357146 +18833073542 +18529426917 +13677622306 +18812430256 +18820558169 +13677966049 +13656595030 +18882538981 +15815129183 +18808456266 +18877513274 +13942547654 +18580254689 +13646470882 +18860719948 +18517122005 +13657726132 +18814239522 +13660746655 +18893825317 +13904636058 +18567999999 +15170057200 +15849234489 +15187707203 +13691215723 +13670016521 +15849958118 +18553300978 +18517256350 +18889234446 +15145334837 +15895537469 +15878526408 +13938997448 +13625476722 +15152103984 +13644473803 +15101339787 +15871605418 +15166646010 +15135962142 +18852304849 +15142015137 +18809250531 +13948583404 +18517877643 +13638395409 +13998665255 +13973106234 +15192325028 +15149156886 +15860731928 +18896445349 +13685049086 +13912512199 +13944750858 +13688754756 +13612833762 +15178319490 +15881769205 +15861083039 +13634272418 +15161051064 +15145834243 +18536974132 +18505913093 +18598686556 +13664290910 +13985889595 +15197139928 +13661276910 +13937984657 +18506853345 +13658929445 +18866395798 +13975139351 +15157578556 +18897727607 +18832142517 +18840665884 +18525979811 +18552950138 +18827055136 +15883508120 +18843210724 +18816897675 +13903479607 +18583291537 +15885291855 +18503726295 +13615995795 +13681834300 +15154398966 +15108174032 +13902828664 +15176712081 +15832822228 +15111327594 +15894359375 +15184381410 +18813007742 +18595472499 +18584852586 +18591561643 +15830658111 +18833369148 +18888709309 +13635560279 +18879540044 +15189627995 +15116110048 +13982938976 +13999100432 +18805452111 +18877455856 +15180448152 +13621523573 +18847049522 +18566467103 +18581154022 +15892021227 +13684117959 +15185682532 +18888540845 +15886277221 +18510617440 +15137638438 +13902440766 +18520894019 +15191405560 +18818693812 +15834108572 +13965050475 +13931271543 +15897439787 +13675333969 +13906912037 +15118885297 +18853192616 +15188980979 +15888991863 +15889074534 +15154756472 +18590880234 +15877798076 +18832407301 +15104526435 +15853967899 +18566961476 +13933075927 +15891990969 +13676684352 +15887513068 +13971691826 +15813450460 +18831675199 +18595468222 +18863622253 +13619431432 +13995545050 +15888217010 +15855908532 +15120299491 +18873830818 +15818613104 +13684974787 +18514999919 +13931127448 +18544034279 +13614048107 +18872626109 +13957808096 +13692699295 +13986651198 +15148258908 +18815198920 +15864797019 +13992696996 +15851436999 +15146098638 +15122786227 +13918673458 +18557478352 +18815613127 +15863600162 +18849856058 +15839437780 +18555509001 +15866289498 +13908762200 +18825692912 +13699687292 +18548577185 +13611174524 +15196390592 +15844115598 +15130720603 +18879480218 +18815245350 +18867281396 +18838202760 +15828542015 +13985482875 +18528323531 +13629797642 +15106074656 +18538051559 +18880245001 +18587301628 +15135452839 +18517967577 +15123425092 +15186164617 +18830192600 +13621040721 +13675600749 +18567858694 +18563802028 +13649226086 +18590991122 +13601291185 +18507953160 +13649488388 +15169846322 +18512360762 +13959289277 +18519708365 +18514723649 +18895707951 +15837331559 +15171054288 +13949803275 +15868872811 +13989340612 +18527270026 +18873299367 +18883790884 +18511884339 +18587669583 +15870507622 +13639747155 +15888202848 +15829456213 +13602281989 +13650133459 +18808578852 +13948764086 +15827358977 +18558805737 +18555338224 +18899852963 +15813217574 +18552892567 +18899425313 +15174871533 +13905927911 +18547257447 +18574101162 +18855313345 +13660790023 +18533655625 +13699887248 +15846340625 +13603627672 +13603860293 +15856880158 +15130429444 +18883719875 +13908379347 +15152172816 +15169045431 +18510619067 +18574703030 +15866616947 +15823129567 +18593946391 +15819829321 +15880940757 +15154548882 +13623592694 +13901377257 +15191077428 +18511512894 +13645028523 +18847383534 +13696526784 +15168046830 +15157089563 +18599513509 +13607530606 +13965178182 +13627882681 +18831573006 +15192120243 +13623050887 +15835840617 +13670801373 +18599106845 +18820053702 +13679535678 +18870442249 +15813844948 +13616382022 +15811281396 +13640611624 +18525334121 +15198594389 +15815930592 +18853129458 +13606252596 +18834954682 +15155615012 +15873257908 +13920649210 +13951353403 +15861525299 +13911374907 +18513798298 +13644314090 +18590699212 +13692435317 +18544730730 +18523354001 +13920516449 +18841414785 +13961828067 +15806521733 +13940470209 +15107238255 +15830492416 +18805628672 +18527254030 +15893053973 +18542763361 +18579437330 +18532328284 +13908662762 +13937601265 +15123037490 +18528725448 +18820112605 +13601420307 +18575189028 +18587723228 +15845061893 +18830343857 +13918448126 +15105485519 +13674968269 +15882518013 +15134354298 +13682313342 +18522804155 +13973763040 +15888636576 +18528434086 +18876342834 +18844027684 +15873243622 +13944741199 +18880796882 +13663070944 +18524739956 +13987051050 +18553595650 +18568910639 +13980059248 +15160544699 +15856418382 +15119073598 +18587194222 +15804339764 +13641035255 +18546807947 +18581932304 +18503500595 +13608821792 +18889603098 +13648830924 +15173367444 +18530394925 +13621168412 +18587981371 +13983671907 +15862359539 +15144035258 +18549248662 +15805803167 +15188361183 +13677428011 +13688467023 +15877033501 +13671086122 +13659475548 +15150887532 +18514719335 +15166943592 +18867828163 +13616548127 +18891210945 +18809007396 +18849380988 +18844340769 +15120105804 +18812147559 +18899901799 +13924951029 +15165261151 +15833537782 +15852893338 +13657694605 +18801984948 +13911420231 +13643060498 +18580540438 +15154926138 +13906477990 +18552189711 +13934403153 +13611613345 +15190427704 +15156493080 +13942598212 +15867319972 +15187510065 +15861666822 +18590816649 +13619918345 +15802479348 +15110697904 +15847849104 +18889410065 +15186480367 +18587428746 +15101408607 +18543146276 +15123875457 +13952165215 +18591975366 +15802665556 +15897223137 +13630502545 +15806574439 +13945375645 +13904863647 +18578570898 +18855750859 +18504140082 +13619470280 +15869006954 +18848797011 +13645664483 +15896276737 +13640619175 +15100797919 +13625230405 +13667947165 +18800496222 +18517239376 +18855206020 +15865839531 +18861496171 +15193341538 +18553880819 +13658946475 +13656193672 +13638788216 +15824693663 +18578114147 +18577206952 +18534146417 +15854562604 +13962997228 +15884337859 +13948717347 +15165045662 +13963940169 +15826186737 +18873336844 +15121066343 +18805805219 +18532097641 +13638670068 +18565257774 +15169452906 +15849313631 +13955474955 +18510372895 +18812368010 +15884297155 +13909049575 +18533961724 +15157082678 +13983628649 +13634889782 +13949399657 +18577652388 +18591287210 +18890081949 +15151103321 +15898756390 +18880107156 +15832890819 +18587090704 +15835327965 +18891139881 +13624588107 +18868756259 +15153966187 +15856880564 +15816190360 +15150216997 +13669627576 +18852600648 +15817078014 +13964514189 +13969190359 +18889678232 +15145154740 +15100719110 +13647960360 +18850970969 +13964201464 +18840355146 +18886695598 +18873625928 +18864906612 +15889295568 +13943465443 +15183006033 +13633867486 +18542109764 +13604037031 +13603246885 +13693673709 +15144144132 +13915517356 +15858172108 +15855913872 +13975257360 +13625072697 +13671066072 +13671463462 +13698278621 +13919157376 +15828312627 +15183542438 +15127507326 +18803044942 +15895988741 +13991298973 +18598564114 +13992122083 +15133022673 +18879725566 +13624847981 +18590592280 +13648586642 +15832498059 +15876053962 +13693320744 +13959433278 +18849918763 +13973111062 +13976019192 +18516651550 +13625975496 +13650420647 +15852833329 +13923101431 +18865199787 +18535947764 +15187983632 +15139984145 +13687859104 +13957308062 +15176145013 +15868749477 +15891277299 +13990910853 +15198924932 +13608184857 +13961227459 +15890516242 +15848989695 +13961091606 +18857125851 +18596232154 +15155033321 +13900963761 +13957422376 +18875114619 +13674037262 +13679470552 +15152682275 +13668561039 +15102004662 +15872886701 +13644694335 +15877111740 +18812923450 +18521877591 +18553038941 +18828180151 +18557782343 +18593425400 +15161263604 +15848374626 +15817439898 +15835073763 +15181931658 +15899446220 +18589880753 +18545787950 +18585960473 +18845102210 +15877929251 +18503126198 +13648248831 +13625352306 +13669660090 +13956884583 +13947130779 +15836024663 +15192593270 +13957500104 +13665120724 +13913361691 +15844188800 +13698588224 +15846427216 +15107887231 +15857283406 +15135798746 +15166443146 +13933968427 +18873212094 +13924373054 +18583321586 +13934181045 +18531350913 +18550876915 +13962438577 +13962197537 +13604607275 +15198341818 +13652983982 +13919739854 +15175245633 +15875046494 +15173895799 +15128440455 +13917981349 +15114106916 +15869196919 +15160797364 +15806435433 +13936493579 +18590824227 +15814250688 +13695267419 +15139016241 +15184961537 +13961006709 +18595808275 +18867737787 +13961894343 +13628561329 +15112990180 +15121142702 +13636836174 +15144019636 +15858969134 +18580193289 +15889201128 +15157891566 +15817946866 +18831666571 +18879431033 +13691134461 +13909995770 +18502485160 +18586955181 +13980267091 +13903402487 +13618529456 +15168961164 +18528222251 +13941607216 +15164919897 +15890544872 +13609599409 +18896205058 +18548512379 +13653248903 +15116830707 +15127264799 +18840509440 +18593522799 +13607793440 +18825450004 +13945326085 +15898150300 +18886039808 +15850178951 +18870641498 +18544061936 +15159641476 +13952892192 +13939928904 +15816318279 +13981132744 +18573151519 +18554854374 +13964133007 +18877072587 +13949655643 +15199885438 +15876792761 +13964091151 +13675067174 +18560031996 +15870541892 +18523264850 +15840104178 +18551927077 +13647502902 +18857184059 +18800044045 +18801314499 +18539274478 +18850176302 +15891593837 +15810186686 +13980390392 +15828106871 +18883408586 +13971726391 +18814585856 +13632024198 +13630812738 +15873669317 +15137274491 +15116664039 +15179778843 +18550051475 +15874266673 +18849278175 +15186094018 +13969320430 +15195978860 +18863935062 +13966722679 +18584556861 +18811291939 +18809359957 +15894675606 +18836673535 +18549064723 +13922317946 +18560895985 +15104146709 +18870128010 +18856242503 +18599560050 +18841997638 +13668310087 +15844299987 +18819001128 +18523190808 +18516573814 +18518415674 +15145612298 +15192704307 +15892332829 +13923133436 +18867174839 +18575477729 +15853357599 +13954329811 +18834029340 +18593422387 +18507947322 +15128901095 +15144539008 +15874584636 +15843831033 +18886605948 +15139260609 +15128232414 +15880815547 +13650056456 +13694934819 +18829336656 +13625898334 +18851254226 +15889565461 +15899897033 +18590905229 +13920714642 +15892220275 +18848453054 +13622736699 +13608763811 +13997312234 +18584832752 +15839565834 +13667561210 +13669525839 +18843410804 +13946870319 +18896990122 +15114231396 +15183484034 +18568522569 +15844225433 +13961836500 +18518686848 +15158646980 +15869763554 +15136576140 +18828052685 +18591211087 +13990243345 +18506261193 +13924177259 +15141596039 +15178355379 +15158305308 +18884976979 +15885758536 +13665070257 +18558159074 +15889014677 +13667663808 +18554421459 +13961124216 +15849549056 +18506749426 +15146383313 +18871329934 +18534205519 +18540967561 +13992789015 +15143880215 +18539505609 +15132894371 +15881661649 +15825910395 +18521128256 +18897906174 +13996538837 +15826910066 +13937518330 +18830086042 +13645738160 +13603641251 +13993769795 +13621753579 +18513553233 +15179709092 +15822295611 +15866642977 +13653221631 +13605486463 +13667668123 +13920478692 +18566453710 +13922546534 +13914405531 +13643725683 +15891775500 +13682060549 +13959605360 +18894517894 +15870049033 +13687737319 +13639450009 +18804521975 +18825211176 +13601972813 +18841428675 +13933295439 +15158406367 +13660755045 +15115276423 +13662401604 +15892126891 +13676673695 +18513581271 +18824586420 +15120060513 +18516558603 +18821089512 +18875368178 +18811103796 +18537976041 +18554481946 +15855610020 +15869071730 +18503144195 +18579187632 +18851351041 +18534711697 +13959299038 +13908293027 +15105982206 +15811419109 +13613571724 +15804398336 +18861391645 +15822755533 +18568192695 +15873510915 +13938438548 +18594595096 +13678438352 +15835810110 +18850961645 +18521804587 +18822296446 +15111914741 +15134575823 +15167111342 +18868773788 +18577408439 +15177259567 +15884274085 +15808772971 +15846975279 +18889108456 +13972606540 +18595047924 +15133058137 +18896625283 +18888209911 +15868705046 +13634948861 +13931333935 +13911759491 +15114161262 +13958778013 +18532981471 +18885288055 +18854867886 +18827338672 +18848732243 +18522307909 +18855351071 +13900896488 +18893345666 +15143498761 +15154669957 +18828265645 +15157699591 +13915932469 +18544007110 +15166449276 +13935204483 +15163051212 +15856044485 +15872047010 +18844493859 +15804073297 +18591255030 +18503891656 +13964281139 +18852194836 +15179101088 +15864580343 +15149812693 +18548536332 +15142848095 +18899067058 +13604106220 +13685581455 +15856487335 +18800656720 +13963219859 +15188335906 +15193796018 +13907831986 +15126797799 +13921563432 +18838916347 +18834735664 +13606466016 +13632389136 +15184494821 +13608661026 +15166473504 +13684954866 +15159481951 +15883968712 +13686044755 +18828239480 +15164896955 +15181169254 +18839862359 +13923980136 +13932780405 +15151337827 +13942643987 +13985743929 +15800736558 +15809268568 +18872007699 +15880998532 +18581391299 +13684461015 +18554308179 +18533951860 +15867965283 +18566753916 +18537975355 +15175088498 +18877195939 +15869117054 +15134015057 +18832510816 +18810740021 +18576180801 +15898692655 +15159023746 +15147281977 +13929832727 +13912612021 +13602005334 +18811420869 +18875212041 +13927872128 +15806899771 +13635857702 +15197093531 +15139787041 +15833499112 +15842879108 +18509718456 +18522989276 +13691731092 +18874250734 +15162567749 +15125166208 +13641557955 +15187387921 +15152796958 +15116612272 +18863847786 +18894729630 +15135065340 +15123152662 +18596055051 +15862015101 +18856805512 +13934283995 +18826759778 +13623725659 +18873322049 +18501640186 +15851594105 +13922864562 +13608696724 +13960898829 +13690974944 +13669785211 +18823128214 +13613447234 +18581264675 +18558629306 +18504497657 +18890862793 +18541858779 +15109372906 +15866384492 +13991718407 +18535244144 +15132620515 +15149116300 +15858847932 +15123995174 +18545553940 +15132421107 +18565230416 +18537401876 +13613603997 +15876369241 +13929989305 +13993686316 +13940509357 +15173648963 +13620014423 +13661208212 +18876210340 +15107696186 +13682479512 +13980670346 +15110583120 +13925378553 +18593260973 +13917846711 +15156070308 +18870241617 +15864661607 +13693686043 +15108880497 +18593498385 +13902588551 +15896731187 +13612754583 +18515024468 +18842435504 +18573806273 +15885859092 +15102913790 +15802166961 +13903480471 +18521341190 +18873976849 +18534676093 +18814633855 +18828402974 +13648942220 +15123752335 +18584218597 +18841850154 +18894395647 +15122675191 +15161239429 +15829030945 +13990944537 +18503441145 +15829760793 +18867381024 +18858831065 +18872106675 +15160068599 +15129772440 +13679226718 +18507133249 +15807498592 +18871579645 +15141604894 +18831521721 +15893364078 +13673137608 +13991332355 +18551221152 +13636942038 +13970426920 +18806186923 +18557857918 +13645819121 +15132581960 +18892722469 +18572688007 +13935237133 +15159891520 +18599045033 +15831426598 +15142829212 +15196302436 +18557066549 +13969816800 +15105397608 +18543472381 +18859387741 +13994717608 +15142942408 +18859925579 +13996504743 +15894330267 +15827918755 +13908009863 +13621651440 +18881574103 +18801889961 +15828899847 +18829414745 +18519369594 +15142096723 +13671822455 +13663356828 +18807802952 +13946972103 +13647996648 +18899599352 +18598481995 +18875531023 +15125197986 +15877954512 +18896199569 +18888509185 +13940560108 +15881185836 +15173562675 +18870467535 +13687307030 +15897937935 +18547405306 +13677134382 +18847780354 +15165054729 +15854796181 +15147443029 +13990245560 +18537288125 +13981711482 +13970959607 +13976256099 +18890200869 +15132327045 +18804540917 +13927060780 +18587662224 +18874852011 +13976289115 +13909995384 +15892258944 +18809647737 +13967241538 +18566989851 +13610080179 +15881787668 +18577677911 +15178542025 +15135395716 +18527558110 +18838990168 +15129862900 +15863784581 +13973778835 +15137585482 +15822185928 +18520067796 +18845410392 +18593029732 +18808372599 +18505704734 +15849253550 +13699973021 +18588239069 +18819042866 +18504867287 +15884412630 +18533546714 +13678213095 +15151848320 +15145372211 +15815735540 +15147021994 +18850164541 +13937827849 +15828090539 +18531825586 +18517667398 +15806768571 +15824121714 +15865959244 +13607521431 +18858188242 +13623068851 +18533497173 +13679270303 +15190005880 +18538497631 +18567823295 +18842528955 +18579472513 +13945100965 +18833756303 +13670761102 +13996994332 +18807406977 +15853491371 +13948575913 +15826667565 +18543799343 +13987790071 +15164578904 +18588978790 +13683466722 +13902215752 +13688049438 +18889027089 +18558086553 +13640605893 +13635293492 +18868455016 +13696802628 +13670531609 +13965996091 +13901818350 +18540100588 +13612169821 +15893261754 +15801168414 +13664205609 +15176903182 +15115882446 +18585645795 +13611576452 +18803615568 +15139427692 +18883328420 +13604036391 +18505518605 +15175740370 +18501538498 +18590195011 +13691444082 +15892252976 +13998558901 +15116218091 +13986125807 +13635763260 +15104097419 +18870517235 +13997136600 +18868430676 +15899815362 +13638783978 +15156286278 +18878385143 +15123682621 +18547447958 +15166314030 +15865043630 +18836201496 +18563589786 +13976269616 +15160350378 +13602248215 +18534745218 +13985964713 +15191871256 +15899060771 +13989430374 +13693099370 +13983439994 +18547365249 +13666492918 +15833053224 +15808678663 +13662027168 +15129339610 +13923192072 +18531374403 +15143552641 +15125421369 +13603060288 +18554748290 +18865241511 +18832693386 +18534103158 +13959497905 +15101649376 +15177848985 +15141281647 +15899701723 +15885114147 +15158768748 +18566596183 +18592022696 +15120200136 +18850817119 +13950436660 +13690582324 +15879294311 +15103676077 +15159800630 +18556517088 +15136131034 +15811485534 +13911236269 +18807766815 +18894612085 +15136284463 +18865447242 +18523461849 +15127873092 +13944420499 +13973882159 +13691168506 +18837527398 +13950191646 +18851419644 +15137285289 +13938297637 +15130964087 +13686203746 +15189086180 +18526452645 +13648655487 +13657813788 +13945789341 +18555446378 +15144057487 +18507676140 +18880337029 +15107653415 +15857540250 +18577764022 +18547927718 +18818426842 +18840239191 +13994766647 +13658499985 +13965585953 +15117846045 +15138062725 +18821952282 +18572055568 +18824917895 +18543592995 +18554214139 +13911940870 +18518211872 +15873629077 +15129806732 +13676078132 +15883622047 +13926179177 +13919762493 +18852601516 +15836504022 +13974865820 +13666964295 +13923283442 +15104309744 +18556509327 +13967397761 +18580885346 +15845437095 +13910999059 +15103397487 +15883886198 +13684520769 +18813937698 +13645920703 +15832703888 +18807541619 +15833704663 +18893784109 +18825263179 +18818528345 +15118332560 +13955025400 +13982910947 +18852192011 +15863250291 +18840416336 +15148973068 +18888804675 +13679870449 +13967457012 +13936762780 +15803869199 +13996929184 +15192655520 +18846182819 +13973300801 +13654785716 +13609682787 +18898311197 +15148387133 +13906137283 +13668583145 +18513094949 +18864533006 +13627590119 +18512082129 +13959118005 +13620783132 +15872696697 +15122910271 +18510589645 +13994076228 +15119991199 +15839363370 +18885372670 +15877473959 +18870405597 +13655784657 +15845757369 +18883866052 +13604817129 +13916655270 +18551310092 +13903946152 +13927692575 +18552300077 +15130592716 +18529831539 +13908585652 +18860023750 +13656023219 +18897286193 +18594012897 +15803654388 +13694793440 +18577510217 +15882661259 +13974198278 +13919745042 +13609681325 +13991726972 +15147557932 +15154366701 +18843459561 +15816417075 +18515514827 +18500493280 +13639127526 +15803482573 +13959501326 +15154212387 +18592036680 +13662002192 +18536791987 +15853813089 +13948908459 +13615964394 +15873558820 +18551728666 +18511482851 +13637228653 +15174342774 +15184216721 +18533969573 +15128565517 +18865864683 +18537269053 +15838458598 +13922082770 +15199605556 +15831955595 +15102975893 +13994678731 +18572619930 +18891662082 +15112512490 +13920815798 +18517486777 +13914205225 +13920858704 +13908128675 +13932525979 +15851202981 +18870216407 +13935680787 +15846014178 +18568174677 +13650998218 +18809635606 +18807853712 +13941001466 +18836233333 +18557748331 +15826742847 +18847336473 +15855174196 +15138357800 +15819353407 +13658049196 +15169074475 +13964863676 +13627068897 +15162866156 +18520717366 +15185263762 +18537499768 +18511178674 +15828699717 +18541620779 +13900897023 +18573125620 +13997004811 +15199278610 +18538762121 +15873881745 +18592665272 +15892710365 +15186802597 +15193042440 +15135249764 +15885507522 +15801090853 +15104425046 +15893598291 +13945898802 +13669463635 +18570399679 +15808299625 +15870448964 +18509178804 +13934889931 +18870304522 +13971262137 +18815681954 +18585965479 +18874567440 +13662931701 +15853533835 +18887073375 +15857465273 +15833577489 +13647487151 +15171748657 +15165785921 +13602171420 +18822572792 +15162076176 +15103846062 +18817199120 +18848229617 +18577946663 +18546136545 +15845579159 +15829677955 +13926186316 +15843455640 +15815675742 +15154659924 +18556698848 +13683536112 +15804847912 +13632455374 +15112174016 +18896712657 +18857994902 +18844478730 +18869526923 +13947460243 +18515326187 +15888312774 +13659744562 +18847061592 +15892480156 +18894012409 +15830991062 +15889662636 +18833809020 +18548641566 +18513114740 +18852149074 +13970614257 +18829272244 +13694827536 +13600354525 +18865249899 +15892181228 +18555912990 +15834938863 +15838270719 +18806203215 +18840361753 +18524243630 +15134552221 +13630887275 +13906082925 +13652285724 +18867613556 +18552577269 +18872175627 +18845420769 +18879502374 +15127218378 +15110550477 +18837878157 +18580987692 +15141085770 +15818725547 +15152529773 +15154445180 +13620046815 +13984090043 +18592703695 +15170758006 +15824771678 +15882219180 +18536679686 +15839928457 +15870691257 +18837958104 +13651839871 +13664290813 +13632815560 +18537702719 +15824423779 +13661676578 +13631193542 +13632617131 +18818546048 +15157462281 +15107434015 +13615128586 +15819219684 +15806362163 +15813992938 +15123220381 +15176576727 +18501045894 +18869154492 +18897028228 +13958237743 +15835362562 +18535557185 +18892771352 +15898699328 +15818640737 +13681988976 +15850782804 +15875365273 +18841333414 +15135558270 +15190754408 +18508080802 +15150978427 +15105912454 +15821176349 +15127185210 +13619717515 +15132868891 +18508788991 +18519812599 +18534040272 +13938865566 +18562396873 +18519240207 +13976262712 +15816678407 +18534007821 +13960662797 +13639165828 +13660018133 +18893353973 +15898616910 +18502303032 +13968998571 +15895346323 +18589838798 +18563912338 +15873366037 +15844027754 +13628271521 +13956750426 +15812687830 +15134724470 +15188376669 +13688979948 +13904946639 +15819365463 +18571282458 +15800112531 +13918733727 +15164547677 +15840387216 +13943032798 +15199330315 +15124337941 +15154725084 +18809704564 +15139840013 +13608455420 +15873955023 +18502761004 +18569360624 +18823477676 +13958185602 +18811414794 +18522841738 +18866848052 +15874411347 +13908953993 +18888041930 +18560006888 +18889291672 +18587695999 +18887586388 +13925386091 +18594643600 +15838388103 +18579105338 +15137086704 +13644722549 +18855384957 +15129735020 +18894894023 +18803666432 +13617248790 +13664101594 +15843931522 +13662836395 +13922271868 +13684143346 +18865866326 +13611254070 +13970267112 +15116557447 +13664563701 +18858856703 +13911317754 +18544822484 +15850606284 +18594674222 +15897338764 +13638696463 +15101343477 +15135471313 +13637624242 +15157757814 +13995496916 +18558151769 +15883866606 +15820205598 +18530697131 +13989655983 +18577949940 +18537473632 +15876204845 +13932011664 +13935753483 +13913762463 +15162081542 +13903635830 +13929611736 +15142961182 +13943555592 +15144534887 +18862738104 +18586489021 +18885489199 +18547647411 +13629142421 +15888669777 +18858859312 +18882588366 +13602408131 +15135171946 +18871455172 +13679189124 +15870099908 +18583864038 +13966244908 +18880373364 +18815400830 +13957742072 +18595427996 +15809025078 +18821198785 +18818113825 +13604367182 +18826831514 +15851312985 +13905985261 +13905607264 +13625848105 +15138503478 +15826438664 +15845818317 +15139547753 +13984208818 +18854422797 +13919866354 +15170651467 +13992844726 +13905104076 +18897595810 +13946487188 +13686385553 +15169114309 +15834411840 +18516706560 +13911730014 +18559692555 +13929937804 +13925265133 +13611809690 +15890942247 +13992998008 +15183864218 +13989970360 +13996944409 +18569880776 +18569409618 +13948755066 +18593960040 +15198235689 +15832076037 +15176552617 +18836805254 +18824007640 +15865737053 +15839400608 +13632231969 +18550867941 +18547521027 +15810674891 +15883998630 +18833588993 +18897431730 +18840122937 +15170888556 +13977514317 +13968032946 +18541335865 +13977467323 +18501526526 +13699590364 +13913958163 +18863597313 +15873780730 +13962587552 +15146222580 +18889372860 +15805565161 +13926027376 +18806636155 +13677057672 +15115221394 +18863541571 +15141462040 +18837263597 +15125179698 +15182539002 +13679555459 +18565867063 +15124662828 +18580858932 +15128858322 +13686482132 +15116841150 +18822927666 +15805790208 +13929936115 +15813744160 +13663648968 +13954093768 +18536856134 +18870594191 +13967695876 +13989974755 +18836797283 +15168283406 +15190924483 +13919563075 +15177412944 +15130331880 +15828153971 +18546860980 +13978745492 +18885160068 +13984074922 +15198256635 +18871634525 +18851250566 +15861986604 +15120661758 +13645693964 +18544370610 +13957238067 +13901159077 +13975971984 +15169932717 +13633420656 +18812362691 +15178840870 +15800963037 +13935877434 +15835949651 +13614623937 +15191798671 +15825520180 +18854768988 +18581731113 +18557564892 +18819259360 +18881471265 +13977328673 +15818929069 +18852248829 +13659215460 +15870932966 +15871175552 +15828975579 +15156975772 +13998718156 +15814903508 +13626806878 +15821446764 +18892697293 +13995316486 +13918223314 +13687070867 +18858557909 +18505695117 +13664397127 +18861362558 +15873597068 +15194848525 +13660761852 +18555786759 +18843351431 +13675541161 +13984683748 +18567497091 +18540881794 +15174879928 +13633677597 +15156680391 +13606779364 +18562123266 +18877470909 +15898599273 +13996624806 +18597378803 +18882158585 +13629735597 +13630996342 +18580265413 +15811810838 +15885907417 +18590560202 +15184110228 +13963999448 +15801946730 +13606727822 +18877331638 +13920819488 +18884777312 +13649681607 +13659345888 +13670397465 +15197646570 +18574297565 +15889440767 +13619181158 +15127139838 +18575204494 +15169379640 +18859322332 +18579567662 +15830237359 +13970247920 +18506365564 +13610060833 +18540381281 +15832673532 +13613042848 +15835397361 +13949103881 +13603247205 +18571421993 +18881330414 +13638675313 +13675171343 +18866844085 +15130616034 +15872988582 +18510134672 +15139197744 +15880928514 +15163245543 +18827865541 +13980610273 +15808264143 +15887138367 +13955696158 +15857175691 +18559446512 +18519201538 +18831413527 +18828854451 +18859257939 +13665767121 +13660609025 +13949188965 +18550623058 +18564103685 +13667759537 +15190155498 +13931294583 +18882825120 +15856733422 +18814642492 +18508124494 +18583489040 +13624199353 +18575248698 +13966716745 +13922384714 +15167215104 +18502915030 +13658407992 +13906033045 +13615394247 +18581622050 +15809962934 +18841795108 +13614174275 +13648989972 +15862061642 +13914895069 +13653917143 +15146463642 +13928859498 +18564820495 +18816430050 +18568005786 +18549544750 +18559809225 +13637970003 +15806056730 +18897161869 +18811873326 +13652355461 +15167974009 +15125534226 +18878414021 +15816172749 +18824527210 +13916496964 +13670822847 +13907897316 +13971516522 +13952283935 +18845111505 +15849224683 +15875069174 +13941582147 +18821628241 +15114655421 +13684694403 +13909594549 +18897332905 +15165059843 +15175054994 +15170080165 +13951289635 +18876763028 +18862059841 +15167834370 +18538883473 +15167876478 +18525443447 +13933593527 +18830747782 +18508067050 +13608517171 +13652366605 +13653592444 +18833100536 +13629680109 +15170694072 +15868243680 +18553103250 +13939501896 +18515962770 +13904162127 +15861474920 +13919831873 +18822971960 +13661747793 +18593487373 +15864423311 +18814491386 +15821539335 +18830893140 +13968083836 +15830302188 +18850178031 +13634527949 +13947323230 +18540685622 +13959770278 +18524785126 +18548476328 +13674766072 +18879488091 +13971810364 +18808388846 +15102018646 +18896741793 +15812896379 +13661791571 +15106336781 +18538089329 +13980815899 +13924940944 +18593846856 +13631281703 +18889407055 +13923017053 +18875899428 +13611925446 +18528371467 +15846544195 +18811907357 +18519591472 +13967338564 +13689583402 +15818787777 +15892910192 +13675471469 +15843969026 +15808942737 +18533479291 +13907529615 +18578240374 +18888674333 +13690785594 +13997287966 +18566579319 +13674198504 +15160515065 +15126833924 +15100653744 +15142669018 +18525629575 +15870826066 +18877252492 +18888974612 +13610317112 +15838859870 +18529102242 +15127518786 +15874236006 +15151865794 +18506225841 +13679418080 +18819183209 +13635408417 +18869016116 +13924903662 +13981913664 +18551080383 +18531555744 +18834683209 +13619426382 +15140845222 +13605599444 +13992173339 +18582396069 +15165163580 +18536804813 +18865883397 +15134768608 +18548564499 +15144410305 +13916186976 +18548658258 +15874471680 +15153101287 +15177832490 +13938875162 +15894010383 +13982532137 +13964858729 +18820490912 +13955861996 +18849124407 +18547865621 +18532526615 +13698505254 +18854539638 +13675096597 +18840162037 +18807680934 +13666308616 +13693238627 +15165605716 +13607986134 +15871443443 +15120683032 +18889938697 +13913446384 +18821723648 +18878613623 +13642447028 +15893482726 +13671659908 +15870020589 +18509679173 +18523373121 +13958851268 +13620907395 +15857250159 +18578973418 +18825308968 +15801111008 +13958870778 +18580116818 +18594300079 +15842572003 +15157653355 +18538009709 +13678809888 +18536030519 +15106510378 +13944973665 +18825259819 +15161519530 +15196665292 +18524396272 +18883827869 +15149913120 +18522372900 +15178583474 +13929686599 +13968151094 +18879577258 +15168371563 +13999588926 +15892384511 +15179729009 +13903437356 +18869678922 +13614407624 +15195637238 +15192419228 +18852137568 +18588046698 +13680696451 +15190760275 +18558398046 +18501765660 +13925904407 +18583757181 +18502372402 +18540123517 +13641538511 +13943591395 +15881879510 +13670302049 +13946215788 +15197136142 +15885511466 +15832693872 +15148061393 +13608173840 +15810188325 +13904513887 +13695388660 +18571701091 +15191776305 +18845151330 +15129707489 +13618765728 +13614486733 +13906583072 +13931784601 +18887183359 +18894568186 +15874095580 +15840250710 +18561667346 +15137679654 +15889971136 +13651834737 +18860361958 +15158665780 +18572858863 +18568477169 +18828810547 +18842011505 +18594435096 +15862979210 +15130091540 +18519052267 +18553517141 +15185664841 +13695504643 +15802093566 +13987407820 +18555077469 +18547924805 +15197771396 +15892014673 +15853791781 +15128264346 +15895747601 +15149027339 +13957773600 +15103385107 +13979609097 +15863731980 +18526526777 +13923581504 +15125392030 +18562020595 +15852826614 +13979932852 +13912496621 +13943936312 +15185520582 +15869652259 +18880137371 +13943042879 +13668570085 +15191641571 +18589575802 +18824754501 +13634488465 +18810018116 +15825026312 +15882082750 +15190907121 +15853225167 +15854004433 +15176422629 +15120878687 +18849654118 +13638049189 +18841798113 +15123019125 +18812932976 +18844834835 +15875621595 +15125578755 +18599569473 +18807794923 +15855946206 +13627567994 +18543654485 +15162889522 +18815049271 +15888229498 +15867483909 +18549597295 +13998392640 +13995506703 +13639536772 +15199667164 +13996519722 +15844623411 +18828470277 +18555383827 +13992971422 +18862074036 +13976797370 +15198664481 +18537995647 +18852054115 +15199987644 +13929767789 +18503738548 +15165636885 +13992319073 +13668787272 +13959999797 +13653438764 +13699357785 +13920435356 +18579046959 +18812997026 +18868426505 +13682931298 +13917769844 +18530186093 +15147117708 +13682595003 +13602225534 +13904627891 +13617088773 +18816255322 +15803534542 +18562384801 +13601532550 +13968963294 +18573566082 +15148195323 +15112693240 +15810020068 +15137556903 +15857216450 +13932612765 +13914189166 +15136957171 +15889642146 +15816570131 +13615279977 +15869018897 +15819392216 +18855067206 +13902458277 +15845898542 +15860254471 +13975534784 +18809331401 +15820623515 +18505908307 +13699355359 +18872688326 +15856666954 +15861242201 +15165337775 +18509686721 +15800425368 +18502183806 +15828592381 +13636690420 +15144012320 +18572713006 +18896916201 +18514781228 +13681520164 +15181218949 +13991389303 +15141137725 +13621710860 +18850761604 +18517458902 +15852787409 +18585706721 +15155567859 +18589018892 +15158345956 +13912096973 +18875264426 +13925900223 +18812589034 +18829398625 +18525520063 +18554209624 +18836182606 +18849843009 +18579024746 +18822265795 +18815749822 +15163712266 +18807843904 +13668740761 +13905090406 +15113633041 +13683580670 +13680994741 +13630282055 +15102367024 +13695730887 +15155239738 +18553921239 +13613626374 +13984164135 +18804542575 +15807860358 +15164066113 +13993025706 +18892278417 +15847811558 +18509691202 +13663652783 +15143935255 +18563731012 +13994805462 +15140716348 +15122418747 +13654728382 +18848435243 +15852492249 +13648975120 +15144020530 +15847184215 +15834244644 +15192902151 +15873485858 +13913822934 +15899434393 +15106188769 +13689590996 +13940333670 +15860350068 +13658522088 +18589698793 +13633273684 +13645360483 +18805272943 +15824546865 +13953628324 +13603142845 +13673372961 +18560068297 +15158467063 +18864666928 +18870393965 +15800625780 +15131340803 +18529324220 +13693987807 +18562271484 +15809249641 +13947804994 +15116801877 +18575959403 +15188121962 +18847000647 +13622204278 +18889632339 +15860509698 +13923288141 +15125973460 +18890193333 +18508303271 +18858495814 +18593736756 +15177317494 +15812536699 +13664048034 +18576559670 +18527743478 +15190645985 +15891451344 +18537530106 +18598050902 +18555548290 +13635471605 +13632074849 +13925150019 +13954607371 +13691977311 +13686198931 +15893743523 +13696132500 +15157481466 +15827903963 +18579578402 +15115058739 +13988589549 +15140620013 +13686678188 +13668662036 +18887177276 +18839291129 +18587896055 +15195008268 +18869335745 +13604643275 +15855006821 +18894564670 +18533925623 +13654368013 +15137534103 +13635854206 +13616425776 +13698563568 +13937327891 +18821737230 +15829165431 +13651063597 +18865457025 +13610386116 +15174065581 +15125941246 +13648192899 +15835008802 +18801873588 +18503214660 +18570803145 +13976932400 +15843668618 +18825377324 +18875523660 +15818200764 +18852687222 +15855942377 +15149514612 +18589830551 +13923021708 +13930064968 +15821523022 +13600402343 +18555880016 +15157148399 +13980510721 +18517548745 +18861964909 +13977413900 +18815124839 +15126965497 +18871105498 +15194659016 +15159428680 +15800516403 +13953646844 +13953899183 +15187154435 +13930155100 +15172051992 +15884127203 +13640847052 +18574156685 +18588400142 +18585833091 +13611642731 +13903494519 +13969998439 +13966892628 +15855664211 +13650000080 +18804545206 +15873900826 +18519909298 +13656505462 +13659505755 +15882032166 +15151069292 +18850468418 +13964199012 +15826819130 +13659347854 +13668107971 +15155443693 +13640470173 +15127987218 +13938337563 +13666540528 +15810124549 +15803791745 +15836298619 +13994376350 +18554373260 +15154629878 +15113967424 +13664831813 +15877951346 +13972754458 +15849299900 +18849597859 +13695852833 +13655330128 +18802621343 +18580800837 +18883410784 +13628427477 +13914820910 +13935409834 +18823910511 +15886555576 +13671647453 +18593115241 +18579128347 +15144431185 +18584296802 +15187108530 +18880451552 +15805979145 +18844287265 +18846282973 +13693503414 +15166928283 +15126731136 +13988457260 +18520455654 +15188604236 +13933068649 +18882138391 +15137636046 +18840679687 +13930970039 +15141087168 +15103006728 +15125568650 +18557163805 +18518714530 +18512007549 +15117319586 +15145374719 +18515092632 +13662788459 +18563022821 +15831880007 +13605577182 +18850716729 +13650200651 +15806218110 +13612396977 +15145533315 +18549026178 +18511868924 +18582293130 +18880058547 +18811395825 +13971950277 +18550077916 +18816844504 +15808452643 +18817012882 +18587230096 +18598755636 +18512536205 +13649366979 +18898397682 +15846136268 +18830455120 +18573360992 +13661011887 +18816559634 +13919591101 +15870414659 +18578111762 +18580651215 +18593297220 +13972949777 +15865390449 +13688903775 +13929450179 +15806927152 +15183104913 +15115503096 +18881046870 +18514573366 +13978905747 +18549984072 +13642078297 +13663669529 +13619304004 +18501273982 +15117343551 +18818590865 +15143194723 +18548038014 +13679036017 +15867250226 +15809541050 +18572431349 +18816224287 +13990084656 +18850608234 +18590285268 +13982999990 +18569885812 +15834814693 +18504865459 +18572706485 +15110866116 +15181857910 +18593441711 +18511784921 +18563995865 +15178793210 +18839464233 +13983584871 +18854760703 +13683796516 +18899134893 +15886923929 +18888638439 +13900781952 +15198190602 +13986042668 +18891160354 +13604117365 +18515702448 +13959793456 +13695796702 +15887837883 +18520937807 +15179161602 +15125251810 +15105195530 +18849039763 +13614037788 +13642339491 +15152355499 +15898196279 +18505842816 +13972252281 +13600362275 +18857776629 +13620029511 +18820215239 +18830343318 +18821532980 +15180201820 +18873380699 +13994379739 +13690155406 +18568253423 +13608739751 +15819162567 +13695566082 +15872535923 +15108805973 +13624962500 +15161803434 +15834048844 +18805788049 +18511995861 +13984309352 +13659110631 +13694539308 +15885792115 +15182378870 +15821062448 +18800652954 +13935677542 +18505447896 +18820424297 +15114390156 +15874689889 +18561783926 +18831080287 +18829322302 +18515456982 +15136289392 +13911859249 +18879009964 +15874321179 +18517889892 +13936790763 +18839867356 +13988624058 +13668759860 +13603749942 +18526311288 +18826856691 +18878887296 +13618145231 +13960830410 +18578089018 +18511133431 +13971554458 +13952912382 +18855437565 +13636429806 +15822457630 +15133015293 +15850869734 +13951211537 +15128696318 +13968850786 +18830292294 +13646292524 +13945626227 +18868031854 +15830750807 +13672239904 +13994075785 +15193018246 +15104541371 +15851885497 +18828661957 +13652918898 +15810267755 +18594279013 +18520303538 +15881444301 +13617917099 +18521365672 +18502046569 +15853017514 +15116124421 +18543623853 +18519976997 +15876770624 +18861169902 +13968193863 +15876452815 +13661325435 +18559837213 +18570143394 +18589809180 +15840236100 +18840805947 +13923558240 +15124917315 +13938415504 +13927514145 +18845787528 +15896080883 +18509765503 +15175363838 +13935630722 +13608356913 +18892497532 +18851376866 +13920318727 +18570844590 +13684532676 +13676405351 +18539758341 +15149138390 +18800968795 +13645421158 +18863132476 +13974488679 +15118196024 +15802778810 +13934457359 +15171063712 +15809081194 +13943489355 +13695464988 +18544258408 +15835146312 +15123349573 +13908475286 +18515639313 +13971192876 +13942993379 +13699720435 +18531170691 +15174329409 +13605793939 +13675504938 +15119655240 +15836124722 +15844911385 +13600782557 +13987206249 +15184430883 +18814213144 +15115578862 +18889656238 +15869148631 +13610724741 +15839027445 +15123170505 +13965207811 +18807543242 +13648667864 +13648481595 +15877266944 +18841913013 +13955916649 +18854184521 +13926358914 +13688598623 +15122739850 +15861839842 +15811209860 +13984484706 +15117448702 +13642011653 +18520141388 +13603795124 +15841548739 +15179663041 +15198604174 +15163562114 +13973301687 +15859976238 +15106698569 +18847312480 +13974302133 +18839848449 +15895188639 +15110936544 +18521461536 +18530718503 +18587786635 +13635115944 +15139084282 +15179195669 +15113452927 +15899298223 +18857379983 +15847612850 +15175810716 +15861177805 +13636897157 +18545945397 +15181419222 +15125488908 +15120326416 +13962769025 +15113930946 +13682880026 +18525835048 +13964987290 +13929736686 +18817923549 +13697231483 +15818999659 +18848056213 +18871922209 +13915155078 +15823660301 +15831779674 +18815927013 +15151174236 +13619985639 +18804462114 +15183602928 +13922120441 +18821942514 +18889232747 +18501870325 +15832029509 +13951636591 +13643768133 +18584298337 +15820370738 +15189666281 +13987223182 +13942021539 +13605892545 +18500409565 +15888726846 +13616518799 +18514892149 +15831725815 +18858588915 +15803268352 +15149764736 +18595649587 +15156745448 +13650516699 +15851487536 +18896415587 +15112687915 +15828855896 +15833209282 +18575286842 +15149297284 +15174002461 +18527139757 +18843149163 +18567097988 +18835574400 +15117070905 +15826933512 +15146693083 +18815741400 +18587594234 +15889122048 +18866763671 +13608747578 +13903790263 +15164985916 +15154705721 +15817131945 +13667220228 +13937769135 +18562271950 +15829778588 +15181047169 +13940323689 +13619671753 +18866499941 +15132045868 +18562806067 +15163452033 +15822848298 +13932257780 +13928821889 +18898180912 +15184174889 +15801594421 +15157984117 +13635261101 +15143338549 +18860785565 +15817427060 +13667531013 +13956867057 +18548778009 +13684367785 +13993716419 +18891407656 +13913160885 +13977797245 +15879384986 +13939293151 +15810076431 +18546366744 +13629604290 +15889724502 +18806913864 +15106082611 +13930108439 +13948098571 +13995068585 +18594286484 +13996636477 +18514745223 +18814161828 +15881013989 +13648888899 +15881861879 +15119481116 +18568889335 +13643395278 +18580177638 +15848865008 +15816121237 +13694065214 +15133228484 +13941687651 +15842990178 +18504221249 +15110802476 +18839842551 +18875194249 +18577826862 +18585559266 +13900001802 +18511488552 +13639097047 +15854425177 +13936326233 +15835469221 +13651577219 +15824926756 +18530403466 +13995271042 +18851097310 +15847959549 +13956737015 +15140792151 +18590180038 +13645534716 +18592029489 +13921729502 +13991242470 +13610972733 +18825964592 +15169280350 +15189278866 +13941719626 +13674165460 +18890828483 +18880066890 +18832689694 +15191341169 +15132934855 +13674507912 +18591053976 +15828058183 +13952676994 +15897449370 +18586769998 +13669999100 +13610011200 +15837521982 +18524847109 +13924592291 +13999748596 +15835108709 +15838992458 +13971815833 +13666718329 +15123964244 +18871645625 +13949049901 +18546262659 +18564295671 +13936503732 +18507795586 +15122251629 +13673762949 +18802236274 +18594855331 +15190841189 +13930679366 +18853702875 +15171522659 +13693526830 +13947489119 +15173857881 +18894588977 +13996595349 +18562890952 +13649812061 +13630342089 +13962340063 +13635869350 +18515684148 +13972523934 +15185501641 +18810544456 +18501033117 +13695386573 +15863327824 +15811894817 +13990770435 +13684098334 +18804946886 +15122500286 +18510746114 +18833322164 +13664702017 +18809806452 +18813321294 +18855136404 +18888476796 +18523069248 +18570682347 +18537518943 +13970861327 +13623060007 +15876955308 +13619390583 +18879268290 +18592692400 +18558292789 +18895941445 +18843436703 +18554364332 +18543024587 +18539389128 +18553827826 +18537839512 +13626067214 +13697240468 +15152794177 +13953827666 +13908403128 +18810518458 +13984440699 +15108754582 +13679597203 +15116173343 +15186783037 +15841230892 +18542883386 +18895715026 +18818801033 +15124542303 +13655359136 +13923837979 +18516597514 +18896684856 +18587720220 +18568936144 +13659381575 +13932457816 +15135385389 +18888373719 +15840631951 +18870715899 +13662825774 +13690982718 +15898600625 +18808075035 +13682548273 +18507285952 +18888519912 +13686230775 +15195231026 +18505792529 +18871221611 +18566347729 +13652875056 +15199863203 +18848010432 +13640296165 +18503439256 +18892476280 +13948339058 +13628406591 +15199908403 +18507487244 +18802960223 +18819436413 +13697202495 +18513421134 +18845393847 +13919028750 +18524152653 +18898073790 +18593778102 +15158426562 +18534145673 +13910472951 +13621947923 +18542204873 +13996052689 +15186207029 +15153966079 +15815434610 +15113889425 +13644633466 +18843082138 +15872501415 +13641292931 +13609844752 +13606038094 +15861328607 +13933551934 +18522937714 +13972587210 +18541458969 +15186133895 +13904789599 +15899143087 +18813311680 +18864645693 +18879999641 +13675340392 +15804250171 +13980816577 +18575337762 +13907229269 +15152170889 +15882566975 +15141679953 +13632061668 +15196520034 +18502462119 +18830188123 +15834246130 +15193829576 +15889482896 +13919522200 +13988733925 +13629720457 +15160095228 +15179025816 +13681616161 +18872128149 +13928951007 +18839837925 +13940669497 +15114699466 +15176704105 +15825821665 +18860618432 +18508607002 +15193802792 +18519238285 +18830166389 +15147741098 +15109190818 +15819004912 +15831666834 +13913284411 +18533612228 +18832679609 +18577524905 +18552446549 +13662379234 +18529171463 +13686097879 +18517255098 +13913859805 +13933731710 +13693659054 +13615487549 +15138706033 +15825258943 +18879083021 +18817388308 +15139403335 +13980738595 +13962517634 +13909691731 +13693293175 +13658082075 +15159585015 +15153810555 +13647883884 +15112495901 +15151384870 +13957037012 +15134592089 +13652378781 +13672075873 +18527939761 +18519796182 +15875733820 +13670811941 +18514529439 +15105628883 +18868272081 +18554513670 +15128162847 +15852454931 +18542730007 +15124973169 +15851262539 +18583076621 +13605876777 +18583644353 +13996260581 +18819249820 +15191643789 +13953501993 +13688631853 +13943538254 +13968705230 +13958334269 +18820956827 +18869786028 +13992857530 +13910852995 +15831655632 +13998320954 +18829671621 +13694678985 +13627721814 +18828062503 +15808930503 +15135989653 +15860176615 +13988657755 +13685426144 +15101210797 +18566916646 +15124465813 +13623508835 +13930002389 +18837087185 +18808900022 +18579171002 +13980482434 +15114440688 +15843423470 +13650228486 +15845209451 +15807236469 +13669805975 +18884181632 +15134142159 +18514266228 +18872153284 +13976010060 +15858258787 +18592188491 +13918388363 +18811333367 +18559678360 +18838364611 +13652428899 +15872956858 +18517102378 +13605733563 +15838988803 +15863459095 +18836767257 +13646344954 +18540420352 +13981339426 +18572015524 +15808805817 +15162087469 +13936213964 +13614965498 +13985874370 +13924986814 +15122758179 +15884200888 +15888027470 +18511938101 +15863029401 +18801986110 +18591461791 +15879956999 +18807449528 +15187633419 +13696675445 +13976352500 +13913457201 +15853366227 +15117121032 +13997613238 +18845021723 +15856856910 +18841824963 +13961480155 +15809911814 +13684561275 +18872712066 +13924309509 +13931202825 +18847033263 +13907326250 +13686625568 +13983141297 +18803373809 +13643036922 +13612279869 +15848371553 +13904681214 +15894706867 +15878827213 +18861704734 +15119517983 +15818800992 +13665689521 +15136449501 +18581049046 +18821872777 +13629868418 +13922240413 +15198484069 +13947702079 +13940088777 +15859459950 +15810136624 +15836741519 +18826807541 +13998196301 +13636656825 +18547620520 +18858242513 +13669324435 +15112173023 +13631269376 +15875142481 +13686947814 +15891098529 +13603282029 +13957500093 +15153190933 +15164277087 +18593762601 +13683424947 +13673805887 +15809036173 +18537331982 +13993136009 +15829048332 +15122499637 +18513596497 +13626216067 +13628075444 +18532709922 +13621275343 +18583243817 +18808536222 +15873429403 +18891465452 +15814304803 +15172923882 +18809306031 +18891337551 +15101295473 +18808391868 +15807684222 +18855564474 +13688630553 +15170069481 +18512463802 +15894236936 +18504553185 +13927527854 +15870486532 +18835042502 +13644835989 +18507656322 +15141576974 +15143978963 +18583847871 +13973642500 +13943879830 +13651401303 +13663160238 +13967995779 +15841620258 +15115893291 +15824190605 +13683619954 +15129864459 +15176296378 +13679058841 +15893276136 +13622994390 +18531101967 +18882835344 +13623222830 +15183947518 +18876421560 +13919729607 +18883901003 +15809475836 +18508151130 +18556789250 +18830545389 +18817670684 +15879798241 +15824889361 +18539844153 +18548486519 +15193101177 +13625305235 +15155554705 +13686092578 +15865581582 +18845331049 +13961848903 +15133965722 +15817459464 +18886272634 +13934897682 +18536587014 +13978978254 +18844499069 +18875967191 +15837764190 +13957970760 +15825186422 +13983519427 +18547106060 +18869007661 +18557202576 +13616612770 +13613949322 +15196467288 +18571364899 +18899359948 +15152502969 +13648347897 +15841654337 +13670514320 +15876052905 +13692624950 +18597759536 +15850367566 +13603031032 +18835972837 +13930503971 +15840811487 +18556184896 +18561679334 +13674836819 +15133012738 +13914479340 +15142057447 +13651891194 +15102755552 +13677406562 +15825859842 +18884526810 +18871326965 +13628045563 +13907293892 +18879658159 +13654947091 +18503064302 +18571484154 +15113566579 +15138257712 +13942569785 +18579185155 +13618723946 +18526089019 +13642167129 +15830302302 +15128908981 +15149985463 +13654706429 +13949651584 +18596481682 +13922128690 +13957311894 +15186967332 +18556390301 +18892989649 +15864550397 +13618800944 +18868110872 +18892605828 +18831456630 +13949363079 +13988574619 +15177926892 +15851692526 +18812541572 +18530677517 +18802308035 +18591564159 +18507736691 +18836269543 +13617980890 +18895256478 +13628025936 +15192761915 +13689653824 +15157660781 +15826610364 +13959913604 +18889670115 +13617874233 +13948827425 +13674113752 +13652569669 +13660038255 +15851158511 +18571118896 +18870614121 +13688433065 +18863493576 +13936438718 +13944510005 +18812387691 +13614721707 +13944168674 +15818570504 +15851159924 +15137592572 +15892745692 +18804705671 +15816943860 +15896813678 +15104847687 +15890555647 +13678990313 +15815105894 +15818306862 +13992913695 +15879568472 +15866414200 +15142863559 +18551726373 +18820193551 +13944311891 +15127286761 +15186723960 +18849302911 +13613600742 +15873022092 +13620234264 +18561346311 +15181655196 +18852125992 +13615324268 +13900371649 +15846439175 +15811030274 +15875867933 +18858489000 +13693120731 +18877204121 +13992755879 +18824311847 +15168923341 +13622468850 +15144965272 +18585078869 +13673582912 +13925203973 +13695264969 +13625016954 +18551354066 +15874404683 +13640886319 +15164781078 +13607592919 +13696032636 +13606009388 +18524877819 +18509374400 +18845110189 +18801131675 +18509297556 +13975913613 +13980596679 +18546090008 +18559218042 +13992361654 +18541965095 +18816330338 +15183147245 +15856713567 +15169009588 +18521252405 +18585518306 +13656237322 +13924692999 +13932331432 +18870422773 +13974077015 +15141576973 +15159129122 +15833732479 +13613290943 +15125929822 +18867316789 +18537593015 +18596157572 +18550614599 +13682935418 +18818908162 +13683688958 +18885904530 +18803425786 +13684258944 +13677654841 +18854841908 +15870650563 +15167974990 +15817368780 +15158055031 +18888400378 +18558420411 +15822163982 +15167403399 +15832146852 +13957436707 +15830092583 +18567460057 +18842283514 +15881189983 +15121103717 +18809722040 +13643063560 +15839931380 +15132636187 +13623145254 +15896901446 +13944240077 +18550172965 +15825779885 +18577012383 +13660969015 +15153579119 +15811196153 +15193344266 +13940045484 +15116076355 +15165348303 +13908446776 +13681427661 +13692350909 +18895744117 +13690062350 +13961020061 +13978398093 +15826336285 +13646492539 +15151345503 +13688681321 +18815480984 +18869579234 +18855557090 +15120523411 +13969362413 +13915267473 +18892516902 +18549924310 +18834641121 +13929346492 +15847707944 +15869064392 +13678086578 +15196584571 +18842688704 +13912057047 +13658149630 +13991673432 +18504353694 +15853118284 +13688263741 +15151280287 +15198367721 +13971524139 +18839659219 +18899619957 +18554244639 +13674794663 +18538279052 +18863397703 +13633647457 +15193512595 +15818486168 +13657249591 +18846936602 +15825592718 +18822111591 +15178701997 +15102952993 +18809562890 +13631449832 +18851189509 +18570138568 +13609740376 +13608286717 +18587463483 +15892495957 +15196788595 +15849865567 +18842586755 +18562512743 +13919147001 +18826810755 +13688330240 +18879015548 +18570687795 +13693028091 +13650099487 +15849713090 +13960657216 +13631662471 +15832271826 +13925064753 +13694855551 +15184409080 +13979809802 +18835555157 +18886255345 +15106006171 +15858209377 +18829573643 +15839959396 +15852593387 +18848437119 +15173332250 +18818998689 +15112842375 +18828735123 +18824778636 +15818786448 +13907914087 +13900381771 +18833943757 +18548177454 +15147192841 +18806046397 +15191869663 +13949567497 +15818285110 +18599200753 +13957047021 +18813477214 +13666332508 +18504682675 +13995826974 +15815034309 +18812841854 +18878892313 +13661903650 +13948977990 +13917417746 +15112092796 +18580560152 +15807866118 +18511344940 +13912294137 +18581935894 +15161631096 +15110404820 +18805704292 +13947452483 +13658259056 +15876693378 +15844007467 +15812469539 +13903271779 +18518231878 +18588350873 +18876615751 +18859197254 +13643064550 +18525969440 +15142239449 +15885681404 +13627575659 +15118791570 +13652494301 +18586430801 +13699757637 +13634252233 +13960795998 +15187205218 +15848091428 +15148479781 +13636599892 +15877991513 +13905120599 +15892138878 +13939735467 +15100073799 +13623582800 +15123660182 +18814843460 +15864925530 +15825016525 +13973086444 +18545713045 +18848380194 +13908739113 +18562148959 +15830091146 +15162646298 +15148787979 +15169359751 +18515167692 +18504634555 +15899286927 +15165015988 +18519653918 +18818456854 +13685663305 +13923607432 +13908481108 +15155219764 +15117432129 +18846928059 +13991431636 +18570120539 +15865025474 +15867998059 +13906628700 +15128612794 +18535110829 +15878084808 +15884998694 +13683919691 +15129943582 +15186305643 +15123298601 +13653297034 +18543980809 +13968983548 +18804643378 +13963882566 +13624334536 +18825131511 +15889437376 +13678983372 +13946520314 +18888261051 +18516079015 +18529845203 +13669069723 +13636226836 +15844593323 +15127167088 +15180112304 +18884416823 +13948382902 +15183543851 +15807120601 +13954708574 +13993166866 +15156893891 +15878793071 +13927618414 +18569709147 +13641395527 +18897574517 +18833224390 +18540695027 +13971418658 +18510573548 +13648062878 +18814551636 +15179114781 +18802979302 +18521043063 +18518569990 +13947692339 +15816426087 +18884270203 +13970241415 +15144914580 +18550024696 +15135924409 +18507497075 +18570340298 +18864173750 +18891835943 +15851010601 +18505111069 +13663591689 +18886395333 +13980985379 +18869841028 +15177344778 +15122724698 +15819411782 +13640015376 +18858452465 +15818554206 +18566836167 +13953677746 +18544916315 +13960932850 +13672320018 +18875640622 +18880782075 +13651256441 +18886540972 +13641144434 +13653493823 +13609195248 +15166713555 +13692594520 +15183213510 +18840411763 +15113523474 +13698585683 +15800166265 +18591334128 +15185087728 +13953223469 +13649898809 +15139276653 +18529423499 +13944994862 +15895911479 +15885641959 +15865688907 +15125684525 +15195375641 +13623082810 +13952727506 +15188463165 +15890784117 +13962793379 +13906080249 +13647500186 +18515294052 +15132915971 +18880915110 +13993334044 +13982404419 +15119441338 +13901929759 +15812362303 +18526487709 +18506657776 +18861076935 +15101847403 +13681591710 +15151689778 +13903264881 +15164211618 +13976612202 +15142173491 +13613353119 +18803685340 +15823957622 +15145615496 +13608265894 +15142305093 +18574784644 +13969057642 +15870439439 +13641808074 +15150206970 +15842112639 +15195835470 +18848125499 +18898582784 +18855727280 +13915143560 +13988087473 +18823923670 +18541898616 +18811878246 +13609360527 +15186128627 +18566416774 +18883767804 +15890287429 +13651960389 +15198625207 +18853523310 +18853596230 +15150869688 +13608374154 +18594517750 +18851124388 +15802674879 +18566698252 +13919156607 +15873953049 +18806064864 +13651393594 +15850453671 +15186847296 +13680835233 +15820694023 +13680138991 +13973119192 +15110767464 +13616498335 +15171358995 +15877751635 +15870236290 +15847373224 +18824695624 +18895104212 +15897255607 +18533427959 +18828696880 +13675532399 +18899240090 +13998310551 +15801204349 +18514055369 +18880578825 +13951232400 +15879290176 +15150146659 +18890243812 +15147004871 +13600590588 +13650361041 +18537933315 +13678343711 +13928223405 +18874318720 +13970870728 +15812358079 +15890818358 +13907180291 +18569705037 +18574261235 +18899938334 +18509311713 +15190050171 +13944261695 +15125156874 +15197070118 +13972134502 +18870307042 +18831021389 +15884654067 +15181505244 +18805109041 +18509041422 +15177126537 +13680208762 +18827285672 +18859350702 +18890320243 +18584506288 +13661908937 +15816717119 +18832352950 +18855228665 +18504336871 +18542252334 +13965844535 +15180934839 +13934551219 +13914509884 +15199150801 +15151182360 +18542799262 +18805227954 +13624393750 +18816180391 +15168257439 +13966820992 +18812650895 +15841024944 +13930852231 +13630326011 +15824523958 +15149102276 +18556922164 +13900259888 +15896631573 +13615063094 +15841025945 +15167894020 +15833824265 +13972679215 +13683979175 +13906924211 +13971343500 +13656631569 +15887925705 +13916467285 +13952950547 +18825781142 +18838575716 +15103989028 +13638688429 +18587325677 +18580724678 +15193180555 +15101909085 +13987474299 +13654264439 +18508497163 +18517841039 +18805159275 +15144050187 +15850431345 +18824270090 +18865291085 +18866215779 +15866134362 +15185307786 +15864803053 +18892616725 +18850118248 +15815245929 +18848337686 +15169333495 +13640585084 +13995319126 +15106373970 +15114156260 +18862164047 +15134414866 +15140214525 +13601309411 +15854144873 +18886013411 +15196769545 +13984050793 +15842319489 +18556696296 +15151210002 +15891521448 +15817579422 +15197171996 +13680115621 +15836234135 +18556316425 +13961755507 +13966271396 +18512390528 +13976601234 +15846450052 +13658454950 +13662501819 +18577233068 +15814106459 +15848296577 +15174214972 +13980882905 +13602887934 +18506078168 +18887977023 +18596194318 +18587793478 +13674986483 +13629684885 +18899250785 +15891407663 +13959694961 +13659445607 +18815405374 +18594257410 +15815155759 +18815888531 +18814002479 +15154636124 +18845333709 +13917546574 +13959308961 +13607821980 +18813970300 +15848440311 +18511129821 +18812995374 +15175625028 +18899155516 +18522544895 +13931629897 +18590206398 +13619319968 +18870851482 +13635285829 +18599986428 +13943906983 +13961529750 +18854905101 +13990373213 +15154152910 +13616420843 +13629118456 +13935863834 +13678848823 +15837510639 +13995176987 +13680522761 +18554015991 +18503230189 +15828098729 +15160368496 +15139147160 +15842279932 +13937887884 +18510714792 +13622874158 +13601841776 +18510529150 +18590678167 +18574249546 +15850858515 +15140043868 +13977385359 +18853179320 +18818420418 +15861051668 +18513890165 +15102529772 +15886443611 +18504882475 +18516300450 +13607498462 +15858549822 +18896202608 +13649749036 +15148173447 +13997137752 +13919125950 +13979164325 +15841606700 +18884880819 +15865049003 +13915508365 +18873963911 +15831052935 +18507782365 +15862919449 +13942169487 +15189986340 +15856576725 +18880679626 +13678489047 +15804156555 +18828599109 +18832929566 +15191600395 +15834824082 +15874108505 +13997804448 +15123551581 +15137186665 +15863747979 +15145848144 +18504643158 +15129400230 +15832801896 +13902981290 +15103442182 +15813136273 +18514032440 +18597164423 +13654112963 +15875958603 +13911837676 +18520655554 +18843651971 +18873000209 +13671295542 +18549630204 +15855944745 +13943804483 +18527817547 +15859319953 +18882617532 +13912303360 +13667991664 +15858049414 +18531581711 +15124886471 +13624711319 +13618784638 +13630855914 +13692184261 +15816549216 +15861243457 +15105234725 +18829241287 +18807743762 +18860141519 +18893685092 +15132448975 +13646870243 +13661313099 +15137057722 +15158038743 +18501513940 +15111095583 +15167975947 +15895060069 +15815553873 +13938309151 +13965749394 +13649759847 +18890731060 +15181148548 +15142058523 +18872293570 +18849942827 +13665733552 +13619410847 +18555480508 +18524450437 +15895038566 +18842831599 +18892635640 +13972494978 +18840992690 +18871549022 +15885222911 +18568386739 +18804990392 +13976414006 +13993212244 +15883256222 +13983765811 +13988659591 +15884495393 +13620861009 +13927383657 +15822556921 +15177982450 +13971629779 +13677317562 +15862336012 +13652397531 +18505619354 +13668310252 +15844349666 +13689318215 +18802935564 +15194424647 +15135215469 +13979763295 +13696899299 +15879316949 +18564854185 +13995139396 +13979216992 +18520060792 +15898540263 +15182553845 +18820168929 +13969548112 +13639981748 +13629086882 +15166527450 +15872897599 +18513487167 +13688893495 +15122106466 +18552118011 +15123047366 +18552641907 +13627226912 +15162767073 +13948919761 +18888577870 +13958663571 +15846199191 +15894068507 +15873338240 +18517321893 +15107406244 +13627530006 +18523831682 +13699677878 +13673647522 +18558240170 +18899359475 +18519001375 +15833026656 +18596592630 +13670679978 +15877794427 +13919259792 +18856350042 +15140407294 +13619810229 +15813390196 +18847964801 +13675362559 +15151227997 +15850597582 +18871013674 +15132274745 +18814795114 +15879334862 +18861676689 +15120732399 +13630161987 +13657188528 +15809342148 +18879518480 +13625202239 +18589694995 +15878166628 +13632347819 +18509139971 +15100611186 +13619714855 +18560428958 +15195307170 +13954600765 +18523804926 +18513082060 +13924464032 +13938629835 +13689796109 +13621992801 +15175548811 +15831249286 +13962714077 +13983362440 +15808310547 +18524146901 +15801282834 +15846258276 +13659924497 +18898518364 +13655154111 +18580697491 +18879697905 +13925325525 +15128719373 +18593800278 +18875267957 +13946937876 +18534077396 +13956501241 +13953216748 +15895705934 +13606274159 +15830666972 +13605322409 +18832691707 +13992591509 +18821927389 +18861685018 +13978114816 +15191872525 +15817749625 +18555573085 +15105232473 +13663750160 +18838025681 +13618169218 +18522529603 +15188554998 +13643622722 +15149771185 +13686098530 +18865761723 +18846439987 +15821151986 +13640415586 +13640850583 +15830753875 +13978134198 +15832392431 +15867042583 +15122990200 +13930823933 +13684611307 +15171240117 +15162068446 +13660620140 +13976190655 +15842553731 +15186366569 +15113302916 +18870436383 +13672595801 +13936220264 +18544013886 +13611007857 +18542056006 +18891105158 +13904633056 +15150858681 +18519769724 +15830749109 +13972326053 +18884096400 +13694158471 +18886898957 +13980930918 +18809211701 +15829797082 +15151147925 +15855668728 +18816633055 +13908135746 +15199358817 +18521801081 +13623113432 +15179364892 +13696328735 +13626879933 +13677822408 +18567867937 +13957871397 +13672409150 +13911915345 +18834916424 +18503731030 +18539147955 +13983990041 +15146617584 +18860648951 +18548692622 +18833969307 +13924458899 +13687281890 +13998885585 +18512267696 +13664262951 +13943602226 +18813888691 +15191396023 +13918961723 +15815771727 +13641175494 +15196154633 +13677245825 +18823506885 +15115535092 +15822773569 +13965952409 +15192311213 +18571906880 +13975688051 +18854117978 +13658378769 +18569528181 +18880101628 +15888032229 +15122359698 +18851570348 +13920238646 +13653172570 +15118638414 +15155869950 +18883522596 +15813453821 +13915075918 +13966863334 +15187157956 +18510577579 +15861947533 +13669808953 +15841624094 +13968240245 +18832008800 +13982631797 +13936673212 +15842464854 +15133430925 +13651345740 +18858256452 +18550438553 +13699808877 +15838007668 +13935316851 +18807423738 +18570245193 +15852134003 +13642406174 +15166817622 +15894689769 +15120727687 +13606040000 +13613922199 +15124927266 +15871184886 +13610748461 +18539964816 +18804842354 +18828613671 +18506837387 +18836060795 +18519957220 +13901327329 +18889695235 +18823457276 +15813020657 +15198493302 +18855015638 +15814739860 +13938695256 +13653385086 +18575026914 +15812241799 +13935946845 +13635253533 +18501079524 +13656577131 +13632114799 +13617230100 +15861478789 +15867213926 +18594473183 +18516648661 +13699714985 +18822929996 +13606928182 +13942800021 +15820915230 +13611370612 +15837475377 +15859164768 +13624093101 +15147679393 +18893962085 +15131658930 +15162688251 +15850003746 +15189405700 +18537766375 +13962548412 +13948133958 +18883092055 +15199963642 +13918025107 +18547299063 +18865439346 +13639966540 +18525758991 +15107466995 +18806455941 +13602753566 +15131654447 +15840812792 +18532901309 +18500223969 +18829256662 +15887204963 +18832488972 +13951421262 +15132864969 +13910328484 +13657549667 +18857262662 +15147132075 +15165919317 +15880409291 +15816779031 +18569141064 +13608330074 +18567242759 +13608162812 +15173384639 +13698830569 +15110546086 +15833459231 +18893126885 +15884580477 +18572590833 +18861120762 +18869499872 +15828250232 +15158499787 +18805599850 +15881099418 +13625570359 +18545967460 +15874967371 +13997911045 +15147473921 +13932920603 +15808829293 +13644395291 +15876674474 +13986895986 +13986713628 +13921066169 +18531771529 +13619803676 +13951306771 +18538147307 +15889686101 +13642999983 +18555809994 +18852285532 +18854115868 +15848731136 +15195871174 +13902510943 +15800739142 +13642823333 +13634070049 +13960048155 +13959679524 +15155312449 +15150328426 +18805514353 +15835668039 +15114939163 +18594705233 +13680964215 +13975483634 +18593749636 +13612963901 +13967199131 +15146037991 +15145501686 +18598865742 +18525774920 +15830914640 +15837781715 +15174583034 +13688910037 +13667063001 +18599998274 +15836718107 +15151081881 +18805691429 +13952320120 +13648270203 +13642824842 +18861133412 +18509959107 +15167501487 +13984642038 +18839341238 +15169505411 +18876215299 +13914957571 +18539093423 +13916536936 +13648676821 +15863287830 +15801959453 +18520506741 +18520898144 +18579636015 +18580833510 +15108276180 +15157656125 +18846527451 +18800999557 +18842755745 +15810635789 +15872093060 +15880867457 +15816893781 +15197642606 +18849756662 +15857756079 +18879778981 +18829691533 +13670808737 +18588742937 +15811089427 +18807588477 +13630118761 +18815255221 +15806385865 +15100931698 +18577836582 +18527300008 +13664439697 +15117527747 +13661482063 +18831112682 +13678747478 +18521546076 +15842346147 +15133025805 +18514341841 +15870839103 +13601505353 +18517452377 +13620167494 +13950066064 +13998882195 +18854456013 +18549516257 +15190596314 +15115117380 +18880131901 +18542880368 +13946764906 +13698296100 +18557167181 +15800089836 +18560742082 +18507997737 +18867872852 +15162988813 +13950924942 +15844096521 +15138539070 +18880354693 +18849785175 +15140284640 +18865811935 +18559545802 +18513821883 +15835480025 +15833076257 +13916655791 +13677331491 +13657083565 +13929309098 +18812580105 +15804741091 +13602091021 +15184576560 +13999930815 +13613301151 +18834585265 +18812392130 +15839333913 +13916561010 +15131944065 +18595747070 +15825354603 +13656983642 +15829127163 +15832705706 +15826693612 +15151737554 +18514116172 +13917893900 +15149412230 +15106364826 +18844680093 +18588153279 +13618971198 +18535564770 +15137433367 +18810724800 +18571075341 +13905671035 +18513289435 +13672150520 +13697939267 +13992058964 +15802709519 +13921764874 +13950287691 +13604920432 +13915272496 +15197187040 +18562360418 +18566060249 +18586017876 +15105921424 +15867862600 +15826104940 +15888796719 +15105001157 +15806369251 +18892701364 +18591102855 +18545925459 +15159830588 +15838161743 +13652330309 +13977545615 +13647114419 +15890328015 +18524293362 +13931181389 +15877471830 +18515668937 +18501299980 +18856417230 +15848787505 +13628853807 +13903365929 +18893430545 +15855461354 +15192579458 +15168793652 +15868231765 +18815295002 +15130090499 +18881508485 +13922367858 +18851593598 +18561263591 +13686772743 +15812212195 +15802288838 +13692840127 +18866833277 +15837847894 +15863176131 +13922342655 +15185189394 +15882049662 +18868659972 +13950219018 +18825523738 +13903835728 +15182529445 +13673150571 +15882898621 +15852298617 +18886432162 +15834263262 +13659653655 +15144547393 +15172329377 +15106247655 +18596806526 +15886113035 +15189254908 +18567539050 +15143334042 +15802558960 +18586268205 +15163085699 +18580868305 +13654337028 +15176493263 +13681594183 +15845451819 +18824224018 +18536096491 +15198307679 +18884267489 +18837265003 +18841535163 +13602712626 +13668565848 +18895441659 +13689529529 +18505043611 +15171535193 +18846415553 +18528996730 +13930770260 +13651568112 +18860184995 +13659408523 +15830693890 +13953418424 +18558644434 +13611962710 +15822841565 +13647343540 +18598188252 +18884167475 +15187799016 +18508841469 +15841385743 +18572519521 +15129129339 +18840728640 +15144223628 +18895338792 +15820201091 +15894073365 +15843208208 +13912389998 +15160843732 +13618822865 +18868361534 +13682036576 +18518891677 +15855211258 +15167883210 +13949167143 +15159304318 +15877363382 +18837967641 +18880809263 +18818409811 +18515316022 +18598662806 +15185940833 +18574771601 +15113490030 +15129641336 +15132506406 +13632111596 +13974371771 +15123932770 +13677037673 +18842221244 +13958038792 +18577615361 +15121028069 +18829002433 +13996886019 +13615453975 +18843842555 +18819601130 +18881804754 +13689152467 +15823826883 +13974932140 +15175849245 +18819169697 +18886241732 +15857176578 +13611341549 +13696080679 +18579542399 +18519343775 +15192444158 +18511253125 +15169977065 +15839659390 +18822554336 +18856702690 +15869866604 +13643792572 +13625161354 +13987625013 +13685087197 +13676006880 +15164762104 +15150110592 +15892485172 +13920472298 +18518050729 +18551609765 +18880144084 +13969617450 +13948060962 +18839636152 +15150538826 +13929922266 +18824862896 +13979452530 +13696444420 +13928487393 +13628918064 +15878898726 +15894632616 +15859767131 +18851558399 +15800953323 +13937558331 +18567300960 +13639179844 +15143971381 +13640740710 +18569858151 +15857573551 +15155007656 +15178592049 +18875327562 +13988591304 +18805360811 +15857181868 +13954676959 +15836495508 +13985861152 +18557856587 +15161425678 +13658551429 +18855357755 +15858248229 +18814543699 +15824699021 +13643543674 +15109723679 +15133482082 +15858042535 +18581348911 +15172193590 +18579611432 +15191860617 +18595029278 +18597763860 +13667012631 +13929163231 +15875615995 +15854699659 +13963150291 +18808891528 +15851243108 +18816288839 +13900238991 +18853597685 +13686788095 +13683809082 +15876035788 +15128048344 +15889137345 +15195795452 +15899235369 +15190143362 +13986313820 +18563112354 +15865490754 +15111424716 +13917068952 +13662582138 +13950161452 +13669070796 +13964681562 +15846451847 +13633439029 +18518440159 +13605691862 +15187816488 +15139265246 +18516813821 +15863400839 +15860112146 +15849810098 +15184856126 +18549999067 +13948220032 +13606940762 +18576471510 +18555122947 +18826705393 +18536739424 +13638290856 +18829776216 +13691975933 +18887960882 +13699611053 +18558300373 +18546551195 +13904741057 +18865435460 +15817029428 +18884613192 +15112216122 +18541172292 +18881379632 +13953517902 +13962807537 +13904788321 +13633363859 +15136797388 +13992818378 +18553068298 +18513287379 +18508722366 +15864862521 +18543799240 +15178037300 +18813551856 +13941195742 +18548802436 +13695065953 +15183800421 +15822897741 +13963847874 +18838820224 +15162082000 +13903001340 +18841848451 +15833190870 +18592322903 +15854659230 +15801353262 +15893965429 +18830141902 +13912253066 +15121079793 +13685845053 +15152475840 +15818399443 +13645644080 +18871224688 +13939889510 +18558687857 +18838370373 +18569372339 +13658366745 +18564190389 +15142619737 +13672782773 +15191454540 +13907762146 +15142426009 +13689872768 +13635173125 +15108910300 +18527961664 +18803282312 +18542597328 +13901878922 +13987945384 +18801748854 +15129436479 +13997351777 +15885185916 +18580195782 +15866670542 +15195125467 +18851823295 +18559428745 +15853916372 +15867072851 +18505328658 +15121995112 +13681541770 +15188876843 +18851368344 +15899330140 +13692000513 +15803296736 +18506362415 +18547893589 +15176596704 +13976429242 +13606747663 +13913474033 +13993248898 +15836873110 +18810836621 +13936500948 +15118083802 +18542592330 +13969708993 +15158494007 +18862984112 +18841092239 +15199946286 +18537887167 +18526339546 +15832739784 +18567149920 +18872443692 +15144155381 +13984153802 +15870430536 +15801789669 +18569610614 +15865726916 +13692145074 +15134347388 +15196711804 +15180295631 +15192662737 +18576171539 +15899047913 +15852278663 +13925615918 +13912544948 +15133031549 +13644184371 +15858421717 +18817606163 +15120442463 +18535386081 +18572063537 +13942691206 +13986629968 +15119576993 +15863486049 +13676414494 +18597416735 +18539896141 +13628180872 +15850066329 +15893124505 +18884345103 +13601768457 +18535753664 +15819043178 +13619279141 +18876148610 +15180539734 +18516776711 +13683583883 +18521485915 +13638424147 +13678135607 +15118768032 +18546538649 +18577809444 +15876536827 +13646506735 +13908805395 +18856381841 +18841105488 +15141876211 +13693765023 +13932674831 +15858819589 +18874927713 +15841510759 +13650292907 +15890589471 +18882771325 +13696855202 +13695454807 +18502088721 +13668797963 +15822457992 +18523394684 +18891402904 +13684767645 +15153815073 +13955950104 +13633374222 +13923284269 +13630338056 +18529503389 +18530916519 +13957764656 +18544181009 +13631396092 +18521350860 +13628378306 +18583528157 +13904440130 +13602417025 +18829948730 +18810256384 +18803314333 +15143603631 +18598099835 +13906737200 +18843408140 +18551399241 +18865185796 +13611936284 +13904567365 +18582046260 +18819879572 +13908300401 +18845351660 +18809349390 +18820842368 +18847834095 +18566938233 +15861614985 +15858478480 +18529216871 +13984335411 +15187919022 +13684306629 +18869081755 +18599461778 +15182370690 +13673778842 +18867980908 +13960298685 +18576527085 +13965558549 +13624868659 +18507466666 +15176190773 +13623047375 +13609777156 +15862226770 +15857923860 +15889440210 +18883627303 +13698528763 +15817085351 +15140488493 +15888051959 +15895525130 +13678253121 +15180537204 +15115728379 +13912334836 +15142501465 +18849484351 +13694901117 +18553372400 +18811530819 +13614301744 +13625039348 +18899452542 +18513228978 +15862292928 +18877359735 +15883324622 +13995854429 +18594660270 +13628506289 +15881744557 +13989715981 +15878997565 +13924483653 +18806946189 +15800329866 +15155494691 +13680586847 +18577947069 +13690640907 +18566517946 +15120309331 +13650522571 +13646401964 +15154253374 +18862240431 +13969476145 +15156634412 +13657879428 +18834489970 +13626236943 +18535314652 +13988765805 +15157049024 +15892670043 +18872767495 +13970110739 +13979032076 +15191300325 +18569228208 +15117147033 +15107502692 +18877214490 +15827489925 +13991764841 +18846571227 +15878753038 +13675778210 +13636474052 +18899617104 +15887330039 +15819840006 +13991153790 +13956833437 +15825481557 +15801331767 +15881895364 +15150364987 +15132751759 +18515096504 +18818852770 +13607082276 +18863010950 +13604333827 +15180378337 +15104213277 +15157866544 +18866996936 +15155356564 +15133614493 +18876751756 +15889126068 +18569111737 +13956629904 +18554807811 +18850530825 +18894561824 +18838900987 +15186527835 +13995556455 +15194844518 +18519959163 +18595690062 +15104405746 +18839332513 +13979334439 +18858816621 +13946042436 +13617321584 +18535102858 +15883343675 +13640145595 +15129734784 +13663206436 +18832656818 +13698000982 +13941759338 +18501965121 +18868884216 +15115238168 +18564039466 +13659879236 +13623350399 +13995028788 +15896543001 +18560354166 +18581542781 +13610928106 +18558177921 +15868635674 +18803786192 +18829623124 +15843583524 +18845394950 +15868514028 +15881404942 +15159449656 +18881942859 +13936740396 +13984841291 +15103922726 +15862639251 +18515613883 +18592927989 +18827717345 +15173851473 +13952660198 +15144410386 +15887904196 +15840041516 +13970138024 +13965858254 +18533498060 +18541510531 +18837525108 +15134919159 +18837658777 +18875510882 +13972685137 +13665065173 +18861033088 +18539633174 +15862378334 +18881373614 +13613050795 +13697401090 +15101007196 +18576265289 +13940920323 +18547940284 +13989884173 +18533875260 +15810717377 +13609401232 +15199765102 +15850267999 +13922603790 +15886090345 +13945101338 +18571194813 +15889690482 +15198888248 +15849027281 +18537248011 +15809297735 +13684943316 +18508906236 +13937551530 +18529527825 +13937895838 +18865526257 +18512222933 +15167667969 +13687953145 +18859164472 +13949946076 +15141845685 +18854835297 +18583824017 +13641956602 +18532959969 +18591943238 +15877282156 +18848957920 +15844213285 +13625038712 +18597093002 +18554031511 +18884251180 +18887630217 +18868265780 +13664934627 +15834319138 +18832961865 +18559660480 +15181504228 +15818061244 +13636908394 +15897433524 +13944248466 +13635235751 +15155274896 +18517355318 +13903084180 +18852397391 +13691108850 +15106725038 +13685390467 +13654935010 +18576912476 +15193517028 +13613302542 +18553551038 +18529413070 +18560949909 +15171669705 +13624536244 +18597850744 +18592228612 +13635420507 +13989729608 +18899703124 +15894834187 +13641431471 +13606624910 +15829483558 +18895455935 +15182697771 +18574776406 +13672142454 +13646051579 +15152326793 +13603700031 +15842806721 +15823660967 +18535858320 +13910830338 +13604280647 +13631144549 +18517502522 +15871971098 +15158216597 +13932468391 +18884788574 +13695525886 +13688027182 +13978080909 +15823910405 +13611638209 +13601961650 +13944477459 +15840723463 +15183047854 +13919370007 +18598915957 +13996001557 +13664995998 +13652351567 +18871138362 +13907612418 +18528927324 +18573917920 +15116126766 +13668704993 +13996877854 +18500505482 +13980747213 +15199388273 +15178541420 +15125073821 +13652309309 +15862250253 +15116666576 +15182375236 +18837408621 +15845531951 +13624344811 +18804028266 +18824700914 +15845420204 +15165461417 +15880376438 +13970571395 +15840865157 +13945630324 +15885382008 +15807968613 +13972465367 +18538314930 +18843049838 +15847078895 +13981728016 +15890751461 +13982908681 +18829608926 +13633036899 +13624031937 +13932502668 +15151580258 +15872592589 +13612768125 +13913805196 +13905308857 +15115313171 +13978892745 +15846544941 +13915474280 +18834348144 +15126992113 +15102647329 +13913159853 +18571578949 +13689319133 +15174850198 +18561010752 +13935001810 +18561181288 +13603800432 +18512724982 +18829270383 +18839326434 +15170322648 +13996566833 +13656929289 +15848903144 +13651576003 +15142101054 +15851262697 +18549183960 +15878227817 +18519840156 +13665628678 +18552657461 +15191126915 +18543204199 +15135986946 +13695286423 +18556962716 +18874572835 +13635860891 +13650187125 +15134940976 +15849462804 +13602549690 +13674931418 +13925199787 +15836956987 +15139403539 +18570424875 +13680625174 +18891656921 +15120464551 +13694434485 +15186840959 +18508689238 +18543316460 +13670523617 +15118640830 +13961230127 +13937853561 +18517320774 +15116720548 +18830173816 +13929294224 +18880482367 +13987627398 +18822577411 +13646618402 +15816828559 +18565076833 +13627715451 +13908008211 +18825983331 +18587569699 +18555557842 +13964986857 +13699397519 +15149740541 +13637674752 +18510289581 +13954638257 +15808485417 +15879439363 +13912054163 +13648545300 +13927190055 +13667932953 +18587581159 +13979627958 +18811861270 +13926486532 +18876603551 +18876255094 +15189305278 +18527174251 +18869044924 +13600096491 +15150854925 +13678412055 +15814096756 +18518301290 +18583926613 +18575253656 +15809409800 +13622348879 +13986374707 +18536758494 +15100931372 +13945000934 +15898476785 +15844987988 +18831837705 +18823103067 +18832318953 +13931002635 +13612205934 +18810565083 +15119438138 +13990634881 +18515476843 +18802300068 +13613335203 +18522760176 +15871366419 +18500123986 +13911051663 +15803107957 +13956016824 +18857691377 +13958895710 +13945775884 +18579142960 +18550108099 +15178967841 +18579185804 +18530314281 +13636539828 +15174160035 +13673128319 +18839970940 +15101500187 +13640145939 +15851664102 +13637457304 +13911750788 +18828278287 +13661831151 +13938551861 +15160092696 +18565322027 +15863187844 +18533534226 +13952395865 +13606542924 +18540897847 +15883138027 +18845608363 +18803595641 +18510097027 +15859269845 +13616865311 +15818717079 +15841397906 +13994447709 +18535461461 +15827661484 +13666207542 +15818704132 +13659793946 +13938233162 +15144764766 +15107362106 +15832673790 +13645839204 +15814446837 +13669093968 +15123224229 +18584353487 +13989058972 +13603584266 +13622434836 +15191082715 +18508590829 +15152988079 +13604028753 +13947890447 +15183031027 +13614049774 +13620652885 +15192322241 +18819859344 +18594326012 +18541842252 +18889406200 +13929692954 +13981887608 +18843004584 +18570929772 +15119599953 +18525323857 +15183204533 +15168096534 +15818488832 +15804661232 +13671670423 +13935031163 +15176570198 +15116384023 +18835052334 +18809999023 +15131816174 +15881977480 +15123909855 +15142133675 +15195378459 +15113549923 +13993165925 +13620495245 +13941962295 +15113204231 +18541606688 +18542314215 +15197141850 +15850961687 +15856799161 +15806619833 +18890443294 +18576086218 +15101269600 +15879154300 +18585115550 +18540068484 +15857618853 +18817864097 +18833040068 +13985504441 +18830602659 +15131449130 +18527769054 +13696550992 +15807283303 +13647838573 +15122140499 +15106432482 +15800870599 +13609612411 +13690848665 +13955341239 +15811663702 +18880061270 +13941676133 +18530945289 +18829731856 +18526296176 +13995048065 +18578431149 +15148994091 +18538390385 +18581689263 +15117259740 +15174473179 +15129377212 +18827537953 +15175932197 +18512664877 +15878006031 +13992015930 +18570269843 +18548763474 +18525923049 +15866846306 +15186556871 +15105320450 +18523372878 +15841784377 +18872666952 +13954941965 +13657136721 +18534550238 +13954122237 +18809953493 +15891556700 +13994627624 +18838844548 +18846518458 +13690258804 +13613855173 +18585597619 +13966433799 +18527554478 +13665894129 +13976306625 +13658994380 +13667132762 +15143431785 +18550718326 +18873978370 +13929439128 +13618321364 +13667074669 +13664872117 +15120358011 +13978830602 +13689148080 +15895034147 +18548270409 +13941824681 +18555358342 +13641058669 +18880466060 +18800853796 +13902886588 +18889432106 +15184760660 +13602142857 +18539146987 +13944268991 +15187010943 +18814073984 +13662304708 +18800927676 +15847289147 +18599834284 +15115457656 +18886073918 +18849874666 +13676809470 +13674417500 +15838213467 +18831135204 +15827775917 +13963751998 +18588438913 +18514593648 +18540665503 +15802626844 +18827227998 +13667219610 +18847912535 +18510781412 +13650621930 +13692503405 +18532209524 +13697319441 +18521664048 +18882907454 +15846442426 +13646719481 +13658682129 +13931218810 +15827902452 +15870919992 +18801769405 +18887358312 +15833657015 +15120036412 +13965729686 +18805805435 +13610993045 +15856326595 +18810816259 +18585960984 +18807528985 +18847937019 +15899264246 +15163118975 +13920641113 +13691124100 +18537050772 +15117617519 +13695898345 +13640980347 +13603920321 +18823664970 +18547409103 +13929900846 +15803342816 +18517404194 +15116608007 +18847056112 +18830451003 +15845634462 +18829367175 +15870665952 +18559948503 +13992069999 +15151593474 +13970764530 +13623688299 +15801334861 +13926453966 +13964403490 +18886770252 +13682625813 +13902598132 +13972867851 +18824999633 +15152877018 +18850466168 +15851075814 +13643910242 +18814971259 +18562871687 +13623797683 +13685037189 +13903091172 +18586868385 +18550317146 +18506623821 +15815065040 +18565269247 +15158609965 +15897224973 +18583346900 +15120857515 +15832230170 +15853023119 +13937892922 +18897794984 +15882328228 +15838566239 +15845799730 +13981700175 +15887941631 +13960730392 +18517146574 +15137496486 +18559530221 +13926947360 +18574887124 +18571595573 +15809881555 +18892554736 +18851651568 +15176362628 +13613608677 +18548091508 +18844146989 +15872355654 +15142063816 +18890600942 +15819038685 +15827727304 +15147846327 +18550867279 +13916843843 +18855057734 +15173360023 +13669640161 +18806912631 +15892796319 +18588890821 +15148749006 +15872743957 +15827099481 +15874029310 +13625451496 +15867184052 +18865735451 +13614583331 +13627951162 +13654014705 +13954884108 +13928363852 +15819660720 +13679867854 +15810788728 +13962145150 +15176701761 +18556881484 +18813572618 +15841689820 +15130531926 +13922682382 +15853202024 +15135054710 +15159150714 +18872746009 +13991902019 +18817202765 +15869777449 +13926286992 +15860906268 +15126283251 +13669537058 +18843101771 +13680385703 +13953538256 +18502323304 +18598629747 +18804326726 +13614731975 +15137355662 +15126817473 +18582456025 +15864132213 +15168563349 +15146119703 +13626231571 +18857969935 +18569869217 +18855301656 +18878508309 +13634371371 +13682678763 +13955378598 +18858222691 +13685456033 +13687359546 +18533759324 +13607478692 +18592212374 +13990811245 +18589497752 +15857954256 +18896719865 +13940504133 +18847984823 +18807856097 +18526288198 +13653842246 +13932759739 +18853480615 +15836358884 +15835888169 +15851404551 +18891056100 +15875658904 +15106651001 +15133049831 +15115210226 +15157793027 +13667771012 +15150403165 +15126861461 +13632529441 +15869362461 +13699860025 +18536887126 +18536193444 +15135241237 +18534136661 +18876796360 +13961292859 +15103321855 +15892198535 +13937634551 +15857433072 +13655351168 +13957502111 +13922499187 +13642155498 +15831750935 +15850591793 +18881128880 +13928734345 +15138738579 +13621604725 +13918048146 +13970350150 +18818302605 +13602724405 +15898265094 +18573231223 +18543782348 +18598090726 +18867776865 +15862533723 +18848618905 +18511585447 +13629117683 +18595956592 +18895993734 +18859175497 +18821749098 +15119918657 +18817448523 +18884025171 +18552468076 +18873176129 +18804518299 +15844181305 +15852595556 +13618492586 +18875674440 +13673388388 +13940059413 +18803759345 +18511562927 +13647401173 +18811202139 +15108846631 +18536897612 +15854598573 +13621297573 +13643695109 +18898051569 +15882070429 +15115294774 +15161724336 +18544120372 +18805795916 +15164575363 +13670947812 +15826107636 +13902161989 +18542571347 +15894533973 +18550647218 +15854157027 +13655869525 +18576027278 +15842457501 +18503608192 +18598126556 +15866955579 +13978891579 +13634141847 +13953473453 +18818426388 +18861942859 +15856070292 +18822119556 +18568307383 +13691788944 +13990582820 +18864251029 +13620906771 +13901401052 +13640178825 +18532431171 +15193860225 +15890822292 +13681673839 +13641945145 +18876311925 +15896857057 +18526061702 +18830352655 +13683992644 +18563251256 +15191813494 +15895699662 +15176538069 +15108041981 +18502528998 +13991868099 +13653567467 +15854290156 +15125110433 +15886829736 +15167423730 +18513901587 +13909969488 +15801388232 +13641419974 +18559004843 +18505230071 +13907101935 +15828437404 +18894222576 +15128249687 +13657899980 +15810737514 +15182970466 +15853422264 +13968670267 +18895524281 +18820212540 +15867831773 +15124185447 +15155942208 +13902596061 +13924702794 +18561012772 +18846166034 +15817807432 +15893518316 +13954524983 +15140246583 +13610044984 +13909174599 +13947445311 +15178513392 +18522481299 +18553144474 +18591868695 +13669042015 +18819331240 +13647226011 +13964010385 +15813961375 +15830162671 +18861462971 +15872777034 +18563593382 +13905176032 +18517083084 +18573080489 +15194859758 +13670126054 +18509513640 +15858885556 +13935985427 +18811522162 +15111717881 +15192693392 +13616320340 +15176842525 +15139345011 +15177868800 +13672666843 +18544044674 +15862448370 +18502172634 +18538448438 +13612748972 +15867224587 +13615939406 +18874460104 +18587058179 +18592583146 +15130300852 +15887910270 +15879111274 +15899971048 +18596122408 +18512386667 +13665127074 +18802556855 +18871944155 +13959982208 +15122934049 +18836507465 +13966688149 +13687120242 +15875067934 +15122752286 +15819376737 +15181833447 +18515492580 +15836994626 +15846202169 +13931520176 +13647933679 +18820196115 +15826173278 +13607056242 +13678569269 +13617688493 +15878562441 +18582887327 +15165101019 +13987576643 +13998991537 +15103594142 +18599223187 +18521807281 +18509544684 +13602933443 +15808403577 +18574082958 +18557169991 +15846292194 +15136350683 +18858481247 +13999099880 +18518948959 +18893077443 +13914873955 +18842311921 +15851434746 +13688938623 +13639604819 +18864980422 +18898964425 +15104766881 +13998535074 +15871330956 +15858704636 +13687676226 +18572371408 +18842859430 +15887743145 +18514307545 +18861065843 +18536400489 +13989999385 +15816827592 +15123148327 +13924505187 +18861570939 +18829920867 +15843211625 +18575280206 +13903050887 +13928767617 +18585144915 +13934211715 +15162041508 +18855481391 +18576117998 +18599187217 +13929696177 +15102261409 +18549665003 +15869787746 +18557772374 +18810857122 +13640460719 +18870276818 +15143064542 +13903999478 +15801742431 +15150354892 +18595581956 +15119602298 +15813290910 +15831318719 +18825318244 +13957196265 +18835569022 +18863871708 +15190271302 +15859353054 +13655054823 +15818852910 +18867253453 +13940542561 +15166244165 +18563983128 +15854180995 +13619064651 +13964046205 +15890498772 +18800450917 +18865816085 +15872666049 +15843826975 +18800390905 +18884343430 +13615040136 +13969708165 +15147103907 +15161259106 +15192830075 +18870164160 +13961710583 +13943032704 +18591095521 +13691087955 +18809126170 +15815499812 +15895682207 +15119898549 +15135194655 +18559425171 +18516119506 +15108121245 +13902079622 +18503220340 +18809409292 +15196580865 +18594238207 +13913552078 +15812691579 +15811047838 +15818206160 +15145993827 +18573954650 +15835903955 +13603873001 +13943113961 +18509696792 +13687772486 +13901123459 +15144930321 +18870757046 +13628611192 +13967565324 +18553373809 +15168179997 +18880025834 +15899993923 +18840658086 +13999467822 +13618830101 +13692363096 +13977998780 +15894935706 +13615395371 +18559259949 +18516193115 +18501372401 +13926177943 +15178186473 +15874813720 +13675634751 +15168943561 +13668927034 +13649268348 +15145098321 +15122471578 +15194135748 +18836119256 +18527483117 +13900451464 +15873771723 +18842538789 +18538070185 +15893451765 +18510639422 +15198202670 +18514666286 +18838077678 +15174487706 +18568350460 +13622213316 +15119904047 +18596056022 +15805506562 +18564843626 +18849435141 +13932133597 +18500750121 +13934049793 +15102028861 +15894464786 +15813629203 +18888074952 +13981122202 +18899257436 +18567475875 +18517253522 +15110501958 +13981164720 +18868891953 +13983335079 +18886343140 +15849461566 +15172053205 +18868492790 +13653290904 +13921568825 +13692413931 +15167259001 +15859855291 +13958766190 +13940258433 +13902526770 +18825890630 +18805672114 +13988426890 +13913073272 +13939132396 +13967218444 +13986782342 +18887838718 +18825694950 +15872873099 +13968004366 +15133191656 +18854839111 +18568765263 +13611327249 +13944465812 +15151990443 +15852524533 +13634375370 +13613918542 +15807517370 +13651497712 +15880345163 +15134388825 +18597587173 +18875057819 +15127561364 +13994283993 +15186282520 +15127704133 +18570774507 +18590425866 +18546063680 +13632378064 +13916277719 +15172459284 +13651406765 +18508430352 +15110637374 +13639876178 +18856310606 +15898558476 +15863041482 +15171218142 +18582301847 +13603652228 +13689869287 +13991502279 +13645272520 +13607040303 +18528339012 +18845605736 +15804095988 +18554637418 +18867255950 +13974901509 +13953829613 +18815555119 +18889777737 +15101851904 +15852438320 +13955901224 +15869971346 +15847185419 +13930244437 +18833796981 +18830087742 +15174801127 +13675846369 +18577441511 +15820336514 +15824203588 +13915044301 +13970948017 +13939520897 +15888555343 +13680711829 +18526158469 +13914749291 +15149646000 +15880021548 +13625492396 +13633553554 +15898554983 +13987654456 +18888071110 +18590805158 +18562182449 +15845981598 +15806621563 +18584662359 +15893195743 +18853783817 +15190376686 +15185973602 +15165427716 +15170521390 +18517575841 +15861874257 +18529376308 +13695140635 +13679347904 +18817937686 +15872197914 +18829207302 +13908002737 +15800902145 +15108695462 +13627108061 +15188999769 +18808296875 +15835542022 +18555238947 +13641939654 +15121711379 +13625200980 +13904758243 +13954584121 +18845244863 +13619554858 +18814686978 +18811631889 +15801382860 +13659841708 +13976821378 +18565540793 +13657833200 +18899992695 +15812787125 +15160860718 +18893079957 +15801785017 +18599890229 +18868027362 +15801644076 +18884983634 +13935425471 +13672830957 +18862236775 +15863382427 +13678037110 +18879236672 +15162807079 +15159936674 +15854120964 +15863399783 +18510920892 +13646113242 +15163074294 +18504021999 +18577339024 +15124859227 +15180465827 +15141226303 +15843903840 +13679405435 +15831088069 +18522661338 +18820197692 +13617264745 +13996947821 +18831777129 +18512971953 +13979386823 +13931132738 +13948007785 +15892895313 +13968957034 +18536214255 +15122381486 +13699957694 +18584116230 +13629902805 +15168109121 +15883605013 +18515512007 +13959355633 +15804633950 +18522229362 +13675576977 +18860423372 +15804553772 +18589492430 +15123443284 +18594650651 +15886628664 +18862994137 +13998828629 +18509120262 +13991796088 +18864267540 +15833872637 +15820526410 +18507997046 +18896802556 +18547578939 +18801924401 +13655901571 +13660157179 +15851633230 +13647549590 +18585782081 +18510601150 +13647714550 +18896097067 +18819667688 +18811042952 +15898587561 +15874263418 +13973671367 +13937378511 +15849719022 +18867920134 +13962542529 +18512244735 +15857274082 +13911132636 +13692672807 +13660192417 +13625221179 +13975884155 +18832534107 +18584527724 +13625483580 +18571542750 +13991158342 +13982879326 +15189655409 +15847586960 +18507313700 +15802364062 +13986277798 +13620962998 +18540577323 +18519252408 +18574965602 +18801557972 +15187452907 +18572434915 +18591482353 +13632052418 +15858162941 +18592098051 +15848218964 +13974692559 +15885237425 +13686831175 +18886159388 +18830538913 +13635036051 +15825020660 +18801613358 +13675492503 +18511006522 +15120301422 +18584770325 +13629426508 +13982339010 +15169373196 +18510563381 +15885381391 +18814829669 +18888397305 +18827833791 +13688642223 +15852577973 +13988224100 +15160868990 +13997576083 +18546954490 +18562901613 +15167783234 +18829418733 +13680463347 +13970830323 +18560606246 +15174676215 +15898308492 +15835458330 +15192453614 +18885873403 +13956930338 +15880290971 +13615909105 +13923455095 +15199691092 +15158528864 +13922141477 +15855883680 +13662762336 +15889350783 +13634263579 +13905745310 +18844776313 +13628536480 +13943935909 +13950793552 +15107968839 +13922757047 +15189330629 +13986988053 +15863963932 +13932537914 +15837721699 +15860343374 +18817353044 +13618356532 +13694422485 +13983117506 +13690187858 +15135180282 +15196889509 +18866454469 +18834952902 +13977273677 +15182766418 +13906397568 +13669083560 +13901150013 +13922361967 +18875802970 +18812706715 +18857755811 +18554002998 +13609364894 +15883235445 +18813655922 +18552210659 +15169067529 +15830366991 +15160940670 +13949844191 +13638932738 +13901168765 +15891186169 +15866848169 +13943467874 +18806970220 +13602728532 +13686123406 +13965549376 +15849860667 +18584072229 +13939650092 +13954486055 +13651166966 +13635875415 +13906766236 +15156209871 +18821850621 +13603772816 +13624651704 +18854791227 +15142097100 +13658763910 +13959643497 +15119530932 +13982674717 +18502062273 +18853771562 +13619216895 +15116552053 +13992811524 +18877902274 +18587043705 +18569634968 +15180566993 +15110996964 +18532392638 +15101350527 +13672746948 +18598013444 +18562685901 +18551560207 +15891618746 +13650490972 +13983480482 +13694165807 +18853782164 +15151079289 +13680774169 +18585587971 +18835073568 +18511477230 +15851216403 +13625989968 +13607941509 +15198478402 +15837364195 +18899747658 +18840973434 +13931867377 +13954478799 +18504428450 +15815501391 +18570098120 +18535339186 +15175277653 +13965470144 +18578091302 +18877065159 +18551518638 +13972356016 +15102986290 +13626359964 +13989231515 +13901451545 +18516816294 +15819279185 +15195387044 +18862304197 +13922840486 +13952145761 +15874583346 +13931868608 +15899604666 +15886490957 +15898474278 +18567968725 +15130384750 +13939674595 +18881819842 +15876178803 +15183858019 +18883763225 +18866352464 +18517491951 +18816596464 +13694470553 +18520535481 +15863484234 +13986942889 +15840103721 +13925389066 +15169639220 +15879343014 +18501794112 +18530471619 +18897020705 +13683606501 +18897063645 +18861924906 +18827681199 +18831316520 +13937220378 +15197386078 +13915127204 +13689108118 +15847114716 +13932302395 +13945799601 +15894054803 +18808153891 +13666672802 +15845423382 +13971260007 +15183363543 +18873685347 +15130826437 +18588194882 +13911427716 +15167002675 +15851771196 +18857505695 +15857847212 +18552904021 +15823170932 +18872776600 +18578659355 +13613159765 +13612651228 +18886680870 +13642329194 +18860928911 +13985297076 +15861432508 +18889367387 +13967083827 +18509085862 +15879471948 +18569183907 +13990409771 +13932329038 +15163147233 +13982304980 +18533692158 +13649463260 +13660546965 +15170680318 +18579765669 +18899020847 +13604541322 +13691701972 +13946712493 +15878689737 +18529493862 +13695810987 +18868906411 +15174622480 +18838369158 +13671382363 +18548313225 +15179969075 +13910023363 +13956336527 +13960834890 +15853971128 +18868482814 +15881594063 +18865168819 +13934255119 +13645332777 +13917322604 +18819611626 +18519302644 +18587240890 +18820179193 +13603582940 +13685317122 +15864343087 +15170819086 +18856165177 +13920130783 +13631398958 +15105643893 +13925907750 +13636605852 +15867046825 +15885877790 +15152485795 +13647798589 +18548049137 +18504376679 +15825385266 +18891987281 +18581298645 +18588059721 +15128415539 +13642162897 +15189523672 +13643855726 +18887286627 +15868382302 +18503113032 +18539929778 +13909122451 +18560347912 +15882220837 +18802806859 +15893321049 +13925741587 +15880082684 +18514819369 +18581259926 +13953566194 +18590561769 +18852535396 +13907743169 +15190957114 +15816530409 +18824646982 +18847690734 +18887649521 +18857025934 +13992783741 +15897009121 +15130194322 +13612905527 +18503525763 +15106555931 +18870818617 +18861560115 +15151674005 +13663844995 +15109282751 +13608100925 +18830334185 +15194965422 +18568886083 +18545520606 +18525262414 +15124411628 +15823954525 +18849617034 +18802639227 +13924121621 +18564392156 +13947763372 +15158748810 +13950834503 +15815947249 +15882065217 +13944051979 +13649055626 +13930931079 +15850836882 +13902804797 +13995446487 +18561757476 +18807496421 +15883892981 +18574037713 +13932898708 +15153192087 +15172612095 +13673339944 +15851515223 +18837415957 +15179329097 +13924128924 +18818688735 +18801653576 +13669891856 +13999816294 +15845199451 +13955068808 +13614712814 +13613060855 +18885990539 +13925184715 +18882146262 +15129684674 +15836864370 +18820199695 +18511757409 +15171951248 +15826690093 +13902046446 +15824979324 +13658763007 +18820451583 +15840290192 +18541649133 +13975856041 +18898942587 +18578914961 +18571714944 +15191591953 +13931123320 +15894520009 +15166069884 +18833565965 +13695388443 +15160552355 +15867906913 +15152427264 +15802893386 +15127518368 +13973334743 +13696690317 +15179187673 +13996039341 +18533317745 +18803938346 +15866234322 +15845075644 +15176360584 +13916231430 +13919806066 +13951024347 +13988310291 +15137763120 +15171965736 +15866678712 +13928507463 +18543670160 +18877203686 +18562134877 +18877550357 +15829106774 +18595026085 +13638597408 +18511437293 +13998560108 +13646039890 +15886822697 +13689188606 +18564474524 +13644471021 +15822733690 +13623864269 +15141737076 +13937035683 +13928027416 +18555301233 +13659757035 +18512855810 +15898160238 +15112257264 +13987949238 +15844514028 +15130073952 +13682134067 +18512249218 +15817597788 +13687158411 +18517308665 +15853615148 +15848657442 +18569949899 +15101717922 +18870332204 +18802782317 +13997470891 +15842345912 +13640764071 +18526072282 +13924441281 +13929510981 +15863896587 +15169034900 +15111244295 +18878216905 +13629858191 +13627540522 +13911778658 +13666241650 +15199604203 +18889479433 +18881199450 +18870677535 +18804068713 +18832713066 +13613072507 +18839691192 +13980576423 +18876930973 +15893598781 +15817065023 +13903906297 +15823148315 +13675077658 +18870966236 +18878514262 +13906298327 +13667790468 +18836840746 +13614172063 +18504615457 +15883438843 +18865099055 +18870218960 +13940149317 +18800340688 +15134183602 +18818278510 +18803630610 +18580214767 +18882298024 +13687492227 +18878686783 +13631508246 +13933449896 +15837834572 +15822376286 +15833255658 +15812819410 +18817976762 +18817275026 +18520115848 +15845985776 +13920012935 +15845164778 +13919330410 +18540231012 +13652307704 +15887450114 +15889554010 +18587509245 +13992244338 +18555103632 +15862294899 +18509300018 +15897158629 +18558553777 +18833248585 +15869966494 +18805252896 +15864181348 +18596839632 +15894631329 +15101281996 +18501881555 +13980700602 +18890261256 +13988247985 +18868689537 +13686990457 +13928791397 +18504997898 +18829490031 +13636556138 +13990903157 +15174058388 +18524268130 +18809019318 +18527915444 +18831594084 +13610699092 +13915239771 +18597392818 +18507042907 +18540569536 +15827919639 +13918446320 +15860601939 +15858400464 +18888146299 +18896627700 +15159618816 +18839970061 +18520450143 +13991204272 +18566047188 +13600811451 +13652197445 +18811460166 +18552654604 +18883622689 +13630311893 +15860526738 +15831721431 +15162680730 +15844187351 +15101221019 +15852523225 +15897714201 +13936184805 +18579003556 +13661690822 +13929241854 +15134765527 +13982663024 +13991674399 +18811263974 +15186088023 +13994290374 +18586108286 +13658778138 +13631683246 +15178241072 +15122192628 +18824719707 +18844636108 +13627887324 +18581432140 +13683695104 +18842536648 +18816442268 +15192404322 +15147818297 +13639413974 +18540835965 +18876107625 +15812713448 +18574349501 +15878869303 +18586481902 +13945172916 +13697061976 +18535036157 +13634028005 +15832060689 +15149894663 +18889603001 +13661984124 +13658469258 +18555654808 +15163185328 +15130391774 +18589394798 +15852773329 +18816338484 +18521971658 +18865192627 +15185026415 +18526071732 +13944923330 +15144624150 +18856801263 +15846261024 +13651183529 +18542381288 +15890466027 +15176010091 +18880751501 +13903744671 +13927211827 +13988835130 +15876960523 +18802975221 +13617596940 +15113323713 +13629673492 +15116036546 +18596138099 +13917387698 +15183718983 +13655143396 +18585123353 +13634752318 +18899640024 +15135193153 +13987762605 +18877786395 +13996713549 +13626047579 +13910393440 +15142331034 +18888216762 +18853891622 +18897470131 +13661473508 +18865452441 +13691891183 +18884019332 +13676452492 +13938515904 +15165801536 +13651227645 +18551019198 +15120052387 +15845498717 +15898781323 +15854302168 +18561877645 +15190214258 +15155943038 +18845873595 +18514088998 +13953202442 +13627002310 +18894685605 +15895436029 +18522047285 +15875594788 +18883232142 +18821930514 +18595916264 +18807057196 +13955557093 +18570626073 +18856660348 +13938017931 +13659609542 +15858764934 +13908645486 +18512346041 +13939753659 +13900896518 +15146237965 +15870262247 +15188050852 +15858171085 +18847073996 +18805644732 +13665508080 +15156478478 +18582839213 +15192025719 +13939115681 +13938647525 +18884528566 +13694906755 +15896778488 +13908475926 +13627270450 +18502862602 +18585406905 +18833544030 +15883604798 +13923471567 +15102889702 +18506700510 +15164911745 +13940157620 +18516571415 +13941635883 +18819162434 +18818053404 +15152173001 +13610306304 +15892945130 +18536009861 +13600451888 +15120820830 +13942902551 +15889933526 +13604862802 +13933340450 +15185530595 +18516493551 +18877594328 +18542229395 +13698317117 +18844162942 +18868518014 +13932858760 +18842233008 +15143459303 +15859057255 +18527807111 +18564589605 +15142769967 +15847642026 +13698491197 +18579492720 +13959441051 +18540313762 +18589595885 +18899439013 +15100752731 +13985007278 +18821586660 +18598336333 +13969986538 +15854078458 +13930004658 +18510305107 +15800654765 +18532953022 +15187821675 +13937326734 +13662225365 +13996314264 +18566421729 +15813086081 +13959717343 +13672198085 +15802287973 +18826609713 +18896292190 +18817140607 +18521665273 +13938315028 +13956443522 +15842105724 +13673050465 +18563652449 +13962597586 +18829139353 +18886297771 +18529054272 +13971721890 +18800485528 +13946886716 +13964008653 +13660248504 +18573984343 +18535215859 +18593636673 +15104387266 +15840046645 +13625085285 +13659438608 +18538202133 +18509560020 +15853564458 +18545831901 +13691413328 +13987903549 +18538838432 +18515592047 +15807802274 +15134299699 +15196799598 +15877954707 +13933111421 +18581746202 +13947975624 +18889753177 +13639760306 +18599164868 +15829982082 +18519156728 +15816471206 +13614089366 +18803860468 +13606614425 +18808339726 +13995792955 +13999932477 +18566891773 +15864222337 +15113284986 +18835320340 +13610204603 +15133154705 +18518545863 +18850009532 +15199845300 +15143905734 +18585701038 +18804809030 +15875385054 +15179641188 +18591756112 +13971141478 +13915460926 +15105196109 +18586275233 +13941956640 +15191486234 +15115907030 +13670656131 +15834825240 +13946149864 +15899148083 +13953625440 +13970456168 +13611626219 +18890839055 +15122416584 +13996797544 +15122429130 +15180307856 +13937673025 +13657799358 +18874371280 +13950159780 +18541386754 +18800663588 +18853271342 +18853741947 +13666250754 +18804669216 +18523313125 +15176633472 +18851815619 +15165336721 +15876401181 +18847386481 +13678264886 +15133899075 +13627444464 +13627601073 +18890429365 +15162496575 +18586923426 +15824512869 +18810369190 +18514851876 +15876883422 +18563532133 +15128859922 +18539910758 +18527973373 +15143691790 +13619548994 +13908331451 +18832906565 +18564719907 +15865674691 +15830738036 +13670280719 +13671025588 +15836338057 +18528151641 +15123282824 +15111977829 +18515995103 +13948268389 +13600058294 +15189759730 +15174031493 +15128642474 +15100620022 +13905762792 +18872637248 +15134002178 +18888708961 +13670385706 +18530335994 +15843389682 +18591890862 +18523845817 +15875905265 +18542609070 +13683200656 +15891276664 +13603077395 +15885967105 +13935679685 +18597532662 +18814434828 +13934634691 +18586517271 +18548104641 +15889799626 +18558812846 +13931382773 +15819110265 +18566261725 +18581572438 +13656401797 +15113417981 +18893883303 +13628314381 +13945977574 +18514437351 +13939153897 +15138769449 +18518937824 +13667785486 +18519076975 +13904908085 +18596811765 +18858385109 +18501408491 +13936569537 +15855694957 +13907075671 +13666573217 +18503330395 +15196396557 +18814282641 +18847500951 +13699376847 +18591409089 +15849229186 +13957482875 +18832404395 +13681610626 +13673090665 +15179468570 +18569108531 +15817769369 +18864825751 +18565525825 +18875044928 +15880937267 +15850809212 +18825654412 +18830727139 +15150378858 +18880181162 +18838680545 +15104583535 +13990832505 +13947320862 +15185887770 +15178897002 +18580043065 +13600125574 +18583887140 +18862616479 +18537471264 +15827767723 +13970918526 +15848004664 +15139848897 +15850938296 +15172769650 +18833157380 +13963375528 +15183252791 +15838945164 +18832739436 +13661942529 +13941453930 +18840908164 +18529946524 +18524017359 +15158792349 +15884197561 +18558678853 +18853652579 +13689758194 +13636640392 +13980935713 +15834410581 +15113462427 +13645225805 +13999808123 +15852356793 +15192860188 +13612485319 +13696526596 +13932145520 +18817430046 +13606579417 +13677733512 +18813998922 +15187774685 +13943431720 +18549378169 +13910807219 +15834265379 +18510466063 +13606045060 +18594564962 +15831182461 +13987561445 +18508499103 +15131362080 +18809416744 +13680566363 +18551422199 +18521465543 +18800997717 +13934176901 +15191143262 +13641126012 +13994080020 +18504404246 +15815367235 +18503269391 +18894520763 +15180926772 +15157401630 +15143039593 +13946421427 +15818286419 +13992678411 +18535200173 +18572958627 +13921248482 +15132790587 +15152696145 +15806983833 +18529956365 +15870241485 +15871444809 +18591055457 +15169808164 +18880583455 +15115886959 +13962542145 +18545491473 +15149408493 +18808637911 +15805079820 +15138336965 +18824497033 +13649375827 +13634802753 +15816788182 +18897522647 +18589569651 +13625120308 +18571499872 +13947413664 +18526643268 +15887730460 +18563300801 +18563148874 +15112318470 +18827929044 +15817620911 +18546935060 +18846178798 +18886087055 +15814143903 +13659231902 +18578522660 +15821859426 +15850203362 +13949044930 +15819236672 +15194801844 +13699299579 +13960465241 +13645279613 +18520333592 +13920975597 +18514780698 +18846079871 +18536588806 +13686529008 +13692833959 +15859665481 +13950117837 +13627901170 +18545076242 +15130978152 +13606449843 +13645605301 +15127879238 +15180382627 +15100787243 +18896985847 +15121821771 +13977915791 +15149483034 +13998965231 +18856083802 +18872842032 +13978453768 +15118283498 +13986152839 +18896675517 +13969325599 +13954699124 +15821541961 +18849104618 +18509858627 +15812493252 +18556118284 +15865697843 +15830520506 +15855068065 +18506097601 +13680847048 +15859757868 +15119673618 +15184882010 +15859071100 +15802312603 +18506834952 +18879497438 +13658126718 +13645251900 +15824712786 +13667169664 +13657259805 +15159566581 +13927848832 +15882397440 +15174261117 +18851816308 +15895380957 +13991412105 +15193483090 +13648608656 +18529177705 +15143110596 +13959228687 +15151475443 +18871183494 +15148723740 +18561576848 +15165156921 +15803009855 +18806184632 +18813600934 +15874311717 +18524451805 +18846500971 +13658588915 +15825821382 +18521474265 +13650551511 +15892791878 +15849015315 +18544463670 +13698328253 +15171652670 +15188163964 +18856881377 +13671540448 +18805198361 +13984619393 +15801664981 +15100792155 +13918524933 +15198989633 +13674837609 +15867879644 +15189078028 +15897741499 +18859232362 +15867267144 +15838958084 +15174360192 +13631632291 +15125243777 +18578836801 +18838513731 +13652355895 +18890319767 +18500776920 +13653944106 +13976679388 +15189331728 +13663133375 +18895460515 +13643615851 +18548277396 +15169204818 +15841081055 +13601261414 +18899745750 +18546849986 +18566156137 +18837967639 +13634080104 +13976553675 +18853757634 +18580831028 +18543601605 +15105780922 +18878187877 +15185763034 +18830800992 +13939369489 +18843892463 +13935493336 +13647462920 +13616975165 +18537276322 +15826069913 +18584537870 +15801837548 +18843539936 +15852746618 +13917511493 +15189036739 +13687149736 +15844566849 +15145363202 +18832982225 +18875646993 +18507150146 +13645170367 +15151706088 +15197637282 +13675662661 +13916026574 +15144998306 +15884439035 +18545069452 +15817568580 +18558499139 +15882129699 +15825454510 +18502283181 +15124816760 +13687743148 +13993956853 +15100641832 +13961168711 +18597911224 +15871964560 +15811552781 +18503741985 +18512855402 +13980499161 +13967864781 +18803649429 +18533227877 +15869761818 +15814167363 +15178680661 +13628118865 +18847723927 +18543009715 +15163078368 +15105415366 +13947799601 +18558454234 +15116106723 +13968314188 +13653019664 +18885902664 +18534052864 +18588576299 +15865224456 +18843488481 +18501467542 +15178935865 +13939297132 +15187226002 +13662080414 +18592220929 +13652183701 +15100121910 +15830356846 +13677330571 +13971498472 +15887956031 +18882576198 +13620900642 +15823748938 +18812928683 +13620812336 +18895456153 +18828779367 +13937225945 +15817265687 +13657496018 +18851064188 +15803718937 +13921603642 +13617796406 +15141206612 +13639034826 +13627821699 +13627848361 +15834865928 +18577438261 +18863093985 +13951236943 +18559811868 +18813575989 +15171702310 +18836083393 +13630422437 +18516984578 +15867110481 +13612867550 +18528575194 +15178884758 +15138304385 +15897914083 +13623797709 +18586112932 +18596457408 +13643610662 +15178048712 +15839900241 +13625859993 +18563337579 +13940130148 +18801729485 +18881632304 +18505667661 +13619168593 +13951386094 +15817820808 +18868530417 +13627022152 +13953718963 +15105360489 +13615126630 +15893766478 +13694350864 +15856118123 +18876614624 +15856347989 +13954065702 +13907842994 +15883182987 +18502269697 +13911939128 +13603916729 +18856199332 +18885178930 +13917552873 +13643285182 +18878336371 +15854150749 +15185591407 +15139283233 +15151209289 +18543060884 +13985107560 +15881393615 +13929404573 +18515083626 +15878861656 +15175215399 +13687552744 +13647256691 +15116768640 +18854069941 +18521639050 +13955479483 +15151516320 +18545544407 +15135515276 +18527251644 +18829176613 +15108858732 +15859647753 +18599673868 +13689150397 +13675637068 +15815142914 +15834871717 +13604633763 +13646859947 +13632394431 +13633866023 +15104098310 +15179784299 +13953759827 +13903252260 +18571345864 +15862814079 +13624494510 +13983675445 +13996322621 +18538404581 +18593155632 +15102892083 +15138730655 +15832115640 +13920209750 +18887924643 +13926040312 +13951858073 +18556872602 +15104845289 +15878828276 +18887863722 +13674812259 +18807885813 +13683150903 +13648384633 +13661693502 +15111290032 +18839519334 +13968201804 +15855528162 +13622378545 +15151606689 +18520164137 +15890267874 +18899173600 +13668853503 +13625714717 +15817132508 +15119188089 +15830542014 +15830009555 +18500067786 +13998390740 +15831928007 +13692802315 +18572791845 +18550023822 +13974079489 +18537648668 +18847398608 +18815509141 +13615618969 +13690302687 +13642037163 +13994892032 +18828536451 +15875140341 +18811200091 +18515875923 +13979455747 +15830027009 +13631803028 +18599994740 +15859247734 +15848505421 +13947070995 +13955534011 +13953478510 +15184006796 +18549822067 +15152657709 +15802071227 +13602335761 +13931859195 +13907143396 +18549628825 +13691186376 +13692458636 +18877264715 +13920460172 +13923344121 +15139834046 +18572639644 +18556134024 +15100482606 +18840244267 +18839907569 +18864596401 +13698572823 +18530936069 +18596227796 +15152739461 +15888849898 +18575247731 +13611922709 +15187110859 +18859455649 +13939238406 +18864608194 +13955885947 +13696372319 +18560365131 +15160220621 +18868603833 +15862900237 +18870169517 +18885010164 +15118088576 +15124309587 +15166242686 +18526369092 +13936728697 +13641362707 +15819072637 +13967176575 +13688847658 +13938288931 +18543075825 +18830174143 +15884724815 +13947647664 +15841672056 +15130285725 +13671927253 +15890498604 +18527145597 +18556208503 +13929531024 +18504222197 +15826579515 +18829647005 +13645789645 +15825845272 +15176741179 +15182328536 +15863675431 +13699947909 +13958704094 +13632764223 +15131323368 +15841741649 +18576773698 +13938037853 +15846316414 +15886045423 +18831599583 +13654931661 +13632800690 +15865886991 +18587661986 +18879147710 +18598019764 +15827673146 +15152283210 +13988206300 +15153310683 +13930272100 +18507108436 +18571977728 +15130216862 +13678019357 +13658121218 +13653869304 +15898905300 +13641720691 +18812764110 +13982433176 +18575446218 +18575824683 +13972582030 +13603237711 +15142292594 +13663561485 +13918526105 +15196971657 +15151181434 +18867135787 +15121786648 +13652004504 +13697226622 +15176753177 +15132708472 +18539547331 +18859496221 +18880096908 +15832416208 +13951952750 +13680982412 +13692154432 +13613941370 +13653151427 +18866067005 +13697750587 +13677172520 +13619100671 +15170860565 +15188624330 +18572566725 +13989400618 +13924059503 +13966534094 +18885852925 +15898385411 +18827007094 +13622228932 +13940773135 +18825155553 +15844040693 +13629837435 +18525982424 +18889221840 +13687844833 +18501931367 +15818824873 +18872502949 +13644777348 +15175162797 +13980811337 +13675110848 +13694727015 +18816386250 +13967252939 +13607062051 +15138800862 +18558452250 +18504848011 +15150893873 +13952805998 +15883711938 +18531276727 +13623899021 +18815450099 +15832089088 +15119101055 +13979617288 +18580184618 +13624157776 +15835977712 +18864910023 +13677307370 +13948588535 +13638189338 +15871230410 +13660069255 +13969322453 +15168088820 +15105868977 +13618454625 +13993209848 +15819786828 +18526836915 +13982461756 +18856646230 +13983742255 +18814844152 +15846903201 +13632037866 +15828547376 +15813174848 +18593124902 +13903436273 +13612573845 +18875111148 +18823451987 +18557414648 +13645659155 +18873271630 +15136286396 +18866133423 +18812896265 +15112629379 +15885316975 +15126220044 +13952241331 +18525277848 +15833514888 +15832277652 +13952143488 +15852966164 +15196385412 +15109072351 +18845895408 +13649126830 +13658531704 +18598738008 +13682278677 +13922554650 +18855187670 +18891496122 +18859742451 +18844080488 +18551433426 +15104800006 +18537533385 +15844524592 +18851002957 +15144074340 +13940337135 +18593505551 +18824518463 +18833983309 +15122638930 +18861011525 +18839397864 +15825694854 +13922340793 +15122343964 +18523456992 +15825005519 +15822490790 +15832983924 +13988150654 +13960530959 +13913652913 +18562722721 +15159318786 +13632493138 +13692018327 +15860361739 +18834976363 +15149939347 +15834924556 +15809760794 +18893189480 +13935337906 +15116934738 +18539056638 +13687979451 +15872948212 +18873894577 +13934030870 +13935674595 +13931782236 +15880911265 +15136430017 +15109998062 +13685871755 +18884095344 +15824682171 +13639389518 +13928010878 +18527762516 +15186116273 +18529895914 +15844973337 +18510349294 +13699281151 +15103264950 +15163737826 +13659342167 +18588051990 +13634075222 +15113784254 +18503240609 +15180999536 +13668160852 +18532437664 +18500964116 +15122446367 +15126797790 +18898571740 +15121457928 +13659001517 +18861130448 +15172303292 +15127376798 +13664814799 +18822273511 +15124675403 +13941314477 +15856122383 +18533157143 +13612036353 +13681603868 +13914570890 +15890790325 +15134339960 +18842086617 +18504294916 +15121083199 +13682116095 +18817643000 +13993986194 +15876143052 +13919903856 +18857004519 +13654454015 +13965841624 +15171559095 +15107266175 +13690335334 +18869290231 +15101128758 +15181775137 +15882525203 +15150592176 +15189317883 +15129314169 +18829924758 +13959520654 +18844715521 +13916382344 +15100054335 +15156059778 +15858727172 +18881342510 +15852223214 +15889305389 +13996113342 +18834398146 +13646388243 +13931218801 +18822779359 +13952563516 +13672734385 +15103336417 +13602968201 +13683118488 +18823053326 +18867796117 +13635549462 +18893070220 +18890527627 +13939567238 +13683215883 +13995287974 +13936272653 +15820630911 +15193156808 +13652129801 +18542371884 +18599108958 +15155809459 +13993045062 +13680420836 +18870440633 +18588199322 +18831307603 +15804943745 +13626490328 +15155888847 +13624299554 +15895595007 +18864788550 +15846515062 +15178188515 +15135288823 +15113680182 +13926310826 +15803387320 +15832991056 +18833021172 +18856967309 +18562506744 +15179031729 +15123850628 +18881201122 +15176994351 +15109957032 +18549261776 +18872085021 +18588524282 +15894766681 +18564297239 +13620314236 +15839844601 +15117599715 +15886599113 +13920099963 +13923434503 +18862252900 +13991146283 +13978117692 +13966024210 +18574858574 +18878587561 +13921025681 +15128407977 +13669707331 +13987182391 +15803868541 +15142065722 +18504198763 +15882613794 +15827253588 +13982076423 +18802941447 +13673951255 +18857411512 +15115301074 +13630674957 +15108204925 +13671394034 +13649867480 +18880575788 +18524003925 +15847087801 +13671626117 +18521276633 +18873598222 +18568881500 +18524697679 +18887977587 +15881207358 +15891214889 +13980389992 +15804179971 +18858958431 +15130708563 +15802350057 +13695424768 +15833598181 +15835767238 +15872984892 +18517003002 +18555367686 +15842066899 +18872682030 +18867236492 +18832843621 +18544210625 +18592176094 +18514507438 +15144528819 +13922419698 +18510620508 +15873599850 +15150107358 +15112701323 +15136744126 +13679619155 +13983663299 +18803151660 +18815822091 +13978344003 +15125711672 +15136574804 +18513842147 +18873965966 +13673081582 +18816893373 +15808692376 +13645538574 +18588807459 +18584294077 +18862524373 +18834494027 +13637220741 +15140206839 +13690697036 +18571578676 +13992819507 +13971026049 +18540006408 +18555213863 +13913044804 +18567556559 +15166090768 +18820281110 +15183027488 +13984397385 +13975230156 +15123104327 +13650748766 +13944952480 +15852403022 +13967897523 +13650828244 +15811677008 +18863074696 +13954658862 +15839855239 +15164561737 +15890266206 +13991835195 +15102533386 +18834810161 +13975038387 +13681450424 +15867039571 +18502822721 +18516821597 +15125529234 +15862502895 +13931691661 +13923503283 +13665803311 +15881777974 +13645662025 +13661424622 +18539634019 +15877913001 +15126240032 +15867938319 +15178778635 +18849290792 +18885853078 +18883302166 +18841409664 +15876199568 +18891872216 +15104480684 +13934493173 +15102432295 +15835876370 +15155286498 +15868590850 +15895412979 +13947753469 +13960961622 +15132266355 +18566166025 +15179867252 +13617153700 +13680629672 +18547877336 +15125995135 +15823916149 +15813007015 +13622483252 +18511572170 +18868112532 +18811088545 +13646346518 +18503380385 +18509724022 +18518882625 +18886633521 +13677984898 +13621796377 +13619060936 +18510900206 +18583503182 +18530807769 +18841381147 +15164009295 +15869230902 +13651633178 +13696381099 +18883536216 +15195828044 +18533260255 +15816921512 +13675558736 +13988352076 +18529123012 +15190114483 +13944684021 +15193880435 +15197756778 +15844310501 +18898414790 +15130580308 +18569270906 +18851987694 +18819243118 +15188394038 +15802568874 +13917777461 +15108972440 +13998913722 +18861417956 +15115380437 +15118252870 +13606395420 +15186478789 +13919449024 +18582688399 +18542763083 +13679394281 +18888026197 +18513169795 +13997261885 +13687624367 +15144999067 +13641010841 +15160109253 +15195449959 +18829616677 +18555891079 +13900233232 +15849754484 +18889017805 +15175868882 +13691791013 +18864214740 +13679654627 +15123046601 +15852614641 +15196040761 +15867081300 +18870677672 +18582169944 +18843149898 +13685833174 +13928866017 +18837832135 +18557102099 +18543560118 +15122428450 +15175890781 +18591373467 +15899125323 +18831551171 +15855626731 +18574924691 +15179023751 +15127403192 +13628901308 +18555717396 +13626618544 +18883776605 +18813567482 +18871477529 +13931898901 +13931950516 +18838002734 +15116096652 +13646372691 +15836233063 +13973823042 +13988903676 +18887564390 +15120353236 +13681163518 +15892280855 +13617906920 +13985697673 +18846139294 +18574651419 +18589037670 +15808437222 +15193204033 +18576063216 +13944275046 +15170977154 +18538115230 +18881599104 +15825840085 +18534878311 +13680379988 +15165343501 +15827157721 +13638423440 +15876251968 +15161398029 +18595468972 +13903749759 +18537148733 +18531618250 +13641263847 +13990556409 +15108040362 +13641276562 +18583482506 +13680214110 +15137516326 +15171322770 +15185206199 +18807894529 +15832002433 +13689779281 +18871278966 +15182382857 +15147594410 +13698964057 +15844109624 +13976491718 +15163625351 +18899685137 +15188388182 +15896552242 +15866634341 +15805661346 +13911496694 +13656182040 +15100840977 +15195926174 +18890799694 +13665934977 +13637364417 +18839194516 +13656813288 +18862323132 +13967226915 +13639327450 +18830838202 +15123766379 +18565537204 +18805626968 +18503902601 +15805204986 +18581620445 +18894581606 +18808988138 +18599235296 +15140056146 +18510542857 +13602230388 +15894338737 +18837594372 +18869935656 +15158695054 +13655502023 +15839015620 +18578027199 +13951742574 +18851319009 +18801340344 +13657180246 +13696809854 +15103279669 +18511909725 +18872414024 +15812284274 +15825275170 +15877387674 +18845969604 +13626654718 +13932607033 +15156283034 +13978590158 +18580482596 +13916657704 +18554354883 +15150143024 +15853224354 +15811843436 +13964157213 +18854953271 +13666142832 +13666834618 +13674779299 +13647679858 +18886680960 +13624543667 +15108503763 +18836196946 +15892187971 +13938396218 +13677328929 +18863829777 +15850735682 +13666241537 +13929262912 +15183603718 +13901327706 +13674379880 +13919224146 +18584856778 +18858294040 +13626394634 +18860407258 +18542943583 +13601470638 +18564731889 +18815150361 +13604531998 +18522491243 +15179478701 +13639324307 +13685249737 +18831381739 +18593336528 +13951206948 +13665232780 +13903908394 +15885518333 +15864254652 +18831823099 +18507137281 +18848838073 +15172830191 +15800853425 +13684894442 +13695494393 +13688994573 +18584032386 +15124909865 +18827445054 +18543132973 +13917235383 +18518899569 +15111073919 +13618842874 +15858321900 +18559527414 +15127230998 +13612379212 +18591177474 +18566192900 +18588113727 +15166025981 +15840961077 +15838189632 +18542685969 +13931013743 +18587145617 +18551903024 +13954897665 +15887413602 +15858567175 +15833119459 +13999684644 +13698120238 +18878744806 +15808483209 +15121383966 +18817582360 +13988293303 +18834804432 +13947772261 +13680377522 +18894535382 +15121836745 +18864584819 +15885532399 +13922995883 +18520121099 +13618622497 +13633086630 +15827423719 +13698161901 +13684360988 +13965459389 +18571741546 +18883098779 +18892267826 +18587004872 +15133883430 +15191783691 +18869134231 +15178136338 +13990974512 +15166661222 +15198256764 +15101039013 +15193282193 +13637318378 +18592865731 +18891774689 +13914268217 +13908872227 +15815163477 +15875733912 +15101012384 +15134759163 +15800102914 +15150248685 +15882995816 +13987745032 +15840537330 +18589670741 +13681932334 +13980114366 +15883216400 +18847229273 +13615409390 +15810576847 +15891434298 +13990189642 +13903259016 +15171855249 +15871118890 +13944610255 +13601134059 +13988118408 +18521525273 +15127402244 +18859344180 +13924056820 +18521262873 +13666023276 +13916163157 +15863287563 +13623509703 +13669443828 +18567690634 +18543089667 +18846972812 +13926786030 +18560099855 +18566655314 +18896893784 +13630754814 +18566024467 +13904483595 +18815957283 +18886192392 +18546777076 +15156503431 +13686041266 +13678284204 +13960252268 +15137773404 +15100403951 +13984336919 +13615127739 +18553970652 +18584478547 +18854242984 +13980866568 +15839130371 +15880304434 +15102486577 +15110151649 +13916068684 +13938281486 +15864218818 +15816625233 +13655736512 +18857711436 +15883340078 +15824926236 +13986442116 +15121265268 +18817424797 +15809077184 +13917784669 +15106165457 +18593721860 +15819750011 +13965176914 +18834574948 +18514893051 +13986578184 +15184118174 +18569951442 +18568634269 +13991225146 +15127331940 +13656291868 +15195558458 +15837982372 +13606346571 +15842284538 +18543330169 +13913638796 +13951011820 +18887880932 +13925521130 +18857682654 +18558701816 +13621454947 +18813530123 +13983090468 +18540564619 +15174774965 +13927922653 +18884391420 +18569195220 +18886205025 +13913121445 +15181140465 +13626474081 +18572265235 +13961436788 +13609465555 +13605231563 +18867076325 +15140555600 +18511301102 +15117871856 +13905531063 +13987217180 +13931462072 +15178735274 +18858374964 +18548987491 +18597615334 +13615115978 +15812875749 +15189602615 +13985116021 +18501438242 +13610772896 +13644622912 +13932736273 +18820966389 +18500110156 +13996010441 +13693779295 +18541938358 +13981129478 +18511279003 +18817473166 +13909305760 +13950704409 +18588849912 +13619448753 +18503581914 +13699983313 +13697577183 +15845705332 +13957158705 +18845362279 +13663903180 +18592733203 +15191649062 +13949631126 +15858745035 +18585062052 +18866354081 +15125731817 +13678682668 +13906695358 +15835906326 +18537562346 +15129344201 +13916986018 +13975250803 +13913079076 +18898684263 +18827304736 +13943938897 +13696194895 +15103027074 +18569109860 +15805356618 +15151318515 +18897537838 +15136773292 +18850322706 +15882377752 +18805404686 +18598244358 +13675055697 +18519001677 +13680636389 +13603282455 +18805024072 +15885498155 +15158526709 +15169305167 +15834453664 +13934238336 +18516596665 +18543374265 +13947906046 +13933894796 +13999515645 +13958536521 +18594656550 +18560868680 +15852108627 +18896104507 +13956058626 +13639942470 +15849964571 +13695391027 +13999058156 +18856381113 +13921702205 +13944765279 +15895528947 +15175645423 +18550224310 +18838486884 +15868252973 +13646394077 +13951639712 +18505829349 +18814855067 +13902112880 +18812302262 +13682508853 +15839120630 +18585708946 +18599122828 +15177971209 +18866839835 +15115358942 +15859841659 +18856676721 +15128036158 +15813377926 +15832452334 +13604318964 +18584839405 +15160664036 +13903900793 +18585572958 +18895467475 +15130380279 +15107054689 +15107930808 +13936539887 +18582638504 +18873759012 +15178977020 +18585267930 +13692323421 +15897538853 +15805793305 +15848552359 +13670457398 +18515416603 +18813378588 +13981049156 +18546611674 +15186176300 +15114132860 +13654205980 +15809002129 +18815516283 +18842580230 +13928821348 +13959576534 +18835691432 +13695667097 +15137120268 +15866382139 +13992116387 +15137341081 +15842262856 +15118939409 +15156084173 +18599050128 +15896858405 +18808032818 +15186795039 +18841094806 +18548620844 +18506357243 +15154369702 +15874200868 +13683089844 +18529243328 +18866124697 +15831516257 +15185340132 +13618909326 +13997398592 +13918704609 +13679330028 +15113281387 +18880825814 +13924512695 +18554030306 +15127784090 +18860397033 +13920404854 +18809414371 +18804962827 +18848175642 +18556966255 +15186497638 +13690973726 +13661438954 +18526613853 +18568738183 +13926566026 +18585004395 +18581063194 +15188948882 +13612599599 +15185568072 +18591846018 +18501828257 +13602527178 +13659964644 +18867838571 +15894800659 +15833887531 +15153031004 +13685576539 +18894951371 +15181567105 +18856572402 +18589044382 +18579466197 +15180550688 +15873501528 +13631853980 +13952516654 +13654974011 +13918138897 +18509641486 +15196983058 +15118572066 +13938175143 +15826587855 +13699864013 +13661520028 +13962886494 +18536945922 +15850341287 +18854995148 +15876751869 +18507841275 +18528308127 +18560139993 +18512475813 +13692414385 +13986040255 +13629692532 +13612861869 +13911397380 +15825999704 +13992241965 +18888103299 +13689652428 +15836539109 +15898559111 +13605660607 +13624685977 +13672074628 +18802961274 +13636015542 +15888337368 +18811106192 +15145674590 +13693456333 +15881048670 +13923320298 +18861971473 +18570046250 +15821951900 +15851262067 +18556229985 +15121851526 +15187041200 +15899497015 +13604573082 +18812067615 +15151723853 +18885877109 +13622594839 +18537009524 +15850853941 +18863814974 +13963750117 +18808636377 +13664912400 +18578033581 +18896509543 +15116852934 +18889099183 +18817447318 +13913202902 +15808445896 +18575438268 +13931279811 +18831770982 +15800825861 +18525464348 +13610238995 +13929300627 +13909570866 +15106602730 +15106168741 +15118617956 +15143268393 +15890670682 +18518388716 +18563455228 +13692639929 +15174076491 +15128662777 +18585696579 +18532794100 +15104069874 +18576119976 +13604323688 +13974848599 +13906302782 +13612186316 +13678542070 +18800487244 +15190863113 +18812728060 +15152748428 +13974455134 +13662641900 +18527342228 +13912462469 +15868010391 +13615640469 +13939781299 +13937333822 +13640872666 +13667826736 +13602432040 +18800106704 +15122100301 +15193175937 +18593369660 +13628490170 +15831118865 +18527519634 +18561720931 +13905540918 +18840332222 +15880824084 +15873614726 +13698492135 +18899279839 +18519339189 +15858823707 +13671941123 +18815591275 +15149740303 +18526174502 +15191029775 +13662456124 +13976931304 +15822502565 +13623412978 +18857540455 +15846522707 +18878273378 +15843186724 +15879856313 +15887895994 +18839396952 +15148873020 +15180390566 +18521706008 +13610307303 +13947413890 +15124263398 +18571751081 +15185271450 +18551012840 +15180535374 +13995747248 +13619149914 +15114289611 +15166159366 +13664878448 +18567217309 +15865613085 +18892171326 +13978717198 +13644691844 +18817710059 +18863416431 +18543226964 +18591261327 +13945900417 +18500475526 +13653192641 +15154973554 +13908957635 +13629438716 +13617340246 +15863082364 +15887409945 +18836509105 +15149613705 +13943881195 +15199082662 +15837191711 +18874041763 +15113132101 +15882738582 +13697477118 +18553637375 +13623431164 +13931011405 +18519927381 +15860213283 +15820859219 +15179174562 +15886432860 +18823854744 +13950181938 +13978281909 +18549685055 +13672026381 +13617424884 +15840069246 +18870340125 +18866324170 +15178238054 +13907270318 +15800480953 +13687180712 +15135466490 +15884633468 +18533241030 +15146469597 +15826438714 +15887135157 +18880563230 +18849739436 +18879138497 +13977181321 +13928678848 +18506534944 +15156674126 +13643163027 +15817305978 +15871807469 +18544780075 +15171083196 +18860431756 +18830828045 +18843971218 +18811666335 +15157893799 +18829086829 +18837266699 +18542723572 +18863277391 +15115522199 +13928519565 +18549384154 +13968347197 +13654572078 +18858228613 +13655605903 +15181820203 +15137177802 +13989958756 +15873483499 +18578800275 +15179544173 +13951369836 +13629023204 +15882789506 +15109221264 +15824874603 +15833968847 +18839812060 +18855243427 +13909452959 +13635630472 +13611477929 +15827487791 +15821458040 +18818164053 +15819219332 +13643500742 +18895724972 +13941032123 +18825406635 +15851005298 +15802027375 +15148389569 +15101955144 +15131365125 +13625276994 +18862524971 +13936806211 +13607929581 +18504545559 +15143235684 +13947788789 +15167053535 +18505016634 +13916125400 +18851965164 +15819270628 +15878719158 +15163666314 +13955444585 +15198973209 +15845912284 +13970542619 +13635796526 +15175613991 +18824846250 +18564750523 +18811843191 +13629163701 +15127873405 +15847946355 +15135325238 +15833984269 +13619011358 +15137983419 +15806951076 +15833984419 +13673580492 +13996613640 +18593226985 +13974474218 +15154274557 +15157058166 +18599695718 +15173401592 +18588516551 +18581195754 +13694351954 +18816601814 +13912689580 +13697343725 +15811324435 +15166230930 +18542900304 +15848017202 +18533005254 +15158774182 +15193541074 +15198582454 +13650898346 +15122869136 +15148697231 +18865740165 +18535994899 +13682046007 +13951705740 +13654066992 +15182483568 +13672195921 +18588564345 +18836126557 +15895143770 +18528212055 +18885120440 +13933860716 +18848489437 +18559075491 +18566477824 +13959741889 +13646059382 +18898893604 +15130289536 +18813131379 +13905857167 +18829592462 +13695645548 +13634578910 +13624967498 +15163150661 +13677221145 +18550549955 +18540616707 +18502210919 +18564556942 +13910546977 +18813120443 +15808151605 +13639204853 +15182373268 +15120036091 +13609421263 +18566330722 +13900816453 +13617620275 +18524190421 +15870246472 +13686964161 +15180773843 +15194540705 +18577728419 +15150348156 +15861224847 +13654884392 +18506614948 +15816472148 +13968660412 +13903346688 +15849732285 +15150323563 +18548090268 +18573642603 +15842278610 +15101982563 +18513539485 +15168635232 +18590093062 +15898816868 +13945606039 +15899803939 +13931680882 +18557353616 +18839259845 +15804640543 +18520387352 +13948396527 +18515703365 +15837197492 +18570009061 +15109762606 +15179069499 +13647449054 +15193912016 +15141674137 +13968279998 +15812544430 +15158337369 +13916489980 +15873313016 +18591630122 +13961942823 +18850450510 +13911112125 +15858994053 +15827210937 +18530942742 +13941446771 +18573826428 +13904663039 +18526916952 +13647775860 +13609490335 +13933361423 +15888714058 +13680655573 +13656333751 +15899335728 +13664342647 +18531068565 +15832251580 +18575864286 +13952153286 +13969784630 +13697900402 +13656037036 +13633374177 +15844105891 +15175691182 +13688856594 +18580651938 +15183581417 +13663377975 +13956537181 +18555630242 +15191349231 +15824383627 +13963009232 +18842423957 +18570170473 +13641598779 +13630014859 +13990062883 +13938313418 +13919127780 +13926055845 +13930407664 +15180878228 +18818318680 +13912595445 +18835404241 +13658881455 +18804108099 +15886417902 +15870241127 +15829277835 +18538863294 +13934232377 +15819264724 +18831159188 +15867490829 +18870523370 +15195288754 +15113246967 +13960080811 +18541198051 +18544349320 +15808890516 +15186458276 +18803826776 +15111183577 +13966408686 +13952711555 +18814244919 +18536896556 +13981133284 +13999996611 +13650412905 +15110521371 +13659303546 +15844851751 +18597676594 +15813237410 +18569373409 +13931805800 +18592949428 +15109213774 +15107345365 +18567441675 +15813064877 +13677551858 +13980215331 +15102791612 +13956290849 +13992502890 +18879139734 +15893198249 +15188678187 +18553568614 +15817394149 +13619636663 +18542955427 +13634145104 +15812988772 +15123201194 +13922983060 +15149349616 +15189545545 +15155200776 +18832326232 +18835862187 +13942861729 +13616471296 +18888126864 +13680641692 +13649902660 +18811298524 +18846969961 +18823786569 +13915100981 +13913405933 +18884824398 +18899837851 +15810689384 +15186722254 +18802261245 +15833111232 +13908827232 +13682202861 +18508677686 +13922879269 +18890917131 +18597234284 +13603898905 +15805015442 +13985607220 +18810836674 +15896595707 +15139909644 +18889556158 +13615271363 +13997819367 +18576460717 +18597658587 +15126682893 +13926458623 +13977510164 +18520562652 +13919498605 +15838339574 +15808148983 +18584627839 +15147730787 +13612174475 +15166605460 +18534908323 +18508673373 +18515631284 +13680121914 +18526187281 +18805888239 +15194106359 +13618798587 +15168187841 +15106287211 +13956240388 +18569941923 +13674504515 +13676689235 +13655823840 +13939730471 +13960849090 +18855407056 +13622183408 +13661161838 +13903080387 +15153114038 +15830725202 +15171014606 +15898586173 +18572635730 +13685045613 +15858677865 +15144655423 +15830909900 +15819653258 +15142194889 +18586687366 +13632856210 +15170816615 +13634278699 +15198752958 +15199877391 +18510585202 +18854333993 +13669018745 +13608459837 +13668445468 +18806240909 +18536072821 +13957882497 +18558040652 +15816446844 +13969307720 +13999165257 +13930644781 +13618609464 +13903072673 +15848450460 +18847587505 +13677992631 +13642097931 +13963657593 +15162676958 +13659364375 +15882034678 +13900018002 +18861337360 +15878567855 +18592570982 +15199313189 +15879045867 +15886664184 +15880425555 +18522898610 +13928475201 +15199056493 +13664868328 +13924451941 +15823217282 +15161370976 +15800681809 +15882215457 +13690758683 +15106205631 +15151451927 +18834564099 +13986923776 +13910253053 +15105693347 +18510161922 +15826783586 +13618927055 +15174715622 +18506862879 +15108446503 +15872424236 +15895180252 +18824726933 +18821619446 +18863616597 +18531585958 +13906960776 +13925694320 +15131775022 +15886662505 +13637733739 +18888399882 +15145897572 +13980330930 +13914452131 +18867882858 +15133376086 +15128790522 +13600012493 +18852196347 +18545568075 +13989087090 +18533058121 +18880560009 +13616713918 +13698514515 +15867096383 +18808090205 +13664371056 +18833694325 +18880039894 +13964461555 +15112410930 +15179362764 +13950922503 +15891998172 +13920253896 +18841565831 +13629153071 +13694144358 +13986709313 +18573975135 +13603113532 +18843236910 +13634873499 +15865066722 +15171017902 +15813811264 +18548427683 +15811360854 +13639056378 +13653800972 +18564227962 +13934447244 +15168252552 +15164427374 +18806646725 +13906957217 +18842084438 +15802705288 +15180487081 +13698713287 +13607593215 +13686343681 +18805687590 +18544072727 +18596025635 +13606566951 +13651324317 +18585162168 +15824980225 +13685000132 +13945501183 +13921357376 +13612071654 +18563710433 +18886669193 +18589997791 +13612755775 +13924605089 +18545596290 +18584013402 +18549012713 +13960911397 +18559832305 +15189795574 +13991703685 +13622984811 +18833761934 +18547463906 +13666866371 +18549227185 +13905695903 +15816729423 +18887286194 +13671651715 +15138983336 +13941584946 +13904526275 +18523905144 +13607421319 +18560881967 +15851308903 +13916652584 +13975236855 +18565828880 +15890966533 +18545927500 +18584588260 +13682136993 +18871092637 +15801949099 +15853571503 +15897552267 +13658921131 +15837686354 +18855756795 +18892884915 +15131446871 +18832805822 +13915135470 +15198509985 +18844465870 +18598684500 +18551184969 +18515683104 +15187341994 +13964135589 +15181001241 +13634970264 +18811000596 +18522135380 +15123741056 +18537341497 +18584013895 +13985508146 +13673783231 +13947663386 +13901628344 +15146279175 +13676358300 +13969040614 +13979539517 +18823894300 +13634598650 +18559780838 +15802509086 +15116816416 +15819689574 +13976960694 +15867077806 +15882307322 +18512981858 +18880896200 +15197371603 +13678179550 +15148668111 +13994807075 +13968470251 +15192519369 +13685410333 +13649911930 +13997878609 +13660487111 +15148728492 +13677536552 +13688187742 +13628366995 +15116348701 +13654937374 +13984092808 +13912671755 +18511505628 +15158888543 +13681432074 +18555230580 +18839170878 +13639040650 +13601374666 +15845617579 +15130171152 +18841775236 +15140882125 +18812466554 +15844484182 +18504305014 +13923338445 +15884730684 +15146476261 +18890577636 +18850062105 +13622001531 +15839084773 +15135827647 +13688230040 +18865386967 +18567941811 +18853009058 +13939401424 +13659485852 +15864103349 +13665892847 +13936334976 +18580836908 +13938042734 +18811367341 +15867213461 +15871645856 +15136671572 +13915870381 +18573485516 +18810234677 +13632776132 +15899926665 +18591414606 +13667656108 +13686130166 +18510098096 +13967385075 +13608397558 +15863087533 +18853448346 +18538020471 +15808319679 +18899609047 +15801575316 +13675433990 +13921051126 +13971392943 +13986617086 +15148692177 +18836233746 +13606997505 +15888105918 +18891143139 +15148274801 +18800367474 +13944772536 +18874595785 +15887772135 +15853633924 +13685894428 +18575583280 +13662845460 +18819632385 +15811660494 +18879055691 +13927383691 +18834549241 +13638999551 +15124376381 +18546093573 +15800347301 +13606636353 +15834767483 +18879716575 +13643296209 +13615944543 +18597401538 +13971168249 +18500039984 +18869249164 +18526905600 +15120439681 +18544165365 +15188807921 +13998803477 +13989775358 +18519602685 +13669955970 +15887507059 +18836390859 +18831020695 +18553833582 +15879135690 +18818884481 +13655130300 +13612583231 +18848043906 +18829378447 +15875778563 +15101585015 +15101546649 +15859062274 +18877498440 +18537711396 +15114433804 +15880937429 +18515174774 +18810659726 +18550318502 +18539427268 +13651746699 +18580615839 +15175492183 +15184742695 +15129131519 +15872003709 +15132270122 +13680665035 +18526361458 +15188932933 +15807587680 +15191647527 +13924152043 +15818968160 +13660537830 +15166118618 +13981681828 +18574692717 +13956060345 +15111231481 +15129606864 +15832064943 +18885881928 +15897014796 +18568097316 +15112232232 +15869024849 +15832569433 +15897365442 +15188377946 +18854860546 +15152114477 +15894749512 +15138824467 +15873957280 +15121546006 +15111812422 +18803143036 +13918613517 +15113314681 +13603446605 +15132325222 +13995102249 +15106916019 +15801703417 +13978391389 +13626063900 +15859517593 +13968849976 +13936795570 +15157362699 +18844270809 +18516050442 +15118250766 +18536439308 +15142497140 +15167470184 +13965151354 +15864635271 +15807015654 +15160463798 +13611351716 +18805374208 +18560393813 +13907408499 +15130833920 +13986489613 +18814176889 +13626199968 +15119755655 +18899598100 +15179864004 +13673992800 +15127691153 +13934358779 +15818542401 +15817876404 +15888492304 +18816096805 +18564743593 +18890227564 +18818469145 +13604773839 +13690435251 +15805155527 +13685294500 +18896893095 +13940192505 +18518251097 +15891571400 +15194317465 +18849877813 +15180978889 +13973005511 +15186719977 +15896422182 +13979131113 +13910174538 +15831937600 +15108888993 +18543358521 +13966452950 +13961714279 +18539240591 +15800743247 +18856732239 +15109705486 +13672633281 +13954122803 +15130267441 +13930833022 +15834248239 +13605508475 +18824294094 +15128143671 +18847654336 +15856810170 +15888324108 +18564819783 +18536008247 +15157468629 +18877734045 +15191572991 +15124659873 +15836063526 +18520709890 +18579381778 +13626180197 +15133290981 +18549122880 +15869793572 +13662748752 +18542428642 +13698707086 +13634818103 +13690122464 +18589084979 +15815641072 +13676082328 +13962209837 +13945434801 +15111968495 +13912373261 +18510746008 +15835004489 +18541190414 +15125587036 +15851191481 +15860183603 +13939858831 +13669026689 +15865020889 +15146012569 +15185706238 +13939345780 +13971480589 +13629469829 +13629473345 +15836094695 +13609260620 +13632157495 +15888749851 +15831466150 +18539073403 +15157455979 +18805036096 +15855965176 +15148059982 +15134107726 +18561854559 +13935509729 +13986772363 +13616527719 +15179665436 +18827997841 +15186578370 +15151872447 +13920797449 +18828405590 +15176070047 +15109696709 +15880023181 +13665648025 +18832430731 +15829712575 +18829317422 +13620672222 +13646097354 +18534881745 +15811905390 +15116907606 +18883255074 +15111477289 +18522240859 +13682525858 +18893534858 +15121245991 +15138625062 +18858561799 +15197843033 +18845884414 +13904978633 +13686176892 +18517807433 +18588068963 +15877134628 +18571746610 +13921068546 +15174090304 +18533275517 +15885273248 +13618545529 +13907865657 +18591196925 +13610866926 +13636997068 +18831689934 +18553253497 +13986419082 +18826662138 +18585534939 +13651504920 +18504558177 +15163776429 +13910057823 +13962378398 +15116609849 +13686946635 +13914134641 +15886348616 +13655542747 +18579830623 +15832227310 +13993526515 +13607468727 +15801282865 +15186671571 +18894722918 +18835122183 +15825619324 +13695260604 +18547912856 +15157346274 +18531897341 +18556020567 +13696164015 +18515964712 +13655534116 +13622657340 +13990351571 +18856875209 +13969033797 +18509462646 +13632477557 +18527773146 +13988111147 +13916694324 +15872510628 +18512791226 +13916631213 +18591011344 +13976588051 +13630690742 +15100825280 +15819133845 +18500275656 +13692297644 +15824167488 +13680253202 +15815894174 +15143015593 +18504362375 +15852995781 +18572358727 +13950467764 +13908953426 +13991362133 +15103946600 +15814913798 +13999895776 +13614971755 +13998796586 +13665207238 +13903122991 +13923932541 +18554510907 +15878961335 +13639600806 +15873397254 +18537603186 +15855388250 +13925734571 +18898054671 +15867476194 +15167071228 +18874153982 +13959810733 +18575253480 +15888223933 +15110310328 +15165304852 +18579500210 +13980898675 +15840783634 +15105709922 +15181596354 +15894712810 +18564103811 +18839722496 +13631365929 +18513634728 +13649897681 +13905580163 +13660711375 +15143826093 +18513256157 +18585155571 +15127931966 +15828164439 +13680143333 +13645589438 +13902956501 +18832542594 +13649192079 +18548309298 +15806428880 +18886027911 +18893653881 +13945169454 +15819916555 +18818359239 +18578922534 +13927547368 +15898817090 +18532386505 +18586508828 +15152240917 +15881424359 +13919657935 +18809167169 +18847839594 +18589150235 +15199974436 +18569631708 +15107234741 +13628945964 +13957946361 +18850188547 +18884767061 +15123858807 +18893851942 +15107141087 +18810007985 +13947372784 +18867748452 +18833113965 +15144795188 +13971378157 +13665265655 +15179876553 +15186828434 +13900247505 +18814609270 +18544967972 +13653919196 +13641830224 +15134547242 +13628815758 +18847975183 +18567509705 +13643860160 +15111068153 +15128239509 +18813320664 +18860073920 +18596628316 +18568931112 +13605763582 +13649397265 +13918052232 +18587495022 +18510684915 +13917708872 +15123206549 +18895248936 +15855304265 +13954102661 +18531611581 +15883727165 +15129446517 +18556059634 +18582482748 +15126103786 +18878057334 +13994676767 +15161339408 +13985275507 +15858691115 +13655718843 +18819676062 +18895511178 +13671063931 +18805117032 +13628079362 +13676783529 +13628845035 +15120384162 +18841953165 +18555130485 +15111319925 +13906748761 +18571175050 +18831255893 +15186184209 +18584511491 +15812753326 +13699274499 +15894261049 +18532052904 +18517278731 +15126845307 +13676526295 +15886361322 +15190048801 +15879815257 +13682904748 +18899457137 +18528665898 +15875280142 +13934343268 +15129784330 +15816170287 +15108771598 +15814084714 +15814981642 +18555926193 +15179898775 +13676668628 +13643291348 +15146523884 +13943005852 +13946016736 +13611129286 +15842734626 +15134178241 +15899389473 +15819160614 +15848889089 +13999775595 +13915941995 +18564991502 +13632096247 +18526386840 +18571476858 +15840118078 +13938947337 +15841749863 +15176062842 +18875296218 +15173636074 +15893569676 +18846183634 +18801220234 +13694693909 +13627844675 +18883076207 +13653596964 +15114045273 +13959136020 +15198804357 +13630091761 +18881545355 +13661126587 +13685423033 +15825624966 +15123774807 +13993855899 +15830997576 +15873801401 +13995500988 +18868806991 +13981172602 +18861202049 +18550759865 +15881434229 +13602714461 +13949431698 +15844130787 +18520507602 +15172275642 +18567585581 +13604165169 +13999974141 +18506243877 +18865145789 +13694544512 +13656214696 +18853143417 +15188872342 +15892317811 +15185968210 +13608504845 +13912193067 +15116564028 +13658485458 +18590862400 +15176689057 +13626621553 +18553957682 +13668524913 +18571338146 +18848092319 +13625287035 +18814919812 +18521697631 +15103978841 +15889244469 +18833560489 +13656963433 +15809920818 +13989812970 +18871091771 +15803389409 +15182247958 +13691519410 +18545125389 +13950867136 +15895811009 +18507110257 +15142564201 +18811002755 +13915989380 +15139868148 +18596447523 +13647315136 +18818440358 +15163186838 +13957300424 +13680580582 +15184900324 +13923059068 +13984556118 +13676830892 +18811038519 +13942865639 +13695578298 +15144800175 +13614293554 +15840580264 +18811758420 +15108993665 +18596567201 +15838420136 +15828449884 +15176274603 +18563343744 +18814682012 +18859787879 +15144089943 +15838712143 +13948412449 +15897141273 +13996191346 +18532430987 +15839871913 +13649888624 +18892014901 +13661347765 +18847760555 +13999373706 +13691746792 +13667983491 +13998413174 +13646909319 +15891690427 +15106824551 +18561910261 +13916363027 +15162315727 +13946222426 +15129348120 +13664122040 +13604106069 +18503446291 +13951574945 +18562847781 +15802107115 +18853886592 +18540245718 +13931811681 +15120968728 +15162860627 +15198890109 +15174141008 +13993226397 +15855864924 +13953878372 +13932480445 +15114095638 +18812577531 +15162181570 +13610982257 +15148411375 +15890118414 +15808153769 +13991041260 +15867510984 +13659610990 +15157551233 +15149845123 +13666025225 +13656078133 +15193194323 +13909318180 +15898594847 +13940770702 +15132292837 +15146450229 +13910631314 +13911529145 +18830993010 +18870026889 +13685705051 +13942318556 +15175667052 +15197547222 +18876713867 +18557395901 +13969786750 +13990778996 +18530555763 +15177603211 +18522875306 +18892081026 +18566282758 +15118892314 +15869304664 +15825560408 +18862774179 +18573300569 +15815580161 +15162936617 +13959986881 +13945074040 +18875880029 +15194407510 +18800094488 +18537285834 +13936535349 +18897709605 +13658626903 +15103159957 +18565848795 +15179688715 +15808384167 +18854934894 +13964472626 +18810913583 +15801719483 +15837140043 +18844944072 +18819957974 +15165221265 +13925306680 +15128300029 +15817373612 +15837922488 +13688251936 +13667764894 +15894924391 +15168659770 +18832639477 +13605296317 +13695959927 +18807434384 +13685000766 +18595843761 +15880146387 +15848783657 +18565736728 +15838060006 +13686061557 +13668187532 +18592834633 +18541386510 +13973973356 +15148447209 +13910357737 +13678465522 +15149695048 +18845233889 +18534205545 +15149220788 +15817563417 +18553567162 +18513941170 +18898398976 +13690630855 +13645262727 +13939371764 +13938944122 +15149753856 +13929316571 +15838434478 +13949053500 +18532127128 +18548889034 +15833768829 +18897046896 +13925990497 +15198239245 +15849487249 +15150664087 +15154173576 +13666280251 +15803967901 +18511352131 +15194743960 +15114028477 +15139037307 +13609810889 +13902831251 +15886693917 +18586921279 +15843713185 +15175715509 +15846436160 +18833227278 +15857388241 +18578217745 +15893723620 +13699816705 +18867929935 +13633374618 +15126044477 +13904617540 +13697840262 +15863222207 +18589032240 +18871998306 +18866707723 +13999220177 +18550638196 +15163352277 +13902461133 +13647714288 +15888431584 +15801980120 +18575224199 +15142236052 +18575115687 +15138659964 +15104292556 +15822327465 +15887415900 +18893452878 +15187460599 +18895348152 +13679906164 +15154722617 +15885674656 +18885461213 +13978446591 +13660742818 +13647791871 +15826635884 +18855992235 +15888571285 +13630511694 +15114111881 +13616163493 +18531562862 +15185836803 +18527670002 +13605007612 +15152706101 +15118594607 +13927015401 +18587214139 +18560702230 +18583867001 +18821176272 +15136383089 +18876376271 +15137844473 +18570178791 +18544827480 +13957577397 +13635172437 +13623938312 +18806266890 +15857948940 +18836250725 +15833275275 +15120867453 +13661212112 +15100609301 +13948058825 +13676636136 +18817595927 +15145008621 +13609740281 +18868182584 +18538961853 +13618165207 +15127138986 +15881097185 +18512640720 +13988800777 +15860674689 +18561743024 +15845528159 +15115587626 +13996067915 +18513383270 +15808552249 +15882471947 +18592727457 +13613415827 +18876473993 +18852764707 +13640324557 +13956725322 +15849056772 +15179180965 +18597997499 +13696846510 +15185988845 +18523818125 +18800902615 +13614512759 +13900614250 +15821957001 +13645871930 +18810847534 +13667017696 +15162708503 +15809490562 +18560815292 +18859127546 +18531322363 +15841689715 +18575378751 +13642767774 +18582536399 +13903529338 +13926384372 +15896361594 +15129844246 +18582559680 +18843325694 +15844386058 +13638426591 +15115650273 +18870835039 +18846980586 +15160533690 +13966855731 +18891437568 +15113562069 +15820607933 +18849621818 +13644255141 +18832751347 +15189246735 +13644559874 +13670224444 +13944501183 +15890530075 +18550049240 +13938920596 +13989038714 +15123759821 +18838340637 +15183276446 +13639023119 +15196454465 +15192048776 +15803205101 +15167960213 +13682339362 +18863250646 +13901512046 +15848026326 +18814698905 +18877967831 +13979346685 +13671885293 +15158499074 +13955514438 +15888916263 +18539853879 +15184949105 +15188215698 +15197623985 +15128417300 +18873454223 +13680811882 +15192611748 +18515849571 +13662963786 +18527765844 +18886164217 +15159950894 +13642455632 +15109746384 +15829567725 +13985444182 +13917046148 +13680728189 +13988882900 +15190561491 +13917942903 +13657089919 +18561627468 +18822550687 +15122179906 +15858385946 +18821222552 +15173770041 +15817885149 +13619416808 +18898933793 +18556634063 +13640978693 +13995776177 +15808237750 +18529055715 +13998525800 +18554201203 +18552435921 +18807927638 +18533406526 +15127904693 +15196798987 +13948078080 +18897605705 +13648396784 +18589132009 +13682510045 +15885878054 +13997038086 +15850656381 +18824542797 +18593065543 +13665480169 +18587144069 +18587798075 +15139926927 +18834394562 +15842343490 +13636025228 +15100041596 +18826236084 +15834263451 +15118147343 +13671962282 +15818519455 +13936309823 +15878142331 +18500797192 +18886624485 +15887742423 +15860343184 +13992939643 +13616413200 +18502636867 +13979992220 +18805604436 +15106379085 +18865782468 +18588644158 +13903781586 +15857124731 +15890608484 +15879802708 +13625713499 +13973164187 +18833495197 +13614738618 +15111765965 +15835910898 +18515415276 +13610723210 +18595137560 +18816804027 +18551084249 +18580981155 +15131127314 +15145352904 +15199748448 +18855550801 +13694241246 +15150561428 +15890295074 +18851216651 +15158413131 +18504596973 +18504721145 +18892339223 +15152795093 +18869336834 +13672108051 +13695424400 +18572574038 +15194382197 +18519247689 +18858248729 +13924126223 +18599337531 +18515580697 +18840913504 +18877828772 +15143745123 +15101154460 +13928738101 +15122530705 +18836725525 +13938274448 +13634778890 +18598203527 +13616880120 +18580609700 +18821064030 +15823528182 +13970997766 +18550007982 +13669260686 +18836038354 +15886559485 +18870919136 +18893798256 +15807187565 +13965973247 +13911853331 +15868477277 +18562337600 +13925370769 +13993487759 +15817769446 +15144693502 +13941064030 +18529103830 +15166665139 +13611541072 +15872037445 +15141907895 +15846067489 +15820401150 +13991744922 +15167935780 +15863068873 +15106680285 +13681349823 +13637066918 +18898459617 +18599139836 +13944189773 +13619233141 +13927926314 +15867996022 +13659158509 +13661642283 +15156261094 +18547365946 +15886985115 +15814817315 +18872072931 +18579251911 +13649598862 +18852494692 +18885477550 +13901790180 +18552846547 +18841282001 +13902716124 +18561950610 +13625810616 +13643688939 +13647154410 +18511286389 +18597605187 +13904913720 +18546584798 +18530812556 +15851994423 +13924173232 +13684930498 +18553813537 +15824791589 +18583199485 +13934057110 +13687426578 +18839098282 +15179038453 +15827869829 +13985285977 +13658684585 +13643634900 +15112527461 +13955391310 +15134568066 +15116281939 +13940820690 +15103107504 +15878823991 +13693927418 +15100231844 +13930008729 +18859170479 +15883697147 +15135314120 +15806480127 +18564473950 +15130031619 +18591164186 +15865540901 +15155897212 +18858321491 +13671149729 +13908593462 +15100865971 +13665094164 +15883479565 +18570230107 +15180160211 +15859461192 +15899187484 +13902942371 +18547114205 +13966577810 +13914107762 +18836706974 +15177497654 +15105456639 +18598935314 +18882877342 +15185396152 +18536926142 +15105616954 +13667719977 +13604210559 +18547449624 +15885137294 +13944999554 +18568736455 +18895581321 +15129179987 +15122722609 +15882729580 +13938299728 +18510136410 +18833660852 +13615968987 +13997815176 +15852526584 +13684453611 +18506683192 +18852798730 +13907516966 +13923269881 +13908525213 +18505026905 +15861514021 +18839631894 +15845152157 +18566391568 +15100293176 +15178383031 +18846631635 +15816628394 +13602376544 +13619974495 +13922725669 +18599312899 +13947659247 +13944841224 +18852972486 +18522062721 +18883699461 +15176546484 +18875293818 +15898106861 +13927465507 +13918438847 +13914188069 +15821835588 +15180864815 +18849648295 +13985374257 +13674533082 +13986582018 +15147323323 +13958301284 +13681572229 +18839710008 +13914028203 +18817845595 +15813448484 +13959022259 +18875382491 +18547096324 +13905232075 +18883809063 +18570606328 +13978952724 +15139426559 +13690018916 +18533756000 +13678936822 +18549308885 +13677150794 +18587112986 +18841435086 +18880779230 +15877221924 +18584042048 +13978910881 +15839480008 +13992508844 +18802396141 +13927538867 +15812814487 +15126818445 +13908669012 +13679047802 +18566523911 +15167166322 +13613035195 +13659732828 +13911295158 +15893657549 +13609888378 +15182000675 +18885829353 +15136125496 +15855187611 +15108122585 +15817864830 +13646894354 +13632713128 +13691170962 +18580805211 +13639585578 +18549536755 +13913605063 +18565637263 +13672527005 +18579852764 +18822184496 +13957970990 +18892860263 +15118219649 +15109694386 +18840088749 +15176369556 +13690689759 +13946456907 +18837701536 +18816742541 +13617595924 +13664533675 +15818568353 +18851771514 +13664239205 +15128135357 +15884631860 +15824640448 +18877712125 +18891808165 +18595614613 +15870360683 +13672130864 +13647098874 +15835306455 +15833763320 +18509514821 +13963334513 +18800971543 +18507439307 +15829989778 +15189294241 +15108291256 +15800590013 +15801960708 +18553427840 +15198835444 +18811114844 +15848526235 +13618133993 +13947079991 +18849381881 +13934841450 +15892107580 +18834252186 +18526328382 +18853791904 +18509144960 +18550167450 +13990273514 +18543165754 +15119784887 +13963571806 +13951604117 +15880133026 +18545840670 +18503082152 +13647017461 +15185444436 +15897163038 +15870879815 +18866684558 +18504289959 +18550951955 +13971424298 +15155141325 +15114132309 +15143648631 +13902038308 +18805966419 +18500191513 +15872757470 +18547147517 +18854473029 +15832976048 +18811828364 +15125714763 +13680281008 +18556056778 +18829743264 +15892506132 +13625400577 +13686871574 +18527831051 +18588493232 +15148978133 +15843290843 +13951855839 +18869091739 +15128570091 +15814232429 +18817274334 +13682719989 +15849028741 +15175349857 +13610410535 +13984845270 +18842015587 +13616449133 +13697965187 +18572803776 +15101684679 +18854333740 +15173124289 +18847930208 +15160330318 +18861218002 +15124482300 +18878030227 +18592828337 +13983959583 +18854740996 +15128751769 +15898280814 +15883313392 +13626841946 +15183106650 +13668231364 +15825749969 +15184083331 +13675348001 +15115248454 +13900438639 +15169816359 +13974391216 +15888609393 +13967157405 +15138123236 +18562973301 +15112260198 +15104936142 +18834078755 +15149644962 +13935911794 +18591037574 +15150341235 +18898551249 +13693421910 +13630426466 +13627643091 +18809814718 +15152096588 +13674615824 +13992364971 +15194118864 +18843953529 +18800647326 +15181142358 +15880093327 +15175781968 +18877022425 +15897586594 +15854890197 +18546868457 +15825433163 +13946375675 +18863179471 +18821701913 +18817907350 +18564635272 +13680811997 +18818033335 +15878167496 +15119347347 +18507690569 +15872678370 +15806767951 +18872703392 +13910143775 +18590964436 +15144679890 +18589848270 +18859648797 +15857092922 +18870789583 +15805810985 +15163688535 +13601070526 +13687684138 +18877465130 +15877931258 +15860167089 +18884163226 +15810431514 +18591998782 +13916062089 +13602755740 +18533373649 +15181014525 +18843010396 +15155375852 +13677958258 +13960732593 +13934443600 +15826874692 +13958708840 +18523997676 +15127605218 +15830867572 +13942777929 +18571015676 +18523509659 +13683271696 +15814418529 +18801888825 +13671007306 +13974101097 +15120026854 +15179888058 +18581313280 +18529824279 +18510148236 +13632471572 +15814416572 +13620926810 +18850718333 +15806023339 +15166130984 +18508275390 +18572560346 +15122738378 +13687726549 +13621478993 +13967178082 +13650197647 +18890299026 +13931074992 +13671758427 +13650398584 +13913043794 +13920347062 +15893214404 +13989341057 +15161153432 +15863114886 +15803029676 +13672555561 +13946671872 +13620817151 +18811274897 +15164056393 +18878180009 +15877131510 +18559438383 +18878873336 +18571868373 +15810410492 +15857304322 +15135631052 +13999504476 +13922117111 +18508477698 +18843878841 +15809998388 +13958391375 +18848626646 +18525422807 +18530912864 +13633718023 +13975866722 +13690042803 +15811902403 +18581598967 +18885018638 +13972998498 +15126883547 +18529570565 +15129465112 +18566388736 +13695381083 +15890696598 +18523017481 +15801553986 +18809702023 +18520576964 +18514071264 +18861988093 +13924042408 +18817099076 +13621515980 +13979648171 +18591031243 +18566324758 +13650649502 +13672838786 +18890569989 +13904272780 +18571694385 +13979052996 +18502360491 +15892023318 +13666439430 +13964974824 +18877936597 +15833988217 +15140751569 +15115467175 +13981657310 +13609482205 +15859792698 +15827680363 +18804788837 +15158403239 +13676784479 +15163694393 +13683511665 +13677882428 +15856964798 +18806403195 +15808957621 +15161981858 +18848269899 +13669740726 +18553320389 +13636572151 +15196373290 +13996916273 +18809786254 +18536848140 +18873036984 +18899330323 +15129993839 +13627088191 +15872939566 +18594120637 +15106731541 +18818680904 +15171709236 +13928430389 +13647396956 +15826792317 +15840788906 +15194748229 +18532665870 +18527163655 +18852612616 +18814589841 +18871289749 +15183026680 +18516822027 +18896417788 +15170492195 +13606452531 +15172130044 +13630666480 +13933908098 +15897872677 +13954513713 +15871633866 +18553442034 +18555551695 +18849598187 +13684832090 +15151240797 +15893473606 +15167655051 +13960446601 +13601845884 +13962607504 +18565215446 +15825965998 +18899664931 +13931112753 +13626503513 +13989121102 +13635414992 +13964748019 +13685327031 +15182954667 +13986281533 +15868425479 +15165227081 +15115696681 +18541807244 +15122555145 +13610859715 +13956024158 +15848074072 +13987369403 +15167995211 +18550028549 +15175069878 +18817916848 +13913276110 +13963908586 +18856270016 +15182727325 +15124779208 +15118423998 +13968403927 +15115672387 +18517724083 +18508783537 +18810859502 +13921442884 +13617805131 +18516753713 +18891126443 +18531909981 +15111361608 +18826445676 +18558488625 +13931543511 +13968459653 +15176695479 +18591382737 +18532900650 +18822630079 +13623689609 +15142809900 +15845178200 +15137377312 +13991396766 +13903477136 +18593244765 +13626177697 +15839079607 +18560177892 +18833572483 +13666837726 +18829708462 +18863525494 +18862757119 +15863303655 +15157563971 +15144740280 +18519985043 +15823945387 +13932484193 +13684241580 +15109368874 +13920255769 +15184931876 +15805995984 +15105922533 +13651378777 +13920221498 +15805366318 +18883941205 +15891781002 +15897501627 +15131837940 +15895404010 +18520106227 +18516539592 +13997576464 +15171821587 +15182742526 +18885572246 +13697962562 +18574789590 +18564167616 +15181294064 +18887069256 +15122505122 +18826154848 +13957735952 +15828526074 +13668970442 +15834528317 +15834030720 +18530572427 +15841124783 +13611894195 +15802395289 +13976770645 +15809651601 +15818944656 +18515087166 +15117180316 +18880410598 +15801875901 +18585766516 +13660230305 +15123078160 +13951890151 +15150285696 +18836155223 +15861950767 +18868458531 +15178113106 +13647813879 +15845353160 +13927858399 +15147529347 +18802923210 +18832985472 +15803492271 +18558236917 +18857553018 +15851216006 +15181228241 +18529501446 +15166231645 +15855254731 +18877878507 +18522595918 +13640214838 +15196920606 +13918133716 +18841121576 +18819178633 +18809160702 +13697661914 +15803613303 +18548783549 +18580325574 +18819084830 +18804816123 +15127465300 +18518040060 +13627644044 +15140145385 +15167373204 +13677283751 +18563087409 +18869438374 +18857492840 +13928973339 +15850481513 +15826424839 +15874037742 +18545109145 +18834237387 +13637246448 +18573231397 +13991315805 +15817890067 +13673560646 +13985018573 +13929474326 +13668726306 +13965417321 +15165445231 +15104424236 +15163387467 +18510127179 +15875707763 +18530871810 +18813721430 +15887947856 +13689120606 +15139861608 +18874131783 +13953760471 +15111563204 +18849370929 +13927672988 +13613209762 +13654958291 +18596712639 +15873518953 +15823021509 +15812996071 +18532939274 +13664674564 +18868947817 +13627622205 +18539009462 +15881742409 +13682375587 +13682139978 +18864476782 +13995345672 +13946802271 +13632214692 +13979212097 +15838009868 +13988964192 +15104538661 +13681206735 +13653340519 +18569341626 +15808672348 +18824885709 +13999038634 +13905839372 +13964999577 +15818863629 +18832465334 +15125953166 +15870186354 +13628665553 +13641225065 +13626833992 +18846743303 +18510853349 +18841788526 +13984178764 +18888050114 +13629799344 +18556001197 +15869699948 +15172488643 +15185649503 +15832703162 +15101344412 +15193054050 +18584791397 +13997168373 +13943244494 +15172818929 +18536188188 +15197654199 +18546457703 +18534398248 +15186739171 +15832973022 +18591651588 +18881378506 +18518626532 +18857498274 +13638614249 +15115632855 +15856545333 +13910172878 +18857548882 +18851699111 +15858851099 +13624097388 +13633027145 +13679808112 +15192614418 +15859385129 +18845885585 +13984717207 +18804358696 +15856122009 +13904057287 +15868507849 +15867685629 +18565287404 +18822009723 +15145989417 +18864032673 +18879427315 +18849547671 +18520719836 +18856875117 +13961953321 +18596338772 +18525641837 +13699687613 +18525786897 +13931718746 +15854815817 +15817633449 +13910300253 +15822376260 +18552343477 +13648417096 +13612406055 +18532438713 +18877487481 +15148552590 +15868402088 +15871320093 +13924369923 +13693422093 +15190021706 +15853632453 +13688994541 +13949169758 +15865410688 +15808064415 +15863656518 +18589791049 +18589698583 +15861163406 +18526081237 +18859964602 +15805506150 +15104724888 +18545740121 +18501915769 +18882341186 +18514895040 +13619222498 +13953117736 +18562301225 +15173033287 +18839649068 +15159840274 +18543616389 +18504003041 +18502065471 +15184660646 +13991591001 +18537663766 +18879573555 +15808633048 +13678027829 +13948923609 +13942333990 +15817206748 +15130445292 +13689906311 +15875710914 +15115361314 +13908671828 +18834184007 +18569994535 +18841895828 +18837799472 +13688520303 +15846123858 +18864907297 +18833046922 +18525422871 +15841285527 +18580177301 +18825990803 +15800877518 +15872031449 +15153741351 +18873741752 +13938350829 +13989315633 +18585636782 +15174622332 +18507737180 +18895054299 +15173816189 +15896613216 +18591524943 +15808775954 +15836335690 +13610766854 +13995905457 +18832108267 +18832538842 +15177661204 +15892502881 +18893090550 +15199655934 +15168291811 +15121981515 +15811333996 +18527233810 +15818043303 +15864978821 +15895099247 +18823641081 +18595765935 +18579528886 +15129391853 +13678192159 +13696654007 +15113416243 +18503433469 +13633350764 +13668609946 +18552444119 +13656233929 +13904752952 +18846732532 +13914696386 +13998034336 +18545528618 +15163609383 +15193802059 +15104644866 +18592988203 +15873402304 +15868970394 +18573268357 +18830980906 +13698267251 +15128229119 +13684772399 +15107749208 +15821827168 +18590648951 +13910114170 +15145360195 +13660078563 +18520001900 +13668181913 +13996609723 +18547878758 +15889285094 +15806612424 +15141364060 +13633135877 +13613569323 +18825632710 +18803829942 +18547967318 +18530177025 +13925354528 +13952570467 +18539762041 +18829046335 +13990121220 +13663548544 +18586814023 +15123216287 +18881408856 +13623430651 +18558080131 +13962708724 +18516453694 +15156863571 +15170011613 +13609976300 +15148800346 +18852904641 +15154066739 +18888772250 +18544380595 +13966719182 +13634564722 +18580316276 +18518048110 +13982002204 +18856484742 +13992948863 +18526784208 +15859903385 +15850239322 +13932413961 +13948510596 +15870376217 +18844308716 +13964779562 +13989843667 +13978988460 +18531757363 +15153779750 +18523913080 +18805523457 +18585040485 +13927360798 +15135376665 +18892052919 +13939456575 +15893581544 +13945405091 +18560612994 +15181206259 +18584814394 +13682816200 +13621840746 +15152456788 +15187999344 +18538012866 +18874278817 +13618472542 +15850029779 +18805876533 +15824016693 +13605019540 +18598525145 +13962217434 +13971888005 +13954741928 +18884165887 +18845392413 +13675677855 +18882378327 +15875651412 +18561394665 +15864015359 +18529949516 +15139579071 +18860880403 +18582643981 +15885439832 +13616953260 +15817350379 +18540595491 +15810192291 +15853003395 +18562695738 +18822491795 +18558643872 +15155147020 +18572889647 +15171186754 +15125816672 +15171996970 +18572905454 +13612040960 +18575077241 +18577234921 +18822981364 +13901539721 +13631688986 +13665246009 +18587265132 +15140039062 +13601169678 +13633855131 +18869770570 +18520473339 +15840376559 +15137418511 +13682172658 +13612089816 +13920450764 +13689482322 +18868990574 +18825585719 +18501069051 +13643131631 +15192409817 +15182502913 +15885286234 +18816903675 +15812399006 +13609443041 +15139306793 +18545446148 +13918162764 +15139796384 +15810945207 +15102591470 +13972747127 +18839040430 +13959486829 +13625186976 +13974174856 +15838206645 +18837303839 +18892538916 +15156705162 +15186046844 +15197274400 +13612169777 +15883040906 +18590573920 +13653195999 +18869668513 +13955788563 +13952976100 +18845887440 +18504444888 +15105721522 +13651210168 +15818887805 +15148068778 +18893510389 +13603671288 +13924269070 +13698328727 +18515952951 +15114590690 +15848165555 +13961442445 +15860781164 +13651147514 +13667137801 +18522067475 +13905824005 +18808559352 +13682777707 +13656838621 +18826131342 +13957170825 +18549598003 +18844305725 +15852123160 +18554593771 +18585739412 +15131580705 +13652776402 +18831849294 +13669831648 +18596127149 +13680763904 +13986731444 +13996127125 +15805491300 +13957876342 +18854807409 +13658209634 +13652417749 +15803754582 +15103491161 +13947630656 +18533989931 +13943492248 +15172576027 +18895608215 +18576288690 +15194248631 +18889717577 +13689228389 +15866014407 +18871858855 +18523364635 +13943701790 +15817228241 +18559357226 +13663512322 +15157635975 +18837252258 +13656608365 +15821686653 +15178274628 +15849000501 +15805708466 +13608419472 +13673212411 +15874811228 +15109464168 +13920078930 +13609125319 +15132217790 +15816002444 +15190321791 +18889401042 +15193154465 +15832141560 +15170507088 +13940761743 +18855357499 +18513523106 +15158136640 +15196668926 +15112375998 +15810385838 +15849737008 +13957643880 +13652442115 +18586007379 +13973521641 +13944013026 +18511983650 +18527800381 +18836323023 +15100291844 +18867667270 +18827961273 +13930505880 +13951888503 +13621371865 +18513109360 +13666368876 +18851536138 +18534529000 +13921402945 +18535420034 +15840764487 +13916556217 +13680965544 +18588645047 +13951562256 +15849263729 +13652735883 +18534425669 +18561602586 +15842863076 +15121726702 +18844282329 +18567012362 +15874887364 +15135334767 +13679740942 +15196943952 +18500795549 +13900242709 +18823115398 +15166597482 +15878437575 +15122809724 +15128229261 +18827536889 +13944610890 +13947586574 +18878920993 +15813074297 +13603076303 +18514304274 +15161829823 +13699773436 +15154563471 +13928697004 +18858807587 +13990558706 +13634434481 +18582383494 +18523484673 +13691961529 +18897649352 +13993166599 +13671011243 +13693873900 +13923695883 +18833959808 +15138023871 +15875100966 +15190533172 +15147437768 +18820050258 +15157315365 +15847234423 +18531417100 +15176419678 +15104287270 +13636373697 +15843914772 +13662271494 +13657731628 +15870098378 +15180170371 +15187906129 +13983437014 +13676492354 +18868108960 +18807223266 +18839244673 +15815005198 +13688828676 +15109641396 +13641333112 +15113001393 +15872147048 +18867518276 +15809264377 +13610537035 +18836122236 +15845514338 +13606980970 +15820737729 +13625622899 +13605250655 +15155772603 +18584756124 +15126787092 +15188897856 +13631663098 +15139070953 +15853094301 +13952729964 +18847368856 +13967528469 +18823415542 +15854496616 +18883763762 +13621011225 +15838712791 +13612586132 +13622400365 +18822876669 +18801179888 +13934447688 +13973254877 +15891735438 +18816437096 +18575068539 +15129347044 +18565794240 +18875799645 +18543219743 +15898551571 +13934650911 +15812688459 +18853736773 +13922672456 +13933605659 +13682406743 +13949504352 +13901740008 +13994624727 +13684234399 +18827631134 +18579155397 +18812157825 +13628574421 +18556828497 +13990680654 +18818594053 +18553052336 +15851908036 +15157872987 +13684384293 +13679190049 +18824597349 +18876110856 +15139193461 +15150334098 +18518824809 +13606826080 +13978177677 +13989825316 +15145585718 +15812804476 +13635355617 +13956019603 +15800008778 +13964801427 +13687851495 +13912754921 +18810373572 +18556920446 +13690257091 +18517715039 +18801989042 +13925846665 +13994944809 +13941803051 +18884462023 +18544307155 +13935429355 +15117120828 +15831121820 +13604114233 +15847946248 +18546676140 +15116511244 +13954981419 +18538096196 +15127403518 +15872283947 +15843244648 +15809335213 +13979605234 +18526681398 +13983062168 +18886504637 +15833255998 +15854518913 +18819602814 +13911241328 +15137524027 +18835575554 +15859631437 +13990379676 +18819443431 +15172624305 +18820549984 +13631480285 +15861484840 +13657777177 +18808310900 +18523705018 +18517167573 +15114510382 +15190634411 +18824315292 +15808544481 +18528867967 +13966807917 +18508157694 +18857660034 +15858284482 +15864348706 +18585787906 +15866818741 +18848971236 +13938908502 +13667505689 +13683935123 +18852166437 +15885148211 +13907735150 +18587806382 +18532923513 +15885073952 +18506041678 +13623799065 +13917938167 +13960066977 +18896160431 +15898026939 +13691952201 +13658893883 +13664021276 +13612447940 +15173286484 +13625256874 +13948925538 +18520664658 +18524304791 +18817258901 +13975503133 +13979894304 +15813377911 +18891797424 +15198385914 +15147141236 +18579596191 +13925581625 +13650767823 +15196440695 +18848084610 +18564454864 +15810830242 +13950980406 +18891512179 +15134614403 +15813847536 +15118877366 +18562279592 +18863057269 +13624980017 +15130507961 +18534265054 +15182399893 +15896209711 +18857187590 +13657167029 +15845781089 +15870602204 +13918301527 +13923920613 +18579378284 +13997349198 +18571578339 +15112118946 +13683265427 +18574150727 +18550337529 +15816745732 +18573410193 +18838845264 +15835762176 +18532751673 +18514353307 +13974221741 +18833764921 +13904238331 +18585396116 +15168322636 +13687666974 +15118241951 +15874554786 +13699453671 +15147521250 +18801221687 +13914496587 +13991426468 +18808780246 +18870958628 +13938752605 +15822357068 +15817941699 +18560920576 +18858373178 +15801148756 +18845297218 +15865662287 +13619677651 +15890135850 +18533739829 +18839070369 +18515576617 +15114897852 +18546863080 +18575287976 +15174690453 +15810344655 +13672459567 +13971659446 +13679282193 +13956760783 +15828330582 +13905238824 +18896506159 +18555046591 +18500001448 +15805193240 +13618150998 +15176050738 +18815289188 +18867544877 +15860992591 +15175574249 +15863983764 +15120301820 +18849892718 +18837569693 +15873080820 +18507303190 +15120517206 +13956566831 +18807764316 +18506695324 +13661871931 +13616309767 +18563907835 +18807142118 +15169196431 +15179505726 +18530104146 +13608608765 +13623621057 +13627529386 +13601504550 +15159833493 +13946685220 +15129774875 +13627901506 +18857694833 +15886371702 +15192427002 +13688995389 +18873550621 +13642186259 +15139868703 +18835557684 +15180645432 +18849786695 +15157512433 +15865000570 +13983842474 +18548878948 +18824577485 +15187478957 +13674631875 +18560897542 +18500428107 +13972802951 +18518359931 +18533701576 +18867432292 +18812641923 +13618916696 +15110725995 +18815165558 +13981672388 +15862855859 +15898158047 +18840776842 +13629131548 +13951727201 +18811723109 +18849776699 +18544283326 +15865082819 +13964452824 +13633608021 +18838522817 +18515579974 +18510269302 +18866032604 +13641048221 +13619042830 +15890093121 +18582786533 +18804163129 +13917867069 +18823860269 +15145200339 +13603362928 +15820651439 +13909595096 +13996605598 +13929501692 +13615527804 +13611722187 +15806812164 +18551244335 +13674689550 +18537855384 +15160767067 +15163276706 +18571202038 +18805218730 +15804860083 +13949886777 +13993596663 +15833577527 +15187685571 +13916022696 +15859287910 +15892372955 +18809890423 +13631953427 +15847870897 +13970469104 +13629573987 +13650205615 +15849209877 +18848626652 +18805548670 +15193966192 +13992287310 +13993480368 +13990607003 +18826917082 +15183209396 +15195316269 +13956293729 +15842262447 +13939575100 +13697039987 +15196740329 +15143973350 +18544214605 +18813630852 +15162061984 +13912413728 +15148159997 +15806500286 +15152159263 +15102814666 +15160331587 +13626228485 +15121539132 +13945387515 +13939386034 +13696511699 +18549105179 +15142523300 +15120764928 +15820205472 +13636127290 +18880965274 +13900149282 +13694891087 +18517775670 +13937189859 +13926914808 +18813550768 +13980443761 +15152942924 +18548118416 +15878371160 +13987117014 +18841793570 +18816327563 +18593994857 +18823943836 +13605068164 +13959502565 +15816539728 +13907902528 +18848033843 +13626568332 +15124775040 +18539006051 +18503331847 +15812851801 +15190688662 +18869530221 +15883002777 +15837795919 +15129428246 +13651697046 +13989267814 +15184575222 +18549200739 +13695078627 +18843585105 +13970504615 +18582921741 +15110670603 +13678981058 +18505883210 +13924659422 +18502395798 +18578861299 +15894095074 +15110488366 +15143201040 +15832596192 +13691027392 +15832961953 +18834188678 +13690541005 +18525388427 +18803907211 +15854318938 +15133673913 +13697756587 +15860131987 +15128345913 +18824795674 +15890231483 +13902082582 +18535713597 +18561140253 +13622602904 +18515147881 +15110490793 +18857704579 +15848319797 +13986714719 +15854415990 +13987019273 +15847561096 +18861058082 +15837883113 +13996770008 +15116360581 +15897954387 +15837827534 +18829210272 +18545662065 +18897432712 +13604528291 +13905950561 +15195660477 +15113561460 +18806486040 +15828997405 +13985164147 +15172083400 +15186471057 +18520206502 +18584882805 +18576308361 +18551642150 +18817813406 +15825860400 +13677099252 +18536471842 +13678236513 +13681061876 +15800481827 +13985141779 +15151834218 +13681106570 +13927142379 +13689319862 +18547429230 +15142649780 +18815084664 +15805927316 +18582097307 +18583361051 +15171415311 +15826206954 +15896343859 +13672202607 +18864175963 +13658986598 +18816833107 +18510925662 +18502962446 +15864234642 +13695682538 +15828978386 +13659788285 +13616393877 +18884126865 +13680334947 +18807187070 +13658142419 +15153950287 +15157041828 +13662059546 +15154687846 +13915332240 +13987637607 +15175066068 +13963881289 +18567056251 +18833446440 +18555326462 +15856991040 +18505068101 +13627441425 +13632124714 +15895293590 +15851721469 +15858085393 +13926476223 +18813073795 +13633983859 +18861652229 +15191252966 +13963056611 +13992935380 +18840653058 +15869231395 +15139348880 +13924886641 +13948325718 +13624608033 +15101454331 +18842256884 +18808733752 +18510733288 +15179510229 +18879087046 +13697987530 +18572936873 +18580877578 +15109413334 +15120150925 +15857182020 +13949920628 +15883022020 +15892812197 +18849761337 +13673286866 +13678729568 +18836491623 +13938307003 +18513567578 +18868773883 +13661075940 +13647260009 +15860554190 +13934260471 +18555057473 +15157428461 +15162920542 +15828611518 +18591354559 +18511467195 +15840988436 +18889655540 +18561147475 +13697097679 +18894376589 +18590085917 +13679506068 +18842889845 +15169874002 +13674049812 +15160535754 +13954751505 +15826042472 +18561007259 +15164421166 +13654863792 +13659599333 +15144896709 +15828333321 +15847011417 +15133436911 +15123947729 +18550679341 +15830500617 +15195042506 +13973667303 +18856516655 +13937715768 +13673085675 +15866252320 +18853648805 +15189245242 +18849006003 +18842934002 +18537030594 +15136039101 +18829669874 +13959297839 +18851211827 +15854747933 +13687733398 +18584646167 +15116315314 +18504437609 +15804011747 +13635001944 +13614870208 +18527052282 +18883792019 +13930776157 +18504433699 +18858976039 +15869700004 +13601579121 +18521718430 +18524398798 +18585474327 +15178273534 +15129337853 +18884411659 +18801144477 +15831778859 +18529607428 +15835825733 +13621270750 +13657343386 +15172278411 +18843346031 +13619698148 +15836395187 +15140477392 +13610652962 +18530772629 +18865096358 +18820038543 +15829726950 +15148268521 +15176407440 +18555047278 +13628037941 +15130319565 +18859709897 +15126329838 +18503820410 +15166843826 +18846202521 +13912124845 +18878951824 +15812689157 +18812294196 +13931313771 +13946677533 +18538774558 +13699848865 +15897051247 +18880265856 +13978114094 +15103687418 +13634083121 +13921285596 +15808918054 +13911273943 +18534933090 +18507060748 +15806341609 +18809891912 +15893695069 +15128085028 +18803836190 +13978887770 +18880135338 +15198951655 +18835771750 +15809237299 +13908802136 +18540835179 +13615163783 +18591232037 +15130495814 +18891021323 +15868550616 +15895309538 +15149854336 +13613787544 +15166528394 +18523096326 +15870594380 +15159861080 +13687238917 +15137964234 +15860653714 +13997559762 +13909914162 +15834998325 +13682368525 +15182273230 +18522797194 +18859000370 +15881606529 +15809464219 +13962768260 +18893310589 +13689836770 +15827507536 +15846199017 +18532839622 +18862718986 +13649864313 +15181287363 +13993726297 +18530805675 +15872705170 +13954877750 +18560351046 +15153939367 +13664105583 +18897227564 +15826546528 +15851736640 +15186649996 +18502759076 +13664307695 +15194581307 +13980646724 +15122156697 +13636980429 +13694102603 +13916510251 +13685050523 +15860807028 +13978717957 +13965588831 +18895996980 +13679707095 +18888747192 +13903543450 +15119540267 +15165459165 +18832647574 +15176965758 +18531130122 +13665558354 +15104944339 +15808384684 +13980179565 +18555248334 +18843368910 +18582213806 +15888463606 +18529216425 +18819688997 +15813165457 +18577278584 +13941761853 +13999505520 +15159180519 +18844334480 +15872443625 +13983413797 +13653262479 +18528046074 +15188962247 +15167682620 +13945194914 +13647766030 +15161559417 +18567248381 +18867065349 +18562785446 +15135138526 +18899695474 +15170018912 +18554557121 +15138400816 +18541186950 +18826393476 +15854142622 +18805247668 +18524882883 +18530057874 +13625726118 +13671920720 +15104675642 +18577966849 +13900721597 +13939152541 +15164992820 +15114733740 +13629074503 +18546993938 +13640761529 +13661684107 +18819651167 +13930560091 +15898290998 +18807295356 +18803430450 +18847514237 +13942502434 +13999888052 +13678790607 +18888752838 +13955916007 +15149557174 +18548766286 +15880065118 +15179439864 +13684541762 +18891008542 +13947203533 +18883713340 +13675366529 +15108490986 +18881593159 +18884711590 +13901108360 +13957494913 +13976624855 +15867944046 +15848631078 +13689508018 +18843633874 +15185766086 +13908702254 +13646155431 +18591069975 +18552878743 +18559232081 +15148176600 +15131065375 +13924495787 +18588917798 +13900846698 +13614183930 +15882388603 +15113897004 +15166739709 +13678586791 +18517009315 +18552638485 +18595214272 +15177929276 +13988661333 +15184817824 +13633787443 +15140371213 +18576336611 +15142596464 +13611090933 +18534367361 +13973569115 +15159867054 +13959472020 +15173941402 +18862594365 +15805457753 +18852149811 +15813108577 +15879304029 +18808643967 +13914724573 +18854265315 +18554774283 +15895277930 +18534258541 +18570048560 +18581569357 +18558696624 +15896510962 +15870802193 +15843607395 +18578295321 +13984463284 +15852217739 +13694356466 +15141808812 +15810398115 +13970629458 +18836939514 +15851467017 +15895466074 +13601638191 +15844775794 +13605258964 +13639892497 +18882496969 +18870304075 +15190237268 +15129931612 +18893287222 +15867328103 +18544661688 +13675207762 +18897778847 +13939577270 +15161155134 +13944647576 +13991181984 +15804808890 +18883658773 +15151197819 +18583634048 +13984249611 +15141283963 +15145992393 +15890887073 +18530291147 +15115512699 +13627237940 +15193916076 +13689433385 +18515588929 +18563765458 +18530875970 +15854487386 +13630628495 +18504423536 +13627330989 +13640802232 +15198183031 +15836814005 +15858221645 +13600987707 +15836285781 +18545588012 +15129518005 +18873598392 +18580707727 +13669630918 +18875595089 +13931224913 +15887412040 +15835831794 +15885129576 +18848110668 +18895866441 +15189152653 +18534735199 +15179247070 +13993414829 +18883388116 +13936789237 +13695489249 +18802732847 +18887037000 +13946600673 +15827420607 +15125069817 +18891844820 +15185473517 +13624484156 +18543297893 +15171523102 +13689005903 +15117375090 +13965565400 +18844102460 +15877599054 +15177549221 +18583219111 +18579147611 +15150041527 +15896662673 +18518230210 +18857561532 +13962006128 +18853489450 +13963278086 +18831928891 +15156502149 +13995447100 +15837042190 +15167669231 +18549597350 +13930983583 +15886022291 +13606479180 +18503991349 +18876450737 +15191350737 +15141462808 +13695730221 +18826251242 +13953765275 +15194281318 +15104049975 +18550006780 +13652757782 +15836387393 +13919916763 +18840933306 +18831473129 +13914483740 +15884838180 +13625814822 +13914311648 +13947798994 +18817617790 +18865870602 +15833519960 +18559622057 +13623237261 +13939029330 +15111296792 +15854088517 +13940529510 +18535903954 +18832395063 +13652808597 +15846006120 +18569505954 +18594601413 +18813651067 +18824197688 +15819384659 +13988479575 +18820997673 +15814977049 +13666110348 +18598052815 +18568396109 +15887541458 +13949616806 +13676304853 +18508548749 +15823528200 +15148251626 +18822533231 +18891082146 +18812586438 +15825611050 +13973399387 +18580826350 +15818495936 +15120137258 +18869368928 +18882369750 +13622268201 +18834723405 +15124438078 +15179847070 +15819950276 +18587137005 +15143880328 +18831655345 +18532317040 +13611035792 +13924225386 +18574077417 +13605897275 +18583748677 +15120161245 +18560142410 +15156979520 +18869385666 +15188071800 +15883529321 +13948741658 +13963809540 +18512876749 +15891394791 +13676219683 +18879530598 +13661704249 +18859811781 +18568310885 +18522156297 +18855867654 +13677477625 +15804339685 +15151167539 +18880441272 +13691136925 +13622858411 +15827023041 +18859930900 +15138246128 +15101468078 +15166346571 +18577730856 +15888231035 +18804867575 +18803294347 +13928292203 +15870621168 +13684744510 +15161697546 +15894315439 +15125426755 +18520134563 +13611812902 +18517937469 +18891900441 +13942790798 +13969896681 +13692360621 +18593330381 +18523208105 +13616546284 +15114133133 +13621936733 +15191604555 +13662877972 +13976234937 +15183385032 +13636678143 +15175541809 +15159750341 +18571510608 +15174269399 +18872318322 +15169300820 +13951788006 +15842107510 +15183324637 +15813543045 +13615731487 +18802926781 +13946442196 +18869530126 +15183219962 +18895159851 +18889493623 +15115228034 +18838692684 +13687708045 +15878709736 +18524552194 +18558699168 +18501904207 +13688959424 +13921101026 +15897565597 +18811418324 +13678861270 +15116765168 +15847629317 +18890630904 +18536464864 +15809899251 +18867541710 +15841081609 +18544329627 +18573152630 +13970632859 +13626370645 +18829757363 +15159830988 +15879606932 +18542583354 +15124764638 +15129792531 +15124462558 +13689799759 +15820056183 +15126343263 +15173928658 +13648694199 +18522316797 +15175668513 +15887747058 +18538537587 +13627519890 +13977006014 +15121233236 +18598746322 +15162677383 +18863544995 +18553624578 +15894037733 +15179048808 +18539558386 +13950490742 +18851083824 +13615400463 +15862639322 +15103362553 +15807945975 +13953077085 +13970932301 +15832389278 +15153404382 +18805026099 +18559077122 +15889989433 +13920718446 +18824801706 +13607410923 +18804992158 +15878849328 +13688156670 +18530938398 +15197613841 +15802993619 +18561765871 +18836678805 +13957421100 +18837878408 +18536859899 +15856451588 +15142948573 +13928039789 +13912915900 +18864297572 +15842181121 +15162062932 +15831429268 +15819221527 +13908204366 +15861972788 +18528182950 +15100802392 +13999555357 +15889698583 +13612895860 +18864914065 +13908509700 +15133694760 +15801799259 +13981086221 +13674484039 +18514348110 +15158942454 +18808907333 +18542305013 +13655411390 +13922865324 +15145752910 +18535280470 +13986444822 +15124026867 +13687981240 +18817122067 +13944914229 +18805705512 +13952900544 +13687553714 +13624806703 +18873891668 +13614634592 +13628761420 +15109247389 +15110307130 +13951127276 +13949182182 +13975077854 +15862247029 +15807932570 +15856682872 +13902098921 +18510718194 +13988937259 +13660160958 +15825287375 +18579571214 +18570422337 +15861679616 +13958219189 +13653738619 +15131255709 +13925946124 +13957063020 +15859798594 +18844083460 +15892959364 +13652629052 +15879538059 +15135509270 +13695267704 +15803344683 +13913322234 +13623546859 +15883738189 +18891607378 +13651342991 +18886483114 +18532666885 +15859609000 +15875599018 +13648029790 +13927334024 +13931478498 +13970545911 +18577429573 +18538998449 +13930640514 +13998914822 +13612913331 +15888359573 +15898012043 +13611350162 +18827991105 +15140621469 +15145355624 +13636447958 +13649368660 +13629555394 +13987177319 +15123078607 +18561902818 +18529323624 +18510521902 +15106773811 +13639837131 +13995491607 +18883995598 +18814693784 +15138568656 +15804831339 +13926236837 +15184490990 +15106185922 +18503464128 +15885525953 +18825550386 +15869745005 +15199291261 +13949090408 +15862917173 +15878543034 +15194054371 +15162726680 +18500597857 +18536517159 +15861013267 +13911183637 +15180453154 +18596484526 +18526496962 +15841799766 +18502339619 +15807855057 +13940863027 +18875998234 +13929563210 +13671854341 +18586371940 +18847823323 +13960663193 +18523949581 +13941664605 +15835546579 +15125999379 +13678348196 +13657923766 +15848997705 +18817535848 +15163987448 +15836309019 +13939258110 +18856574942 +13644077282 +13674385728 +15874980553 +13921884918 +15827613912 +15872537225 +18570141326 +13603145217 +15182788298 +18867720781 +15111449204 +18570797578 +13666706899 +13984807174 +13643480330 +13661990628 +18863460318 +18544929423 +18819199256 +18855477273 +13964596967 +13683745885 +13901361307 +15810250722 +13924123006 +15180078257 +13624579142 +18806783804 +18893366916 +13954848765 +15180874738 +13652594364 +13983849761 +13962168922 +15179870930 +15844023281 +18864641788 +18575888188 +18888675956 +18500345505 +15133477881 +13982716287 +15874700647 +13679171755 +18599271430 +13926987031 +15187266736 +18500428373 +18863452527 +15177897501 +15103212100 +13994666726 +18889567430 +13982842477 +13904721413 +18540026565 +13931957317 +13692688831 +13625322339 +15126571373 +13988993211 +18854379719 +15197511781 +15855850872 +13902196746 +13656533562 +18867042564 +18516440946 +13928362453 +18896594368 +13615413651 +18513423693 +18806179505 +18532102530 +18864495638 +13920538504 +13987481076 +13950856694 +15154304090 +13957703526 +13976506666 +15147672119 +13631878042 +15861728836 +18576193447 +18593202106 +15195237479 +13978830800 +15111787142 +13926135979 +18587207533 +13951015198 +18835442110 +18879532642 +15194059814 +13628502769 +18852511223 +13669231112 +15849123953 +15164620959 +13908206981 +15899618379 +13638545774 +15894129321 +15130936058 +18843961034 +13666447684 +13971733971 +13667574327 +18500688517 +15128144809 +18848265193 +18515275256 +13985083022 +15831719721 +15898412932 +15857051282 +13614524762 +15842685839 +13627692460 +13915370014 +13687975914 +13687968215 +18594872717 +13694787434 +15854680479 +13957210434 +15187780189 +18824144082 +15850035370 +15120020011 +18845767378 +18880231864 +15811725644 +18890230251 +15160976177 +18589403097 +18558664486 +13666488926 +18806224939 +18521257759 +15125931484 +13685479842 +18512991101 +13670012223 +13941737042 +15101457697 +15887066423 +13984714125 +13664171516 +13621420361 +13654248137 +18510978125 +15188343650 +15154125825 +15824868879 +18823542220 +15147615804 +18510031850 +13921870391 +13662428622 +18865171633 +13691265640 +13646858926 +18577961078 +15141630845 +15893448300 +15190522598 +15843739880 +15806755267 +15805410953 +18853878836 +15100368683 +18517760541 +13987427705 +15852244823 +15135669949 +18594419452 +13992680361 +13912337111 +13913558003 +15836443502 +13608522291 +18869854083 +18526826218 +13636816126 +15188705140 +15835931165 +13686468532 +18535109175 +15134622047 +13615237606 +15830619527 +13944126699 +18581784726 +15160023680 +15156122504 +15137826783 +18867375009 +13989567885 +18581135690 +18504841784 +18866084865 +13625619397 +18840332360 +13698985297 +18512713164 +13973236839 +13940506677 +15823136759 +13663298434 +15866605860 +15846910472 +18877952387 +13984665715 +18527107707 +18585114023 +15810802736 +15851383373 +13678978791 +15119538358 +13681874526 +13984609283 +18547226770 +18862019688 +13667105854 +18887745840 +13671215104 +13646145640 +18508280541 +18858154489 +15129139471 +15818071636 +13948872291 +15833264232 +13671965133 +15140030141 +15110411662 +13929250512 +15153590677 +15156911285 +18860970086 +13666827676 +18897807924 +13694158081 +18807010913 +18556602155 +18544578100 +15103500651 +15812419561 +15801088643 +15859636621 +13978719948 +18896088659 +13660224249 +18531656564 +13980306519 +15132368216 +13980574579 +18827753172 +13626818246 +13942895359 +18593322965 +18594038042 +13684531659 +15870327551 +18528744527 +18593873110 +15845067877 +13928738484 +15817131309 +15119227525 +13685228172 +13909809404 +13981945295 +13626877325 +18550316625 +13615400106 +18856018839 +18505145974 +13661138083 +18801338074 +13923193155 +13993175831 +15815046608 +15148796238 +18555863604 +13629622599 +15874264053 +13917848255 +15857266972 +13632333120 +13970005693 +18574659168 +13908994008 +13675784052 +13914905179 +13627302096 +13639103292 +13617292257 +13913578553 +18887638149 +18515223255 +15861778260 +18868036743 +13946415728 +13662389609 +18835613893 +13621928379 +13638203310 +15175449700 +13938571711 +13639199716 +18827280793 +15113007541 +13933727025 +13650632562 +13927281482 +13697023183 +15892598273 +18886161949 +18872497784 +18876309100 +15863460282 +15154474429 +15152535060 +15821321405 +15106669881 +15818512156 +13998062762 +15826092206 +18876668106 +18883921318 +15835375995 +15891574335 +13649861730 +15882888323 +15103052573 +15119337780 +18589595764 +15154817460 +15868685918 +18862787842 +18852533671 +13660709596 +13681124888 +18572947480 +18510759870 +15887753462 +15133971061 +15105270952 +18831505698 +18875450546 +13681830452 +18557779840 +13973256549 +18507869390 +18829419511 +13995048848 +18511889452 +13948749386 +18500877612 +13979239513 +13932464406 +18529237206 +18870300332 +15805106444 +15894007224 +13964129193 +13977607762 +13934537929 +18826525129 +18894820370 +18577862990 +15896036905 +13960233059 +15165113828 +18525503324 +13643015957 +13665790375 +15859502099 +18835344766 +15159115709 +18803948926 +18808538001 +15186310027 +13950685004 +13693021477 +18533448304 +15895612164 +15111238428 +15128141787 +15887110218 +18801045098 +18833179193 +18537127575 +18842887980 +13902661492 +15188598816 +15859040452 +15143870886 +18819706425 +18520383698 +15134457937 +13908828949 +15834655201 +15840927728 +13662266487 +18896390865 +18862661610 +18531334243 +13994320156 +13974460054 +15150960899 +15829098979 +18880801904 +13633433274 +15895869239 +15878695066 +15850159355 +15866685127 +18566216854 +18801729493 +18523715507 +18530773737 +13673268641 +13949844764 +18538442491 +15199609027 +13690968184 +15810471302 +13637546987 +15117895553 +18866043798 +13630208012 +15146786477 +13655100055 +18860588276 +15844628300 +15872931587 +13963663590 +18500586455 +13932836938 +13615350950 +15879814771 +15884336678 +15839500735 +18533085577 +15830506009 +13635141841 +18505881458 +13986152564 +13936389888 +18546734053 +18825270478 +18875183495 +18853168167 +15843692767 +13667697554 +13659515044 +15172527989 +13613709931 +13948662508 +13975947228 +13659425047 +15858926447 +15113519304 +13635371784 +18529430847 +13680665620 +13953286292 +18577022234 +13613322315 +13664596925 +15146328394 +13620977802 +13671378943 +15818021147 +13630013443 +13939608471 +15196986662 +15165529735 +15863229878 +15172463727 +13918976436 +15129278235 +15196631152 +13995379826 +13912274641 +15117184456 +15869125278 +18860569247 +13615198618 +15136707403 +13637979419 +15854985990 +15865978240 +13986030751 +13681364283 +13923673136 +15855110445 +15194551554 +15858817524 +13986210360 +13957804564 +18506028157 +13995011534 +15868293744 +18504846350 +13988347536 +15887262032 +13635217391 +18524880144 +15123410149 +13977889530 +13672509853 +13917515169 +13959292577 +13960989010 +13928325365 +15144540853 +18839825168 +15863184973 +18880720129 +13660080252 +18848014981 +18837143266 +15136757811 +15891574759 +15113486879 +13914824956 +13977482677 +13982466066 +15115811244 +18826072655 +13960285997 +15144836613 +13920263675 +15889913685 +15857093940 +13973874432 +18501265819 +18502757184 +15172858315 +15191068760 +18864300539 +18535706833 +18528077195 +13621001173 +18872874311 +18891642080 +15130506779 +18819239581 +13989433042 +13976886196 +13900119665 +18511048985 +18877232371 +13674829449 +18538356045 +13662010904 +18810000012 +18859398846 +13659550729 +18538883915 +15845498187 +18825611348 +13951099535 +15141381023 +18572887017 +15889937916 +15832066293 +15811056252 +13944962858 +13646417924 +13603453353 +15191146007 +15804905915 +13973027789 +18801699659 +15114399967 +13963891640 +13656543278 +15171659256 +18507154611 +15855005429 +15881618351 +15825816662 +18868968854 +13679430896 +15132989963 +18864449423 +15893311257 +18895989350 +18562022527 +18583626190 +15121216054 +18848609095 +15835780235 +15824932688 +18848009523 +13618989555 +18514345908 +15887558580 +18850328983 +15855805718 +15115766271 +13611833755 +18875821120 +13696825708 +13932053044 +13639867518 +18585887935 +18590811349 +13904999236 +18595133948 +15885153005 +15133628004 +13945682305 +18899840810 +13927541668 +15896420913 +13620186059 +18873834028 +15845456172 +18532932233 +13637610612 +18501597213 +15897458012 +15197329931 +15100407785 +15869914722 +18567241367 +13986227043 +13996006503 +13968434486 +15105411669 +15807727473 +18529227864 +13611404497 +18827671153 +18875639068 +15854157224 +15822467782 +13601944702 +13905886171 +18590863895 +15170433564 +13687574026 +18877164189 +15873220444 +18883967334 +13608654420 +18865594660 +13944070523 +18547108323 +18816345773 +15184751706 +13699393545 +15144264228 +15857856923 +15156404632 +18556679090 +15116812411 +13961834891 +18856225933 +18554405456 +15850739502 +13662933823 +13645788486 +18863512859 +13612526599 +13990422086 +18546245651 +18878864207 +18532618937 +15879186010 +18893754160 +13695127640 +15843098191 +18582812571 +13930613707 +13624803759 +18563715306 +13983518927 +18825149977 +13697162514 +13936487376 +15852897107 +18520946370 +13618190156 +15146559467 +18528565283 +13625720122 +18854418194 +18546706584 +15157368350 +18545453424 +15893775693 +13684245207 +18894123279 +15169818352 +13658741900 +13681109766 +15150252115 +13999254194 +13908229909 +18515767580 +18834726453 +13611744276 +15158641788 +15174548715 +18533342628 +15876392282 +15152939398 +15118448593 +15112075654 +15112957006 +15819846955 +18873784507 +18806634898 +13631744787 +18872683440 +15846257746 +18865702084 +15840134764 +13910773616 +13665770996 +15836038265 +18543659843 +13692657073 +13699221682 +18846716652 +13665664325 +18586647483 +18816862951 +18587398254 +18524075533 +13618499460 +13974085780 +13926060674 +18520579257 +15888879615 +18531788617 +15136327143 +13981462625 +18583682307 +18837684602 +15177356389 +13962451679 +18813293177 +13639467886 +13673630468 +15160295034 +15877473129 +13946581811 +18509426115 +18573660875 +15179091592 +15888289392 +18876855587 +13603251496 +15836539964 +13663372661 +13987817834 +18848087795 +15871763434 +18566178382 +18517848504 +13659359890 +18522239707 +13610327115 +13953990491 +18528493914 +15117272463 +13942391971 +13697180192 +13952499323 +15196853821 +15170468053 +18597091801 +15178791868 +18852020142 +15198885178 +13944432285 +13649568198 +15194738204 +13990006656 +15181616531 +13907290578 +13629249686 +13960760925 +15866457382 +15809320272 +18593409583 +15830089727 +13692848973 +18558932776 +18510202142 +13660370326 +18866542307 +13968236307 +15845504481 +18837028571 +18855566230 +18559971436 +13611967728 +18820724912 +13612740125 +18821555391 +18810334036 +18852196623 +13639168266 +18516492382 +13989164990 +13995291528 +18844433536 +18894450587 +18834463491 +13995968135 +15172343865 +13656436705 +13977863344 +18875482305 +15100803662 +18507715711 +18879692398 +18544562077 +15897304672 +15101826242 +18899332311 +18518096417 +15873389027 +15147543446 +13944291298 +15818932373 +13933331033 +18843010840 +15115510080 +13652049346 +15113903308 +18848426682 +18570045271 +15160838236 +15109531262 +13687139917 +18878279633 +13999966856 +18550836638 +15893030507 +15121851889 +18808522777 +18856856370 +15820396412 +13686258465 +13950461904 +13656708866 +15883530168 +18582739385 +18855995420 +18825946763 +13659977646 +15146671085 +15883112294 +13968343813 +13944973792 +18524797161 +15879600808 +18559877466 +18583613029 +15172776832 +15161955191 +18837126342 +13952746002 +13636692435 +15809592041 +18854704900 +13601586858 +13932380983 +15818427249 +18560415861 +15150216933 +15182535175 +13676503482 +13995436324 +18594882265 +13989545905 +18865060614 +15812434321 +18551791746 +15122506127 +13973695204 +13973315756 +15135616384 +15858809558 +18810700888 +13608206085 +13691060351 +13992881316 +13927774972 +13971924960 +18882364590 +18551366121 +15846526917 +18543608359 +18850762928 +13677054459 +15805955988 +15131971885 +18531967945 +13678217115 +13664851303 +15112715842 +18517023586 +13604770552 +15103499069 +15837979535 +18818422747 +13952122054 +13991096703 +18556392990 +13925615414 +15137593050 +15851921414 +13970194081 +13650738955 +18816874327 +15166887669 +18820202067 +18563078975 +13622392741 +13946253834 +18843241655 +13659926732 +18586004305 +13617450197 +18559775121 +18599861488 +15101156274 +13962347027 +15100934910 +15168193520 +13678224803 +13984501082 +15152559545 +18572195239 +13904614100 +18547403776 +18899383453 +13972713434 +15150401749 +18865792425 +15875846760 +13986412931 +15818711066 +13915451569 +15855468977 +18870792358 +18894599853 +13903286454 +18511958935 +13695326913 +18597118595 +13659751301 +18515772486 +13695807189 +15819047368 +18506141316 +13620309753 +13920686593 +13615353024 +18888937983 +18828726474 +13989061190 +15170710888 +15189494142 +15111539932 +18517709677 +13904640299 +13652236764 +15168786933 +15842870075 +13942247693 +15845163383 +18565445598 +15179122553 +15152417626 +13634552819 +18876413389 +18818006009 +15112843180 +15842890399 +18847078961 +13637973900 +13670048947 +13667489128 +18827384228 +18594432146 +18575296295 +13648812297 +18851121746 +13646087663 +13608058702 +18557387765 +18579753796 +15191641214 +15152064998 +13600565489 +18870048712 +15897915258 +13964259356 +18581336155 +13675902647 +13943287969 +15895388721 +13977999178 +18570616045 +15802611290 +18557241573 +15803961068 +18817071505 +18826890466 +13625138918 +15807758562 +13952444817 +15159511399 +15875927099 +18815663356 +18836252516 +13925102903 +18884842172 +18807107400 +13656611801 +18536486988 +18556979318 +15191594262 +18595964189 +18897787878 +13650580546 +18513636111 +13922069493 +18500805676 +13649553368 +18533866452 +18811026574 +15177557439 +18579848185 +15133148954 +13961162321 +15120926943 +13923079570 +15135353355 +13944068613 +18870536141 +15808519822 +13679615994 +18898427559 +13691485742 +13908321860 +15138454606 +13680477086 +15831848060 +18575957867 +13638120948 +18801735419 +18860943421 +13601267380 +13906954198 +13932271520 +13627001393 +15802584269 +18513082688 +18514917155 +15158094463 +13931187514 +15811607340 +15867157938 +18531430680 +15191546726 +18535866602 +18838877390 +15129905617 +18852702420 +13636555545 +15152724858 +18514572623 +13982205769 +18819897748 +13659176970 +13669617789 +13952709745 +13615755241 +15827077102 +15138544645 +13654845367 +18890394757 +13600313673 +13684244272 +18807966271 +15164825885 +13680029245 +15898111663 +15843700377 +13966415189 +18853285872 +13622975181 +13627513536 +18519154320 +18851988711 +15815233303 +15888577435 +18502418763 +15174134480 +15898432111 +15171676062 +15167117626 +18548433212 +18892178137 +13968179961 +18832602473 +18893096519 +15150713191 +15872189982 +18529507887 +13652348814 +15181348049 +18803014793 +13964805076 +13646001746 +18561796542 +13693316277 +13923429620 +13955468234 +18846669498 +18591153060 +18519942065 +13669515062 +18839401301 +15105674267 +18816346666 +18589743884 +18508849329 +18571561710 +13633359514 +18838944292 +18564897851 +15115603060 +15849257702 +15864275415 +18843768560 +18840125119 +15193770968 +18563830105 +15123679946 +13618967382 +15178572618 +15836395117 +15828843120 +13970819979 +15123479258 +18540177983 +15803364521 +13603804624 +18504556910 +15820734025 +18580786202 +18844457228 +15816921123 +13917061918 +13669026951 +15113780503 +18558618687 +13986868279 +18831910426 +18873637946 +13988055804 +15800491545 +13939205308 +13907792298 +18879897794 +18830159038 +15195502544 +18545206779 +15185216413 +18545041432 +18853474480 +15816658214 +13616867234 +18594274743 +18879917167 +13999269190 +13696014723 +13630372600 +13641762440 +18888522300 +15825914677 +18880337225 +18524603671 +18821029439 +18582916228 +18522680820 +18842787634 +13939012125 +15189973628 +18583865480 +18861520832 +15127314457 +18838014158 +13607400581 +15877171441 +18845561694 +13677864513 +15888254383 +13658353015 +15822650271 +13930262797 +13622933647 +18576473393 +15891600081 +18541002591 +18839225774 +15174513744 +13696053717 +18508720446 +18895343992 +13624665658 +18534447718 +13991338135 +13919275816 +18500589018 +13618012198 +15151429216 +15132596789 +18863690549 +13646114326 +13908723406 +18833385174 +15166793554 +13647949176 +15181652657 +13943505033 +15815269414 +15143812947 +13630283233 +15129169111 +18551598132 +18875418804 +18807959236 +15103241074 +13905938193 +13648333011 +18507841272 +18578587762 +13933326201 +13662548911 +13929986533 +18887663776 +15870955402 +15192539691 +15849889085 +18843775454 +15144625236 +15177384369 +15179175793 +18565730916 +18824795828 +15880950124 +18890894168 +13681353743 +18803759248 +18587083720 +13984156079 +13609537914 +18583082760 +13951772038 +15100085461 +13922125866 +13672098486 +13959871581 +13684648985 +13932015890 +15827768869 +15880592477 +15848720357 +18891486722 +18848649416 +15869280332 +13667920331 +15128746079 +15894196772 +15126847958 +15898286117 +18898975010 +18823212238 +18855755709 +15199719396 +18827685574 +18830701385 +18896615679 +13952848082 +18861714058 +18846096357 +15853713172 +13683894309 +18553541728 +18892238835 +15888217238 +18541945622 +18814758637 +13653650840 +13696016905 +18580965666 +15166341338 +15848582399 +18552701256 +13967251586 +15127603806 +15197225186 +15145603918 +13943278992 +18886045455 +18534624388 +13634471563 +15106886101 +18821402775 +15101298024 +15846786133 +15177778465 +15198745190 +15100655823 +18820492407 +15883986831 +15145308193 +18855430994 +13980803952 +15115682933 +15185547981 +18814923537 +13611027971 +15111849838 +13674326913 +18527439044 +15156192656 +15151465855 +13680042040 +13970962740 +18823211486 +18841188544 +18814731493 +13910353567 +15825662464 +13679751287 +15130317611 +18589953907 +13639700033 +18856997467 +13933805748 +15819736705 +13604933847 +13601198575 +18823230040 +15158832792 +15876223067 +13960258582 +13924219779 +13957312229 +13686396506 +13610660082 +15871708536 +15855337429 +13961947106 +15148084128 +18554668546 +15868311889 +18850622257 +18558002551 +18548771904 +15168962307 +18507228230 +13696110375 +18534903489 +18809304419 +13651955313 +13618460405 +15847833302 +15866270760 +13629218985 +18587061233 +15878462944 +13926087410 +13621488490 +15104273316 +13995940084 +18854001494 +15169709961 +18563330571 +13628679195 +13675900172 +18544631618 +13997546843 +18581917909 +18538704574 +18811575005 +13912584970 +15170418497 +18857728670 +13938263861 +15143163882 +18843905350 +18500089544 +13625096575 +13932959469 +18873032053 +15877814924 +18557153322 +15109540046 +13911811933 +13650290335 +18829329054 +15874611321 +18526429030 +18874387036 +13999695280 +13971375078 +15125894636 +13620014964 +13643747390 +13942798624 +18814405518 +13930445933 +15179896008 +18550822959 +18553531124 +15890578371 +13663077421 +13917814735 +15169978341 +13943155712 +13635779055 +15844688162 +18868014103 +15830259258 +18814704493 +18885731966 +13933502799 +13982772757 +18562444983 +15190335528 +15891894993 +15865187365 +18564993099 +13645876209 +18886890136 +15829343901 +13631388933 +18531953847 +18500058663 +18808436335 +15892906485 +15147819353 +18899121670 +13641628108 +13686124272 +18869110170 +18511510953 +18506755205 +13905415967 +18829437926 +18897614856 +13642486478 +18516838125 +13608958505 +13623128680 +15196340738 +13981952176 +13994091685 +18883225451 +13641732257 +18578178718 +15141976651 +18845979835 +18507541183 +13963372403 +18800590164 +13603541002 +13663876341 +15150323184 +13938900386 +13639631266 +15822125417 +15194474827 +18823047841 +18853608285 +15812932303 +13908627234 +15180556963 +15811949046 +13919106143 +18847928136 +18821425086 +18847462040 +15186936870 +13632133596 +15100976455 +18512044692 +18599322539 +13965526344 +18845854233 +18810579854 +15144731640 +13644337405 +18524547083 +18816948011 +13634095802 +15864847790 +13967726518 +18506995365 +15178603167 +18844310095 +13944111277 +13695632714 +13941759922 +13689319527 +13944986903 +13604024761 +18818613860 +13939498340 +13963337133 +18552891771 +18561894686 +15829996876 +18501082875 +18593799544 +13953097094 +15167559415 +13611722198 +13695440034 +15858449545 +15193716573 +15887413795 +15838529979 +13665131248 +18895795608 +18864451203 +13698488491 +13991715730 +15159247331 +18537407181 +15893788524 +18587657418 +13902242374 +18838902008 +15142998123 +13948994494 +18837350480 +15891626347 +13934712373 +18554546219 +13648562909 +15855940389 +18844839020 +13923330264 +18801810645 +13607073622 +18569009110 +13664656197 +18877725674 +15886835911 +13902714200 +13913276618 +15190302243 +15809826909 +18886871124 +18842865984 +13998166528 +13697552212 +18863052947 +13631625893 +13985794577 +18563513218 +15142761921 +13947523289 +15874131321 +18535626459 +15180628163 +13963263609 +13937779072 +18506878936 +18804413338 +13684204665 +13932913481 +13954658733 +13678478375 +18587445234 +18803751872 +13970176622 +18513706119 +18578707934 +13968887938 +13679801563 +13970070816 +18898721845 +13642826843 +15158977890 +18891232868 +15800109830 +13941020569 +13950069837 +13914083644 +15135609495 +13643601825 +15813028166 +18865844707 +18856390498 +15890007796 +18577730032 +18830660943 +18525914252 +13978996113 +18526672758 +13920336514 +15103887093 +13940520208 +15828998892 +15193961141 +13922829966 +13907186486 +15127093732 +15179356368 +15889896046 +15142051465 +18566341228 +13628468076 +15890797801 +18556750415 +18829337393 +18837353366 +15842254931 +15189133751 +18508288941 +18517779942 +13938319277 +15178710331 +18560709243 +15101190267 +13681926964 +13601249395 +18846728907 +18511816535 +13684677534 +13663355940 +18850378307 +15833818639 +15812732407 +13600782496 +15869544654 +18523801440 +13673756393 +18880803325 +18862017783 +13933061861 +13999596720 +13943056457 +15151415827 +13603738625 +13900020047 +15817850564 +13681058772 +15192156220 +13935812052 +15166774160 +13928212593 +15160652096 +18875752335 +18549309154 +18559625779 +18882617525 +13606512347 +13644180339 +15867841506 +13965736730 +15833803618 +15113824858 +15147014674 +13942888410 +18875768979 +15888905077 +18822915167 +18524189380 +18508763893 +13621142679 +13903860220 +13678734610 +15867082274 +18894985704 +18535987978 +13954124956 +13961932774 +18856075327 +13628714402 +15811195490 +15899718764 +13672609441 +13911492732 +15145626376 +18562496488 +18860441217 +13988311299 +15885459192 +18880218809 +15176382046 +15844123314 +13624463088 +13976569611 +15194565276 +15860846441 +15152369607 +13609894937 +18897445705 +13645173098 +18534561428 +18537355045 +13982877637 +18851780643 +18819407828 +15822712787 +13681317949 +18543868263 +15867401758 +13988944242 +18557782143 +15120585816 +18541453177 +13602717467 +15158222101 +18890001308 +13654610241 +18855982435 +13622765862 +18876335819 +13690735770 +15163329470 +13693943878 +15875602304 +15836155585 +18869847229 +18857648359 +18871676792 +15163853898 +18803685108 +13625371273 +18541510475 +13907385979 +13977050084 +18531871097 +13936633353 +18580223605 +18858626377 +18516084870 +15878485403 +18840135191 +18849314215 +18515842275 +15188778913 +15190652862 +15882791462 +18505141239 +13972776501 +15133724439 +18503944985 +18583417864 +18586241658 +13906456234 +18808048312 +13617737259 +18527255643 +15872502861 +13619892004 +13686982936 +15829863723 +18562734729 +18800343578 +18816606046 +13634281920 +18586153134 +13658828557 +13640891909 +15105880378 +15127269052 +15872798199 +15139203016 +13656860434 +18893024211 +15873183280 +13611492513 +13986093652 +13940838852 +13908410427 +13964451489 +13962721764 +13646374262 +18525688118 +15124337124 +13606753383 +18809381959 +15867848752 +18515287400 +13991319707 +13631782136 +15115460407 +13631294788 +18504696311 +13955835718 +18553643654 +18556937599 +18550317995 +13993101093 +13902905284 +15890336408 +15857658910 +13604183287 +18872003668 +13656564155 +15183651097 +18585253644 +13941506445 +15860647004 +18516680672 +18524494753 +15811103929 +15845323535 +15897527678 +13961463456 +18812620553 +13660888981 +18897673353 +15809090481 +18565462609 +13695112502 +13608860032 +13938692270 +13992364727 +18507003937 +15869917600 +18566523797 +15129066549 +15172473085 +18806556996 +15197265364 +13687976525 +13649461906 +13959872845 +15158572041 +18803759061 +15115553054 +15898858569 +18830771175 +15139568864 +13903819623 +13687013258 +18560022607 +13912990079 +15123786572 +18860454212 +15879838452 +18809259377 +13695395645 +13648462167 +18513867960 +18862097068 +15184798455 +18546548851 +18530594146 +13912441508 +18596708026 +18825586503 +13654496312 +18509215862 +15817705699 +13986574162 +18590945006 +13934630192 +13611113892 +13992630843 +13602938790 +13942799719 +15862393690 +15846552634 +15803531158 +18851308403 +18885335362 +15112561306 +18856249192 +13696914977 +18871438513 +18508262579 +18544235899 +18801411000 +13986355241 +15897784489 +18875480070 +15196006922 +15139517371 +15813662409 +15108339160 +15173834577 +18831411668 +15100325468 +18884050476 +15840252676 +18530219849 +15853655481 +18855940345 +15188864896 +13922511634 +18509685325 +15862971419 +18849867667 +15132254242 +15193959844 +15154033632 +15842479371 +18862591946 +18846439548 +18808150666 +18548245252 +13962054034 +13653635330 +15800572334 +15174828507 +18563985777 +18809132727 +15888188113 +18804292774 +15840170779 +15147108427 +13688054212 +18538211593 +15837885941 +15161549168 +18882943401 +15183140114 +18510537654 +18890361971 +15169040954 +13985108682 +13915835392 +18519445393 +15875666731 +18535686746 +15835596040 +18558903719 +18516531058 +13601839647 +18858261007 +13998899186 +18816818283 +13640105394 +13906796218 +13604331262 +15161145050 +18832978291 +18833585862 +15190091822 +13685930781 +18540468603 +13921516447 +13625638655 +15854348669 +13619732817 +18888834923 +13637444097 +15154784097 +18815691288 +18506335512 +15895611064 +15122355838 +18559162989 +15832665033 +13900008864 +15835517843 +13678849720 +15142773326 +13909872719 +13663113965 +15151910372 +18594526883 +18870658142 +15832649603 +15859136383 +13915004805 +18846715379 +18834746978 +15823348284 +13642514366 +15139581554 +13683048831 +13622973193 +18597039367 +15884814838 +15868561544 +18562072557 +13983106061 +18599976666 +15884387053 +13698095820 +15847540989 +13646718347 +13695472682 +13623368506 +18898741840 +18594654778 +15117455911 +18539153885 +18864942495 +13604831695 +15880244401 +15125244120 +13681181902 +18599297108 +13666393736 +13922181517 +18567070123 +13923381802 +13692314151 +13984898890 +13959148737 +18536421430 +15132754394 +18520551541 +13962894731 +15804682151 +15848853097 +18863658973 +15823821600 +13670040245 +13934020369 +18508055721 +13674724046 +15181224964 +15175838675 +18876715177 +18561542689 +15886561792 +13684215657 +15856013167 +13696795809 +15109496119 +13650725137 +15803991345 +13986000312 +15887125024 +15862467433 +15861310222 +13957097140 +18899814310 +18887180867 +13924627819 +13919627984 +13906599561 +13933239030 +15827639106 +18862351853 +15158935025 +15830677815 +18895465398 +13621680099 +13664421752 +18536021766 +13934856949 +13955876107 +13975902000 +18510800642 +15845644481 +15110110779 +13937783728 +13993841058 +18845709080 +15878767810 +18826482083 +15139166874 +18813165803 +15164032865 +15175707534 +15185934185 +15869161776 +18831913085 +15870183333 +15826325469 +18855917589 +18584833258 +13674581119 +15811119775 +13613248544 +13990191906 +13918111021 +15169249548 +13945369328 +18588832151 +13638050907 +13698326440 +15169266817 +13976363270 +18536668975 +18518864554 +15816902784 +13623038825 +13685950316 +13935424680 +13640782548 +13904647149 +18557891835 +15184652911 +13948697231 +13911991851 +15159950855 +13924232750 +13913104533 +18592732433 +18502106033 +18531003832 +18552550902 +13905879108 +18812700537 +15171023207 +18821541230 +15800365331 +13633141519 +13989996739 +13950550555 +13600082742 +15120637546 +13624677420 +18553017610 +15858809226 +18891067694 +18810432754 +15805540690 +18539781790 +13944387889 +18844980448 +18870879742 +13643551140 +15875687241 +13949402257 +15168947739 +13668668099 +13936843291 +13997384015 +15800188442 +18586575694 +18879272348 +15865927434 +18525129318 +15189109403 +13622400334 +13612014343 +15853399234 +13627797422 +15145511761 +13616372104 +13968963484 +13660888650 +15190286889 +13934102177 +13990122126 +18861450522 +13954957408 +15855795332 +18521497439 +13684542934 +18538276129 +18501822704 +18590981651 +13966996265 +15152185520 +18589568910 +13678018332 +13900063153 +15837229244 +13615790710 +18852662352 +13928239777 +15186482083 +13681734760 +18574859943 +18561993775 +15100454817 +15151843775 +18578263849 +15832613412 +13945616029 +13992752102 +18565240378 +15166584226 +18579001213 +18508020147 +13941041890 +13952437407 +18853180183 +15841170715 +18507593861 +18856267950 +13959566685 +15119752595 +15130562275 +15844031991 +15121704440 +18837666746 +18500741236 +15108793095 +15870110403 +15114050331 +15119478953 +13630107939 +15836388858 +15887156639 +13995620564 +18885250098 +13984680507 +15161652390 +18828269159 +13936120520 +13636266311 +18815705083 +18574165739 +13692430295 +15872427726 +15826728931 +15165254419 +18566479787 +13978020184 +15152326635 +15823348406 +15127302233 +18549681323 +15869167997 +15112102445 +13671483242 +13659225896 +18521375033 +15889045807 +13974080776 +13681199511 +15117621010 +18849960224 +18827594335 +15860875352 +15818216688 +18819812540 +13925518008 +13937778935 +15187597727 +15859871992 +13620644167 +18519745897 +13965421706 +15821950111 +13906705540 +18588696236 +13953291110 +18542613861 +13935747444 +18508661040 +13947274755 +15818416035 +13987702685 +18882486981 +15887026356 +15828602075 +13661596081 +15898889890 +13659119431 +15163535118 +15897079595 +15112209045 +15125538766 +18822682130 +15141105208 +13976502423 +18873399640 +13902795177 +18863347686 +18560172756 +13918889167 +13689487305 +13961201395 +13922836796 +18876057296 +13904846378 +13640154114 +13605143272 +13693480811 +13997138634 +15134993850 +18568061970 +13924081080 +15887847212 +18867127992 +13939033453 +15864016563 +13606818512 +15837198712 +18546532725 +18575572503 +18531185484 +15836666866 +18898667027 +13921951023 +13665648821 +15858496737 +13919446593 +13624210246 +13922917553 +18545156319 +15131922830 +18898191651 +15121166618 +13605071166 +13659289730 +18537619013 +18883610901 +15157782051 +18520215778 +15186875656 +18877854417 +15884119415 +18850698302 +15822656539 +18858151806 +15181661458 +18836233927 +18566960750 +18844572644 +13943121733 +18574952623 +13636394241 +15190218966 +13974832655 +15197806619 +15197201402 +18863455912 +18848885753 +18850839752 +15886024515 +15871544082 +18528012190 +13617584487 +15872717050 +15870805528 +18881653443 +13601008459 +13985691342 +13642817654 +15832640908 +15169844947 +18845357462 +18536575720 +18554940680 +13991085981 +15897116909 +15192669090 +13658560792 +13667265962 +15838583685 +18586230978 +15834215053 +13960942807 +18829893445 +13971356393 +18557479072 +13995980505 +18512558207 +15187692022 +13946759300 +18871287025 +18573520018 +18889773247 +13990099730 +15870849467 +18532894243 +15820917646 +15110905699 +15829191958 +13684084264 +15815370937 +13997312672 +15192898004 +15808741846 +13617042837 +18882769947 +13622974643 +18895644460 +13915439612 +13647094190 +15126793128 +18808798680 +13967108182 +18512648383 +15848235954 +13680184012 +13624765347 +15190946009 +13625493007 +15892333336 +15109396190 +18847785137 +15198963503 +15112158231 +15140929805 +18559880762 +15130261604 +15854884693 +13624676599 +13985934754 +13627831518 +15159305453 +18543122889 +18526332867 +13692305648 +18515877949 +18527633416 +15166929012 +15142775672 +18548364493 +18594935352 +15818231251 +18831719819 +13608308295 +13686984526 +18506944522 +18593620475 +13909974256 +13657856368 +15859959121 +15874220331 +13667076343 +15174951388 +15852334858 +13923130755 +15147858154 +13942888784 +18520989132 +13621687981 +15144151307 +13952870007 +18529288034 +13994114957 +13987010910 +18803387686 +13671808365 +18578850410 +13617145992 +15805308765 +13600292063 +15826470220 +15834304211 +18530719183 +13678805130 +18593743962 +13979891104 +13908949110 +18532427328 +15859650770 +13672568660 +18867105384 +15888137586 +15164658839 +13606334299 +18800550524 +15187904187 +13904325686 +18869014541 +15826293107 +13970947588 +18546620143 +18893954688 +13929093196 +13909753332 +15195450544 +13906543869 +18507229920 +13995025652 +15116798428 +13622356244 +13654454350 +15139439172 +18565357503 +18533923727 +15883673154 +13660703654 +18816308501 +15181694634 +15870770467 +13927949840 +13656470364 +13970130264 +18879791958 +18537790050 +15109948556 +15808907887 +15199466007 +13625737110 +18849549328 +13994865280 +18568531182 +18582025240 +18812772375 +15150350804 +18535564682 +13944863306 +15849108411 +13637442827 +13994980779 +15160798881 +13676955830 +15155264151 +15802384571 +15876186816 +13972003888 +15114312073 +15136276907 +18878543575 +18822643018 +18858624484 +15168025121 +18558727334 +18848434671 +18823889953 +13612408586 +15149528545 +15872207385 +18557746338 +13609523915 +15170055895 +18855028985 +18870758470 +13942654546 +13989994595 +15185398878 +15161440217 +13648679830 +15898867367 +13669781577 +13915822075 +15157424730 +13684873245 +18535292227 +13641048372 +18549600171 +15886545650 +15141194255 +18888849590 +13911226160 +18890261410 +18588176613 +18567805147 +18888689854 +15886992080 +18533783699 +18520084302 +18555109438 +18834651187 +18845139775 +13911670304 +18812979348 +13993050494 +15145494146 +15160200340 +15865256770 +15140107715 +18839042390 +18584719464 +18813618774 +18898048571 +13976818166 +18547811708 +18561486716 +15143968640 +13655410178 +18548526750 +18566654599 +18565296606 +13987486873 +18812964843 +18572784323 +15817906461 +18504653547 +13912637430 +18821937285 +15820381008 +13957578300 +13693815072 +13959384105 +18829452810 +13698664727 +18834658290 +18854030941 +13690122972 +13952366583 +15897134544 +13991152969 +15850289036 +18598259183 +15167607899 +18855167338 +18565464655 +18818988407 +15129385573 +18862211536 +13911793878 +13981424203 +18854981480 +13680972578 +13951188246 +15195635949 +13962823642 +15174000228 +13902097230 +13954966497 +18846921699 +18548470568 +13635291626 +15166950155 +15178714584 +15818109472 +18541421547 +15119916158 +13657587685 +15881429547 +13628455922 +18898362536 +13917564496 +18576099141 +15898471909 +13661945985 +15139836583 +13652023586 +13624524773 +15189948303 +15186011006 +15880883031 +15881355190 +15890981833 +13631009548 +13977601403 +13690613148 +18835548002 +13989580538 +15893223538 +18813135989 +13951341286 +15877576817 +15828940745 +18809604806 +13618006477 +13984503536 +18583163742 +15188879159 +13918449304 +18576312531 +18871579700 +13950124731 +18521383806 +18836089339 +18803920447 +13619103622 +18596019707 +13930883831 +13638017705 +18893979348 +15118524703 +13646506553 +15101361067 +18888992976 +15103622709 +18565005957 +13665264129 +15855203175 +18523030559 +13966361169 +13943983415 +15165948827 +15153546614 +13908262467 +18520341023 +15196030742 +13602347661 +18866469004 +18888143191 +13667595840 +18520693410 +13914132081 +15822639329 +15837080517 +18812511587 +15195729958 +13999382877 +15184276990 +15110871208 +18524307966 +13915753150 +15194656444 +18522531293 +18546346253 +15166987020 +13652442062 +18593034376 +18841386067 +18515948776 +18804329134 +18551915535 +13924444924 +13917198416 +15894842396 +15842024011 +13903646272 +18817339700 +18851628943 +15852284256 +15113498342 +15856867114 +18870449720 +15112566047 +15819069031 +13955355659 +18824571137 +18541682485 +13968763948 +18820296158 +15156287729 +15173635068 +15840173443 +15139946491 +18827598395 +18548954514 +15176321817 +15168394421 +18856601098 +15135959788 +13913327861 +15115440822 +13946637975 +13630105994 +15168960132 +18592876590 +18810224337 +15161114111 +15108933275 +15171990914 +13666861412 +13610696743 +15891825827 +13948819003 +18532244894 +18501060710 +13698126215 +18561173474 +15894023030 +18556487934 +13985384932 +13935265928 +13663284266 +15181104566 +15185494358 +18806451469 +15107421863 +18836824071 +15135037463 +15113779067 +15899389990 +15101901435 +15848059927 +18821578239 +13966867832 +13602022323 +13963954716 +18590354969 +15117645100 +15806308065 +18532840259 +13640890952 +15878561607 +15129514396 +13916269743 +15171690100 +13616072006 +18813884355 +18513052402 +18894722394 +18550094843 +18853274231 +18895714456 +18524099180 +18805895114 +18868566579 +18867637020 +18514211806 +13932039230 +18575457011 +13677369913 +15193704594 +18551933525 +18533062972 +15134973977 +13688185071 +18536350748 +15876180088 +18590997846 +15125645845 +15829314323 +15842679197 +18831038775 +13946044107 +18876678218 +15130990385 +18595598347 +13617856074 +15164795419 +13919103026 +18594515611 +18580909363 +13601828661 +18813876589 +13665978180 +13657744213 +13916287745 +13917401382 +18863336537 +18544283078 +15844315486 +15894876059 +13918536306 +15852606784 +13621139813 +18588276127 +15854815479 +15847606056 +18526160139 +13626598802 +18521594846 +18540156894 +18567629377 +13630171826 +18848586446 +13647750115 +18849745328 +18578359178 +15190719621 +18884137174 +15179550130 +15167236648 +15142148868 +15161643885 +15862848330 +18874343284 +18576331857 +13617709853 +15889789835 +13696260997 +13619811941 +18842658489 +15103792159 +18856285556 +13695875845 +15814551323 +18592721734 +15164150800 +15180255875 +15124215536 +15837332702 +13939304273 +15856190935 +15102854548 +15167134461 +13970966442 +13660062850 +15165834740 +18870135061 +15162272064 +13688898198 +15171532342 +13930544131 +18554259442 +13935905359 +18565411629 +18559138105 +18835796199 +13654258921 +13640938954 +15139909219 +13936805505 +13631570812 +13929983134 +15830930926 +15856310710 +15176936423 +18853023100 +18532763977 +18598431295 +13975728854 +15106937173 +18825828076 +13603585872 +13672856956 +13604706711 +18868078793 +18565581148 +18518241841 +18862046317 +15149035803 +18510501306 +15101794437 +15102638752 +15101643430 +18585848380 +15854915499 +13627281989 +13986873898 +15833115956 +15811470311 +15121613503 +13932353252 +13602706944 +15179863607 +13982170456 +15827807576 +18524355268 +15198794736 +18575031260 +13975853574 +15864528177 +13689202579 +15872520270 +13933885789 +18565824851 +15826475322 +15160883254 +18582356734 +18593514504 +13627806008 +18836270826 +13942776912 +15155162632 +15857084820 +13995146514 +18825005155 +15173880157 +18517103334 +13679729240 +13666342395 +13698454924 +18561798482 +18809269468 +15124028726 +18833216464 +15832696470 +15149172683 +18879137102 +13978695234 +18516570053 +15813187811 +18577495618 +18582116638 +15120863766 +18848279006 +18520249112 +13975775314 +15819289479 +18537897313 +13602205665 +18886115266 +13638811523 +13917547962 +18880390262 +15820650753 +15112381125 +15866448741 +15136132116 +15132193777 +18801653353 +18867935333 +13942962590 +18832938154 +18827706506 +18849237351 +18567967918 +18513629747 +13928539081 +18872198192 +15128757117 +13600685510 +15118858833 +13983924870 +18585010359 +13604846132 +13698996071 +13927921041 +13648091820 +15143219934 +15151159382 +18514846024 +13696744098 +13683961566 +13685841910 +13642737530 +15147290624 +15199087897 +15879131558 +13905105852 +18854433448 +13993394694 +18554800627 +15819449712 +13903829484 +15868594603 +18533268816 +18513774719 +13919639606 +13687910314 +13981234445 +13960517978 +13633848505 +15818580974 +15833619690 +13628174738 +13658673465 +18876116114 +18540930308 +15851199802 +18813942000 +13643735892 +15129886431 +18585179431 +18503380480 +13611530124 +15833702083 +15180541377 +15802074721 +18509348236 +15189276350 +18844632648 +15854502638 +13999081967 +13656199492 +15897345154 +13917650347 +18816314312 +18529330166 +18509811542 +15835592303 +13957438381 +18568878466 +18873707992 +13623588061 +18543398894 +15829656824 +13657910587 +15838175839 +18535593720 +13903859040 +18519693772 +15809119604 +13912826856 +18557021821 +18535812458 +18574682170 +18524441775 +18555025795 +18593501267 +15126345993 +15191723224 +18886988445 +18586967674 +15822299010 +15873780548 +15112756743 +18896929666 +13615713490 +18828306478 +13921661712 +18588102021 +15870133232 +18817423353 +15190077587 +15175223529 +18863275701 +13912432024 +13925933100 +18514868195 +18589296089 +13693120575 +15800927132 +15899513719 +13970566107 +13960985974 +15895880876 +18560446331 +13651411838 +15837219752 +18821632681 +15862001743 +13961110648 +15130055604 +18829775927 +13697167200 +13689905840 +13619672314 +13600771768 +18508557347 +15824369987 +18808764018 +15828175998 +13976267536 +13991631775 +13646551185 +18592037602 +18894982689 +15872328577 +13946481566 +15875104831 +15196196325 +15840268835 +15179089050 +18547724553 +13945578281 +13625560628 +18545883326 +15854209563 +13939668659 +13967904294 +18809940897 +18500337458 +18588070718 +13932038750 +15131820017 +15892768318 +13945463110 +15118423446 +18859590605 +13689328490 +13996054511 +18557432594 +13975296471 +18566147441 +15185449402 +15836598030 +18890068684 +18509794704 +15159704885 +18530901130 +13600441679 +15836397552 +18552252123 +18528573173 +15854587183 +13962295459 +18810525067 +13930109410 +15861550854 +18879135455 +15805750967 +18869477820 +18555147071 +18535825468 +15165322331 +13917843501 +13641778068 +18817761573 +18856829595 +15888832766 +18856648712 +18847510017 +13943431995 +13657910676 +15160458319 +13655879210 +18558718922 +18531463094 +18896907903 +13689275340 +18527515065 +13937905600 +13919877695 +13949606416 +13619293894 +18850120467 +13645016169 +15182692805 +18863612393 +18540838193 +13698308032 +15860975543 +18836999152 +13995343377 +13641010932 +13990190677 +15818294825 +13697366308 +13643915151 +18822751495 +15173492631 +18882121362 +18590964757 +15853715587 +15141382563 +13606295400 +13910328476 +13644447652 +13684169426 +13912369349 +15168870360 +13605165762 +13634009765 +13915981127 +18525120072 +13656716312 +13969560201 +15174145645 +13616575878 +18863651283 +18574082592 +15170936909 +15830244392 +13642490768 +18883367232 +13987967647 +13663187216 +13944254080 +13620904661 +18877216604 +15128656787 +18886057792 +15868644680 +18559918052 +15889424132 +13993488012 +15127433124 +13655301338 +15142024951 +18569873992 +18565720239 +13910570880 +13625787733 +18556545487 +15171884421 +18569801724 +15132073223 +18504392657 +18800841475 +18524012983 +18531653566 +18503159151 +13698442858 +13986095479 +18847071309 +13945944685 +15817512361 +13944272594 +13605013803 +18574343327 +13962702476 +18585966524 +13631009735 +13641391600 +18535015005 +18589972297 +18524934720 +13911625955 +15133199553 +18808373621 +15853284603 +18572810641 +18814917276 +13662752308 +15874135953 +18531294667 +15172781205 +18822493001 +15148769056 +15155463995 +18558824590 +18532867738 +13675629041 +18826493221 +18595690198 +18847869110 +13615449802 +13945368716 +13985341665 +15855769917 +18890829884 +18847695280 +15886300276 +13675172608 +13616778120 +18524740708 +13628167619 +15833152340 +13697636653 +13983584527 +13969076862 +13989549721 +18820532928 +18553681187 +18809216581 +15124709110 +13685149416 +13977221998 +13600770328 +13906047898 +15810508709 +13601487851 +15124774766 +15886491669 +13629231950 +15896585700 +13678625556 +18536207427 +15886953995 +13622718093 +13669381855 +13902853080 +18501993204 +13960675802 +15893221203 +13641913741 +18802023216 +15181327338 +13669171988 +15192788813 +13699581707 +13950500772 +13900197629 +13607832231 +15138881356 +15144080458 +18840992834 +18523948481 +18839358503 +18516529207 +15111661813 +13957960048 +13926475738 +13934827587 +18561192909 +18854319954 +18801259741 +13970806676 +18893190891 +18547730825 +18822402051 +18803928029 +15109647813 +18813246129 +18854293705 +15184976587 +15872695046 +15197932006 +18894271698 +18803884177 +18538624791 +15855585004 +13951826531 +13631091114 +13684169481 +18513819970 +13600044063 +15111552586 +18589659169 +13687715198 +18860367811 +13602765307 +15193959897 +15857511804 +18502864412 +15805395317 +13909490862 +18537464316 +13919416352 +13699442887 +18516453734 +13689453412 +15142711348 +18824026904 +15894769603 +13925291251 +18547382213 +15128817459 +15885208238 +18515765068 +18510626103 +18513078178 +15826692917 +15182608597 +18564544216 +18856898036 +15846858588 +15102833783 +18588427060 +13679885907 +15181824555 +13947593864 +18891408132 +13653155187 +13635193127 +18583477961 +15166153101 +18820445489 +13680707404 +13676665644 +15877855926 +13974219993 +13977418323 +18509428628 +13989557064 +18556775662 +13674815641 +18514827526 +13945190531 +18504212138 +15833915993 +15142238905 +15892040131 +15846310114 +13946443574 +13690193022 +13908970435 +13646600816 +18542368109 +13612795592 +13908525148 +18890961912 +18598173942 +18807867230 +13938785276 +15132485915 +18584196274 +13620292270 +15113571086 +13963018952 +15827321128 +15867859045 +18809428944 +13650603242 +18826906599 +15138553759 +13975469885 +15839748125 +15877730372 +13927699748 +15814275795 +15816493320 +15140397773 +13957662900 +15845381143 +13663413955 +15836618809 +13665146421 +13649440905 +13603133083 +15116835244 +18599122225 +18853679779 +18571747679 +15125750018 +18501587644 +18533792057 +18546631241 +15147873779 +13933745887 +15101226659 +15106331992 +18814781913 +15840347145 +18865665172 +18599691301 +18594644995 +18598171783 +15845203463 +18865201726 +13602518785 +15128595407 +18828761565 +18824329351 +15105193536 +15114382583 +13669437785 +18514944631 +13647187948 +15861195682 +18532111153 +18806375747 +18538149363 +18834596909 +18897685866 +13670051196 +15871219363 +13980196953 +18551292110 +13912871532 +18588317977 +15190934403 +13606111672 +13945837411 +15189668412 +13946157077 +18851371461 +18529241642 +13926479565 +13949730924 +13988155871 +13616748747 +18526066527 +13948755783 +18555060151 +15151931552 +18884822688 +18856662620 +15847415680 +13987907213 +18825507158 +13955282573 +15847689137 +15117531292 +18823777388 +13921919008 +13992295228 +13919603180 +13921253153 +15111889409 +13900006711 +13638801192 +18557244867 +15841625577 +13699123328 +18864079966 +15802726722 +15162718824 +13911664001 +13651218499 +13630834584 +18894089652 +13955368229 +13677058444 +15158208961 +13977557767 +18859059231 +13997715912 +13958052403 +13671341737 +13931069175 +18816748298 +13630615891 +15859203496 +15806008740 +13907549966 +13626003220 +15877061269 +18820597755 +13676355230 +13692139174 +18816718732 +13662509975 +18592993217 +15151558383 +15121996900 +15135935739 +18575694245 +15147961646 +15110775064 +13632735320 +18805982554 +13645054801 +13651726780 +15838194420 +13997471353 +15869045890 +18540101734 +18803953981 +13602912394 +13666787761 +15881741101 +13605599528 +15842662051 +15114323045 +13612273858 +18505503178 +18535621410 +18548840018 +13969540668 +13635743434 +15885398441 +15175200615 +13630125094 +15157745761 +15136783681 +15869264526 +13642670247 +15853284130 +18890354352 +15198606152 +18880006088 +18824403924 +13920968243 +18577408617 +18529196205 +18895379079 +13618809789 +13946809018 +18876236236 +15852473569 +13623359930 +13979337015 +15854060648 +18536614292 +18864693174 +13666303262 +18867795780 +13682214158 +18548731419 +15133671753 +15128444740 +13980849336 +18549488342 +18860736911 +13934440563 +15140530662 +13928457689 +18572007465 +18827914314 +13638359471 +18870655358 +13932948393 +18803879776 +13928568444 +15823315536 +15145932490 +18886285369 +13611479786 +15189028689 +15812088459 +13927480035 +13627047724 +15126256736 +18861468879 +13642373546 +18577588069 +18828311228 +13640196777 +15179603412 +18876139740 +18524561217 +18868312252 +18826247100 +13916170574 +15172957540 +18599776225 +13975775868 +18830002473 +15151404812 +13667148315 +18816214466 +15877173645 +18817815203 +18543453437 +13676404366 +13945962821 +18526956475 +13930572877 +15107146092 +13627348094 +15828267338 +15881241519 +13914822402 +15851508533 +18861981840 +18820142920 +13646282596 +18510521699 +15861680895 +15874621047 +13692518644 +13913704827 +15130261818 +15176383385 +15179450828 +15127267349 +13933667045 +18803694149 +15875073893 +15199911234 +18861485412 +18537364310 +15146395594 +18553273548 +18895497985 +15110502896 +18530621624 +15168889780 +18522319800 +15814991448 +13928155154 +15106957582 +18511775461 +18895354221 +15184698063 +13925387284 +18578497663 +18842987599 +18599481108 +13901740107 +13946288611 +18852787719 +18872036253 +15189670974 +18577422629 +13908700198 +15862772892 +18568625174 +18519807774 +18814413788 +18538120061 +18575372644 +13648402534 +15830301602 +15191204662 +13936947005 +13637018840 +18872140468 +15180782900 +18804692043 +13951609707 +13621737179 +18896332418 +18580859464 +13685572410 +15194077199 +18816813557 +15896162876 +13672096956 +13984146935 +18524331837 +18817420311 +13629635017 +13911936185 +18568353723 +15188341904 +13608908913 +18595918833 +13637364076 +13925812212 +18570621582 +15887073534 +13939377128 +15135524860 +15110957058 +18567968728 +18546723233 +15802587047 +13917152842 +18548865242 +18848631428 +15159627271 +18556622296 +18585552678 +18884384747 +15155642603 +13940738883 +13909465568 +18818394724 +18837075442 +13636070036 +13980306775 +15164585195 +15824719335 +18584035406 +13942199223 +13920137908 +18880691633 +18852771797 +15168924049 +18534356450 +13956469412 +15175175145 +13679127880 +13975893849 +13914505747 +13645391503 +15103518246 +15831498873 +18836607632 +18802195615 +18523980098 +18522464663 +13910204142 +15152081991 +13980312939 +13903481852 +18587344571 +13917389449 +18850235604 +13619361776 +13941658783 +18834018199 +18549180747 +15159283106 +18504883247 +18548734400 +15147083371 +15184190949 +15826428125 +18514426841 +18551182586 +13914919517 +13651164903 +18814054864 +18864592190 +15144975603 +15159385975 +13615261032 +15184644753 +13639972411 +15855451183 +18897230144 +15109870681 +13950379221 +15102920961 +18804282435 +13681357959 +15112980260 +18851191614 +15119158893 +13954115945 +15175979750 +15167451266 +13955921600 +13910028529 +18844572832 +18820441261 +18568966823 +18599964503 +18595911781 +15159773298 +13620929509 +15802539462 +13601197674 +13643009583 +18850180464 +13693207501 +13934804641 +13908819474 +13665924947 +15175189671 +13911777815 +15854908055 +18822302987 +13970046653 +18869518484 +13988682795 +18531604240 +13950462864 +18549068796 +15806983640 +13989869587 +13934814761 +13640921318 +13992087620 +13680695717 +18838611823 +18520526999 +13698690596 +15861365787 +18530520793 +18599061545 +15881172559 +15173276039 +15884653311 +18571202662 +13612072782 +15181237409 +15841002791 +13923243968 +13634780192 +13904672170 +13636099500 +18532135909 +18590099763 +13972052989 +13988723332 +15124151571 +13681868917 +13620935624 +18881605841 +13653294632 +13923803940 +18535957227 +18589705427 +18567287453 +13931524290 +13988751862 +15186474414 +15172539469 +18850924244 +13668414990 +15135866444 +13635506076 +18506677319 +18827964455 +18575093168 +13917858711 +15805903539 +18802554087 +18534388740 +13932459618 +18532959849 +15855172490 +13986002227 +18583903746 +18895267913 +15879829032 +15112394358 +13659527704 +15122141576 +18881910435 +15852817892 +15152099626 +18580112073 +15884363494 +13670828698 +13693560836 +15864997770 +15852657708 +15803458863 +18583544381 +18504820092 +13662866717 +13654950718 +13694553757 +18537053012 +18862137782 +15190905750 +15143622354 +18579405283 +13691913567 +13608500828 +13931388662 +18869561072 +18837309194 +18865117276 +18800908850 +15122359252 +15861612240 +15110668098 +18839214068 +15188970716 +15845600835 +15861795876 +13679727947 +15194128144 +15864764577 +18820359924 +13961660265 +13665888897 +15182079734 +15127597296 +18544759194 +18509358925 +13948548954 +15101619709 +13988502011 +13676793106 +15122687007 +13694204670 +15866417178 +13916647658 +15827633874 +15153380188 +13645828169 +15877307587 +13917451124 +18837950052 +13691806896 +15893246969 +15135882655 +13910626696 +18834875020 +18528634059 +15160795368 +13602957487 +18803282380 +18570127583 +18826698563 +18514078242 +13924116436 +15138839292 +18853177444 +18846394085 +15853573768 +15187419275 +15840372276 +13672618910 +13693481429 +15844740887 +15874704004 +18853884144 +18895686096 +18547239714 +18563742269 +13686991488 +18506269900 +18857055848 +18531931027 +15197314719 +13953737484 +15111569006 +15870232219 +15137866283 +18821909219 +15891111345 +13905110480 +15826077115 +18876654938 +15178541819 +18837695972 +13947622519 +18546227014 +15160827145 +13643111867 +15864826174 +18899617822 +18559284555 +15811870301 +18843687172 +13950221591 +15874969978 +13693591088 +13663171937 +18897478730 +18529403502 +13675218221 +15125480017 +15882135825 +15117738023 +13686773085 +15151344759 +13691599003 +13685174315 +13977863786 +13631562105 +13965974328 +15821118996 +18895604320 +15122320364 +13682697970 +15163463106 +13612447599 +15843963900 +18856186909 +18874149514 +18879299016 +18898885421 +18864393481 +18577637099 +15138167480 +15866469848 +18853236912 +18847904785 +13959380520 +13975581792 +13971573199 +15168846069 +15124393096 +13938907883 +15193606469 +15816264624 +18554651726 +15154986501 +15862774255 +13683337290 +15873074901 +15829807489 +13694966494 +15118141100 +13985239678 +18573397710 +13644973933 +18518336845 +18558775127 +15131290472 +15116163834 +18887283759 +18529130924 +13977192226 +18860740238 +15194883531 +13647343426 +13912418979 +15134051224 +13659711498 +18511060921 +18849643462 +13915363713 +13677502827 +15820843669 +15169573612 +15842331495 +18572447872 +15110174608 +13684531911 +18577196911 +15126535285 +15885572799 +13938062561 +15108127797 +15193528555 +15894532042 +13675656470 +15123566362 +18536015813 +13974450067 +18505746768 +18575022005 +13987618259 +13607965503 +15183712700 +13912763566 +15888723169 +15126734886 +15838715125 +18801132921 +18820401787 +18586327241 +18872905810 +18559128993 +13976341221 +18882769729 +15139476842 +18559374738 +13904551149 +15142794976 +15130784072 +13968959745 +13930934493 +18565983572 +18591221693 +18587464260 +15822671913 +13978064798 +18594496930 +15807230126 +15107459470 +15842745057 +18524845615 +13973492627 +13605033371 +18866947108 +18858126655 +15802569992 +18509271073 +18586368655 +18567712673 +13632236085 +13664941841 +18537807100 +15131450222 +15103448379 +15150672910 +13610060377 +18853419750 +13685460841 +15138889048 +18801264101 +13676858739 +13924223417 +13972474513 +13977118817 +13628083530 +13627281961 +15180738386 +13676490200 +15862788549 +15127823370 +18531732435 +13928897616 +13905402101 +13617912232 +15829478309 +13676785743 +18830027279 +13610916849 +18592062717 +13918259605 +18524752201 +18571849773 +15867179199 +18802488166 +18835714515 +18502359319 +18520874211 +13900044798 +13915090845 +13613042620 +15100707310 +18857855055 +15101656761 +18853069643 +15131972890 +18832693772 +13953584484 +13673107744 +13971171506 +13667572799 +18856503645 +18848940727 +18854575056 +13636138836 +18597419037 +15124881193 +18885423413 +13616309517 +15813544077 +13619957691 +18848634672 +13689798020 +13604857991 +15804129575 +18596350325 +18546227499 +15190757159 +13917820892 +15143886216 +15889435236 +18853881896 +13965747570 +13668927780 +13661359133 +15883491323 +15101658783 +15810752276 +15839014839 +15115301507 +18589659433 +15199333651 +15840322121 +18897102724 +18515455808 +13981363466 +15172646901 +13603086820 +13615209890 +13985804690 +15148805949 +15824378156 +13904689279 +13648996091 +18562503445 +15181197278 +15159861061 +15104284049 +13601531186 +15157261731 +18578911810 +13648617740 +13913600178 +18823900710 +15103812714 +15850456109 +15853590336 +18571740826 +18883499480 +15123602158 +15161254971 +18847839068 +13677518881 +15831598986 +15853217811 +18859978313 +18895265193 +15870677813 +18514792144 +13945989033 +18543871320 +13928860966 +18526953895 +18534318445 +15864163673 +18555935966 +15135808099 +18809405363 +18867195902 +13679532117 +18892808077 +18564958170 +13659704685 +13956160980 +18813684468 +13670819607 +13631884220 +13962261035 +13691963868 +18554812052 +18869892930 +18593109902 +18591795018 +18862689637 +13662097248 +15174183043 +13954425983 +15184835686 +18544578129 +15837705786 +15871039464 +15197182034 +15849410057 +18801826321 +13683715114 +18834145568 +15197637899 +13935167575 +15877898880 +15833284760 +13689465802 +18880636928 +15104861325 +13645331344 +13986122146 +15121798224 +15118427517 +13698512963 +15814844327 +18502889907 +13951958591 +13923620933 +18899524361 +15162809737 +13682463306 +15872383718 +15110128547 +15140428744 +13917674788 +15120206113 +15154990394 +15140580534 +13921691360 +18831162234 +15175317956 +13979855345 +13993028785 +18553878942 +13624076059 +15136232605 +13665311446 +13602652214 +13681584193 +18897268516 +13600200559 +18597058745 +18875555236 +18867160284 +18845870048 +18539090478 +18874174293 +15102053564 +18866945292 +15813903275 +15158096395 +15888219386 +13932325556 +18860929233 +18824506009 +15121797853 +15103740145 +18559586672 +18846663463 +15152281574 +15899063567 +13601489019 +18529886485 +18579586173 +13997409027 +13949035361 +18519872701 +15817849840 +15887158439 +18867038264 +13627022353 +15819336704 +15855457163 +18525546924 +15801235777 +13623406159 +15139554074 +18572199114 +18533318356 +18830032977 +15192893681 +13910380455 +13604724687 +18842572216 +13933779371 +15891406162 +15831752169 +13642999732 +13603721518 +15843266332 +15889280600 +18584701532 +18583774594 +18562520110 +18577191203 +18893492848 +13968111307 +13608042893 +15120310363 +18846500948 +15804382613 +13993266502 +15150338422 +13684291457 +15166008701 +15110174112 +18592169549 +13639409460 +15158029288 +18896875257 +15804890159 +18820709418 +18548873954 +18530702341 +15166481642 +15159899936 +18586050379 +15110629305 +13612842653 +18864833601 +13616561335 +15840401637 +13987165232 +18582450008 +15868758927 +18515942421 +15878912625 +13926009147 +13969431351 +15114277870 +13661252967 +18502524218 +13606045824 +15176233090 +15869883246 +13695650606 +15825191141 +15194659555 +13930051356 +15146282111 +13948107625 +18865845256 +15845251005 +15147707529 +18835534872 +15825215421 +15861842263 +18882809906 +15813233594 +15867082353 +15184367701 +13915034331 +15871327505 +18592390450 +18886764944 +15188985338 +13603936081 +15152068163 +18589534588 +18576277073 +18829461870 +13686728381 +15894290939 +18513498819 +18581638203 +13608522256 +13939694831 +18829478526 +13647281752 +18847045040 +15168409516 +18524314266 +13994238116 +13691031414 +15141753890 +15146312433 +18803341396 +18527060743 +13948451816 +13694830099 +13964357866 +13675048434 +18893710517 +13698415455 +15136574593 +13932961936 +15803348477 +18886088126 +18521339439 +15142373636 +15825766098 +18891148371 +13912698754 +13632847363 +15150932008 +13919593932 +18856384577 +13992952611 +13677100194 +18872397034 +18898476698 +13984274105 +15172125592 +15162704636 +13613686254 +15188393510 +18558715643 +15165665888 +13987073725 +15818765233 +15861423031 +15174310966 +13927226652 +15190415511 +18813427750 +13651146153 +18876413637 +13613710159 +15168366090 +18552696655 +15151439727 +18813352717 +15820119561 +18803610050 +15194346128 +18560898830 +15145298199 +13697873789 +15834616130 +13911599235 +15882002425 +15119585925 +13928373903 +15101622470 +18832274264 +13970938662 +13668201667 +18854100703 +15882609676 +18835652655 +15859232698 +15861738614 +18571445076 +13626296797 +15154414215 +15148921626 +18568082831 +15878930354 +18892711710 +15164680393 +15116530149 +18861687828 +18536640006 +18591339522 +18569659268 +13637434801 +18529409587 +15810709625 +13912455906 +13683735921 +18842630577 +18563484431 +15129485471 +13658476890 +18575873444 +18853971513 +18893686040 +18518831009 +15197891632 +18878703557 +18538240211 +18843814464 +15826575837 +18557427205 +18806158019 +13653973465 +18895606044 +18531455895 +13955331873 +13662968093 +13655982360 +15825412819 +18861907859 +15830554773 +18854099824 +15893680670 +15166960325 +13616464359 +13618062722 +18836676098 +15172491357 +18544236947 +18575511626 +18511349666 +15873087953 +18540480054 +15147341828 +18579655710 +13926195593 +18861803402 +15869196639 +15194216773 +18819900484 +15893115125 +18551398572 +18899571193 +13933747208 +15115802574 +13693213365 +15128555290 +15191079228 +15814123726 +18594978999 +18898748942 +13698876119 +15105253207 +15817222600 +13698810564 +18592856520 +18858654701 +13629720782 +13969920624 +13675394599 +18846583259 +18578070061 +15844827257 +15841887657 +13629667981 +18887607098 +15893405238 +13692042742 +18854503560 +13960496369 +18825282611 +15117382705 +15170434931 +13920049391 +13670413567 +13620730242 +13902002896 +13957477993 +15884835638 +18503371835 +13609914963 +13967281210 +13622079187 +13949409749 +13932487031 +18512264288 +13683708034 +13909810345 +13998225663 +18563616788 +13927610906 +15837558646 +15837001231 +13973088054 +18563433998 +18801524582 +13621786166 +18592029193 +18514299262 +18801381424 +18833244202 +13979953821 +18579568624 +13932023838 +18895252687 +15856670875 +18833276864 +15877006560 +13651229510 +15123930407 +15873917341 +18861077363 +13978623189 +13967012471 +13931233357 +15166385402 +15849881031 +18882812258 +18536809711 +15889506555 +18838530582 +18540878138 +18815222001 +13976838860 +15166665322 +18567912809 +15173152336 +18850120404 +18559021515 +15159859292 +15801809012 +15864643106 +18533052373 +13675061886 +13956817803 +18882973085 +15133614195 +18823409390 +15871041898 +18820061601 +15857256713 +15820064640 +13912638117 +15110652974 +18873284836 +13697167126 +13990432502 +18595422695 +18813042113 +18882732341 +13939817436 +15183796598 +13696607497 +13641582599 +18545793831 +13918727616 +15123411992 +18829671810 +18522829545 +13971971204 +13923355908 +15178507847 +13906821671 +18852404473 +15133168259 +13671545912 +18577806174 +15128164565 +13929421268 +18893232111 +15128585697 +18546232763 +13943993328 +18825359205 +15153090151 +15822174787 +13696222888 +18887191276 +18822039320 +18827086487 +18801002352 +13937097599 +18823812879 +13937536003 +18880509300 +15101498117 +18860740462 +15867567993 +13954392360 +15186397701 +18569044739 +13969040566 +15814399545 +13977749592 +13601799134 +15180329610 +15876240859 +13917896031 +13955889978 +13922619238 +15130342899 +18527343421 +13601140322 +18528601876 +15874128140 +18828420415 +18521944130 +13945675168 +18897648877 +18583450132 +15167177549 +15814535952 +18816684060 +15196201822 +15132329416 +15854777720 +18844734266 +18515614553 +15848415564 +15169028120 +18594612457 +13956521938 +15167217429 +18836840676 +18501688408 +13950900072 +18559423335 +18894159032 +13621703476 +18537085749 +18524134289 +15187373537 +13978900322 +18545735150 +15851140260 +15883641611 +18553253025 +13953398739 +18866019269 +15120048809 +13608235299 +13641718738 +15863675799 +15134755659 +15846886839 +18803006330 +15860924477 +18814619341 +15878171129 +15828011659 +18575118266 +13660676954 +18543560323 +13638362751 +13903305263 +18894901482 +18876414284 +15142913382 +13904237934 +15138196273 +13903346579 +13935730416 +18529270829 +15132242360 +18809864430 +13656808260 +13986843722 +13959632599 +13951618047 +13689265161 +13950139329 +15149163136 +18525073026 +15122916126 +13972854614 +13955494371 +15837734881 +15870092043 +15157360169 +18516421371 +18533465081 +18896584612 +13653299325 +18819956870 +15128012559 +15851266863 +18505458349 +15170595045 +15805678347 +18802504212 +13987698611 +18878876848 +18851133105 +15832545348 +15122663599 +18867363798 +18581365275 +18876237601 +15152739930 +15113677430 +13646176298 +13647071662 +18884914098 +18821039862 +15828248762 +18862701968 +13650463723 +18516174823 +15810438898 +15174114273 +15869948596 +13666119208 +18830763581 +13619219405 +13627246389 +15168519541 +15877821320 +13692126600 +18581200579 +18843585546 +15854408018 +15144741800 +18580552904 +13919558709 +13946281710 +13908001304 +15143190530 +13607602811 +18877021825 +13966744493 +13905687196 +18575068999 +15169340491 +18555809054 +15846802167 +13902644545 +15817521150 +13986409238 +13637365726 +15852706421 +18800584167 +13999233243 +18555513869 +15177371942 +13665728423 +15143848660 +13616585563 +15847352802 +15854413410 +18856046562 +15115839039 +18883533485 +13622647166 +15889833980 +15109103879 +13678197374 +18547442303 +15150701824 +15878341273 +15898904053 +13681874743 +15812211646 +18840078825 +15873958547 +18847914402 +18824709709 +13946369472 +18852291194 +13990782634 +15129632090 +15195422917 +15123497213 +13984989670 +15850667826 +18870852171 +15809716109 +13633172623 +18836438152 +15843337415 +13955925333 +13923949473 +13909956195 +18586455534 +15118020751 +18589050466 +13659482478 +15162053980 +18885296796 +15105563077 +18500415663 +15160663743 +15809452826 +15802659294 +13913065929 +15169991296 +15195924897 +13967744696 +18508654320 +18530533900 +18527606020 +13693087984 +18860950559 +13943014911 +13923482534 +15873296008 +15196395216 +13992588320 +15859547920 +18882210151 +13682335095 +18866587315 +18808734051 +15850029259 +13666472104 +15189173056 +18811870409 +15855878797 +15131062473 +13697680110 +13945700842 +13624537296 +18875464349 +15891984837 +13938410849 +18525107508 +18570640401 +15883866466 +13611205923 +18856145250 +15819297031 +15156062373 +15124292828 +15848421340 +15151826052 +18590603123 +15886519963 +18827186879 +15854926023 +13618863054 +15179521682 +13920111822 +18878778531 +18874599273 +15196001273 +13690676843 +13694914736 +13941310669 +15132005077 +13674937526 +15171757012 +18869210895 +13637807765 +13650419466 +13993166298 +15842381183 +13964177378 +13976993131 +18861702172 +15191357848 +18557756817 +18502452965 +13991280327 +18853799572 +13915618781 +15871910837 +18508729507 +13982099325 +15121463674 +18506741042 +15176400017 +15195993853 +18835884683 +15818147620 +18510745306 +18861147222 +13679533569 +15826925231 +13601571287 +18564383373 +18570949686 +15143029996 +15885273779 +13911004736 +13643005527 +13935063024 +13976186764 +13951747720 +18844733777 +15138225600 +18591210624 +18880638232 +18800874816 +15880146543 +13624590478 +15875894825 +15899216117 +15833115547 +13604713259 +18560730555 +13634380920 +18500986897 +15150303565 +18533349159 +18521021232 +15878553944 +15121532137 +13938537561 +18840699603 +13934504028 +15198774909 +13663092673 +13932387387 +15182453271 +18863217542 +13913170233 +18878214384 +15189613551 +18890093682 +15821074784 +13681260515 +18809945597 +18899239123 +15858201059 +15113530975 +15802755683 +18877713197 +18570026743 +13942091825 +18563908090 +15878958740 +13638051470 +18813409956 +18876383122 +18880620258 +18528270350 +18827143330 +18814048079 +13699082131 +15107043210 +15150465843 +15136856612 +18854370939 +13694396229 +13616654943 +15801551686 +13660020233 +15821124126 +15157795211 +15880833973 +18815114822 +13693412572 +15186471234 +13953127412 +15157046023 +15119003154 +15102768839 +15874280912 +13641454465 +18815434448 +13937407393 +15142235327 +15112282589 +18578901836 +13951117514 +18588136876 +13936562404 +15898407719 +18876917131 +18599936845 +15858555770 +13693434144 +13961787741 +18867118363 +15846227905 +13611402743 +18523698313 +15121507824 +18501133691 +18827128801 +15160698344 +13946508324 +18561332761 +13954690369 +15108138800 +18805446891 +13657599510 +13962441972 +18561968002 +13977671763 +18590701401 +15834787485 +15837021834 +18839654593 +18861247041 +18848657584 +18865032829 +15877628551 +13686907369 +13970464605 +13636199677 +13629815249 +18881405262 +13982328037 +18894864729 +13669572757 +13987360851 +15110544455 +15101871071 +15113001452 +15816730239 +13938553687 +15886815493 +13661280117 +13993365925 +13616485967 +18814612610 +18596971503 +13990984809 +18552687948 +13665272721 +15115612431 +18846394720 +13607919281 +13925339573 +13987034297 +18589464793 +18864907563 +13633211000 +18808238658 +13663684393 +18868687147 +13611822625 +13630944866 +18554826271 +18861772829 +15179707093 +15817204433 +13652955335 +18805489630 +18819655686 +13657970158 +13989003779 +13645465361 +15823239815 +15150568385 +18824540746 +18878153043 +15839075701 +13643689152 +13634324090 +15123336714 +13968860602 +18872626089 +13698575687 +18830386948 +15169333138 +15883283072 +15886150714 +18839531535 +15181423589 +13674513197 +18896595064 +18864198229 +18545422299 +18541375840 +13978521920 +15193769373 +13911920787 +15888125340 +18563595475 +13682473401 +15899667943 +15109936285 +18884011977 +18586322825 +15819972458 +15865563004 +15897233487 +15161627198 +15842825209 +18563610446 +18592636886 +13655243828 +18889567453 +18568561194 +13677226902 +13681856504 +15876818366 +18860605860 +18880222270 +18548619599 +13660246517 +13977013136 +13637663033 +15811100157 +13943070509 +13612290022 +15812320685 +13627322797 +15105149002 +18581677278 +15128095746 +13617931248 +13904404566 +15141635578 +18835910541 +13953977477 +18548182935 +13693444162 +15162517249 +13981395018 +15846551224 +13969641973 +15151705693 +15898590289 +13676674474 +18843138892 +13997461314 +13904551790 +18554160678 +13940914280 +18831102459 +15147416936 +18807192645 +15196487749 +15198905895 +13953708667 +18881580059 +13922840478 +13954400241 +15169949212 +13694413865 +18893424635 +15154749585 +18569006602 +13647655346 +15802435127 +13913604262 +15196513902 +13622972387 +13679971139 +18586301256 +18576672825 +18509462302 +13637554039 +13998965341 +15101140546 +18593474710 +15810615623 +18567771418 +15883973715 +15890623283 +18541530864 +15177346510 +13624837082 +15884245466 +18854810976 +13965296509 +13630633301 +15136234742 +13629916117 +18592005350 +18545234939 +13991519694 +18884507985 +18594266212 +13660420974 +18515274511 +18566157778 +15160391443 +13975315491 +13979878635 +15831520010 +18838408129 +18502274440 +18593251948 +15103959565 +18871309520 +15851502470 +18505310101 +18850266134 +18586448781 +15831339972 +13986733659 +18884727626 +13928187605 +18516700873 +18500900827 +15127205756 +18867188808 +15809313113 +15127136719 +13941500463 +15800449284 +13946024164 +13930651570 +13685083530 +13670691449 +13663598200 +13644827194 +13974986617 +18890901865 +13905694568 +18534975021 +13952126450 +15113075092 +13615881434 +15192637312 +15100657235 +15133310075 +13951472204 +18884985009 +18571470992 +15826251491 +13646160954 +15197912772 +18833756619 +15806088073 +15112878761 +13902864055 +13958012774 +18503731197 +13678530354 +13612038265 +13982587740 +13987650309 +18527371303 +15155306581 +15826537161 +13977366492 +15178579358 +15136979179 +15104416780 +15117283220 +13986522744 +18578242277 +15818768595 +18512999748 +15888295279 +15186340826 +18536716370 +15854312489 +15103201760 +15156135315 +13657753472 +18522213105 +15182761559 +18593423052 +18855996006 +18862681091 +18500953355 +15861221798 +15820031345 +18589908098 +15836818253 +18500244701 +15809051705 +18891938658 +15159613498 +18826301295 +13670531002 +13986657251 +15101474991 +13938635438 +15105350879 +18835799004 +18518784084 +18562397302 +18573595169 +15879292789 +15177597259 +18553437592 +13950793843 +13677337547 +15114172597 +15890655540 +15130505357 +13954040168 +15199671784 +15815149071 +13621039189 +13924977291 +13659664239 +18842129651 +18586367104 +15863058918 +13677782927 +15843586690 +15124826124 +13668338021 +15859977009 +18855888491 +13927420645 +13964428071 +13974867422 +15852366667 +15871727198 +15115271386 +13912407616 +15886070913 +13656618488 +18529360178 +13947237109 +13617676218 +15132001083 +18864336357 +13954134972 +18523200115 +13952119456 +15814623614 +13946726505 +18824399505 +18524792861 +13634744623 +18550939046 +15179450870 +13933366142 +13664524781 +13969933963 +13913384280 +13977839520 +13940837344 +15865082028 +18590888193 +13697956898 +15118251034 +15167453507 +13612272147 +18828345750 +15107736275 +15109654580 +13647609268 +15850623948 +15119098999 +18517652015 +15866344668 +15119858510 +18547091512 +18594512074 +18879958247 +13972968997 +18816283544 +13908960814 +18524571672 +18864788845 +13605578258 +18561387100 +15164124037 +15838744918 +13682547108 +15189063915 +15187949009 +15119849021 +13621175268 +18503238991 +15185361585 +13993022490 +15856159850 +15113289387 +13940926936 +15188929566 +13950370435 +13917863866 +13629135930 +15892059789 +15126006151 +15190986298 +15870791773 +18826339270 +18526964188 +18898754313 +18503592231 +18585748209 +18849619579 +18897528040 +18810503290 +13968378385 +15141592838 +18887763477 +18855895339 +13613708078 +13627020020 +18872688657 +13979388085 +15108463300 +18529280264 +18803899790 +15841128187 +15157846233 +13636089738 +13641039692 +15884727745 +13635176438 +13600972571 +15816669257 +13918165962 +18526558290 +15801075710 +13651481608 +18893718064 +13658483832 +15190640504 +13918295698 +15851477471 +15898895160 +13983315012 +18519571653 +13600972489 +15823130492 +13922613140 +13625451989 +18583717642 +13633568070 +15816340037 +18537587908 +15141432255 +15148222954 +13936258489 +15153020034 +13924826318 +13670184540 +13624076664 +13992251853 +18865542198 +15882390610 +18593929807 +18854106086 +18545824937 +15177761433 +18562079813 +15105084016 +18587701367 +15843948501 +13989979628 +15869524808 +13657385133 +18880600901 +18833867928 +15127869993 +18886025084 +18530716758 +13671721224 +15113360318 +18590784333 +13994649841 +18530323702 +18858557572 +13945158123 +18545681382 +18563982509 +18503270635 +18534186809 +13614612112 +18805726003 +18879415486 +13610532311 +18826395778 +15876545686 +18545402701 +18558120144 +18562303404 +15134786332 +15125318731 +18509124289 +13655969105 +15852168208 +15191097155 +18501700522 +13607095220 +18581052987 +15826549838 +15814108159 +13935190545 +18523366456 +13925630122 +15191353557 +18554912921 +15844238453 +13611865720 +15134373344 +15186516669 +15111722113 +18501169171 +13905136449 +15886660614 +15188734483 +13916913251 +13647762614 +15138909426 +15117820622 +18836818021 +15867821439 +15825251317 +18806618633 +15130158738 +18541750351 +18854272269 +15840441683 +18597825877 +15107508447 +13673211196 +15883838613 +18588135311 +18884442079 +15856038730 +18818395435 +18579384805 +18505615710 +18584765202 +15806236763 +13967439998 +15866673845 +15827035050 +15109697934 +15888151290 +18514055872 +13963353536 +15186700896 +18533867993 +13929607622 +15154233137 +13951107837 +13963239364 +15854971571 +18898205126 +18897831070 +13638635655 +18526208724 +13960044966 +13634421684 +18538300371 +13965896521 +13608016831 +15175378063 +15184735042 +15165540830 +15834413731 +15100665016 +13693455966 +15195476306 +13606921805 +18877285466 +13901392860 +18821318927 +13908754555 +18850115662 +15899219922 +18538913478 +15127872536 +13683998713 +13913648361 +13628278450 +15891188647 +13955329697 +15842905352 +13619334191 +15184614516 +13679981469 +18568087282 +18586565564 +13937464727 +13667679888 +18556545399 +15817357845 +18837693255 +18574309708 +13991295656 +15814389540 +18547006938 +13623194291 +15834436779 +18574625668 +15138168331 +18542418074 +15858089286 +15803163152 +13945329186 +15899989950 +15895766631 +15108072073 +15840337327 +15169851558 +13695430321 +13606527630 +18820427882 +15876087928 +15152793366 +13617179264 +18830631553 +13918614448 +15164140657 +18569272088 +15179973487 +15168035471 +13964856462 +15101081516 +18890335427 +15196378216 +13670764267 +15898994146 +15158642784 +18899774032 +13936870566 +18560660460 +18844495889 +15873640865 +13920052178 +13671419480 +15824684249 +18872078804 +13622878660 +18567621038 +13617184548 +18866742479 +15106898557 +18555279895 +13624802573 +18506754321 +13949157422 +15169349588 +15809991437 +15145904726 +18855909868 +13668733181 +15124787756 +18572584622 +15848964534 +13662789332 +18893827997 +18841493151 +15895663965 +15890554882 +15815666357 +13974480842 +18825867822 +15171114729 +18547433054 +15828774982 +18551187678 +18870097887 +18518223810 +13943014018 +13642297617 +15171173444 +15875748282 +15126153297 +15136856174 +15132766165 +13909773684 +15159266265 +15107732995 +18865141531 +18583822639 +18546884292 +18859744981 +13995637015 +13628225799 +13687522791 +18523979093 +13931894431 +18597380062 +13978362276 +15874158597 +15870347025 +18555020781 +13622346533 +15869281993 +13647166682 +18844498325 +15888922368 +18522576250 +13632872507 +18542788686 +15181917394 +15883088452 +15122207068 +18575968226 +13912845495 +13940164416 +18580828787 +13662629767 +15190099109 +15127443568 +13940643211 +13692734331 +18821373284 +18549311461 +18828255571 +18534318849 +18856226508 +15134598215 +15120299085 +13654977217 +13627524534 +18583306251 +15127378831 +15197525486 +15172531451 +15848826333 +13945127683 +13680753595 +13976015231 +15136135871 +15154756119 +15172477456 +18823487296 +15875758618 +13685548011 +13659218192 +18853535999 +13946040562 +13633248391 +18544319153 +18551985769 +18546904437 +15121954931 +15898678462 +18843206648 +15846611181 +13979444294 +13601138399 +13924373799 +13618530919 +13661918366 +18808373281 +18873590842 +13973587086 +13638669140 +15873175446 +13959091457 +15809203378 +15829228151 +13658166512 +13979234907 +15119455584 +15144118989 +13694763984 +13905951840 +15863524151 +18539469851 +18800960419 +15885520798 +15173708860 +18529834556 +18513252420 +13974645509 +15892748664 +18800123161 +18501073368 +18523702609 +13672560955 +15846162803 +13995780935 +13984963408 +15124232961 +13903348289 +13960504383 +15120672093 +13910654495 +13989156191 +15132597122 +18563650543 +18801213975 +15853295118 +18859980396 +18830515352 +15895263152 +18867591126 +15862432747 +15825638045 +15859276561 +15877198573 +18522815377 +18504590596 +15800708241 +18524579225 +13951334623 +13628526243 +18552329125 +15105956614 +15890723006 +18833387074 +15173319009 +13901715154 +15835018020 +13620050814 +18818433724 +13663754270 +15100943022 +15103203864 +15815361675 +18839099217 +15175486316 +18805400860 +18599075216 +15835937255 +13980168125 +13924840479 +13915066293 +13972574773 +18893151055 +15146565700 +13637622035 +13663390687 +13911198578 +15137427921 +13656740308 +15195638271 +18882222074 +13964850557 +18519043741 +13994006792 +15196641804 +18852276283 +15121778912 +13967570667 +15885090924 +13924548311 +15111630090 +15111285071 +18855976420 +13927441441 +15823717886 +18586363598 +13663954342 +13689791533 +13982815855 +13682206831 +13664421143 +15827000501 +15100765090 +13665696317 +15154770426 +18567680793 +18804946968 +18584019860 +15807794870 +15128755028 +13907198582 +18832585323 +15817712460 +15892468087 +18817416845 +15160968976 +13990945228 +13689110960 +15161938227 +18540570570 +13940342754 +15173164657 +15857496673 +18573921070 +15185991576 +18554076339 +15898883099 +13611579034 +15149550376 +15186447395 +18520961286 +13919099758 +13616297083 +15883861281 +15157019801 +13644765984 +13654811063 +13939455463 +13994095093 +18529854406 +13907636492 +15117979754 +18541877230 +13662907773 +15803516731 +15811123036 +15898281684 +15854084015 +18830439385 +15121964566 +13644046710 +18886332051 +18535573562 +15171160882 +18845819701 +13641344653 +13676784976 +15865947201 +15130496954 +18803681074 +18888990497 +15163449892 +18838068369 +15181092622 +15135752719 +15124864048 +18808749624 +18559069868 +15119572818 +15885395246 +15182662551 +15112766885 +18503924044 +13655082942 +15119941673 +15133068082 +13682286106 +15810080703 +13939073310 +15813277289 +13969937209 +15170052942 +13904078165 +18581341137 +13929513552 +15167982113 +15123976721 +18873347105 +13934162256 +13948192700 +13948876251 +13685792768 +18832647568 +13609106205 +13992045828 +18548383453 +13956255093 +18591034564 +15110293426 +18519289120 +18854484156 +18809051092 +15133378734 +15157106932 +18565795753 +13665771607 +18840241920 +13695542034 +13663845268 +18549222911 +15872311591 +15122603435 +18844772284 +18825975580 +13649209237 +15118755333 +13948228959 +13670888895 +13907751387 +13969154067 +15858815068 +13604375807 +18803052755 +15867756520 +18526888303 +18892021101 +18852953958 +18580734223 +18599864560 +18549449549 +15806587491 +13637034336 +13903394518 +13651112768 +18829503273 +13963660914 +13925559872 +13631170289 +18587729361 +15129654242 +18549621952 +15128610517 +18583299511 +18883201459 +13667891288 +18818201636 +18828820816 +18846869753 +15828484177 +18578491850 +13960366821 +13619363340 +18885385523 +18828383280 +15807272142 +18807586779 +13685464282 +18894775199 +15893519417 +15847172375 +15194525195 +13603226020 +15802542593 +15843092991 +15175429493 +15146895444 +15829722411 +13697242270 +18839967913 +13624155042 +13605927504 +13647634197 +15178064842 +15807373561 +15149251235 +18593199874 +15859642488 +18840746828 +13624381000 +15102016148 +18841012653 +18808154725 +18515362022 +13956589814 +13625824617 +15118452477 +18805266827 +18894659357 +13694667912 +15849603488 +13680213377 +18593015767 +15838220952 +13624703788 +13902470536 +15145129093 +13639378884 +13690905297 +15874239341 +15137365473 +15840624884 +13653441579 +15841484248 +15151094794 +13624744375 +18873794851 +18805683988 +13932094247 +18554567806 +13995693493 +18588340227 +18847681784 +18535329702 +15831621423 +13932163309 +15196831049 +18522729793 +15110499262 +18842471844 +18568965438 +15194100804 +13953030280 +18510762120 +18524875290 +18865241070 +13917062756 +15847619288 +18830560136 +15149103206 +13655263734 +15816797672 +18529751776 +15800290503 +18885175936 +13601250482 +13620904703 +15888032839 +15871860449 +13620136032 +13688540060 +13664588014 +15891087719 +15151255375 +15128878228 +13635593399 +18844752447 +18536879243 +15104283284 +18562352115 +15863843392 +15139803458 +13901414196 +15158636495 +15895019269 +18555493918 +15835254003 +18504706376 +18887385454 +13640387154 +18537303605 +18560392136 +18808607893 +18504779820 +15127114076 +18591771319 +18571690680 +13636689564 +13610216833 +15882655208 +13697201631 +13998576283 +13682365267 +13913924517 +15150427469 +18882665813 +15896607586 +15169814708 +15119067760 +15134150002 +15802474496 +18806748780 +15800733479 +13948856875 +13990615016 +13947551271 +15886145390 +15151586123 +18816739393 +15896705447 +15153558237 +13973304887 +15132073898 +13997397240 +13974721014 +13961315453 +13680755898 +15899939726 +18845887638 +18880629305 +13981005851 +13604720477 +13975006433 +15140437180 +13973628294 +15142048280 +13915353049 +15898792542 +13658058684 +15102229022 +13604656944 +13644507927 +13671171327 +15142027894 +13621322497 +15166923881 +18810256355 +15832032909 +18886611363 +18816317475 +15828768763 +13909610227 +18854639435 +15874834505 +15864933363 +15898141471 +13624657250 +15161010560 +13656338394 +15826667176 +18553511272 +13983044403 +13629177644 +18822045000 +13600154530 +13677801465 +15804611982 +15165486607 +13905858039 +18809088652 +15190269812 +13683584950 +13936143717 +15856264128 +18568234118 +15845927312 +15834890604 +15198713210 +18840691269 +18886012462 +15852571130 +13970921511 +13957167880 +18892043375 +13964607304 +18806733956 +13941920960 +15198413191 +13662612765 +18819117160 +15882306677 +18834630959 +13647117051 +18511853075 +15153163718 +18834744717 +13951588057 +15869391711 +13952083384 +18840547428 +18844899232 +15858818004 +15179723616 +15161224219 +15106089146 +18888461313 +18814845861 +15857779409 +18838773238 +13692204295 +15848230229 +18876254593 +15169965654 +13625109572 +13904741426 +15824124687 +15846230127 +15855011058 +18823443309 +15861837370 +15861771957 +13900168111 +13950556453 +15884626945 +18506849759 +13909616919 +13641979857 +18876459821 +15870354215 +13978432106 +15133682706 +13618893934 +13614022522 +15829523266 +18898909363 +18809820635 +15142121895 +15814776698 +15858547084 +18556178088 +18501955299 +18810935362 +15193798869 +13696306704 +15156633948 +13901164480 +18823348474 +15175633962 +13936765155 +13941728558 +15805031953 +13914675527 +18850484142 +18554590049 +15860613537 +15174200929 +13929310476 +15811946701 +15842296421 +18573505880 +18891489657 +15115714360 +15199809403 +18891039283 +13951315293 +13665846873 +18893491523 +13608091255 +18543814271 +13993210425 +18838197146 +18560766473 +15881703646 +13622346328 +15809810358 +13972038717 +15815217550 +15199089419 +13903439338 +13998757168 +13987864476 +15881263551 +13692028017 +18872995679 +15196291278 +15809487862 +15141924747 +13627474518 +15169099783 +15887298899 +13630634198 +18587871436 +13607323474 +13696573118 +15133633845 +13633827196 +13685976109 +13924091999 +13607159679 +18868829983 +13699186128 +13631428898 +15109577429 +18844569443 +18828901953 +13619185804 +18598326608 +15123557171 +15128564715 +13660203859 +15819872557 +15821363326 +18549250738 +18873001604 +18883470612 +15848413989 +15198756263 +15884813354 +15107049948 +13958938780 +13978442418 +18835614929 +15101153432 +15839156880 +13624791706 +13924749749 +13608055169 +15820376535 +13697629036 +15817779031 +13606566234 +15836075058 +15859068187 +13675570926 +15127259537 +13605399240 +13674313868 +15852786847 +18564910027 +15110953072 +13988945121 +15821588822 +13629034616 +15152254583 +13978779792 +13684685864 +15870841765 +18566275457 +13914555641 +13611774362 +15801195233 +18832805314 +13604743083 +15131044152 +15136208807 +15833548987 +13628314275 +13955089364 +13631186723 +18846719585 +18854931286 +13625342571 +15129892714 +18562135005 +15159611739 +18881412615 +13917600555 +15157174739 +18595486624 +13691938639 +13997152558 +13671099658 +15112697733 +13697668239 +13654707384 +13687886434 +13652254292 +13638166986 +18512403856 +13955441004 +15886801897 +13938636053 +18546182543 +18800896082 +15163500340 +18886147696 +18522220953 +13612205478 +15107118056 +15132934127 +13629063525 +13651625211 +18577553741 +13944713163 +15137591479 +18532871290 +18551756840 +13939280139 +15176784369 +18874889639 +15139897217 +13629359443 +15854845076 +15101848196 +18826685517 +13986505022 +18531691217 +15803001479 +18895485432 +13933556623 +18852351569 +18599892182 +13627683143 +13981785899 +15112300611 +18859309198 +13613394185 +15185908027 +13927235562 +13654457929 +18534893672 +18533306119 +15165571186 +15105573736 +13944536861 +13931874977 +13971116527 +15851597052 +18814069315 +13953091092 +15847861018 +15196784837 +18546188953 +18557317901 +18822829405 +18582548980 +18570472149 +13654933447 +13924511300 +18818239234 +18575166927 +13962303849 +18834942738 +18809714771 +18547855332 +15189639058 +18550572193 +18817112215 +13651398273 +18581548957 +15178948105 +15103874962 +13942672968 +18532289022 +18587189789 +15801824585 +15158810539 +18586757225 +15175097154 +18889479134 +15179766222 +13904699909 +13992970467 +13972252407 +18836816279 +13634483473 +13988173533 +13620690389 +18541570864 +13951359931 +15835719008 +13981972399 +15834796974 +13954149278 +15158791792 +15852375652 +18516338231 +13633471449 +18598434822 +15818895625 +18520527123 +13646910227 +13695786890 +15154671470 +18868128901 +13686199928 +15114383962 +15876874325 +15849090938 +15833950321 +18549763130 +15800023702 +13967331496 +15813905668 +13635807566 +18502582735 +18578596572 +15880777091 +15115118866 +13989324557 +18549507865 +13644366747 +13943194451 +13678648494 +15807945664 +15173419409 +18883855014 +18568248782 +15870668699 +18835950259 +18512040086 +18530995020 +13691403114 +15864394270 +15196695280 +18505018838 +15105320244 +15871920643 +18828151476 +18841932270 +18564230362 +13985282892 +15851146220 +18594969526 +18527342390 +13979082336 +18535262015 +18509834081 +18596743161 +18532644816 +18851023266 +13677953498 +13639837306 +15813685411 +13636427619 +15841115140 +15889137277 +18841744824 +13943532576 +15865949894 +13650268160 +15870704210 +13927890773 +15814133147 +18578125608 +13691793436 +18891347276 +13982127737 +15107589924 +13639633764 +13665678181 +18850882313 +13643570964 +13650922959 +13680441441 +13972637858 +18592657110 +18893817626 +15809661540 +13960149722 +15891463323 +15826604875 +13605920096 +18582159063 +18841743809 +18870528562 +18530145195 +13657308586 +18529933557 +13667999213 +15859273052 +18866972387 +18553224704 +18860349200 +18523359956 +13969713552 +15806647290 +18548350494 +13605653288 +18804689927 +18580568473 +18502485065 +13979005265 +18513693352 +13932780744 +15198749376 +13676066281 +13929960758 +13662378425 +15841293523 +15889306097 +15892638004 +15136543265 +18570846862 +18546503436 +13641112252 +15842797252 +18842574114 +13915940224 +18852024689 +18532252453 +18554627328 +15876910424 +18517487305 +15148324309 +13978725843 +13930104172 +15114945048 +15836642749 +18575680858 +15870869292 +15155196757 +18514839106 +15162741094 +13626805262 +13683193334 +15803596099 +15113393164 +15152840483 +15824558437 +15102507222 +13959965930 +18813619997 +13971037711 +18857250127 +18850870992 +15178652356 +15128303914 +18876560812 +15850932221 +15132641321 +15862154042 +13985209490 +13900022755 +15113485759 +13670058513 +13626972861 +13655976767 +13923328499 +13646459252 +13617649975 +18553628024 +13679462048 +18543649960 +15155455674 +18831705971 +18544740000 +18882444318 +18887242520 +15149500032 +15870727365 +18855650557 +15886150561 +13934931043 +18822334564 +18855756379 +15161241526 +15872235578 +13666166277 +18590090797 +13651500963 +18882500189 +18570530852 +15104604071 +15809078392 +15871571998 +18873956605 +18850313847 +15171011902 +18885824908 +15851588911 +13648777042 +18806396002 +15809392938 +13637603525 +18566565218 +13696936820 +18819250705 +15135683266 +18852365593 +18842270908 +13997346789 +18573761594 +15122076834 +18570243851 +13926528278 +18582261866 +13685159118 +13927797880 +18573081062 +15842103093 +13674279452 +18857909768 +18804173749 +13604047562 +13623698561 +18510300307 +18579291450 +18587868204 +13978863714 +15843025981 +18550361750 +18808329130 +13993009341 +18881675755 +15133778663 +15861574273 +15148088509 +13651976171 +18879053839 +13618393232 +15143056623 +15880112573 +13926886057 +15834258355 +13641301134 +18563801902 +18549738358 +15867191769 +13915918588 +15156292663 +18524934646 +15845424896 +18534984140 +13652226057 +15833071457 +18869948555 +18818370466 +13930792973 +18813652116 +15843873714 +15862744520 +13668387229 +13636166751 +15824687893 +15103431691 +15108164673 +15827280116 +13929589932 +18872118035 +13922661910 +18523517837 +15142638037 +15143238084 +15832189264 +13959957825 +18535922280 +13919411578 +13941196679 +13946016135 +15817455968 +15155394804 +18574184542 +13648983502 +18870006853 +15841890440 +18524135155 +15841562302 +15860091219 +13629430203 +18552769116 +18878691736 +18856443586 +13637363463 +15820180782 +13967773201 +18523494245 +15869662479 +18832718420 +18856043523 +15108811691 +15859252492 +18523057737 +13947055689 +18522053397 +13934228785 +15132198857 +13934618223 +18595630296 +18877721133 +18545975271 +13989253332 +13952875334 +13981546651 +15166645302 +13916568546 +18857728850 +13615289162 +18510235269 +13622325686 +15846472960 +13935996172 +18505333346 +15868105294 +13930720832 +18534881688 +13931852177 +13921933378 +18568902320 +18547745386 +13960574676 +15865096559 +15807416109 +18875128369 +15870355423 +13612695950 +13684145666 +13993041471 +15166779050 +18566302365 +18803993377 +18558068932 +13945667314 +15862843083 +15191876818 +18556708143 +15891967009 +18576047807 +15824517010 +13952736056 +18564035840 +15198735712 +18828859115 +18500697858 +15845530390 +13630761543 +15892367680 +15112843803 +18827224226 +13967029849 +13689403357 +18814599575 +13691721176 +18895518189 +13997212076 +13998797957 +13630041702 +15189985115 +15809105625 +18566170390 +15827306091 +15867048291 +18568360731 +18562733155 +18818550073 +18516736839 +15111326221 +13628137000 +18887746258 +18539786739 +15895544668 +15859882043 +18895791540 +18560206831 +18596960054 +13620227539 +15184832339 +13622207681 +15147167655 +13901272688 +13953207127 +15871060287 +18559352066 +15818996075 +13630653966 +13662790345 +13632256948 +18565942293 +18852197519 +13906945648 +15163266005 +13923079953 +18517219829 +18885327992 +18540204805 +18594072991 +18843455349 +15881563417 +18542274040 +15824256456 +15813426156 +18502990567 +13938599943 +13908034676 +13621795287 +18511315541 +15108584680 +13676972288 +18546695300 +18889846375 +15152153116 +18873967655 +13631100405 +13966540227 +13992540140 +18896210844 +18888605014 +18830587569 +13621141163 +13980306046 +18800284758 +15102008882 +15147411453 +15885596156 +15186360113 +15874716069 +18879600008 +18848228042 +13926784731 +13634962500 +15852470922 +15191385991 +13614682057 +15137238411 +15164881357 +13933731858 +15843410518 +13692432470 +13984097033 +15197379024 +15142325534 +13941692207 +15181463589 +18573263784 +18808713327 +13621913361 +15163963995 +13604929942 +15113659824 +18810557886 +13689685259 +15104625960 +13696373232 +13688146213 +18880706818 +18579646651 +15828974730 +15876966793 +18825187996 +15854083119 +13630608081 +13649118171 +15122403301 +18808091429 +15190155490 +15138648338 +18864910605 +18821513830 +18872913468 +18500295076 +18869449379 +15894389604 +18557348793 +15196178397 +15190322064 +15160362279 +13949098315 +13947830278 +15806758084 +13648694738 +15121425158 +13917499932 +15199734583 +15194212313 +13908705977 +15843019944 +18587310683 +13923428052 +18559164255 +13625656885 +18596705095 +13911548200 +18507050510 +15880321353 +13619268076 +18886228749 +13608955437 +13650421027 +13603064137 +18538146689 +18585723886 +13615347600 +15868836102 +15102419233 +13991336410 +15883445559 +15806115437 +15864273617 +13629106060 +13986074503 +13942271680 +15800267121 +13931108305 +13601350112 +18868592927 +18810887029 +18552176741 +18854260526 +18825672247 +15132845074 +15155673573 +18572870755 +15888316497 +13959360173 +18873054217 +18524264173 +18501333054 +15184258078 +15101356516 +13946049383 +15808462158 +15198511764 +15183291120 +18835243644 +18815505008 +18803595511 +15854320844 +18857403827 +15172137831 +15841882536 +13942364674 +15134504662 +18893147547 +15162002774 +15865499701 +13634498771 +15896356602 +13905392571 +13622622055 +15858409269 +18554450076 +18864905383 +13663463251 +13698630400 +18541305846 +18845881673 +13929996785 +15192009227 +18817030518 +18541909271 +15159055409 +15828366072 +13958307434 +15157765197 +18891546661 +18516512901 +18597919527 +15181557949 +13642573953 +15809918730 +13610075897 +13951730287 +18844909002 +13984376420 +18858677865 +18500925754 +18830684928 +13606992008 +18863584524 +13969968258 +15899216495 +13685624791 +13601633764 +15113126555 +13987788167 +18814037672 +13605318454 +18805348291 +18813563685 +18562703947 +13926770399 +18579221759 +15883274987 +15127119799 +18899927819 +15183776074 +13605361926 +18562586155 +15135373556 +18805901513 +18540797733 +18556952722 +13909694755 +18802699610 +13692024984 +13667840398 +18552787788 +15149983463 +13961513207 +15153724955 +13909439965 +15144382266 +15857327799 +18503205164 +13933621315 +18591145535 +18547677555 +13945653928 +15135703830 +18849486013 +18513388899 +15868958297 +18571347309 +13977353846 +15869101349 +18574679417 +18851251814 +18528330445 +13633808009 +15133952340 +13998568737 +18864510665 +13688965455 +15884041959 +15854362537 +13655669812 +18821558332 +15800725169 +18833709770 +18581307273 +15160804707 +15129653055 +15896127067 +18528731787 +13964201087 +18805403628 +15861777568 +18544317818 +15898814880 +18849310081 +15107127702 +15879729976 +15812942452 +15160869581 +18807537126 +15191131709 +13670602646 +13940826984 +18583906284 +18867983452 +18544362889 +15802740637 +15163823915 +15846279936 +18839345603 +13629168449 +13971711701 +18819723678 +13980878708 +15829380024 +18818250892 +15120197352 +13624648736 +18501461685 +13605587090 +13631321290 +13655964481 +13974763953 +18892604859 +18566897798 +15132836304 +13909966617 +18501467502 +18532656084 +18583004028 +15816019737 +15867711414 +15116429337 +18870575856 +18560860311 +13686763051 +18561975530 +13681816769 +15185223556 +13976164616 +13684585941 +18593578749 +18575091707 +18539887594 +18514449870 +13600378758 +18880825348 +13634460213 +18870205225 +18844936972 +13613658156 +15865367464 +15102107287 +13606579373 +13662440173 +13694295787 +18870431825 +13968463353 +13688406825 +15102800644 +18541500998 +15845194457 +18817830238 +13950078890 +13990909963 +18815666749 +18811548914 +15844381471 +13666755497 +15159704532 +15877334851 +13946270067 +18891884237 +15893385680 +18583239085 +13946353244 +13935025025 +15882341773 +15827504286 +15888332491 +13672665499 +15860120101 +18587694629 +18535122218 +18859083926 +15137260713 +13990683526 +15894392219 +13919954899 +18859136204 +15839818072 +18854548535 +13627520925 +15198406085 +13631401425 +18800661744 +13944337204 +18507427094 +13698791644 +15126513374 +18814002521 +13621445392 +15813201789 +13963796023 +13944707195 +15125924989 +13633112097 +13975504729 +15160120909 +15180946326 +15880731852 +18537196327 +13686655947 +13909811669 +13638289120 +18813299222 +13638651105 +13681832928 +18859320371 +18897213747 +18566851040 +13679063662 +13622255767 +15163265954 +18543871689 +18596156152 +18817439072 +18857854557 +13908092295 +13923922598 +15179745155 +18573540329 +13697369449 +13692363082 +18803994221 +15111146573 +15136166588 +13941322668 +13973422977 +15113560989 +13610303803 +15881598758 +13622153028 +18874718877 +13969436442 +15145285633 +13957177407 +13983655899 +13654388509 +18857517317 +18887560220 +15821493426 +15823493540 +15891701089 +15109932595 +18823490948 +15128782290 +13972442510 +15800334717 +15122512489 +15174719808 +13954587336 +15109639580 +15881744437 +13619330229 +15843515675 +15145385644 +13606473392 +13906115067 +13632288068 +13947194243 +18840320631 +18876379759 +18596745227 +13680226037 +13940804350 +15801552249 +18846368827 +18824605148 +15164231529 +18516603540 +13973143115 +15801001744 +13945686228 +15186355505 +13923884825 +18851655372 +13964384611 +18833766573 +13964627435 +18563642816 +13667232743 +18583973370 +13628451483 +13669134307 +15133081212 +15839000890 +13957564572 +18805319452 +15110911937 +13619449405 +18500932903 +15829630475 +15104467863 +18829145373 +15845139783 +15893791603 +13620754994 +18822222884 +13966683579 +18879768871 +15139858341 +13984972729 +15163064220 +15107526848 +18571649506 +18857166113 +18561058783 +15870005705 +15171730687 +18814503827 +18874312787 +15885855885 +15873314645 +18550443624 +18554602788 +15861424708 +18522990750 +13653448524 +13698437334 +18888964065 +18591658751 +18527733394 +18553260322 +15102400588 +15851579932 +18580970641 +18823647442 +15123141851 +13998750757 +13917106486 +15194019687 +13697760612 +18536607494 +15842151415 +18889407286 +18596128022 +15120777905 +13690641665 +15124032890 +15801014250 +13977475855 +18883449455 +15890094853 +13961570447 +15814350550 +18562939398 +15153364630 +18531803115 +13915214636 +18516026941 +13975472771 +13992444761 +13634470085 +18855147049 +13976073861 +13683724685 +18856778196 +15146261206 +13649808875 +13965296816 +15871555829 +15858737115 +15883542454 +13692924461 +13911903692 +18897861222 +18599937290 +18536005427 +18818561947 +13646045821 +15103374260 +15895183638 +13692446689 +18883063035 +18803670511 +15193558762 +13900980177 +13988064866 +18596238572 +13907914467 +18824394073 +15191727612 +15176071386 +13991116142 +13690949410 +15873883964 +15110044330 +15826671365 +13647799935 +13918909873 +18551577658 +13697767195 +15858487725 +18551412604 +15816564896 +15895119637 +15882687473 +15851114721 +13935302883 +15897713799 +18866092870 +13937720744 +13687681877 +18869981906 +13631220909 +13652874612 +15866924363 +15843069199 +18888672561 +18848009395 +15850596900 +15178734518 +15140927550 +18538104589 +18552180925 +15169039668 +15119499356 +18525132428 +18827276267 +18516258526 +13966400790 +15897814640 +15878147329 +13641308706 +13698206452 +13902796835 +18526452699 +13607702586 +18514375889 +15138418584 +13907492030 +15846057969 +15811474284 +15869279328 +18530938943 +15890575274 +18588725654 +18881088782 +18557243138 +18589841279 +18840980110 +13907198465 +13674822988 +15135250144 +15879745446 +18530035154 +15135732711 +18888483224 +18589244802 +13653577947 +15869194484 +18583099416 +13674801457 +15161823542 +18822394752 +15879817638 +18519417659 +13673486507 +18507566191 +18804091346 +18844069348 +15868862594 +13664410706 +15849774425 +13634435761 +18504289919 +15834515711 +13659377490 +15138909192 +18809481923 +13614036409 +18868869385 +13988048530 +18507519732 +15820350982 +15110554548 +15126145916 +15128105207 +15878221541 +13666109556 +18839146309 +15113508633 +13904649220 +18587427825 +15854050050 +13640037570 +15143558239 +13667260610 +13627390583 +13673598183 +15849261369 +13667710958 +15837382585 +13983650852 +18553491843 +13650513149 +18572344999 +15126295831 +13905704866 +13667525538 +13995769118 +18883071267 +15821487357 +18843472083 +13633588068 +18582422243 +15834375055 +13664233975 +15865886507 +18583741514 +13921019336 +18551957437 +18891567863 +18886970572 +15134665010 +13676428845 +13977597698 +18817634837 +18559082721 +18529620122 +18586885517 +18884849062 +13914453807 +15823483640 +13663569598 +18819281424 +15821297000 +18896880483 +13674433431 +15178740405 +13679347677 +15853377204 +18502317258 +13910616041 +15850183817 +13664449484 +15804719583 +13647015913 +13983825635 +18859779240 +15840683486 +18588533757 +18572913318 +15191006630 +15136197436 +18562859244 +13917105340 +15874842854 +15871141814 +18575305474 +13650454161 +18830558885 +15150604145 +15814425982 +15182601298 +13952638433 +15136873213 +15100788586 +13612886381 +13942646704 +15101118688 +18594710234 +18827553205 +13942812396 +15102848210 +18848241341 +18502481683 +13699439579 +13914083092 +13670680257 +13636284962 +15842810752 +18860656565 +18562631324 +13982720578 +13684336573 +13627917332 +13630154584 +18579132843 +13908856947 +13964958744 +13941690825 +18851046969 +15152090928 +15143136785 +13624695081 +18821417639 +15829265218 +13661089605 +13668991675 +15898068988 +18530708863 +13913373669 +18500775350 +18896468203 +15120384937 +15867077579 +15142564514 +13644508565 +15164771217 +15183764870 +18576751192 +13917784604 +15102291373 +18538060752 +15832822839 +18807614131 +13990682516 +13642936237 +15149043049 +13949704922 +13957253109 +13619401370 +15899438614 +13612235561 +18521901638 +13973580586 +15173050113 +18868186323 +18569309236 +18815992892 +15828924729 +13926937177 +13661287561 +13992553469 +18538698354 +18558174046 +15888189365 +18574197427 +18511460627 +15161650361 +15864606777 +15131229798 +13667058880 +15894510850 +13999511361 +18883295886 +18548273983 +15813731754 +13684553492 +15141626893 +15883461198 +15156871175 +15845858416 +13622812482 +15836910795 +18837128886 +18503899357 +13977319251 +15180495681 +13680434883 +18502999689 +18809986309 +15106499040 +13666633381 +13944983796 +18566402786 +18536451143 +15113919265 +13937923034 +13914945673 +13902435924 +18593625813 +13679048138 +18561108737 +13999237447 +15899765963 +15848759919 +18552995149 +13632718830 +18871673236 +13611946238 +18833793525 +18805069180 +13666984498 +15104957900 +15154693912 +18884515018 +15816126814 +15806269493 +13954608408 +18583726896 +13634362323 +15142747180 +15104940219 +15157223983 +13654427269 +13948305358 +15150893287 +18857745575 +15147975068 +15835293416 +15133076262 +13642471632 +13616847673 +13643159167 +18547010183 +18541888275 +13934855151 +18581068510 +13655312424 +15136595665 +15133349379 +13687441668 +18855651054 +15145767911 +13975052805 +15841807893 +13943582154 +15126950548 +15877769496 +18866117302 +18584807896 +13933376133 +13618849918 +18858919845 +18508382029 +13935481223 +15105374172 +15133139921 +13608693037 +18594872111 +15831271540 +13962504255 +18555362597 +15154818461 +18543991356 +13982592973 +18881582947 +13643997231 +15181783828 +18534668459 +15820308651 +15140383306 +15125156391 +15894182543 +13683634688 +15857870796 +18820295075 +18831390157 +13969899091 +18532279828 +15896009364 +13975595031 +18825717565 +18541591851 +13959203063 +18588593831 +15833720212 +15873712790 +13613794233 +15177644913 +13990456917 +15879210324 +15154297096 +18899514037 +15851435657 +15142726693 +15123991034 +13961975125 +18521917487 +13999611830 +18541676698 +13680894439 +18848343134 +18859341368 +18557167380 +15871541892 +13670778626 +18535736758 +15174550851 +18538859228 +15877861547 +13942915272 +13602603272 +15852607860 +15169112840 +18584453507 +15848340948 +13980336285 +15809536474 +13997771933 +13989262984 +18519720733 +18589377476 +15874356733 +13672197028 +13938965490 +13911811642 +13600136187 +18805984580 +15125884397 +15198057745 +18514052252 +18583362009 +13611330750 +15846627189 +15109488338 +15849515954 +18540876654 +15828948050 +18819439325 +13950149909 +18543887140 +18809382719 +15138857214 +18804731088 +18828202851 +15859832883 +18553730497 +15844256549 +13994055938 +13959672526 +13619629608 +18596132245 +13963168595 +18540358789 +13652482182 +13986942469 +15805731348 +18842774869 +15842774267 +13650903268 +15864596791 +18894188309 +15867953440 +15854329817 +13966312253 +15153292833 +15131825975 +18885761256 +13634107488 +15197802747 +18595312129 +18544490652 +13948821408 +18883068987 +13602715696 +15123683530 +13696955853 +18853952758 +15192305543 +13933163055 +18596322501 +18589748138 +15899417615 +13907932696 +13952388123 +15102004279 +18503497933 +15138111268 +13620560895 +15177085340 +13955948816 +13673829163 +18881047111 +13940458169 +15159301515 +18584120990 +13696502850 +15842191007 +13904827859 +18870154955 +15811237435 +18886387609 +13954459249 +15114407821 +18516891461 +15891608021 +15138797249 +15196686249 +15839582535 +15841965431 +15107199089 +13939856001 +13624260862 +15132821203 +13941831362 +13657855014 +15832167796 +15885583920 +15190441248 +18863405942 +18561482980 +13900009442 +13905154838 +13690797833 +13968998681 +18870608600 +15110944940 +15822258821 +13971115715 +13639104229 +15182670040 +15127407451 +13614183431 +15853596735 +13962924555 +18860420523 +18821978140 +13679549985 +15850163512 +13907522526 +18539843765 +15857788593 +13989201475 +13625208538 +18574788632 +18857662839 +13964064120 +13967111787 +18859433283 +13613301007 +15152461740 +18879636442 +15825874399 +18524595692 +18810664506 +13695068007 +18525769978 +18507338367 +18835939533 +15119834729 +13663956011 +13953027771 +13904417058 +13984499292 +13960577859 +18809027677 +18516838965 +15123702072 +15839749922 +13689312280 +18578335810 +13907297056 +18580297255 +15852263829 +15146970601 +18583283897 +13693507622 +13902175853 +13627765307 +18541368576 +15892412895 +18881845070 +18593231556 +13642921755 +18569137257 +15850581764 +18883593534 +13948011156 +18529192739 +13627983254 +15164786409 +13609380136 +13976497935 +15836496792 +18807898650 +18506178983 +18837871243 +13907750698 +15149353167 +13989858016 +18835606504 +18882401722 +13612147191 +15871438834 +15829511601 +15813093481 +18832574978 +18886229316 +18840025778 +13968078230 +18875802573 +15882900841 +13677488769 +18862009858 +18895628452 +13956488475 +15163445575 +13663307720 +15127199131 +15156675541 +15155462484 +15194705503 +18574430963 +15899904955 +15863187410 +15174637729 +15177042143 +18816425496 +18816863327 +13913659925 +18820635238 +18813856199 +18563414002 +18815199982 +15116583264 +15869831639 +18564147367 +18864814596 +13693039848 +18514492214 +13992453046 +18891460414 +15830353217 +18581333941 +18500079021 +15174540278 +13699614379 +18514253002 +15150225067 +15187373601 +18500497637 +13980511811 +15823858349 +15822875262 +15107888579 +13632079324 +13605654095 +18515081266 +13627776149 +18545827428 +18812778700 +15107393183 +15124209683 +15812406029 +18853154960 +13643218074 +13951677444 +18594702591 +15831674172 +15894671854 +13693494964 +13640224018 +13613049617 +15130460297 +15846724772 +18545820568 +18892299195 +13653004394 +18586323979 +15123932271 +15121150847 +15136503617 +18857095478 +18805643201 +13970611928 +15110359821 +15167920321 +15830395790 +13641853422 +13916350475 +13962655864 +13600419324 +18594868715 +18522838239 +13902470459 +15896236386 +15874851405 +13617256758 +13963201361 +15857287869 +18560436738 +13651016841 +15879363776 +15863497198 +18582499491 +13999302943 +13935889194 +13942958241 +18533634299 +13658393829 +15105282632 +15870921550 +15168333948 +13910223386 +13996106522 +15147637504 +15875368930 +13904724612 +18559524052 +18520504711 +15851861152 +18546684197 +18586315975 +15181995503 +13940403792 +15134638011 +13676916133 +13935394264 +15809806491 +18888505439 +15159159252 +15150084808 +18837434466 +15176496849 +13957475216 +15164042294 +18552719862 +13919875398 +15844210746 +15865631293 +15870272268 +18874029227 +13997250266 +13681566627 +15114747082 +15169502425 +15884410325 +13950672983 +15892704145 +15143431836 +18562605424 +13616268858 +13974274909 +13645811836 +18804060618 +15827045496 +18519002485 +18826786218 +18579518870 +18802054438 +13632616604 +15862544815 +18575846381 +13697239594 +13963353784 +18563469506 +13678645300 +13675533157 +13652731314 +13673421996 +18574421573 +18832182121 +15857517044 +18820488970 +18587590816 +13637903501 +15159628609 +15891409714 +18572935815 +15892596762 +15833688890 +15167291301 +15898792534 +15803512609 +18530974409 +18860913377 +18841527376 +13687034066 +15139029412 +18585798834 +18526305798 +13928826443 +15198880539 +13635665107 +18591458960 +13618009922 +18847200408 +18872662901 +15117002600 +15836491668 +13983296647 +13625997943 +13621347490 +15156111123 +13932894958 +15812075083 +13941696907 +13954119195 +18803544098 +15178087002 +18882770879 +15860146465 +18865596934 +15119090465 +13604527663 +15153766844 +15889539997 +13644819789 +18876009550 +13626699473 +15106318361 +15125368036 +18508915086 +13908531039 +15808109917 +18861256000 +15883980356 +18528867659 +18555873989 +13680047851 +13997062897 +18867781538 +15819001555 +15853224169 +13950150312 +15179635387 +15125750614 +13973895623 +13991666851 +13624773364 +13661738447 +18551342765 +18884772407 +18809098024 +13907917584 +18506651404 +18830853338 +18836576534 +18552481511 +13994499587 +18560392622 +15801310988 +18808006879 +15152015589 +18561193736 +13901046276 +18556926391 +13982327228 +13659703027 +15174623420 +15834973197 +13941318244 +15177599426 +18596316303 +13667435132 +15894736897 +13655298153 +13927327363 +18885331733 +18842503081 +15830335990 +18822087359 +13907043340 +13967730544 +15167288175 +13989931174 +13631526812 +18864769206 +13972423903 +13632127900 +13905777536 +15831096512 +15173693879 +18883896563 +15120739494 +13966999338 +15844585550 +13679120509 +18857930769 +13649911835 +13917053449 +18823793453 +15113495471 +13961304512 +13952102711 +13691736356 +18868624075 +18849446389 +13626593313 +13982013669 +18522068368 +13908411120 +13630178601 +13625540650 +18536899297 +18892242760 +13984914576 +13994259345 +15184051696 +18805992588 +13955601909 +15105076245 +15804342316 +18525288128 +15864340595 +18810573500 +18575898083 +15821539241 +13992568337 +13964737904 +13965107247 +13695078016 +13959593561 +13967708698 +15180862294 +15118509341 +18829228860 +18859864942 +15893174057 +13909234836 +13662270615 +13958595384 +15133836185 +13633025483 +18552022960 +18830238643 +13936983295 +15872119008 +13994466311 +13610147209 +15128401905 +15108898995 +13938540352 +18853995865 +18835982697 +15811809655 +18851353378 +15802707588 +13603813361 +13667741985 +15134503042 +15160884658 +13630488528 +18895002174 +15124101885 +15841218821 +13978037955 +15177119966 +18502599497 +13607674313 +18518954134 +13649046375 +18522202252 +13649215347 +15112319993 +18576164079 +13657862655 +13661437362 +13967466044 +18591331261 +15133392995 +15876133242 +15809127234 +13679660705 +18515808554 +18846178524 +13969705827 +18896951264 +18858429640 +13609061276 +13968852367 +15817541197 +13906518883 +15895743578 +13662380926 +15166868745 +13624959035 +18826494521 +15872867476 +15148117324 +15125477285 +13964658969 +13671625815 +18531362245 +18823283047 +18855565103 +15115365386 +13989976291 +13947500586 +15122685627 +18889104094 +18820673008 +13606796438 +18891740934 +13608169750 +18815870656 +13609952790 +15898824817 +18588755261 +15860127829 +15842634600 +18552217991 +13914505636 +18838645417 +13912722734 +13951276327 +18523819838 +13921309338 +13927230624 +18580722775 +15857455645 +13600372785 +15800365422 +13645621000 +18879668351 +18838722469 +18869623905 +15807500827 +15172314365 +13923259834 +13969717535 +15113355386 +13988241273 +15805662147 +15142969911 +18820167999 +13992889439 +13979550815 +13667004366 +13944415608 +13629833311 +15137511675 +15106127360 +13957710106 +18595538743 +15852285748 +18504685306 +18826053595 +18826508147 +13651843428 +13946432560 +18591169859 +13962539650 +18541512707 +13930122041 +13952419249 +18513398448 +13927236167 +13913322333 +13910896386 +13931506264 +15875707641 +13965262168 +18523882848 +13947712153 +15817589827 +13667058583 +18552027399 +13931386112 +15838586351 +15101009241 +15809965290 +18515696029 +18541163691 +18533266303 +13929949781 +18539252478 +15135359594 +13622156762 +15856401735 +18567878449 +15835201912 +15826415634 +13950622704 +18571041762 +13692564875 +13972160095 +15102838913 +18835091797 +18551135476 +13605818369 +13614759544 +18867351204 +18827322571 +15807332779 +15862595472 +13956673381 +18892821750 +18827992427 +15153735103 +18539939334 +13671676339 +15862160415 +15830836826 +15176441878 +15177389386 +13995064420 +18534595800 +15841960343 +18567106371 +15862019012 +15861657957 +18509493249 +18554707992 +15834149390 +15113668136 +15883593843 +15899356680 +13968438521 +15839974486 +18865127587 +18868044721 +13647688545 +15869108330 +18538977153 +18565778212 +18518177526 +13963845471 +13930605948 +18860498509 +18818180828 +15100360526 +15833644796 +18873407839 +13916348155 +13631058776 +13664105286 +18570800597 +15168667118 +15139037910 +15875060691 +13939569029 +13650201641 +18890553957 +13941530250 +13918762688 +18821219469 +18587918974 +18514192216 +18534310157 +15165308431 +13961889458 +13997858537 +18554176565 +18850961621 +15846593707 +15115549419 +13930623795 +13679288941 +15838984407 +15863673720 +13695552562 +13653219658 +18582281041 +15107047465 +18504089631 +15116731128 +15103032195 +18597384504 +18508920838 +13914423848 +13966377118 +18598073065 +13630673475 +18539424599 +18549636678 +18823580480 +13973323784 +13979155123 +13687239790 +13659613847 +18847916581 +15115152655 +18847939968 +15865089375 +18544639162 +13627910367 +13600305037 +15111471898 +18509577121 +18817233887 +18870776759 +13983820189 +18591670399 +15154266029 +18520802484 +18836448871 +15164526254 +18804388133 +13938264059 +18868438828 +13946069785 +15159151921 +15120159819 +15824222197 +15152735632 +18511430062 +13631945726 +13961757738 +18864244597 +18594998913 +13939289312 +13993397165 +15141529110 +18536055286 +18817519473 +13679627998 +18879458778 +18596924841 +15159640608 +13900816771 +15196182956 +13672042685 +13931697673 +18810841639 +13648668540 +15890691677 +13924909918 +13646754607 +15107954160 +13994421900 +18816410712 +13989829039 +18836128451 +18826163765 +13947080059 +18862465906 +13906277209 +18891736551 +13687416436 +13976052386 +18598671694 +15161830566 +15135913543 +13959232974 +18814670560 +15123733243 +18503355906 +18528033820 +13619654848 +15126995683 +13909753100 +13954442439 +18847177604 +13679215435 +13926953645 +18825739240 +15894131777 +13696829292 +18548087774 +13679437279 +13699236058 +13991389079 +18520853061 +13985065758 +13984229638 +13624305726 +13959437335 +15198835483 +13946893586 +15145998948 +18846702189 +18513076953 +18537409279 +15156672503 +15136193562 +15170698599 +15111764545 +15895001599 +13640277957 +18870225709 +15107963114 +18593641204 +18806263334 +13630187879 +18832115908 +15882607601 +13637086347 +13601619947 +15856642769 +18589645975 +18535337486 +18541504386 +13956095485 +18803513609 +18805165832 +18594137877 +18892310227 +15138199722 +15885201800 +18509625019 +18873233480 +15813730891 +15848861286 +15116336751 +18842578143 +13986562799 +15138617511 +15829244297 +13954533529 +18581311167 +18509906289 +18547612546 +13926020549 +13918427209 +18552845109 +15855168949 +15105590768 +13631234752 +13694329418 +18855323918 +15801163621 +18578992461 +18878100622 +15126629569 +13619980425 +13999460446 +13960446797 +18843606361 +13698671594 +18525244559 +18811128046 +15189851327 +18542010512 +18580764054 +18862387410 +13927631880 +18576426949 +13991420018 +18844121377 +13674961124 +18541270980 +13960550642 +13967008854 +18504156179 +13609405001 +13970919353 +18532924630 +18540827291 +15117377745 +13641480577 +18835893998 +18810417113 +15811103159 +15123555432 +18800641927 +18577523618 +13685994453 +18843944233 +13652750434 +18868466019 +15844796725 +15142665940 +18855959484 +13677134640 +15142010424 +13916885130 +15827991491 +13956316773 +13644823471 +18856043201 +13999725539 +15891957376 +13933559670 +18861906173 +18584004798 +18546970001 +15803540352 +13961433764 +13935596625 +15847368359 +15182527611 +18815868100 +15824270879 +15894701999 +18592213765 +18591088751 +15848869208 +13917075747 +13607981600 +15862763304 +13604107907 +18563107390 +18834473454 +13951106379 +13914779911 +15124677028 +18838012910 +13602167328 +18504863108 +18899631461 +15120162891 +13983542059 +13909227477 +18876800679 +13959439472 +13673178522 +15848815973 +15851527406 +13603035554 +13626295204 +13687633118 +13616920909 +13645657113 +15875575466 +18508346035 +18549526040 +13955454201 +13674567864 +13656797361 +15895497634 +15154053521 +18871498983 +15107522068 +13989484548 +18837052414 +18573505931 +13986321143 +15866001209 +15807955323 +18521533982 +13905705820 +18849946814 +13969045301 +18898234746 +18575396661 +13607103397 +13670466097 +18536664658 +18586664827 +18887301202 +18897901675 +15100917987 +18851562746 +18588847047 +13617499501 +15104686297 +18530161084 +18579943741 +15843134086 +18570144536 +18884120394 +15813871928 +15161177209 +15133804883 +13999074073 +13695843509 +18838561161 +15145329300 +15168205441 +18864255709 +15847917572 +13995149075 +15891983684 +15180032624 +13665721024 +13693925048 +13640009336 +18896750869 +18840999985 +13631815981 +18539644763 +15884500157 +15176884377 +13621913391 +13929890540 +13942901849 +13983795356 +13659538800 +13649266055 +13949841472 +13907087167 +15124459324 +13916077102 +18858085156 +15181723112 +13614538822 +13637602817 +15805205281 +13961722435 +13981796441 +13953210826 +13668643433 +15847184633 +13659765635 +18512839982 +18877121512 +15199351503 +15142446874 +13963927916 +13997248015 +18500437418 +13915683215 +13626301330 +15142745942 +13646297522 +13966168929 +18565659998 +18508036284 +13603841400 +15884020618 +15112438152 +15835960135 +13955196860 +18819290402 +15879912033 +18864651174 +13904724609 +13665825879 +15874075899 +13955831106 +15140636943 +15842818329 +18808760880 +13645961197 +18509478935 +13931449484 +13684261928 +13672577263 +15851339148 +13965122304 +15882805484 +18833868239 +15176708528 +13940673614 +18578781328 +13689895707 +18820388066 +18811085048 +13652951515 +13930064696 +13670655309 +15179915058 +13952979532 +18526845906 +13970321476 +15152233771 +18557470594 +13648484850 +13672518725 +15122128161 +13640430526 +15187051420 +13664700656 +18831868253 +13688209751 +18838418174 +15823554778 +18873131661 +18837190866 +18543306151 +18548038047 +13683365651 +15835797314 +15144385860 +15129621973 +18869145334 +18570729531 +13912819263 +15188288209 +18503170920 +13998256031 +13692396751 +18848508087 +18584217913 +18579316949 +18836813407 +15126668126 +15125092286 +15142043063 +15172284936 +13929014562 +13658124641 +13653230023 +18845435335 +13695901300 +15159391349 +13699827150 +13636201527 +13669468794 +13995704664 +15821276268 +18876755486 +15874842836 +13620231869 +15126450392 +13967014590 +18814674356 +15858532136 +15804392691 +15136032337 +18523344861 +18805747717 +15852176789 +18531812582 +18861745312 +18565841477 +18532445042 +15130984028 +15135603703 +15133724119 +18528470456 +15122743212 +13922707401 +13636074276 +18535168094 +15832968233 +15198863611 +15177885358 +18806411323 +18817987845 +15820131566 +15814261568 +18826841482 +13636056421 +13699236172 +13929250075 +15833849882 +15895742606 +15181391177 +18811002917 +13910061323 +13654690226 +18824976742 +18528349740 +18566399358 +15131944852 +18889740858 +18816435334 +13990520392 +18870456463 +18869248721 +13938047020 +13689612662 +18562787612 +15886974854 +13609449732 +13988003597 +13655263986 +18523553458 +15126536218 +15800355635 +13930002623 +18848803744 +15188958131 +15894505604 +13678902305 +15855253811 +18835307090 +13664591861 +13693014744 +13645646238 +15173657984 +13929802251 +15174742909 +15854189675 +15822078088 +13668273336 +18857011313 +18566964710 +15118273837 +13954474025 +13938479822 +18806562313 +15183051571 +15195784719 +18808190834 +18841857663 +18874618026 +15802116447 +18514183910 +18511127731 +15130313565 +15129565689 +13648894438 +18598188535 +13619709190 +18501374485 +15805306141 +15179130086 +13991766253 +13693007379 +18575740917 +13693325687 +18545995129 +13679707396 +15123153383 +13604230934 +13909805016 +15873414380 +18585174699 +13602072238 +13672058631 +15893150188 +15136721900 +13910170805 +15138029102 +13669557560 +15114412270 +13656991003 +18852195794 +13616817916 +15886830846 +18525748070 +18553704463 +13996836876 +13981388303 +18887030340 +13616344755 +18859640320 +13687572924 +13990745015 +15851337679 +18508048358 +15133898419 +15137164270 +13607700887 +13661258919 +18898693213 +15127749004 +15133330455 +13978544958 +15886304628 +18858362980 +15896290305 +13695565745 +18814029013 +18867740327 +13626306002 +15817532441 +15175747046 +15887338334 +18893686871 +18817915102 +18840881861 +15136283467 +13614674340 +18541419082 +15898478467 +15153176519 +15859368626 +15177264261 +15103443682 +18589438177 +18804626290 +18568280672 +18548549732 +13917832887 +18817067264 +18809461798 +13953862575 +15104015672 +18801706941 +18849719949 +13901741613 +13691491455 +13605767836 +13683433160 +15132103652 +18513199458 +15890995550 +13686648500 +15116043382 +13988202204 +15107272786 +18587695881 +13618517111 +18553822060 +13635969553 +18885182324 +13661370331 +18596949015 +18578771141 +15132313478 +18564117690 +13993036087 +18539822112 +15130104750 +18553873470 +15845256770 +15156119111 +15110254215 +15886979300 +15804180213 +18507843716 +18565737077 +18563940645 +15836774975 +15839542675 +15123917786 +18835683313 +13622424283 +15824014163 +18832718195 +13902442707 +15134364952 +15191514955 +13694601739 +15198272690 +15188085313 +18864684470 +15821561750 +13972412582 +18505502972 +15888373831 +13606435639 +18515358128 +15812797925 +15196872684 +15825085872 +15849031795 +18590247713 +18530515488 +18554472047 +15122149147 +15193259315 +18546401586 +18547517450 +18854219106 +18873213018 +18530520570 +15808835928 +15885126515 +18835565801 +18803383960 +13960093465 +15844156233 +18564956907 +13600404375 +13908372432 +15835776831 +15879146650 +15158392113 +18817890276 +15193509826 +13999332335 +13608027660 +15826987859 +18520735338 +13642266020 +15852474821 +15856350361 +18804432269 +13984474836 +18876625671 +18598775975 +18541472123 +15806541706 +13681052523 +18825335192 +18857391271 +18833073170 +18595164253 +15193081074 +13682341803 +15844849599 +13677848387 +15812705460 +13634769002 +15841539241 +18863004679 +18870946801 +13650775965 +15116750634 +18589525031 +15177695301 +13610558865 +18536576274 +15885100532 +15195110520 +13680364440 +15820175968 +13924928424 +15174167676 +13997436062 +13934172875 +18502217699 +13635978124 +15110714974 +13668436153 +13909493651 +13981736248 +15872350062 +15151247631 +13988794706 +15880326306 +15129164153 +18576921596 +13942752082 +13925633618 +18829107721 +15177664063 +15840895165 +13905120312 +15176401238 +13658676949 +18882457170 +13649531892 +13951356324 +13991089426 +15107001471 +18508382300 +13937396638 +15123261457 +13948010103 +15831162910 +13697823552 +15882358554 +15875342314 +18511151947 +18557069283 +18865325848 +15106402106 +13625674740 +18848103559 +18883822411 +15838759039 +13959928596 +15128667205 +18557294635 +18563484699 +18542456000 +18557620605 +13622457006 +13681308035 +15855664282 +18543142615 +15161332681 +18503734814 +13664304923 +13618265028 +13914362964 +18895810180 +13918370906 +18548936370 +15132193796 +18802329194 +18877883070 +18899943567 +13643033012 +13624241744 +15181948688 +15836023473 +18870689841 +15895636024 +13912987983 +15111082325 +18541036647 +18876722674 +13997865485 +13600322474 +15196521952 +18838703934 +18870646669 +18526305397 +15180024012 +18855334748 +18869286170 +15191281093 +18586530512 +15816443406 +15878319279 +13979206505 +18863092689 +18525300730 +18882656245 +18551419255 +13649211006 +13930412285 +15834223394 +18816890652 +13970954309 +18897659981 +15805395515 +13632100813 +13999840133 +15811783032 +13949380551 +15806639615 +18895089362 +18535996549 +13605273423 +15133730664 +15816631298 +15182225452 +13654312315 +15100250612 +18830982766 +13635862741 +13639348848 +18833545596 +15877307191 +18884508702 +18533633111 +13616824945 +15893591192 +18542597361 +13660469784 +15159533965 +18865160899 +15817530708 +15882044718 +18831405480 +15817941929 +13689299667 +15800287392 +13938065296 +13922878571 +13688397915 +18570574065 +13900984213 +13692448018 +15197200186 +15867074972 +18802845683 +13952328692 +18510607715 +15816190751 +13657113814 +18852773390 +15817857220 +13688172027 +15878486864 +15196428245 +15161422934 +15823446649 +15899734617 +15882913014 +15883520660 +15808391141 +15882921872 +15198808263 +18595388785 +13613918053 +13639720706 +18857282033 +15118391836 +13901622786 +13649672302 +15817506217 +13988219923 +13956896856 +13675400088 +13920466319 +15136446599 +15874729844 +18813864394 +13974091730 +13932365705 +13626186458 +15874196049 +15110590797 +18880513351 +15165667123 +15883157076 +15827159715 +13620598403 +18503045124 +13979788946 +13614976653 +13675434312 +18531110716 +18594770579 +15159639029 +15884029033 +13924730822 +15834970668 +13999842734 +13918953133 +13650211001 +15184450028 +15880520670 +15178684138 +13631431392 +15856219826 +13667430193 +13905107978 +18571674147 +15874174754 +13680537181 +13988814330 +18561902606 +13940443341 +15127840141 +18508918147 +13616441635 +15800803057 +18511248788 +13925518993 +15141675295 +18841263351 +18881123695 +15105772418 +18530833934 +15802803568 +18896229864 +18502641923 +15829717239 +13911740106 +15808076576 +18548907383 +13950972255 +15868814708 +15847945063 +15842836563 +18817549219 +18809836658 +13926072068 +13655779709 +15151359134 +15185873541 +13614424792 +13974567668 +15150297203 +15132900733 +15194092046 +13950029574 +13917316715 +15801361213 +18551920108 +18549263668 +15877539219 +13603576808 +13976596335 +15121509255 +18591729100 +18861218864 +13658398527 +18818304591 +18878502759 +18860571060 +13600799292 +18824085956 +13611604373 +13664651891 +18828537001 +15883111636 +15146022176 +15132829946 +15859075708 +13692573945 +18831312130 +15884581152 +13976668656 +15831862088 +18519945742 +18880617633 +13652498096 +13680886548 +13901381746 +15100544578 +13956376103 +13691832784 +18850656913 +13627395003 +13642534429 +13922240663 +18889178138 +13941884701 +15143456766 +13904110360 +13650365191 +13618555521 +18519146030 +15123677606 +13657018075 +15852972720 +13657173640 +18843464388 +18504501815 +18543051050 +15875038671 +18803120875 +15860400160 +18587151109 +15178861929 +13611299372 +13992699288 +15130738613 +18510908416 +18533387538 +15196526186 +18506438340 +13686439502 +18818562641 +15150982910 +15155756200 +18858519743 +15123491031 +13667345607 +18857256071 +13963430007 +15884665725 +15138766495 +13970864535 +15825332950 +15172319996 +15847093957 +15873956358 +13651401587 +15883899006 +18871461425 +13650544822 +15186600976 +13612612010 +13674244305 +15121024871 +15110324673 +15884059785 +18592075579 +13658234191 +15197703593 +15154620220 +15801710666 +13694103065 +13608662216 +18826770697 +13901390825 +15107665670 +13919422386 +18540659750 +13602961140 +18557342242 +18552115503 +13919625667 +15154893632 +15146519078 +18555348213 +13963534503 +13606799785 +13949262138 +15119136654 +13618540281 +15871006843 +13617553902 +13907822035 +13626843899 +15848581609 +18529641596 +15196984758 +15806597423 +13604103071 +18880002942 +13936897480 +18892516196 +15857786758 +13929158926 +13984520730 +13948714807 +15109137630 +13936751145 +18565681183 +15185612621 +18521308279 +15159932147 +15885400539 +13958464716 +15102622962 +13932877564 +18802705699 +15810360959 +13969841829 +18806961584 +15132204116 +18532216685 +18587849064 +18857072477 +13637275375 +15866194650 +15823708316 +18546606873 +18892750744 +15149082203 +18879864345 +18593608958 +18557099588 +13663984106 +13616350181 +15813428916 +13628673419 +13676730873 +13997032060 +13650986893 +18896381397 +18555564314 +18877779896 +18518443703 +15833879124 +15883466140 +18838582135 +13626502132 +15119143085 +13986882091 +18545931428 +18860437345 +15133949608 +18851523240 +18856785372 +15124282944 +13981432192 +18866651151 +13977898792 +15188905940 +18504436761 +15191356898 +18513406853 +13917156841 +15140221866 +15126876502 +13982863703 +13686059263 +18571736747 +13964070794 +15872676194 +15848772320 +18894238137 +15182950843 +15151777236 +18518047164 +15870138007 +18531450792 +15131613942 +13678116349 +13656278440 +18544472518 +18819577867 +18881487505 +18566004597 +15830390217 +13676386266 +13674840061 +18589120247 +13939038006 +13687408275 +15108758104 +18847872965 +13901227875 +15828461718 +18599768767 +15164204962 +15889882052 +18848669640 +15864918506 +15865558592 +18531298158 +13671271271 +15881046270 +13974100707 +13907615221 +18561291586 +18538714725 +18535576996 +18539269792 +18556708456 +15899621963 +13615736433 +18862400849 +13995249167 +13686356810 +18587774736 +18824698788 +15141383525 +13623268917 +15195184968 +18836464698 +13602783771 +15161587545 +15858390823 +13974009333 +15829279729 +15871385776 +18503228472 +15815808182 +13985594583 +15863082028 +18505085524 +13640122594 +15122937370 +15129275486 +18811368982 +18851153987 +15823022808 +15169164054 +13904235787 +13935485541 +15815838117 +13925138930 +18572951270 +13963742116 +18537766837 +13944855877 +18592732069 +15104066698 +13667893104 +13659757177 +15889886775 +18863887607 +13903710722 +18525171428 +15194815133 +18562012085 +18806149370 +13919587635 +13952652992 +18827478119 +18820570355 +15126740316 +13963814636 +13919531756 +15126272589 +18882983873 +13983164025 +18819654486 +15179254994 +13982366254 +13692637809 +15125811951 +13985753560 +18552564122 +18865419083 +18861378260 +15172908349 +13991570219 +18550994419 +15845457111 +13620191271 +18500834843 +18570441365 +13673513645 +15847971191 +15851161420 +15815524981 +13915552745 +13979348703 +18543203055 +13969408319 +18501416864 +13997326030 +15169591396 +15851085984 +18863108967 +13648267182 +13932848376 +13916138654 +18822599418 +13695267733 +15807020638 +15110049244 +15170644851 +15883828967 +13919531920 +15841779629 +15872453573 +18580609485 +18872921070 +15810792745 +15862824903 +15836779369 +15836239636 +15114988291 +15122746762 +15141184425 +13670066821 +15163732576 +13602576241 +15819002071 +18816842396 +13688504292 +18873321039 +18874442421 +13612496567 +18869636865 +13955434638 +13695436242 +15816184364 +18846187653 +15169399091 +18859614520 +18897532797 +13904034781 +13904395133 +15817538841 +13662597025 +13914639573 +18833843350 +13977723983 +13960484796 +18853506337 +15173119977 +15890736031 +13601436495 +18525421401 +18846074784 +13629215774 +13918222900 +15834906343 +13947013042 +13659061186 +15887325845 +13605872107 +13656264396 +15828417545 +18857687649 +15131044008 +15152238949 +15190939007 +18560281357 +13958248698 +13929152691 +18837941773 +18884920579 +18518754847 +18542596999 +13988728281 +18515564723 +15836040049 +15835823312 +13967028616 +15822003683 +13995087871 +15105087816 +13651859571 +13689929248 +15152073154 +15185989283 +15163913502 +13655419929 +18582909154 +13950193313 +13646208641 +18860374357 +18592823433 +15803455039 +13607683420 +15116626008 +15138545332 +18824718258 +18862969470 +15175994504 +18813746145 +15838231672 +15164650590 +15892233249 +15841789153 +18898423352 +18889943168 +15177998157 +18883920680 +13646190261 +18889811266 +13660284075 +18851214968 +15883472904 +13603119692 +15878045011 +18887537030 +13630583489 +13936055494 +15865319990 +18561850885 +13633251318 +15159648718 +13674261107 +18861782979 +13661747027 +15818939430 +15152038097 +15105591418 +15837116271 +13902143505 +13644945177 +18588420951 +15868137876 +18873446998 +18899130635 +18879696196 +18589317547 +15126840804 +18574100872 +15831572612 +13965512671 +15154611329 +13655696129 +18510635746 +15110691873 +18511549562 +18599607315 +13668045347 +15851265402 +13990036775 +15866071586 +18829057607 +15858390618 +15851193595 +13606513183 +13619018293 +18535009143 +13960564451 +13902510247 +15866483560 +13963666059 +15107157054 +13918756601 +18502726268 +18884018581 +18882699093 +18878550405 +15137834604 +13628799693 +18537731596 +15852963453 +15144788429 +18539789716 +13941035603 +18893076461 +18833485403 +18523733642 +13931402948 +15838947410 +15174991784 +13937900123 +15855021199 +15157360561 +18843479147 +18836552955 +13629894897 +18550105438 +15866006752 +15168161465 +18860198586 +13974214308 +18846445788 +13655289422 +13981405457 +13925880937 +15175768883 +13976637473 +18596848469 +13635650044 +18818803280 +13918245169 +15825837058 +13961101663 +13613740164 +13678364071 +13928460473 +13652244392 +13914792925 +18856936923 +15820387114 +15189571283 +13986345399 +15164340854 +15130332341 +13617519246 +13984551786 +15104671085 +15811988442 +18569335117 +13678138523 +18835245269 +13982902451 +18894941804 +15835995649 +18846686095 +15172462613 +13953139388 +18546500220 +18820759307 +13678744680 +18830779518 +13647705146 +13967505412 +13644174535 +13657268607 +15812230714 +15863361868 +13655046077 +13673750757 +13691944289 +18844052206 +18806419414 +13969960571 +18531652554 +18858729728 +18551375555 +13600146420 +13998551113 +18803748221 +13666332938 +13603400717 +18831744693 +18504061610 +13920301928 +13982978109 +18879630807 +18527102526 +15145626344 +15891787983 +18534224249 +13681864325 +15895085823 +13932908202 +15885260896 +15106294592 +13639439203 +18871202804 +15884845136 +15852023961 +15190955159 +13694491964 +15847026701 +15805556994 +18517424135 +18814847775 +13906295585 +15820014238 +15156687891 +13956107128 +15809014259 +15108677745 +15888607087 +18896632492 +18886053627 +13977418287 +15121155867 +18825945866 +15106808655 +15851629159 +13632748115 +13689920852 +13976898734 +18546538160 +15131753310 +18514355068 +18872758746 +13687368299 +13607900558 +13640013496 +18884594562 +18509162443 +13663897794 +18551979828 +18803664736 +13691772300 +13981032946 +13608595238 +15135705232 +13601138149 +13636165617 +18586236404 +15833542688 +15858413438 +15108325182 +15117484474 +18578569712 +18850904374 +13682502004 +15162927968 +15157902832 +15849397555 +13960721028 +13968508646 +18529118493 +18563196013 +18529930320 +18560135110 +18502508106 +15132636202 +18544380591 +13932476931 +18568360227 +18865738803 +15878866655 +15804217328 +15182156539 +15880908414 +15115657156 +18580086098 +15834275217 +18592153968 +15822080478 +13902598248 +13984568937 +15135817901 +15143482303 +13696939247 +15822604844 +15833282303 +18842119746 +18842196054 +18569403036 +15101236937 +18853843935 +13986026814 +13992916050 +18582038185 +15155296149 +18504012887 +13997745321 +15896604929 +13631375488 +15840667671 +13944065297 +15158753737 +13920482361 +18527555009 +13950228233 +13958175035 +15179604563 +18873317250 +13658562390 +13650715994 +13993250358 +13983635374 +18851488114 +13989597475 +15124338511 +18890989882 +13957219999 +13917247969 +13656273766 +18883964010 +18536423715 +15124770559 +15888687231 +15160593715 +18578409217 +15893541430 +13655663622 +13960805933 +13944338301 +18807118904 +18553195185 +18850515592 +18587027203 +15168687065 +13947828166 +18835177247 +15130643902 +15188184488 +15885622598 +18596017874 +18504019995 +13616819085 +18805879809 +18508497963 +13921323495 +15809558534 +18847549669 +15198727092 +15191236305 +18852238397 +18837184285 +18856477983 +18585940459 +13607376791 +13965477646 +18898899127 +13953998153 +13648917596 +15164488246 +13610083849 +13687568586 +13638476715 +18850790126 +18512910233 +15895739533 +15190045991 +15119498978 +15816400149 +15158771671 +18537708512 +18818084342 +13903358287 +13602637956 +15868917588 +15189178662 +18594123096 +13668507401 +18599122135 +15113137840 +15824547885 +18809358645 +18520735407 +18529326494 +13939464408 +15129803063 +13689626370 +13956688593 +18816355719 +18536395681 +15814281813 +13978820584 +13689623760 +18577906494 +15195542226 +15119213477 +15173352485 +13900783374 +15119331066 +13957032704 +15869074460 +15843356380 +18802697882 +18853897931 +15146478180 +15143853982 +13617092788 +15829137322 +13987015831 +18898316530 +18581350546 +13924051931 +13966593676 +13978945370 +15181831330 +13601108909 +13945508341 +15155378594 +13636354553 +13644186848 +15835599661 +13956694311 +15857203720 +13956780463 +18566665613 +18572880516 +18864506367 +18506334828 +13905949726 +18507340491 +13900231868 +13601004727 +18856400233 +13635255466 +13940080945 +18599822796 +18567316111 +13661369283 +13616136654 +13644828621 +13912301780 +15192962444 +15800841511 +15111018398 +18827591211 +13636252366 +13927710952 +13652598697 +15114810447 +18875287896 +13910598499 +18857394359 +18521615364 +13972390344 +15877383940 +15854557506 +18505129724 +15104447613 +15134828209 +15850711350 +15829980701 +15161817340 +15841474642 +15136489775 +18824519676 +18850044129 +18568303603 +15877652067 +13678232617 +15820154852 +13637527132 +18570630824 +15159771087 +18822979306 +18553126857 +18572909651 +15197017052 +13999792513 +18563382189 +15851900771 +13992856907 +13689249492 +15883413667 +18882496376 +18556268177 +18878592701 +13921221534 +13635007864 +15849729849 +15882982842 +15826520223 +15160078347 +18867370130 +15196938667 +15823823530 +13661081284 +15877897724 +18807054346 +18549724321 +18803416905 +18521899156 +18544955000 +13963193669 +15141709990 +15170333667 +15870342820 +18533217646 +13997681993 +15865294734 +13910864836 +13616281186 +13618912850 +13999906144 +15885302755 +15880502312 +13615317252 +13678992116 +15104499494 +13999665793 +13664334629 +18534581319 +18563931674 +13651517939 +13686034055 +15875506592 +15832148488 +18842820535 +18535709293 +15184081009 +18886830066 +15812757429 +18807869205 +18892988315 +15199782231 +13905632902 +13625466168 +18882997792 +18844977801 +15816525826 +18549862582 +15886062618 +15846391293 +18558806865 +18854784250 +18510638724 +13934232374 +15850208164 +15877855160 +18897198076 +15169486736 +13699015528 +13641011945 +18880103109 +15105746524 +15155356465 +18898820908 +15129112200 +18516487490 +15838595853 +18594314591 +13637801841 +13630008202 +13911170352 +18843050026 +18898484300 +13647594384 +15853752181 +18592843666 +13948629004 +15192346281 +15146589356 +13613024296 +18592776806 +13908212331 +13988846962 +13983996583 +15123415886 +13690940181 +18817834759 +13944429476 +15144289467 +18531671463 +18519233692 +18524698196 +13983770974 +15192999024 +13664215946 +15182225172 +15196487349 +18880470433 +13607578681 +15866448758 +15136782405 +15844264853 +18528506076 +18508516893 +15850205387 +15151074538 +15194814161 +15834281816 +15175915812 +18880655578 +15892029872 +15880018283 +13986099310 +15898867171 +13928507404 +18541678348 +18544399737 +18870105692 +18857365016 +15813567879 +18547274176 +18579719316 +13943544306 +13604067180 +13613097518 +13664007450 +18893133502 +18549344225 +18517999218 +13667169388 +18806246510 +15177082109 +18881812700 +15879125313 +15128618999 +13678837469 +13925007723 +13916811985 +15137609476 +15806494996 +13685320256 +15893647453 +18863108649 +15841344914 +18863470549 +15160223455 +15183497389 +13664433622 +13652956781 +18524851624 +15837786008 +18814211952 +13614360845 +15805820434 +15124355795 +18534639588 +18857779139 +18848354588 +13975249845 +15816247082 +15132206881 +15839609632 +13942905274 +18863626160 +13627906841 +15112623506 +13979163712 +18869856145 +18508757060 +15828766698 +15829483310 +18885344328 +18828532403 +13997532032 +18851868875 +18507084494 +13912333097 +13611235172 +15816208438 +13967447673 +13963824820 +13965981022 +18847537520 +13996069454 +15130849629 +18570366794 +15829804325 +13689331928 +18854298982 +18806701896 +18563170579 +18887178067 +13610819188 +13608831054 +15168023211 +13630730050 +18513500723 +18893319709 +15877911020 +13646118613 +18590591649 +13906668000 +13643503654 +13926413022 +15842761322 +13675046267 +18895189084 +13656229319 +18559996433 +15886769446 +13624588998 +18589281921 +15853816034 +15197539258 +15122459888 +15864376409 +18886028528 +18802013933 +13937886933 +15133727771 +15129546009 +15100681868 +15123021622 +18502898461 +15183178533 +18809367178 +15821824024 +18876436937 +15171842544 +15829996306 +13657186298 +15108478432 +13651029561 +18892102210 +13962577417 +18864867335 +15161293150 +15199425794 +15889821562 +15865517701 +18508404245 +15867642989 +15848836465 +13987625001 +13972013095 +13661399110 +13692462209 +15135102244 +18581971437 +18823397801 +13635916969 +18502315177 +13998115348 +13648393343 +13933585910 +18823030041 +13658346197 +13955851809 +18555172580 +18565762318 +18891586599 +18874564252 +18867895078 +15873042746 +13935314767 +18551886496 +15808532592 +15881599310 +15883478139 +18870344719 +13982891148 +18807705761 +13614759703 +15171550075 +13694289161 +15819633849 +18591902526 +15810691785 +18521384630 +15196765012 +18857953916 +18886165655 +15837696423 +13908789680 +18574493014 +13667710860 +13674674186 +18568105955 +15894690415 +18885699839 +15191518417 +13679773853 +13971216435 +15141988184 +15870133268 +15140573303 +15153975838 +15860691195 +18525176159 +13601459206 +18574747144 +18541424648 +15843104517 +15150392884 +15816266241 +18520952345 +18586899240 +13609986863 +18826239542 +15891483871 +15898834478 +18893383705 +18858344585 +15879183842 +15834995432 +15845590786 +18519702315 +13672455725 +15149230457 +15166015450 +15841163021 +13608916937 +18510914746 +13686694620 +15133455537 +18524308071 +13606283763 +18817633587 +13981690849 +13612869203 +13995490268 +13652999361 +18516888612 +13697221419 +18562396065 +18588182788 +15821508636 +15160832849 +15844548629 +18893167690 +13698482647 +15839674876 +13954798727 +15828268437 +13636069641 +13993275110 +13908914301 +13944007045 +13989063900 +15829861104 +13936832791 +18526002319 +15841602097 +15197015678 +18808039997 +13920629704 +18800295801 +13945295087 +18506534831 +13926853551 +13957975241 +15196954592 +18890219139 +13600996134 +13975301431 +13648082843 +15110595474 +13687045980 +13654893008 +18869258409 +18582804280 +15854079695 +13658372007 +13902192493 +13962107863 +13609412731 +18818935148 +13640373971 +15102041167 +15135622000 +15821217672 +18560254504 +15855718361 +13605499295 +15891083552 +15175794115 +13641004553 +13684493966 +18813975705 +18557247766 +15179299063 +13637674601 +13607699234 +13653685355 +13664457970 +13964939841 +18887285422 +13944688613 +18886482347 +13963692079 +13953115996 +18504867597 +18566266869 +18886902391 +18867431709 +13679728209 +18575087919 +13994447840 +15816176596 +13954690516 +18833858812 +18518583149 +18530836683 +15866224685 +13666721494 +15115299744 +13687780214 +15128529326 +13974313181 +13635986218 +13692863254 +13962705010 +15802624137 +13904618857 +15164050771 +15814016937 +15853153645 +15190481797 +18550113319 +15155553654 +15861402271 +13961014695 +13981743502 +13952872322 +18521277692 +15872327691 +13918885573 +13635320784 +15838188363 +15803171953 +15165107155 +18596512515 +18585621977 +13965481640 +13649559585 +13952701588 +13977104997 +13679624040 +13935568346 +15840260378 +15828130333 +13689221495 +13620596371 +18526398632 +18827552580 +13688674086 +18860258823 +18869828099 +13636844552 +15100282669 +15801160032 +13648538783 +15842810649 +15883441320 +15806015395 +13917279268 +18851598488 +18549887515 +15877868297 +13615444587 +15806020616 +13629886413 +15192653595 +18551740511 +13977268032 +13967954387 +18571144976 +15182407353 +18591318412 +18880588130 +18587931010 +13908900910 +13608181214 +18843758300 +13927238568 +13914564355 +13953447282 +18585764854 +13936440174 +18578462824 +18511142549 +18853628949 +15838590952 +15864160062 +18585740397 +15893127025 +13680575454 +15830808991 +18538019632 +15822847636 +13939114477 +13628022073 +15810771872 +13658533166 +18841735585 +13690819540 +15107039906 +18816956733 +18888546227 +15801726498 +18509416635 +13957877169 +18579017232 +18570742547 +18542147705 +13912793226 +15884629048 +18551911196 +18596429047 +18527226107 +18858469649 +15109217066 +18545364283 +13652530099 +15899107554 +13973573471 +18856844823 +13615410077 +15833842084 +13996016463 +15884300788 +15124977330 +13642468039 +18592164554 +15184215468 +15170663697 +18565491252 +18547659950 +15109276742 +13999663763 +18565983267 +18567142560 +15144803766 +13936370604 +18812890518 +15851099167 +13666326850 +18506297924 +18526784235 +15856366776 +18856058090 +13940411543 +13654047715 +15856936182 +15162841909 +18504346383 +18829107653 +15868141705 +15129650219 +18513791369 +18841981733 +18881315695 +13931354582 +18824933176 +18544883075 +18890252410 +15110281420 +13625753098 +13921585625 +13927859632 +18548062486 +15116692716 +13987203145 +13938134991 +13955829296 +18821231943 +15836501197 +18826784652 +13635405183 +15814649285 +13973525997 +18575087833 +13688154581 +18583918314 +18884372496 +13974869246 +15851005951 +18551783518 +13906888519 +18892595891 +13978313927 +18519115290 +18583563010 +15102010790 +15117363420 +18599860916 +18583717914 +15836865382 +15177288316 +18884007786 +15137243362 +15848023406 +15140123007 +13693810983 +18882270279 +15840359944 +13956383582 +15104935933 +15188535107 +18536165235 +18829087851 +18888062553 +13909165697 +15135087454 +15800764364 +13635646851 +15125058971 +18568808661 +15147916997 +18538941464 +13969667462 +15131344174 +18809599557 +18815072386 +15896836418 +15189877989 +18801099636 +13950737026 +15129604616 +13964999706 +13618473653 +15169886551 +15895207683 +13666052906 +15159517799 +15837262029 +18549082529 +15120584136 +18508641755 +18825319072 +15898522115 +13999468646 +13918741583 +18566863728 +15822309205 +18525386092 +13616293229 +18836735798 +15860288928 +18854198694 +15867860842 +18899674522 +13647596392 +18860697352 +13951664489 +13633773617 +13636716814 +15138071802 +15116932128 +13628806640 +18826755310 +13654544703 +13677442205 +15838210127 +15149239827 +18516466222 +15197224537 +15806094768 +18803851897 +15151182574 +13934263680 +13649586403 +18880488327 +13981170065 +13934449194 +15809472191 +18573467189 +15841047880 +18872832904 +15805537397 +13624941702 +18886439915 +18863556843 +13998563310 +13633471249 +13959674599 +18835073902 +13922097028 +15865246648 +18863106728 +18541232329 +15843907202 +13667222022 +18813052829 +18569053866 +18510567301 +15172779450 +13664826903 +15860647643 +13969104775 +13990027733 +13954750792 +18563259041 +18519672216 +18868080913 +18861014213 +13944297393 +13998109102 +15108687373 +13907929802 +13677379821 +13636038392 +18810094968 +18818154601 +15100544453 +18849466120 +13994523813 +15167217762 +15166548589 +13695792353 +18864486741 +18897510120 +15151458584 +15843892547 +13970371943 +15134148643 +13958342651 +18599873874 +15850678171 +15811538138 +15891669255 +18569200817 +15136352844 +15108844545 +15881060232 +18870470045 +18848265329 +15894188605 +18807004336 +13629558018 +18865127082 +13670259546 +18590056170 +18514961682 +15169616047 +15172244644 +15880819774 +13995057201 +18890684152 +15155688047 +18542276583 +15873892845 +18511185155 +18510436445 +18573272752 +15128265255 +15157384842 +18534683924 +18537330136 +15170877835 +18814263191 +15831747349 +18849597283 +15827212274 +18558354962 +18887268562 +15168539901 +18819174399 +13926401746 +13932928611 +18833790532 +15844625817 +18523706434 +15132531204 +18888291902 +18876504261 +15876189004 +13986155032 +15170307080 +18527431666 +15881077041 +15831558561 +13640598738 +18553245022 +18801994439 +15102143009 +18573465815 +13627032318 +18541606273 +18530764895 +18830911372 +13661998364 +15814839872 +13960607738 +18881997439 +13994275978 +18506083832 +18874947883 +18871134794 +13681643312 +13641857638 +18564455328 +18526687542 +18894772284 +15111122388 +15825007618 +18809457948 +18830558167 +15816515994 +18584417243 +13601614320 +18542374576 +18520683384 +13603765635 +18851388124 +18533341137 +18568555966 +15187984423 +18509819015 +18561243696 +18868398927 +15146090578 +13678714428 +18818295925 +15857051191 +18502967658 +15181659377 +15191341603 +18875446812 +13632560268 +13904148429 +15814383405 +18881297617 +15121948135 +13646588319 +13656382235 +18896490640 +15126516519 +18861175656 +15822256925 +18887717434 +15803201342 +13648209431 +13685021955 +13902769131 +18582705533 +13947240762 +13996683211 +15893537042 +18828900961 +18566725753 +18814106356 +13929711403 +13644374953 +15875715482 +13668891637 +13958446279 +18850278062 +18560286989 +15804589330 +13632884705 +15897786166 +18832061598 +18813976343 +15105230893 +15848302305 +15861821634 +15161995399 +18859352488 +15190262811 +15853198143 +18811844032 +18554469115 +13659730737 +13636251262 +18849803230 +18553230860 +15859628303 +15879400339 +18801479407 +15170537894 +13949142176 +18816407965 +13919382415 +15143951044 +18853181659 +15854396736 +15877630808 +18559465139 +15125924510 +18872578908 +13658926685 +18527116526 +18534539927 +15119714140 +18864017915 +13948450383 +13933531668 +15107733374 +18850928797 +13957431828 +15870292633 +13974787754 +18853011380 +13651367490 +13601364003 +18822456825 +13971141503 +15885475545 +18808179046 +13636883926 +18542738571 +13905855204 +13691609615 +18556795761 +18894438248 +13908084912 +18592480142 +18842155939 +13907482918 +13689169640 +13928270412 +13957789554 +15137820206 +15810552863 +13624694036 +18886036850 +15842110836 +13904071314 +13670700852 +13967716133 +15183220548 +15872792369 +13655310781 +13672883974 +13633472981 +13984684221 +13613289549 +18860726716 +15173913286 +15176938724 +15825332426 +13665676676 +18888204743 +15841572501 +18895143128 +15102243173 +18813141830 +13624226266 +15826953631 +13643907542 +18536187272 +15166378534 +18553705693 +13976508897 +13995414281 +13671527417 +13980880572 +13906511669 +13975073923 +13660283427 +15847526832 +18576235558 +18588363125 +18853566768 +15189570470 +18582595693 +15883136941 +13976144948 +18530070888 +13654375001 +18854726241 +18878731256 +15891834495 +18569155126 +18869993403 +15800171129 +18812599396 +13638733729 +18822038524 +13690705447 +13654427594 +18823271422 +15165482648 +15120301939 +18508479236 +15891674562 +15101134047 +13939521492 +13951939279 +18828722978 +13980662550 +15104296974 +18529798593 +18503202733 +15189389894 +15831326205 +18539854978 +18807574093 +18540995708 +18813490041 +13653541743 +18885977428 +13904719525 +18811640827 +13924801536 +13696883983 +15867785339 +13694190480 +15119292845 +18837093350 +13690901202 +18839953405 +18890289847 +15826704816 +13912596072 +18817583801 +15851667413 +15880798319 +13959350184 +15849852307 +13975878812 +15124446012 +13918340163 +13627069349 +13978369212 +13987293254 +18852153521 +18892502304 +18582959827 +15828479839 +15838928140 +15892240895 +18510263808 +13912848713 +15112627248 +18889401075 +15868453543 +18515477810 +18511739525 +13969557909 +18558247789 +13668670741 +15896118547 +15148124206 +13663220744 +18840583066 +18893523469 +13920522108 +13672332265 +15112836755 +15860769680 +15182486147 +18894725140 +15871559048 +18599625094 +15141779585 +13985585768 +13939464912 +18832477016 +13679339100 +15116698692 +13922756972 +13689475624 +13961552512 +13686308612 +18805845285 +18532094063 +13922962620 +18844630826 +13947696524 +13674382753 +13667258961 +13929443528 +13926163936 +13925930378 +18500897768 +18515039296 +15162334829 +13654531932 +18536724542 +18849099421 +13949299790 +18837779755 +15868303902 +15815627890 +15849322647 +13625730851 +13644431827 +18534111473 +18893933108 +13937002679 +15175360058 +13996936125 +18505638441 +15112327885 +15194640518 +15878010413 +13933630601 +15806903521 +15102736618 +18569624844 +15853050392 +18568857600 +15880213820 +15154054242 +13663578944 +13682416231 +15850399466 +15892806908 +15171274941 +15199403264 +15803700303 +15106848509 +15170448364 +13670061596 +15897558723 +13981951423 +15136630671 +15166491847 +13648166813 +15883417270 +13936465413 +13974818553 +18582892199 +15151322030 +15185485124 +18510459790 +15832007419 +18828911181 +13639585303 +15856492018 +13931255635 +18530790522 +13945846042 +13656761720 +18885633990 +13934270054 +13679148039 +15157565304 +18549762784 +13976638665 +13984212787 +15803380467 +18564862583 +18883679085 +15887104212 +18807991535 +18829209447 +13682863667 +18809542815 +13935266148 +13978346144 +15110764188 +13617797770 +18546054387 +18517293954 +13689624884 +13686733533 +15822880040 +13652023087 +18892493532 +18800916739 +15804954807 +18813906224 +15876776558 +15893382750 +18561628647 +18860062005 +15101532864 +18517820566 +13990482394 +18858159481 +13984634400 +13662540603 +13695783200 +13615735916 +15106314109 +18534282506 +18828713498 +15137477959 +15180502991 +15868859379 +18518726239 +13986365613 +18548794255 +13961339398 +15876163980 +18558199772 +15875080870 +15897054745 +13902682729 +13982015074 +18870662251 +13960371828 +15107397958 +18504075354 +18503719650 +13905906851 +15117860320 +13675139614 +13693015124 +18507679594 +13966171470 +18884001518 +15801802114 +15106055750 +13980168086 +15834614983 +13980575526 +18542174154 +13967569834 +18555765555 +18871811483 +18563228707 +13618920038 +18844577417 +15170466024 +13900115011 +13666232245 +15196324787 +18818148625 +15164914674 +13691508243 +18886128446 +15875843388 +13650837253 +18533201530 +13992211353 +13938291957 +18535452939 +18886785491 +18843887413 +13996720154 +13638485868 +13957561556 +13948952894 +15810573910 +18548122608 +13618751642 +13650468927 +18842854500 +18859178185 +18594969791 +13925390528 +18521528245 +13978492876 +13911553764 +18842034907 +15100777310 +18525907875 +15115326392 +18824919119 +15159606499 +15178236916 +15864622290 +13968441417 +13663575575 +13912931263 +18861454337 +13994921751 +15140049781 +18559700779 +15194801061 +13638250782 +13602287351 +18826059465 +13606398008 +13925431495 +18845250606 +13667729497 +13963057436 +13907783689 +13913712674 +15808222279 +15165479964 +13617836983 +15822163216 +18801493306 +18813824228 +18855645609 +18544527363 +15843647102 +15118825654 +13957471930 +18524710176 +13670249686 +15864730412 +15115662957 +15151527941 +15189452444 +15125925782 +13648066822 +13626034301 +18511853590 +15803386400 +18806216977 +13642050593 +15877965521 +13937145346 +18888522329 +18811549154 +18555710206 +13935067595 +18804225216 +18575268144 +18590407759 +15815725075 +18889794732 +15819052665 +13627416159 +15184772466 +18829201993 +18864408421 +13691610825 +15889868937 +13672284213 +15191306023 +15119483592 +18501777495 +13906291057 +15187941740 +18839654876 +15149118559 +15837203573 +15810468539 +13952636876 +13637782733 +15817169967 +15154166728 +15111899518 +18891308261 +15875036733 +18832684881 +15878641126 +18591609031 +18810604013 +15885319818 +18829940569 +18511093491 +15883375711 +13673074374 +13901184751 +13972591664 +18860023838 +15807620438 +15177095011 +13687750420 +13991184149 +18545466823 +18850499674 +15863289335 +15159772616 +15108855709 +13634471269 +13969928004 +13967893888 +18593230597 +13606875139 +18839168724 +18522522825 +15199946333 +13687628320 +13643149156 +13636400762 +18576364882 +15858322666 +15188412195 +13906376655 +15831705681 +13635912767 +18581830871 +18887956599 +13934937729 +18893235242 +15115058404 +18875477054 +15124793362 +15873061022 +13938375583 +15894259602 +13621062233 +13966781921 +13624890567 +18824363201 +13669377213 +13981552305 +13989028151 +15170857799 +15151571042 +18515619522 +13959683984 +18877435241 +13923283441 +15819780442 +15117895772 +13954542517 +15842921924 +15862498310 +15104994027 +13929288732 +15196710726 +18866712772 +15845348090 +18819095909 +18862452441 +13932747091 +18595865273 +13934530371 +15122637122 +18834341756 +18897077164 +15187462180 +15866948281 +13981367751 +15861185118 +15198158620 +18544327246 +13991398043 +13901062539 +13903705723 +13629866328 +13928248899 +13926845469 +18875467798 +18837164188 +18584726920 +18549734917 +18539098964 +15848081826 +15187660078 +15845136786 +15873741232 +15118512348 +13640607745 +13654097357 +15191809998 +15827004455 +15854006544 +15892901259 +18515805877 +15147353059 +18565156091 +13633754759 +18828034731 +18573040165 +18845127503 +15893939361 +18501211197 +15847744182 +13667981562 +15159480630 +13609000986 +13914717277 +15803019851 +18575298587 +13693419784 +18817821912 +13625639605 +15144556898 +13697541013 +13947140706 +15108043314 +15893325536 +15887051156 +15808183990 +18519426129 +18842849592 +18863914564 +13914872311 +15851383612 +18823399276 +15173937535 +15899902300 +13605864647 +15869259681 +13949744709 +13926333714 +13652159161 +13996322266 +18897821432 +18578648451 +13913007777 +13976894139 +15139796580 +15836943293 +13609694961 +13601420253 +13973149263 +15152906788 +18895068202 +18829723392 +13636959802 +18835145521 +18882480757 +18597602119 +13924650109 +18862149938 +15102526203 +15153679929 +13935063652 +15165891006 +18877098341 +18830311145 +13645784448 +15811178503 +18839924467 +15890433523 +13620715922 +18804299801 +13920355725 +15829228465 +18891672560 +15829025665 +15115873221 +13696519628 +18879972247 +15137162839 +15124834284 +15110295063 +13616753171 +18850575148 +13642787975 +15107234521 +18808633447 +18512745774 +13922361334 +15153502018 +13659169863 +15806380156 +15886467847 +15170439166 +15833547115 +15162490039 +15185201051 +18537893866 +18593769244 +13694200311 +18830794768 +13983335020 +18561136232 +18559712178 +18526017647 +18886523276 +13655544386 +13953959655 +13969532391 +18509530086 +18524898237 +18897382849 +13691950281 +15814605806 +15862637481 +18819619518 +13935624274 +15115964029 +13686463063 +13601800677 +18852667106 +18845513046 +15111757661 +15174125838 +18894341122 +13659409891 +13917877145 +13676512634 +18534487809 +15804540694 +13939098206 +15810932459 +18588483507 +18832789533 +13922523406 +13991219047 +15827531033 +13624183559 +13994374830 +13618788482 +13911024711 +13678225287 +15195271763 +18556128741 +18862914276 +18827048056 +15865565843 +13674470601 +13633908579 +15875002926 +15100404458 +15847991106 +15108960851 +13616128781 +15887800048 +13965383959 +15170282216 +13669098751 +18516959405 +15154707523 +13980162812 +15112160446 +13901180229 +15185729330 +15833788171 +15889700400 +18815539819 +15104947124 +13990403157 +13685432780 +18803968724 +13658451223 +18553591960 +13970768507 +13661257018 +15174928577 +15884489420 +13657623803 +15179759035 +15863416761 +15195017664 +18864900519 +13917924450 +18895698738 +13605917069 +18564358868 +15836430072 +13681120386 +18807876828 +13951519053 +18591389992 +15147626232 +15143968285 +15878748982 +13664511043 +18573073665 +13642428490 +13686611392 +15869261930 +15121251339 +18556282430 +15810276349 +13661729724 +18538438445 +13935693415 +13987086262 +13663737039 +13668014601 +13627944499 +15840689393 +18526582472 +13943013088 +18809031262 +15188375019 +18823938312 +13931105998 +15809816434 +15882578663 +15852734116 +18852377196 +13937174675 +18894339864 +15806090663 +15189251913 +13956218953 +15130971731 +13983968847 +13932534559 +15104802626 +15176811650 +18507992710 +18513008395 +18539933818 +13946215523 +15806661800 +15119490488 +15808270161 +13975441495 +18588318123 +18848628895 +18592289262 +18809687707 +18820060906 +13689284870 +18541448027 +13671876691 +18851275899 +13954479157 +18521791443 +18550324295 +15174868043 +18552541442 +15898510306 +15142678060 +15832529011 +13920422449 +18543418284 +13986217119 +15829876131 +18559395082 +13913625516 +18816925905 +13647738863 +18880434581 +15821264672 +15198807124 +15199513338 +18524484597 +18507162521 +18596206677 +18896138903 +18851210987 +15185896046 +18805722203 +18893746428 +18579204374 +13643843957 +18576697920 +13604040475 +13686808036 +18872613155 +13987117010 +13632410289 +15197162504 +18514703299 +13652484454 +13950989860 +13981077484 +13950775860 +13972878369 +15191661391 +15879793433 +15195769469 +18593336551 +18851757953 +15849121351 +15809123692 +15841158971 +15186704242 +13961034480 +18806322834 +13920438750 +15897846271 +18517265417 +15187438124 +15130178019 +13945061478 +13628653310 +15163133849 +15845915988 +15809779305 +18899373619 +18566051973 +13686743180 +15142038548 +18502232065 +18572712268 +18885027261 +18841533873 +18568928587 +15113439660 +13900661879 +18594448747 +13904115215 +13660794264 +13664651874 +15145773253 +13653519983 +15895326275 +18558403963 +13693716825 +13927016112 +18877761523 +18857672228 +15149077584 +18516170440 +18501527480 +18804323612 +13610084105 +18886597462 +13691762270 +18560196748 +18544825154 +13626188003 +13618890483 +18522637377 +13979804437 +13698215788 +15899346936 +13990561972 +13651741452 +18800336098 +15866433762 +13952453829 +18597976643 +18586713919 +15874411517 +13616790113 +18504222412 +18549365571 +18859829409 +13687100667 +15868854319 +18847934130 +13947792746 +13986707333 +15852112092 +13672514627 +18895389576 +13966095791 +13996053851 +18500401357 +18560946148 +15886669724 +18863337775 +18837993836 +13952726263 +13673982784 +15846894292 +13671346264 +15110431620 +15857094840 +13686875915 +13604568999 +13609375724 +13900783430 +18571476026 +13901970185 +18863763300 +18567122040 +15877461717 +18829396975 +13669559922 +13994069633 +15156921646 +13999224416 +13972346456 +13923183143 +15868136061 +15142522888 +15161285542 +18571048987 +18800295432 +15854439004 +18521299285 +18585732321 +15140285839 +15173838487 +15881920452 +18853800811 +15178018630 +18846049994 +15878246804 +13957149520 +13610991447 +13901503267 +13941398695 +15841050347 +13651457369 +15863995993 +15110097529 +18858586384 +18837328027 +18852973706 +18504566803 +13677002326 +13654628633 +15109803459 +15181685536 +15100009302 +13650143342 +15139157007 +18552551938 +13657019822 +13998187162 +18864049142 +18847657581 +13929610137 +18500672385 +18851561558 +13669634636 +18571632262 +13983759584 +18550812575 +13619520822 +15191539437 +15165890710 +15890016281 +13623096666 +18851791383 +15155456089 +13914315753 +13614674082 +18584250080 +13638826574 +15826122478 +13637082259 +18886739633 +13652770770 +15842432290 +15137425646 +15130555188 +15830128780 +13669018366 +18806450012 +15820513576 +13629069270 +13976280914 +15862360387 +13926820968 +18891672897 +15892566029 +13920955493 +13655091573 +13937052081 +13682591795 +15130464830 +15116381153 +18824969548 +18862565119 +18893964374 +18824337628 +15865971057 +15809375760 +15864128879 +13918017844 +15100598252 +15817554888 +18826476413 +18583832337 +18819667029 +15883707249 +18886829595 +18524650869 +15179220902 +15842367770 +18818677853 +15128510963 +18853168937 +18561225087 +13614598119 +18534628625 +13998594863 +18538660746 +15808986727 +13902579875 +13696812731 +18810614313 +13943588000 +18808500210 +18539305638 +15148664595 +13905621336 +15184830161 +13683105301 +18896483476 +13677879345 +13964247530 +15126870854 +13929857683 +18888193009 +18815180968 +15887343821 +18540997232 +13954908644 +15132393245 +13906973964 +18574277036 +13641295793 +13939524684 +18551628714 +18854490761 +13617109575 +15803082623 +13657138585 +18540179168 +13906283229 +13603320899 +13675925250 +18567419182 +13638896888 +13905323201 +18508778875 +18528355116 +15112660785 +18879242617 +13994294338 +18869232280 +13962673099 +15840951618 +18824423531 +15813833511 +15887142013 +15164632143 +15802375163 +13989693534 +13609653336 +13612684775 +15134215810 +13633721188 +18867666575 +15871714335 +15880800306 +18586711645 +15871822352 +13929270423 +13902974797 +13650645819 +18574808379 +13967688226 +18569896912 +15850851520 +13908864832 +13932232471 +15880585653 +18573825938 +18586072322 +13670837899 +15155323412 +13607309162 +18525540946 +13605944101 +18808058533 +18520514790 +13905184550 +18898209417 +13638942724 +13685453682 +18833798818 +13668806869 +18899732505 +15150483535 +13628501541 +15127654500 +13612130874 +15860578991 +18578336883 +18597444722 +18897933713 +18549769736 +18577337892 +13635255540 +18526328240 +15182679703 +18842415943 +18809314535 +15894313273 +18820502659 +13671978137 +18879785141 +13645228440 +15109368445 +15807857344 +15827267728 +13918255569 +18573634646 +13650772182 +15162849967 +18864348160 +15887524644 +13962849493 +18546523109 +13921324001 +15876375896 +15857105145 +13672181346 +18811583286 +15877025808 +13699915585 +13682514525 +13971183428 +15198610108 +15121945563 +13689450473 +13615447974 +18874653356 +18825474004 +13998018792 +18516298434 +15154993108 +15864202332 +18863512649 +18595822416 +18887383932 +13657603413 +15851166056 +13600611922 +18501135080 +18873852957 +13962562828 +18838120626 +15156322266 +15879772852 +15817636703 +13656293907 +18579169273 +18512757167 +15164508623 +13913364911 +15842711238 +15161613790 +18871407910 +13688851061 +15854241393 +18803697104 +15840112653 +15117814268 +15123634152 +15863166604 +15899655756 +13640360229 +13630482763 +13616889376 +13941651068 +18831820793 +15814927477 +18594162883 +13919135399 +15845696690 +13974727388 +13937752073 +13602268960 +13627705108 +13608405466 +13906435851 +13939144270 +13638680299 +18567053040 +15184400355 +13607887143 +15880723251 +13920699377 +18542197486 +15150786546 +18588336285 +15863864696 +15831503310 +13601182234 +15118652965 +15821434844 +18572380543 +15840266853 +15858319207 +15857480993 +15849499039 +13665260133 +13601481147 +13952597268 +18889990902 +13683769922 +15101681727 +15165658978 +13988578995 +18502658230 +13664324973 +18578520928 +18588178965 +13913131883 +15133095837 +15129025086 +18898265959 +15179789705 +15880033693 +18551722450 +13988397431 +18572825632 +15199501471 +18586149374 +15804434671 +18802033889 +18568071533 +18850669264 +15167911579 +15190401552 +13650829537 +15151280379 +15164951020 +13938613943 +18878317394 +13933758697 +13634305182 +15849734838 +15814003682 +13669926167 +18538493351 +13670569423 +13635079184 +18526030986 +13904383812 +13649466928 +15188104779 +13686596696 +18591876269 +18504170123 +18813967295 +18533103208 +18846231886 +18846364418 +15824514517 +15859070686 +15176506120 +13902592449 +15170373772 +15177462816 +15152504789 +13905062289 +18858214774 +15876897206 +15852469124 +15897309852 +13959696985 +18817608856 +18861698356 +15187671734 +18894192007 +18871199502 +13970884962 +13991094509 +15157223329 +15856844938 +18802419426 +15894975595 +15874715143 +15833649957 +13634653579 +18855860785 +13915834737 +13951745762 +15854487075 +13623157435 +13604457816 +18804711489 +18530458436 +18528093015 +15165171204 +13907181533 +15813652090 +18877400502 +18568238488 +13679103488 +15137235641 +15175511664 +13617098744 +18540582658 +18846087997 +15115016481 +18831023185 +15816585036 +18566000862 +13637243662 +15166848468 +15183342119 +13939205291 +15107342969 +15809040757 +15854881463 +13664631900 +18826423519 +13644232136 +13914686377 +13930323011 +18869232003 +15812483776 +13683197202 +18840073039 +13682049337 +13620192728 +13697511937 +18552506267 +18557422613 +13970628549 +18857092415 +13956322730 +13972917023 +13919853611 +13957443765 +15163724430 +13661808802 +18531274188 +15151018188 +13938186115 +13693301318 +15856875385 +13913485347 +15822034309 +13903093299 +15802051617 +13948086260 +18862970533 +18820218125 +18876593967 +18538590457 +15149074328 +15843912403 +15110045706 +15172071187 +18800402750 +13904235268 +18817307316 +15852987397 +18506180068 +15116183816 +18521021310 +18524002199 +18559524347 +15160449002 +15164464397 +15815980055 +18817823445 +18833838805 +13952965415 +13620508083 +13929195231 +13621452934 +13916408176 +15870745348 +15199167555 +15895770074 +15872292773 +13923907159 +13901673381 +13681041673 +13961569832 +13906043178 +13931656731 +15879345600 +18890487492 +15150047865 +15814447898 +13654403355 +13658822816 +15812665155 +15196473818 +18595396104 +15188594323 +15819761699 +15800324434 +13995140861 +18838985702 +13910929553 +18510461550 +18802370113 +18586507887 +13904839401 +15166814191 +15867331696 +13918743216 +15109415824 +13934658398 +18874047978 +13685834910 +15810162162 +15162520851 +13911127680 +18586715204 +18548185273 +18807686691 +18510720710 +13917039060 +13656794097 +15807329206 +15803716467 +18811265902 +18584560298 +15152458320 +13644529559 +13940060634 +13916818553 +18827369353 +13984080267 +13656064308 +13613059203 +15891378006 +13901249901 +18895355440 +15140297937 +18591076203 +15805829363 +13969009941 +13655776559 +18883690181 +15826103757 +18861347792 +15821048384 +18537459165 +18895085976 +15111008978 +18850286109 +13955157319 +15879504462 +18826836681 +15820921732 +15142207660 +13695350247 +13609554210 +13615972484 +15880303556 +18834440731 +18536434533 +15847262940 +15806769392 +15871321930 +15821181684 +18521678419 +18856001618 +15151510696 +15150231455 +13997300441 +18520480347 +18570290570 +15146032924 +13600046798 +18819994314 +13954644957 +15874688070 +15107098522 +13659822587 +18567198036 +13686447879 +13947157754 +15183707430 +13679197883 +15155037363 +18860473898 +13930170157 +18552943979 +15811897440 +13606426265 +18537402365 +13629954335 +15152930232 +18876964405 +18560608973 +15130295040 +18549241073 +13656230969 +18579687139 +13998490105 +15135210095 +15113862753 +13668747450 +13940959375 +18516105412 +13607960114 +15108216918 +15812220577 +13910484112 +15191662878 +13925615069 +15170404516 +18555505949 +18882523129 +18858389421 +15144042029 +18584372988 +18823603834 +15194323746 +18884239796 +13965004486 +13974757560 +18504130381 +15867438821 +15178042444 +13966120404 +13638691139 +15805927628 +18815250468 +15878750465 +13632987744 +13625064645 +18510002900 +15856463081 +15868344108 +18855420718 +18854721924 +13946891577 +13940036026 +15169922039 +18858187398 +13619216874 +15899466553 +15102150397 +18594665509 +15800850899 +15101840111 +15125454995 +13997881473 +13919136191 +13667804752 +18552853582 +15180843013 +18853119929 +13626083736 +15824510057 +18804607047 +15808039401 +13666289324 +15101229700 +18532105133 +13946034931 +13676514628 +15156177047 +18810691566 +15191813358 +18538180077 +18507943079 +13605169922 +15816771905 +18585751317 +18566368502 +15873298868 +13666357977 +13960634172 +18568234119 +13945089723 +18849187326 +13642372282 +13992745770 +18594863080 +18568821524 +15809182134 +18861872619 +18882351979 +18829661618 +18552487392 +18885910966 +13635587013 +15117700945 +13911628929 +18517847390 +13920799954 +13910977979 +15137198827 +18569068900 +15130310762 +13982261465 +13675251047 +18545085969 +15131151811 +13632590651 +18835859451 +15132587520 +13612543488 +13634203650 +18848649326 +15182527453 +15845947347 +18871423868 +18523960306 +18517268755 +13631498389 +18830734558 +13610947484 +18541540692 +18880715838 +13631949966 +18863155341 +15883471181 +13964385709 +15843488401 +18592825677 +18815270194 +18823246681 +18860790101 +15878326841 +18877727052 +18524266614 +18567904822 +18869624947 +13661491636 +15159005198 +18856015476 +15828961117 +18842674110 +18514338427 +13685202847 +15172182123 +13658333938 +13987508444 +15899519839 +18865389782 +15849759983 +18567620419 +13683560251 +15125586254 +18811516172 +18857657942 +15828322495 +13687324878 +15118497394 +13699857412 +18801581733 +18833551674 +13965765059 +15851043776 +15144031020 +13922882831 +15151661657 +15110642708 +13630986661 +13920654428 +18597900070 +18862124340 +13923134771 +18853942353 +13974842432 +13658052541 +13632493300 +13614585758 +13951986956 +15134191650 +18873710182 +13900871255 +13982499072 +15806841168 +15170947085 +15846214608 +18562459936 +15872674080 +18835948458 +13916652685 +13926574739 +18884625628 +13676278534 +18804742654 +15121031922 +13993167323 +18861371948 +18550074975 +15872244412 +13957101229 +15868147269 +15192728154 +13919752034 +18569018887 +15872232359 +18873796090 +15164739485 +15801328943 +18550333414 +15840448583 +13608281879 +15807272375 +13976573514 +13630940214 +15147025937 +15184971454 +15127347943 +18511963404 +15157130896 +18531369385 +13677739089 +13931344801 +15190261265 +13992118424 +15135802574 +18540117993 +18848529716 +13692004310 +13954745522 +18820033273 +13941138903 +18881568685 +15860977864 +13955090865 +13932267125 +18876854298 +13999933786 +18879316936 +13901202010 +15889822098 +13915149847 +15804574729 +13938430650 +15897495245 +18540852375 +15854951033 +18599799192 +15122404757 +18884838371 +15833862735 +18579585332 +15841174850 +15846984045 +13663135795 +13976860645 +18502762060 +18837505781 +15127715313 +13965308644 +13988645315 +13672159023 +15144247692 +18865239238 +15127750829 +15881670666 +13620152759 +13901907270 +13626333234 +18823524204 +15864289514 +15140243397 +15123182178 +18852581250 +18594201775 +13618435366 +18530832102 +15187910077 +13919620801 +13671877397 +18580930640 +18867251138 +18577719826 +13980786951 +15145550794 +13673095914 +15146256835 +15809003721 +15818537696 +15818145911 +15803621212 +15876059944 +18553164741 +18823410345 +15828586786 +13682839114 +15875939711 +18835965760 +18523862463 +15884755838 +15117257323 +18594556175 +13641775392 +15154620574 +18886453358 +18801059708 +13634528898 +15815725485 +13994348254 +15842690308 +18584234008 +13653405588 +18872809152 +13998427341 +15180738350 +13946601871 +13651328040 +15838099339 +18567506863 +15114708064 +18500371961 +18855179810 +13926566850 +13917140328 +13611799211 +13689343267 +18570431243 +18584687998 +15116197001 +15183269820 +18847292104 +15165248683 +13635010734 +13910022590 +13942522540 +15868374064 +18809775069 +13631750934 +18586669132 +18588422827 +13620771068 +15101688765 +15897215120 +13635363757 +13602263055 +13665127917 +18537003008 +18539027272 +18595017855 +18811273485 +18805256159 +18866030535 +18800651716 +15835585496 +15807261442 +18838097179 +18564539202 +18510308445 +18554137711 +13668560124 +13991393027 +13933680466 +15862526541 +15852939409 +18516159217 +15115648817 +15824276870 +18887214352 +13650613177 +18596094669 +15834396295 +15131349051 +13659179865 +15857949987 +15898467599 +15842972585 +18838599749 +18564608147 +13689793432 +13904623097 +15870064038 +18580863350 +15158852327 +13640560195 +18583929254 +15810153348 +18566878328 +13637274493 +15826984025 +18894370376 +18864903130 +15878228596 +15895677601 +15812230549 +13606756084 +15198631220 +18518674875 +15142439140 +15872240485 +18569509775 +15820013889 +13646195069 +18803652330 +18831888918 +18527762162 +18533310901 +15884181903 +15165045577 +15192966561 +18807371180 +18598777067 +13625153082 +18865572942 +18852879142 +13991282786 +15899719954 +15127109215 +15829152572 +18885112445 +15814258113 +15817462067 +13946383012 +15823476123 +13645757984 +18542067966 +13698068027 +18500470246 +18894985721 +13963680058 +13674970854 +13915058335 +13941554491 +18850335750 +15166094746 +18883645740 +13905413393 +13650134889 +13698941151 +18541568573 +15133540595 +13670522152 +13984716193 +18599393331 +13653371770 +15122082904 +13635424092 +13944247130 +13945287275 +15111831141 +13961159787 +15849733707 +18571151232 +15857790011 +18578678051 +18899889555 +13605543869 +15837597525 +15110382113 +15898775588 +13649863089 +18557266511 +13677911651 +13908570534 +18897495550 +15841340589 +18500722796 +13655594125 +15843169085 +13955634033 +13935215069 +18506092865 +15866882900 +18896236422 +15816243627 +13994280008 +15112075902 +13693543814 +13957441717 +15867309751 +18518536798 +18521019665 +18561787987 +18578817694 +15159407323 +15125377658 +18874522143 +18879121296 +15159974409 +18579889528 +13661110728 +13650705188 +13681595934 +13904119632 +15150573963 +15804504272 +15151099039 +15194736693 +15815955867 +15882992924 +13682775752 +13619453014 +13698257141 +18504964065 +18835603139 +18841749747 +18874877070 +15145924317 +13971266984 +18530675009 +13997351645 +18882811228 +18548595842 +18587098304 +13905925200 +13617005897 +15853135407 +15149971403 +13927216878 +15112461666 +13609919355 +13978247142 +15197976419 +18559028160 +13941061373 +15178621200 +13688170098 +18832923828 +15812265891 +13690356248 +15188039747 +13996875311 +13900888565 +18860137621 +13602247506 +18554132243 +13988768802 +13629006009 +15151455834 +18543864205 +18805900540 +18596912333 +13982605857 +15119394306 +18847962893 +13642358606 +15894264999 +15171011499 +18843559344 +15167980480 +13626505972 +15172858857 +13616947039 +18894654539 +15193169143 +13931400791 +13671890440 +15878867678 +15129517332 +13911434618 +13922664807 +15820858356 +15169083676 +15809032804 +18887076836 +18851001350 +18508229323 +18868242514 +18579405498 +13927857383 +15100071248 +15118709061 +15866584638 +18849784653 +13643635538 +18883746280 +15189990878 +18541050315 +18540226284 +13668221479 +15115832371 +13926134032 +13968868529 +18820699292 +13607364367 +15855568440 +18570195425 +18541407982 +18890583877 +18833200294 +18530267836 +15137034844 +13667929095 +13615438272 +15877177495 +18863953066 +15879904867 +15885407127 +18519505774 +13924786063 +18579644936 +15114126405 +18892261878 +13997820730 +13687579295 +13909222978 +15847582192 +15805962690 +18803254385 +18832812204 +13612461741 +15830817569 +13982282756 +15895024499 +13631731623 +18581470260 +18865890500 +13672304367 +18897281731 +15895636965 +13915277854 +18555369356 +13946386330 +18549312348 +13678501247 +18881018415 +13945540923 +13960482042 +15122441905 +13631865709 +15880375739 +18515034023 +13973930161 +18839318414 +15167600000 +15828073649 +15811731012 +15869916428 +18885190690 +18562300358 +15199981016 +18865061823 +18514255326 +15131639555 +13618693497 +15863662547 +18591295496 +15840338893 +13978176570 +18552341578 +18584620026 +13679861375 +15125143978 +15131309712 +13698644249 +13950017226 +15166033679 +18847396468 +13637967377 +13994258999 +15177457241 +18869907614 +18894445606 +13973733729 +15871548586 +13665724654 +15135655787 +18588868481 +13685649222 +18503573518 +15854257088 +18556946177 +13698001407 +13698302382 +18577885849 +13924964011 +18543250283 +15159449926 +13664449747 +15843020699 +15884859229 +18846158113 +13912549240 +15809172968 +13685055335 +15138041433 +15123452721 +13964179167 +15115264150 +15866871187 +18810100058 +18805682453 +15195673655 +13963791904 +18882889155 +18819935790 +15149134648 +13921352533 +18892334106 +18521281388 +15195873979 +13952494483 +15171905764 +15828805201 +18556190283 +13687119593 +13902773863 +18513635743 +15818762620 +15111538935 +18547168437 +13951817417 +18518094798 +13994730872 +18504376620 +13640295083 +18523001050 +13915494392 +15174783101 +15142078001 +15809933775 +18803084889 +15846472355 +13669857342 +18887109559 +18593604467 +13977659706 +15132600034 +18864882235 +18526549545 +15851422434 +15164030984 +18810189001 +18580219272 +15161210192 +18896462911 +18570046498 +18544905377 +13674979640 +13664943224 +18548370028 +15870398060 +15858793651 +18565833707 +15836537330 +18802375799 +18812226515 +18813515253 +13643033313 +13602869840 +18519507838 +15106050466 +13918691281 +15149402032 +18527082882 +13975134466 +15898179769 +18802833943 +13622168564 +13956146131 +13612280975 +13940095445 +18875356962 +15171164988 +15823321344 +13910176080 +18538457497 +15844980274 +15800833878 +13671516483 +15158816084 +15128875503 +15180750437 +18579993218 +15857838744 +15870440695 +13999980490 +13600917711 +13973945559 +15846217037 +18810081356 +13939051183 +18865065667 +15860399410 +13954883529 +13696203329 +13671054382 +15896868467 +15194874650 +13961573746 +15128413560 +13955222382 +13619099202 +18829969293 +13638777578 +18828495515 +13612749025 +15828453642 +13966578366 +18557591763 +18500900487 +18899235090 +13605779294 +15194626126 +18832042821 +18864448704 +15179945854 +15126364718 +13907315163 +13628728260 +15198406645 +18875650753 +15196662292 +15847325015 +13655108031 +15856758853 +18865075040 +15129714515 +18837693972 +13972638804 +13673521643 +15143079764 +13974046944 +18816467480 +18549902582 +15181089733 +15857616903 +13910872749 +13997145254 +18587073085 +15863170026 +18825507832 +15117243664 +18574870210 +15114836481 +13979318986 +13612604516 +18897573262 +13692824044 +18578726306 +13932298056 +18556121631 +18873315760 +15107790382 +15816765044 +13998249702 +18810709945 +18898544193 +15847598108 +15172870715 +18892676435 +18500704447 +18525822760 +13956392486 +15189785102 +18501146396 +15850680755 +15104293227 +18817048599 +15136893797 +15853093302 +15187460332 +15823533001 +18528013039 +15155389222 +13698664978 +13654925815 +13610400138 +15125349656 +18525132670 +15132748147 +18508863936 +18877249640 +13901038041 +13689177145 +18598844253 +18810186874 +18587539077 +15186070086 +13671960486 +15855612908 +13679600565 +18844149477 +18847463026 +13984571935 +15826633602 +18565704903 +15194427998 +18579482243 +18548972904 +18550592897 +15119688919 +18557171340 +13632063287 +15198662248 +13621816983 +18561843914 +15134262585 +13903779486 +18515431583 +13942597411 +15175122059 +18544256263 +13632181266 +15802826859 +13902507238 +13925326033 +18810535893 +15152453830 +13628256629 +18537445891 +18801999074 +13678488106 +15173837523 +15179825612 +15163596931 +13662898942 +13917918477 +18527654699 +18549617733 +15154119450 +15898053853 +15867926323 +13624320755 +13931514618 +13913589108 +13971375383 +13648906253 +13610392950 +13999046684 +18811578320 +15109047914 +18872648616 +13618252534 +18826702425 +15811684504 +18850950986 +15196042099 +13667011992 +15167825109 +15172739813 +15142547409 +18824468192 +13954398079 +15125039503 +18595501451 +13681108431 +13638224523 +18877908087 +15100199018 +18563046709 +18571505632 +18815459550 +18885340656 +18540573801 +13938876002 +13699053131 +15143623135 +15178878038 +13953985295 +18540014418 +13958548591 +15120170304 +13912139663 +18565728593 +15136455914 +15106284869 +18886222729 +18899795814 +15126084539 +13926155939 +18873999407 +18870366135 +15838251379 +15896197567 +13979516901 +13614212815 +18893282348 +15193076245 +18598060622 +18872889166 +13697789330 +18838109045 +15178409020 +18810506234 +15197171340 +18542205518 +13653314502 +13944527040 +18505379898 +18513246093 +18855734795 +13983206810 +13984624734 +18864526830 +13626525243 +13665676651 +18884108582 +13952641677 +18579822737 +15118652310 +15143588686 +18514563297 +18825516713 +13686524830 +18893089747 +18841519666 +13915949508 +15115441154 +15835264661 +13937452841 +13940062108 +18889779623 +13920843492 +13607029648 +15879794222 +18511454629 +15170778080 +15864823328 +18875292152 +13603728873 +15855226199 +13660535960 +15109061860 +15887049875 +13693274323 +15807089734 +15167716054 +18892856704 +18533557924 +15817857562 +15177443619 +13686815523 +13961150608 +15877771188 +13620285084 +13647884722 +15181150270 +13687063209 +15118507067 +13645054319 +13924182524 +18533715062 +15873791838 +13908120243 +13607754052 +15842554765 +18502618918 +18550702751 +15888544049 +13600520208 +18822609287 +18569785119 +13974803686 +15132080674 +13642672044 +15828194165 +18808347519 +15154138835 +15842603754 +15163068378 +18535531315 +18825084078 +15841883204 +13904681036 +15150158510 +18526695760 +13674992264 +13694748820 +13921269648 +15806774735 +15155992789 +15140595872 +13682445708 +18578263946 +13959926340 +13932209282 +13645828273 +13698444785 +15174379052 +13948159464 +13624609564 +13683803495 +13663585377 +15882328391 +18806840402 +18509846055 +15887692502 +15179626079 +13966979544 +18806061889 +15164803814 +13670158560 +15815085113 +15124815699 +15820646660 +15192888273 +15161995574 +15803004752 +15100031122 +18873942850 +18551554365 +13673821111 +13966220166 +15107690385 +15837945415 +18811841560 +15802569807 +18842474387 +18543682362 +18544628385 +18552393323 +15124957399 +13676005686 +15888291455 +18535686917 +18838363870 +15180745583 +15843498582 +13653157920 +18830847169 +15852194459 +15848897121 +18551451328 +13655622770 +18512410656 +13943620974 +18840368516 +15809198118 +18593109340 +15195360339 +13996497253 +13644704313 +18556386918 +18861013431 +15132016327 +13915939063 +18840174804 +15848759453 +15861445852 +18840655483 +13939411909 +15197390968 +18843433579 +15845368398 +18581821456 +18802088301 +15106507065 +13664267939 +18501625113 +13658125951 +13910663728 +15184960870 +18872283793 +15814875980 +15864225700 +18879846539 +13945614218 +18507713787 +15830272023 +18826192279 +18531815301 +15114893644 +15841504015 +13975011271 +13979955179 +18500681904 +13977052184 +13955572000 +13906708471 +13648830846 +15872821296 +18553910797 +15188004449 +15154662461 +15187910201 +13684336954 +18592112426 +18549987588 +13652799483 +15194872575 +13935654495 +13659840391 +15835706415 +18848204846 +18831791267 +15890319737 +13952759701 +18848423923 +13964351669 +13651545839 +15152132764 +15160501597 +13685339814 +15880783016 +13984769826 +13927913227 +15815414123 +13641557618 +13695505729 +18578369543 +15188745458 +15875998845 +13905421307 +18543423847 +13687123975 +13947955772 +15116028810 +13632910264 +18843805449 +15164899240 +15123519416 +15877760834 +13997056947 +13974571539 +13686717758 +13690265024 +18880131632 +18828834061 +15107432682 +15112885969 +15167015706 +15874687674 +13690018692 +13933335385 +15845706349 +13963006194 +13930426623 +15141481491 +18584807011 +13958103327 +15174260623 +15896016813 +18538768273 +15884391875 +13991566909 +18538447473 +13601532554 +13963803568 +13641245260 +15855016793 +18527363412 +13978374461 +13625027106 +15101414046 +13673122927 +13956604181 +15120660944 +18599832640 +13950009130 +15825000570 +13980394255 +18857292547 +15820773406 +15116994542 +18564081268 +13602461898 +15831629901 +18501583186 +13653173958 +15130478427 +18802778131 +13930890572 +13681830020 +13938253179 +15141238513 +13680792611 +15153303315 +18892122340 +15130449758 +18873377186 +18556733155 +18543474133 +18835639961 +13650583915 +18811517760 +15826518855 +13652507753 +13983670518 +13989675775 +13918978509 +15149814437 +15167448103 +13686086004 +13914802881 +18801459056 +13649241132 +15105141215 +18849693428 +15850299041 +13602381842 +18894645966 +13980924165 +15864841774 +18541257331 +13698376745 +15842829698 +15886514111 +13997747627 +18891708345 +13639088442 +18868633850 +13673378346 +15195731942 +15844183507 +13621925331 +18552656054 +18579246591 +15168013779 +13671812189 +15194565912 +18892676738 +13909673830 +18857814666 +13917062978 +13684697489 +15853662724 +15117170628 +13981399361 +18533448964 +13661481806 +18824140448 +18595534174 +18591363466 +13605284036 +18527014608 +15191613367 +13972562520 +13949605064 +18883754597 +13622537971 +18500057524 +18892530760 +18586824534 +15843340558 +13941722924 +18865805607 +15175347337 +13613172069 +18518125500 +18851985958 +15817592274 +15187468599 +13997057862 +15813845970 +15808497849 +13965543215 +18543363942 +15846492784 +15105800257 +18534013859 +13928607436 +15176639335 +18536099091 +13920994085 +13968872105 +15885076074 +13979037916 +15188516985 +18892079483 +15805845347 +15123109902 +13694989863 +13611732654 +15115041370 +15886736516 +13624300250 +15867565279 +18880167701 +18598459533 +15840415463 +13992995490 +15144641868 +13953547491 +13675861540 +18526842712 +15835957640 +18814623436 +15864632509 +18893489172 +15891953195 +15122283784 +18551774634 +13651974515 +13983832380 +13909491753 +13628112910 +13657305435 +13910419777 +18588866809 +15854962453 +13691970666 +13650981547 +18854747394 +13970011936 +13647265112 +15111348116 +15138284667 +13679905289 +18517115079 +18511038964 +15179444839 +13604071613 +15848427451 +18540713852 +13902936482 +18542925151 +15177791107 +13607826296 +18855254105 +18815991363 +13632948210 +18547800560 +18853298673 +15129690019 +18522110384 +13671224856 +13955188780 +15136045764 +15882663391 +18895617813 +15889916321 +18596178361 +15822171723 +18855015871 +15181679534 +15863305942 +18501149137 +18836961813 +13607473167 +13610295386 +18816159454 +18595877633 +15882556488 +13649752035 +18556573601 +15842448972 +15835356048 +18535622328 +18567294772 +15825346601 +13669997361 +18538012137 +13626104616 +15833522676 +15143044963 +18585079583 +13924702393 +18545687273 +13952338333 +13963544934 +15866565407 +18555484584 +15140980186 +15148472857 +15885101292 +18551221323 +18582788391 +13928469744 +15854535900 +18894309959 +15861754828 +15153096256 +13635871788 +18871574258 +18568194193 +15160003357 +18812570023 +15832271491 +18515039740 +13672785095 +15186565792 +13909037520 +13955716942 +13970062832 +18828704999 +18842581784 +15819327797 +15198820212 +15839264444 +13902485483 +18842102138 +15129696931 +15803597836 +18869715310 +13965946700 +13984306537 +15125928501 +18503365223 +15893752611 +18534061162 +18831203666 +18525698443 +18800070896 +18872887127 +18803883007 +18825745659 +15107286736 +13614740011 +18883427245 +15173299469 +15807849609 +18831499570 +13620718123 +15178222586 +18880792109 +18860942980 +18529089271 +18857417238 +18592791101 +15879486656 +18814591699 +13633428916 +13985208224 +13687904147 +15878805835 +13637461613 +13908500448 +15884594793 +18541582387 +13674675477 +18509933590 +15180136850 +15842822350 +13995525328 +18816550879 +18872990051 +18530139754 +13612273928 +15837169942 +15813159323 +13605079485 +15817392206 +13697212335 +15842766486 +15851412664 +18534939176 +18525120858 +13923887691 +18591069946 +18528350103 +13694353727 +13692490342 +15143568683 +18517829699 +18597000312 +13945584918 +15125345040 +18515545177 +18596683679 +18574728509 +13605061856 +15872626389 +15193193966 +13633832927 +15172368206 +18864753953 +13925941578 +18513114161 +18568787305 +13902460888 +15802994147 +18839687444 +15853225243 +18527325858 +15164251884 +18595716000 +18857930731 +18554124280 +13974142155 +13677131730 +18523513670 +13609494031 +15109628502 +15183379157 +18887279376 +18553865913 +15157565434 +15163715497 +18878531807 +18884982857 +13609738198 +18549590494 +18822598098 +15107254334 +18592415816 +18840343696 +15841010600 +13667283490 +15126577855 +15178793107 +13673404494 +13610224211 +15142991895 +18853061928 +13938787594 +13945695372 +13931933757 +18802473852 +15175667553 +15183614302 +18513849563 +13637967778 +13625635427 +15803229282 +15174429568 +13613805437 +13923606305 +13610531286 +13658657206 +15172671944 +18823150549 +15193243195 +15878435924 +18557034855 +18533326084 +13983328914 +18815325523 +18502360002 +13947744998 +18547600635 +13907608294 +13611488510 +18527268628 +15141350409 +15840941847 +13654645062 +13613129940 +15872531930 +18809947314 +15838359068 +13916470374 +15130247803 +15194418749 +18512376937 +15188337824 +15118129350 +18505689029 +18523403950 +15845112130 +15173705694 +18572567924 +13620356519 +13600813716 +13989652674 +15808892326 +15122201195 +18587269692 +13616291088 +15861529725 +18809961763 +18822302924 +15839355402 +15896557556 +13674869596 +18868389821 +13607762583 +13948983622 +18555168821 +15154887171 +15112574940 +15147211765 +13940819981 +15827703612 +15880493385 +18842210305 +13998727747 +13659361592 +18548888594 +18816018536 +15812760661 +18801961197 +18582452442 +13652219579 +15150807075 +13648856240 +13687426553 +13948872337 +15833787924 +15115096506 +18818357412 +13944475355 +13964986433 +13645589195 +15101146840 +15180880505 +18891165448 +13641156707 +18511122400 +13679821114 +18828346539 +18890216847 +18896241061 +15187634844 +15867541698 +15890817106 +15103829731 +15804733438 +15898693655 +18808382933 +15166841337 +13933713836 +18524338254 +13942236221 +18896084337 +18836756373 +13971392858 +15125369169 +13646207863 +15816386614 +18843534756 +18813525579 +15114288975 +18831130434 +15866007935 +18578695363 +15181794229 +18560374411 +18800734060 +13954537308 +13970935012 +13952689415 +13969993598 +13941027018 +18838589694 +15133490941 +15837095055 +15877620443 +15186862669 +15887441426 +15803684570 +13614227142 +18864903461 +13657424773 +18531266461 +15181592978 +15860293734 +18894775018 +18891964756 +13641914628 +15831962256 +15803247377 +15124753876 +13606564120 +13948449837 +15891593854 +18883867722 +13643713046 +15871083722 +15160182672 +13919237286 +15889211938 +15160917879 +15117328589 +15841724435 +18843483664 +18526821175 +18598283383 +13690184364 +13977007086 +13902856898 +15850649222 +15861935315 +18834367789 +15185887220 +15100591295 +15850663237 +15130359874 +13995712717 +15885891672 +13947235612 +15197497104 +18580038699 +15862212208 +15196342404 +15100848164 +13603223680 +18893410350 +15129878461 +15876053569 +18554301626 +18587370879 +18507889312 +18838327670 +15864366240 +15102995186 +18858884219 +13694467551 +15848491693 +18844882076 +13988046681 +18558433902 +13917629792 +18838782865 +18897234544 +18882525454 +18521916312 +13607793509 +18564627764 +18582890831 +15827386281 +13615454892 +18598921788 +15179344300 +18828966104 +18551540622 +18565432147 +18594698337 +18878526231 +18883092760 +15880799074 +15802313963 +13668980820 +15191517172 +13605435292 +18883266418 +18880213642 +18818864846 +18809301086 +15812545725 +18511398599 +13938130886 +15805267785 +15115713144 +13613027431 +18569256678 +13937495288 +15873438154 +18845167520 +15865816478 +18577282324 +18845471689 +15839949635 +13993907773 +13940512296 +13910569535 +15866162936 +15160555989 +13975160032 +18506227928 +13998385138 +18567740605 +13682781333 +18502084137 +18512797355 +18503400796 +15148165179 +18510708760 +13997088883 +18824752162 +18598593570 +18839772072 +18555695463 +13915418510 +13914792291 +13949862134 +13601838806 +13672177270 +15183365564 +13615934664 +18583572601 +15843376279 +18877563924 +18899174923 +18838589025 +15874993620 +13697436779 +18581989812 +13645675885 +13670390906 +15878562946 +18590453775 +18822461642 +15114871125 +13921632386 +15175733592 +15887153896 +15189048348 +18525788062 +13950149155 +13617940209 +18570776423 +13622151107 +13908117665 +15805959252 +18569071712 +15123321441 +13914105532 +18850946802 +13693628061 +15824567730 +13602821812 +15131307065 +18593938580 +13662700394 +15181140051 +18509899231 +13642589855 +18842106913 +15199773494 +18876964401 +15833583486 +15120835524 +18547427671 +13931616316 +13926555058 +18569276697 +13681850389 +18847028777 +15108761416 +15816909866 +18589709324 +18554122310 +13694347705 +13909040136 +13649324157 +18891371034 +15891130328 +15125379568 +13693051789 +18841385641 +18802834107 +15892645444 +18569494582 +13689780013 +18879393971 +13905867398 +18841727235 +15883082854 +13926558568 +13659200971 +15173495565 +15176342543 +13672978764 +15106441226 +15172837761 +13932506444 +13956858491 +18522703625 +13948076938 +13622681737 +13945729926 +15849895084 +13636425404 +15835115217 +18865556169 +13618557736 +18519259749 +13695859778 +13654207413 +15185766106 +15120642517 +13990606368 +15145881368 +18510325500 +15110755953 +13617925951 +15101627088 +13948494664 +15871237597 +18822634529 +18815661892 +18585935674 +18595281976 +15124359445 +13977502033 +13927774154 +13631778877 +13956535608 +18556311129 +13944608310 +13613887112 +13935763824 +15122192815 +13642877833 +13972234907 +13907401199 +13977764439 +13650399946 +15151411956 +13970057962 +18507998813 +18857075902 +13933957997 +18896766855 +13642390859 +18506576270 +13962825842 +13902342864 +18566009384 +18896420273 +15871513983 +18811320429 +13650757309 +18882080044 +13619610285 +18514757155 +15856978598 +15167267007 +18555843393 +15193515163 +18844487486 +15837743986 +18842472262 +18800087267 +18508557869 +15164895498 +15863296361 +15188736877 +18500039672 +18562379525 +13631172970 +18857444993 +15159454840 +18540896905 +13621894192 +15164836370 +15107531289 +13901543154 +13944376096 +18531285664 +15186968402 +13676816984 +15108955261 +13939318435 +13608561492 +13637993725 +18892404493 +18828610620 +18541939629 +18841930977 +13675421910 +13688484308 +13934337617 +15877424515 +18803342707 +15103656833 +15834947125 +18869083956 +13967227791 +15118305339 +13680868234 +18523452062 +15896031907 +15839766692 +18515472921 +13907793878 +13671936719 +13900861697 +18862907476 +13638025628 +18543060380 +15878883770 +18886364777 +13639264407 +18591674625 +18853570911 +15841790221 +13662703555 +13671720432 +18824418262 +15195307980 +15830701991 +18524297353 +15814588561 +18842514666 +15875007926 +15109353345 +15868952950 +15129158887 +18804007319 +18872226110 +18581583098 +15825240971 +15145616034 +15156461868 +18892468241 +13622735711 +13931837794 +15833911491 +13648108965 +15158659974 +18826108093 +18559722707 +13638561951 +15893786492 +18871101808 +15130484963 +18884095255 +18876618763 +15197819846 +15803908583 +18807196728 +18898671121 +15837346455 +18517048347 +13688551702 +15183391352 +15143203065 +18869748357 +18805294028 +15869902419 +15834526446 +13611840067 +15817424592 +18861385129 +13627455859 +18856839948 +13976182519 +15187310240 +18886017768 +13660213960 +18580924431 +18870425373 +15838267222 +13695453580 +15850846239 +13976194659 +15152429480 +13952112358 +15188580647 +13639269845 +15849921587 +18510910663 +15887907272 +15838260861 +15859747037 +15897306841 +13659051010 +15187425826 +13909823011 +15834401863 +13688226739 +15899671949 +13671771776 +13970949682 +18538908335 +18851881012 +15880897672 +15872390747 +13969586301 +18846535993 +15142137343 +15876773538 +18880874701 +18852899528 +18801575391 +13900941949 +15820296052 +18507670611 +15123572737 +15199584662 +18854524487 +15878193922 +15803673119 +15813555981 +15873233114 +18873985610 +15145302381 +13983146077 +15857337881 +13665681129 +15187100114 +13646050254 +15166699891 +13900589238 +18888373196 +18873603157 +18512667603 +13953071343 +18843507779 +13698110803 +13630961494 +15145501665 +15115734616 +15818682232 +13939744518 +13614760977 +15804029372 +15114489796 +13943518884 +15198132051 +18580529148 +13939897787 +13683796990 +15188987965 +15883660985 +15112456572 +18548818953 +13938685965 +15850266728 +13963118081 +18542811452 +18535986281 +13921178647 +15148476897 +15874338424 +15852650024 +15187765073 +15127905343 +15182489089 +15831895557 +18530011016 +15171167189 +18894062030 +18591292222 +13670846495 +13916789320 +13648456809 +15191445396 +18801284069 +13927371553 +18516739932 +15882858270 +13674225659 +13993106616 +13657056267 +18890240202 +13956153602 +18521438442 +13685097976 +13668701092 +13627092411 +15179478637 +18853886401 +18870835038 +18866474752 +13623379434 +18871410606 +18584808858 +18580511481 +13945825582 +15100643194 +18525525204 +18850927625 +18882743466 +15878663753 +13951494078 +13681137162 +13965516095 +15898925200 +15176767008 +15117959406 +13664142753 +15866067944 +18510256162 +15880522128 +15169366024 +15142367762 +18877544432 +18894085673 +13652889758 +15842514233 +18863510034 +18850064861 +13684893320 +13682502772 +15111387085 +15188657838 +13917992911 +18819447408 +15899197779 +13918277417 +13955480903 +13689241958 +18523107346 +15825166649 +13677004524 +18834677610 +15186414434 +13931272492 +13905510330 +15129298792 +18584477156 +13976304296 +18597919744 +18590069445 +18829652264 +13641152303 +18838980750 +15866511263 +18858920342 +18877575778 +13627945698 +13946777778 +13696580154 +18843439665 +13922165960 +15193041801 +18845703593 +18513079933 +18575064058 +15876174758 +15158655871 +18806819301 +15859083928 +13910141970 +18522575652 +15869726228 +15854235823 +13943353090 +15814210980 +18509642603 +13957959916 +18548333845 +15859794708 +13910430939 +18557198760 +13692975681 +18880936051 +18895937216 +15892602137 +18897945516 +18576110203 +15105234444 +13690201493 +13912288366 +13652057534 +18816610408 +13931812140 +15167852591 +18809841015 +13939563114 +13685951001 +18819031742 +18562720788 +18518497447 +15837021955 +13696097024 +15146056417 +15883178718 +15867308100 +18513722251 +18801541003 +15162741020 +15121408457 +15893509851 +15199683388 +18565359962 +15850052528 +15124959817 +13946092273 +13962932246 +13940331094 +13965377535 +15831294022 +15820052474 +18538265593 +15845295921 +18893618802 +15869769412 +18558725839 +15872450899 +18576803655 +13613243441 +13604589009 +18506458032 +13647927611 +18534343485 +15887498295 +15138978577 +13642628437 +15148450872 +15176669579 +13643813998 +18567774981 +13943809409 +15853030084 +18523934713 +15897449813 +15112590566 +13659273370 +15829108742 +13645357349 +13620793393 +15803714187 +18845261607 +18571164518 +13989305710 +18507136074 +18568381659 +18809298380 +13905624835 +15167337125 +18500898251 +13995204176 +15131726551 +15185403496 +18518108845 +13636489669 +13680619137 +18543100791 +18580894627 +13631224746 +18868208796 +13647427745 +13643272717 +15877922694 +18535127280 +18824583846 +13954472692 +13675433028 +13608630771 +13984510917 +15128459734 +15157529439 +15128392510 +13916218896 +18846734528 +18888625594 +15821238240 +15102239707 +15832341695 +13600573822 +18514466369 +18558243841 +15844939023 +15114312106 +15120536156 +15837944944 +13656726675 +13993980445 +18518578138 +13936897055 +13621149727 +18536804888 +18568882136 +13974740078 +15855449517 +13673933516 +13971070642 +13937198495 +13902632471 +15150008167 +18841994137 +18578468720 +18598901561 +13907535487 +15860269509 +13978019592 +15167046494 +15115662726 +13943572894 +13968644970 +18834335881 +15187083774 +15144023340 +18853043047 +18587494940 +18572357510 +13949503269 +15118082602 +15816699474 +18801069110 +13947210866 +13984224193 +15811040436 +13655478908 +15833819960 +18808733936 +15866392965 +18821974310 +15169086026 +13902392733 +13936873562 +18827152525 +18809764391 +13937904585 +18837076060 +13905128041 +18561187293 +18881443224 +18834676902 +13639303985 +18804099338 +18883325822 +13618304286 +15801762093 +18829820124 +15143431580 +13995461599 +18550430638 +15196193303 +13630358550 +15847645873 +15822654532 +18500231891 +15859679196 +18886258820 +18561829098 +15879722690 +15852813941 +18884349078 +18886506703 +18530996035 +15125405860 +13947801395 +13604740185 +15802548449 +18513478857 +18579664400 +18811554338 +18869800933 +15123464025 +18551457980 +18843724173 +13922138657 +13635068146 +13922607932 +18882514988 +13908164369 +13964631500 +18557514681 +13935295780 +15839398327 +13988467640 +18597428201 +13920525178 +15861997298 +13602900487 +13697111589 +18898901259 +13904220591 +15819529908 +13940572242 +15803929513 +15868754894 +13617423166 +15194636646 +15158656310 +13616943357 +13991512062 +15822943218 +15194752793 +18864781368 +18511478702 +15800155918 +15164878576 +18570095825 +15140084915 +13633681456 +15891807927 +15895788461 +13696334279 +18832399723 +18883242938 +15875804043 +15897306098 +13635296879 +18569475426 +13958095315 +18514938466 +18588049287 +13666486372 +15853757776 +15118303051 +18855515603 +15871708994 +13909435395 +15106545758 +13602929193 +13670273596 +18810238830 +13924326868 +18504762746 +15115884721 +15162184838 +15882505419 +18551822130 +13994629642 +18816255240 +18826262754 +15160371160 +13940827380 +18536632052 +15886295197 +15838031872 +15126787192 +13931109862 +13627658262 +18578168819 +15836506643 +15895186991 +15110162767 +18558643459 +18532378101 +13968331522 +15859322416 +18892222756 +18537508015 +18567478088 +15125993121 +15172714064 +13652222184 +13697110531 +13967938352 +18565207378 +18559562506 +18596199401 +18514076694 +18898160824 +13604613073 +13927102685 +15184595053 +13614908586 +15880187940 +18840838063 +13630523241 +15831935323 +15182994388 +13992711881 +18500993516 +13687003360 +15197383687 +18892796687 +13658606633 +13927691255 +15174745047 +13982886341 +15885872601 +18566301293 +13991265337 +15162817811 +15139001046 +15800118111 +18850278516 +18835608016 +18859080195 +18849420136 +18544303469 +13951821008 +15819078515 +15883737142 +18513883933 +18822059617 +13630750415 +18804865785 +18846699128 +15110678128 +13631450402 +13635515144 +15821976845 +13642821580 +18507757095 +13617000219 +13669809596 +15143361734 +15831476836 +13687491268 +13921020368 +18855438257 +18875518446 +13695323787 +13682679443 +15196084966 +13913251279 +15189859463 +15155196893 +15873009521 +15825951216 +15889137768 +18517130138 +18561335815 +13607695563 +15167628293 +18830839443 +18578758057 +18865688138 +18838217163 +18535778156 +13694345102 +13900829470 +18566175826 +13699814671 +18574817085 +13931800622 +18543434706 +15840421793 +15142200757 +18538662358 +18508096769 +15195607420 +13603120390 +18895794027 +13612395125 +13937597124 +13946624011 +13642858041 +15152924138 +13672407082 +13977102401 +13602212296 +18565329914 +18883086199 +15113944690 +15103755137 +15114357366 +18564839874 +18843426746 +15896210925 +13976740204 +18536826619 +13638626809 +13946009843 +15835896977 +15195620084 +18804546290 +18862025083 +13690221034 +18804525500 +18502429200 +13639406036 +18815554600 +18812577076 +15197232477 +18535774118 +15165673345 +18501245892 +13999003294 +13642592020 +15180761154 +18569834985 +13977268550 +13658366309 +18554545742 +18846189908 +13626423777 +15104310964 +15804911277 +18559874052 +15118074148 +13677195494 +18521967599 +13647734136 +13901323774 +18555589756 +18841982160 +13686257207 +13977351097 +18850157877 +13651056402 +15851079692 +15122920258 +13693399208 +15882371944 +13613393194 +13928413609 +13975893712 +13974317855 +18815118382 +15885669863 +13647962634 +13675934419 +18533245106 +15825304873 +13647525092 +15893853188 +18896802582 +15194242322 +13961784561 +18562683222 +15816805232 +15899318915 +15859859304 +13625542558 +13954243574 +18550975002 +13672392586 +18833065602 +13980617011 +15865241266 +18878442700 +18530354231 +18874072351 +15824657026 +18848817093 +13983947572 +18835538951 +13973826457 +15191362201 +15890597745 +18848902633 +15899188955 +13651151469 +15877486418 +15129363788 +13629022048 +13605175360 +15113981876 +18877109781 +15189646781 +18539207463 +13638063083 +18869582362 +18556164670 +18872072325 +13905581072 +15172164786 +13627831152 +18592538438 +15196424101 +15880419023 +15142548272 +18878850949 +15117519553 +15162965013 +13633989026 +18569201459 +13985850176 +15817610368 +18504334754 +15844126130 +13945364318 +13909461209 +18586626791 +18887075304 +13943238281 +18801289196 +18513842882 +18531460797 +18559490589 +15821536864 +18852443882 +15854816776 +15841368477 +15837430105 +15107274120 +13681662262 +15867109716 +13939932439 +13679660640 +15865679698 +18887305264 +18838577064 +18521550875 +13628272931 +18529587743 +13672884629 +15116762504 +13646249552 +15877039429 +18540131447 +18885144782 +15826782100 +13695117209 +18855850600 +13962157359 +15810970656 +15127756129 +18824269210 +18537033077 +13942935935 +15861276093 +18886255113 +15146840081 +13628822555 +13994935482 +13694555126 +13989002990 +18595416239 +18873886593 +18509480547 +13971026554 +15847991328 +13635538269 +18897260800 +13664213462 +15873544306 +18514021248 +18511894248 +13967339262 +15194650174 +13605768981 +13630247238 +15867011100 +18859381651 +15184987567 +13638050055 +13938071047 +13616247745 +15136552199 +13656336847 +13978906073 +13643593675 +18511518394 +15199843744 +15148026772 +15891175509 +18567730999 +15104331529 +18518580684 +15109019266 +15164481520 +15116774609 +18872675965 +13993053070 +13692229333 +18588810628 +18819373082 +15101734842 +18559790345 +18540374698 +13917843426 +18853072445 +18500269987 +13904488900 +15888181498 +13938013834 +13923050625 +18831372826 +13984553583 +13653967553 +18833600886 +13976909627 +13634517583 +18886595995 +15821994943 +18503056906 +18852883587 +18517374179 +13903005675 +13968461866 +15168796993 +13602192674 +15163788813 +13675860158 +15198487589 +15801984800 +18874751760 +18515359016 +18874170461 +13948127868 +18897399401 +15815054463 +18573780597 +15151098581 +15861898069 +15161727447 +18533410028 +15180688275 +18861020411 +18546903749 +18528813010 +13917323118 +18505405018 +15869392617 +18550021681 +15119999642 +18877028539 +15112909745 +13955972554 +18511157472 +13975700344 +13970391430 +15176898699 +15166605353 +13655071455 +13671240191 +18588968502 +15863601905 +13974547795 +13967944053 +15842153462 +13946023549 +18837808703 +18875288091 +18817408587 +18863695942 +13992976748 +18838143623 +13952709356 +13673568699 +18806148884 +15800321454 +15805094762 +13959269188 +18526793902 +13640898544 +13615199370 +15867754521 +13657408554 +15843889293 +13681002796 +13681460293 +18843182892 +13993753715 +15159300550 +18876800385 +13973132543 +13662006035 +18813410619 +18599430755 +15810876431 +18850060978 +18816961214 +18590657691 +15185815423 +18553026788 +15117289189 +15179990037 +15879638152 +15126927090 +18894647243 +15800155978 +15178353433 +15101061764 +15194677268 +13681833872 +18507513833 +15183889651 +18561636485 +18567149098 +15164434940 +18890817694 +15813853849 +13666433492 +13689418597 +13617697116 +15825486424 +13943814264 +13933244337 +18805663520 +15827242286 +18545502459 +18594624114 +13616030787 +18886736508 +18539118126 +13956590584 +18838516542 +15888208438 +15155989557 +15836170795 +13986072269 +15106469033 +15138667416 +15856647077 +15893282297 +13697885481 +15885980839 +15809389365 +13905856715 +18521721904 +15802425230 +13627446300 +13653593577 +13659244504 +15864482371 +13999248045 +13653578244 +13978435691 +15831705099 +13650787291 +18817636288 +15163789100 +13945713821 +13901949134 +13683413636 +18555966647 +18808868243 +13981503805 +18879398529 +13966365616 +15171932297 +18839096375 +18594849915 +15880754157 +15152575601 +13644537479 +18570094963 +13643397932 +13661134363 +18806123613 +15177911318 +13661977381 +15874008095 +13969371740 +18889994594 +18853254368 +13989540191 +18581729518 +18561813879 +13614535377 +15831962062 +18517764333 +18886236286 +15106036904 +18806461054 +13677621816 +15180910723 +13635206578 +13648172747 +18856533837 +18571637569 +15110004134 +18884655751 +15841907871 +15155211383 +18584039005 +15850865136 +13948923757 +13631093538 +15860496124 +15852471496 +15119263471 +13971431868 +18554324053 +13606443555 +18596770460 +18563458775 +15803362076 +18844436592 +15173985569 +18892806213 +15881587170 +13918272311 +15161307626 +18850070440 +13698271243 +15841165548 +15120038867 +15800318208 +18882806029 +18550954495 +15141425194 +13644814056 +13980041938 +13630052178 +13978061344 +13644338169 +18808231644 +18813066238 +13612181007 +13629610556 +18504438726 +18807888122 +15841808515 +18872399413 +18557486018 +15810926138 +15855370488 +13922709489 +13691366128 +15824518337 +13623495432 +15150805403 +13943089779 +18537586854 +15801959027 +18881948024 +18853742534 +18830865084 +15132639675 +18547404693 +18805142890 +18516729578 +13680177369 +15844989693 +18589912461 +18822347073 +13920236484 +13666116370 +13989759880 +15109654202 +18864253015 +18533678796 +13967837464 +13635323831 +15173484545 +18509551597 +15158719686 +15889071900 +13669386985 +13912377420 +13685528247 +18520625297 +15847781331 +15840640628 +18858630374 +15891623052 +15800996737 +18861233065 +18551017974 +13652681403 +13905413573 +13674690283 +18551519673 +15129892993 +13668472947 +18883521443 +15143896607 +15191653318 +13952425820 +18859237635 +13978101204 +15130297736 +13966944257 +18818178318 +15142580074 +18572861799 +18895818515 +13912425047 +15887878282 +15117409262 +15162665192 +15898340257 +13947414893 +18890201673 +13985571829 +13641841683 +18563243138 +15879394166 +18863158346 +18566247571 +13625440099 +13974986431 +15164444851 +13934039856 +15116489877 +18504777290 +15146207591 +18836186188 +13635828794 +13604947448 +15127773313 +15800581569 +18862396877 +15850244000 +15870168090 +15849356678 +13682923400 +18542078431 +13907692503 +13668029690 +18535269637 +15837163914 +13906069402 +18571956223 +18545985187 +18582981742 +15187603014 +13642032732 +18864076638 +13628661657 +18847195712 +13985167493 +15841276051 +15197415986 +15120314046 +18850164294 +15199348036 +18559858942 +18879478082 +18888036706 +18814781588 +18831374277 +15174307934 +13949035063 +15897362825 +18807902018 +18522171167 +18579223498 +13997617562 +13951006793 +13928424422 +15127129538 +15137583519 +13959484608 +18538283202 +18807589660 +13603363826 +15169462529 +18851263475 +15832868560 +13604457736 +13634351057 +18567159856 +13951352272 +15858690815 +18558410862 +15834181882 +13601677301 +13974253232 +15184695717 +18551829194 +18812626969 +18828625594 +13992757428 +18819815436 +13983754411 +18820521613 +13652008297 +13963094474 +13603382759 +18560224286 +15851987928 +15151599540 +15867180728 +13660327258 +13924850948 +15840442339 +18800955715 +18858289391 +18557039471 +18839003505 +15104498622 +13695887640 +18895410507 +18552178569 +15191396651 +18811655138 +15819063892 +13945779344 +13918178080 +13928406077 +18802379591 +18853188015 +13649176052 +15141171706 +18805812674 +13988290109 +13651082538 +15849488776 +13904103952 +15130294784 +18524809404 +13639424265 +13650175844 +13647193825 +18899670666 +15134158755 +13973373955 +15162860242 +13970066403 +13942663107 +13931680781 +13909034642 +18806940358 +15861466438 +13945977815 +15119186690 +15814391818 +15890189109 +18812967928 +18839832818 +18521030252 +13934197061 +15109254912 +13949009312 +18889835539 +18845352754 +13969745941 +13935544984 +13654243827 +13639232087 +13930555478 +13689090909 +18899361497 +18858147917 +13966235527 +15888987201 +18502018550 +15830438868 +18575241241 +15187240365 +13630327485 +13673843301 +13637571783 +13623289715 +15105303121 +15828101699 +18513720719 +18543551612 +18556349582 +13904781828 +13617396877 +13613142360 +18846081482 +13963689706 +18592203203 +13955572157 +15823543171 +15142569986 +18841154476 +15841556020 +18803215034 +18527783983 +18567437623 +15160129133 +13657884943 +13932889444 +18532757512 +18809638827 +18892428290 +13669979901 +18531232270 +15168403897 +13668751043 +13908307763 +18506380754 +18884142195 +18552297611 +18521907225 +15886489397 +18820637838 +18573225012 +13608244934 +15819092513 +15189937653 +15885724812 +18526150545 +18586122277 +15112861307 +18595324025 +13911261347 +13652262460 +15861378844 +18860294822 +18876868554 +15846614934 +18819576149 +13978643629 +18832441496 +15858647635 +15117585087 +18595418530 +13956144680 +18557940588 +15196238180 +13668372642 +18583558493 +15878009428 +15185021079 +18537588511 +15148655697 +13908004698 +13659231436 +18586874243 +13931186141 +13623112696 +15177929617 +13688168030 +15810689473 +18526794627 +18885190833 +13617492484 +15178921141 +18808338372 +13949980997 +15138850789 +13909283903 +15845411601 +15890307215 +13981031849 +13963642465 +15848247849 +15804675983 +15125629541 +15855115198 +15143212971 +18809555379 +18555506406 +13919788649 +15878825703 +13661514377 +18592124919 +18866069623 +15120207551 +18852401242 +15191829624 +13967303068 +18855998084 +18883333210 +15880459374 +18818008817 +15185698244 +15853881520 +18803481142 +18856043359 +15157726334 +18544055916 +18882228965 +13944574365 +15882831710 +15190982933 +13666888159 +18505491871 +15185518050 +15801625801 +18887536266 +13934877644 +13650599962 +18543522000 +15176461228 +18591609909 +15892084819 +15852350757 +13610376070 +13952704424 +13922255029 +13942365973 +15135961004 +15806107963 +18563923232 +13940593297 +18531359207 +13921302917 +18574837442 +18519398921 +15125064205 +13681486534 +15815492774 +18824503321 +15840993441 +15106436363 +15139111595 +18507856012 +15837402703 +13661248692 +18869759364 +15112815112 +18849866636 +15199114689 +18859017784 +13907187663 +15840035100 +18824420629 +18591781619 +18800632989 +18841406912 +13606925302 +13627021852 +15834290583 +15185670869 +13696950846 +15873429924 +13674445874 +13623141832 +18593091282 +15814926022 +15113244350 +15813122086 +13675094700 +13978845503 +15872880922 +13635211099 +18838575651 +18558247176 +18807300893 +18522503575 +13665520455 +18577945474 +13630224139 +13972797057 +18592883876 +13695852535 +15895494907 +15825638463 +15882245921 +18563854675 +18828043170 +15109880080 +15141839861 +18584695297 +18519283335 +15114710525 +13960741036 +15163210805 +13654534619 +15108384357 +18507271155 +18896691617 +13653663011 +13687735792 +13940343574 +13646092095 +18807749195 +18519633138 +18832462087 +15841314367 +13696356069 +15838794097 +13647786580 +18886472347 +15142974655 +13646126760 +18594968109 +13658154196 +18827565337 +15104390610 +18550741741 +15142939855 +15189340803 +18837172555 +18518122689 +13654929838 +18595928628 +13971888673 +15889895727 +15827719825 +13604207667 +13656204667 +18582044962 +18894480809 +15862882723 +18819997062 +18811292734 +13603219197 +13996644981 +18571251228 +18847237463 +18868708176 +13687768607 +18568519715 +18883487743 +18864592631 +13673524483 +18550918258 +15145848343 +13944298229 +15166781722 +13924531053 +18588523601 +15871558835 +15194651433 +18841217751 +18883795443 +13923911638 +13696418586 +13639007580 +18516763503 +18811941340 +15161284689 +18506070020 +13965885951 +18847903315 +13945596565 +15150479086 +15166204807 +15144841538 +13660106126 +13977892487 +18518308283 +15879512694 +15148415585 +15898889828 +18560038505 +15195875378 +13930494952 +18817949474 +18843212204 +13952042295 +13632047342 +18861200164 +13903288800 +13970954588 +13990630395 +13641226742 +18500215894 +13903422192 +18506355807 +13628361011 +15174637915 +18812537055 +15166472591 +13984404104 +15159050736 +18556529553 +13956376366 +13678650234 +15196108468 +18818540960 +13990604479 +18537683098 +13912780721 +18884985446 +13920415390 +18846212989 +18502594359 +18587451491 +13973090361 +15853781914 +18806798617 +15137831729 +18552762086 +13658916578 +15858900955 +13998364300 +15826272632 +13665962428 +18598221835 +15107439076 +18816208888 +15848336868 +18587219080 +15872724315 +18535046849 +15102031932 +18891336642 +18832385386 +15147709510 +15820686382 +18578289921 +18524003815 +18555685062 +18831000290 +18565325989 +18567283204 +15195139063 +18812652384 +15149268712 +13912294993 +15157275793 +15806420457 +15821567790 +13615533163 +13939312237 +15127770372 +13969122883 +15114391159 +15122934214 +15110072727 +18532460235 +15175209295 +13984382091 +15894895036 +13644788276 +13669404302 +13970516008 +18802347241 +18502772641 +15154962734 +13607625263 +18573354919 +18870109943 +18823514801 +13946460068 +18552406904 +15877286743 +15186801015 +18829629099 +18814269033 +15836815499 +18896008872 +18874454402 +13606900780 +15803642321 +18892694773 +13902476857 +13680917647 +15897405202 +18547704983 +18522012765 +18535762000 +15166316287 +13674934076 +18889129563 +13655600981 +18870436803 +18523994116 +15847647741 +18871562541 +13970693382 +13974582455 +13657318244 +18879515202 +13920846911 +13641846194 +18525550201 +18865307435 +13680126157 +18810177973 +15135357205 +13922391841 +13932705840 +15197663559 +13605721688 +15864907169 +18815891835 +13903193485 +15847716677 +18895497227 +15169740928 +13982819668 +15116625907 +15883928835 +18517950320 +15131892869 +13939772107 +18537841507 +15877141726 +18862539181 +18588023641 +18818337875 +15162195736 +15115075076 +18557328013 +13698217004 +18855207692 +13914605191 +15133361602 +18516469735 +18860722138 +13637413572 +15835599631 +15812977313 +15163525018 +15805492479 +18548747759 +15118896783 +18511153584 +13986686183 +13651434570 +13999297928 +15159474754 +18553337362 +15118891398 +13628198584 +15853898381 +15852080252 +15135183718 +18848549120 +15809860360 +13912007098 +18573527012 +15139620819 +13914824593 +15198341996 +13977389530 +18556600636 +18800900481 +13666574869 +15198901090 +18528666757 +15184479880 +13673462900 +15132396866 +13695454619 +18515832166 +18872277466 +15113431211 +15884912966 +15852449229 +13952444301 +15864762499 +13961300336 +18556611033 +15826158194 +15896877407 +15101025555 +18576128060 +15872244476 +13655688947 +18830298151 +18502892357 +13985011484 +18553413174 +13620466224 +13656014298 +15116468340 +15123942722 +13616046258 +15141810410 +15109744989 +13918001543 +18830644174 +15805739210 +15166716284 +18566771686 +15874008788 +18584193709 +18843673507 +15172108870 +13681783130 +18873947183 +13989504952 +15148597237 +15813568552 +15155921788 +18512332863 +15828219831 +13638251621 +15154339278 +15174465255 +13690849799 +18542569875 +13976535213 +15147503402 +13607930027 +13627876558 +13616071649 +15152143152 +18825714758 +18863796906 +15806326410 +13648338472 +15185036652 +13672604787 +18827531605 +18577044938 +18825563478 +13622787429 +15149597947 +18810218975 +13684695974 +13653925484 +18543709654 +18583390218 +13949409398 +18573904525 +15882831408 +18862207959 +15824135291 +15865237867 +13640817859 +13665810372 +15151197549 +13938825398 +18846619278 +13698808634 +18842657476 +18803406107 +18529451653 +13945243113 +13969091073 +18814063927 +15174063670 +15176139382 +15837204739 +13649593164 +13686699897 +13630982198 +13938990607 +15893790257 +13625720139 +18596108210 +15820202464 +13642785847 +13669930041 +13996135663 +18851516453 +13926696735 +15156865061 +15154638851 +13991667500 +18838742502 +15125731901 +18841784415 +15800939629 +18867256201 +13630127487 +18869956633 +15101797866 +15857779714 +18517916171 +13979329069 +13984442555 +13936738318 +15125050945 +15846910959 +13967320758 +13657766790 +15858239814 +13621930554 +18517460526 +18559764935 +13900637606 +15107844939 +13600833668 +15147200892 +13933790656 +18821913850 +13916118527 +13953201093 +18893989631 +18513516943 +18596989813 +18814645307 +15890585173 +18899656087 +15884997018 +18871176385 +18895532152 +18512558183 +15141388768 +18858017497 +13923477865 +18808459783 +18505105748 +18501200676 +13946113843 +13610172444 +13959202077 +13976356738 +18820881584 +18539811098 +13936589378 +15163770705 +18862868999 +15182953712 +13986372631 +15865399718 +13934313113 +13961052663 +18853394740 +13991723348 +18852070172 +15845935801 +18571565243 +13939937357 +18855701748 +13653594684 +18852461621 +18503100884 +13645194334 +18514062655 +18515935523 +15110472632 +15809398293 +15834772964 +13650813529 +13605075873 +13930661253 +15169829694 +13683704087 +15145617906 +15811090403 +15160218864 +13633434558 +13943334591 +15126974362 +15801219095 +13696638842 +18515965319 +18525586034 +13668117871 +18545009179 +15113747758 +18541203559 +13687042191 +13616989078 +13936026191 +13915878840 +18850892983 +15151445938 +15187492290 +15862907807 +18520570030 +15837705823 +13927052116 +13941012222 +18823691888 +13634394293 +13621167871 +13628372193 +13959499778 +15853860776 +15867549831 +18590716024 +13665902532 +15198964616 +13622089844 +13944840097 +13930030328 +13650482607 +15120953185 +15142666611 +15856852116 +18509154513 +13602219415 +18871999468 +18887848340 +18594143732 +13618881852 +13696655582 +13978761704 +18552752901 +15850741307 +13636697545 +13999426161 +13698662628 +18825980280 +13672944294 +18854393952 +15827829697 +15181225255 +15133762520 +13994996337 +18590671139 +15133808743 +15103508183 +13642383160 +18800913299 +18870451265 +15853802144 +13983477178 +15825255414 +18544189805 +18814523969 +15191593892 +15813760628 +13918730359 +15189027602 +18552463634 +15804319063 +13964416712 +13684230257 +18850421092 +15807311205 +18896517459 +18819489366 +15876580101 +15126619711 +13616727187 +15124262710 +15156095453 +15180202198 +13927132450 +15828900934 +13947212751 +18527794016 +13696271583 +13936655186 +18871269896 +13656034002 +15119699311 +15184892928 +15167637966 +13912210061 +18522781165 +13652218284 +18525965686 +13935947686 +13971355494 +13984704778 +15163686826 +18842394560 +18564671071 +13984746733 +15174411707 +18591900619 +15179335414 +18880997264 +18512213250 +15899118024 +13648573821 +18567260988 +15802487224 +15818334353 +18859707363 +18569599195 +18504798876 +18824827641 +13902377537 +13916549841 +15120226014 +18546003905 +15856361304 +13698910389 +13951364832 +15152345963 +13685426441 +13668308525 +15113416635 +15806003120 +15108995776 +18506641087 +15840672271 +18579996836 +18859382241 +13680523422 +18532834130 +15803875145 +13693834749 +13950980399 +18868588231 +15181070293 +13656496499 +15186320974 +13980418562 +13638994688 +15126100106 +18891885253 +18856951040 +13665905039 +13640425921 +18565065201 +18523562150 +13678557974 +18531744733 +15812988000 +15146450708 +15167711966 +18578487331 +13960793779 +18526420512 +18809696037 +18568950279 +15829696243 +18536589682 +13900830550 +18582521451 +13686127160 +13955295488 +18539210112 +15825585692 +13636270290 +15815532447 +18858314546 +13977801490 +18556433419 +13696395616 +18899977452 +15889241868 +13955950521 +15192717381 +15156342155 +18844519229 +18878069917 +18861046949 +15897301708 +18856651989 +13926377334 +15819411419 +15819012530 +15155888874 +18886953137 +18854888490 +13670313752 +13928466265 +15192483720 +15138959765 +13673221320 +18805458648 +13943385158 +13983602015 +18897819476 +13640999329 +15818821350 +13627885042 +18515971904 +18855218340 +15822672441 +15899810979 +15824997408 +18500211674 +18893640612 +18850604123 +18548536952 +15125211204 +13924155893 +13922975379 +15153065672 +15140410516 +13631908575 +15124754859 +13970488854 +18854591257 +15124581463 +13601204510 +13662976542 +15896396716 +18546811360 +13952461438 +18834718253 +15103625088 +18585700163 +15179259161 +13930155600 +15805868366 +18549647411 +15113105305 +18829663459 +18846516079 +15828955025 +15839104255 +18577842245 +15894652474 +15180707521 +18856075043 +13683656305 +15859113975 +15169575788 +13654862477 +15812648545 +15832075450 +15117011952 +13632773319 +18809317111 +13986794783 +18850413757 +18530728906 +15846815681 +18520827242 +18887087948 +13999419180 +18551343923 +13994425544 +13902463221 +18589127655 +13983645071 +18854253767 +15856435839 +13674678142 +15129721855 +18528139532 +18848998617 +15868559551 +15120244942 +15860544991 +15859318588 +15898674538 +13698162348 +18857914446 +18872265126 +15813917713 +13959291095 +13656176115 +18505331133 +18581711155 +18597349550 +18559448720 +15180606474 +13672761145 +18894796463 +15198007791 +13620094586 +13995588991 +18814257873 +18523766505 +13634229744 +18526561711 +18596059093 +18525851891 +13971722463 +13929414975 +15139657305 +15193817573 +15818414065 +15861405793 +18561212341 +18567193874 +13605878763 +18555382472 +13931699142 +18828096039 +13908752306 +15131693607 +15148478612 +18510178241 +13688256515 +13905507442 +13970442937 +13603779066 +18577711553 +15194988345 +13912886097 +15179477317 +15131307742 +15802410444 +18593388516 +18514108811 +13996905940 +13911386217 +15806501190 +13931199039 +18503531228 +15860097926 +18838684952 +13965254408 +15178979495 +13698252540 +15805639615 +15188868610 +18506337787 +15884810735 +18893903304 +18885061909 +13603602356 +13942087469 +15145328121 +18540423629 +18560109977 +13600994202 +13665336508 +13634561463 +13653399583 +18570661191 +15107373764 +15844963502 +18833832099 +18592551179 +18598910405 +18841999914 +15885011135 +15177174888 +18818079058 +15803578693 +15199064059 +18593630722 +13640947974 +13614110745 +13951518056 +13660355535 +15119225371 +15131842774 +18591904750 +13677403233 +13633261411 +13912239452 +18511710935 +18820434853 +18554539916 +15876015474 +15125615764 +15196143960 +18839313227 +18557873534 +18843044507 +13611637963 +13967982145 +18540632882 +15814359314 +15196463609 +18597471432 +15123013260 +15180456299 +18824851341 +18844876355 +13688494848 +18874751766 +15189902764 +18525883220 +15813959717 +18534954061 +15100824824 +15134713922 +13929899550 +18831990208 +15844081562 +18894515373 +18581535488 +15182285388 +13644412137 +13639234579 +18597276888 +13664321775 +18861691578 +13631466073 +13959498128 +15872402667 +18831515244 +13657796294 +13606990861 +13915616030 +13970507826 +18862338866 +15150393278 +15888669131 +13972709640 +15872593745 +13929243907 +15105732069 +18544816114 +13669930511 +15852277555 +13959571064 +18539659469 +13991056934 +18897924678 +13970207601 +15148770426 +15154183435 +13626204398 +18532668948 +13633614575 +18824286086 +13933153191 +18505686052 +13673752397 +13610328026 +13924748667 +13664338339 +18878686601 +15854762773 +13957844969 +13619684604 +15833858121 +15101160576 +18515817218 +13940095081 +13991068506 +15859003483 +13925807252 +15119844368 +13936690990 +18573565594 +15140808837 +15805657054 +13945811252 +15186028169 +18827380233 +18803830302 +15155003896 +18891161463 +13979552246 +18595590416 +13674962361 +15132949207 +15119306145 +18574337113 +13684842030 +13934759081 +18545105998 +18571765350 +15875853959 +18895761571 +13948638604 +13968005111 +13613208358 +18867376235 +18879343251 +15807962825 +15889754383 +13982484266 +13903421206 +18877926815 +13902917795 +18824859468 +13688003560 +15160436353 +15879058396 +18878534262 +18807222277 +18812202283 +13639128945 +15163362176 +15868597894 +15125175436 +18873567248 +13917861385 +15106894911 +15843856381 +18864100588 +15177983579 +15860286316 +13994422892 +15849353970 +18884194713 +18540988179 +13916524620 +18533256873 +15840124858 +18824684650 +18865561447 +13699501890 +18867242792 +18584306084 +13634072239 +15199890055 +15857323557 +13696802246 +13622296629 +15173118228 +13919949072 +13901732709 +18581567382 +13690272010 +18840232545 +18836392908 +18522269869 +18500535353 +13658570570 +13679774183 +18539121047 +15857878869 +13955285781 +13935317359 +15869573580 +18823916813 +15811105206 +15848844595 +15895403041 +18892730270 +18816397490 +13953178386 +13614616101 +15178223469 +13615195704 +15851941876 +15836760208 +13680393167 +13662470764 +15822933093 +15895974737 +18825837278 +13980349975 +13676569926 +15865564165 +13935432416 +13975902405 +13915754487 +13682906550 +15852071221 +13926318477 +18889101455 +15136789787 +13684157425 +13952929803 +18594135975 +15198391027 +15807866037 +13699891719 +13987209767 +13964581603 +15875432007 +13679112656 +15134200654 +18845233235 +15105927626 +13958317568 +18549925516 +15844110509 +18895989871 +13667947888 +18544449250 +15826352934 +15851681064 +18511123730 +15195130856 +15823629824 +15118158775 +13696014112 +18845331400 +13683170273 +15139483103 +13954159064 +15108548138 +13668578264 +13909901505 +18844318605 +15100067560 +15175413896 +15181408283 +13650848181 +18523061271 +13610882183 +18892151618 +18551841838 +13644237490 +13638011793 +18581384886 +15808037170 +13613916082 +18895475296 +13928005277 +15116178147 +18803031532 +18572264597 +18802871726 +13998210181 +13943395080 +15153051624 +13618418661 +15180867943 +15131590897 +18551659320 +15825883886 +15106802131 +18882713883 +13693852943 +15195488351 +15852604209 +18573394074 +18855543969 +18831772364 +13939778273 +18504412189 +13978712611 +13662512816 +18575789681 +18519309492 +18801547965 +13662064994 +13930278985 +15825437581 +15113131782 +15147674799 +15170580835 +15122979500 +13606776367 +18810033003 +15897254491 +13662053662 +15144741955 +15112425083 +18596119782 +13685109354 +13937970992 +18850812514 +13960042784 +15898962129 +13640540768 +15822434031 +18869391028 +18595830687 +15832808864 +18591150440 +13945179026 +18822875973 +15177786880 +15819834274 +18867715074 +15853289779 +15154118288 +18513442412 +18551671466 +15878846960 +18594819888 +13986774904 +18867225451 +13908423324 +13645547918 +15880825367 +18583577401 +13616101018 +15836180574 +13980800122 +18568213078 +18528613363 +15131212254 +18856311411 +15102059954 +13692719034 +15870394843 +15892957817 +18549316837 +13627525664 +15188224157 +18589064497 +18876238188 +18848716211 +13659342134 +18567025134 +18592997558 +13669717955 +15836190752 +18572310968 +15847968381 +18559915210 +13951305449 +15180145463 +15804770266 +18520040107 +15868876380 +18864558701 +18845251663 +15889646147 +18590057882 +15180729906 +15135944798 +13963708120 +13975001486 +13673814393 +18872981532 +13606001995 +18579721108 +13938118606 +13635057257 +15818742873 +15106654850 +18585511045 +13919851737 +13607536310 +18821463960 +15147886095 +18513185431 +15144619073 +13997832450 +13958639350 +13687017398 +13922532482 +13639500600 +15181668141 +15198938052 +18503940400 +15197080451 +15892853475 +15199311493 +18597913059 +13963118197 +13978734963 +15161262766 +18515430509 +18541366236 +13662818506 +15141702512 +18537240504 +18836325445 +13905774807 +15863548302 +13910409837 +15145223388 +15833847514 +18887599200 +18507736509 +13908395878 +15824156937 +15819495445 +15829142392 +13684893488 +13958236225 +13695320070 +15868157799 +15873293393 +13948094199 +15870279239 +18509134909 +18803601269 +18826806738 +13997696185 +13661278648 +13604626533 +18547190014 +18576183122 +13911679846 +13968833820 +18561595900 +13674062826 +13948435945 +13686206315 +15851934929 +13617932010 +15850591185 +13948490929 +18519587961 +15821946949 +18547683960 +15181847032 +18876844455 +18516021813 +13697594377 +15885856028 +13662300627 +18849036018 +15881156162 +18568286752 +13906781420 +13688016697 +18508432835 +18875011836 +18505787609 +13932357128 +18576854063 +13906633649 +13950537948 +13620482912 +15864941684 +13923046288 +13932249978 +13950100817 +15169808821 +13967780832 +13996555570 +18879749958 +18858635873 +15175085309 +15183336184 +15112846550 +13944571082 +15115221515 +15804322739 +15136705506 +15118560481 +15128832378 +15855440502 +13665286196 +15801283481 +15868612745 +13949818910 +15142185557 +18523401043 +18549512112 +18524341453 +18538856287 +15814378693 +15185351545 +18844110883 +13617990610 +18541711114 +13628931655 +13655815134 +13622701833 +15119591921 +18526547748 +13620727492 +13953475052 +15117576044 +15133109697 +13954995592 +15891349201 +13917637275 +18536898104 +15144642205 +15842651794 +13655861555 +13984580461 +15873400834 +18834806068 +13625618785 +13980798175 +15810697729 +18858645427 +18518351730 +18511040079 +13627567338 +15800729580 +13668272249 +18843376032 +13966019545 +18884463321 +15103605266 +18892819109 +18898707639 +18813755793 +13917279508 +13697166618 +15863187695 +13623161192 +18839007003 +13664607031 +13622137397 +18873432757 +18549822723 +13943969939 +15827938405 +18575117247 +13927665388 +18588378978 +13626066427 +13644982010 +18596747320 +13698253592 +13689425479 +13950183844 +15195906766 +18513471264 +18850995731 +15839831363 +13630540686 +13969341129 +13641727276 +15124537206 +15184664759 +13915579626 +18807613266 +15167460637 +18588117198 +15844851149 +15157084123 +13904061217 +18532449715 +15886295529 +18508202036 +18875460457 +18552837563 +18518173996 +13956331503 +13911927697 +13915353173 +13667329453 +13667457155 +15166000401 +13957022365 +15147788958 +15140801520 +18858553605 +18848309476 +13617555056 +13665297954 +18817107855 +15156474652 +15855423162 +18835716620 +13989976699 +15199886438 +18571376801 +18527444027 +13677482244 +18505185830 +13667412164 +13900429268 +15135413479 +18849765769 +13604657492 +13622194455 +18582167945 +15180247846 +15808440934 +15817460935 +13938114835 +15179508583 +15835048785 +13675048772 +15174481761 +18857236392 +13954298104 +15881411945 +13901424167 +18555948488 +13989594671 +18834083490 +18529712018 +15148830126 +13913775606 +13668816437 +13996200056 +18590903564 +18539940477 +15167525754 +18541026863 +18897973149 +18510510762 +13652150105 +15834150169 +13988708092 +15851454365 +18836696567 +13916155737 +13923137431 +18539101981 +15170087390 +18831456874 +15113117606 +18894481295 +15873401250 +15887870425 +13931373132 +18586923567 +15181684765 +15104719473 +13652994712 +13927494346 +13624982380 +18539406547 +15802951739 +15865802891 +13681594214 +18585686301 +18557736305 +13925906368 +18895509051 +13699785111 +15178483540 +13944057772 +18811359353 +13959458157 +13968993236 +13960638074 +18830063822 +13634769991 +18879463089 +18570586185 +18554302839 +18516882698 +15868197360 +13963100421 +13698595835 +18836440186 +15147951284 +13906928610 +18823754361 +15846323570 +15863592106 +15815896315 +18561571782 +15892795039 +13972276585 +15104875330 +13934486175 +15104095187 +15845986737 +15884178350 +13984308123 +18505689486 +18848702701 +15863779430 +18803355053 +18510630950 +18878866782 +15871176349 +18566630708 +13690603206 +13938818526 +18834376979 +18522306163 +13952025968 +15165619242 +15149333762 +18553993128 +15139369681 +15832426978 +18813291444 +15852690831 +18549085229 +18860763902 +15161114952 +15100146464 +18809494567 +13691066484 +15105628066 +15108346334 +18824777576 +15164067611 +18594653386 +13952918504 +13976192448 +18538926692 +18595793068 +15885434411 +13677199495 +15161655258 +15123923307 +13911052450 +13941433251 +18811030280 +15823450474 +15843591833 +15132338491 +18878756562 +15846206564 +15864411918 +13903172190 +18551359405 +15192498171 +13605954123 +18583051657 +13663769692 +15103618599 +18885968628 +15848730264 +15834526337 +13619608624 +18867764001 +18512073967 +15130379861 +13679550927 +18822723977 +13928723002 +18852138635 +18893271956 +18850888560 +15194980281 +15885811302 +15125283882 +15153564338 +15150970205 +15835064746 +13657213544 +18584993005 +18500159119 +13966613015 +18569489851 +18869953093 +15808500473 +15896113045 +18879564796 +13639451656 +18509951197 +18560121237 +15891828367 +18889136279 +13960570254 +15107723245 +13644464439 +13655106364 +15812242320 +18893897564 +15165359449 +15883755721 +13942326811 +13646211560 +15832990788 +18886498886 +15878945620 +13956649386 +13650566686 +18894313154 +13687296906 +18800488974 +18812986631 +18506491373 +15193055322 +13995122641 +15150118239 +15178370181 +13652012549 +18575585495 +13619422964 +18505797890 +13963440360 +18518719290 +13663999465 +18810344981 +13602085369 +18807505424 +18508264231 +15883934888 +15116045306 +18865522123 +15189756070 +13942877254 +13642405269 +13974327698 +15853986810 +13950491501 +18885479871 +13669723152 +18805111410 +18574454043 +13956301400 +15100833180 +18512397505 +13976331984 +18808536004 +15191222023 +13652528942 +13944533202 +15857445241 +18544297937 +18820576264 +13609415680 +15858300145 +18859112685 +15844782468 +15184576043 +13970380359 +18541733651 +15867410320 +13904142852 +13604266093 +15134044789 +15196749986 +18593381884 +15195174612 +13670070084 +18838508724 +18595461911 +13937299388 +15820241428 +13660149890 +18544558018 +18574958922 +15824041300 +18889854238 +13675470897 +13636404590 +13617921646 +15131029841 +13994512082 +13650180589 +18848202917 +15104675777 +13636828782 +13924095847 +18818703095 +18864529578 +15883352013 +18582484715 +18564067496 +15117569602 +15196280504 +15883042995 +15807625924 +18830211936 +18897466506 +15862592560 +13644675038 +15181615241 +15858356405 +13634836255 +13953080468 +18807919426 +15808215624 +15105489838 +13665655195 +13985637551 +15879914106 +18846535272 +15138469518 +15130895502 +13977286034 +18563974689 +15195230263 +15889816655 +13639025623 +15124561397 +15880165435 +13958544062 +13910151514 +15872639654 +13900297762 +18505247643 +18800120172 +18893442414 +13919961353 +18843676333 +18892026133 +18862781806 +18525530273 +15124893368 +18862479837 +13930570148 +15846043602 +15191301910 +13955805295 +13996182262 +13601342673 +18524931725 +15123067355 +18588020867 +18820526706 +18843212523 +15165529882 +15158308431 +13689968997 +15120085800 +15890059214 +13628014284 +15847279869 +18849597520 +13910641841 +13963683855 +15181379604 +13681973721 +13942059317 +13907286341 +15830420190 +18508724623 +13905258044 +15869061677 +18864143310 +18509453981 +18818925665 +15876099626 +15173984488 +15132436517 +15850297221 +13673860390 +18807301732 +18579150568 +13642401824 +13606416086 +18514679132 +18849474692 +15837519765 +15131637683 +15170181772 +15863352638 +15823000395 +13618799090 +15129459411 +13986412273 +15108197515 +15867888558 +18509051134 +15157395882 +13942359027 +15821582654 +13978284586 +18893854606 +13659413031 +13939332514 +18520496309 +13651516060 +18858237995 +13648417706 +15879280043 +13984154099 +15173219756 +18599758207 +15813863988 +15842249966 +15897516631 +13962660269 +13954949438 +13656961403 +15122937486 +13914905999 +13963026404 +15803885312 +15194633735 +15895593957 +13674138384 +15852208707 +18594242388 +15832503877 +13908971659 +15156802884 +15104067764 +13959931998 +18501801178 +13609484303 +18539959845 +18542953476 +13673948522 +15889421075 +18890862736 +13689816791 +18877351576 +15887235901 +15886272098 +15151646634 +13617402976 +18563707561 +15169945251 +18888923178 +18576631625 +13996652915 +18551140466 +18849765348 +15132591695 +18564617606 +15114657804 +13653467659 +13970900723 +15107194658 +18584904428 +18809951762 +13962385423 +18543685677 +18578348809 +18870925587 +13653547494 +18586831997 +18595597675 +18561204037 +13656055129 +18548708201 +13936203724 +15170307524 +18853455836 +18532923901 +13986727211 +15186030714 +13699319132 +18812329633 +13947859118 +13695377311 +18593238075 +18877784060 +18865616351 +13902381138 +15818258600 +18538319630 +13986404655 +13907342903 +18576715701 +18848245094 +15803651962 +18833549255 +13938314620 +18806378302 +18812460670 +18850569700 +13997731450 +13900181377 +18800607605 +18854770804 +13953812510 +18521738886 +18855767129 +18811724545 +15110369683 +13956482000 +15119646505 +18836979208 +13631177505 +15837038357 +15809339113 +18501233592 +18555493140 +15846451188 +15159814800 +18861382635 +13936877521 +18837166568 +18546936027 +18569295767 +18570908378 +18838234202 +15870555859 +15169054067 +18556750460 +15127641449 +13622359274 +13670185976 +18822309447 +18804768421 +18844185429 +13607259404 +18521281531 +13633272564 +18549549494 +15161237705 +15898878269 +13917584947 +18833242690 +18561993076 +18892083407 +15863993517 +15887356278 +13918257471 +15171181957 +18525051729 +13996940315 +13656844013 +18808906587 +18567448554 +13611521665 +13649296985 +13602173247 +18501203801 +18886033482 +15844892564 +15825517604 +13944782018 +18899341080 +18860762032 +15837614380 +13990167348 +13676197800 +13635865174 +13900671624 +18588462539 +18546991394 +13699040127 +15887901373 +15830818930 +13932997579 +13952410794 +15864294986 +13972913920 +18525751243 +18518489662 +18550030114 +15178793804 +15134904360 +15890775277 +13932404574 +13624732100 +18875396111 +13964551582 +18813805692 +13984145842 +18894408986 +18514003314 +18502612320 +18573726792 +13659033034 +13683213430 +13968108004 +13641081165 +15848001845 +18557099421 +15880722912 +15114756193 +18829426130 +18835635799 +13992004873 +15841992101 +15173512939 +15878013514 +18882902390 +18537230879 +15856123007 +13681417493 +18522444914 +15817501000 +18855408814 +13619767065 +13697038914 +15173073285 +13679586117 +13672702983 +18826767247 +13666310197 +15888368171 +13676960202 +15141751104 +15118999874 +18805828795 +18586513999 +18852600033 +13604970273 +13610574868 +13911513908 +15800926061 +18546957847 +15199911139 +18811331178 +15821944896 +15850358755 +15103685048 +18595980598 +18825924872 +13670223740 +18866169474 +13611796473 +15134795552 +15116690359 +15199235614 +13678182885 +13940508082 +15170590823 +15891228925 +15872980048 +15198468249 +15168931719 +15871159576 +18848681964 +18842841996 +13943039655 +18540814771 +13662675067 +18821238831 +18837198756 +15884734345 +13937879743 +15123724043 +18846286999 +18863886644 +15173732442 +13633851596 +13632848087 +18571728635 +13939513980 +15850847575 +13645978797 +18873213160 +15129185054 +15115007271 +18572595037 +18585161198 +13949752280 +18824825013 +13674535553 +13660361644 +15820914134 +13977294675 +13914944942 +13694942612 +13678733536 +13661987417 +15140945318 +18516604490 +18531316824 +13609743872 +15812362694 +13612086285 +15857898525 +13679786254 +15862389605 +13620705606 +13925931827 +18524200763 +18534325817 +13981102774 +13639155577 +13600979941 +13613291842 +13942780596 +15102586649 +18803366688 +15830586837 +13645761400 +13901802382 +18892275485 +15831183818 +13973758930 +18526327742 +18831660927 +13956860213 +13674932803 +15185185371 +15194355566 +13635869933 +15154992449 +13644458620 +13646018394 +18585445083 +15850244724 +13686433649 +13637401152 +15896023849 +18578552606 +13983746613 +18827540101 +13634135309 +18861105132 +13924397281 +15109721412 +13946502379 +18827257174 +13623750098 +18819359450 +15196804748 +18524573468 +13987044524 +13909952576 +18800493989 +13941815218 +15879610639 +15187067439 +18502752271 +15118791494 +15121608033 +18862751669 +13682160832 +13625013023 +13632803450 +13945760724 +18552042698 +13623656003 +18808646734 +13687070461 +18878554403 +18885397828 +18532422934 +18872359323 +13619747062 +18800786677 +18547190410 +15888376524 +13689100913 +15107750017 +18518790550 +13636104593 +13687264516 +15198664433 +15152917882 +18882969625 +18528972078 +13968630439 +13959469170 +15142293586 +18815002015 +15827102404 +18552947373 +18549323800 +15159095546 +13982283192 +13695908792 +18551299250 +18822471268 +15199793636 +18871795288 +15166281644 +18898044211 +15886794516 +13623032634 +15852589161 +15852215560 +15171945197 +18834170155 +13697398214 +15183860938 +13988155603 +15103473881 +18803950847 +15167975944 +15894120125 +13963177946 +15872064911 +15802692683 +15893332399 +13650393334 +15104173019 +13993288075 +15132061077 +18548189266 +13941411441 +15173127097 +13907174783 +13650520676 +18539006616 +15127834531 +18550385013 +15184978421 +15822000385 +15872821635 +13651520690 +18554556548 +18554958330 +18873497346 +18512296615 +18545802841 +18890816139 +18540676895 +18544855642 +18585511506 +18538087629 +15831300355 +13625505125 +13658410002 +18872863401 +18851742233 +15166536663 +15106643931 +13644357555 +13958677538 +15864442234 +13691730014 +18866430448 +13697605967 +15131358534 +15114204444 +18850639100 +15863259053 +15854727938 +13648189406 +15155424736 +18899546784 +15170736573 +18832657494 +18835095960 +18814281693 +13947930290 +18590181128 +15815618185 +18530778232 +18575959050 +18551427027 +15154151233 +13904189798 +18500146705 +13671094807 +13684921722 +15872596860 +18828007759 +13612095360 +18524179809 +13644015426 +15814327913 +13646294782 +13971386892 +13610948020 +15178622380 +13959103360 +15853023498 +18873447743 +18819487344 +15846029054 +18534569676 +18835060155 +13648372833 +18553878558 +13674262607 +15183124598 +18828159075 +18569667769 +15182622016 +13966280669 +13689763911 +13683178578 +13697311927 +18894867901 +13907115641 +15864533974 +13954343244 +15113151064 +13671996888 +18599879751 +15143814140 +15182760296 +18597093592 +13688206238 +15178533223 +15128448713 +15809813518 +15857445404 +18511593408 +15185010671 +13903937395 +15130515530 +15873142463 +18529108795 +15168349807 +15869648278 +13692467281 +15119048611 +13977656847 +13697965543 +18533036671 +13692607118 +15106640457 +15829204169 +13948891904 +15163756487 +18560190764 +18876039241 +18887602314 +13604369115 +15104693012 +13604152566 +15196644518 +18583517093 +13905120785 +18807121601 +18880913012 +15184059483 +15864611237 +13930049456 +13666980880 +13938189266 +18876380907 +18807826466 +13692229214 +15880022782 +13987368475 +13629409942 +13920147104 +18853075037 +15144343236 +13655460362 +15117113188 +18802453022 +15806169978 +15806589727 +13658434224 +18561358293 +15807150374 +13679959480 +13999106541 +13958184794 +18548059211 +13670062460 +18894613184 +18530708836 +13976274416 +13692634955 +13916010755 +13944934958 +18508470320 +18549089183 +13932983036 +15104279147 +15864293349 +18868448482 +15103484688 +18800262772 +13649865259 +15810761736 +15118625789 +15823786135 +18577378329 +13947177041 +18504154096 +15135566418 +13904787565 +13973875599 +13642705131 +13978001266 +15892699027 +15892055253 +18821048232 +15851460394 +15823734818 +15883147880 +18542912184 +18593107771 +15838672260 +15866492799 +13965911184 +15876945448 +15804570207 +13977708516 +15851787545 +13692762414 +13692436102 +13921719640 +15841018405 +15809732673 +15838179161 +13963524377 +13640294232 +13975841298 +13654074664 +13997064668 +15175779765 +13930329709 +13605516739 +15811982481 +18877759250 +15129407740 +13977074951 +15196413024 +15819870495 +15196228252 +18569944440 +13669994360 +13959840749 +15808812825 +15159147815 +18810638770 +18845846034 +13918083586 +15167411957 +13626807285 +15889488966 +15803069539 +15142156975 +13626889501 +15891602532 +18898069525 +13961344602 +15861029308 +15895354327 +15834435860 +18888003210 +15148806828 +18592417872 +13927292343 +13930868875 +15104119789 +15877530349 +13953339892 +13625123327 +15109804787 +18891118486 +13920151627 +18531601635 +15189382906 +18833465279 +15844391380 +13912195483 +13687888230 +13652260780 +18510045154 +15887352381 +13653482147 +18532441488 +15123580241 +15194955519 +13934899022 +13922001064 +13957423454 +13949503411 +18516297957 +15195498235 +18897736063 +18837943003 +15120553464 +15845437456 +13921601365 +18803411149 +18579805004 +13971026205 +13661672163 +18870920152 +13924460238 +13634836084 +13601503497 +18813760088 +18518845662 +15834650693 +13989968688 +13942302124 +18810946997 +15877017092 +13918296133 +18890419038 +15874940865 +18820316169 +13915821425 +18884031283 +15183776944 +18573810952 +13649185442 +18578225328 +15178624905 +15165598551 +15189465077 +15101657075 +15862588887 +18839446670 +18544769771 +13665841531 +18818080625 +13919240756 +13696804045 +13919811746 +13632365764 +15810927538 +18832698654 +13600948748 +13963452086 +15809488272 +18845533219 +15185060493 +13667690175 +13621041198 +13698238904 +15161712007 +15132341606 +18841574172 +13964786540 +18586838053 +13945792843 +18514201285 +13608857071 +13623259119 +13691423110 +15122949756 +18546943122 +15152381508 +13921030560 +13910434559 +13659014913 +15816150130 +18539213172 +15817965743 +15846092549 +15804583174 +13692834480 +13984761694 +15806951115 +13967114361 +13687764926 +18838526041 +18534497834 +15802995597 +18533379035 +13968248247 +18547425730 +13631452162 +15132401135 +13657426486 +15129214356 +15897954175 +18857303698 +18564591823 +13992864424 +15814443592 +13938712404 +13669270036 +15851294294 +13630217013 +18839769239 +13632509980 +15188573664 +18532334484 +13962578171 +15163034224 +15169872099 +15846032272 +15147226300 +13923685855 +18897321516 +13930093562 +15840646911 +15146558968 +18530316736 +13696422712 +18852585149 +18859388000 +13999032985 +18591156146 +13909633695 +15819909647 +15894377472 +15831032009 +13620428905 +13953270226 +15144237707 +15178032692 +15832861185 +13669634582 +13966030815 +13981705855 +13905714730 +15186253053 +18536637168 +13675137219 +15156985357 +13623297386 +15853822336 +13932660838 +13698285069 +15854251772 +13900500504 +13619685640 +18597679933 +18586870664 +13617891586 +18558864859 +15878853509 +15871071631 +15888978694 +13941311359 +15116845300 +13914212440 +18829942212 +15805282728 +13613670196 +13926910904 +18826473223 +13637633789 +18837540460 +15802591774 +13967080362 +18574564312 +15881015604 +18564381260 +18891122393 +13601841611 +13693002237 +18505693228 +15867885626 +18595152998 +18551601392 +15863509061 +15844066979 +13962392969 +13980714468 +15853585573 +15869035162 +18818325759 +15861790244 +15821354290 +18811420196 +18534445582 +15887290683 +15818560928 +15150012808 +15145949019 +13933733837 +18827195339 +13958509052 +13675180499 +15821664826 +13688165408 +13994903650 +18839306495 +18598331697 +18806944127 +18801950784 +18599828433 +18835724100 +13669502211 +13916671440 +15832063335 +18857236316 +15149076892 +13635715658 +18874149305 +15131639200 +18864198908 +15127244566 +13621576512 +13984654388 +15107710671 +15873240531 +13947626009 +15194614929 +18820597616 +18539187372 +13991284095 +18519870104 +13936548278 +18522127634 +18878909789 +15885602227 +18814459906 +15126312050 +13928489162 +18543576407 +18821745403 +18535318838 +13698095304 +13654838269 +18894969551 +15882601144 +15825079390 +13610419763 +18806928792 +13950525819 +18592052364 +15821587434 +18831665573 +18535705497 +13958583156 +13642147539 +18563221181 +18886060121 +15814987996 +15832298484 +13953377161 +15873887355 +13653249159 +18814195396 +18803487052 +18804707110 +18895797452 +13960425343 +15129700847 +13960098837 +15833650127 +13994921574 +15869015365 +18583371550 +15827142900 +15807287261 +15131197992 +15106982075 +18806059883 +13998336317 +15862672828 +13964119528 +13668643370 +13984326579 +13920951579 +18867678352 +13993007740 +15811426866 +15124020496 +15848971842 +13693942117 +13920985390 +15887251041 +15194908450 +13987995914 +18502434123 +15897862602 +15180346377 +13677513800 +18842185796 +13960390473 +13656629512 +13974648355 +18566261846 +13602659592 +13932682598 +18592079510 +13644276491 +13910125961 +13626320030 +18570793945 +13693526668 +18552664917 +13608280382 +15129262460 +15887268587 +18525493169 +15864338114 +13681278635 +13622437912 +13614496254 +13924547996 +15818567105 +15831317626 +18585240417 +18548161953 +15142462465 +18884116093 +15139231714 +13999677418 +18523775493 +13989787475 +13935553566 +18573753869 +15176586086 +13607203333 +18888339110 +18549714417 +13661004866 +13910076904 +13636377767 +15809069184 +18885068853 +18577018382 +18531676778 +18513507392 +13636428323 +13958253520 +18878775718 +13942717222 +15135896755 +13692304033 +18853389223 +18578687107 +18897966096 +13602089509 +13612741123 +13616290777 +13639251643 +13655162464 +15893824560 +18813925177 +18545072644 +15135032223 +18820805848 +18587762760 +13966970893 +13969218652 +15112351322 +18804410687 +13956763224 +13667956407 +15123051750 +15875270934 +13680557113 +18876415227 +13948837121 +15157770894 +18874653106 +18560377167 +13912789945 +13959949160 +18876033000 +18501824441 +18551489734 +13911667569 +18538177646 +13922889887 +15119080731 +15888992994 +15144199367 +18517528135 +13678059920 +15111247785 +18887742796 +13950170468 +15192951869 +13657731857 +13949517541 +18513739543 +13955573460 +15112728802 +15825172083 +13688930297 +15172828219 +13994979045 +13606008517 +13671732939 +15828245834 +15181146376 +13617877848 +18891372552 +18564892979 +18824573632 +18892931112 +15817093844 +18856156970 +13694570500 +13698764425 +15150657887 +15102873397 +15163488163 +13697964294 +13694213267 +18502946731 +13623333564 +18528866410 +15131754835 +18515878870 +15188454931 +13913084883 +18858063325 +15867372515 +13659705978 +13950200522 +15818363881 +18818596582 +13963099194 +15837944405 +15142025434 +13660527237 +13905383498 +18584169206 +18832528511 +13927908335 +15828329226 +15881888506 +15172722833 +15876327956 +18545151052 +18807265060 +13605696746 +13628831164 +13931331846 +18828251374 +13952442057 +18896587400 +15111125847 +18514950343 +18570437176 +18502980354 +15175165174 +13901017650 +13639647453 +15163161122 +13927279023 +18818357217 +18597508738 +18871657259 +13674608300 +13600828237 +13623513934 +18823933456 +13977567175 +15196829513 +13902260080 +15124757959 +13917247888 +18524338312 +18822276484 +13639525994 +18889167398 +15160651096 +15859854406 +13695247976 +13690605774 +15128229846 +15164186105 +18512020417 +13645619274 +15111991698 +18556845281 +13683395311 +13658644430 +13918212118 +15817217476 +13638916098 +18835966192 +15825207011 +13684101464 +15128990333 +18845120966 +13687369897 +13676315441 +13680517851 +18524594246 +15131830148 +15184279209 +18566156416 +18561967941 +18568737072 +13905062936 +15156072975 +13907164871 +13907777814 +18879905606 +15883732958 +15857065728 +18883261636 +18554179587 +18514152230 +13633091812 +13651332502 +13613575254 +13671801551 +13664059429 +18828838306 +18549335183 +15127467060 +13653093007 +18869436197 +13657227642 +18859741382 +13673016084 +18842157863 +15851960984 +13629904186 +15803876435 +15194107478 +15130609782 +15899311165 +15182411792 +13994929381 +13909252957 +13667695810 +15129083559 +18539236510 +13616753354 +13682988956 +13630317539 +15189617932 +13962819798 +18551882669 +18586850180 +18807153355 +15819869195 +13930768283 +15834620639 +18509837167 +13921120658 +18855141352 +13935350716 +18598809217 +18575591018 +18597657267 +13952385910 +18552886928 +15179107303 +18869488765 +13925513175 +13691932239 +18590089840 +13614520164 +18897586867 +13645634060 +13959273522 +18853167440 +18541754503 +13943398594 +18871608264 +13692626218 +13607019373 +13699081158 +15832836761 +15113183802 +13957195202 +18854077710 +15191413158 +18538557415 +18805181493 +13602457222 +15862470726 +15157425598 +15100773039 +13901350515 +13938476019 +15197756575 +18534549345 +15816298563 +15830124379 +15191061798 +15846555211 +15833138017 +18875182882 +15805034798 +13694073408 +15129962968 +15802206979 +15845232524 +13997238991 +13961106117 +15157520761 +15183315492 +13952809684 +13939601801 +15185984445 +15142690281 +18891115018 +13672096800 +18898300141 +13603663568 +15802797629 +13991966824 +13941676780 +15849378033 +18566317020 +15870266691 +15867839467 +15874333661 +18546419409 +13905923202 +13658527031 +13661513901 +13651645714 +13684018918 +15167597483 +13635106718 +15193148780 +18584154282 +13941409816 +13908764385 +18559459923 +13965096574 +18889599174 +18568122424 +13902843077 +13604408828 +13600571751 +15845269051 +15106507966 +15813163022 +18892926778 +13624812472 +13655146456 +13663440841 +15149173462 +15876350371 +15881276287 +13966283581 +15831265696 +18506813249 +13921899947 +18502044581 +15103860692 +15164899235 +18562440069 +15858756719 +18555110263 +13635206394 +15110115854 +13952098949 +13603073169 +18883723325 +15152509717 +18801472408 +18862134160 +13624489487 +13958621387 +13999716509 +13907317395 +13926354329 +13679041067 +15849700409 +15839608074 +13682628000 +18551523932 +13964424546 +15127745674 +15884279311 +18841730395 +18540048430 +18800341474 +15196915089 +13658259991 +18582656159 +18820746880 +13678533329 +13954419667 +15819141286 +15140026316 +13935159861 +13671059471 +18862883923 +15148298311 +13697425493 +18857697559 +15116244257 +13620698353 +15118867791 +13948692002 +18894644539 +13645590636 +15837140999 +13651454060 +15168229786 +18503463582 +15105559959 +15104715004 +13940069897 +18857842955 +13672480666 +18862668678 +13601008618 +13693146956 +18504203935 +15804977096 +18593963563 +18850404602 +13637836975 +15846754162 +13654815888 +15142401786 +13978452408 +13685860594 +13623263529 +13603454560 +13924804878 +13979959151 +18866399881 +15105443724 +18867217250 +13908489427 +18879152672 +15140271422 +18891606793 +15855571101 +18890341770 +18508992368 +13654949262 +18810934885 +15816166018 +13643404948 +18896826760 +13641665583 +18563697328 +13666940640 +15135955768 +18874174972 +15889614002 +15858419555 +15887481267 +18835536688 +13904157672 +15891348011 +18819445016 +13984735290 +18894086596 +13681353911 +15193713972 +13938378002 +18835575941 +13933368859 +13924325383 +15129966812 +13640470501 +18567898200 +13954692473 +15171430344 +13969750812 +13984541752 +18807782587 +15192702648 +13994328998 +18532958694 +18521939837 +13638086372 +13675940087 +13972206909 +18533239771 +15829612204 +15153528919 +15863924585 +15886806184 +13957757252 +15844428096 +18813936854 +15182053329 +15197408206 +15126200494 +18500149359 +18596091204 +18848685773 +15806641000 +18807456219 +18869400448 +18564480421 +18545182505 +18840495043 +13946499299 +13652099462 +15167513706 +13668396295 +18500397769 +18562942202 +13946914638 +15853121294 +18559401890 +13632187361 +13993604668 +13978762275 +18556567284 +18875346012 +13631374734 +13962718040 +18815628304 +18850027296 +15117051808 +13965351894 +18822416728 +15194602224 +15136394296 +13948792176 +18525286845 +18570736032 +13655678844 +13974580562 +15851932958 +13651191182 +15148145210 +18845577502 +18534486751 +18507459568 +18581045846 +18838716562 +13651487380 +13668150041 +18523128198 +13924790020 +18855511928 +13917242425 +18580916551 +18826107712 +13629749193 +18557951435 +15153071399 +15115155847 +15166864867 +18564322830 +15803181752 +15899381893 +13601655787 +18546878219 +18838345910 +15848737824 +18579912139 +18534868856 +13658602347 +18800073948 +13634699348 +18834694229 +15825020465 +15854612830 +15114570280 +18839883008 +18528605066 +15182712220 +13690708632 +13975840723 +15137388447 +18846414321 +13678271689 +15860651858 +15898061049 +18572936219 +18523016356 +18839769869 +13915507207 +18521192997 +13601873859 +18532935171 +13975350041 +18892662804 +13684817616 +13665364105 +18850978387 +15180988594 +15835199110 +15807476163 +15875244525 +18812504210 +18540185725 +15836474169 +15802827351 +18807864478 +13613591206 +18872019046 +18564705434 +13642271404 +15165115705 +15830146432 +18894998051 +13987642792 +18510518928 +15147373974 +13914515769 +15837440361 +15867856760 +13914279284 +13653777790 +18839760097 +15127512668 +18565695666 +13634124585 +15801775341 +18808386031 +15808313909 +18580082656 +13986823877 +13998420893 +15866837646 +13990231034 +15809954624 +13651058497 +15113255669 +15852581993 +13606196363 +15845153520 +15802815358 +15166194165 +18897097349 +13957044108 +15114791782 +18539537526 +18844282471 +13690761953 +13971110115 +18560869888 +18841437292 +15857847198 +15816926745 +18887262631 +15137407770 +13985901829 +13635516935 +15807876738 +13958281126 +18823463539 +18884658035 +18853386921 +13611922580 +18592763310 +15809043561 +13997956916 +18866978950 +18594841928 +18839764084 +15155168623 +18532222548 +18503507261 +18869011254 +18848630932 +15830684523 +13601980388 +13630434502 +13647815569 +13690387751 +18806745243 +15107572763 +15171863200 +13948326173 +15188290994 +18887421800 +15830108159 +13671159664 +18577628084 +15857645544 +15825474672 +15166856146 +18835256780 +15183144223 +18572311320 +18895014848 +15889395234 +15804891327 +13623879870 +13995789624 +15182932493 +18874086618 +13609751630 +13901949738 +15111883152 +18881105051 +15196964512 +18869559994 +18807039076 +18814896393 +18515462053 +13999243319 +15172490488 +15193216748 +13974816024 +18840526328 +15156200702 +15133835291 +15860197117 +15151769250 +15199675899 +18568170117 +13939764415 +13913219732 +18590031953 +15847830532 +13919010840 +18553018029 +13622030676 +15113515503 +15181739460 +15884198541 +13675300840 +13952482622 +13693924904 +13699163434 +15174164343 +13625307567 +15162510266 +13622608089 +15824170857 +13924490794 +13629857338 +18828015719 +13924220450 +15800678934 +15114343543 +13605784726 +13681191436 +13965959465 +13950134760 +13957324390 +13956990166 +18520697197 +15184276569 +18888126321 +13982229261 +15181062893 +13919067119 +15112943648 +15144880259 +15886053527 +18590807592 +15183963406 +18563587786 +13912101986 +18531674193 +18803287127 +15111616457 +18535751073 +13937828954 +15163264643 +15184028009 +15807956918 +13988604396 +15819494069 +15807336882 +15852655233 +18540650510 +13698949558 +13655439152 +15170585303 +18545908818 +18825035523 +18892346017 +15129959912 +18500535884 +13916291772 +18528797740 +15101008662 +18580416009 +15879069488 +18502318333 +18874198522 +13922604670 +13625192359 +15868760717 +15127392180 +13633343464 +15855195524 +15898659731 +15173887205 +13987680737 +18592645778 +13689864898 +13624238803 +13967985023 +13634655397 +15813106736 +15835657948 +18825696628 +15160504726 +15804036401 +18562448780 +13947500568 +13602611214 +18813680486 +15830177886 +13625673496 +15188465307 +15870131085 +13952341131 +13936266078 +13651966451 +13648031611 +13649903165 +18887160327 +13656058166 +18579459016 +13961717849 +18515927257 +13639078700 +15152868895 +13930693886 +15872934887 +15123827509 +15173238639 +18595639154 +13943924329 +15174605655 +13656717629 +18806494632 +18535477793 +13917796427 +15801605053 +13698435828 +15842706412 +18843788290 +15815912375 +13944660357 +13658144146 +15173993206 +15148112012 +13954794601 +18528314348 +15881526145 +18598344404 +18872434425 +15109289977 +13935504916 +13680103866 +18505674739 +15187002712 +15877552309 +13923918774 +18808096321 +15817503275 +13652503043 +18580694460 +13635348244 +15169271369 +15175962949 +18552609533 +15180697063 +13951472203 +18535705971 +13992250901 +13652539607 +15114013345 +13934772511 +15169279232 +15898179116 +13656769112 +13919023224 +15125110755 +13692877145 +15166407740 +18811402531 +18869270231 +15184798973 +13997358131 +15863802968 +13611380651 +15131667302 +13654871201 +18573753927 +18897971329 +15857638226 +13919925369 +15152280737 +13909242436 +13667399323 +18562647945 +15122610883 +13645723370 +13695788449 +18558707114 +15154268128 +13911759525 +15175878400 +13996179633 +13919793434 +18884326498 +13630016649 +18820527275 +13943768607 +15147003509 +15818774141 +15875167584 +15823795333 +13900428986 +15824972743 +18574723008 +15820450529 +15181742715 +18896325908 +18816423291 +15161397763 +18818625965 +15899845980 +15152274762 +18527016468 +13687636706 +15869294784 +18595706580 +13600636186 +18588107532 +18898165077 +13926548470 +18549255299 +15842478234 +13947009833 +15822394115 +15119029506 +15152715833 +18889541024 +18844963394 +15850720645 +18527291435 +15185227196 +13955292450 +15161574444 +13929974590 +18550328135 +15182152022 +13625535200 +13996117669 +13991603906 +18592650954 +15194935098 +15153257162 +15844957190 +15100394517 +13937980962 +13649567732 +18897054980 +18813805658 +15114673254 +13608700661 +18580302074 +15103956813 +15133538845 +18589770876 +18804468600 +18564174960 +15111216988 +15171375567 +18546318274 +18898716469 +15129063451 +15842206980 +18508261250 +15168567780 +15895224522 +18524565170 +18551898475 +13668227467 +13616160423 +18864848333 +18899165885 +15876539045 +13627963027 +13952916633 +18579164483 +15134284195 +18558986486 +13927141105 +18834991493 +15888226478 +18833310462 +15852595287 +18812023582 +18828062955 +13956062942 +18844232196 +15121264337 +18584592843 +18822124885 +15841543165 +18566872855 +13664880591 +15825382170 +18571964947 +18835838007 +18519550206 +18800568933 +13616532135 +18857000979 +18839420012 +18582032310 +15112924209 +15117718686 +18820847986 +18515111450 +15867646782 +18893220087 +15111060635 +13904328127 +18810594597 +15838453622 +18833447724 +13656730832 +18816024221 +18850312964 +15835590441 +13984267282 +13948314753 +18505236337 +13988991616 +18825095694 +15156137812 +13695973229 +18865197749 +18887805408 +18561187879 +13663264777 +13637763679 +15153864310 +15124824616 +13634072626 +18569149881 +13661170130 +15136390358 +15131960272 +18556740243 +13698757584 +15180184367 +18827280780 +18591208821 +13973001496 +13975400602 +13987061506 +13941832907 +18515327340 +18564041781 +18547206370 +18801325040 +15138328143 +18563023908 +18584511601 +18806180448 +15807626241 +18841864815 +18817172274 +15811424985 +13667176393 +18823153525 +15164589862 +15183989539 +15137292414 +18815867458 +15833282375 +13647271001 +13916892218 +15899172688 +13914844310 +15893136673 +18595432161 +13664272929 +13666901204 +13621195069 +13978018333 +13930935179 +13668440996 +15197954821 +13999699495 +13663092408 +15899812265 +18802318545 +18556385209 +18585074069 +13619117727 +18563625691 +13952805864 +13990004130 +13679101969 +18859229110 +15119039670 +13651448993 +13979192994 +15836967832 +15873494356 +15150807978 +18875193161 +15851020643 +13918926126 +13925970148 +13930772281 +18564915421 +13929318375 +18567658717 +13920158493 +18530881202 +15873511095 +15126325813 +18552021920 +18550735841 +13988621397 +18873243480 +18502248881 +15883724281 +18559407986 +18891013680 +13688681398 +15178022071 +15809592309 +13626832730 +18553818342 +13661387683 +15874721060 +15184392396 +18877511640 +18891823584 +15847902985 +18503682108 +13607438066 +13900005566 +18858073839 +13933424081 +15855479142 +13914641078 +18883230271 +18593770100 +18513933226 +15838932111 +13978450199 +15804121110 +15167417646 +13605290746 +15837283414 +15830401785 +15179873394 +13600672859 +18896900947 +15825566461 +15197011724 +15815253986 +13914549726 +18573647470 +13937236955 +15100280124 +18835933444 +13988536569 +18821755617 +15829299364 +18585978901 +15124366462 +15848213747 +18546906474 +18865408982 +13942043280 +18588777343 +13922141772 +13698093946 +13639158107 +13609000250 +13904449682 +15129301689 +13948285751 +18579266837 +18895218680 +18848767297 +13689811980 +18864519607 +15105962293 +13998469909 +18582792240 +13915145851 +15805688141 +18544306492 +18860328688 +13628826854 +13973566007 +18598436947 +15859676310 +15104606246 +18824207492 +15810644670 +15176454697 +13623482889 +15196571897 +13960056824 +13967418904 +13924998016 +15894496481 +18803857298 +13993185881 +15156166086 +15149954501 +13695165398 +18864145151 +15100701803 +15884241761 +13934970612 +13680493543 +18546627210 +13631617750 +15895921331 +18596205025 +18566433310 +15884428540 +13930959044 +13619998579 +13663093903 +18526855830 +18856814307 +15895935259 +13660328179 +18877301477 +13635074750 +18594400021 +13992311300 +15139476315 +15166010328 +15862136850 +18552580557 +15107985175 +15141505696 +18855056726 +13624867178 +15159661528 +13681796618 +15194815823 +15868587888 +18856395697 +18505401394 +18841072705 +15862897198 +18856803772 +13672275153 +15884484747 +15870077888 +15183654299 +15196829044 +18821801417 +18509464987 +15166407673 +18536465700 +13605561353 +15883864529 +15176316090 +15163088675 +18833768762 +18832476847 +15195030856 +13654983026 +13998719333 +13975411532 +15860156649 +18841149728 +15149655370 +18526262210 +18555475146 +15853806667 +18503097655 +18818763735 +15174403949 +15156337841 +15830431084 +15165292462 +18570572595 +13658140212 +13667935205 +18857125661 +13915223428 +15812307379 +15187239193 +15120989497 +18515636117 +13965368473 +13625319518 +13909216595 +13672219547 +18528965250 +15810389634 +18815205241 +15102771729 +13635132698 +15106128605 +18823397700 +15878123792 +15148372531 +15826690484 +18591319721 +13957933382 +15167338718 +18845322262 +13904389183 +15808235235 +15131358196 +18848244124 +13694079079 +18551182632 +18581893553 +15132243874 +13618487354 +18558157461 +18844107419 +15852832692 +15887502614 +15101208535 +15185522718 +15143007975 +18875647180 +15886694799 +13669599228 +13642795387 +18591082267 +15118724920 +13997393129 +15844045575 +13914123719 +15108800457 +13620426687 +18832495518 +13644696240 +13689349750 +15897421137 +15182013964 +18811150924 +18892455192 +15134149489 +18563058167 +18510900309 +13680097697 +15867155064 +15159421148 +18809412197 +13680155277 +13695399276 +18520287026 +18860928952 +13601588820 +15867799698 +13683548701 +13662329221 +18854138524 +18503378112 +18589550560 +15181316508 +15140986872 +18873376458 +13992158467 +13672547673 +18545738267 +13906372377 +18511435329 +15130989754 +15896956334 +13914216570 +15147977225 +15157511741 +13650744231 +15108426175 +18857417105 +18828835501 +13605201742 +18873482597 +15839795488 +18579619437 +18591747066 +18872103379 +18592884197 +18862490215 +15832145358 +13660630028 +15195200027 +18835208677 +13626021531 +15818805403 +13923590037 +15879718559 +15154571137 +15867310664 +13627395488 +15855822191 +15899398016 +13654376507 +18588710768 +13989999967 +13615665210 +13925880543 +15194970590 +13662519925 +13615083674 +13968614720 +15103827330 +18867432532 +15107503521 +13685739411 +13985241333 +13975335808 +18834164818 +13621085353 +18844952990 +18563283197 +18836271734 +13615742487 +18841782487 +18821066056 +15138275305 +15850814018 +15182800596 +15809413019 +13622165329 +18539890407 +13984319481 +15194545551 +18878141789 +18820787186 +18525760866 +15136910543 +15803072631 +13958765703 +18843400388 +15135039972 +18853553545 +13911396251 +15139296514 +18568511780 +18554296838 +13956150355 +13653422836 +15805065028 +15807751430 +18501200443 +15878853876 +18504374801 +15827920957 +15812523285 +15174296185 +15187792891 +18522371849 +15109042642 +15175380750 +13668225350 +15182267132 +13605389118 +15151764456 +13606204058 +15118146335 +13684055503 +15893818140 +13615566660 +18511056689 +13687717788 +15172822115 +13970928879 +13634839092 +18533588685 +13655966226 +15181778272 +13994968338 +15187032886 +18570875407 +15809953509 +15136131138 +18552975299 +13996192744 +15822603011 +18500064575 +13665067745 +18560168214 +18531767272 +13615435684 +13603061403 +18875337728 +15839018856 +18821790915 +13915282242 +15132128072 +15848856801 +18827727318 +13990357309 +15156913159 +13690009668 +18555419946 +18596607724 +13680581881 +15894574217 +13918575628 +18512869017 +18564797208 +15148733774 +18806858368 +18558293881 +15870293963 +15846127730 +18819960383 +13912768863 +15816405169 +18850321115 +15899974006 +13606903114 +15162465615 +15801166027 +13690773608 +18559522882 +15170993795 +15823457910 +18556987343 +18521514174 +13638822626 +15891211203 +18531772940 +15145640423 +13902402968 +13607663621 +13619007608 +18578371824 +15143874272 +15868335972 +15836389760 +13964241358 +18825275502 +18577670119 +18589172468 +15124093224 +13693098066 +13689694300 +18565966903 +13621217456 +15197651990 +13611404664 +15895906945 +13909129298 +15818701391 +13691109296 +15188720555 +18874395541 +15829703040 +13633246314 +18823045516 +18557460632 +18528636490 +13670526924 +15831238589 +18558740281 +15155851809 +13650359032 +13639075374 +13669040034 +13650311887 +18842084626 +18861196949 +18889345590 +18558978122 +13981955737 +18852831274 +18839757804 +15172473018 +15817877042 +18553918228 +13916126584 +13691664171 +15168508110 +13936719562 +13613164126 +15874074211 +18568940341 +18511936464 +13600906481 +18832742253 +15107642768 +18875942438 +15114678707 +18524563410 +13609090736 +15864419395 +13607583221 +15860788846 +15138632072 +13678610894 +15822518322 +15103988672 +18546575781 +18804859632 +18561085978 +13927203797 +13666500214 +18823514437 +13949511446 +18596269546 +15856570225 +18581677479 +15891143096 +15147389501 +13915534852 +13661162574 +15131219870 +18555686062 +18586038122 +18562555033 +13670230061 +18576518537 +13998024462 +15199533396 +15818126880 +18522929718 +18892969241 +18505840852 +15830102283 +13901736852 +13600760132 +15864562295 +13959349693 +13921250372 +15168994755 +18887132165 +13618777069 +13615104634 +13996614360 +15815016152 +15185925667 +15826144583 +13973868484 +13686443407 +13625482441 +15132587611 +13680123336 +15109055030 +15136393454 +18514076227 +18870608806 +18599592608 +18530638006 +18852100706 +18842659351 +15805217180 +18591210631 +15819264907 +15176909587 +13695298825 +15166465345 +13631752251 +13961341665 +15826713301 +15112424510 +18541361633 +13951091445 +15868768532 +18589763471 +13983160165 +18877101072 +13664116029 +18533202627 +15126341974 +18810780174 +13641678399 +13677987629 +18813871044 +13924562272 +15134115894 +13933924223 +15149603636 +13974559775 +15839015120 +13982840458 +18511529637 +13952406101 +13624987231 +15888231898 +13644446055 +13908017332 +15199770541 +15839845418 +18859737453 +18576759834 +15182252368 +13628460054 +13666548052 +13900814449 +15147643837 +13926125303 +13965298392 +13970415055 +15895734989 +15171837322 +18519389458 +15865278359 +13630623840 +18528421285 +13944485758 +13995352361 +13933610803 +15151626594 +18578135942 +15867758793 +18592112519 +18860558332 +18539311777 +13624387216 +13623387332 +13677915672 +15123854937 +13925389758 +18519999355 +13987080970 +13923849476 +13626026441 +13922607581 +18536384501 +18525385644 +13932763571 +13607657665 +13925869060 +18896744372 +15146706614 +15891794259 +18514340140 +15823103328 +13944224507 +18532664914 +15885567973 +18819867322 +15167655452 +15898490059 +15878161911 +13662441374 +13690206946 +15146192690 +18502402223 +18597575394 +13652886862 +18574341586 +15841521897 +15821091344 +18539949315 +18898285399 +13917385115 +13632219967 +13610544485 +18879899866 +18829111352 +18544953237 +13924700636 +13686932883 +15891403854 +18540713788 +18504356724 +13628962607 +18847489358 +18551473006 +13932942911 +18846415368 +18811289502 +13991936630 +18598311205 +15185912847 +18517929435 +15870351135 +18870252148 +15180200055 +13658919707 +15114418477 +15809290732 +13665032865 +13698446907 +18826621479 +13991803775 +13934549826 +15896816909 +13983326963 +13969384581 +18501088338 +15150010118 +15116541395 +18873354323 +15104253275 +13695267474 +13611898332 +15841571063 +13948691294 +13990775075 +15862610921 +18561819809 +13625588512 +18898575949 +18805274691 +15147150679 +13661507330 +13936236267 +18517465927 +15893542276 +15894526363 +13928473216 +13624475898 +13983412261 +18575831509 +13953946874 +15894388609 +13915269986 +18507063417 +15863299465 +18870177624 +15888026158 +15191217357 +18882648593 +18808164897 +15153390393 +13638411812 +13674082631 +15135080151 +18503669248 +15897163211 +18878189753 +18546593647 +13980167988 +13628814301 +18551806274 +15121846525 +13988011773 +13602797475 +18883358394 +15862527635 +18512165618 +18588989814 +13691326349 +18555011333 +13941997331 +13952052501 +18572144786 +15108898102 +13694136112 +15164329843 +15100635224 +13636784502 +15118695215 +18578106369 +15178448492 +15126064161 +18887423375 +18879088664 +15186376829 +18857944629 +13625719360 +13973249591 +15196481691 +13692109916 +15834918845 +18557935267 +18598762219 +15815808810 +15164375306 +18835677413 +18896935969 +18887795905 +18503875344 +15876615401 +15853671657 +18543080759 +15129762398 +15117246658 +18810390523 +15831300712 +15164486580 +18598332012 +13920965740 +18801675037 +18892571749 +13993800592 +18849159207 +13685486303 +13671778209 +18854293979 +18896142304 +13639572130 +15157240437 +18872006568 +15893397054 +13689741699 +18574973972 +13618114001 +15824845166 +13622666997 +15139413471 +13984066871 +13967065078 +18838614602 +18893706252 +15872030167 +18887411848 +18810854034 +18886468079 +18532935857 +18881160881 +13942412559 +18813618664 +15836624830 +15114466479 +15169357806 +15814148511 +13989109704 +18817425348 +13603928404 +15185523060 +18887635501 +18563757290 +18579771529 +13608813440 +13681653785 +18893295152 +15812227065 +15122499332 +18558271245 +15174656049 +13614301350 +15108629399 +18588236263 +18581446186 +18838528603 +15885614805 +18824975985 +18876978433 +13962155693 +18541287513 +18851570082 +18530157933 +15148717278 +13954096366 +18591815040 +13697159397 +15846860414 +15102020258 +15184231098 +13626574046 +18851802991 +18874141551 +18852032017 +18826987863 +18594554889 +13931125417 +15183237035 +18828736955 +13927611499 +15163867215 +13935872902 +13969282737 +18563011464 +15128496261 +15121626922 +13946603760 +13991168224 +18507764629 +18527752687 +13999037322 +15166890130 +13687407882 +15147016289 +15105281544 +15883104287 +18531446805 +13642037770 +18811482908 +18580614377 +15151725716 +13693572084 +13922638185 +13998608508 +18855810144 +15828159800 +18809942009 +13631370727 +13653301100 +15137100477 +13934999370 +13655786609 +15889958009 +13698209807 +18515151451 +18524293367 +13942582168 +15136317965 +18877632297 +13680986557 +13645454338 +18506382105 +18854604369 +18507771427 +15127824258 +18595440684 +13936638579 +15114241562 +18863099746 +15127163886 +13984938749 +13683072848 +13904467261 +13920698524 +15143733794 +15119178505 +18541231114 +15179352478 +15898520882 +15169765884 +15863761714 +15132078506 +15156275434 +18566766828 +13627100779 +13975118639 +15166405394 +13907378442 +15148872014 +13978969184 +18535492981 +18823457276 +15194168613 +18824998116 +18881514751 +15856280682 +18505477653 +13608327964 +13688354876 +13918057945 +18543012640 +18880041353 +13626914510 +13982427059 +13627246040 +15840991456 +13929448313 +13935516956 +18594595177 +13927835691 +13966936726 +13995332386 +18869493920 +13618875245 +13612670788 +15105096102 +13995494615 +13676494827 +13624314860 +13637723504 +13638503644 +18594467159 +13998512578 +13673261789 +13932778261 +18846639503 +18817415224 +18858547693 +13672927853 +18542658858 +13603784109 +13670976146 +15149197889 +18553640679 +13631159172 +15807573734 +13954883311 +18586577225 +13646217542 +13955227742 +13968238626 +13950218035 +13909693993 +13956256678 +15858697927 +18858272622 +13659837117 +13638838796 +18884414354 +13916688784 +18856894959 +18525641103 +18857772381 +13675776144 +18552437392 +15876568602 +15145923590 +18564025191 +13922817493 +18507691777 +18894537199 +13916455046 +15814292325 +13935746708 +15859152968 +15897480815 +18596817637 +18832505220 +18559248968 +13627262667 +13961371484 +13690098804 +13972415327 +15155072122 +13679525869 +18558727238 +15818777955 +18507317418 +18581408881 +13920877139 +13912525313 +15823742191 +15863740532 +15137600698 +13651933774 +15198922278 +18891741492 +18855704957 +13614338807 +15803820968 +18557849402 +18514447533 +18583005943 +13977296694 +18510655310 +13601122018 +13965552684 +15169258003 +13683016820 +18819077441 +15105002549 +18556055325 +13602070151 +15845933203 +13697565169 +13944093163 +13952090164 +13979754881 +15109087071 +15865805796 +18576597687 +15150712785 +13616913703 +13931674830 +15870098838 +18860998620 +13986822629 +15839392783 +15181908062 +15130591602 +18842400846 +13600501414 +18800890456 +15860876245 +18878129685 +15858098868 +15802664797 +15196662703 +13688933990 +15884822641 +15162449645 +13996937485 +18517789132 +18891171298 +15174227885 +13996078975 +15843184359 +15176115154 +13681289366 +15153135419 +18865047016 +15827593475 +18588750374 +15153263375 +15828981109 +13680409693 +13643200955 +18564117985 +15852379710 +13924358033 +15112660541 +13645813703 +13632592151 +13662409663 +18861193902 +15194184801 +15173125554 +13637816757 +15154228612 +13666711650 +13954788414 +18872068989 +18519068941 +18544842595 +13911170566 +13626391028 +15888267362 +13900266812 +15800738293 +18531064743 +18834387165 +13674663481 +18823872057 +15850867445 +18875449914 +18576710674 +15139345186 +15106118194 +18572831194 +18546835068 +18596533953 +18801992622 +13673451958 +13635055685 +13927733516 +15891354861 +18557741036 +15152439990 +18563053794 +15169391731 +15141080917 +13613390164 +18588304465 +15898812596 +13664682663 +18856705999 +13658677515 +13684120171 +13684549337 +18893497310 +15891646829 +15137564874 +15136220354 +18579810918 +18523493693 +18545332728 +18516611779 +13904671545 +18516136681 +15897597223 +13994313208 +15883935650 +13625627324 +13667620611 +18821280929 +18582595919 +13927263004 +18559169050 +15879736331 +13990139721 +13976175050 +18813130694 +18850063163 +18525202054 +15102879799 +15808385311 +13602980191 +18842812099 +13615828091 +18585746185 +15185415263 +15822405784 +15170217163 +13699034357 +15117203442 +15166069891 +18515928349 +13602403345 +13999092634 +15801436540 +18539503874 +18544261169 +13627280926 +13607183496 +18812347744 +13993551606 +18514030368 +15164367943 +15853833155 +13982855460 +15882173699 +13976426407 +18842383820 +13982650256 +15158443587 +18810166343 +15881267664 +18882743709 +13985374016 +18537387907 +15897994809 +18824137833 +15809160573 +18588229436 +15838264193 +13634868918 +18894333421 +18845454021 +15836696487 +18899292155 +18524929934 +13956119198 +18550254202 +18899840166 +18579150155 +15845621772 +15186400175 +13940946655 +18860863986 +18577440068 +18807673481 +15893424228 +13981795552 +18504140361 +15118396328 +15851033604 +13650872863 +15125132620 +18840133559 +18581896516 +18885536031 +18839733959 +15166822987 +18556017375 +18520365483 +18875764376 +18846925690 +18549828917 +15834456463 +15834338354 +18873581466 +13633386080 +15896269812 +18876302259 +18859245994 +13900845528 +18831516611 +15187708130 +13610735997 +13923426557 +15820001162 +18502821446 +15884784632 +18556149925 +15806577734 +13958728973 +13689638136 +15863264771 +15824225297 +18866537250 +18844899976 +13916192250 +15146551023 +13634319813 +15163291432 +13904596636 +15162524892 +18538336484 +18564504634 +18578780316 +13697347419 +15875180168 +13977263322 +18816942724 +15879331711 +15898751867 +15867478062 +15821106125 +15108220571 +13647382408 +18594893648 +15873389766 +13972532884 +18579372996 +18805301641 +13693264755 +13909984908 +15170888703 +13671515665 +15833579586 +18519178503 +18591431331 +13677103765 +13907649961 +15158958433 +13683447008 +13948144816 +15843672884 +13938149416 +18883290940 +13637166089 +15132799906 +18577345798 +13919785542 +13619734173 +13647339901 +13989192973 +13676547540 +15807625072 +15823856186 +18807678362 +13930286077 +13613575472 +15886683401 +15805158317 +18810177658 +13633489022 +15197695147 +15190715049 +13613151229 +13964398319 +13970221424 +13664524748 +13950226772 +18825866241 +15844445214 +15159288836 +13970006355 +13695636777 +18541432494 +13955781661 +18544779168 +18882646494 +13655217378 +13616092493 +18579223427 +13659323563 +15839531279 +15865685382 +18841102266 +15867366545 +15136254946 +18810659426 +18538434888 +13986132249 +13649651875 +15141036421 +13923703284 +15817370385 +18877477847 +18557338952 +18553161528 +13699596184 +15845586440 +13664411297 +18566711206 +18511157067 +15827981114 +13686746913 +18846437401 +18866322213 +18549908566 +18509661085 +15112245594 +13974669326 +15107575957 +13962033395 +13622488422 +13677395222 +15127473863 +13943092809 +13621621871 +18841614827 +18508807365 +18894458503 +18850663745 +18587100551 +18598863221 +13624051123 +18534224412 +15170797618 +13982475713 +15804474797 +18552292068 +15809552907 +18808564913 +18821555462 +18822940285 +15148449019 +13610755194 +18884503523 +15146823830 +15148491681 +13983558710 +13608676121 +15858657376 +15814424956 +18585931530 +18546830726 +15877507861 +15857697312 +15829235596 +18800923066 +13622382233 +18859247169 +18514131843 +13669803331 +18857591026 +13967362334 +13934482681 +15812316694 +15157255408 +15868985590 +15885489595 +15127090459 +18860376494 +15859291066 +13638159788 +13638182637 +13989629924 +18885210390 +15194101971 +13663943989 +15139206933 +13927109003 +15117068319 +15174684994 +18834319459 +18532841606 +13935914693 +18891037224 +13607164091 +15158397090 +13905130923 +13972210709 +18502023805 +18858496760 +15868886031 +15116131529 +15102586376 +15832447497 +18564711516 +13659364851 +15198490570 +15863700984 +18809263009 +15181614248 +18548368790 +13909060649 +13930005762 +13622366562 +15177148019 +18870247959 +15881581752 +15869090971 +18812612392 +18868227329 +18891056592 +18833595461 +18579186581 +18804089857 +15173693904 +15833346655 +15867380640 +13620868880 +18814538355 +18828795760 +18521016589 +18893182908 +18520380463 +13960454103 +18882038731 +13968862841 +15106943023 +13600599498 +18801195557 +15844079308 +13973440757 +15853317202 +15100191379 +15157521499 +15152300214 +18516519839 +18578026414 +13981133226 +15893549561 +18535450886 +13656925637 +13634754824 +15885986526 +18878843083 +15820590176 +15872057262 +18519644669 +13629895671 +18885620407 +18554441512 +18549294385 +13641143767 +15818120221 +13663015269 +13980067948 +15196945460 +18841336059 +13677218601 +15142521341 +13624096453 +15196735002 +13988234923 +15101728524 +13698379215 +13681164312 +18580776207 +18557022554 +15124044794 +13987163426 +18549617433 +13621546485 +18553199825 +15181513484 +18516596885 +13655738054 +13998046298 +15107205607 +18552271660 +13976350912 +18894150853 +13918226959 +18869805232 +15159626612 +18819505125 +18507926626 +15124945293 +15144593410 +18552504544 +15172560367 +15869246832 +13666906616 +18891039230 +18822416856 +13646577809 +15129270027 +13947807506 +13946890546 +15142898116 +18592557958 +18556185984 +13985899650 +15114243844 +15109518041 +15151571234 +18515678862 +13617206887 +13677687876 +15846755404 +18836374597 +13958191351 +13658683391 +15861980796 +15836109200 +13974994495 +15172317742 +18540214193 +13621962794 +13963812996 +15856460455 +13674089597 +15188105320 +15114967612 +18893649819 +18586515143 +15873635857 +15158550697 +15841399938 +18843995899 +13680601397 +18524059766 +15111008374 +15132980792 +13666479035 +18894401204 +15808307810 +13641037406 +15185787603 +13690222878 +15834654736 +15839093899 +18808886632 +18553052708 +18588672429 +18894032472 +15840020827 +13965874532 +13908658080 +15885439995 +13639670566 +15815790316 +15890474491 +15893475019 +15800938315 +15822621177 +15884696100 +18588817801 +18506451245 +18896995949 +15863127426 +13931786836 +15813916175 +15109530648 +15802530068 +13930700673 +13936693909 +15848623181 +13929990292 +18846446938 +15878856621 +13691819787 +18809659036 +18835488667 +15849867037 +18880866936 +13975145923 +13685795996 +18808341647 +13962180372 +15171038446 +15127012647 +18586033493 +18803477171 +13648405356 +15819648076 +18847231930 +18804115546 +13950137792 diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/randomphone.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/randomphone.py" new file mode 100755 index 0000000..ca2632a --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/randomphone.py" @@ -0,0 +1,5 @@ +#!/usr/bin/env python3 +import random +import sys +for i in range(int(sys.argv[1])): + print(random.choice(['139','188','185','136','158','151'])+"".join(random.choice("0123456789") for i in range(8))) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/.gitignore" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/.gitignore" new file mode 100755 index 0000000..e9055a0 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/.gitignore" @@ -0,0 +1,3 @@ +test +*.huff + diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/3_PB17000002_\345\217\244\345\256\234\346\260\221_Huffman.pdf" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/3_PB17000002_\345\217\244\345\256\234\346\260\221_Huffman.pdf" new file mode 100644 index 0000000000000000000000000000000000000000..3f0d474cd897e0c1b2b04e9ce58f44ade7cb7a4b GIT binary patch literal 201337 zcmeFY1yo$iwl>p z6^!kG&ZOWUVnAmXXHpLE9Tg!VQf5V07h5ZP@GZ_?C8W$s#unfUw%;$*JRE?e%|zD77c+JNlG2Ltv9YkRuyC;OvhuR=aPly)veK~e(EMuitKKh}no6pqnod?=!QfI^ zpocri$?SKr@*uPSl@ui>keRC~5G)4VSQX^zWC{egvNE>^I zcacv9R)} zIyhO`TQEzxnw#4h+td9y9z?Ki;a|8lRr%Wv-G{v9InKr<_2QP6u* zT^4WwCod-xJ1GwbD-$ocu9C46xavQ^qylvQ%?-by@K0NSAt|W}w&ZWd{0)*4;4Xe4 zRYHxGgB1Mv4VT~xUQ+Pqj|(1Bo?kzOz{fw#^9#9u>hNoLf0$Il$`-6RDYJwv*!E&T zQ;-?h19Cun3l~dLHZD#sa3e&pt$@aM$cXPVW_9(;C>zmyP)GbycHb`2`@E9-?B&__ zB?hh^=K@O9mSxqS8};rX_WoUkb>_+BdwFl>VWu1FS(TDfmjgBF+_DhAXVG(#s*+dp ze!lIGp3-*IDGH2{hR?2d{n=G7QO7TB1BYuHYl`Qm?N>vnf{!-~luV$gG` zdQuqvAhD>M2*OLhB;{s1&eJ-np(#1?}eh=PvNfE>^_t(z& zMR~V|8~0qkHg)(Wo7pEIt1g;%!4uVJK?L51{VG!m#5r}PTiW=^WScG0Rj+V<-g>Lq zw2&?oi>^);Pb~n&@JD7o%dsL4Qr;zgUv8R|&t_nlgC#3Er&av%27@>KK#yWb$s%nQR#-uFe~*)TuUQ$cWxn)h+j{9MO*$0yqRq=mVi}jIH)-tlI_+9;m}06>gJUp_ z_H(gde>NW6P;lSwtt4}J}qyuIsM63wXai*q)c~psis3k!#jJ`|cLU&hZW)EiYU^C}^5ZGaTEk1rd ztkAsgRX?o0wni^f%gwTUGc|)7IAQ4=TT`^xnPQBRA&|68@-ET=+EW7bzHVJ&N^C+A za?B>?EAkB_C3l%Dl1$))O>|@?skU@&Tmo*N4dc{1`Y(z&7N)+j>mj`en*9i%Cz*(N z7BwX&9ojqA44TyXaV>?y-Sd>LnIDudD{SRA5Jo;Jlvh1S0+S75ZyUJyo4=Wkc-ot; z#ijPWYR~AF>*Me?ApKE8&qNxbw4uyRu7K_(NA8IoBf+vEl+@WPqU{g6eh@=DK?%)8 zM2zNo$$f$_Kx#>3+3Cp9foGH;d9fb#>0r@pwF=^ZXdgowLfF83LhAG&HM)CrmWPxB zKcgU0pVP}Qu*wK0#R5o2uqtX1mSk}unwYV}r#ltw1e8HQ4j6b?G+dQTS12YoH$RSZ{0?X2;*dF+glUZ#n6F5) zofogPZC3bNWEH|0PoIEi&DgILvh zTSYiV2vxEUwK0qjPI9K!3ONxCc3}yzf+3mYh6?b0AU^@_@CaGvt(BTiHuaQ!9CJ?@ zv4*armV9xzl|lKS%-nDJtyP2jQjywCQ!_plV=v#No5Cy$(r4S35OMv(cR^03BpBU=kO5JzC*f_Cw4A$mBZ?qpp28@ ztfX%|+RT(fY?=6nt71>Z&6AE^t_FnEs9C+2iuqi8))c_%EV3h*NaCU*#Uv~MX!R<2 zR6?3J@Uqv z?2uEOaEjn@VF%zwM`qDqT|z-i-E!T4_&V!@(<3dBg-<4;pCVhGrmfqsK%;%E2+`k<1hUM!Lv5c3zp+!I1_zrbR2|BmJar{sXnTL-RpMo@Yy?4A*Wr$>MOA+&y}VTv@cBP9V@h!F*nE*T4<$Ep^o4# zSU<#}?!$d~kcVJeUApg43xgZ*=x(D_n|Oq53XbEcZ<}11v?AdiH?L2w{f6njTz|EA?HGy_n&jfM zWAVzzeL8L}ivtLoCS+G$jWw*0PepPc4Ljctg)`t6QsZk#wThhvJ}XdNsJSHf&2F)L z(k-ke{wM(ig_P7yN#PyurwZJqb!jN+PoD5XFYZt4MXI%PN-740X%Gz+J8$rfk9F)1 zBF{X4U|Feu)SKX1`+k0q9Az-hA-s>R`l}Cg%In>VI-7mysD+I6` zg*377nNSp|eE)aj#o?f|8>vv)j0%gfITx9lbLD%lJ`B_tuASTXac;hlFb{u5FXq{A zfgBlz`ogl^?oy6%!R%jz$A)O)kZ#YHa#WUA{7iJqEz7|{nTV8NSBG@Xyg{Isb6;P^S4L5U*)9R!`ZakK z={Mn3fBMD9akm3Y8OOs1r9i2uX+;srQgrCkW^Z>Ezn-uaA)VELx-ayxOazG=-Ci+g z2QIER5co389N;oP;ELR#eke6)GpZ+l!KX%4=B|#QCo+aJH|l5CE6kNo!7e{V;FtC7UDc65uQN&p)uWo8s{VUcLhrl=n2`%*wNH@$ zLzU=zPQQ^+H2tX!K0tea0XXk-jAUl8JPH{M>h2v zwf=*uije-63xM-5QPp1*Sd*0H7r~VP=WEPLq`!DM_?F7A1VxvXm6eo(hnMO1Z(dGP zP8JR(ZZPWxr!X$RjcLvI#a(5dVDH9vSY3D@46P zF7HG`U({PFahO18ynHDbcke5$$1$8MJAk&B{ibG9%xKpQ&5+~A?Y_9JGV+fm%SrLQ zCbFJZ@kV#c^WZ3oRaVK9$~rm4sZZ2Wg*0}t`LD}#=B?GM^`|try9E1iXxkmHA0;| z(YY*zj=p%3w%w@Qg%+T{*Dp&ImE++;1a@PF62n8(Hf(pH_7(&}!ItY7iBz8YH+hFD zFQj8w;3P7*)I(VH!^~M33HCvP8V9z&uf1Qn)vqk5%l$^z-QKbygE#R#X%;>b>NA3} zBH40O{ZN}2cS3&~#AhvsUYy7qD#^*g;oG z5durScnhy{eRI+E#mE8G!hR8zt6pjH8(HWfZ6Y;n3rs0NN=6v<9g~0+=}E}O6*MK& z*V_tI36O|46i!R0l&Ujs1qoJ10e-JZg+HROPa$l$Es^)i{>WV2l+saMZ7lG!X1_n`sH*gaBPoH`@EYa_TV}o`&}59n1qCPlfi1n8lDEMf z`GjPR4|b{gOHkn!?uzA)){8;0Uy{`Uun~B#3 zITA0LwhI@OH|4;?L)PMV<$(eiHvAdaqBL!GLAVFN?tFMp2wKr&TV6UUgtS+!Ht%IW zVzpVZLD9NK(wEU`(Hudp2bai5ooH{^$bS*^v2Yne!4>mjC`-6~b>=@l=Y)l(q|FX| zp^K4Kj74D>umXr1G~sGgHpbSRShWDM8w5rX?S8OxPiY(ZEC>MqWe^N9TBD-WX0r z5OnG4nGH)=yBgm*+axy@Bt7-j4tKq`}G2C+sIr4rmQK#W)&uxF$8ZJ1ncc%gg4nP0d!pkc7kiELT=%!+hTp$;Vyn& z*f}cx#T@3@&6s3G<_8oXLmIrs$PWT_eS&%%*#XN)o0@&{Tb0U~{$Ek1DHzq{y^2MV zFkhYEQpckbr$#>ynS9>UP;)V-qqAt{OKmc&Iaa(~xi&L7-cG2XCJ`cWfa4V$lxpZU zZ;Nox!gQg3kTCP%S!GJb69|NOuV|W&-A^*f_cCx-AfYHYY1W#fi_ms8rir1v0JT8J zv#zUBiJx60#-tB#0sm-e=(=k7Ft|^YyOZ!1W8C}_&n(cWI!(wT{q4FqWLqXiWIrW2 zj*e_@*2|1`CUs`0ZV)!5=Z1y^%0iS;ipC1f9JO35MIwxA$LV%&P*uW*^y!fKN1geD zcd_!06xfJ15Aq;qI_gxkwzYSGd~x`R0Y+@EOuwNw)NO68cY~Lm^ z4#Cn5We}#kqOq<`WSWDhfj;*^3D%e3oz>4cip6RHt`tr*nD8T7pQ;7yLyFTJz6I~{ zpZAtMLFW{+Aj@{N`@MzNfyFFeslr0?@*!f`EVA{4BV>%zEa+4|eG7VHd3I(UV-$Sy zZXtCVx`)Zr{g#-MW*?Urj>yz%H-}7B)m2M9sjR zH#HJU1YH=Ve6<+W|GtsSEBBy=KQn4flYbv%6Y_(~cQ<_4xsSiBK9X}2+t4YwF&16W z2k~=y%~hHKRGMWg8_ist%x#8vPAG=H7{O$4k2TKFBIks=gQ9x!`b0T{ZJg ziQn*3`Cv9|;kT2b1>?W>Sk@Rrozzr$Lc(a zNSgEukFdAGaNN{okO8E^kI=!|q~B9CdXLDIm3TTU-H|nUy}!hzhY;PJh9J>SOMYjs zOAc@)u8d&B^^#JcW!YXY{Gy1Ni&m42x^Pw+9d9p#lkyhP-_<{VUvtoOw^s~R*?q!<|&b?$FR6=vRnpndT0zgtqH77%igC`CdblXH3)$74sPiP zDYaO{QU*JRu9x7wo9vWP%GFl-k9VI0UK8PkX0M7B5YDz1ZWv%L+3(f~r7smrC2e0m zSDtqcx22Sap#mmYgl5=#k$3F8!<(N5Z->b2clb~6_%0*AXF`OJG>cNNWeOzExIv1E zf{6M^Cl3R)JLUI(m`K*{=W~;^7UtPXG6i*aM|Tu`CC$&ifKednO=@l(81P&eL8(*% zudhTnr-zaVH;g6CA8}yM!&nn z;@jX*%G`Nle*%|(BzUnOV*-B^6~#Q)+q?9sx8w>NSA6cLTAU1~t915s1P$*)_@&q0 z%I<2U#S?E3F*+!|DDYeL)%>s@M8qw2-QOfB?0?y!5Vdk~RsuSKH+mdE_P-YRd46pR z*n*r?9gI!EOY34lH!D-1ilitwartBEUF@COKNjAlfL0ckE~H%S;8uSu!gH~6uyXw+ zagnwIFXjIubJ0@#eMg2_)5;9IV9(9_PwVwB-(P+JUdu>GO8_7s000Q^AK+yP@D2bE z3kwGe0}lrWhkyW&h=hrZ^y(E79y$gpCJ{afF%do?At@yj4Jr8>3PM6!Zn`%ttQ;I1 zBs9E&JZu6?>>O;rgg_u5ARxU$!bL{LWg{abWBYF(FC73hct~F;LMRAw03;d&6dJ@! z4}b(bP8f*a4!|D=1SAwR3@jWx0^%!hh5FY3NC+q>NN6Y+7-(p4Z6EM`05lp5IvJ}7 zEQYc%9JwPVTR?0MJcVd=Czi_O86~@k(+31ZY#dxXd@5=hS~_|TPA+a9UcPr?;u4Zl z(lV-Q>Kd9_+B&9YKywRAD{E&LS2y?f9-e_8gMvSWgoee%CnP2%r=+Ik=H(X@78RG2 z*3{P3H#9aiw{&&)^!D`+3=U0A&&jeo74FwJNOD_mWckl^?1`R{T3X3kH3}@_!LCzKck0~0PQ{9O` z!LD+KW#Tl6h)v0{PIdlEwcj-RuPOH7e@nCfQ0z~=76C|55Mbv)p#g*e7x%Qe#s0Zr zw1EHpQ(ffQ9yX~L^4IK(_ha;x z0qZLaO1Gb=B3Falt&HX>*tGTe%SsS=2S{TxHWK?y$7w4c)XvoFvR_{wbIXfzWJ;Sh ze+;Ivr3BsyTq56vO`Qt|xC|c~iq)QVEou8%>a=yG?!=V)8%1C=?OIWX2SqdIHXMIt z^l{8T;7f?pT@o>7>txRA!FF=2cR-bk*u|=%=`QP?V!D#5UqRfNo0OHA&}aVpK;87Jhsy zR`YCHX3I;u%b+Aqyt}Z?fPk##>v2r~t>WA;6GVpM>8}XI2Ygh8m5nWSZ3QERST?C6 zURvn91O1ea5!v?jE{{y}K}Xl}LK#e(?rMPQ*!=eiI5%;MI!f!*`Z_%rRjzSXC+l#-E+lwqD&LK*n`bs!YW z7WW{#$9Eg>_8|OgRjkCIRy#Jr*d&Uq&zIMSX@GUw$~CPiTLP8I9s|r77t6vHts>pR zn0)`l4h?(f$Qc_?*i?&iYetk;c4^M~OA^LEaUUyRe_2-WSoXU4j6xoEmClTOtIxTH zy{KoI<*lPa5F)v_ZJ0pq=HMf;wOip48I*RQlc7;2*p@qTi>@d1eZs3ApqATa(U*l0 za3#pxtwV`VRGk<+NBLAnh8s@?$oz=vEAGVhC^)C85hxob%Md>3-F4nAv<;%IG1$wCh|J|I+g5a zZpd=XMU)ek%x;8_;Qa&~s~Q=c~^s)?o*4yrC)#R;}l<_vUW!b%HZ#lI=yH zKcKKiAZ^94SR)&Dre(!rXS2l3-4ls4=ney!Q!4NJmVY>>89C2rmfte3U#5XV%q13 zmqh1t0U7F?v4q&3f z!HGtm`-U*``?Q@U?WTE7R8$)}_+Yoj$nQKPD|7>oeoXYvn~|`7VI)fuJ5uG?Jxh00 z7~Z4fZy|Vgr@m%W%tb`&Xb_D{P78pFmb`^5shywNtdJVqEKD)Br8F5^adDxbve$1) zTTa6&QoRX;VtLa}W$GfS-$u0RLBn_u?m|1J{iqSNevYj$+|%s3k#D|Wf=ooM)(xBw zhE_Hb#Mtmz$^4q6gH`L3#9tOzyoQZOdqIfY;T*$_E|iEGV*g0vyzXU3Aa(w>v99hN zS>pqAL1kgThAn{o4(S2OWA^xIEYE{+d+SuNr^tC=molv?_E6mastreXx?U0O7IJQA zb2P1QVWUYuqLpB1KMo*>DmUvDY1-|J+j1^HTAAbMUb2>2(VMrF_&ToNk#REEW3%3) zJ-t-l@;-w58pDHIDNgx1xKD%#o+5`6d2}W@SygZBVJCG_ng9R{9poW&&Fys37glPS zs@iC)jgfRjqayrl>lpR%wdZW4sosq1LtV>E5r$j{g$5eAv6BMJXO#Rod&vqs;<}C_ zQ2i($D40(onrIAkd}^R=^1a(S^Om;{b(IL!bAS6h>BAZh={(G{%WOSs>eo~ryL?mo zXvSKo^FhZ0DK1}XYGD~Yc5xWIaoxraepQ| zN6C-1wxbZN5&Rlg+UmSRl$U|w&nBGcG*3)B$5bcfVpX-gf98)4D9wW^l)@8^vZ0}v z3y0X}gRRZk^X2?HQ|T?`ihFWYfUZx#OCe;#a_9CVE3iso)||kO`t-+hC`whatT94+ zO!+=S0_;cz7U2c^z^Q?5?4b_&VcN%gN_>uF{<;Sbq-ybMi8IPlQtr+9{es5p(g8cX4qO$@NHtXQ$ww~U1S!24Sse5SVM#_xWyeFQX zC=v|*16O$zbN+$^y#{Zlbwws!o`f}8W>3nQ+9z(@S!=QdBf9IizQ~ByVH33cLYxim40BIYbCqs}(@t*x!usG$ z60Ok#kqjDkC<2{*Ix2A|&|1D5_|D<`0sCCuAN}(yotuXW_Z}j<@vkh}DhGLdEyCr; z^;xz*khC6|5YQ~LYUi3~s$A5Zu4fmJu$(zncONi9736o_UBc=z`!z?!{ZH+$K&X2{ zuV!2r2~^V6vXv9HcWm5($kjHjYsY!#tU4k{70rE1;c5w}pq6%y9eI ze9!jy=ac`CbIFL>gHPbsrC(&tJ#J%HCZqGJARr$AXTp}3wRyF#c^QpsmDlB#_I4g6 z*4dciW9H?$Q+%IRjA)EZme05D;Tl29fvemOPsZ$U+XZj4#i-I7j05Zsue7t4j5C6O zB=ktk%JW!QMd2JP!ky1J0cPw5`z^7}S<4iV7|vO^5f;$yRl+v${J>VfXFbn;SO+vx+#yk)>eA3{)cq618v7GcyHWAE*k7Qlpo3B)5B-0N!Qs5t}s2 zX$8Lpb13XEx!)jy_HF$XOYhcg@qUp3S?K!J8uM7#H3oU+$HYxiSKeJg7-F(>_3@C3 za=U^r(?_3cehQ?N=dc#9irBMBDrd3I%)$PqAd(Ip7;ghtpT&@VBJ?q2|7Pfb z@ITB3-=1cd5K>vZtz|~SdGG5oU|V-L@`2^Z)G7L*AD5z0CwNUw%CDADW*58wX#2Fv z^EkkXiSwafafZq5#MGU7!Yl4A*81~%rC!uN0LdPn~X54_-b_NB}kx8qMOGywAgjOU=c=_C{2M%0oDJA zSpL_JG|p}wKTx~?e7>a?>FKTLSu+C74{?%m3KEkY@%8|v38fFx;?fHqHMGi|J}QaU z3FO-xb;>%8OGUD$W}z>DnhN2j7r@Qc3!pFhs7&!h`02^-yyz_xqfuhziCCw^k50%K zz;1w=k)6u(+OeZvKV-X{!<}&rzu8R5th@KUdn;!q5)!ZJmD$#`cPVR&Y}wpeRNJfU z1&!=wzlZx5z^3H2deIHZ^YgdcSp-hqP>xpJ5Vbd_JfRbpG_ld!%7gADlGop#Cq5nd z^TrR8aJ?mS+@DXYD{I@mZNKI%Ql*dX{v@x>Uo2U!*RquBn{>(XpA5S6CM~?UE*K|@ z&K}1x_MUu`lMtu&U2(S!4|~Y0^c3R_XuBB~HR8wpM$PrCU+UBD=Zg_^O*Qft!10W-E~DTHF$@au z?JMTAN2uvG*{4LSr~Vhfx2k8(M39ZAYkH6V+w!o`7DXzB6Q0d_EB)o+!u_1g=Sd+^ zI(i&ujDD!cb6xovc?ov=>w|H2R3yTZu?kxblicm9H=g{u5-Tr&S4YAR^wST~F91Ko zN6Y7-y64Roz}ox^0KdTLzAzhZal1LnMFlAqzUzk<{&xwKu;IyIaj_x|`o|lC@`Ie9 z4)yrJ?&3l1g+6}4tYpKj8~VTENV6qSrbcNV#dQ2F&^x=EvqY#bM4p%YOZ z(b;#t^)=U#!X8!G3PqW`#&ko%EkzvDvl6RU$5kxWOJnNZvhXI(==<`>=ekN4_CY`P1l zg)8p6i{ck2tfeO)TQ@93S3SMv>z@|#azcoimQ(M6r?z*-c9r+5!)1*-pVmZ*tgfHE zCxJ9sBV8S z;}!IQvuBW%YvrCp8|z@m2!@&=R86mV_3&WTO7lvQsfT9?C7EqUGs0EJuzg{V^9+;tC079lO0RO5Bx);D-q9DniQ9zSE=wQX8SzeSutGaIsI{tQ6 zqC%VB`CD7ce1}oVyYz!|-`MCw;4OHo<4<7!)%3YjRaDInVyb*nXF_YNd@O>5ppK~G7%Y%j_rUPd+7_9G4-N7tNL#=q12+p zc$m?9nuzlR#d*fcqPh7S%h=04b*PpXmka2nwZ}%y*I(5?YXU<#mS{IE%;K#-D4rTV zS`#qX2|a^@GOsAQ1il1ZbYG?ZJ4d$S#ulzEV#Oo^;Y`g*nz&6lX)2@f=3vo)iF-ur z0!8!fk>_i}BT0-g(?IJ{4UPJukz zVY&oGlBr+Q)QbOcZeE2Uo6d|tlR)}F@Sxy0?wjz&LH&2Wg)Z zM(%JkN(E3%*kjx;tK=ABV0ilU*&QrOfq)9BSl z=P!u6Wd2uhm__sxtM0Y)8V1B!hN03DAEG%x*y}`^kFP3@{X`?vv<&3mkS`w4Q2=U& zj!=cq=v)8PiBo6#S%OINY2XErC|zPGfZl0`6x&a^KrPKj9`ypyamtkB#2q~LS+j|i z2u8%UQm>L>nsS_C)vRmQNY}srp8O}E|0(Vj!5mE-uq+O{V=X&F)z1LbqWX!-Omdwo z;L5XC$I7{In0myUCc~^2Dz)}*_FZfDhW!2nq&m~)bol~WZkDv+Nk2v_>ywR!1Tb^~ z!^>Z$(lLHNt%DniG-JEV5B5vp~vTw9!nJ!9ef ztvEi7>}g z3);gD-DI$i2VSUSwd$pfK$@G(dbY>*48mO*Ix6>*%4~AxzYA#AU(u{23o3{CtzFQnvqA{mhF^{4i(+j#()2TC2S?YCM!#WyRv4(@a)#8 zqWHH`YZN_z#UdbAwq}y~}Jq1h_>sEyD_aVZEEB(r%-< z{q_z&ZkVp`XLtUzRi)O7HXZ+EedbB}!|30Of5i}9T`X_sO5S{tBvS8KmzB3#3QnO~ zg0pJF^TY8Fy}!tPqN)0P+>2Aunw942BcnXLq#T9ED`dxO>gQUvrt7Nj-N@nhx8^MU zwx}|?KHnxs*{R^b9t=mcA5C3u8+5>T(qU{{eX=Y#uJ=T1uvC6r(RhIVJUZEpQt-aS z3a0kSyYWc>KMS^>XPCD_k1ICJg0(MXho@NJeinajOCypX(6--bjWTj;@kfmPzFALs z*tC}VbX3yM5NT*}WZEKs(KpzZ>{|u|y81C>|2fzGLIGum2ba{atz44Aw}a8yf|-0^ z-&efS+KnP_#0XvEMS0CkBBDw+8=>m#c~`5$;|-%zQ-ma7hdu3GT|X}iy48;TYkd3* zV%12Szs}I4P(8Yp$6>wp5J`t>9<^bUn`?z$GGByC!6f!^RB$eXvk>kLNBz`cLcXhr zQr_SV&aYSZ_viXtUhmIyJ1gFdd;Qf!{>RQ$CYjenn{1^)&*Fw_2d(WhvCS{;ec zgZ0VPdNT4Zt&tMsYeQwW_)T_gT=eg;@i)+;%kp{ZtMITv8YWae;vf2;$uNO(C)A!$ zrF(Z-f9k%+P1sD?Uf$f?Y&T4>y1bfXQ*osCpLsHGn#m$gw#+LTm8vyp6_y*4XQPgu zMx$ImBYV|#{Y=}((>mX>7xY#A(vI`yfA-*H`@08c)!)uH{)dv@WiE{%4J#4z*kDoG z)38vQuQfBIjV-D6t?h2Ymnz2R}Y{^Q#*_@hl7OfTy5;c&VE&7o=fjej! zNTv~U87iyGl%b4Gb#~t%QVu*7N#e?09JW(BoQ=m=pA$8BI~Zb8q(eE80?CC zuF3zq?B1{?X=n7!J3Wt}BCTyZe|tE=R%IGxjy%D~HJe0HTxr!rnI^RaT|*?lRLS=H z!)Y&!zoP9gpjKYCR=(pte1*nYgDy>IL1=njAZ!ya-w14xGNY}ajPk#}+@H%!tFPRe zAH8m#+5=W9u;k%s8%p)(H``Uzw>^UwZT@~1>JDzsOik%FRZfY$sSNv-87VW4UZ#yS zxSf=K;Ite0d~`+X4$icEyqquFk9yzKcS;-2-hly!eQI;*cDK3~3i?kT%28ursZ3<8 zrj(BUgF>S@pB(!M)oD-dtH^9pu3mW=NniXhDkAlJpCw_bQ0qsWRaLxA*ubjUU1{ON zYm(iXw^FcgemZgRNVVR^0A88O%-n7r22no^?hZ6}u@VNu6=Utc0M5$361@Q47QFyE z244WTEkC~nb7zCjnGzi|ZCDamh(aQJy$U>exP>GNujFn|D1(Vi=!Q`-^JrddBAW%oKgAzKZe!_^kQ@_+j<}c+_{p)YSgjPx1mlZ0%gTMD%+^%6j9I4eRRU>%5Xf#Z-&wE33+> zRp}p1>L}!RK#HiuDsj~c4_;lLk@aMri69coQ`ijsq$G_ci#&IFNxB)vLWvKSSOFqC zl?VfeVL3ML?xyAqaaxL$laa*(%@UWq$GU1N>gX*E!SP)R3he6mY4QfcYAe?ZHjM|y z1PB$E5Ab2h3HteQc6j|X!u~~y&^?D*q2nnfwTQl;RrpCnRE3ndRzu znL(e41mWwQCpp<+URGllM)8hd!MLs=1k&ht%WBtlHdI4*I&v{AgFlH^R7oPOm8IwG zx%|?Z@LY^F7sXF)ig4RhWF=;2j~ec@z&j{Cml2_IbND^;!scm~7UFn8?pPEvYRTua z#rq*=efw-pu@o^zTg1V2dlf#FB}-}^F90P4PP*oH=`~qK0(U#Xn_0cy)~4o}WBCj> z_O1&fsf$W136IWGUHA_?vpBi_FnnRD3I~JQ_!HfH&aN~}Rvd{(N#O;_>8&SNk>Q#2 z_ZwmjaT{~%U&mLFYPfwF%)`ipbj$SFs(Cg6C&29xIaxpXeph(B8An9s~t2mP=Ri3aE&i+CzAyVM%G*!op!#h zHJWnE1SRqB?L>a4ANdH2yIe&0#K14}L31YP>n9gJ4~I`P)ti?UtxXnLEDbjj%ezVN z1QgR*2}v5tTAkZ{(v&9__Oc|ZI$nluie-;{h9|rR1}i#B(ihXMAVts9REgob@~ehn zuSD096Z8e+#xQfX4E~;=FlN8LWW|6)P~1%FT8HZLj)4uvgzYOvRd?%Nck}pJ1h$ zXyGBjB`a=MS+}y6xR7b#da~!$OEpAbt;>5HqmrPQ1 zuAw-;FoDpPFGVM=N1~;~Mqg*x!sgKH@{aIvZaR}$RRk$0>#U}7I*A}T_JICgV$&c^ zG;%xL6{5P6cRy`1w`9(a6LhF}!CI8mg&vWRm2^Bg3SJ#MJu$H0&pSYWmoJH=p~*JD zZD*8C#w&OzGekXgnW&An?RuAKqTdteh1ys6?_Sd>(kKVg4At2`=NqwbS}7ME46j9a zXoO8JK7eXw+k;`+Hv3Cgmg|FVlG#2RE)$;d&t~dOE*>l%+XW5vCdQFN3WudD#p)?c;Vdq>b!1QixJaxD5S{>VncGesHjiolA?}^ZH6{yMp86QGY@@x_n&GK#+Pq)E5tgcIJ$H-C+`(IO5+)y! zfoFC5urW4AtIAJ6YvnQpwcWaS#T{U0i5_$mUEN_0OIJ0*g^#oNqiT0?rymj{TPg0A z*u(cL;`$PCt7G)UDPCd}r-GvcHM_zzH|fHL3t#u;0b;kJ;w2fof)A_9Nl$x=BP&g% z)4B>SZSv4;*j`;jtqNN929m{BNtEJ<$azd^nv+CYZJ_TE22b^|)c+k*h~*ymamTlMsXwgC z#egzy1`qawj|xnS_x4I&cy?bosTz>tF)4%a25AH|3cfH{!IOSK6M<{QV#Go(-YS1s zKFSFULMV9$#3JyZai-Czb8Bg6)irji2AYNVJE1zD7VwQ$m^B+RNXNnA37IaHnx0q4 z&^>4l54`}mfLHLPCv^tLTp1=;OA@nl!yt82cBM4zm`=ySWj)fpeeCsH;u*^?^3WJ? zl2Oz#DJITw;W{qL$AV*GVOq@Bi6IGBQ_P zG4s^7aXxQ9Cr02{QMn;uNfxH9nekz=KDE_RWuce+P$wZG0`0M_ToO5G7q+fA|6t#& zuKpWA+B-l(qO^3gvoklaY}>IuX^>`O^h=o7%oU9pP}7B8s>7aNBN0ihp1v=zZi-%2PyT?uoJ^lX7)`Fiw zFzyJ>T$)N^+=b@qjf!W{9&oK!fG+~)tZSM+CrV7QEn4|d?%xqu;Yq7*+c0kvF94aa zwCi0_!n0qdaoAQTUq!*K87A%9y`3ktlyAPs9xzU`2%h&jL+8pzF4LcLG+aUFx@Q_} zRP0(d7RymoN=2**W(aMT55y?7l(|D-R8OqAVeSHb0_jMA~AM{llig(_lIu!`H z5A*K>^E_9;{DRu)Mgzyn0<*g7m~*OT3^Gn)XPHf~MI*QZDz$uhY0%LMO~_1&%{dB% zf36n`ghoBxF#qT`oHI_CmSDph@BY`TpSh zz9w0i$i}Nw8J38y&s;n-hdOF%L$yA9T8mM-_-;2_aezY+bk@hhVkzE8+rROZXe!6F8RKNOVyH++%ucA=Z*D#NL3(bG zy4|@&_fs*sj!D?2@58cs1B{?SXs!_@~n zKpa!k@nT&ZQwaim!r=hV@fl?n>}|bSH~7=t%+-?^w1yfG;NV8CU|S+JE^LtL4^CFc zWCwF1GZ{B{tfWX>G&3G~6tT16v@-d8&|F>se0F7yNEJ*1b1QM>aC)23GKY^gIaX=? zpQ@;4-fT9-O)XlHT8y78nL7!S-6_HLrMh!oC)>C?T2W<8i&b7<5Y~xBsXZ}3$)76} z35Vl|%yRG?nR!XHNSn4{*ei89Mg|i3c&S%G`3(ep_M87Gd=DsJioSc3WOxk~{{oO? z1h}bbX58)^$d2Rf^ATR-%->tp#~tYMOCnkrExom^+19LNUv{uw`|#%U^2tv%n34Jg zAU^>NrEF|$jny4WAY_qaH$n@Hxc-cC64phGUq{RiLVK#*JvN^|dX`LmXlp)<`Zg^v zcMQN(7XSa`3B2_Ztv_20M@hDzoD#v{XOI&yZ4M{=0Wp&`iy9&z2;N?Wmc_7M%#6^* zBYLwfjvoBuWVs^yxw*ve%OjYaizuS_{XhceYHra-!o+?Ps@>VQP~fDD=riIf@mb4z zr|(N5W!COW@JY0JEs1!>%G36(uX6r%#PE_qM{wcep^eKvO-oPNAh3VioB9p= zi5DtbhW?j>;Aj*qvWV|Ui> zU=R4y!#$8&utZH#HwU2O*j^JC)?5A$Ufcbjyz={RZ6S+#YzCJ^1K(E16g;=_d#ld~N? z?9dKSb#(4h2$rtQMlN}qPFE$(Ih&`wndIzUFq8llrEpzHtrYRCzQoO6`#Cm2ue%aKXt&Go|Sc6rb9f8T$rZvxv$eULS9+eoG3# ztMRfGAeYqv@+|en>0L+C|I2gG-goGZPr(myx#o_helP;t4r zG#K)H-d`)7{jjC}e^B=pKyiKBns@^V1P>bAEx2oAA$V|icN%vI79h9<2oT)e8X9*e zKyYZ>-8ERI@2i=q`@3)E|NgJu%+$SAoPyK6IknH)w)XnI^=%=e2*JiuyHb4{L(Hs0 zVP5aNW>>G;(#wTtDY=~`>v49#5FuTwFoG21{5Hs>K=%)z6dY=bMD7(dO77wkKy*2Q zdB}v~cgMGsBjx-hN4jstQ_68%K~+oCUya;Q6jYczWY}Ah|+EPsyLPYM3xg zU22nsa&B&ezH;4yn&gd`Ef8y|1Gj2wEKV!CZf>qQ|HW+uf3I)SsJGz0g=y59e6(rq z=h9nVIF((F?Y){4F+$DHR2TJr3bSv$90X_$1hMUjb;$I^>iss_+OU1Ff~G4yp!1R2 zzuXK&?8Z3Ov^o$=b)tqmDXrHI6#O{FF&*(3`RX(NN-6YOpsPujEeCM$-l?w|Ko+9;B-4^BqOu%9R zO|zb$hPu^R#Dp!-=c_@9UZT`csv2j|Z8N=rf(1OQn1w-z=Q#Wg!MGjY=2n5qy&*b8 zo^Tc+q^QKM-y$aRyILoG7ACo_(d$D&Dz8SRM)^(r3%Xpyp`CgAvDRS}zkVvX4}l^V z2uEV1bTOMSpD_U47CN}#SAG1u%Jj1BWYsEBNdU_6!-vFot~i`0;9dsES9C-sop8J4 zmOZl8YPs>l3PDsK<;wTHdo|`h8U6W`mz{cRXLDPduZ~&xGm%W;FowlGJMzfQ1VA%k zhV6;(TuZtkl8|z)3dC}Kq;0Mm3&95DQ(U54F8={|1Tx?I8}<3jM?9%l^l-)%+Qb&W zlEn9HNq9bRop^*VJg1MUB=70Ks-L+*O467G5%gIwpS+Lu+xdR?a8p$-Hd*;3y77ok zHS>9Q3hyh4|0WW%|M0M=?)fuPk0FH!PPSH(Tw9d-`E~+bk|PasHDf>1DBUbIGpRY0 zW8Og^2V=VTg*!w3+@f%-$I$knsJzUe*Tj}~bU5M%TyrcQo>sLOQ-I_}=E}s^!SI_C z6a{jX6dd82N4W{y{o-BdI_7sDtf{69_uLmv-K1RdWm@xm}czmnRcLaD#-v$nguhcF?9j^~P?4byd84?=htQV z8l5yLjC933Hp$5lMi{jS91U=Z5kcvrl)g4Bjry|=j!&L&5g&%>(VeM>WgKG3M89UaXy&L1dg6|DXFsh?WCuUjjq)ZS-=?hbd_%E2> zan_~+1JaCmr- z$MSICq=VyNe$AHWdn z-b;^9_)GGb9ydTm68E3sfXJ;hJ^4PuLBZUO) z+fD|Y3yNM;!(QRbXrJgcZpNk2dHxFy+uJ{YU#gD70X8B)STa-c|mFk=;=Cld`8!0dqI+Z;n6(mUxjOjCGs|3;D<8LIhaFWsoB5nrI5+_^l=QC zU>ls&uL1k-`L;VZr2kq^=-cDoN6qZZ&aOM9du=lGKY-kFNf^)tgO&#B{6wqoQF}eK z@Ebp~PSePq7Pgr2JbesLfV}01<(g^>|9gYQ{k7gO>xKVo7?1m}rrZel`=;x$*d%{I zQTWYvukxIW@l&+H<`01B2~+cetQ5xg@2k^ZR@!1>l3zl!Y-p{UrH<8xps^#-7ze@) zl|eNo0=Z8tSqzT`oerU2-b{A$=9f#-#}kJwlsAY|2Ro-NWfnMFGMZ&5V&71=J52ub1S$Ns)Y%-Y9@RB93pKS=PpHwpsH~BW8C8_e z@#=!L#-o1`M00XRAMa75|2~uz2++%B5~pVq67oqgjXcHuHs-muE^ z7wR;(N6tt$34z1kBt)k?Xeuq`g5DidlAjoAC2iXatVCNq%w~YWVN+8=!%#vqWo-2! zia@wM+!cnRBqh(QtCtQ5H`4;xpL_0WFT~r1kfz5E^#qntHUqT!L>2B)&R547Lo1Mp z#Y-Re>${Q)%cfRH+t(3`X!M$KHHYKa05;w6(A-A=EX9ZJOX$Km!63O(D%}t3fzv;L zlC2NGiHyR=ju|C}Z#F-^iZ4S{@=-;ACxFLPwo5G?Ec~g% zM$tM`C_VF$_k!y9au>BNtL?5|zdd7Ux^eI}0zpbFotZ-=u1!%=9Q2A_w^I$*;=^DN z*x1Q-Jm1~X&W6Tis5Uhwn5`Obn#om)!yvX<<7yt>||ZY4G5`g05FSbmD=Cak__0gjTO&=S#ZiuHlx*6&@?u%T{P*>zh9V zI*p!^L`qQ}i6pi;8W&%mf!cP{&OoqMg-5@k^pyZGRsf}Lo26F)M%(g*c=8#QZFe0j zoob5hS{qhRQINHpr?m%G!OOB6qtNV2*0q57tAPEcBYmWc&r*w47cZYqt@9#pm2&=5 zX@mdSG{gU5c@gKlcFY&Nk89VYN>A{jPcASf22Q{)chU1VumIf1g-D?uWYcf&_A@nP zGYr8b{GWv7 z+yx52LhSr<;Ib+L;`UZhHp2+-7z8agkW9|8Ai16m}s;yvhfy*Gs2IIE{EdtkT0sz|0x`u!swQ2l{SKzxx z_4N0R9De|BL=0iiY6ri5K-mS$-(M3?pm;^8>AaIo?JYIAjSrne)(Ekv!GJ>`%)u1@ z)uv`r-9E!1?P}v`Ee&O1qq^LQCKIQoF@6Zq_lyDhr5a+)5`S!%^LV|8U+#!3BaQ(_ zrO;hFV~(cYc=*D6A<|iKfJ#y0k9$zF%!&IB2Yam9H9B;Nuqr_Ff+gyS@TD6P<}tHg z7mHeMf(ol&^6C^edp>oXswBY2vV2os7-eyyHz28@B$DmI5rFdKe`ybeQQ*MI1;3SRs2qJrOk#=CSPG zruUrhnF`wq32)p z%F8-jU2@C@syIA$n1xR4iD6Gls?Ijp3F9cFyu28(zPU(*qe*lEASBAJqX1eZ%?<<~ zZ6PeE*3gDn>MOi6iZ>OUh;V35-uq5I51Re|lGxAxz^w2l?Bcyvg$x885hYC_oQEvW z1$T0AUO|1{^cCVFw-ed?OXG+8Hp4UtduDZeRnw7x^h;Dme?St>PiEHC8QLA(NUMmm)5a88Z&2s z#{!RP>Do!RN>*uq(NFbYPXZ4}kQvKO7h&F#)YS3mc5B15{d#kf&~D^wE&GQWLT!1Gp_)X}WN# z61>|GJ0~OY%9W}v`9rH10PFr25~0(pY|I;N7PBk zG5z^Z5%M_YSvNc{2dPYE(-oIdV2*>d{dWDf8GTZc0r7&)sBKD}uGsCqdVvA~L!qJ; z0s#R9)PL>~+F^eB9qP#T%~mEo zbTjpNcP0>*ehnO|IM4WK6nhz*cK2_^&$l9~vS$WRYa(u~HHSFa8u_uMj$e&KG!s?F zR^0MA*3(A*Ee2FQ@;^@>C6*|YL|SXp0ee8BVp5yy@`A)3uRQ4laJ!Tmwju!IT~t-Ciq-F!&|- zJ&c_pQB~QeqsaS28j-hDbQW(tZHQ#*lKlp_C?exJq6MfiKgBnVPJB z#_GIMZn&mlE{o}VL&lPT>PKkU03n6NxQpjIv#jsbue7i>##H|R)GxS2$Xe!TeT5li z$%w%Tvo{J~0EJB}^)w>L%VLLIVq_7wk7}i)LgPjaU;VD$1+WQuem0J*H}qH~YE0ey za?bNokqb`FaIxiL+Wy%U*j*0}@XV=1cR_7#v&yk~YYUlcjI(gE!usSX_jWM4_J!@X z<o;Ds3TR-x;-LsB_4HNJ7 zjHT9aS`b`_mG#S+IPBdeIT`xc3&b;n8I%p8I0j3BNoPv`v_8}S(w8fWJoM7CcX=x; zIHxlQ&MgVB%2XUO+#|QTn*TZR*gR&K^V-tN^k;v>siJLIWr6lly6?gvdm1P+IWmF} zLp>Pw!!CBFRo3=y4x+X!{<_a))S;X1qwyzuDKU|7T)1qr4SzPp*d3OXW0tCO#;$m8X5ld=_H*@ZD5*5R z;lDjli=pZ>gc^F&pWr)z-wJpLsnjOSXSWCl05uL7;8RKq7LGKfrj;Q$5>5QXk;%p` zFv8fI8^p4M&jgd#c97PZ`pYWwxDoG9vByV0p86w(CtN3N=ko&DPyEPp77pPbruupr zTq(47lNPD5}k!nKYeBjlLcZaY)-Te+4x3hj!^2*L^z-%%(!q~9c?5|Mv$sCEm{Zctk39= zd6_XjfH*EAIgb9gE@^nwI}shs!mB@j(lnL#7xBuAJ^3z0tsFwAtmq`nfFS>=Z64{X zdjP88;fvdmb*hI?AVe|&FG7_N0Ckck@wY?hC1UO5``V^PLdM(_EK{vw5w9aQV0k(C zQ(PZ|zpJXV_u=((W37MHkrw`yt*3STmqt~pVA^4n!e#+_n)H0S&`krTKA)o89EC!8 zP-&e!v(}3#Se5Z)9DnaG68>!p3PE_tJUN5TNW$OQDuZ`wJ&T|KC@Dxgcz+(e4psM=0INg)^k zsbv*cH>jPjhF5fNByBwVbI;YuQ(DJZF-M=adNiJl0Jf$A*;nrd@_R(jQ)3KgFzcV2 z4vfr=n1*2>gVov!7LP)J-V9KDqtyWAlu6HDxBHZ2>}>$E2?0B)JU|A4e|R^K z4DZ6%%gakwLG9Da5LT3#SIHlM4ZGVC@VY3An^t@maN>4DZq$Wn10#5(d!UMIw?8nHPOmL*>WvpDt z!o1{e5wykHzl|uD$88Djd)=3S#w6+@7(l5oXFS8ot=Ht1@vX7bYbe;}9b?SDl|LaP ze)K1sB30dBb)2H0Pv_}ry`yk`3jd}28v0+1i~K*2*@PaS6tu>koNU;A|5oA33BQl2 zOk9GPau`srt01zns&4=28oh3XM^;Wd9$vU?>yu$KH|KHCp<{RegJ_<&VH*~bL@`3T zK;}uM6#CGoX5KS^1Jng>KoQet^V$)6S=XBA@kq^k-iPF>qDn(~n1Fc89sn4L7xc)% z7>L|H_LFoivThM>EGJzptXf(6eJO5(;)oF37hP6Xwcbo)wLDweW>?U?F)&Z__+d$! zACigYl}L#Cg>oi~H)+C9&@xbvktxj)f6$fmxxyoLj<0q>))NW3fx1gUu$)hyOHCOF zye<W% z-yHn|iCFfPxmif}kr?s+*dhI^{MPCSJ>`Di28X@l7ss0XSFvq6Cx5xNLEkVR$mAHEL)pC z`ZpA)NLk~Sh9ig68tokh^6+w#A>3WnOC(^^Pi5oipiK=_3Dq-LmAvJ$;l}p~(UoiR zAL$NZFd2S@EkqQy*Q~yF9};?S|iBCv5jzf!b7NRKTUre`cI(X=dJA5}-QE z{4!s(GDt+(l(;IB@O5wZm6=FdzjKPNVta{=2=SyNcZdmMGA$VLPE}v(#Uv2z%`CDg z2SQRb+D)}sULgO+nhUZRL`b2I^~Gv$fGhBJK5Pez`l^{qQj~8*_AzJMN4+IH{PSq8 zb&J+5-awT-%N%!WL#w>EiBqnus*cP!SNF-7$BZ%(ocBidTU!z2T1b1^-pp}z|F(0( zqz(~}R3MEVK(d=ZW9IEP zTCyeO>1CjyZk{OK1>b!12LSI?+-FGHJmn`|`TGS`57H@CF%U-L))}s>&z2V@nJf_uN&glIPe7Rm&WtcFpY#L*1i2N_!Osuid5(Ijo&KA`qK@+63S5V@{c=*gsrd74EFhafUd0wAJTSYa|G{7sp8QPjBLj$ zQ8;HQ3eFSz=Gu~BpPz*_po>iDJxfS`U0N1*2!&d2>$3w~#QY0<;ccMVp*KuQfc@Ls zRX@mFYOU?N4l$_|X=dFIxj~x7WAT^z#uUqBv8@V@KOo zmpT@d_KkO{tsKuJ7;Zh7iKWeE;~G+*+3=RSEvB%Mj{av^1?~y4i=wu%yTCfpI$g~L zY0PQ9r-g;@_I~R0C3 zvRA#sMhJC2Ycch3o=uQMyH}!y)%^mUI#SpD_&+-u zcw6#?kW6M2W}RjJ-ZwnC>3 zI#rZeLY7{-0&gf%NmzyU@IqKKOSEmtC~Mj?`mmsfd@esb7a#mQITT0&(eN)9zXe^c z$MX~9U@E`j3{!nWO%Z!z`0_F>Uz>9K;1&#}OidUEoc!L4R^!f4ubr#_QQPQHKw@dR z{4epuh}-XXYk&boYqmrz83#u~vQ`1;(}hi%2??^}v&I_V&DoKvpn%z$3)ZC4ez)6H zjNMsJ1*~AnWGPO}6m^bq40eH&4_+D4iPH)#u{Y*Xs?MajA1yf{qCJRG?5^<~vCjH0 zfnVLrGd(r~-Yzdom>N?(D!kp{L+U9TC|si0B0RLC?uA)}~x(xpvySckkVxIQ7OzTUaN$s};kE|wgCXAI5_|w|WM^d3)Y?zkcWw|7i zUTH(>t~*i~oJ$Bbp*>1!?)u(A$Takk#)V+{Pz$i5fL zkq%J8^h#@3@zrjO$$O;D>oGw@&db2S@QlF4D07aJOW7NEAq8h`A~%r8f+%C1YKB%- z2zJhkZLeS%W=}-DlRW;bBD1F%a&u;o&d50i^HhbjcP@@AP07E-mIb&aiVQF@?w`@4 zd+b^>I%&M6-A0U+TfIi%T@ZzK0|hgc`iKnUDIP6`(fR2JHe+_Y0faP+@G>N>(W=&; zDW`$b)_1xZy%X>qJtwFGTqkX4FL+(He4bFFuWkIG6t8OPUyU;O-Dd>B!^zeOA#^Bv zp00yt2A)B&KUxraO*~mf2c0QfY&O`3=q*>*2gI1}n#i)ia8r~295kiBQl*SU0&EXO zul@jF8GQj6Fu|?anSi~QqnAGbzWe!%=e*8um!b`3PuUUIq81}{{fQ=F1+;K6kS5oK$ZCMS>#?~o4YZQVU2(`xW>ZR zNp_ELx6&F)TNUoe?Qz1LsPsPFd(Zz;ZV$ngJO=>*A#&)0eOdVG3N%c|zAXllZ`(YtHf8h01?pTpXd@?}o|M`}#&iGqgF|SI8%> z{*haOTDp{{Cv=qQC%N@a$#^wG_=%B8(s8EW*p`Q|$AdbSn8O)sx{wXaX5vgA>R|mY z&29d8Gl=O?^jHlwj%|3@D5;36^+4}ZvfX{DF>2e*m%rJreCp0d$FBm!gd{fNQo)H? zQvN{y6s@cD9H93Hu-{*?Ky}Ho86~g~=3Xq?da|b-Uu?@IeHhOZ1E77>bJRW&5 z^4`(#{rCrv5GDHuP<<5eOwh3ZH`#~3D_T^4Z&$Erq-Rigns?^N_^IrS3|SM6>kASg zo^rQ_s=`8xx4ZS2?2`2IF)W|@X2R`X6*j!mnrst?;mXbz0v94hJh^taXB09bqj#Ra z4K+EUowE(?a;AZ%Jg5^GOQXV9AgowY&2?Iu6a& zrjppGCM}(vMS8Smcz2IrkpE`0V%3I^41X3#>xrJc@|==kK^xNz{yI#RSRTG0KoRd6 z`wb4plIW3i=54LC!B2M?b1v5F=k~4QMUD#o@Vu5va5Uy3LOSoK57T>$fVak45nRdf zGM{y7`~#r)t^Q4b^nOrx?!5Rmc`f!yqRh~SPZR%+_qJzQv5C37&BX`tR7-%6u!QA| z_38cQ+1rNkh_Y2ZTP|s5N8!-gG{v_}OlGI?(msIs`8EUIsLF$uPr4uHAzJ6H1Mx#} z7zKXC)NtN3wLrLE)7RN}3f`Wj2M@8|?Rl8qd>KQ^qSc|M*huao9l#{fB#(3#FY=Q< zU)jN55cQI)Is#P0=o|SH0SFW*%>m-K1n!RI2SJ|nK$Cs>Q^lqh%=9mJ^_CK zy!!#Vjzpk)m^G0dZv&oMBRWR+0ve(nm!6r(H$`fzmiB)UlZt{0lJv+M3Qq@^^*^6k z>#v`4Wx;awcWw&r6<=_pdUf*;mAg#RqLD^WsxybyE`KFNzn(C%Jpnov8QF@w!O~s= z-)Ww`+Jx&B8PH@DK1T=GS zTGI$yslcK;2?CGpqE-H_GwJkS5gRQK9yFQjBv}Z*FKE>JA+@q2Sh@PV0kOe zH={xyzir4t@jBxu?|J-=9)s7eE8*t4i@gv!uTvw>7a=iAy{+bRwNCk z#dD2?GRn12ncJzyZOZtyF2e*QsA^%M22olS|Y={OQ7pFPF6K07t= z!zt>%G|#U^wy*{!t(ICMh1nKtB5NQ-lDdS(i9-yxq#S=<1iQT<>u?T)?duzGGu3y& zDfx0if;o_a-1gqxnY6vFg?Up4%kju_Q*k*(P(f)?I?np^2S1gFXwXl zEJ%MVb{2|PH>Tx5m$-d+3dd$wW;`0ty=^mA;(#aoD7oJ+CKUkElG<%e=P8-g(!RVA z_J`esR@U)h)D&-h6NXA1=X-V4kwx;jGx#$bD^khyVOwVW0R@0+Uip2T;dyvi#Yq+0 zJGrsZfm-SB$(f`*ze9voToa{@?d0Z+P}XcN5AhSN=kZmrX__PQynY2Dk76Lgk!J!l z@+u|hL#wzKc^Js0QhI=?EfP2%n~qXQFvqiPfjs{1!j(Bw4y>SYx-d4DRj!v`Byj_Q z?^P7T)y@_A9~LD1H-57bHnOzHBgaE>lwGrJn@Tqzjlnxwa(3R^SP~3a$qB<<8p6ml zSV60S43nyMCq>qcjbmgnHm>fT?xdA%WV%InW)nh+@FkW&H#OA*tBw zlw~+1vh0#d0nrV@FDnrNb#E6L>?&8aFX0Gb-Kgcw-Vf#1jPE=MzkpQ!Q&zx2IpzeZ1o$UiCl@#29 znXK}nwyER%iO-~wfF=bmp08*MW-^ZssAZI${p9{!Dxa383UsM^r_9j8E>Ok0%If9MHNiKeJUOZgKgT;6P}>R_TBI?J~=EZPW$%SeHfcV$F&&m1(`$L%b?-=B_G zPawj={<z}phK)Skv)1=GX%k~qD$ zp`IL!U>yW6`m&wWESQ=;pj=Y_8*H=2+L z8J0cP6EW5@&~P^krWz*D?L;Uuq`;F4@_3!lkJH+3wi5V`g**X+yA%9_NQf|eM`wPO z?tCRWwF3HT3YH#t>)U!?vBlo=WKI&lM;s1Zcyi=8kwT1 zIVDu?UlgZt4N8gx)Jp2Kr1m`cAkK)^*(;^cnzvl$GZw7lWc|3fDK$4jmq5dj;r6kShl+GMhlmDL?t8+1AASCZgz zN&amctz^(;E4}==dZQaA!ea0;WK?&Ms4vawpDuiCVp}-QPIkc>%A%{ zG@cF$<4JUTPqwd->clD<9_wB4>~SSM<(45osz5dJd{!K}I!2}4BRAzg-TCVEpuiri zySU@wJ@;2dZ0NOH>73tu;aqx8w>neNeJQ3)kat6aA&o~{zX*kbS81le!sPUK-p#TI zfWlaLD&s(8{t3Vj*r_X;n~tc-yKHPcpgj!h?}xoVd%Wl5KuiM6%N*b)55-! z_+D)e8ub0VU~#bhCM4zX51{v4+9}H%llfOkazI-__IKaN5ZzfnuEKlghOcJ7PO(4I z{SxkbJLuATuPOE0mwY6UHm~aIHN!DWRW*y-s78~<(yq6!Jp1^s72;0Cred3mdsoe% z;%POxwovWd#06=pFj6Tk;IG#xOjeKNpJ2&i?#0jdM(1U`jvFAULp!~9u*^SL4w)V< zBE8$m72MF1HGCm1o;t0@hq7}30pRca(Esi`6SR2LkZ&eG&)*t?Q|5VjZxhDoQk_b7 znG;h+al7<6p9W)n=3s2}@G8vUCy&Vk9MTVG8Ywc)4xj27+!#g&v@v9;SKCQ>#^_)@ zuWyz)L)oa^7V^e|Tzx!1u2YQ6z}49TDXz`BZa>v0dHc5UR}V#A^Q2|Z5}uxpCRRKv%fskx4CaZ_{OZb_vfOa^ z`9<1?w;-#M#coggKKw{GjDlEc#HO=wGQw#v8?vs^Xs)zP8M7;L_Hl+4(=JCh!Lked zy60wVgN2)xTCz#Jza$I5Nd$W);mLWE_vPno<;IL<&`CkId=|lwyu0QoC!npAWJ|bZ zO3x0JzF(FNF|CrN0&1_x#C4#Bl4YGa{I@1x7m6dhnTBm=RSHOLz+akD^!l7hqVT|p zH1<*-+9C^a*JF|KY=upGK(_v*tZ*|Fp;n2c#XC|o2tUk9g!d6fS+9|IIxfi4Dn~aN zwq|$;>0>Imhfb+e=qLt0cBpZ32EI!nSnBs7YrXwA!%f@#t9X{?QIo1!Mk0!L>7^m! zF&`EUs?>bf*P?R)zi+8Ct!(@=g0`R}78icWoDr)w;a65erPym%5uWC>>mhC-0Tja{ z&gU3XpFzS`WAsmm>jF@>_aJe(Ai+Og_nO~Um-zm<_Fw-ivA+L?OO&54|| z2GDh9fXG;GGU{(VF;9a6UHeVELV6#*+!=C3_9`S-?!AnC`w&s+i<&#vEr~|_G(;ySqMQC( zOBvHwI@Z=8ifg+x04L<>H81__4=t@vu?(AN)G^9j_>@wdN;J<30PmQw{%>R=7kQ(3 z28UWn&?SjC!NWzb2o#k&cys7s8U=$58mZ!QZhB`J!zD^(zwx2C9+)C&mZ>qghb|il z`K}FNlg-jX0@X6?6_v=h^+kM>DsST~yy|VTM`Q;|VMd%3Y&`ou01tjN7#~ClY%p57Wdt zmE@QwS|f~BUmJn%xduE1z30yy8&GLu^4q6Bk56%iE!FWvpz>lMckeS*&4F2{Kb=3% z<-U$~8Z|3e*6T4#8b-zXlsxVvpfY?gKi3laKS!0Mr;THP6;I*Jd!Dv^vlKo;Emd}L zayh_d972qMI~&??$pj4_yAH8|Fh&SV&MDJgo{_4VU$sF75 zwH3$@BlYjF{O?I;ubYc_7=yud&78;Rx$hOz>L01Uy*w85Sg@e}(q+v*C!N zpG5qNa~Yy(AH#s`c6MpWSv3f}gc@};tVwL=1=TTkh%Dn&+Gao)1qxX>=g8+D5{-uk zcskOB1t?w#-d`be! z+z%1ZA{BX6%Qtj_+b#8czj$L|tpYcGv5%apjcoe_sfxQhx>&qb!Y!ib7~W|D#R`@V zN(^nS2J&|j-dp=eu07%-=QOv?gIKF*ArkZ{U1ez=KY{{tvN#45WH%6D=N-Lk@hB`< z8T2&WsVWYG>I~%Qz3AlwK1Hw0C$wfNSJ^#* zz(=txy*N~_UPS{ojj+vK*gEz)TQQxI#s#P5y#=6lS;VkoS)&{s>zGx9^f_C>3wv%= zqvbuE5tBPa=>E6MOS@9L51w+}?Deza>C5<>GxHqh8;2wtVuC8MZR2xa%Rr!Kk7LoO zWA0eF0&T+&qNwGrKY)e0z-ghDvWC-74h5ach^L%(la>G52Gxjig!giplF?-%1U8Y# zqPMR6JeTU)#*6ro)4grtRTa#i|CK$KN9?C|D8Vo=>4qC_@7y-k=Uv2;VR_EseA23c zByCRE*iSXnRi0f{c(|swvOwcGdmoR6jWMC#2eb<#RCy4SeX6cQR;r6|w}a_uIU}7Q zHb5jF?_hqu5ef?Jzu7KFZ)gKC*YQbe5Yk`bec0<4d>tL%?^~&@GsbPl?6jiK%*hFn zs2y@NT!{fV@j_XPIuW@?t)^MiA4X0ERwwh|9a)9iw_mSY84n?Z3~5M*cpM<7(`#{$;x`1z~GNX;ePj7NGYgNCT*@>*LVHTCJIr{-a+ zxSX=oKNuMZY0?2#EI4v@p%*=ejp0jP5wl&J*UR4chG9=^>k~l*=I1=fcXqR*C%T>)k+jj=C&GNSRecthMpAQW7(M>6kFQ5AXkZxBUc4hD5MEA$h@hjcg9 zAARjMX1P>!VYzFvt(vOl8+tyYShv6gLCF*0hWvXV!=$Z`O610k&gq&Dx63>ERO@UY zS&+=JbZymX`O+$MMadNnryx;}Kh{Dmi5L$(mbTC!Bn%WA*}*N3ju)z`md z)Y-wf!7^skKc-29_ac~g&qG>npAGkb{B47f zN+4#GG=$bK7YwCvdQT!nmnFS1Shw&O3NdKcYHM$p-oP;!j~_5FL)!z?vulv6%8{M$3VMGicqJuWa4Hfr|7|^wlLVD&3 zn7yUP<9F@H$F`c{onh5OPJwYuJgABoS-?h=9?yKiJYDO2-!E-$&Hx*m2rF#qX1!Mx zG6d1i!D57!j!1f={>4Uica-_f^E6j8SYeUN=rwHX*|2!M4YVbKTGq^Z8`d#2lEcK2 zN~pd8%J9ShXaFH)B!u-$#l2oAK5S8u|1g_)JAq%MZ4Z_AMm$D-mA```g*^Pqwcpg} zAeDRprgw7vLfYOk*TA$C-q@yRIw`x$Yw~UEPR*F9n*v;*nzXmaStVbnw{bH*Xws4F0$pD zI!wVou%m6L7nD1~k?Q{8SK=CSh1I>qeS-NRMd_^;HqnBE2ZJw~HHRKW&|7)fa5`Q+mt;C+))lV2%sd%D(f)jwv_N0BOF^OxomdwJGi2WHD0kf@_*Cf^q+3 ztny?`ax8W~@9WqG5~@(8r}4W!LDe^2b$A04zoUj^t_UANYUjX}iZ&+GH$prfkZI2)Xa*x^Kfc&gWBo= zks3C^UadYSZL%hLZ=$o>Wq$N>|CF$((>{?bkhIsO>=D<-=eAePLmC%)`ZBg&ZXhjZ z87!=Mc`9#4cHIy&XBA;Yi10ez$13u)fzVI1z*e^M&@;tDiw$W}b#r%Hib!~=F|hCb z-jAC~d0&`t-d0m%y1;t8gDe$nDxAUU#)V7f7SsycZ10dKPGoa<1wpoRWa$NFd^o-Q z#X-U_j6gcUvzJn1VDyBX^npmR1afob-7%4{9%N!reoLk94=xW+9xOZmSxajhM@yp%jAh&Blv_>W- z&i6uv_7yQ-Xv_eY-qo@V9aBJ!LIWCf(vv7)N>Vu`ip)q$yx4@ZMg@>G_W%-aAPy25 zbIhh#HW=d%rzWQwI(`?+j}QsS-^jktw1ZYhWPI0&%`VP{P!&v(X*ps(@P`Is(NWj!-^ebf~JuDA(6lPI)ylC(^Zu3u(psCiSMCx^F$ zfUvs&CwyU$d~(v5VZn2B3)0wGc`i66|~VYC+uGlp&_uLVw=hXY=jJZcB~1D_-K^C?mF9V#(eEcW zG0>Ui{-o-4ni3YuIO1>61cbO!Ob23d{2VfuQKC(|+rdw#N((q0dICPX9=jsQv zDA*|@WgR@wr0|Jiyo?nAQSBTVS8xNXzv(W;Klv4OR;!)qz7WEI&bjuo`?TiJ?*|Xw ze*i^TwX0jtzxn(>u(-Po<;DI@c~W~zB~+aN;EMvdNCR?sKz#)!9nf|M`C_%;xEWQLLPpXL;~K&vgLYiFoetV|aQnG*Xvci95jVT-oYS)IvbJ2EfVxm% z%r~ysWq3^L^!+CeN1nkbo)rfnQSAD)s@6?DzYxJo}?KBM^(ht|}&FsR%6rZ^?$5NXs^(pzFAPj~6X zQ9#tnK~T}^0nwLQech!&4_*OK0aM5V3G58Rn>qB*l9?MLWV*DCrLp(dVJx29w_&ei zMQP)EDx_$Z`#+ybkN%i1m=q&fdcJ)~2MN49`YZ7MyTg@%FdZPSCyMj4+knL-1G^|L z#AR3;gRa!ZCN3N%(prVM1CaE&Q`|XPCQjX#8l}#*|aK z0pM&%@vDM-Gb?tU@8KJ&x0#10T`AB=5G=}QGB6pPSRUw{$X`wrO|`fAx+tw)5ecY8v z>PiU|8CDO8E#;_@QUN;~NH?!S`MS6(77ZQ8nImu4mZykaK0bcVq{nfG1`17k1XzpQ z)djZMw6$cgy4bX)%tdAkYK;WTSSWldoDUY4hqWXLKk& zAOWEg=bLxwmCR?I;tx@eO2zOqJta!=SCcZD?a}c(EJ+INtqpT_&6XlqT`4X5r;`<6~b-bky?boiJZ)WMXJM4yMpb9wlmeXWkjfh%olv)CeHS8P69^w*V)!Hb@hf-#qEp z?_R{XPNfSAeQR?^QDTr6lE$cILH83HI<}0V<93hD-HpQMpB-s}964%AQPf(L# zdQ?&&<>Bf3R-&WR7V6yVWh}tYU430i2JjPRRKn)Jd+_0-C*uPA*Gt^1{nQQTH)d~f z<8(zbx7YG6&KClgQ8tPG{+C{Mly zrMous&ai8%ZjNPRsy;vP){{p3z}rRP&n(Qo-a|4Xch#-gq$w5S60JtM2`y>yA1_vl zDbSxj;Dt~EE{}l|R=8ZtHxCiI8Hnd5@QSI!s*d9xm5x!Oz7OguHy6GNuZ2pzTkz&4 zP__;vft)6mFn2d*8u}5Tyccq9B~16Wyta*D@kO!f7JquJOo;KLnWL+b+AZ=)%-Y7D zx1RT2=L%gWK*u+qW=4=|u&ZaL<*BA@XsH6C=$`n^ieHAjhh-8{<0wVm`%WBtO$JW} z8XZo62n>BYbA~)m<7kG+k}(PJo@@@bt>8*@p`{9J4OQCG;xiynKsv&Zz{zzt1^1*Q zQjE=<9LU#9YaJQ+?Xf~nb#Ex_q_sdS8W%n}H4pWYAT21QJ5ijwsSGmFG(rMBU&ppb zhpG>SiZC=BX9jRbI`_b@R?JP-hg^1hKtdgfZ{GmybnQ?x_qI`6Z+G_2+Dn|8o;fbr zK>Dd;ca5t+yVj1}ru3w7^zrmwbzyX09DEde!9(Ey+o&SjJN6YSP>z|jyMW5!u=>|{ zEknnEPdN@?4i0b~IEJYog)L^v{40(I`sdXP0RrJ1_Ymfh7{l;P z)7_td+KJxb@ez^ogVx8}yusamv%$X^kIwOoFUzSfa;$xZgn7i3LmM(8hoS5(jng*? zyez8HDvd3`q}y>zb8ltBU~NdaDT_!Z?M*alEb?MzVxpv%cZu%B^EeIbpVeZ ztQiPZ9`et}ll(Vxt1BaW38tB`_-&W{WO#<7q6XgbH z2-CeUumrnRL7zLG(-S+;az9r zn*u@;cBy6td`7Fxy&Z8tgYz=Br=Gxso!tCVR;B<6t)UieA^;G;c?z0ZhSek_2hF=78_lr_yQkT)!L> z#P7dd=fX)!oTJ&*)ZC%8XwNHmmyvnNK!V;GTUF`=syQOncv~Tvkx=Jkl)kXcxyRFW zl2}7+B^%yOl@pG+a@(LUL~5_`GA)!$HA9wmSHR()Z|2$T!w;3%E_Z6Yc!~9bz$Q~H zyl$B@W=}?UUnw(v9ui9p@GZm_YM+Csp8%hzI6W>;PoIH~*7jAHz9ZH?aRHb+)MjlkIw)N%_ zjZ@Bew6}vv@1xP!I7z9fI0ZmjYV+E^I}o>RkQP_k_EItSX8uQKduwYOl=Rr!pShpa zfg}g#L|rRzL02ft(%s9Yys0&HW59y1OT}a;pj>Hk_7GON_@LgOZM$V=;1{CO(vc+o z7TTOBY!Xk+LqUOpkZ?$xD`aX#^wW|pl)`U;apT=);*NTta))?s{vnNWpL`x)0%OU` zLB(Lw_N@eS!|=%`Bg5_amnm0IP&GEl!18_AOtC(mG1bufRK&hszq&rXg1Los+|sG_ zLWwzw0V^`0Z^V|omp;`)d;z^O=v|yffz~y*zJ?jJjjPZNaXdMs%@su8Q`)gG<+KZv~}s;D$w|HgpYR@0Y~ zgo5^c$?MC<699n;K)U8bG*lqg7f`ix|ulbUJwVIvI&KVV*KT1%X_2>;9(3PT2a zw4S_{7y-tc($??I&9O>#VES-TynUq-Ebta1KrQJ(NupXFInqIKnXtEN(YO=5b7h&K* zsy|xjf8>lO<1svV4DJ$Ud_9cY^k#hF93bU_C_$Y05haJmt>em#tyGi}a?UYVyeJLG zMf5g-8tC|&jxHR6D_};m0?izq?$%83s!{V4*b|DnWIb}FP9M*TTqy7x(?QJpM|{x7 zq{<_ZWPJs;BGu9C^F|+`){*&rmMEQ&2bvg;zGsC4rBD*%Xt^UPsg@GYDcCtBgLYSD zLTvj3%;gpXf}O`T23l0&H5qkDcw?Oci5?k7^qE3pdL>(uii7|7Oe)AbL24DHmva!`h)}>V?(!0bJ=JzBFrlS+?$;1S{0DGW7?N$b`wFh4|8~ zb6nnr&kUtbMuThV>G02)Ndo$uIM7Uhf)Iu5TCdf>E6gcLwvcEe!K7yfIR8HY4o5_? zpg@Nx*Ha4j@2iD&9#l9Ae%2$yCb4HOUZ!k!KiRSC_$C8ILJ3#KU$r;@dXbcRd$-C= z#Rdfs;MLnEs4-`b)UP+1w7*r`h9oPIlNaU%Xr$#-#kIS6q&l6O#|59$i0b+je64&6 zGIu9M4=1c1;>_E5pOrzldd>z0EBpk>y||BgrH~H0bQi8yXNtO$j5~x785CiN<%57<)X}xvyuL=1G5fbTvrKsY z@u_$T6LIuV4Ha77&t$;JV1TP^m|<%V%?yX@^5d++?CCj`Xj|+&kzD={9*VtC-r5kv z?yPe{<{56pM#b)`(B?*IM|V~~@R(Waiq;x??U#G3F#crxq_<%f+~D#L0ITp>&%Km+ zCX}Y*X~DJhP_Kh4H$yM1tIXbGawTi&TQfiHPO7S6@aA7KopxZJoYMEsJTxNXIGdEr z10^O6bdo^6qaOOp_fDS}x?t9@3|>bX$WoXVKS78{SQ0;nLC_K%7cV@spO${k#ru>t z*yXV9Wo9$7BU_YiJn0t^?i938gi$JV0UTKDDE9SYDx_@5R2BcRiUq2On4fv2q&#S! z-z4zs@Z`yp;Rk(fI7VFt#@Kx=7 zs^<_fAka+#gJjMr0I#{?!Bw+)woCS%V|^-6+u!Y{($*i}{{7U6jQ-86hE3dMe+cT5C=1ruE?L5vlv>bD4%`G31`rP}R$nu(eXkuWjKp~W& zb(M~JJ1c>{WqvX+2fj5GQp)h|?h(#c|E58vtQ_){fpcUdQ4bQt?^t7e)HE9C?YvA3 z=dg`3wY_~cl@f!j6bJFiK(Tja3^Ps0Pp@!<+f5E31W0rHJhE8D)VF_R2K8Dl;1L$h zb@?&nD{-HBe=$HlT{Re;a)#K&n~NK)xBiQ_1IMHR zQaP9UKktQRjQZT&7S6p{>BXU6+g1bqB0aOe9{k~)Gf2z*GL)vOt13l2m#NL({_4T?ITU$C*nFG!%-hRteuUsSCftFCEPJREV8aX$E zqsVkOauTtak|IO>F~H`vY~e$kTvt<~L5o8nkj6e4Jc3jDT{Pi|v>!P!SBD5>KXCQH zd|F?B1EP^x=Nuq~QX%_WQ_11HD*L(_g@>nrS$~Dln{>Rb5mT=`SPtH4tkg-}nrE`5 z4gD@con2J-Ag8|IElC+T0xoNw4Vt-oSC^r*vmU019tNd22*Cnuo(a+bUkIO$fw)fh zCl?R-%VT5z08H{J@A41O__hZ1)=vHq@{Fh6>Wq`d?T*j>>;W>0U-q73Eq+&D%J+U- zJkM^e-S<@&4nUE7lHL4?um2=@IXG(NWSS3g;{-MdX{WQb_0nHq95?|x#f_f~IbAu6 z+M&r-N_H{_+tU$!g?QMqo%!5I4-kEgjtro9or<`>ZM#47hxdIvCqVbGi0nA|Czre` zzc+UCw^UF#XX%qed%L}&l;SrGxvMG^Yc?dt`hW#%+f8%4!#Z|@12NMYRf;HW)LaKs zd|hWeoeej?nqjpjgqLNo77MIX*Nm~i^Q!Zcnv|KyHk24?r-!Z;{;ca>W}YBtg4(c? ze|G3?NmC2oEN~jeQf{Mt9bQ5%`nEF;L6j}h-e%zm_rzVM1f2!$z>10Ry zkNRbO{|ZD&vdL^Rj=JXNqTKWK8MUX!A3+7+^=hD_JCa2+UD_w&r39AC0y^S;8Fwl( zTYVZQd*8&0OgmH^BsG^0SI6yh512*_iGQ-D`Fj9cCCBMkA6O``6x7QCynK8bmED+hZ4$1aB3uoNOs%9%?C{II%GI-#0Na|kN%xR!eQGy$1rZh#V%#=n}PrDC= zwm4K`^wir_dUHK|bW8Qa-+EI%l%InXP{mY129-By{nmRd74YhV?7H=|YI#rp>LYz2 zC-2f!QF}*s+?GNG080iy_}3@vTp}6r+qd7F10BHmFtl)@1Y!jqJ-*MxY+|^juXtyX ziAQd_rQVS$op5SSkBp6Dg_p}^VTimij^3Wj(AsXE8r^YIKDdaS*#lM0)*Wfrw~Yc1 zDNq7uvMAgsMqY?ycXR>-I=<49U-Wdpg;txGnI2uPs5G1Mf3Wn==ZoZTxr}HFUph}@ zht)ny7CXXfTaBa&z;7D8{Ww!FtT31e#FCY!imDb=W>c53ZNEc|{g{aQ2_oMQZS3&H zTM#IV(i|Wc{6I`$?-OfpHm?m2s=4cfDL4BJbP$5!&fmvP?c(Kgt`gR4G_KmQm_f>a zb1h?c(@F6g{c8)6`#2L7+2@s*_F*l5*%m&g-T~d#ZFl=kCeM~Qc98x63XvAELhfw8 zn>|K*Gb*b-6<1ySg1r#|DZ79UpGj2E5YdTXkWD(w!D0z%wPe< zsAEz?dSSsjQ1^ri*7>D6ngM2w%{u3~1jjQuX}ViW1Un}@-*N8Rl3S9A>__Upg?iIr za8-EPx(fc{bs+U%nmP2jtD$VJU~g|8L!O*2sbGQ+RUrNhVG0Ppu|mS&}fP*X&`nuM&haKtjr*-Kk{8P3p>7LZ!wAYVx3s+KKPsNpHy`r-@ z`PEvQ5)$`-4thN5RamHq02)U}foo%ocL~eO=GxdFuT|DD09=+@69uzrVmg-FYq@B-iDb=HGMq)*xt&50AUQcL-pe#ks zs@9iO8LC=Z3EXC{f57KJb{+ep#eWr%jwL&ZQHTc|_{4IFR&kLLtbz^HeNxQ9xt903 zXpu1?j~ZMa*i~e48&Z>G*8`bq_eRyXb#3(oam zek>o8`s{yJaW2kYDTVM*pxIu3x+FgyW~}%j2(2_!ta60v@$=W}^K@}(g!UN_xaU7l zqcdFmRt9lW!p~IE)f-c{CP{^pO)1Jdm}NQNT5Dk*&$kP%>Vl=lB!yKWjgfi9t&t4x zcSzX^k#c>vYX9ZX{_8{h|HEf=M0#g%PkD~ozdddLLSw_(z04^7MCGu~cm@8n6m$oA z19s)`Zz>i-JGmg=PMfL&6_Comg}9e5IU1#3Lb5E{+n`F%m8PL0;&}!eJS+}^lS>c6 z=B8x8i;1Eeh0M&U;%9TW$xDSf|?Q8cNo`V{^sn2>oUW2FVqY2|r9K0g3vjw4_5fOeh1U!gbaW4jrX@S9fxvVM|=L43+lVa?BjPQG}; zt5VbvdJnM$*NPR+j6AS&vh1rtqQcl!D}mmFl0DPv^Pd^H5J@{)M|)cS(L{S#_WGMy0`1s~ggfZdKRYX*jv zKNf0JRqf!A(LB*QAeTcg(?6%I|E-?YY;JGjjf+#}G&1}u<-=p(d!`*RmNval61E}z z+SD((zR0ush~p}z;7P*KPTWgoht=?-zuk~@Qd+)9-8t5Q`Qp~$Z`tXaD&}S0vecE{ zsr9!4w;L9>0#o=8KK}qHUtk?@`y~IyuuE{UV2WN^8v8zip3wVO9sso7TCDeu3+6jl zp1WB(OJ81GR|vCPzQJ#wrEbSEv^qW_R>^ao$q3G)Jn`@A@PcatK@CL`>(4 z>+=@+p=-}R%NHJbE<5=AUK)L4qU>Pfe-`&aJ5ZdJX+N(*QvFwePO=~WfZO4tP$CO# zD5vbGDxm2+d0Ya->sIEoiGdT?szm1#Dujql0^&z63l`2-WU9{N z?A|uvXl4k^{2XfjN^23HTGTj+2}mihG`Wuv2-Z6{ znh^vu87d29&z?n{=_Qybh56a)^^xBcV%9?BFYVGi1fFXCzB$5@B?3Si6_m;>ISvraDDx*eyw4sg z^S`TtDd&?DfOW8H3BPS>`m^zQ&X!p_=Ho7?_qRRsR`%q%N@k|s`RdI|^pz&6^N{I% z=pC!oW^RS3 z!_-$!Q;{bUz>?)9N8x_}mb4x3+%QOwD41R=Rd;IhrY~0j?Dqta@;1#Wsk*sUTD$p= zC=o& zMI6ko_6(qo!#y|@F(#!jI;${c`^Gk5(P zRgV?Z)@)Om~BGa&^mbT>L*Jr&%=+l&&H|Ch2^K!p5#RY2^Y}hE{$R}fRPnjuU zuXmZGL_=n0U($ULz?qrgZEQ~LNU7H9RUWLVX3<3CpP{DF!4a>F+s2L;>%oUaQF9-# zAAOS$qWncOP9n|?t^l}8}{I0~}e|0YyD9{As+2)X~M>-ZP^FlOn)_>G)LSlM%jSnx4XBYxfU;{_aLRi|N{>UZ;Dr=pKXF|tiNMGZ;RcGF5%hH~FydpglUlGdg7r8q~Ga&j>a-b8dvnCl=hwKwRS4Y*DDY z%%_HihQ{_~fK%``7;EU4VfU(Q^-@;x2kxgLzH=u0P3+tP6~F-pB$!`2*3emy3Rt-* zZsW&d_=@hm>;}vLBxY8fDwS7dxXz(SB-nLKFL&OOtIxX~f)&?*19B}|cAh&sEsBJ{ zhEBw?tnEfhbE#x%rcAo|)vgi!%6Xcw8#!JusueRymUpc>jIn=xMWK-%gG|$l6fT1@ zjSjYKaCoe}lC5xplcg!}U9PN_#+R(V6RGWX9m+OKsWdp8SzTKFz4}|u0Uqa7Z1DLD z)tHByB##ti;K0pkA)ogGa?-jyd}fvBvK-9GX#utS{2ccgN8jxT$c>CHh?|gpVuT;* z<@%rq!9QiEvBHF~r#bW?5#?R^fBU;(X*I3Y_pZmDkooSg4J?-zxDxZJ2ZM+`U(N9Us%An05|!q=73PcM zEFehU=wF_lGMf(c$&94$w2Tbv(z9}nIy%YJIc3?%iTBC>xCQ8`6>NeUSo&HQ9)W*T z1OvyL9cIprMY7)drCcWSsNjFRl%dVEt~7MYC_Yy2f_6f!WHQ!uQ!r`#QDW^XsuvyL z-2Rp8vLKgS3YK(hlRFA4G*#xrG~4p2K*8KsEZsR%1vqZ!EnFw|$dNR>uv(L!T%5Mi zk>YbJ&$vbBMUKZ-tMgc4_0&6bo zzel=So}4pg5G(XdtgH7Fvi(R?6hlfR!w z%RBiw$`jJ6(|CE_7Z=NisxF+TyT+|bSbuEm+OP3MnEwVKoXw}1Mz8{HFt4Dv&>UF? z5b%b2|@4HVN~za9`s%L6=5T}T7TKLD19Y4jDRq@ZyYA!@{t z`(UyGJD)_3?*{b-PJVjAmN}ZESW;4#v@gq-(|W6KYgB&{Ds8QI6Y?#G#BL_&2;l3p zKaoGow!|&bd3VZ^B<68cMyHh!e$}dp)E*{AS}`?WT0D6&T_t_7JI(hB69#Kd#OJ#q zu}r^mV)f4B+E)cD;Hpd-lb}Gx)anR^O}>l#1*I>XZeI#DY^kOUfHwN`LXPoxTNtUAM`g?xrfsUYru)UMsB#fH2_|m%=LTb~gYd z9Q!OKP~|PSAh6IF(<=CLZO9rwk<7CUEbs zPF27JJi&cGm(4?{aJ6t%R_>908kwqEftlJ+MY#!g2>d3|f>HT5=lh@+>p8_HhrV|K zk&)ShZuZSy@lBg*OT3WlIg5N&^QbPH5sr?2*z)Up<|Yb+O-+IkXej5PKv%qweU5n=^{3jpN{P zgz=Ft_iVTh02Yop%^AEnAf2*z+hYlg0v>cNQn-h7@*{Axsr9SS(F#B zj2mViV`tT%Xu{kEga;#$3?DzXxIfEJpQQoeHc>ytN9ygqD7Sg9Zt=9)z9*-tNJ-J5 z>%UgrM)u`OXRq<$l=L_0QtIXf41cg}Ovo!SqlOF%JV z-YO`-STLuGXmyKbd8S3p3Gf6 zmK?M!2}^QZ>+k;oK*RM7P*TSXl0?L;8nu>Y95Xcc))Y zVs&BbCpUqkmKlXakqfjj3wxi+XJ2&62_n>JiPj7*1-g;G>GX9dw4exZ3Rp9?AH+-dY; zO@p4-NxEP9eHuafJgdJMyd8@&f8i8OuC~sPqxP~OGf~>dZOpj@i3^D7-uU=BAAa!< zsyiYlmDwPQ{7OLnO2Glyr}EHfFbWE09?ElLi51O9wwo|5h{Ouje@AE`meLkK>k_0`w6rK2;$3?E>b^i3}w`tSdO^zdHZ5h-L!U`;57n3^qV%o-v=6?hdr92;! zqP-Z7F3jjx>45sP7`SZl{rsaCy@4jz646HYo)!7~7h{-rJV)8=f_Q!ECM82e zL?${-FapHUnpb5cxE56e@^)@t6L`&D_|MAPzz2uiFLV*Q*v7)B9w0Z(&H1EsRvBJa zdU~t)XNly5qa(edeYFW{fzbj5RJaPPEI*9%ByV4renqcJoC9;~@k1tBj_I`ze9~f5ys60M%+-une0r3g;jKhf>DnTz`l858p0QWW z!M-IAzn`2$>gw%OiF|WD&TOwY4$(?8V6%=lTCHvXka~xdlYZ*MNq@ZNw9PuNn1kSQ zY5R*GLJ7~?{7XcTk_jn8iC;$|DX1aNCRYre?v9x9%2q7_gK2$Nn2uoeV!N^1r72dtXHA>;)Eq@z4s8z;+C41i5#5iE3s-od3f z3un|F#Z$4LE_>ClsAJBx5vgq+zUB1Edr8zqu!RtJ=0 zocE36=NrYZ{wTPG9x`vcDgQ-x{lAiYE((1*y%kvY{5Ku=za%Mnk-{_J8h?aZFJ(#h zf>Y>qR|hggXhxX|v_M?{ePyoywcq{eI#z^oRAA+;T$zE2>xa)|sd5-8a^9;bjcHSt z3m+t8w@%-m4**LI4_#?fd@N!`ea|}w99|5>L*=f6uTw^-6fo&&Xb^slE=1@(Rh^tN-j+06|k#*B==d~Q8A_EtV4VIsYMHThjCm~mYikL1Qgu~PpwQFIQNR+eGx=r?- zl%=7L*yYa+i;ewQz-b+rvld*^+5(MTU+4WC%Y;!a8M>j({ofWom<-2n!14RLfv2wz zJ;zCMVP@<`V~vxswJSOteaXpy$?e~(0;D8{m+3UCVEMJro{~&% zUJaeiO+>=oWPU0h0;cUBl+%DVcXrLZiLwc_^(#rY(Nfsu7y#4nqwIKnN3OTODlKsQf zT0`gVOb)x)XE-FvY)YmTi8n~IlIf+Hjb@UyoZduV6Mf>z}O?4cCLw|<9~0B9{RJ2aN8Mdg`S7iJQ`F`JOxg8fSs|Q! zlXoJC*2dpRWxmXzp_2?cO7ULOMZ4U@sY=mstu+XE|HyZQDH8tprV**p@5Vxo67M;B zsIE?Rte+u8Ys}702R$OY7b0V47tTn+Nb^Jh7kJP5CKV~rvjL}Nv(EP4pHSd>FvC;q zJKva(el%z>-dJ#cTKORyY;Rq$Y_#0!D~G}s?YY2)#-29crCa~tsqA_R61VHl(F9V7 zo^GWSXBi6riDKraUq%jZA7$?Y>>zptNN1k78H;l2-LZP8s>9`yxm(XQ!rGnLKXR4n>n5Jv) z$#{}ASr-M-XOPPJWiaq#cQ!HlNoxkoSQXpzYNV;1Q4)zEaNZpKp+RH8wJT5MTou}W z6*pS?H^acCZc-7YGibw$gBb5yA#UX}*`@&^LXR8gU{p-RJH`18PA#4+x{K_TXdNgH z2x=s6hsIh`$FX4&2rVEe7WtoPzd-!qnU{3h^LDf6;95$s)ZL*K>C9oKnT;ktm?-OV zS4hMP0KiEYCOPy1=&H&AXKz6v%}07d3&{}$Tze!bp2txr`pDZ6wN6sp(*jvu#@G`= zhAvD%e+ZgR$2(HR>>f>QC{x(=t6(-)D#O)9KiZM9#B|hzTKEuH+_|3Q*8$mh3atbx zXTHup4JyEw&@mwB)tsCqh;9jqbcI24C!FWKMNm79yK0Ui?2NOXvwYThCwxy`$S)t2 zo&EBfhY`n*xlma&Z&&ZLj|KA!(MAhxRNA-#)#BLT~y z_?nAbYRVDM+W%FvV(Op6KE1h#q+# zGE2mf)Abt(FjaLE&$3Q@xFoO1@aG`;%41=p$g;mRRtw_}4WvVX2K%_Vp=r2%UmGM+ zuCb0Bkj2%e1;Dh5X&+%69Hq&Y%galQ9Fk*U*)#u}V*~eo|FipdoVs5*a%}mpZy-o{ zt{2U+turlof^U1?m78IY?C~{ZF}}hZ7I)L$l?_G5=(;o&$}BNH6sG)oAyK;=In()7 zNfv9Dgr^NTp8h!hb_n6V&W>uY!Z?$V+l=#ha-I&c^9SEGRWY&-**y(#ecJz&-t1m) z)-WI`u43B_Y01DGtLej*_ZlHSBf`Y4Ut8?c%$-I-AUr=yZ_@X)wr85n*U8t8yQ2uL z%P8&WvXQ&Q#E4kWrA( zhC$!Z9oJZ)eb}VB2m&}oKljY_SRX3jfBVCgLFtBcQ! zsqpb>uKa{Bh{0@!kS!1oKV)hU*fh-l};Z4`Kt7$dh3bO8H!hCXsE2GhhBt( z!8oVwoo6>CJ8SmxXYoUAWep{nKTRSDi6V0hJ(3Ld@Ax1_!Mn7EiPA5bn)9gD9TG*a zqRr`xdiyO>g{f_DF0<8fjQy%P1HE@TvJYRO5h>P4mW;u6z`TER-FQ32liUYEAwTs| zC?(e`svSELGf`Vt>sB3%REMz$6ed!Yk!d^>NfgwhDT8bEhc#!tRi!{ch3+TGIVD;H zozP#ACRKfm^vMc}#7OW3QR|JTV6Nv0ME*ctT~S^=UIBF__`Y#<5funfW^u3(J=Asn zM1KhFs0|2N6l7%%OownvuvMoD*ctQ(E&WL*;=!aLfA=P*;#q#y8{_2cwc0#GV`m#_ zRiFS?BW4^4#wYOV_n{4}ooxXm&V^8~m8!G8?m6q(b36Vu$Hrf%U6X1CDNr{Ye|jGD z+(aiBLI-F1!5q`&LxlFrEOxukpB}{&DAlL4b@v5?U|qB8A+o}7qK4(pr;5*!=xa|l zD{(0siSZ{OPQ0-ExSQIlMNW27JST@sMfiHZ#++&kP;k~zq4ql?P8IkULfHLp>*_)r zj387``z;Xpi6D*2IIwxm$A5#d?z}hd0U@|kKyQ3^1;|rK)Ga`sr86X zd}$^nN}`#SZc8vR%I^^{7E)};ic zX+{CZo$!QrrAXrXAR*4LCm@NaMPVqOvNK|K!ExL{QW4ET3Og-N8c(;6a-g$G9;skE z3MBliDhDnyk+t*$zx`2TBC&zmmR?LGpL7O}=ib9*dSQ;5l26j;{@w#gHT*zms1!Rp z${?bPWKhLI@w7P16pE{tG}K|WbmaNF-?u`!oK0{Z@r(b`KnThbA|qVr!&>qcSYN3M z|4d#qUp*o^GK#z_l5A^z(j6~<*ha~#CL~d05$zLLp~I91*BT#XX1A7Atr?Y+HFo)3 zVz?n&yx~#?;N-%6GPi;iwI9L-4mr46o*2pmd!b#4^ph`6m2SG1F(Q=Lg1NFir2TA-ZfFq4%P9H$^2znmYPKmZm!` z-NYp!TZ6I26ziWhLS(|YLlUwEMn*?=(AI=Ta8_L07)kC`RIb$$mBJUaBpf9>>5+u^ z-X!t_M9l5K=}=)s7FUMt%@WB9HH1_)Z{zw|k6C|TjFnbN>VHI`rJmhshIVwfE3^2I zudD90ad^l`<T+*$ba9X`t~B}%g(fyHOPl^ePeBPs)r+` zRXJ}V6={;(uIV;`f6&*AXVyDVoRg&PX16VoIr~M|Ur!@~1BK}wmDsC*+nNsb_(TZb z-=zNKz7}D8^c8ZMH>lJlbhn+~u?jY)ARy^z0Rsdz_cmD4#Y~Rq{Q@gZE;R|VC=>0P z12Xbphk1-QSP=*oT2L11@V@b_UJy=IxFS*1D${!l2>R<0;8mUf=E4@<*kA~nD0c{m z9BCxY)Dw%bi&3)LEJ?EzMg8WH8}C)0W`6lLb90=+{zp%9xaR9o9R5`fRbI`!n*7q6 z4O$3DaZVc20>lN5UG*%0sb9=aSA;8_?U6;MOf*o8@bWv%5>N{p8ynf%Al=b_$B2~w z_|bN|iB9NT+C@rrm#viL4Y-*ttqle`UsXB$N@Cw!~%8&C8^9VS-x=9 zdP|=ivo~_^8%fqC@u4U5hd-tJG0XIxs0*glKS&Qqv4uZ^wN&}m&!6}LsaV0KDciVQ zYcTP2^ao-9@pisy00}YD;P@u)dvyZkPj6eVa!kHx0-9Z}U~}&k*Pd3|hd=tBnw&>? zf$axqv1T~VKJ~kn$ok(EUyhwitec!1MXE%qf5SVt9>uX_GWxKQ zW3w;>LuL6s%7y#pRBQ|(Xt9ri6I3^?0$sobfKR(6@8ACw%10%WqME1cTXsqE#mm{k z+(bSla`Na3{N`nAQNI%9p5J|)ed17vFWG*9RuZ_e0v5VEgCCvEYCZ*bk=gD;b*Vzb zvR-^-YWv;yE2wqqHvC8!yr7AHCfF0k9X$UNI8y>EC1D_hdVp#J5aD!++Z`_W!@g@c&>xOI;AoS?6q@ zNVVch(pQP_tE{$8aosv!%(iRE{Ds3xsc-h*mi)N5?Yl5fT$X%eU5Uur8O7rq&9KFb zr0^R@8bt|Q2que@5SD!S9ydU#lNtHk=#u$=kAW8p@de=)?alBm>wO2`j>yR>Fr_0* z&e=vQoF)=`+u1Mpmn@@r6Q5t*v8l>+e?DNE+5vjMfDHz@GS_v5bj5$w7z{fgqkd^S zHnk;2bf5nnMxP*Y?ASql1zSJ;BLW%7qX|#%FY3pWIdFJ&`(Kj6 zNwoU4TILzEfucckQTAbr?~ns%YLOUo2^175(CYrP*iSRb;Cqfyfg&)}8-u}c=ZoVX z%^1Kw`4N6V_kXUjI>Np_cch-CYrm3Lenu%Xc}B`3Go>NunM(f!$mlCEUu*c__k zCMsgPVNXFZ2mt+GIUE}1W-E@ZWMm7ewL1A}Htx1U?X8{o<)1ilpgf7T&fLijSSVOgBWJWF%vbp>Y(-Hmln7y1fXb67 z3>$o(Yv8Wqj%410XY(8bQP4J zBQ@GD)xxZqc+HOWNIg|4$Ek(&v2o(-rcXSm7NFKLlyh2)wbAwQi0Ew|QIvo={r{n} zW$W%ft(&{&=@V0CZjRp573FQzSd@C8N6?I|{!Ny_*1daLUx1N)<`u7)G3W17@Z zhKN7sbh?oI9^911?fb;Nb@7r;vk}5$!u-`r=FjBm;-u$#T^B%Z@xzLaBJ!DsT` z!ZfvUrz3~Br*~0TGC8Egf86S#KJM>| znsw=yPZT+liFpNhJ?h4{@>&c#-yO`n6|+?8J=R_^FkBjB(rZnYrT*essu_vnhNgs^ z7K%QN1?c~$PhEf|aYgG#K2;?_S5ox^JN+;!bvy5^L=}x>4f22B+&SJv*uGpHJsr_v z$_K&TNiFX)qN{|&TmhCpC~Y$%gT%{Q>Z}V7ed+}4C_a+97kNqQeizja>)Q$yIVC$q zOnNtBa`fk1ejBu=ofhxy=28ElwP3&>Dy`9Ky{H79nA()#(*&t@WN;4i=q12{^qAx92_mXb_i zJz%tV61@!INlU(vGxYfDaX_Dpz~L@Cz_EhT1N=HUT6eOYu)v6p17Hl72Ur}28U$(oXo}P5%7B}) zi6_0?x>HWKb=*OB@DcX|SXk(DhIspAv&0KVU6Ph&M@H317=b(1zRTOPX-RX?t)sEH zz(;yHcaI6HLJRs+yM3=)agq{s0&f2*)_FYss?ff? zK7c+#*7Gd!vY{HxkeOEg5!3X=j$|d7nyY;}A$6w{E)Fo)UN|Vn;BCg*{m&}}@_{wJ z(i^F*;yJHie*FZ-xtn9)oLoEU9%}NtTO>r0@ZSroKhh`b!523A3R?ksR~gs!OU?Kb zTYl#1wcurINAKZZuR5yjVSWhSK2ED#HyL&e47}6E-3b%ocz3mFjFUAr=F#ofpwWf! zq$^BtoVPpyYjpYySRzfyXn0C3to}VudirLt)X#A>Lk{lxGq_)ghy5H=JHR@jbE!2V zZI)sf;xhk#u=kcxakbgHD1-z^umHg|6qexbmIQYQZow&_aJLE}!QI_8xVr^+FQl;G z65Or0m9M|OyT3kt`t<#A?>Im981!Mc#h87Yu}~{q z`sT~QHn3cS@}(FNO06<6b1dVhN@CN*8sF~74oU-NZj{$?_MgSJ0dVTEt%C2CbsD$z zU!r0AcakdYVKvYg1|mRB3ey|)QLqyr5h`r-Zjc+-XO4K6fr~Y7%&r71hu^TmY;fFdk^+7hKYSOf*NKIuf zUpyk&?RR5DNh!LSxM5med{q0c+(|i zVM@{*qk$!h=Re+-Q^-WGO)l&Y-if!Ux~n`lK6}aCM@DF#MPAE=pai+F;AFx%``GX- zr!KH7;K(=@QVbna@#O(I7!o;ua<^x5U+L@gC z@LwE~&wl8BNA56xAGfrJ*x6TzCp42+d(jMCg7(-Wtvjh?I$M*5`gLv~iITe(E?TDJ zLY&<=;o%z7gl;ZY=d$ym^9^Ag=$Q|ThnpHmzP?J{S?5C$7-PBD(RZpTAEkw7NoUPW zqeWh)4i`@fl@T1Sdd-!~a>w@`>yx;(O#|4T*J1p8KJd2}8{bRwzvTi?$C%>dj6u}v zxPsg}{$j8RqzpLigvaEWrk`wGt1#t^X_Qkb`F#*`VzI1Hr)2*aLj?#renDB!Rm?ON z?7Sq~jib5T1M)O39I&-Eh3G%^eHoT=@YPfg9>Z-Uz0^rqt^n(v{P2$D*ANS%BIQN6Zi7iVFNO*78z7BYxyl9+H7&?y35_DE-xuEFJ`aB9NyT__@~&PJ-%Qe(UEHC z*yd?NEoYOHk$E(%h^2<-e`E@%c_V8@OeYUa3zFsU!5AX6r%MlPjl+k26@eVBtDb@G zuD;B79!#BNVxn5zZZ3!X)M2S@shgeUsZ43KO&%%B2@3p76sP5DdehJeYIWypF0)vG zvp)#RB+qPAwU)Tz%$JrGFyUjd;i}Cm9xMc?VziE^N(4EXw0F z*-vc(Ud3zcXXG!C$fA*0=@?0~cD~4VoZUovh1k7!8iDS`J?$)lwMU>qfbkS#Ytdl9 zflB>T-mJUbX9f;Cw$oG0H%&}!t>*UbcC(dW)3y`<>LWs3ZhPb)tNI6X@waRZFERN) zy*OZW5(3CcPbp58fN<6y9H7u#BZYQP1oNW(eYwix!=QZ5(gHXoMUGsaDfeX&k^Rmb z5@27HwERmANMc*`xUHEku=Y)vNo}}QO+#F6xRYCheA0_toB|&-Qqq{@Ex0dd>Gk(@ znRa6T1~h{Kp{2>a9|Br%B%BF<6=Nqz_e_G-LEht>dmO6WX9dC$dujm)=Z$@v?fdx^ zCIV7sxj@ymJVv!vZbCeIeEoAf=Ilv`z^+W&QTn<&9bM6j=j5@7ikc_SdJ=rSY^jrL z#+Tn0k36d;`9d9vOY}j(0r@#4=6qRkkH`rhgtHu-g-rp@zSd50euVo3PKMJ?+j)ot z8R-kC(HUxFK6LUH_DoV8iUxwB(q{7~yGt@QiIMHp&Ae>Q2)!#&2zTcu( zQxw6OF3D+UxkRw8_u*0O-)N_88A}eMxrv6A9`f?;rNqS!*S-UZllN}F#Xc_@GtIHm zj?Z(x3~>)-5deJeBaHl1Cb6~p#pS_jX5?Gav`7s`F7mnf#zVmnxp_kBucgp~G2Y$e z5-*YLG$yC&Tum||Y15iJc6ZTe>DO%Gx#?*;NF!y=OsaP{u-+lv7I;!4oCVGKy?1)2 zgiG1W-`Y1b)y)i2_~X-lC|Jede1?sB!NteqZdgfFNi?8o`A@-wy)b^q9kkex} zQ4)RXP|M`>sGm|pg6!DtK3X9Z)gL7Af;Ns~K3yU1rIr?y_mF+slbD95cQn#ISZXZnkZ#-MoYQA?`v!fr%#uru%9P<{m}zc^DZ8qTlVJ?u4;?y&;yBLbCdXs zYF+Kz@Jt+0bP3S`ku(JN_$aTUpWYlY^*Up8O4COf(wem>m5Nn>YUW*yEwq}jOiT%Z z7eT|Y*Vp1}UGCnFb_*u6mCzdE&}d}wP*(Lw&{?d>!>R)VgylSq=VP6$_j|>fW?xj( z;5EFckKtdRpcXNeF#cMLX3&90q`YsOr2pa1i$sk$(1En`48Z|sDA&!nBVi$x=QeE` z3q)kZ#Yb!3ylKFc>i`(A$sunoX8AF@5LO>vUIS!t5p^oBNz9$eP&zfiK_ILyeADI7 z2>5K(RC^2yF@81-=X=Po#pv%o>9P*2y147WN`XU=ta;|nt;a#tq&3LDH{vCf$}3ci zp<_pih>Q zjY=E}4HKLxt?!uLZOc|AU*e!^u*x3$5N#(=i3a=xSPwe2YIzv9zL_<|9 zti6inXsX@WxYshn)|svd5B58#lasy8bTCx=jILBIq9KFJQapod#@a^NS3AN=gfEU`G8t?5VaD*JkItcw z2n+mQBejni=vwp86Q%lhVW$(GvdvO8r=$_cv{nTF*q5tLAEkWia@tW!jKGSZ z!ph29q@hqLFLEbjT?!d88>>c*m8_q#VT-Ut*x(}>n>UW(9*&xA@R&F`FphSYS_(cs_p-FVV~9Jqbh8cC;5-uV`Bp133)W5rFd7)S zi;q1g<0@?#-%sV(M}yG0BjA!Pgd`CRvC=$Gd0Lmu_Nt<^>S+|RT?yM4WJQ$eaTF2f z+`V*)$~H(zZOGkC_7okE$tEdJC**T;)Qn@`%~OyPBF2HH4+i<`rk3#wwdvRKgIiRy zc^3sucM3ZLhG_jXp;8Ff;QU{d>ILVS+w$;E1_B?w>ZK!HLL~fT4BfDp! zTT#GyCJxV(M7ZLE{Rg3No(bfnfV<*K;kcTCA?yaxr5Z?rWruQC@Kai_b8tY%5JNGH;80jbEFm zHoe7p4uAfyKipIvD5#X25opVqc%B!kEeUe@^f9!XVwBQ&cnF_@TXHDWIS=lt$wiXp zDVd?uWCgBqGqlMMmpolRd+O(Su!D&@mdORx-$_=;6XaOgbh1v`H-k>6G*!wx%+v(1e=@L{q1MkUB7<;7#{P()3~>@cqOx*5345>HDqTjEdK6EC z8=0GW@(qD}5&O$CXX13fl3f_dwDtMXOBrpe-010LUDjycHofDUjcU`1Yik@HPqQXB zIPb%2+s!%cg88&uKkK)G`PPS~>zj0rCgF|3HTwtrLmz9oo4%#BE;YZD)4*m7l-lil zs)Uje-}qRpH`qP4>U7IBhjxu*r12A z$;no4j;TnKI=Fcv+Pkl|qsu<8u5JoYsl)z|Wzy2ra`riGLr4j63x|&yE77;d6EEYd z7gvE^pR4iD16s%yyNvv^Sd0B$-cOCBxaw;YaDM{2>Fg=SoOZ9HCLL#-I&T9wsVjm# ze@}Wu{=M39>qw1yg|05w-I5F{=D{X24|%&N_(k`LEtK{|63%{7nLDMXs`!nIW_s`t z92vDi>ec>Quuj9(rgo=ZAM3d1Vmd*?hjfyenFcf|%N43{=q=3u2n`5ckCj^Tz zbmn}IJs?yiKzT`R0%ubsPkK6TAxt%X#F!J$L`;vQgfDB^=u0cVtdJAHS^V^AD|^BR zT41SF`W z)Gt5nikf=lact`k##aCmyHu|h`#u|bB#eI>x=CfCwP z(_9;bhD4nhiNM-whp@<&yypc^3x!+iDswz|hE~x&jq<=6=4P+vR~ zn4t0;Vt^&-P1Bv1Y#!U78c!D$VZGhzT<3vT8h2RkN*Y7NC5^Auh5e0+D-^Wu`;E+T z#JFdbfkelfU4ThewK_(z>h8(d(?W7hcFb8C)IE;47m`NwNN}gOpnI{RdzuWDFOxGq z6I)$^6MBM7FA0!eSGrWf^U^G`#YD)=B+q_49`ke7a>_urxHVaOL)KH4IrpM6ntCjn zhgT?Y`);Nt{rME&SnK$^tPAITG{rEoSd!ODiv<5k|L)NUXb)d`Pa8B7I=qiJ%z_q6 z$*K;2yxYzRCmdujxHjlqfIrrUbM-FVUj5?Ucf2f!I}9BS)>f^Q65)1?-?-}Iza0$VNd!^u%`}Mi1WLU7Q+o1Qx(Kb`-SYu7V|ZMFqRJx+ssKwpYk+*cjpV~t+3I4 zYIGf>Eu_uP_3LK!<+N2Dc@a2!c!v5+In5MaPOVN-WH#Wne6yOUpzGZtdW`z(W3x2m zfP5et*F^nP+2kocV{;PZ-6|XO3%jBy?~y28%fC5zR7X89fG~A}Vzr+fexC+xFlwxAS!u`?y|3wOoryEz7310_V3Q&S3U~x2ynPWI)965Zjfb5*F$lhU@{% zc`W52-&xK^ugx}U!}#!Fsb#&)b3GWLYeLZ3*^xPfyA-dq*1tH9YuX{xu*$75?m6{+ zv#{aHrcEusTYyeRN2FV{XDf@ehGdhyGmtv#6Lq5h)9}08e5E=!O^0+xR53=wwuaIx1Hv@tE;*<#;oZyHK#w~l}`^f zBv5RX*gCf>GG8pnx)o?1TMiV4@eipr4$MKSUP(oW?$DrFh0auvW7k)AdU%H zCC&(VZn`lgQp*8#AKtC{lX#Fb6u4@xn;U;qlD<{vj{BL&xg>q@ro7ylOf38K*~og9 zNd(_Q7T(7vc8Wy2M1mii)P0eo3M~_&^z`L!Lw^8q)fQ)NtUz{he4TdWF9B_e!6+(pPh!JL6Q2F>_AA&b9nSF zE%GuQibEv#;cG=od`kO1yqW*2h+Y0q1TGX9Zj5>Sx98ZbNESC#~sG1Od)(4svVL)v<3#Hs2Z}Mka~A;Cboj7tM;r zRaEHKwxTd?%{e>8^~MIdP_ujw2*7Fr?8AQGR3yvBwWtio@do0TIrc0 zMOz=ge5mokIWnu?S)KBW-?ckRty~k=eH+_U?Z8MCJPf(6S|YsrrtGACD3ZM$;9%!r zFZz_6G5G95h-$@TEyKu}AO;BCf+lDpxWRk$)u$o~&)sArw^?aniVTe;n@_TyepXC1 zQ9k#I4fKI;w;gdLSf%*(mE8Ke>Tfp|bmK!z9c`_s!-O-u0l7RR2`@Ci*U6+tqEc?x z8qVDdO@q8R9L=hC>t+W^najj5_D<*kj3PN z_E(_TiQNPoxvUyzBvM!wt=i&Rl2ea6-K9K`pDsw3;+Qqtzw}q9^zNwQK;H(u<;5${ z4>Ma^aDuE>d`kq0nR4`__EE>H^-WQPnYr=E&N`7X&x%iLC`1qTF1~*@(o()9TaPIF zT8UtD-(PSXMN!-o$Yxc!N1S6hi?1@@vO34q#Ok)*MBt`PSx^+UACu%Ekbt z_+CQOM!ly6kgV#OMuTADQmD=#Q-*Y17%jaE$8%bm_fFJLc(3sK_sB_- zTFBOmR3+nT8A{c)C=IRUstTRxRYN2B0Hn^DSki3}r&4CAoM|ow0cl#^AR9VOcp|-~ z=S25!ue48>JY|{{iT5Y>uuAhYio{-s(Wd41xrGtu&xW1hR(U~FDg>$_t!VG6gWmFugSo_IKU>aQAMm7&! z1}@a#?vB45dzEaxw-GN$6+4`M=NO#xvxtS|UTAHE88W3>ISuFgK?{+#DKV?pmFgS% zbeL(rg+er@&zp&PIHf&Vez;XrJ^ky@#&Av3Fimg$;0(b*gt%2-Z}s6dh0^hz9#7zE z>*SiLj%OG%@29}T!Kx$`JoL6i$7sZT{TxxEEA7iLV=&pbq9Rm6usNJv^js ztZJT2I>-Z963C_^Br0dLNJz@m+y6XqUK1f(S_Dd{H&ptQ08KvECkpzb7fNlXnqXdM zVooW|oR84HN>P~xF=ji~l5aVOY2H5RHp{#7&PW1LEUd0Lq3M(-a?m4D7s41Z-O72V zJ~d2SV34RnYIN?PF2@J8+3xBf0jOLfdyWL6DO21wMVDXbM{TpXLN{+grozSDgb*Oe zy-*5LcU*I-)g!!)^GYri@v|K!hbVcFGng|zrY<<%^-4*0Q&7=)^Ls__rkR>U2_g#N z;oEk9uAgfxXqx-B2(<*t?)d=PU4a+N|-w0>>)=83TavC#^#V$}(W_E6wK z{w0E@`Fm}Q{In_dK#vfUSw%;XQ`J*LO8KwMcJ5;aKbrV|avII9;Phr$WiQVIi*mS{ zuPJSEHPBgk;DP*N`@kNsl6I^ zwN%vy_5Z9{-VPlqJrFwuO2&D4kP*W+&imF0cfV^wa9obtMm=2gIb85%mt$zu&?936%4Iw0v ztCX$tow-2|BZ(w(2`z-76C<1_ZiZV#Yo_V*AjVB^unM6H?N%?ox3ZMyl?%@R1&Y%z{+gr5a|w;{_4N%Nx{fF?*dr$Mt<*`v znN@~oS`^Tnl-8zMN-JC~j_lC^q6m1ygWBZ55(#o386o}7UoQ`=@*3acr22lj=~EH7 zDq`UZ<4|;wU6PobhXB~L3O-V?+8M-LiBXytaCPlM>hP0ogSa&6PLL~XKZP@d2#m1w zTYW*IR|_+ei)nsV`I#-oSF&q~MCBNk@a zL%oqFaJ{{1`dKITZd8hEtd!0$0UD-Dy^8GiQ$JDiY#8N)akH$(%=ty5JGwNQZl;T! z-AD9|NKfaIwD)~5k|{w+{1De-&G!uAfFbGx`|lltAFaL82@m^G?(!Sa;Hg!0DV|*) z@7P6(|ajg)M4@}ZWPYO&4Ux6NPD)6B~osTl!UPSx0K(W)qm z8DaJkA*_AogloD4nmZ76M=_yq!?O_-^FL#hg0c9yKcVIDbs=E7?e~>h8(89Nn-=R? z62xD|@7b9)#2JfSM?bUAz_yLw|G{7m%7@z)iz&c0DbD#^`eII-&fp@{&X4y6D8YIz z#;#>}Bt(KcG@vYMR=j054I*e%12&wcx50|ou-gCFk~_mR^&l85 zbIc)Ae$L0=E| zmHnJF3fqsD4E?+Jh>7@=w`Y)MGR#3MTXMI2+o}P)l9TzwjG?#DtdbZt=hR-A>u@b9 znZBfyPBTMgnoAbwlmex$N);!aMf=)Fcz1FeaYDX|p!{!~NNmVQ4YwPpSUZ+u5XDeUjv{j%B>lb9bX@T)p76ZTxPToUu=%_a+#L8z-SIg|$1i z47TXkWA7q9%rM*9!hI~6hVe@#THsMcD80+95{rlZyQ1X2<@;wq4G)_P<4-Kk7!s12 zM(!=Khy;!t!i1lZ`H@nmxWF$Lcbb^edPY;r3zrrpt?+U+h~6`#B5vVPFe6eQ+%q6` zx1mouQ&71194cZ#b$i&Fc+NdCN4hZH}yJQAug+1sJD(oqP01vxViX zuwlsgJ_V9Ry~km8LV~MG$j#)*rn`teAa1dH!w%V#TmuEKwDbdvV0^O%ess%orkx2_ zD-`QERetDV!Ba2dL*tB_%P{%YJ_{r>^cu}LdnZF8lWR^GiQ5vMI*1ixMSPX=sob0omrl0S+0?h zszrgp&BU7w-lyqq=qNumVIuHdaJIz)x`YGj+M~gIVP{%LCQ2$Id}>LK@w|j|HXO5* zWHWeMv(hC%pC>bPGtOOB_U5kJz$)l+>rgm>2@dxRhmDa25ao4%ee(FRX*cZklygX_ z<>?-V1aO$Z!$Vi_y#m^g>`z~w6WX#GyBuO7z~gKGH=d`{kc7JW6VAGr+=-G1Y!g1j z*f_(Br`XpTfYrc9gc?pVTZM-9)+E48In#Pnxd{iOEbXi3Jhp^nXIhU47@Q$!o>ocU z9&VcJXIQehPc2p1?KvWnsqSP!+teoM9%rKDVdBamT2p2ax=E_mZxH#GHhS&*Dn55j_1^sZ_^qu+NB*q!a_z$}9 z(ZiKaQIVI?V;+<}?;R?rfB$xU;w0*3YIBlvV52D-#6Kz794hWG_9TWKGk7B+(ZNkn zW2pT<=(&vExc=K~f6j3FX(}rE7vJH$qvJ39?-Z-159I5z%xzt5`e+#f<3G^th~b^i z{^iC~{8RT1tnhP?79cuNTDn^ACsh$l;{M^dH@?4^)bDy4@$>R}vUhJ--cs$9?rxnU z*8-y}vJ_tEV7s#cU<;{2h+z}X$^X~;F7ng<`VQvT^@xD53eQDGzhQWg?G5KUvwAmC zM!YUN!3iar=jM#BE5gO==cN(l?I-p8Sey-H`2L7s$(&%qMJ~C})8yU~>V0osKxVat z^`CSv7tbBFBJB}h!L(S2Bi;Q;YLEZPk`#HIQJXTH4x?}V=oSm>9?1{Rf|~P}GaD{J zzCbrKtuZL_PrLFjPekyI9<%M-7mYyf|8_}2sVh=3N+w{lKSagBoVh=45#zyjg! z?J;ap{3mPE6Zgvb=MMgIM@d74Y7~nL%VWX+wDbSG^r(QkpbKZb(}=$`|Ca}%Ec<_U z?jH`#|Lh#LH&)&=i4cRwO~9*+BKP8d9J^eL@|5;}Y7U3G zf_24P^oaXs0l!iH_aF8=4DZbKFU={AYwm$?xgQ0W`xTD}C$Ihxeo@(N@bp#R^8TTH z0amauN8i0a_*9(&M)il3c$~+0VQORI=;UB(2*QAWvo*5B;NYNSrTqOxP>|)lt&NkZ zjguoK2PeExg_1?y)C6ew&en}mhZSDH#mB|WLCMR>#>}Tj$)aTF0Iy5Q{XBG-IM20%^@axql5du;9g z_Y2?dKTke=0+hZTZ(pf&J+P8A=TYb~Wf{sWE(efFCs@4|5pleU6Xel;JSn=>9kCUA z52){B0jnSBPlMyGzziDgZ!!#qw%4g7FKo+;3ufD^rzv1X!n4u3>t)*Ci~yBpTcrqXxki;ouPLQ_TWg=opWiqfrdAAG7TT}Ktu zskPIJ(735^Qk2VuMBjWvZ~&v7y_{IJj<MQRe`?cxn)Q)Z~j0;E~ z^kTmjoxl)2YJP5_OE-Jx9*^Hg^vbzvcfm{G-AfRn-42da!rWH#%n_Nv3>WFSlXZF_ zc0IwBcn_cC=+!XfSklZM-;fwezVmcOp6rbjsKC`YEg-a)R&RX~xg%>2f_SV)MxmSB z&aCY>H<|hTm@I5mLOU6m6`&}(Jzm9>9mM3fUKIJ#&owimajbB?^}x8C{G)|g5)xyQ*r) zm@Og60|m_)(ii2lMweNt6`O)g=w3V>+IO@-k)mo@L z*w&-$c~;cdP7ORZDpA?l7G^Tk)_=sRXFKe`P*r-yQ)T7^pnKIkmlNTLRhEYMic}Gv z#{tf3bIEOImGuk>Q%joc9S77)X4Y3H4`rysCcUi`hD6!qi1IH`@;5mB2{G>s9ZmlL zF&0%RZ51^o1`SgiWoJVhEucKm*uhrH6iCVP!PLQcEOU6(%!ykU_egZ zuJ3cB@mO;+6|uejsN1ebVZhQCfR%8!p8(n_uO9<9Ke)6mR_x72T?Q4oF8yy$oCN64qEU>IV9>+kGvUq zizQ3`-AF4quOKFVVEmndGN<;)VG1hi)xKqS6l%J?n;eg0T*wF-weRx&)Yg zO&+i4l{$%WJ_hBLakPJG!KJ~jG823?P_6}}rF%i;V4;w#l`Q=J8>x0|iF7=c1>wXO z+U*jxHO>%Toi0D2jV#H2YGwR^uEIzVm9;iqimG~QiPHWjwS5~C8lyZHWC7usqSJg1H*Hy7a|=Eppk15c{5Uhu2g@dEcgU}}iFQ4EMK1;aNacRsJkgMY^H zmHRl5EEOWJPYqUu1zRi3=Mq>`L6!50Vg!lR?_V=81yvOWH5G>VTLdN! zDd`9E>ARvnn7sU0DbXW$+&v?MC#}A0^8SPHYlk%*1|?d*vmdD+X>_7@FP&{x^4~<$ zrU^RnH$IO^J2+g_ig^L+?KV(PNOXE0L#A%lD|4ATqm|kpRFUKdQ7fIols{0KNYh?? zql%8F8}$NTmXQHl)_&UB)KXpWLid|T{0}DWYO%NnZ7b1(8XPVnc|-H{tTd+K3^!J= z92ah|$;ToU&hIJ*RA~{A(bh0XMSU2wVj%EKpfxl$U$UB~r5Wj{3!PqN30v@EQMXiy zqo1>5dNYw9L-uQZTq zG9ue4CaaZFkVR3q3S*S!FXa=0ga9{SceiX8c?-7DT3AI<5P$b#a8P4yad1F)$%wIw-c*C9~|V^v2>NSM3%d#Wl( z5Ti*;z3&xeiXL|td!&eBRhU>+Fr%6ErSHhbu`v5GM~sL9ZF}i2W&SyUPLj_6>w~q9 zxx|16`yRf0%J?sAdFVLlue(dXEmSnikHB%F=mL&8X>)nsQOmLIQR(y-%x^QE#JQrx zDMk%8KMa47@ZTYRGs(56Orz6}Ue9J~lfyyAki=60O?ldbd7>isEg{>n#X?mJrMsAG z=4|FxQc~e$3}fD%Sp7R^iM;q%u?&+ho%@0S4mFAVGe74MOR}-aX}9>MPtP~JZc;yx z$b@lkWcQdU&V`bV7*2e|m9zZf$spzB!V+B~8_&d&p`}%&k`j1o$_&z$ zP`8jt*)WWps51GuP?QwW6_kw15T~AurCnqt$Wiq(=_mg>vrcas4{3ZVALFuvro&c+ z+fe38Y!)?h7}wP=Ft#o9IY?DNuV$)E z#*8{8ba{=a)hC>z3WqU@N!VCsm7|wM!Q^>r|HGCTNA!DE^s8vX!_JRrr5sle3nGpy zNw4}Tl=!Y*yQNF!oeI8a!VG5>;nAJ8M(WZ@Yx`?}G9V;rstWdcT+cpz7}EWD1hD zF|(!oV;XQ<2wwWpR#N(dyrJFSg*RN(YrqW)R=CXmEu4SLeFvbOldS_K8{2P*{|}-a z-u`!$-;$jDzYLk*1(YlrlsbClzqd@<$K8zmad(IOeOoCy0CMO%^xy2+e)NS(iVDosEvFf|`i4GJTwsip5dn z+eeKQ_>{Ad`fGl#aZ;709TRPDEh@Gtb%a2LU+J89IK+}>A&YYQ1yg0Kq193_SN^nW$EA!>*i!WFYI}AB9N383$ZA7naCrazv zPEC0p5B0zglCY`45Cn$o74L~77?v(<)A(_dVa1-V1-fXIbKv{9a-3x=G=%E1Vjz5( z(G0&Zlkn(s#!|6WFHHErGU2GRAN5msA~H9khY5!u@%K0>#nGm<0M24X^WZ8n2CrOeV-Tel&|`oT>+$Bf-t z5AE4kB+E9foI!^=H`eEqGv(2+u)|6lCXYQ9brzlbI}KW8Xh(e9W_>o@tbxTf&#jF& ztw(s#QJEK?N9FEg(?z+29+F4}Y(~8$cs*+w$!-@b{T6@W$pK|&|78vY-yjg??^{+m z!(@$u;a_f0H`|MkQ^FKF0)chxU|f)YmdyxRwgh2obk40let1YZ<-zdk+Ih^H#3UZ? zOAlNQtBd?xS5eoCv)RMu3^b`~!3To6nv3bua$OJsa!Qf84LSCzPFeSRN@wO{$K74Gt zy#w7aPHm4(gu7VlwJ{PW%vxyV=;$pUw@v_fGE-@h*)R*`io6Kdag1}ayz;|H)U?5D z+&VSgZuM;DUc(CsYvjg?2@K_&US<>e}T8|tKIpEjjJ3KppL;zm`vAe$U`h~J%n9udv&Wr`h z^v}HQ#Fpfy$<-EOvIp%j=OpgSf?ROfsma7mB-HK7n!M%v*?-;|H$3G&vVihlym9 ziu3EXbKNrMy6yrd78X)+2<@4KFPmeWq?(v6wtKy3Cruto!IP`q6Xv$pAv?0Dw2C5U zlXR$$_1Vy$TKTEOWS=l^EzXx~`$EZWx%g#rQb4fl0}IfFbWDA_&0d?0@zp?Wy#&er zDj)}#RI(TA{|m;~7Hu%Q)gI*TMvIe2LLCvQfCP4l@= zN=%08mTrunotG@yHa8EJ50dffWI#@stU>MBYFK7rnykhn1{KL69tqY9sr+>L5&&shD;A&Rx zfoCK!gPrf5ZJi^dif?I4oZ*ZoTSv})-NR(>wa4k?Yz|jfLaoR`$Ms$UMBnh%m{e#i zzMinX&Hf=zB432{yq(nB`G7^Pok!9@?=fsg+N~(c-qHky3z*6X=IgmeT^QIZDQ~C^ zW_ld&K+DBA6O=dm&f6$TEiRBR^5-E8DtiL>Z})twj;cGhtPUzSgKbH5s>V$EkUucAg%dSrT zz1cZD0~iP2m8+aEG|+>`tG62-P8mkhW@&Vc-!*GXA`F{5K0A{b;~^Gkncpa(%i%HB zHMUOTGt%7q(al%(;FdmNdf@RRj%O5@VK8c7E;t*@1F4R zz#~1M&qe>u_K}kNZqLeX7uUio`^M9KWINAu57mUE{gmMgI{BW2oYnTYtdk&m4~&;3 zpgM#rq?dXN+*hho>V$LOEI<~AuEQ5|B?BTBM)!Wj3=?#emZteIJ5vE-%LOw<7m>p> zJH?p=9;-SH5^oYsilmFq3`vS=Xv5JxWUgd{M_plf8FhB2#=nmrPSGTqL7CSoHroNo zqrdIG?bxU#YwqoNn8sf-AQpBu8N_Jn^GWdvyKOXqtZSBGX4ge+dh>XVTzC7#>h4K2 z5Tqt1Ha*{VDV`DuISk0La1@I0-*-f|bKHl_!l~Gb_ zEs^ZW^iovoXbuumpMG6nZ`fs_AT?2B0MZ_q5&^_^d8KYEQMQw~r>Q~KvyZ<^?Z{s< z8yHjS&E3?uQx@huLVRYXYV_#))mc|V2;lMTa0>m9_S6u9%#*Yqb|HN(?3kgWEpfqR8prl}4FAoo4`qOwZH?>eMfmGK78{dCEnQPCYFh!OlEjuTj z^NMhMwq+lx$YEZ(@v&V%$9B6KS3_HB*GF{LtVC_N)$CWiZ(I>cL9G^2A2$mH(R?^n zZ-}l!_yXpy7LRw1Aw-T$4vtUb2|Z8S;*{nKZd^7FHMqU*`1eewI=}yP&9mbZNghv) z$9|=Nr4Bm*kt!%8Y`N<=!VMh>a-Cu-6sFhmnGw)Z2CIQ@02s6Y(qnaLAS$bUB}^ zGtmJs_U%pqYrmH^Av9t&l{871;b}Z`Dc>(*!@b@bA1-{41@ z3riN`C+?EM;l;Og>uexmt7i{i?+&BGB}`V@P;{;0#!o2iON0q8Q^$1lPWVgZVYo4i zD2K%Epo6H=OX(wP0)0B$C`{<+)dY`vvrVW&D~@vNgm&*BSd`niT{yR?s2XEMXVG|# zF8lVqV%Ng89lppK@2c}T_BEGliV5PE*KogoujSj0ioG!xp~g3dDAcw({aiE@3)Jm% z)E-Eu*nqCLTVh??-y8KiNoQXtL2cx$Y{UEXP75jnN3d_E=k$z)EB6OLr=>_)898q? z+c5jNNphQ!etO~tn9nwoWdpqFa^_ZYV3XiCD6uU^xI$~-+Vx9KZsY_E9keH@fKcDj z7f(L7WQ8k(35&^4T(F`Uh#Y?GMq}KrZpSAMe%-0G@o-Y~v3i|%`_)?KCG(o~lygF_ zOrfIKcz&e{aYmWDa9U#q&Il6ywzO4-H?wzQkw@w}^B96;|M-f4`qzHOhdt0tN1C+`R`LjC+COyD0Sg7T(<3r{B+L zdbH=$gWIf!zU-moMtt2{rd}ZOfGw1X=!m)L(FK-lxfIt^?3ZrQS~_Vmp}rpJ zIMUek8d)E@xid!gQM;CW+dJLSc5444#s?BGNL;dkR}PLkJbLLIt#N*oaa!|>H1g%W zWVGIU71gnu*UD}|Uz7}bhe&$eQYiXHL);$Xkv&4*`5<$ay~<71dJlC!bt@I?od@3P z7Nw6xA1OU#*YTI4n?DOVrrSqWQN`%yW{(_Dy#x1Rb<`4d(l!^%<79! zHu%=uLU?RV+!D$eAGLW_*Z$Ps^)QoN4LiU`*|-oWhth3O?b}@Y!umh}5APLj-5;}| zEs?l!$KB%@uA^E-j7T)VM;QSZ!_JG8>2M%8CRJ2+G+XudMaJ!w3Mf{#*uZ2*XrwMm zqsTA#!y;GW0Ojb;QH#NYgCiOy@Wj1PjwB*^gG7)4aqarv6N`lxnBr9rYUj(8k`oS0 z^P3<;F``a(5|oFtH_!^7Tc=fgO8+SNamJR#j+{6H79gvDgND9_Ja!Z5PHe|#{1GL6P*B`u*JCahuM0K9AnbPk z!XMf#%E2_3kk{4t8n_2KtJaS+uhDc(sh_^KC_O=H{j(s(d~O?Hl*jUvL)eehoa~l~ z!W$GNE3i@b@QZnFM$x>A*}#+6C9vf;C0it~nafRNL0^{#vgY!;8l5KApROO9Xp}p4 zA})h=Qui+DtDvt!k}nR~bT*7V*y7dxBFTjJ+1D~i+5=Uo9N)mcX*KUWy3rxFab$fN zQE?f(6I*&}+H0Rv|3X(*c}1IUvN6u_r^3G#+}&jt1AagB12qw5Q3>X`I+?(>1LnU{ zrdNRJA3eO3-Qomp1-vk!P%qfw0gPKu$Yy?`?%WIf0j^5J=RgsT;r#(h!^^jV6 z<)xpyq%PXI-r$P?}g z?slwYsCQSR5mFNvc^5%XeO1KeazTR<_BrC$U+i(Xv3YWI_V*unT7a*5@VzQp(MYjY zPsgz;t7bLrC=9xFn6eZ@7|^%!PrAGP8)2%Z`_uF4+Iv3is?JQ%)kig}u=NfUZ^`ie zLE+lD>Q1{|WN9$#>CIi_NKiYnqa+n_bN3rka@S>i5MrhlXAvr9(VEd9vkBp(f@Y#O zl^KS!-#z$c&f2({TH^PDR=KYHoMfB9Is46_m zU#cQ(VjSk4p^KDG2HD`L%o(u z83-x&RoYd2F0DLA+iRPaDDh|(aXqX2_U_23zZEM>BO+?Gq{xRCEzV1tKUItw2>;Qfs7K372BupSCG*-kdUUZ`s{Od5ihzH^!^s+_3Q{IX9Y!75Ierqgijd z1e*G!gksR!Q>&G1Nls!qiSx9uR?-qFDZ?y7Z_Oe(mt1ZSbTA8T$>Xy2WqQ)*ZDNno zFjW!kqdFE1k}MU!^#YkyLSDgAIP^>Q>%Q+gYhs5vNyAo?e_vCilkD8SrOf%uYw3m-9tz^5*F4l4QA7W5(rkdGAR z7XT10Bs?7!m1rm{im(?e>j1n5bS@L6urIu^L~f*_4<^fm=o59R26!kkri za3MW$E}Tu_8Svpb?5c_viS5`m{C&v3pxg{)V2g^8J!?msnuL1x!P$JVFNYolo2Z2S zm&_H~jIe*;yw(b);g35ls1LeBSS>`eP%e~jIz!BY=<{=b)N<@4!$+C*3bLlm{P?tl zm30rPcArSSbhmK|etTjSMu<)E`i8Qw*Jh^(9n9x@RT_IDUM=WeZ2MKc=eAPC`sTj* z&V9@|d4$nEtZeCFg`prD3PH`|!-aV1@|+w9d_fkGW@u&(T3`jYljt^q0wCSmH64gS zYupktD&Pr9`bfMh1a3XZg8#mR4!-q4eq1ODg1CPu$nx@m#mSEJ^F5<2U$9eg=Yz}!xH2J8x%Xc?_DXM58ifDK8-3TX|^bLFOP#n7J72C&b z;9YbwL=cYmm*o<6*y^7GFrN+)Ge9jdr`ER6$Yi9%#@D&0e!20-`X#N{AU(_{M$z|n zK;1}p>eZH9A$2B;AyN9tVe+jDK)9-oRK#Ja#?6hj)(Wt>$(Rlws^Y0*#Jv%Lk9+E3 zN$tm_X(Z9Yd(iF#B}5~RYZR!MEz~wzcOOJ zpK{|BqZQfM;LJ23w|c$DT%4e<^W`oBt4#CajRpPPkDEW@4Gzc+DPGJ}e6O)>(b*6> z-O8OHiTbI;q?q8Tn$vIV0|&u|xu0@_WweQmVwkH6;?ErMtaBU&5RvSF#)Hr2Hy-S# zjULJ33=Uq0&$C8|HS5nLV}(02BD#P#iOa`HV={|qIZBJgbciq&G=y`^jNYYu{d=fR z6#uX{?INIiVVgw(2&&)V#q>9Rn$n|!Rk3WxLpt`SuqAACtLX8p&vdXGXV|r)G{R}c z6SB%J^O8bS(xuP1hqOw-4+8p7WJ5g}J z$;vB{Jbam(RZFMrACVO*(H}G%HRvPcI#A+swR@XgL{^+{Tg$t2-6Y~4uwb*8LHC*~ zpZlO*+LuLRTdajPbZA{wVp>&tLQEgnf{N~1Y~GI2jh;HuI|15ZFJbPqySZN33AeHf ziovw*aD-GuXV^JIhOhr>lBY|#-(|G+?$g6z@X7Hx%_!M;4O0~dB1Prhhr zJ7RrLUTReESeL%P4;}Y;??jhT!M+>%{yuC2sIzsy>+WTpi!mL6jhtZ2bvo(TKRVYI zdOB4waor|#2P;`RuZ*hXp92-&I}bWM$A(cYY*?(ve_?)_pb+uf1h?dA;Lyg=T5n^v2C`C z8x5tX>`sWI)*p3O{2)B&>JT=x={?lgA!HpsCQgO`$-f_>G7B}4Qa~@@T~~Kh*q4L2 zz~tkJI6D7{F1xXjZanM5mJ=Q;Y$x+qZT-FJe}q@VQbO=L_d-9L=p4Vux=!-k8xdKk z=*Up|x->xn$~f33Y7RD;$KD?Jp581E`?-)ZEcY=(=ZMsq{40WG5^N~dof7_@0aT8m z03QQGPFSoWqAPy%;4qJ8)=l-G@Wt(fV>+rWzf7p|F3wpDThCYlY%O#9;BhQ`33Crx zq&9VTa!>pfzytlIp+Kck%o0}Ctj8^ZKC>WQwK^2HV-fPSqM)%zX8pFA^Hw?hNhw5MJQA&iyV z0Z$?S*k}0Eo?OpV)2PNs)y7`KHu*M=`zaUIG%F%fb;u=mCt#9q%u&?Kt69_IK&Yzk zYMr}~hy8pU^&uJ;97%|j#~qAAl^=X4M)0=bqmqlIPE=g*$N`5T`R23S_N% z?)MnJ*^0jhF)bS=Wv|5HA+IKJWZ8fGK-wUw0h)s7c~7(qi*4eb41V$;@RW5Icg-`K zC)Z|!a=8$_{g)O3QLrLCzR@xFUdvNbel=ppZ1k=~#O{_jsRRG~$hbLjli;-I(g*rO zA0eL3PNky~*pfUVk|N=Y%sPjSTWpyJjlhK_>TAPd8Q0|(%}cE(W)nxU*@O34AlAL@ zVN25(W0>iFau{Oms%vHI<#fj#~p&s2_g@2A-B;w%mBK%u(&1X1JX?;O0%fw zE;4u~?&mO*-tgyY&C~6#`=VD5_ZX-FH9ZBCa<7b|*FxGQH2W*b;g3mD!~LY@Z)R+h z*!?4}UaTSHuup^<%1c`HXIM;*ql<{2MR3qW-hsc8J1N>Ft-M{8$Vwi4V&>I)&UUDu zao#m3xJweZbK?pDmZpAjM?MAfEQ%BBA_E$aoPoDZ|$J`Qsn#Ual zsrcp?@Z;X0kv&>^*LTZBChzAkbLozMq%JE$es;#_8eYHu!qM49Z5H4j5u%_zkZXB9 zRL_D1l!RBb!d}cXciO>Dv#lz>L>OJCEyNpr7>>T3-JynBBI@!IwV<}KGb(~OgQ?o~ z9+O);m^Pp=h-)eIr`#jf%FO|Psqf=)uU!w5hX7JLE~zQ$bF3rpCpi65cyI&(BZkxFr$S1KLLFeGh?oYx>1|QwohpW zqln)Re7?ZMnx)rc4uS(Xp|6Vfb&3^3a%Z1l6pbN+`J42E72TLh?_Yv|6z3#n)Z3V1QuC$(pQ-r2Pu4D~# zimZrnxh-SK66XFXg^TH00P|U=ak~unW^(a~<0ClX?@!q=iGnqp;cUglvkk3fu5p2Y3~^`s@yd()`WwL-{F^kO&c%Jw zRpZ6|R8K$1P3*)9)$w41l zW$_kj1(iR`bI*D6zdg}k`FC4}-yZQ~LoModGK;Rrh`AkX^JBPnv2jRz{`Z~<#jJbJ z4xtudllcU?zdekQVCE2P<=i{z!|Uosl|C_`=W||pcFiNQadk%gr67kBiy7TJK7o^9 z*H2pa^#6KX(p5tDPH+7!HzNwg5XrAci;EA+fg<~fC_SLvRdR~I53>`t?r~pk!d~+` zGii&rD?0R$sWwNBb_k%~A9Fl}HX=Ee8`oiJNL;5CVwQEH-BJ zrlWbRWq+i84Oc1mubrZEr2RX`YyfE-j7I?@pGSx+Z;>wvZ7LYARD^z+1~oc z@6Ra6o264;C6jC=Zy3d?&~)>vrI*Aj4eYv(gs{}6&cEQyO>y^ud`dQVR?*>2yW)+_ zI;+TknE|kgmWkRl1b@lECA%Sg<8*l|^5~&E-EV;U^W23~=~3E)lKu)4!SVP)VIk`| z+})DR=Q!OTP=od7q)DA3athT$7}X9B+Ext#1ELeJ4;cvu3Kcf9a2GF!+J4vNnzhTZGT>r-87l)i9b&)dm8MLNZaQB4gmC$N00 zWp41$yXy=G>d6llPT)rdN1mo96?sop6J|{*51Q`-Ofh#iERgKn3Rm<+TPzl{T`;!G z(5ZU8Mnf#k@L$O|E1@J{zK|L_OHkhif@H_AHIy=8lTDblayMLneZx;oJYlWOJ6a@R z%}dnWR3n+*E8*XxnbSvG{zAga5Ma|oYz?6A$h7gW1_-3px@w_Dv-qP4zPQcBsz_N0 z0eQ6tmYR#YVf}vmKj;40py>Ek3sj3w%0t~LqJ%QWOiMCH>qD4fge6#Pos`5kdjEz` z?i9bChhS^Z-A`Rc?a&#AczpQ@xFpB$Yxuvszg?8`M+Ap>1!PcmK9^CR3R-5J)8SAx z?iy{tV>3Le>G3>4Fs1uz3iEo*dbfo(y9;Fu_9f0>SxK&3VQt;)@TSnwXH2CC{CSER zHv>h{>9&j}L0q30PVa?kW`KDP7(!D_1)a9c+;@MVTORe600(MfEox&Xpg(3V*Er90 z1S*^cdGVJbIl|7T=v)QfB0jyb@F+qFs4y4jUM}+1tH8}IyGrD&?w#e+iuHs5@1f5M z;EbSV$q~LPpAT=1kxDy( zoJ*0v_-)AO>D!?J;VvS349Cei?_9an>6`DJIr?Z>=aLTVHBMdWGKCM(>p^eT=- zneuuP9S-pt*Xa=EC!}Y`_=$tp#$CkZ564!^+E*z4bLZY-La)G#R$gIZ@>!N#-g)zK zs>}BniM5#sxej9duB@A!w!U2Yv^KI7F(|y<@ha}Trpj;r>z(Weob6XEGVCONTLWGr zJXl|)Q`7d%et}*rJ98eGbtK2qE|2uCjjX8$Uubq0Ob~*aEB}7G6OjHyuJQ*XXZa1#j*ETWH}z#ml%y-FEMv^A2Bi zM1G$Gob&Nz)VMD$rcdi)VGzrvthn7hNlGq{vd2-pQ6NWtN)Bjd<(_tNEU#)*eps zJ6qDD%oHXaOWZp^@J}n<3t;y4V~h37?XH?dh6w1wWjKM73FJu#U(;sRMZ2un=h=LU z;n)Yo>}gnlE98J-wdlLm$;k`H&tJG8q7B;6U0uMFQwh)KZCj+&V~e5ty-4IQilPFM45^hZ?qo*_mxs=njhS`lj`qi>56v5!|PLo@U4R zQuXYQ{Ja+z=kPq8jyf;zJk*8=?xHwmySG$sA()p{K-OssLOG$}HDc;^Zq{CwD{%5# zLS5XE?WN?<-#D8d$cK8f{8qE{kp^A!RlD;MO>aJFh?%pC-ml=zw<{Ko%|Pu=sypYd zJ>0Z>H>}J5XlZ8W_uV`)^groX%Fw+|jIPJ#Q2!S}i9hzQ*`VrL34bKi=jkqi z>G%@n?WV>vXHj^0!EgSOY@-U+f32UEi{EqlX$@m?DqbQSpP-)u9lxDygImR99E1rX z9WMS~l6D9ZK2YT!B<+@84?;vvsy}ehWK2lZ4m-4*~wUm)fuyP5}wkP=muLYZQTm%IA;gL z5?L{i=`o4)U~~3C^ZSlxyF;p&eIAMjmhY0?Wbwkp1-+ zfA1@^sVX1%jyzyMotV)H=6>spzcK5-o!{d;UwHp5oOb`dQC*+<dGoC5bm%=+Z{Q!Yj9Ij?9QyhP5x zdGa6l%_|w{r|waN<|(;>nh%`ALT^-p#zRcK$T^4Odbv7ldwf-)A1bs)@)Eva@Qvq% zBml7Qr?cuIS+G_J%UiJFK&s55^PIj4@zq;aQbL&eb9f}zm=NWmskj^=_mR|s^pEfG zwY&k%&LbrzTwpIX&bN}*&IHEttO1Ud>-#`gfb!0uGYoDZvn0sg+~tkP0{`;sC}=_@ zuiSx2JnpW$qB02c zzE)n76Z1!$K#AILvPk4CDm&#%vBzD%osDSt&7UvrcKz?Lgoe_Zy=eYVUj8PfA!>$d)SvMCyuXe>gU0i}<1QXPbc9Ig5P718hlgzlyx)JdrdhVIl<4zU) z-gNygh+r9*7?OjYN%A-EKWz6P*MF(aV{ey4dQ_cA{4}9yJ~Kv0&W0?04QFmNa|ZW^ z?MQnK?O8C30-?Wf8po=&ea9K>bA2tzi!uStaFmCCydq`bza1i4GVnbbp1?GNJKS%%Br~DB!q{F)>OMeuEPuT6LZsk z)t_7UYw(fS={av}ddlBP^ox|55}o?g6(p{w<~>addps0wyk@mP@(4-M3Hll4sJYrd zJYR%ZUGwN9S2AU3F!8M#_9&wUd>*eQHr_B>m?rEV;hP4Ueyz`G$CSUQ^YGPg8dw`i z!vuS*$8IV`LE?mpy=4V`{ODmnhDaMCvjwei>k&BcvYa;GU%tU%zn76>m}`Zm<0vH* zndcA}36VA=6!*Bmb?h)%UK}*AzKG9yNHwA|o4u(q5+Ff~vsl2bt>k(6IxV3X(BUf- z_II(L1`H1HGFkpy%Zg;3qKn8T_EDd6lPanHkW_??7?kufxbmUA=gBny{f_QU?7A$0q5 zX{EXmJbM#ua(xXCYfIff>{9dkX}Qs?5#$tq5(@r&GfShMK>phK_3%xjqXbF6(5_!L z^f7~|pijt&&d=hMou3u4Z>+}B!zQ#Qzf!qUe+=9ablUkEmZ}DVyj<>smjK7PYx+Q$ zZRMY4D_*2uDs{bT(Z;-lGv}(-&Fpd$18vf{`Xj8ETzKKSVp5W7E>z!7^5iFf zehkScZc{@vU|;)vu;zyOrZM*Wi;=Fo8v(N69Mw1>S;eHi`W*Ci3IRX1L~NNrNOrHO zbx~05TRGQ(SHM_o{-$aZ;3_OLIM+qrPj0odPL&i`ii+0#9< zyTTQ~nxur<9w+j^xg{Py6Q5DF0x%c1qd;-{AV0QOS@z>E ziqFpkzlcsPJC3S)@*(cW>%lk%JF!%7sL06B*WQeexEHlUPF+A$*-n38Sz>)gZ1}>Uu!pGZXtmhQ#gHZY=HbP@jiN- z_tn{A3nK33;H>fw%^`>#s4vJ3d8u?SmPE}{!r5-xBX9b*PAaA_?AFK&L@&n^e?H zfiF4p%8}1RlgRftk%S%GKL_!zM2X}6pvG>FMBdVYOSy|8LjJO*&CJzxsld!ag`PF| zZF84${)g5W=kv5{$-o0=@;)Q*+npu96HzuJf&{+$o*l2amO^qtmL~c5NcP-|)0nKg zYp-u~>&s`Wgj)Z(vP3;l?c&xYg6Z0eGon zCn^hhFmv_CDG#JT!#=@S-5|Z3jl}G}5FE~gFe{eO9o>FRcz)eH_tXJ;R&q|L zEu71>P5eo)PTleUwkq*HRYvHQ3&C}Q9DSH;;VChHIsXE)EB9Gj{ti`TgJU^QM&vud zvVz10#7x~cmbRwnE(zC>=GaU7paH`(@9OzG06_M?Ne&Y0@g67jC5T(Cm2tS-i&{*<%tAOnn&op$aMJxkdmhM;U=N`OOG zs_n>^HVq@%4iAvgiey{Gy?=VXJ>kdKThucInI;8}30J|t;F2vT-r-T5tk zQ@wy9y{dxw`x-J$fzSu0yRC)>ZGRBqt_e*Ed<22hi zev6ZZb*l-2TIJ^V4IJ?;7frRg0o0r#cR4V?P?i4FrkQ?Hj9p6KE+&>L3`+iuy zxu|F?-Cz8dsdim^9-j+YCF5~j;0QJy7+==mP;pdV6ZbZr&{n%Bt-$Nu*iYMsN0SC+ zt#j@9_u|HnmfgS)V0{$^!x|2nb(~b*rieyY6r>{sg#>ByH1rBr96OdCbMAWlf;HqZ z`)D%mC^)vzbm_*MxhzsfwbMpaAr)H8Ee}vTpupN&GD@?5L`F?2Ui!BcR{HdIM z9;x#H9&CU(OB=<(z|RQDc4_Qw9nvaXGj@hL90(Di(mtbJsUMM?bW5GK;`i#>PdUAY zGaE-70SB*J(FQ20>W6=128=z^Y4!>H!5BHa=k?1oCRmY1rW`h%%HN!m_&@>kWa-s6 z!Wd$68wByUHSOsP^Ql(^V8jJ{XQhy7vpH;sX+s^vIv{5b(J`QMV%F zR1Vx$PIK9p=8IaF(qn=g~@9w97MkP3HvBXWwNJb)&EYGfIH#=Cw9d%BLgS)(L?1P`-U6!T(sj@si(tOpJP(6<)-M49g!0#?@9v);eI)fyShdZ- z&U^w`qW#{Ic|Q1#%a(?gw!P@i)fxe&fCHtHf@ekw4By*;#^MB5E_c^qVUt7An-2DW zz$`R;v?Lrr6fTMQRU)iM3}vL)%ENe@x-~LY9Y@nyY;|$|O1p7oicRmh>P@s}6rRC3^C=?V^Kp99qIlgB*Vo5)kI;Fq?Uc49 zmiLa&v04EoPUL<^#dWe89%)5qsEb+B^koy5dPNP)64QE{ap2TPwCY!bqRg;)3oQ4!!_4+A4^|w ztOQNt;~2P(zfL8*x3J@ND&1<^Qf@T(%ArtjG1M+*28(=Zzm|Qv7Ms(tD|8xk2`MT1 zUCj!zU3JTk=4Dm40Z~H!cWYHf=P*SbTww|TgQTfI@vDkmNPInah8_g z%PnT~cEfQ^FpCqmv(6jJf6JaT!fxJO10+ZMJ{PDvWMrAU=3y@`$Seymek;l@gjc^k zpo+P)q7(hrzJdFA$%{=lc1CTx)GzA|KhB%;6Pov+@-gVd5@3B7(+I1ec$};QUyJ_@ zmspsxCaNtO*KQnJAPn;@NS1zy8Upgh1nDjnWNm!YR9mC!lLpfx&=5uDl9@0_{tPj` zi*UYu9PvUTP@89$=ZN${?fE~7@!|jinmhR^JCamjJJLtTGs}BL>sworgI#Q)`a~mc-`gk-WW3;lGHAK4EqkMH!^?0@!&mIIGy!=hhjgbFJg?z zu4%1WsS&nP>qJ+Lkn4sc>_!s{nvhQFnbtq%_$lk}(ENMfNFRgh)Jfv3ntQYEH=IPH zpu*Ekp2|f9jx2LxPcaVx&I^@EnGp42UqE+X7qHZ|)q46lCHYXaJUk(PX#edS&&IV$ z++)FDuL63QBY8DU90z&&N%fLOYLJWAQTRa8lHsN)-fO3jf@0J8%uUPft;_Fml-TvD zT=;z;NxXf@@jJqQNAT$aNk%x?O=Ot$vB#}$0an{Zb6@#%MASfNJV`aj`Wy89&d*lm z(YWm>>K}-IiHF|{DFCrKuq|D>>OPE}2K6D1vxvxX`D)!Det z0-|~dOiiGcIT#NN`?klV!z_I2EkFlW%{dvw? za_Fk zHNjqC6$g?CgDX3iF!J>#MO%hw;&{(6QmYM7yL4IfJI73GUN zW7`yp;90$j`#duHgC(u;oyEe-zg4T6c=AT!5QJZJcrK5B2#}!f}0RraMQB|zlb|4+DA1G#9w zLC^RRk4ALOYgbFbgeq1IHUw`;>Z)NzMT=8|JbI=-SkC`BZ%$WczuP&-H<{#snSHsr zh=Ew=|10k(pz3QxKrf&aX+Yc(4JTc??hJU`mLmn&a!=G8N)Vs*0W z(@8XyB6RJ&nS}4VSBd^(r7&pE?=>P39>j^2fQkUn zP?a-UXa23WR~V!p)EyYi9Z1K+vBe2$r*=+iXCmE!Jp7O4ELB=tbv@Q)1?8JS4^6=~ zcBuO-0My3*#x+2yCz|U&L0oX^@_PpBh++#F8u*{2I8$`sFoP2p1$Shg3M_BfPZ{9c6p4{uUf#d^`yqY!ShBZBERnw;7d1x6|dP`o(z|(KSQ@*MDbyj|tPK?P&c|A)F7p4ep={U6^EG8$^<$>13Ze4Xq$bCx~Y zK4N)0``WpIfukq?wJ8V>hlBk_m;Nh_o$Wd7y_#dsACV4u1HcaXK35pSAPn=Gq8L6f z;+(f>#@LGw!urIM*@kD}VwlGj<~X#Qde})i1fgAk`*FRsKq8C&i7wqK*V3O=MDCk; z4iKa$!P#i{#R$;6M%i@2@TPQP?ia03$&P*}#=ApRRo)}%j=Oks6LrTiqtVg`#4wek z1OWXv)GMaVvK~*=1AWynw5g)~^08j`)T^s;dsze-zOX z8h0Y>b^A(gPoZv4ko7$&Cx^^qgADMMXCU*oIj(`jS;WV@7~c+Ik$W}nuH}Ug1D;x? z{)g7*JTBNJvs`_*A0g*n;O%I+BOqYW_|VymhxZy+VL?=Uls>&t^~mg92UjCI`t9DN zc%|PWf}x*i))t430bWkFDx@;uBJY8De0G)Bqw&SFg$MOj%bU+R5Hh0fx_6u(6XyyS z*XvaB!6yCE zHQ&4JShsD=_1Bv^9*T(7N#wOGUJK<>kj&w7N(OmdL$m5MKhHv83hieWBKnt7w9)M5DlVvf zsR%8$RyuXa`^{C{1i!HG^53h8Z=lz}FCEA4X7*N+qBnDTs z$lqiTq0p#c_J#^#<~o__F3;eRS4P6~QKL7^-!#8rmEtUPUd|L;^y7faG|RVIAF>9s z=y3DFyn%XNme}UI_}r(RuSBE)`{z_<^ksRlQ+>e znU)#4o?`dy5?OujfjA9x`(3`0b-vq90PFr}2(W_15-R(cT#eulG#eU6|82*Qt1sGQ zN^>y>(2=~NMy?NZ)>wY2zcTNb0r_04QlwZUG9?{)-2r}Wr4>tM4&Yg_$-225_{+fN z%js3T+`gel2K~xe&pK6yO_h1+WRq`nlTEPr+Q9oQp>Xu;=JGXfF28`rk&X{%ogV6K z(usvsonwO6x6d4wW0!|b1a#ldt9Yum)&?0X-U+16Hf-}OGVQ$!jiVOCcrm91r&v42 zsrVB1vqtwe<2`KVuHC*f#Ubt?+Jl&!li0|h{xHNet8n%4d`>D_!k)f+bOGl)m$J#~ z5`+4EY2bQk3qn>nfJA5EVEV?mEuUB+iz6W{do|E2wHuVmi3a2vQ9uiHL1hT}0ErR&@hpGOLNXYCq{W52}yQ zSN}#3Ukk}mexB@_)VCg%?0_0lbp?F-%7=~7?w8g~)91DotHEWY?e~70dKx!@|2Ac7 z_o_o=WY*JIt=Z~o;yYJw%bcB&Z@m1%b>12KGaojC<^|HBcAw{%-(gv66J5PBEKco= z0=yE|FkGuuIz;q^K<;(ghi(}W^f%^5FPX17N*(2^&+J#?A88_YEN!zlQ88T^PRRHHhU*)m&CTKO1vOPYVwk9p(le{)GIMW3)VJn6t1#I~Q z;FACV$6+ko!7=r0Su&)T~klX=@&_P3?iLJ}tJi;eI2RGYW>z zhP^ReAb7aj6fp=6P0qc^eK7(?_IdZr`Zm?83D)8*`tCfvFUcQBF`$2akLcA@{GwWG zZJ3V_GPla!%)MM^Yg@g2@din?4jmaH_GIon**tmHzc5oBNsk}Z+5B`k5 z*#9%<^fQ`Q$ORfAkjllL;qn>s>1K1%QiJf9K_jQ)zk98GmYzGKO%CgXnxEDqBGUyS z(?P9X1MgP~x2MX3pa|0==J%>UYYj7YjV|e0y6Z~K)4L;Yu1VFTPZx&rgvyneL)Y&0 z-w*n&Us)3yFmz$h)GxVla0jXWAawDg-Oh?il-+l3x7LAN?`@$NtVSN{!Btp*P%`S0 zeil7%VYB2~_50a)uC=%Jq#5$#Vg+LGXMaVQf z_BOC)g#UArUB+lw-*As&S~xRKTH0IZPmo)x?v9$s#BZYF7w&Cm)NXu7WvlWzNUX8{ z*N7H<(AE<;Uto*=Ug-Ki1!Sf2D~})%e4Xyxhi%^*E2X{%es(eb-=WpH+Zs`x?>uHN zuMDI&5+?m2&+auI%AqDp#0RBw>TfF8M9f;LozFe?hd7aK-Z>btX#0aTC0UOCx$(?>KjM8isp1*A{=y~Y?^#& z^ zquz`{cefY8H@3PJuZAM20_)?eGy1ZDQeHt(rYPw#dwv)l(Jeob*>a%cwjN_;6g_!;M ziYh$x2J}r!<-&B*<76-!o*VA{{Kb@Z0bnpbhdXYVCgX{UcXiBHIR|_B-L9bL!qL&& zWk9f^zrG{JnRKkIoA_Zd>ru72Z%Elzu!y8*^j~r!5yN?dWLtJMZVB#4&e(g! zv%~er-Z2QHhr1ytyLP(FrjqF=lcHEMoifj!m^eZTJcKT*}(Fsqu+QY&2jhM z0(eOL-g@W5^DrHHwL|V*}a*yA;tz5E!juXZUnk-tSuqm zOVdFy+1p*1ZC3O*HJWT-r7p?ZD!Nj+$J# zt+NjGuqxO3R*8wrWqszm&y#NE{YbjOze1VW$$V4QCqPwZ9776?+WfrRT=Avx(|(IK zP9PN-0RJP}DU@$3889eqkLNpO0PU>3SPL;|t!u-Qb&hH|u@*@ID;@pajpg{MBhZxP zPJMD$>oq@0kFrEFKw&4NC(t*cLPYC^fwDx`dVPQ>+ zHH=cQ;%^aZ)}vv~LGzu%0GW7q{cyiehj4M2KL>Zgpta0Z^qz+CPVp9K@;o%yP^Zgf z3EoqSZM(#~3ZJbQyIDc{fR&hWEZt37r*slL0z`0W7=we#AnB|!J^sV;pyvMQ;n2gp z6*|)Hs(r=^^PEj3_U8oQ+}kysB#CAw(Ho*4;I^4@4f4!TMEKuW$$I{JP|ZVr$Kqfu z{y)_5oENb1tA7g`M>ek?)>Vh_-T>Ei>GY^G_pB1njp_K-RjI2JFttjGI|aSEj!zK! zAEoZ-eXuOs<}IL9#t_X8h>_+W;-gFn0C zPG485M#|=TbL6W{tm`0)&(qvf;~J)UPY1m8eAV%8}45iD_+2nKxKkg ze9u1GbAGL325(K;Ko|H#_8?z^|4zcF6Hh0|J0Wwigo*;WaxAb z<@SAM;;+kPo>~b3yC*P85cN=om*`{nvhWZ6hF0rc?^!>;2oeu4$fbN zCtE+=twy~*N0h5d*q|F|E$uG%B(xgJF{?Q&9O$I@U138nA7sn&qOu7YwJ5aSPqJ)P z`m150DtgqM1!m+VHCXAoy%koD$!-n&dBQ36nKQd;Nmb=@#>Dzg3-{kog2QfJa{#*2 z^4pnP)qtj@1KZr;EYa!N`!U;JN)z{@HiKu4M^QQe|1-zJfcMU=a8OR8hu5$s#%$#l zT_j*CD|b@w{32L+6NS5*1wG9%@* zU1!e78UK!H!aaG+8*l2L+9J@R2%|er4ngj;qu}ESY#qM3<1>pHsotil*_H`gZ%FMd;YSdqrzDs(;6qaNqQ5-rA;G9 zJ$!9C_(6+sWUllXs4NTCL9eV3If=zb`X_^Se)409SzP~u_8z1%98tVD1B(Jt)tqrj z-$}PbuN|geGDIx5B(D0@j$Rik+Co*_);ClSR0+(^ZT4g&@z4c`A_Lv2!Nmabaa~@} z<%jnl@?kZ+C#KYOS-eA;)AW$yb*k0ti&FDd=w~-FxNK-f?-#xi zBQ^0UV+mk#>6hK#!@eA8j&~>dBsW~|B%;p@)#SdkI#N+OaHOvT1fM&G-V)OiRDXVV z7+A3v=w7ariW~MivfO{Yw%_0uV-#Nn=4%Z^cLFsF0D&G!GkNs8fhgD93h(!BwVAuK zdr@nRB_g1bJb&`|*>4&!F6E;1?YVZKB~9us)7I;wd_%yMNT-uT{hWSDUz5&U!8i7a z`S!mT)CH7y#fu8PH%U<$?mRv|a-feed7290xtT6XCEq^B>_|M-?e1reUdkQJat5^4 z5D?R-6}Fw#yXa++MgBNs`Y0*&u)x5LPvGy{Y?12W={&t1O863nI`d{fLzE0GAwfAO zm{wx^5O^CuVU)aEI+2p!m&e+WM8&h<>p^QKhXy2b}p;mHhA zi^^Z3QX1^If1t~)`F0hPYh zFiD&#`CwzD_ZzHHEl+W_|KD6CS~4h=;`f0AOGC$;>T9_ASxDk}r19!Ag$!81JJnhi z0(>Ax!e|wk@9Ee?)}}jV3430K3%4*4P`{G6Ef})(?M?kBD)0f}HTv90cMEp+FnvdzSkJV%!^<#vxYI4N zPZRQ|AQap}FIeUjPS?@0sFI*f+|5hHf{<4cK>uZzt~LJ&!cB&cF^<4|x1xd?on$(z ze!oq*;r7rELJK&O`~>9;@?BmrFTzm_XWD8WgS=E>pWP11*>XGyiiE=LtdDzNE^5fC z@=@a8&>^M2&`;rrCU5&zEi4zLt*o@ssDLy z=~a>&BzweBA^;2}lxGF|m>*6d-Os_Z|1jmAu(=KRYo<4EVHGmO+@v!nFqO-+jB<7r za&*vG=K!YwBMzWS*IfrpoYSu>13N(J^!hJbbo6pI4e zrI>n!z^!eZK$3V`uc8PV=Yh^wxrsLHsCsv*ykYLLjRWq3YmGN4h>fd`CZrbcf~oHc zNoW5-&mSjf6i@Phnx|y}*HIp#*u68jQG%LQ@qxoH24D|=)1jwc`CGI0mvwusHz;Sn zV3F$|D`H+IYdRNl&4Gu7bm$^Yu=K^0@$3CGz>t1yC3+~k6+V9lKQv!RV%n~7s1;5z zd6XQOi&fQKG0TyDgdnR+gS1$tiQX3PpC|q0N_C?JCK`pb_J$NQ5g=aAVqgV9Mr!JT z^xmY$;M2rjz3y(?YMck<96neD><9gwIWMLxLNWI8SyM%lrvomfHCRh26RE8Gl%z|x z62)dY+_jfgSSA&|0tvB6EDV#|#2^pdeovZAk1AVY$j-lQJ@@0iqdQ zXy;Z9!1-90&Tk+C9TIF-KjtR8&u{Dtxdr^$doeM=+Ky>9-FkqWnSL?z4_`T^u!%D^ zsQ)bV(WLm&GSrJ)XL3+ae;eO7^-ZHquRi?cKV(DLUaau6v=7ooPjia@zwDWPK?_Fe z@>~&AJp#+P6lgpjL{w55=D6rah81eeymN4@rpWnn!=I?eyP7-@6);uopm5pqMgL3!D*lGXxws#m=Xt&3q&ByBQ39 zCuW;*zOo5d;{j|qP$`N zQ#0ki;yV*Dk?N)LwxyOR%WXZ~u+q3A?Kn{Jl*2wZrP3I^rzxRTRY$gMmk4GHOFPQ& zO25Q#>mf1kt2*{%Ce|pWktyCy)LJ5U?EJ(!>Mh@4D}NDX8}b`S_{j0|m3u|z*0_Ng z9D<<)&jhP&9@MIO{Dw(9LwU*vK+ChuPM9_sZrj=0Sr)?*(e5AznupQrI>`G!GyU7T zAu|X6&46%pp53E8OB4KBa1(*&t_z!7fAjw?OR7w+Qp44&}jtrUWZ}ACsRNk%-R3kA- z(tO&+rP1o&G8Xxl6%1SF)!)Ly8@q^+KTO z>(SrYx?tc!<`4cd5SS#1h}}p4cqML>)#R zj&DGiug&OfGM*)cvv2?A-t;WCBwL6tgJ(>v8)u84+^ty_aO-+wfUt#+K5Ig_bbzM5j{hjhHv~fxMQb0o&@Z(cPetZbT#3-ZDW*>J(xuOq4Qll()1ma^ z3){R%Pm+aZ&=9JN#H;bU#xo|u#p5#J%T@SJ0?-*9^7qiGIiyYlw9#ts0;)A_5gpmD zhgXLRe@ayA!Jh(~UPDom`q8#>MVl7p=(B^e`G!m`OIC`v(&>4rt*Iv-r21hFM1G09 z7R5G1z+^KbwP;3C!>U0qWh=)4#XdT8%^cXX$zpn@m(LPBcJc0aP4H#Hb68K!>`jNK z@VXlHsO{F^)+(%|cNJSe(;&|F|2uRmEBa{OqyR(75A0e`Bx1%mzhDcjqTvPg)7 zdMvzbQuTZQe+d2xqXt|gDACT*13`f3<+flzQN(-v!&Y8~j|v&sq+fAHfC zgHt`0wmJ)Xq^cE26c0q`DAf`pyPBSTd6s*)o8i(-*)BU0uaaK$GdiTSg4r3f$`S~E zZRBtrF6~q??hT;{E3D=_>9^%FP>CA|ocsK17WH@hJe9_Ci?8t6L@LqzS!>|%oGOyg zWVmbU&N;mOasfZFp+itLM}F_C;pi7Sn-XBK_wIMyg`QByNSP_t^v{C`1IV3*>os_*4a9e>1lp=^MY8vYj=D5ecUIIj(5( zFxu90EsnyIbr?x2tWycZ!gmu+Ut(i??(W{)<&lQ`1v`a&*57dvXca2}Tn$~<*%RO* zde`>e`7KsW1TZQ^h_CF6r-)}VnmD7|A@$11k~p)hXbD-t`^&v!m0S&>$tr!2V1UyDnJA2u#VJ$j+8iTXtjpS3z-~M1EiDSRpcP zj|n$z)Im1SCZ@L_qY#lE(c9ki*-u~-UDQ`SdZyXoaEc6P2ZA%@o#*F&8JpkDHcrg7 zJeQNl@qwLaTqD~tB3@7?O34Sp88_@B6wh=rH=eACfnF{TI& zs?s9;mO835#P3{t>@>&a_XyyD%L|-y^kTlnw?m-ahkb;Q$*#24dZ2dZ6s*7U_d5qp z2{Ry03RiXMS1<3sGoS+ETc=~k;f&3v*yt>lQ$9~X3FpTTQW{oSX)!~cU~#&;v>lm7 zC(gquAkIo^A6d_m7Gh5Qi?6L?$ZR)_iC7YCQN!6lvZF`*Jm-2yj`uu1+@JGxNHKEy zpj(OXzK4-oVG2!(4@D(wni7$uD3^GU_rh*?jSmh!?PJeq)rMSS6W%12lt$#+MQ-h| z|6uf_5xz|4&xqOpmGcf!V=J74AF?D27Vr#>ux(|)`c6QCU)c81(YC{3|7eG1^;}iX z6%+BCA&e}L9Hh*I1!4a5)jw4;DDorkF{e`YX4)os=yHC0RMqiDJZZW{xu@>XnB@@o zm<|jz+V&mghhfvWQb}%^EFL}$gvNowXZRVj<5wbRr`Pm?EG#~AiV8TD2%@eI#veva zSQCP{1g{yiriva6Cs!bXpu^RqX|CS?7^k$lLh3tr!3e~#>Nx~6^nsdSikvajr_OWz z!=^JfmLLG22bC7{V*|c84D7jI#!e~a`h+1^SirQD;G1fMx*5&)zboVBy6D9Yxtw{m z_>VZD_3K4?FcqAch$LD%LuGzH1Wv!a5au=YP3ZScr{zP10u9YD{|eW2K%f~=0Uxv7 zRE!O}cXbn|sFExG(y4Jud$~3|MP1@Ko9JnA@SzpPgD!qan7oNz)1|8i946$?3i?K9 z*{R-`j_8-GqV7fkt5uYuYvnZ=^@jZi6+-Sfwj<)AaC&HV}YKi zw-4#Cv{SWFhJ|v~WL>p)&;|xvq$x4fp@sh0QRUj&gJGU3G4T7;%Kw)JCg*%zv2J~M z{;%B!T0@DP*Y$VEk1GntIhBLO{GP2jF%Ih4+Q|9Or&#?tO~{u3^g4xAE!451`|Z1bAXgJC1ZHc9H#lZ#m53j)gH5<$QnIZil4vLDlJIF8F>R+vcAsoc+| z0x^Gr7DHY@k2N*sGpA@NNpthpV6(#$GpSmEdC)+IuAF9*PDG*Lr;}_I$s@0YJ!@+2 zfx^%>jaN#dVrN$IKbRMn2*zA@!s6FxxNqIDA+Q6dO#a%j*$9l;))b4!_~F6wcGHju ziT99J+yzM*ji9i|k9CtHw3Msm&Yn)Zrz105@9kwDFIn$&!__Aiz4 z_!Q5 zs+26=e<9M?fx!F`hgY{RtyWfR^+nBm%gBy6`K(H=Z~y($!~^)J}e?O~2~C&Miasn$wBEP5wke!%YN+F;lQ^eAYG`*cux)6YQAFGJ>I z$j;@%9eqc}t|W8ION(L8%!P?}Z9ay1P&Aywcu6|R!f{LDeedESiCOGZ z#DO3-`Awq21-dJ)p=wmf1r|e}IeQ{Ilv9nDO%0hpI7>$*7R&Ajg~!}qL6Nvep-#gR z%R7gTyc;sss*e8ezg@&C7{QYVwsm%@hbS{eRZ9D`i_B(~ihe?nzIy$(mkTt0yJ4R| z;Zd$Fz&F)@Bb~O^^uZI{d~2AY|5!Atp$tNE6c69k_~?X8I+*!fFJ*p<3)(mA;bG;Z& zRaKt<4Q0_{%nE+1QV#w4Wv+o@d$Q;BBa||zuJGy0&#&3|`MI$lKgO3%Y)=}>T;f|g zu3J5bC*HYSf+dwIo|!VW80FyS7WEE@f{hjT)~Rs=fGJI67o%I4%E$2x_fa3cV`kES zJCob0z17z2jH`!shW7Ck)(FyLVSf*Rf$mWxQ2D*m58{>oED0Zr=E7B~!jE3W!7WMm zHN6n&WkQk(4i1CfH*<6ZQarC5DojrFCUs6n>Ws~o%CAMYq0_5eOJKtAo1`7cnPA}; zm(^8(`wqb4YE*6k+1jI~X-NNhI>0)cmmvq34~K{wxZp36*)Nagj=iUJ@Eg=mPQ(PZu$mhtX0rGfBGd-YwWfJ+%9MBcF$;S=CG7ldV=ALQ z?3^4obCYj-rfcORwDwMob?f;j-jH{e{Q~daL3GHxL;aI~d1rE&UiGtU1|BPuorYm9FF9Wjn}2st>Mw^kAYq zx5JSu^(>Fve_$fuo`HyYn#5x0-Q1~SmrSk|B@Ns1psD(ds+mkWwd~jL+a+96&wiP?Q`i<}f4|3R%t^`$&fOO=T%jpmANTj^A9mB# z9NVVrY}tepPCmz6`6lHyPT?}@qta%bgXEi)$n(t-iRg{@2OS!(6TFKpE3!Yk+7VPX zshn)B1#1dLy-=VOFg=iSSn#(C9`T76Oo%FjIWPF?+G{u{BprZrSc&^zkD(GqVnoB>cf9b*zm)X@{Y;+5?iM;__=R) z3@&aNaLxd@yd##xoTc+jMApm_0u&zzYxjM(tnlu3JR=C^6t2gKSdol09?BHLV&&^o zSZo;o7BUXNaQ5}2Kim;V?8vtQ2K)7sTJjI(wn}ZASP;u*X@X}3f9L*={mid$yE}=W z2}#tMS9tkElMHGtN?uT^c@nSkA2+C&I`GGR>*Q94%F|wVB`Z?)h=|*;Pm`aQZhd!U zb*>rTld+wc)T7PP_j1lh6Ib^xJb!cv&4QBn_IjOj8gPX`s1wf{HZFIIrY!w< zj-v(AOl%rbMwoX#Z7~C%sK=lKw28K3naFHCgGgI3mmCU-&I@7J# zv~GCw?ti*2vz@NzrGVvLt{L&gLY$Uiugt#RhE`tJvKc^le;QF{?tq1y5EcA+|cjJkd{w&bf}X@JnKxxWmb7bS%k zS5vyEC}TU@nn>jvp3C#3Sp`H1Thj8L9Bqwkese!_M)F~mY+zFH=KggtW2RnTx(CB z?eeT%oz}OJd&oFXkBQ?Asrj11l!BOVJil)IG>eToXQfmq5?NH)q8&blXf^Z!+=^e$UL;aSE2(A= z#q0aKl}oUt{YSF?ayirZe)N(>fZT@1eJs^^I(F|F?Thm0ym34@yqvip=iaMrTN^%$9EE~A&py%xsl6T8iPHH({APULxM*R(g z<`HoAQ4{lB)<|T_z?L4}g0xq1@}gA?nyAJJERIwHNPA_?N}oeDMAyXB(`04FFMXfP zx#F$&FdkB7SAeq;!E}STXW2f-)r1{<*Vifwv3f8DCf#HKXbXJYV`H031OF$|R7xxx zkJiuzzPz=qo{~w);|#1haVX2lXYo!!op)uQj)gn*g?;}6IW=cc2%ce-?6?~)1K(~s z0T0AkFmEF6$E))0Pw``V^yfCrc(l4554jwr^Lib{c60N`E$n3)Guj3#R% zGs+-n*8~e)!AnPq`gZQW8%O|S+aA-&lO0H6N1%0$?>-~HL~Oq~u8jDmAKCHM?b`j4 z_l7zWZ!~V6j#$X+s;|bAcG^;>X>)QfS^e40$}9^1`_bLS+||6((iG+Rqm5}=>eS$o zuPEvC$UWcfQNg-#l)x|5B-@u;o9=qB1{kb!3l+}k3R@hXBYF4N2o%Ea_wG51KDy&2 zLo>dUglW`ac^{&n-H*Je0kc?^k6+7L+uRtHn$t^CtWxcwwEftk-oOntQ=kSx3M{4=@Mg9 zum0zkU`~P^*nh0YFI|58K`W4_rSe$xn=F<6+iNWeGH1U#mzX$ze1TjVKy}&3!5KY1 zNWe&TZ!_FyZpcmY1d4ijvIRV!77=xIj|hBlz?oa78kS`c?r<^M`?kC1%X#603$E;I z*GCqQ*`x$TtRP2YN7o~}#s}U(n)A<}*ps{;lTBez0{tn8wz~L!K$`zZ}+frq{D!5u^9N%8RyXL_}=qT(x zdPr_QI5E`cHx%#c^LtJtwJd;O&Zaj^(h;$q_(-xf05Q(BeQCR6UEstsKYPKu)TP}< z^%g_z`yC%8Gm#sg2Oc=}x83~^*k9Z`?R{hU={z5c--EO=n8IsQ09LU_H^7T{9y8Ac zDjcWuS@!zeiB-wJhzq&@Pc70&i6+Rc1D^V8D%^;iw&gY#0v z5oIqUEYlwzFVRVcxE0vUwa#EQ9gviAe14@yY0WmwHB|TWLXNK}X8hahc@D_zv`f-C zy0a+EhXt+Nh34K$AGm)aw1`%dSutK^8Ygdk3cLWi8shXZA^l~J_R0mTO8qs5M`K?z z$#RdQ*m)H1S46$h?7e@t_pohN(7P*6fxS4?{ifoz!lX#A<&kb1MuV zR4m0>^*7kLGfkgURc02wcM4aB9Gs>vm+A>v(SCM*^|tGA^iSs94Z3sYh`6oRL%(-2 z|8iLTiAKE#lQ{yZB!RhH>0#cG%(U{4)e0goAjy<6LmPFxZ!TBnqM?%$YT3K<1}=Is zUNW6~nIX82gm$Eq!6X-g)Gg^UB@pqK*28=^MAq{)Sx1~gy2N$SK_y>zA~{c|V0A`u zYdH-`{M?iA8)gkbT+?0NAjAHY1g$?{l`_k91AajUaz>C0iFL0ZjWN za_&!>{Pfjo*q2o>zxsR7m^S3984tL#t@2@-(-J&l#&|@AiD5AYH z+K49oBW(%5@r_9zeouq_^EoHaXi>eE1k*fpSu{sPE}L19ciEy=S`(E>MubsuItcaN z(EK^3_A-}N&H8A#bC9!ep*U2_UOj&eZkO^SrrLTFDl1C3`>l7j?i3n!yyVbN)Ok22 zl1hi9b&e;E%3=@P+(jjHV;2qqYp?IVH8@7F=b=X>I%l=1X>w$Re#4mcozYk0Jt}Wt zVa?FHeQi-nW@C^Xj`32tgQ}>2SdGuSTb03_2hoIYa}fv0X5-T(Y*I-chCPG+#}{|B zt40iiImG+;t5Mx3T(0`f32Eedm{5RZ#BUOb33d<7!-yd(aI;7GoQ*@9h=9YV0dufbVq(B;POO;Z6`;RtUOO#>!TNn1sB0|=$SYo^CUcym!W98-y(O&J(-?S=BBi@ z^!?8smlX~YrMY){!vITF+QoL6{Ig_bwrw~LlFlY^!#O~=(3!tnWTTWg)|{_Vxv8da zJ!<&;w5?{@Thy+>q>2A6oO%w$eUsU85RfPd_^veG$-8acMcE2$wMTXy*J6e(XEYfz)FrvM0JWT?T^*CKa?uJpH2(U)yE;d>Z zD-%3ZBGphdBDQ`@;XTzaA~0-ktIOu_O_vQ<`yJZ_q;VrLh_;&Ty1jgOn zI)>HiZHmDqU*omCB5RpW@b4}&e)7Z07gXG(My0_S+{LrB*eTF>niPxE!{> z{YI_#l^=~I)7@eWk-l?BVfC=rrkvT3IUXge%KUJj`W3IOGhnyLCVvr09E+bR8!4<& z^ku+*E}F*<{hAX|G9PKUE9H^UXII*YAnvCPXl81>X~}fe9iqb>&7wy4qOFP^aB$Yst>GmY+9?X3oY za^Hs$F1nZMNHqW6n;Y1!$)tOZ{DtgK^zEGC-h~x90^xveK&)aqvlU~MjuH@%9#G^- zai~wLcFT|7(`H;CUN>v#b6?o&n3o7{lOVH`z3aBV0%Q&+Q+aW2{vKOoq`5isVnUhw z%sK3Nnt}#z*nXAWYOcL>Oh{vVVCvI`9Jd081?27OFPdAL0-};gfhDKb>fT?^I)Rkx z>M1jvhzzyvG#p|(#f6?tKy$ovsVLvDWtgM&)>nw6tJCUPb2 z2Q*(aFA|jCDQrt-86Ihzif&J*yDL@&=xe@koaOeGbB_xLk{eyf3AMxjxTgM+SfG+sG3af!WvP#mQ(^?w6)wmItasJRCyE5eAg?>Hl zv3bWYn6O|;-j;qeoBwG&^3k(z<;{i=XjfVikyp$y-6CrR;pyP0|2G{_Ej#@znU~=; zQvB0RIo#oa)+KtwX~K8%h+jUwDj=$ZYDokHq?d(A2nC(i0Xrz04*v7IJ$<;Vwi?Bi zi3s9hD^@Th*nZk4b>c!i?=d@ucg5wYf!-)Or7E*vb}IVvPuNBO++66!wOui4a!av` zBrsO!!TY1|M#GJ?aR0}n@GngAt}wNd+~2xR3mURkq=PGn9)9iu&1YNgoZh+*;x#B{ z_P(8cZnj3%CW$TyR&c0_a*nq>WY?qS)m%X@SOa>>qukOFC4ms*BgyCYfn45^nw4`% z&t}WsXVnG@`U3oUrh!q}VU7QRmsE;g3o{*_ab((%s(B+~SJ-!Scr>gp5_6aJ-T8RfbWhFBPV&3Co1R3{3_lxdq(tH`PycZ&bxDAmp!k_xXhpV zMe$kzzS*ej;GRBX;Tmy~)N~%lUc={TTG_2j{+s6|n4w3yq3H~If%A#kLRp+?JQv^y zlhB7OusJ*hCsbB{SO5|B_a!L~Ws4diRjq%d2%^ZjpHU8f*JCD4lA7XM(uVh}jZa6Z zCM|dQR`rA#FSM;3uez+=v?5vDQ}#9AyBx%yd9&}VSUpROip2h7FS-7ZQ%Vn?!pksP zS$`UZf6S&DJ8LPvD4$^wRGsTxMnz~RDO{x(KgWy9tkiO>L2kLo#SvR{IXX=)>x)Ln zPJtUQtY6dO04PpB%V3$31k10)RSPxca%-T&L%U}NlZ{6w?yq>o$W_YFw%%x_;^r)N z)c*0o$~K&ysYaKUF!@r>VF9aNoD7t)l?E%37M0LhXSt>?^0Y$vw!3f9e88nv*7djt zP6L|s>R2%zxE|qL+ahBJZa(~P?8rpecDbk6a{X~cyNj3?zPcoLI+x5QkOtW#0OIz> z8LE#xH&oUdrn_&TO}7;Op|9?8u?`Qp+Ao0|G;GPymq%Fu0+Pw4whEEKgM5t_T@oH| z2@HCV@M@cUld9wdx7j4HYp4%lGWvS=D@?}}#ugZ$y9!quIV!&_&>8$oiKWxY=fS3b zoaqU?tG|IV{y5{*cj49alt=^N=zwL*JQx1bfjMNOqWcFHow--L9JR*y zxw&Wt8mkhC(X`@1^eYBgom^Re$nJexKQqbyCUG#usn(1aQg5Ta0}!c-2S&6PIHdlJ z;zeGQqR3k9$i=a;lPNK)T1YD|;TIAy`VKcx{Q1Lumg{!R399XE z?`u$?YCLo|^6 zylxSIFcVn6+?2)^@aMKDESAjV;j33h#p$7Uqfk0Yw+nj@jI}4oiT7vpWuLG7usk{6 zwbFeM@6=`u3PT0KWpPVB@7|Bvs=)U}w$BOQEUxBATz^VKHOAMT$8jPlcVwvk+c<+> znl^`b{=^~FEZqoduu{M5c)285Vpn&a#Cflh;QyO@;sb4`pI@Z!O~f%u!7X0Mwj6nV zmC0Mf=USr`y^5dF{e|Hpj46H?&-g&nx0lJqoC%Tob34VHZ#^{jj=H`4CA%|#cagOp zFCwGtU)`N%1-M!EKNYAFqkW0Ed)gaFCKe`kO;i(&)}qKxaF}fO=M=-Z$PkC`DjeQq zhU_hvj<%K075~;6e(8|pvUd~FkdG|xqUUUv9VIL)c67W**J|1QV?h@}9x$yN+fVX0 zDD}?Zi|#a?SzlK2)gD8IHVmEi8*n6Wq6EIT9XsIH?sNLNtqf!!vv%miR{& zMOgo(w}RKTbIn`Q}mJu*uW8{tEWs{lJFFPo_Z3&rHmko``HUQ5k7n_<4Z%GDpv z$I08bcGO-d%oZfxE;XdKpnP2r#=WXUT1D4k&-dQCj3OsrmxRTopsyL3b)}(|X*Xf@ zk=vRbv{O6^)iIhX(jTsT63;Mz)?0hz)8rdJZN))P*?L5zQ$y*rQxW;r+K)EVbCqHN z#l~ap;@b*eA|q(4+`$6XGqN%pTK)i3b?JLIXJc)wQQT78UU*2PhEsMK?A8rZq^jr( zHUv9Ki1k36%rYgu!O2&YZEz!YT(_KpPwSM)TU04@ z48LpW6dUM21ZK;0@e!wV#{m3Greis(X6l2Fvku`_yWHjU(EVv`)h^P2{WYjWmmj-( z4WC$^{_M3lDF5=eQ@Ty*=|+c1v?bk&JHV<^H1ikyz&#%3Txj%%E6#0HL|2ee->fx= z2}!(-jcl(Ro?0_;7VLP1sc&w=@K7Cxh_|?WWnRoIqh5?Crdn6~v8T>`h%qzqboxH7Ieui3k3d-U6u=)|!evjR1+`dXeuV)VT2VD0O{mG^n?{r@(uPR#2 z%8#d9F!r?RMTA~RS1-Y2RYj{VKkDb*w@)rRddz%aof7-@R3OHCp=GAqv)Nr?gcyO( zjtkxN3vaFvTV~lNb2uW5;#I#GS`A)O6-ni(1YeO*Hit9WYE<#97o?g4gZ|d$Z+M#a z?&Wz)=59}+ub7&Qh?tirM;^>PfT(=g;ns2XeUbCdn`y?-F9(GCS*~Ywc#ihx@+dW- zdA%F3+{$2RcQ;sFYEVDKyUr-0$zQfUFfVSD^ck8cIt20*4OmXs|^%Bvob5A zF>z&&$2??Q7V8i}QakOF)WM+8|z=uEZ_gbeR#JeJ@G!YOi|rSYjWY; zh+8#*JKys>?Bmxu^^7GyGfIIfl5z=gW66Nu^Hd(bukn?B$f@4zQhs&5f3k8tj=Pqr zCd$<6Zuy3V9)z>TE@A!6dvF*0)_Z{W1FK_ZFFWlqO^m-u?Ls`ITeYUbj8o9{5jM+o z40tG?!{${kbDHa|l|}+h^h0bS*-EClACH*!XEmm)LE{U?E3#U!Lz^4pMOLUI3aDu+Nc9TmzdJ;HE z5_c*Tj)AW~-McK`fO?McOd6#Il!S^@%}TH%epu4qeknd9ctwQCk>&?Du150_MBWZ| zBiZ_dsvj;sP2;wpUB9fat|Q_i#Na1g%fBoBcIYe5YHj>Zz6qc)r8skB@ym05g)r#l z8boV}f~?FTN`_oufAZ{r`0Lh5IKo+`&eRI-1vhp+^>1RxSvk5qO%TObovQ+s`Tx6O z_lTYrI8)H8wrFniDK-dz*JRmk*mY`e2;CC8dKPE!W-4MfHPyjQn1qg(zd4b9(@nn5 zvv?IZOUz+8Tu3Q9iv7j=7=8Jme#2IL+Gmn)(mwv(LDBNvuZ;M9Qip1vuBq$1!~DQG z7(Q>!@nL_vI_Vg@gb8g&%^sjTg*IAf7#A3FkD?BK@Q8T6T(0i89l={7)`pWgP1ca0 zBzjBZ1`IYAvZ9r#MLfW*IL&~G3luxju)q*kIRtTcJl&4wxX~0798}K>C#zb-tBzzSGLQ~1DkPB*~?NkX$sBVx36jyDJ)W47v$Nlc?_3WGeJRV)^ za)K1UG2z4o6*NM?m}Q9P#!*|_L(+UP_yNgLlmgjm!L7I|19gylM0>fNwqep9;&@M^ z(|mp+x$E6$MW+54Z*NMnpc?tz%7kC< zXjd)dz6U(;Ov9_?{&OGZm)o^@UC-fAE+mbs&7u3LPEoR?&n-XLe*1kun8G080_5#4 zgE~X+;8or?@y~Zu`Q}X_ojJ>F|)u%E?}MCkra<-h=B}WmGnek zd#^y{1yJ_F4l{gS61ao}!x3k2H9yo;7d5lQt`TE3?|g7)sRwicHcwOJUGmW>Gl&qt zMyr?rsf;sQB?l+!C4jw&#Ll;s_G;F{g=-T7U{qrtm%HQ7q)`>L zR%OcnTQruKRR{K|{IS6%bnyoMZ+V@Ylz)q>2~|k5EXVm0QZ-AiR5SXo{TI}Ns_WvX zQxs49>neUOhl_-3=cmK*uy&P`W%Y7yK6J)%~ zYXQ~I^Rvk5efkwG-^J55sj7H)Vd@8}R4+jLDC*c-=$p}#`1Zd;pCo_VSob;EF&|*R zc=9FH{nDI@{biD1l5gaH)m6UXdF60)Y;IWx&^#-wTRUyc}W2QmBqw@Y;lY>6wN zs7!%3(UHj7q|<$jk&yiTd?vZrVa2O|um-wPnpGSwRG4hqVssRe<0c8T2oU1MEHp>< zNSKeSN7owPodNz-YhG--61lH0&@g{zJvyN@;`iMF*QTJu+AA~r^>L){Z!3~Npfv9` zJ+2TEd`OqO9C^qTg7iz=QtZCxXdwQQ?{tlEA5vsPROzODP6D~|C|Bt4e)X2x5@3mI zX{oQUPmC;>%+GrGHo?2^<5u<7%|3)uj^aG#7(TEs$(Tnd!(-Y}-TnOdd+^@oiTxYW z9@i)8@U)KxiRfE~eXt+g+nMEmh?7VyY~_KZblP=9SJGj{R_Yc9^#D$tGGJ9aF5DU* z&#nKEj&YkEUOSKh|JcG$?mb_h3PKF;f85d|_tz~CJ&g8x<@U%X^Ue=k${cx2y`Qu0 zhla;&*V++3GPx}Q2LEO*U-_cJ=_>NTQ7HQ(!)On_Mo(I-FGJe2IkC8bYi*5!kBRR_m4w;KN5Yb0sApq2AeeNN%ujpoS@)O zmZ_lRn4b@QSf?R!f|^l6FZcdh@87V`q=k2ejyfAmhWr%$R6!=52~m80Q&c=x!GFnV z3XgvY`tk{rfPP_cA}xr&z0LUp-Ey!ohPQ>i3HZH+6Fia2B043)%5yiOM;W}H^sA4S zVC1=OuUX(A@VR`W{Bvl-)+;Lg;}(1gmynvR=vuYktT8x$A`YQR1(JQgkc)4e&;;c! zJU>d=`b6%}Oq?sP4El66!SD5~w|!T=vsgcl_gN%o%`+%ledj$NXM^MS?bGkqmleya z{h3L1$q}ejbEi{r_MWvSo;8diD%Sxb{fUv#@Xw*Vk5fTruwPysXD~@Sxm@(mcPfp7 z3EjMo%5C^NL{_&q&~iV#|KlI7`bR(_XZ2Nk-~gGCTvNj>|GJu*I;Gldc}Zqw5I%oF zeou``VH@=t;_W)|xmw}l zT)B*hx|}a(6?bg%iu4pt-V-A`u5gHF-c8LHG(@G!b+kvc?{ZiTTcGcK&K4q z86}`4MDM}l9xp_NWSFLy^8e_ge43~M9IFQQCD)1+4c4L)U?)T5qlZgR3t!pyhHefG zCbfS_T;vt^#pTid)($Zac&Q+MNH{_O(?;9|tJ6 zQx&Ux=7YQUs=VwOOcUf%$}|$h*p~j>IHu%V;&}Wk-9GduZKM~gi+p(%ggYiM<)p3e z)@~2G&Y)t@PN-PnX19&7^ylP}@CH};fk>C}>5nqcw&w$G+ZDVtO#9D@B);_BlWN0A zuOQ1&W$YaMX?70O18b@b-qrQwVin?wV|q|mW_q=i|!ES=K5tJKo9z|ycRwXm><=lT84|8vf}^YXm8W8#|on)%N4nVEa$dxbB}O^;sJ zm4j~=#AZ%>>;lp*4q)DB$g+Q1E3~qoU8S+6pw*e_iWbDsu?Y?Y9V7-EzqeIBGbg=0 zxwp5h5JApsOhoP%akx_zeq_{GHX4!FykDo#9X!(;j;M32)x_}FnUX;-Rg8*^Ex3e$<7MaUrnBdh^#Oc7dmm?7qsfj< zM-SVM*1rz;Z|_pJEmD~XiL88z2-sUla|_yAa;4^ZDYsg}b2Qs^C^~wm?@rI*LAUgV z558#B8vV4Q4u1yk+zOMHJ$vNrPGqYKjOf+oFKb$~X|XAPp$2iZF)+Sf>7W=zT{vmB z{^{Q#Ym_k#>#{}qg?4!DRI#IuvSc}~XM0E>ZXcFQ8?nFZLxK0b$81WzD6|VWnQZa- zV3tOG_^$P5JWQ6wmu3Hs&eHuwO6dDk_yOR+itHHn^V+~(X%Xp~fW1H@$nD$3Ze_7HmzfwTPiyZ@ zoyr)%w`uXL&-*;{+AA9KNW$@?0Q($ljRIiRn;?KEBW^eT(c_TSNnys23;7xL2aE+L z&xC?2oW5J@`Hk5Oj;tG!#G$~>DUNb`)}XEnV@;%RVTqRNAoBohb{NuhTkfKxl5-;yw%KFUnf zZwkVK18>0@UB@`eqkI(~uf_QroQb~dvH8XAQZ5d@JLw~S8;51$Uc!9dIc^*PKfgHZ zoD?zW)L)pnR9L^Uyo><(G{7Bi*EX}svAxtb3kbMeFd9+RBy$TLJPK%^^3P!k+OKSI zSzr!A7Hn<9RU9r@Z5CMBg4u%l+Hr{#@>cwx4RA*@qs*8*H42&AIoufpV85F_ce@a*|q}QkOTFcnsrog(Xs}0+9mgaNn4Rro<3fxB*Z zuZIIeuxR{^r0b#GppA<|*8Gn6_M^`DMZ0BxmX?hUo3oCMi)A&rYcr3%0F})El>=zH zi_ub}q1lqYrArrPaJZ4B>wM7d7B$Tx&~)f^sBtuY$hU%Z^}w{TfSXzpm>HQw)!Gx? zBn?|O{>UCJc8mug8JapK{rVemDDRW8g<&Q)@bn;ayizAzov@kh;ON-@*Tgxl8Jx2ZYx%~@n)z&{^L3lJ3`^szW&ok?cto`&J z7);cD)DV0XH&R68>f`O!Hs=?O)-3rv%dt{B+$-+aq!B#JQC&N{21q(;Pn5wboy-H0 zM)ZsgnoL_RzDolVMvp2EJFuEGnTsmR_KSWS$A>NvO|FaHm`$67P27$L`ef8V0Kjum z`~2D+A+6Nnm~gCG_Xl)~qV{Qbxi~a#i!L9V)XQnIV;k{qkHxumoE=tB*$(9TbS(va zO)&4+W9>TO8Q>G>5(}7KSR4z$m^Oik=Avo9ly$Vz>GJMH?xUs`E4YFS`Qy%|%lLM5 z@ENQb3|Jdn9yXJ$xf^@t1@&|W;BF|M^&7B+#Isne_=Ygej(7RV3k)qonvUTXU2_|s zE^a6it}#bT-^?8^Zm=<*cIV^u#UZmN5j33xFGnu;0$tVwW*$^;0wDG`i16AgQm_FQ z3P28$`f4Fro`RXJMb=AZSGu};ed;84?P6h&%k!gHf!h3hOL^=Hz)%!N=6gnXzB9Nw zonOb2mzaS|r!xnTcbA*niNwW2W?L^WGF#yCmhJG!SWe&~7O*?p;heV!D)Jv#5iF&| zd7RLDZ;D?Buw&rXqMP}vih8_LB-f52KoePL-#S8^RS6Fpr;QVaO71N9Y%ivLv#UX=M;)=l13o|-% zRk$yMh02c*)R4 zUxJj!W6ah+A>+X{5>Dk|*$44YQ%057tOxD7t?IRsqkecClZwcKfzC{!7tdGPBmJ%j z*MYr1DCh?+p4o-WYumTqo2BJicDK)`PoF~C=PEZNGhTb@z8sfi4f^7%>S;tOmgU)7 z1l4|)AHcuhlZEx`R>LB@x9@~Dwb%Q{mC956^6YEUiXCN6x8cG=Mq!$sI#f6<;Nu&+1(_z()R10NsjY@ zgJ>2ytXz1UaI`6Ye6(7@HQhDgii2{9O5IG8}GmnhC6y46rI7rVWWR@k%oBB`k zt^RIutpZ7M1U1AHhPGLeTJH8;B}EC1(`}Muc@F55beqdl~l+gE2#*xF5ccR`FL-+qo?|5Q@ara-`Nmpk7m(yfJD|AB=nfRZU zhZ+~dY<Z0{S>43^LDdmKOUJD&2@)9~#6E1}{l4U_!+e_#b=V4kvvKF^l}?4QN=G?@pQ z(3)JDn8VvG>iLCDVz*wt{ClVGIPk^9e{0EeKt)VIs`Uz;n1*j@$UueWCq1&4g z_g7`JAUz6qG3Xu-gdUP^MTSVG@;|t}2Xln7jgLa9jwDo=@1$iRj%!7RXhrQ(OYS6> zp6q6eW<@7RLth;IGZB=jk5d+drNdKTB2}%CEr&AejnNsQc8Nq{^y7ZLC3g)OGx*M+EY>en(;AhduOR6Qi6Uw`XNpcDgxWwI$<=(Wv%*ehAqWD7f(hR z4Q3fdxuE;Z(uAEYaV4;OuslDbbcg?Zk|*WKU&5Y(J7KyQ0N^gDp9x8KBpJUA6Owu~ zN$sh2Ci=U&KrAAF<{`huFOn49f|igS$2?m6|LNcV>CP<=>6}E7EN+6Luxuhxq->~; zO~pTZ%|1DW0?Bya_XU2z`zsay7{g@kGK2n;{+~U+ z|M8ZiC_ErSNF<)mas74xg(VA&)p~f$<|!3u%kcP4252UK`L6~1 zU$yM=0Bt41lSuidPK4rHH@+sZI~XbUL$X)`cc(62DE!A*mV^a-IoZGr8_R*7K?6jQ z-`vbD+s~|#FAA(ZuU%r?|Jp$;IyoHfJs~j2CHS&sf)?TXFIb?@OSeo; z+>ZX*Llu;=ApJKKCWWVj6jOJWGefuFpNW0D+cn|4qbHdr%~-!1ONMv3wyp6GOneMyxG1lr%lC_5-qDv3~sk-1~wz205Nbb-{ zgb#lKHAl?j^ zGYnYINIrj^CnaPqsu>y-vn@dy2TfnpdF~f16FkS*y_2X%_Yb&UI=MOr8Kgc0{_^}D z17qoQW(ix~L8S(9u1*eJ_Q?RjUwrpBE_b&?{uB&aUYc}n<>DnF&=D18;O)E+Wf*=2 zY-jn)DWUmF=p{0wL^COBm4SEW?ucQV+bN70d>TeAC@ZIRAdMeL5B&dXZnl1B6gxd5 z$qlR07N#K!<#jP^O|)!fw_`_-pYs}RGdJFx%v!L}HzjwOV3RPx`sbVGfU#+_y@?Uq zMhE_91Mp#-)gqS9;~=~Pei&?PJ$a^Pu-JJ?ev+O`9#~y#B<3<9XxJU5=s6f`JLy_d zu9R(IUt^eHrv19^MW&DWx960>mAR>CX z=iz(+w;SrITDyAGo0#wJv!7^ldVx(7*RD>MDPse}&Kbv^1LfydZEs`KkB@53`CPaJ zcwJX&EnA+9RgCzuzo0CAfDvUWB6*XvQHkQp{A^$trrvA2a-i!tpcXs+ODVOVY?Oht zE;{%7Gn;?URf^<2vo+HwPHJ3c-nS>ED6A*wXT+m_e^k3UU#r+hTFN;j{~f9%P0Ufi0Rb!@3OOjP(T`rRLBh#f!rQLn=J(I#fB5oUr z3il_5lNVhRnTbi4!3WbcZA#<5sqXlDH)JNfRqX1os#azen%HJnyzm_bRT zta?@gH0588F3)CNHw(&A8-rHdio0vlDD3%80ta2ZLZebIJ__ftM^6Lg$B7EHz2j{1 zl&N=9*3Bkd2ek!NLu*wE{&-ri_Wbr7J=QYq1N1TAI9qAiz4V?!?4PABUpsuuhj(qo6Y+_nlnz3-8cm=OO_ ztz16d@6RsE4-iLnaxkP-M}W*~Nll^k7mhtx^{YYpwOOn=+bP)v;AU=-$S;E{1cfU--PG_lPl+C zLpW3OHm~ZiV0HEjI%fB^m-vuQ!R;C?NaiGzbwYFO4Gpz*D)G^GMSD5+lm>xbe`m3@ zcTBFcHBGI}x?-ClD>aF89L3_7-cb4NkA- zh@N5Y+232Qf+xeN1V2@1n|3*PpUhVx-$X%}?UYdkWf z*EbrYQU1a**x zA(`X>@2#Q}#*p(oh-pq?^O|F*qCI)H-QzpMKdnFD${42qM2wziDM{8zj}(-hoJsB5 zPzY)m;}YVQKG-c=t3h+5o)6^isF4*IVH_Qw4C(}9%e(sHOVa6j;%3LUG&^jS;{1%Sqzk-zx0!@mQL=j z@nJK2i1wvQrioet<($3E>+jty@0Hb$&#~L zX`l-Szj0afdwCSjYyPUBPElS|Yg3Qi&XT**SXRzA&)jQ!7*~S5Ji-NdwX=_aci8{J zyTlASjdPI0Jh7Ns;>~9=DB!cuy0u;}%(FWW04w%mn1^!D)Tx@0tyZz$1o40&Yjd?> zUVq7ov`2^vj)aU?k=%R1lQ2Hd1kR%8T4RS}-Y;Rb8Tr{_BR99tcVq07+OoB7RM?$G z(XIena`AW$;##|Zf-2#}Xa!fX$~hZG@9?!xtN!ImoN+Tl8QbyIw?{AsmilXoWns9M zi`nfkj(P-FT&tap6a9S?*TB?C7N6 zFm-x;v7~7RhhIj8`kvS*i)gXRBxpv?jch=>XN;AYET+G?vMoH`-j-6~CmEX7j)t5c zS`Hm;zzl-pMJTgf5|6t0357x^Dbn8{T6lF{6g zlJw-ywVA2qH7jXnX$tgyz^U;PlK8l3lKg!xe00$F+njWrCp?ycJGqJF`BqiZuxzaM zZfmeGo7V(=*jf$pvy^&qMv~}zLuM~S0I5N1%Tk;Xs}-4HpniVr)y|Of@5`9c6LD)I z={Dz?Gr*_N0bc(wqF;KqPOP+_9Aa)3AsJ&xd4%xQnnF0Zt4rP+o zo&*P2LId39dlW5*-l19FPJR>%`_q?uGV7!&RkS0@K1a=XaphAEZtH`4Y# zy9z6rXAZGbCEfNT`y~o>SI#+EOR5>1%8p;$ym*^TE;>|};w&Fr!Cd4|A@0 zIEJDFzYfsXe4#ru1vm%N0pHV`Eo_ZU(qAkAg`W|11Q+=?1Dmi8tSo+UOJ<+!WI)Dh!;Dk8xFd z9K1*dmbVCtcchLHf@xo8-=}dheRKocuXy9_yhdF^70q9Szg*Au*>ZB?ZLY)D-e3G% z)ATM|{Ocjj4IcD1%;+Jra^QG*LynjC(AE5JWUgugfP*eNhr>mn8rku!zdn?X=i`B{ z4g{+Cq_Coeyc8wp3b_*XWx?Ua3@78h1sw@t!VuW^4L$j^k=CuE%Gb^==O6jlJ!dXkPYhh?Hy5!IQP6AKic?KwEZZ z_8!tgHGTssrs^`A#|w8-u>8>tJ3bCAYt(?+P;ZNdh4D-zrqQXvcuZ+PXlIj8b66uu zbw8rAam8MVxAQyt_MY${*Ta+uznN@}%=zlVU#5YKvXP#xf2&r+ zZA_+(3Y`O6_Cv-ha)nH^ZSgzSu8M@H(~>4*q$~}!tOl!KiRLr<@ID{eS-HWfQk76_)lZI~EbPIAl%kQb1Q>fG?|Jvs`BU}DYLO8B%tkp+m zLj0gPOZ>hM&4&KR6CJtQ@^@)RRi97f4*n+6sT)S>n(iPiJ9a;3v9|qtq?!y=YQ@-B z3WP~)M$40&RSIT0@IR>NByn_Y4v6h@e*ETs~ z;z^wuNN%e3u$6!UxMk@YI(!+$@r|fBk40jhU3j5ABIe98;fOs$T$Gm;RVl!={kvHEX^zWtmib7l11JS}z`sh#e2I|H%C8JUX> z#CB_w9s(Sb-peeM;?+mh^kcdY_@f38nzM9Tvo;OT;;_p0-DLjkN4oUd%o|E_>OE|` zXTP}iPyO}=oW18`-UqT-hybb5vlB!RDC?nLO{VP}+3&z7=Go(ldPPjqYNNkieS`A~ z8|B?~Ne1u)_J(!oQNH z*Zf_ib{?U9##1SyRFhL3{nXcGEO3?IC`nN7+dr}g{fnSuFfacY;Rn&P$?Ik|d%I;K ztdv}6e8}QZTx4IQLC;;8RyM5(JD9o3L+aXD%IpbxJ+zaZte&!?%%6yHl<^*kh%H=Z z?{*$~Yc-*AxmX_CViJ6i{`wtnwHZhBmKZ@wG6BiVnzj*rrD4&lY#hVC6qS#%R&Sxd zZff2dWJP6GG$R7(6YsnvoM_537Q`Y^55i)$^%GI1(lAa28`yR9c;KJH@kWzD7iLY> z0$^70B9*?qM>F*&J3}{qQQuB}E-{b-70LBfGP+2>j64_#i0M&o*a+}T5s@F}c(Hj%8PNScUVWn8L{_-8 zk8E@#06205(25X(@Ht4CSc*IZEtSal8H-3fZ37H1CP71dFlO9Vn9M9KAVB@gYk>X#MS;` zwa@!gB=tbydfu}k95d2qO5D&0k@WFGgDi&$;t_G`e`eB;LIqLxw{DD!ZdD-@uHxC0 zB*(MLE}kY$b$x|jXzLYVu7uy1f3j(NcUNC3Ql!&1d!}@w&c$BA-9f!TuNP8_pk2Bv z_H-T?7a`6NWnCht0ZML9ZLppY;+t@*DCALjlKvH#ZX?VxhA#T)&K^C|r3D4R&kiTZ z*!LGc7QjELE&aJ*c73-&4%OeCRI+`<_&jI%`R8GU*k(g&lg5Dvuv>P2tH|w zNuY>$DBF?nuw&gE03BBu_$QEbJi=W(`%*62*2JosRIMX3H*GPsH2D)XtF;g_^*7!v z|IZq{1D=(^?90vQC_p#L+@SW^27gS)fKzO?qMWX#!8FXw?_0^c%!rkMn~x}nr-4u* zTp&}WT+?5pT*oEpa7?%WxR5he*83n?^t}7?Ykz%N2crTyv66j=Jt%RgEFE(CKA&3` z{l1H;H4gz`(Co7jr1v-LwM>Ncl3yx#hiG&$DAPkINg6ZfYoBE(O7hqL4BG>yL)=^9 z`q}ub^Xnbq0tbJ(*q2+!qkl0~C@xy2rtz%Kr*GMM4leEqYuQ{A-x1-teN@NF>|{kL zCesr;>MyubN~s0dOy!&nc8<#g!Z>?xoo&b`+Oq|9a(rmB9H0mE(rRI0_^9VwT_BwW zOA*ELt;QS)mp+Y;7uRRabjV@eT9-*!rcO+Bx(TeUqC*_Q2}4;%d;3eM>2&%Jk}8Tl ze3jhWKp3&`yo%Ec6t76(Vsza>=-|J*v&MZbCo99A5^t zN@exD8gd37eK4_y|I-u^FRU8w%iSRVZLYENA)~Nlxlo5?qq1tsumK*%YC0A!!i|Xg ze1u(pYcHN1TW@BkIuV7KI-7lxG+r{x*fd$dNl(QCI!@OJ^`XBY&nri_gm`BwFMK%J zSC#ef0}!)z9)B4{yK|0yk5X3Q_#E58MMmZ>1==#DQKdo6Xh}1hEmnphR^@|OImB!# zT6%5Fs;+yhDwR9iyhD8>By7b9aW^Mj zv)SHr#kP(m2yd#yPZ`^8<=eS$T<#+hT7befBJV)vCq^U1;eo|}Iu~lb3jR2(u!IA* zm-mV(>3q`;>Se2e@gE)Rgqp7VjGqH;0TLHfCr_U-M89`uHD98k^asU3KBmqoB#-M{ z^XBEvxkibD^d;Ft+Y_Poa>E(BUUsHib1LxDei?f7f!5nYM0EOZj92uIQH?v&P5lzJ za!Ee(lg#h>L44btGf_XyN4YB7=S*sa4G9|sGJInC58gahZg`H;zbfLW&6Ic3qo1>R z%nnIAFpRRzbP(S6i8dkaGtlacFu&00ij+G1B{0|19`zSh@cZ(|fQ((O-z2^64Ela#Gk>}0Eui4LS!skP_18V0aW%e_Yv!)$p zK;Dv46M6bQbA@xvvk5;BDSqW}=A*x8a8$N8{)Ht6=U>JxeZm*d2(<04+kfLZ{m=#G zTnE&$5?Oi{-HGte5@zy^Lft5r(>?3wxmzt#oAIXX6tbOd4$E|I@{~|f6D!YatjMhW zkV~fFB)&@(`fi`zxtK-KtVtsWWaL!T3v{#0C<&EwciGbJShNe~fc83a(#05-hLG+p zY09~z$Rt!JoTbwv$icZj>E;7u((^wh72OW#G7tYD;inIEZgvu8-AeVLw@Ojdu~a4cwxBj8m9p# zLG_e8YE@+y(i|>`QvD2!I-08swD3B6v?*p$0+P9g+kN6Xv1_4 z2uHbid=BTge&-uDeu508ZxB=KEE6cVHshpEZ(OLvCJ?cye;*2N0Li%>P<3qmbF1D&h&pd4UpBD4${BM$`90358Ve8vZ2o4&JjH1}L zVR!#P?&EAu9M%KZHy?4oEb7Q^(k)BqV`s|KBhHx`eY^F&taeFdqHynYeKt!>J=*^# zVp=BMK^OX#afRD&n!K`p>BnY3vV>i7i;%lf%c#jwJyX45h`ra1=ypgBXp8h)4Sh1pSBOS;kmu`t-Xi|2fZ(yP)oUvS9dF%PYd$UE# zaza@8Fu{S(`o)>EMZ8H|-6@jAcV@#S8de%wgIf1jICH#;O)(IOlMuJsr0vd3pH1E6-ya5C* zae5cz)jC)uP>uWw7C4Xs_9(^e_1!!95eQvcm0tCAZlI$lV{N$%vNTBs9?j zlu8Tf^AQcBN~#**G$YrA=-$FqQTpk^yoPXBpZ&+Iv3ttJPkUX8$dK=+$3U8@UuDE^ z=(8uLd6PAYFF&xg5f)o#VsFGM-tJD7*BXSicexXj7)I8aA z-RjxK%33%?^_-5FjRa+p$Wrm{O>lH_#Hvn!AC0woKxKz_uIKMpNAsIT0ek`eP@zt@ z7`GS)whcp@Q5!sv)RQ`@r{>OLf21n$oWCIO)9qlz0^iOl*JGS+TN{VFjLqB;1TM`} z;u_2VljP0|yura$2C$|RNBy9Z=>R)>BjKe1R~hH!0Xvb(#XoMcU#4X0lF4TISGQxd z`uASzi(utGeuR~cD8BjSm~^koPKF${-iLZ=i}$XQ?PJSt8sU&H->dFjMv}I&H|Tzm z8PsGqLC{;HX3E=5dL=)8dw6#K=w~qL!_=Ck&vqo`l3~7F{u!G1s-FHyRMOk29b@gk zN$!_J&watWs}nLGiJz)iv@qq%&ZXd+bZQ6#3cPIm2WXIdmN`KgCAhD7KDf<+&C)!d z?yynZ2|YNtY)~(nkz6Vjjd^uobhK(YP$hHES#T~%lK3ZRzgM9+^hDt$edbfi_}s9} zeycyCOerJ}{M)IKN913)XbX5$OX{y5sjI&M=5Xp7DV64wm8FoFi?VcM>E|0@kI&>= zl-ya0bKnVla}P{199Bu-kgPUtb?-svX_a>+Z-o<+>y0@kYrpG!88icBW*5JNX${az zKiF`Jm4U79CKtJ;wRC;I%Txn8%K8KZ&r$-Xh8} z&ffU^8uuDmH|fIXDo#1@+IpHZy%}0??f#cC(rc#Tk%eoE6o`x(6tZT2wyDxy5%ak( zJfd53_2O|)R!q!wag`swPT8iO0vD8R)u#PLo=P^mf;&I4=vK6W+?n*sKcPmgMkf>W zGvC-I3n6~^WjDmXR<8U;F%qr^)C9Y+Rj;aL6vPG8!K^wRp37 zJ%5E=pg^4*;S4B_y^8bcWJXu6;b#ympenF3F9N(1zX#uAOmn}N&$Mkg0J10jwF zIU=VX?HRMxwqNo3Qr;S5pa1Hfz0A{EL2D!%Q+f&`2Z8*PU`Dj&hi7ZfIjN(1e8S`) z_OMi#TB+kYC-Chg`-2G9RTfbdow1H8BlwfcYae~WXJd03ORd8uN1*~uugi!pi-#l6K|o2kd?vpiU-G#hqSv9ltmaV zMv+3n*3n*V5rZ_JMxnTLhr&Ob+ zIiebCiJWV~qqe7oLpl!hBZ5VK?a||itEFx~B#4f8nv`_KUMi&W`g2bipXWDAyvSHT zMXFR(%*rn_*C73FeYeo761^J&mK#)R4N@(Q&TBs(NAHK({l`aqu1>~UWAC=$kC!smI-R-gX53y>H~*0FMWVqsZl>686rPXG zaI8UK@EI6b?GxSdD-OYmSSbI#QGxE98Dr3LRX?HHf8y_{RDJ3+wl&Y%8# z^`X>Esk@}d@q-%8xci@`R6xVp^NCLzEW8+a8$BoAv3qK^Lj*|S&2aDy;7sCniAWp| zq19Dw-E2p$yWQ}ucBv~A2}a{Yp|E&U`Rkx0vWmynVeV~=i6S$ zfUxK%+L4=Y1QbAxMRMD6C-cKODkuEi(q*`eDSht|DB#V8jlD8pHk9fSUueAgTxp5@ zXWrq@EaiQ3jcevalT%1gBL(^T+ z<4qY%!njKsHt^514dAf!1fQo+G}2_3=+QkNRz05a=ck&8ZtA3!=|77#1j$Ppzn^_6 zn3Xm$I}y5~!#;yg*~#B7T$NWzVo`G4GIBAlQqHIdU*v%W?(Z9HU&j61%K3@VcqS?lWyKf?fS{3*Tvy5IH{1e!2xO z(u5eOk(T5L(mDPSy@$gaNlgLi9K@Bystmm4=lnuxN(^4jex>%VJGu37Nc;rjUxj-a z{1d45<1va69BnlY7UWSIH01DB0!M+ux?#1l`KbNPez^HnJT+QiyJzla?KFLZu#>9( zoF)rKLf{d)dB53`WnL9?5t7r{G{ii5#un1${-aD%y4hz9+Q#557NWJH|8_1%d*l4} zV}L7fhtO%k>2mzGSIasTDzx0I_+TdgI=pjB-uHNaHS@Ny5!{7BP#ox-#eWXG)iTQ! zID+A}Vf;)8`U!BaxwpdA7# z39r)4>Gs79uK{y;TjT|dtaD%$;y4N(c8wfr4d!Y|C8c{CDUh?gaW3uMe-AuJL9evOZF^bvtn1GyS>SBY3gmrvzQwP@!=@a@Izp?D{Eg^M6_h_AL< z8;IE=(8t)(7|HI+3A9m{_*T-#n!Gcp_OsCOM2P2P=dm`F%{06}vgcKiHC;cb7CLQX z8ZSR3Sq(;MnQncm+nNu)TrhWMz9i18rNHgT2YzDq8|u1vS{=_{jUzHg%Yl!2FXo^) z78WxY7?&S-=5T zP?zsprnaPKpvGqIr@Zl%Q@?am`Bpw>=T@P+rE=mw^W(<8u>8>Htrn__jG&{dkkjbt zQ&Y&uQ6ndhq`j|>Mn!XvhGf`XXLOOwTrVzPqwH{XP^X$rt8^BNRF<2RPF!#JW;;)u zXPf_F08wd?!&S3>&_9NwJ}RCxpGMK%4N*!WzlNeYu`+P2tF`Q(Xsx4Hsu!r!Dl_Y~ zpsuN#k3a9(fCnN%Jmp*Zx<#SWQBmcjxQOp+?Qyx3&7ccK+r}z58*`~n_4&uiJn6~f zUZ!MDE!A>)KVL?}l!ufAWiUmR(89+rOGX2~PfnGkIH&*YSe2bZal6D1;WIw8HoO7& zyWU_90)Gw)24Uxp+W(n=J5N~rHF1~n6M^9sa?5pk5SH@>eZfv)=DCe?kxR$@B~Ye% z8=a6vU9}!$O0C>TRq5C+k7o4oligMg06`gVCZ0mwp$Z7dZZ z$N1zI`F-r6{iF3>ohDLTn?U6P=TNy~IzA_vjF^Rr=s?-Mm8=8kG=@BIlkRyYU*`h~ z>d2F&*YyQL#5xe*E*y-wJzHo?zdZTMfyFA!?!CeNu=OaIpJeJdwR&SjF{mSP{uwp) zfxU3?pN`Em7mnapA%o&}{y6FPP7No$;Hy_4@byr83%pF`-|0{V-aCtTuf09P6_Yyk zihn+&0zXbV3wTXZ_QWT2lSfLpx%~^N1Lfx2S8D^MZ!d>L#uwkOiij{(F3F+F+As7C zPCJ1}cJePkN@Xg8q{qw;1HbA9{ooM4K86{_77o-d1~_fMnQo110?4vIn5X&_d(6rv z^f{iHIDfB)KB(2hWJ!JtL>A^s3H9gHTP3XHU$xxoGxO&;wB?Togc?xemE*9Z&UV^GqEV| zRQBG<+lG!Te5(t8*L~*>kVy^yc31uaT$ez3kLE>xd4NruUeb$7KM1-~kb8ZRj|wJP zwj9Aejj`}yGI+TD=W+NDtw)cP{1BdLS@Y*+YAw~{m#oUR0V)$-fvcDFa(}sY_@y|@ zLxC53H9i-Hr*lR)OpwQeUn^|%5mNWXPC`j_D}F_$u%*BJDx|sE+e~E*@``o~S0f=I z$gBDwN<%5r2|asxcm{k&=7KD=4Xq|_+8!wqe>ZrrT6|#vhYGPhSy8P};yjQJJymOy zE>j|ulATCOpgwy1s5H(d?N|*(2{v`!(X`KlY`Q}w3ERheZ`HZ;Oy)ek<})6UOuwW} z8993zV;&M_-?&TQ-F-*N)!v>fF)lgyPD3Y>YqMpCy$lW>F_B)xub9~$SYXeNS9ph6 z{}p^yS2C%FJ40)YBdax}qu(V^)=C#U5sPHLTvYi*ne%T)^MG_pqwopNS1I-R_hgwFT=30hT(%J0#; zWBDRF0Z-H(5=B#nHW0kh$=~QS|2T?ySszET)F9bR$6+)_8>&ejQFA}5^1Pug{Aq2M z-_0)TkL!53D>=+l*&uM}IP%N!w#bSru&-_VlGj_

      h#8+I3Smv)bK!``vY^4vao8BRv^kxZYn-%Z*|+ zz(|yD_&uV1e4@7?E3d>xs zLe5w=+M!bI9)o@E)B7N0N9kf>lCKr&<)XBN8Xc^@Rq8EeE?EiPizyXs!7C5c)kAA{ znMWBiU=&whJLa#xnvO?~@v>cR-OZI9%X5q&KSe6a<87 zINg+1En<52L<0StmI3zy+HTL(S9(Xw)fIn7p94*JauV{D?I!8Nv-(7CF~{NN%KPy zBZA-=&X;x?`L=$=9+#5z_?kQp%tAfFgy*XEym?&|J})j2Pw!kR9Uj1|9r@kN_tch+ z%qx2@>?i#yJ<#a(rM-oSwoqcSCRo&lp-DGT!}v9w#`aS)i$=dElM=)p@<0h<6XnZq z#WA+rd}?A>xm@q)W4{quCM(}dveFakM{)?<%b#*!-V%Lim~x*`)gh(m6^Vi%H&Z-o z-XDm~i`bX@1F5^q0Y+VRcwu34SN~e14wK;j%D%?)(v<^Kiy^1V18gVOENW6#V=~gvawdXtelaJthsgd)|fNHNK&up4Md(M|z)F;@0 z{I09Zo$}~0?3_M_S290@wl?yq49XNvNQ5uSUmWwVNB-=qXSvh}Vn0GOdgW~BQbtyX z@@@-vRcl!#o`z2*tOcOwqU50c{Dsy3#n?LrXA*t;!cRQ0CUz#aZQHhOdynH6;Kvo-hTd7=Z?g@xis)_f%USgxXpXRJGDM;fhu|_ibA^2T*Vh)TW8a`lfOk3r! zBLHg|ZvU{1c3q1W#9`~*S(6n4CITZ9Nw2bCq96 zr0FG2LfUnP?MjC?_~_n~3WsotRZ|B@7VPHxV9zR9cn7yY+p>g+yCa>jHibA684gL* zl3YqOHxte$ZH`T#)pUdZHlXs1bIodFbJuSR%aDZ1)CahV%GrGVOTxpD^Gk~qLCcOP zfe7z?+#83(KG%`+rgq9#2VjQY59%9%)>gwk_|Y!0v>j zwf$8s-dAOsbd8j^exGQpTQorz`C*{#rD)(^3wxp0R}xHr@1xjo4?aegh48s*CY zfg{RmJe?|8y0^kda?Y8P1zXuMaV6?ws;HMxLDLq}!R(gz(&+wL0tsPjpEr4|X~1|q zkMl6mbsTnjsQrLjWzhli1r{w>ajUw16~7UZ7h3<+fs~-3W^ZSX^kQ^C%Q!fv@lk4e z@#*Z%{lQFVI_^pGn?75vIGI{@=(D_B3yow_rCgfBxjH#ABxZF0`p*_jr33K}jlTsB5`=ljwUu$_442SOQCy^ zTMH!IlQ-J7SaabYn0dqrAZcrhtD1W=O}49yg~c8St=+3Q!^u_5KAVxyim_A!7&7Z7 zJRr-GbEd*E1$WHk`jSHW4&R0iRzBaqxPY6(^V4*8an#*A5kLVn@Ql0^JH->h1Vj_1 zo#!x}`d$1AQyk&Wta-6h;Ko`!&OwJtlu06rDk4hjWdM|pgIVjQ!a}Au_{-YC%AQ-_ zD{N+&`?l`+QRl@-dETlW+PLhylb4nIDu$Mk?E-%ojzxh4f)w2a8;b?E(1fvYhOg=6 zOw&W4zfwI^&J&2-XbX}~5y*1!{u(NVbSn1Nyhr;7zJY+ZjVUd^IuZQNf?P|HSM2;n>3OD4N$rrh+Rs2NSYc~MKkoCRWXmNkHO$CWpe?loUli(0bK1tawAf*OE}~6 z7Lx7d4kVY~M-G%l_OAGC16u;ERHmtOtr9OM<`rGdY27}B#za-LCCPcsb6&aX zGYR@`;(5LwQmudshD@zOYyInc8y)038|lc$eh=_@yFX}d!TJ#rpTBAGqpFfrIv9n? z&LH$*J1~5L3qmt%LDdMclF?PjmV4N)s5xLSMsEgH@Pa;6orTdMMh&r&iI+V%NGv5Q z=@uZnt&z0j&YNFRBy%Fw(3f+`{v_m?H(b5L_k#jZ;1t^WMOb!-cv?hmr!vxkfJ`8aOuS^b9T1Z41{5zmDDBTZ`n-exgS9Q1xSPJ{+oRi+M za7`O?ZI3g$?8v5^rWA0EHfB{IjqiJ zHsUqa#v9mRoKl%t^dmDQa`Q6KF<#(go6!yB3A^^h*rY+=_<@{EH$y;+w1m&Qn_Lrs z=ReBAs90{KFiulRLL)Gbf0~vO-i!B6@Golpv{MM04jYaS6(>H~Nqc`HWT_-_*O0D&T|dvqS}m@V}*2-e&-blId% z?g@_(GVNFIzFod$^ua)W$kn^_Dv4`jMeuW3!sDr-ql6;uYJ1KPZ;>UjI`mhbTLmV` zQ8MU1*GEtpCAo^!Fwj(L3Fe@CH{t4IG#Gs3smA8%`CDTHF0Ah5DptlFlX%5Etiux+ z(%TWXf#rkx(Z-a)V4f@OEHUn{OtfOUNX5{jn}~QbgB6F~2h1V9wWlVE*Cg^E8Z@7)b_Ggqr%7>oBi>>{UdcfJIVIXs* z&euL93=7(Z3=^$+^}B;zU*di>iKlM=J-jlr^G?IJfU&lNbyukJ;uOqu+xYc4%L9;T zkxt+A002gUpcIO!=e3m4SL$w6Rh%%AU&<>(N=a3PCLr#K>rJb?JY(L?Z$uX;rc;n@ z))kdc%gwmsD=VyRfnRZIvw!Iot3}yxoe{^SVQ)vq^FCgn(8e#1bIpxe}Ee} zj_$LQDjd{o_elmAUtA{FLlCwWi!9n2RLy0@0=h2Xb76+Gt`?dnN-N%61?x2?qRC8s zD}68MJ&eG1kHp4)=+Vl?3pAU}H3_y$03A8SwBQVy?5t8u)FQLA5?(j zLvx;%fY8u`kO*YWL!tnWDQ{?3F8dXXfs0V2Qr=oA5B=F;t>BGLg+n>&pFJIsPg|Pz z8Ie#Z2EsC_7oCg}!^l^;U}O+pR2w$OTj5&sdb|+ejoSb`q%>|6FH9-7VDeOQSgG&B zUE>og6n#3Z40 z>|mRZ!tEQwHhX%^T?Hq@Od|x8GPjxkT$<5pffOO@eP=bRu4l`w)_hC7 zUdCBHz9iAb*LvuW`V%+E#B`%5$(gW+iwkFJDXvqMJ%Im)v*rA@iD=PGl_Bfj^)qnR zo$0XT3RpMMexB{Vk5$mgmWYMLUvHjbzDVy9u2unmZ(`j(0wqM7k8!cuZ>D%gRz`Mt zHQZd)!X12F%@ly$1TEpBqytX6twwUUhJi|An(RR4SN9rvHA)5RFlNk|#wd*kqkL((E-sa^glc~*c`eh98o-}vPeQ71 z*SsqDEpdYxIvj*VKJ76{#r+fSAyAUW;oGaFa-qyLx5#}2jl;=}6G1=vAT=dd5M^tT zKbT15FbF0Boq+9Pu9w;r}CQ9Q@_?afyASIK?qSmd_t#L2om>&6I zO~}in`+mtXyE8#Y)72o};U7yYnlMd9DS!?|snJl6><#2uV*ocRxqyESf07JA>>p@0 z63*n+8M}9~VOX?pL`?Qu2p1h})8lGa;G088=9P0&S|hu=emGdW5C>dJP@D%Pw@^mo z{Xnb1{(BU9{^23%n6aG_&CJS$RdWZacfEc+$0#W?YeKMMs7^S)M~c_V&ty*23@)uV zE6s35vnBin&x0B*SLgzEEC1!Pbb;Tc?pHq{<4)?4j7*I}(3p~HB*#q!|B_WpW^l=I zNWX6rdnkX?d_eVhb(gI_WO`;R;y-Q}q=Db32_{{Ga6_1R`_`uUlrmrR7CG%v1H@up z32T^rw1V2Xv!s0;F-c*cc`1+>ix1|8D-PcZ#lC3(2`?Cs3p_c)Bo*&5O!bLJlLG`c zP4ME$0UiLO@v_w)-3moeS9Fj}{f*%6%muob%BAlM3Fc{4p#4((k%@2B&Vv28A7;&I z%eb6gFH8A$4sB4h2&Yq_NX$EIy-<|*2Ev)PGviDAy zp?3XLNz2FnIf$*A_I9k%G0R7o(828;U7P?75ODd*;w0S-f*^)=9w;woVQOf{GG2Hq z!ir7`D~Nx~;}X7R@5BLQDB@_cyY}-9uqhL%o-q`hi!l|lA{VY+TpsjWEN8Xorwr>D z5?;a8X>;oC~4F&JGrcO{;7Y6UDNO3$r|xDunm-uxXyUZRb{o>GZVy>C1l@1E_}yCmFqJ_ zC@q+u=+VVZ9;0n=VlF=Q*22$hH(34hd(#FvK&0waQ2QYD80W_B zZgQnsOx_wg&oC^{yNh=|*O&8TAWfF4WQ}ewugqBpo}^wElEA0az#!0VXL002vM;5=-u^CxDVh{W_ zp63NnY|UG@@B2*p;g!h4j_$U>Kch0+Y5E4^V2GYPsnmj28p$kRrGR^CqR<)E&0vs3 zcBck{u==b4zREM&i4FClM&kP)Hx6`9h#ZLO%%TsIxc}sq0}ym_?@q%XJf508s=mEb zNGGNEk|L&U!*F6k;11w+nDdDl#;`Sp<{Fnv#*WEh|E0SrA>(yUlD^+CSpq-zseK`Buh&Zq03;P4DpD~-4n=6r29b6;(SZak_5~)k;A_R1H$wd3{ z1ie{?NC^Fva+5=D#JQ=vYuWRj!$=);sqK2@1p+0+ z?+y^(>6>+Qg!LkrXb{HrP~8Xy0(Yk|+tj~T@OmBYEw9vo^R`@Vgvhr&aJa4G1$6xk zzzF&gfHKl!Gh23KF$%xWv#VB|2{}UDeQYf`hrpZ$8xr>8@{74RCaT0Xow-B_O;|3?PcyALI%R)A7mn)vS^W)6L+ruc_X z)n23b0{2Na)3vP;3q!uSLnMchA+8shS7wx#+a=YHIYq$^XkT8;R@SrS2-ewB^r@VL zx`7bHPu)4+0RTuD?%r|r@(7>Z-$$&t68L7}MRa;` z>An(!3~~K-Sy#xDUhJvY7Qk|&YEAB*)0T(VVRUa6R6tKQo75(9v8$r8SOQg7`UZl4 ziSCT=qcLpY;%+ddVyySH4ywJn!Fm=ow0-O?HH>O*mS`6<7X1A5_m9LVEEdjK>;F62 ze!1X-OQQ+z_aP(KV}imnhyNA~dPxVHh!h>8T|SmwHBM zAJRG)6qTt*X!{_@hcH6eQ)Ss*KbdAsX%pGqjRH(#m>?~ zBIdy^J?nyYrb^Q0p+3F_SJiI4e!i*MbJjTh%Q@mo7NwD1v2wN)_v}~RSh<6YMj-H; zW0UWb5}Ug&6#OSOsjgKF_)(j+%p(^N|_siDE<3;Pg7+Y^1#@#$zAS|ga3%$RAT^` z(JXXZp4GX#grH{FKRWXf({zZLxHmQE-IaGKGO!!_dJdkTAA;^xrG&`&m$AgVtj-V` ztE9+I*PzUb$N{z0W~!f(&J^{^-=H*GFR3jFS9E^8&1OIyP6>ilIaGuA(y9Qsrijxz zjm7q_o@}=7>ju9yWe+SPy^W(e+qLX&dGT0wnh9dt!eWPF7p%X0mYZjdZ85tJtcsl7 zwqa&gBEJRr7-iSQs_)NuPAU;;Avq((jWPXJylgXe9>!#y-iAMY8dGg+TYZrR3N43O z<=_CTZ$s`tx?m8H%4kl^LZ*<4AuG!p`!>^*Fihb)Or=_hsNa5U(E=;#v4y|#7@f8b zEe<$U`6ZLWqSv+o6n+o{$odkUiINrXh|>aS41xXeKEE;C>O5S(iW5Mv|aXT3-Q zwF<7P-xFiJF`|Btx@3XDHN>ShC^L8ft@X~aSL&84Y`TBtS#mWC3?Oc8^R;j^)+N4I zDfNF+=>v8=c&gep^q1u~<`e8~c^nKNO(e3s(_ElPpun^I#c8lb{#B$UW1 zl`gF53#y5JWqIZA>wmW7KW^*zc_P;eK({6Gi>5n;_KBobU=vGqtBT0eby zML)7O*ra1cEu=I$K-p-8fisPlsm=GD4LXns`8X6Hs`dQrQW>A^FIyrpkJw=&Bpd5dRoks}|LDh`*RI{Bd-B`yz=-l}$^a(hsEOboDa?xIM`;FG zF~O$+bA)U#n>h1y4kjSts_BoH=3)JGmtH?5QlkQ?I(Ew-eFOkv1vckT_OvXooIfeo zMqA+4QxUx8=)A<_`Y*Rs4qHc%JiZ^Gr#ykn_`|ZLlE5y3jx|M zV+rDIm_AB$H@+KR8VW)M-iuyw%l^QGR^`C5Fd{0cDPdjid2?#+Z8{AVVDaQchSL<- z@ql~&7Mxwq$)CUNii);gUWqJkmgVssvVzDbfaf9fAi+t=1a3;IoO+D)#>ki@IMZTM zQ=aH1=DP=42pfVv7LN9z51rCQH!9pFN#Ft%oF9S9kbsC2@uzo*b#Xxkux{uslSO6w)KMJs01{ILkFad<5W2OgilS_%l; z_s=9M1|?-o-nXJ$vvk6?4RL7HpV6uh2~`9MSg^RIn&Qf?Bp#L*TPK+9EH{^@S6b51 z95f)AgFv#!DKe}zScDkNkt2FRThg>&} zmR?8ae#Zx?ayS%-yw6|xNkLK?stFR%%T0@xM#mye%19;waU+G|{2UT63FQk_F^O!& z$+vLIlQcJKSzXMEml5o39-z?M3y#^+zzh6gqp-|$yeS!5&$%p3vP*qr;GcO)A@5e5wf@Vl#_%D=~7A9uc(;57E=ux`7LLVw4?a<k*BG#~FOdNb9Mbn89b240)6zU5$v|zDRzZBn{ z^me9HLqalb0m!KCGaj%FR72F`NgdJZtT`u|#{LbX7Toga*>Vd@Z+U8KJ-@6}T{Yc$ z3aP`;LkCb0W#1A8wc_|QHfPNW9!9waV#BlV9RxAQk_D0%cOp0q61;w1HY1lzXcY(gt39#vN#q=|~WSGO3l9u(<_t{-m8JtW0AAY(5IJ&@?^ z(aw~f7S*uxU!KA!^0yVYqU9e7jCkQbt;<$eOxMSc01P`^P4-Bc56iDj?SAmu@3;Zi zQ?M<&Yv5l8vleT7G z1R0!Z;8eifW#s~dsI}A4(ksB_kdUQ3skj_82o}j1*CMqOabbXes3B1xgQ6F8p0Gw+ zKj;ngABujyJpS#B#} z?nXw=RX_OTIg!4WJVyM*G@(`c;WX(rO)%VFbU^2A-ELB$4iC>>D3&uD4&Y!8iM!HTVf#~_$7T0>)NL-GOh*HnU_*`D~?Z6V%%27ObnwSvbmO+ zk=ciw9G8i0SYry>@~V#m6Z|!>zF>}!mw(6ha7%X|MB<}AQa4S#v(aEb4uv8FmP1bl z1>h;|rwJays&0og7WW}a$nUap%HIiZn0_b9T$@JJb4|N0Z_-09h-o?W3PhIpUNHJ41Bi@xO)n1b60 z%2b$+>Js@~23^nHxx&*0k+Ew;_;&t)_JZoKRIgRf2)=5(y;OJXc^%wZYALZTH80V! zQ0@2%VOhmth{w1xrcaF)vG0Y{5VypH_7<#%xC0%y(><6CdXe?BVQ7CU*g|0*JZ+h&t*d-r;Ja! zr(5knH1`n`>mhDtJqnn3uekHJk%fdk%;~ix$Uk*$Fhm0I7A1Tax(pL!B_0*cdCV{(mWL#+mVqj6rY_bO;g!|+; z5$U9HFe#@5@k96({qSFI&jRF6$5>d%>neiKnN3?Fmn+?74#|#Ye7HZ^!(`LcUd?|{ zSTm(c-_dK6I^^hcqnjymDIZ#O9^RB*a7mBT{G;ewxVTKEVHiXsg1<)v7YUlEC~pY1 zqX*h%OnVAyop%;p>q!2Mb2&e0gTa)Lx#utF@hsWW@f|vm8&1~bsc~kgcy%)T0mS^g zXog)J6o*J<*vv02B;rriNu;7WsJL&S<2X;0A^3nUH5>!x9hH#{dNSjys8~BvGM~S#e279v`)zx&oB(BBY=9Nt0X{7-DH@ajT)H#i$SYQQBN_F zETUqlPCKavKFquGD)uFpR(;xaYrgNZt^-N7AYQ}E#;x}E6SUFgkJCnqIQ}e(mMWdj zGnTHMSCkrGgiiM?x;22;k3pFeWp#X@iuncoB40@Mvi;>_VWWhXZ+^mtaX1O>i z(`KiTPD#!)SCWZ}9p5;_8)>?R6Q(vDtVGSS=o|k)ebCo7C1L&R~D)OG_5qgX&Q?l_thY{i(z@8~Y$U-hKM zbiT1|E12E8$k7ceH755Zc;;(!FpTm{aZ&)hf(PNLc*7CuFn@kCOW)mbgK@s8|Gw= z#<-|&TAL5W6q;DYG?#TXZqjAuz-6cGUI4rbc<9W8MR%iG6^X+E?B0!R2a zErn0MKjv@2J)fZNhi=822Vt)arI`Z{32kE_W*i^@jomGIAC@|dI`X*O`eOi9%lP-J z0*6npi3Ws)NOSnrPf50L5&cp~gqJCM!JCPku;b;*dep!|^^0EzIc#zF5C&xZ?w->; z@kLLYGEa@$lD<_?{-0LzFZ=;6(St~{xs|^l{Vd5FfT7x$%MSt2d0aVJmkdEefyC%val}lSgwk&_DuqctBtmw!CrZ!mP>O&(2hx zb9N5xN0J?$dVbdG%RhNQC1ePM8?1i5#@)-sZ^%oGZA1JJaAf6^$y5XONF51czJf{x zS;|?2-!Yi+v*V?{nfW%|srFf`Npys#H{tWwwoJ#abl1-Wzpc)LPa*))XGD?zNQyuc z9%}CgRx?0Q-%`6}99%;rzIE+aAm_`wGm`GT6qBd!10sz2iUW-|>C^ryp5{iiTseCA zS?^?pm(=dM1Ee6_C|me&`WOr?HrV5x4_|Apz3_j+COvCW74cMS-hlNrlId8*sG#Lf z^2n=ajiCh-Ep`dC-n{r95KaiL40Hz-mOWk48VXXfhj&(_B-Yu{(6WLq$9VF18{q3N zjuIeT{_vHd1FfO|74;MEN@HgVjI5qJEdAp1ZezSr{ZOtAVvgTsF4-LzF6-0w?aU1eT1jTco{2rQREo993o?xn(;`$HSpl8uMSQ(XwZM>3G*Z-$qe_* zn)S$LOl-ILh#Bh4QdLV-Hq~uqdGm_#x9y;i=ZBQ)uu8wUK4oHZWcf9t=^|}Qobtun zF?0Wnv5&uv;!lJ8)>=o+>i#9P>LTo&bV=cLz2$P;4OPq)jX-@#zWFWllT*#kJrG~( zO4}^N&$f0^n+9uat+-giP+sH#U^fX!*(;>3g%rL1E6VaU28eotvX8ns@PQS3?SR2v zJ3TLOA>#SA==14=I4;oaC;$`i_w3+0S@LkIXoUytn}9On4^3#iC8=RArdU%{6@szdE)4m5dei zh_e&Sv7Qk?vHzgqrsQ|X4{*x|?>ir)4b{FsV;4%7Y_$+ekhA6^tN&ej|JXt!l{H8F z*gz~(NcP*?J;zwR|8?Y=SGb&J@<4AExb?JZsaU(1cBh(I%7LEF-8pUE(8p6F|TLSFr9lh)ald6d+89ourhSWMHK zB-iH7(9rO^D7up@oNNaM%wgjM1`1IN7J3O2g4$30LDH9*D|X@}rj<_dpqkNj=veH; zKb5RPCX2ltyse~F5xgyRd3Tt7U58ossrkAOKEozdh~A*zm3^ld<`}Sb?~4-HK1H%F zsbkveoJGG}&(3c%Mm%}ILP((H#Nn}<9fE5#B?(y3>lT;8US`d=Wly!!c`M1Zcn;Wp zfa!Rc);eHFUn*)8E{{ZnYN^1kE3AegHNLmuQQEZ6U{qGokxcrV?C{=R z;+wL#ePbH%ovLSS@>Fl?;jqfKSb46oGS-0QhJRI!ftu7P57e$e-wX966 z&$;I!HM!|Gg2yF7N2WvXQNzKd`2sTSe}CAt3{q2S<65G|T4jx_6?`LA3zd)?5kKW1 zgO0>-N;ex<@9d8t`D|gAQ*Js0%nb6j$Yr?taXci{RWoL$(2ml2iTR=E4(xe^-fpdr zb)@v+UM63yp!&Wcex()rC-?Qoznja537}vPL~`vyE9W7z)e17jGY8}}M%)!ZS&jB_ zQ_8MjvU*+0$%~8nY&mu^F~@hVBu+GoK}6Fb?k2FLvrBX32O;6(Gc|QCEBy zus(wI_dhBvF7J6u&5HIDpRYIwRtwd(TH&#;mY| z2I4DjY+0A^G8>oi%fD^^&N^IsCe?RU$@Upu!ommbyDvdB^Co>e{c$UqrH==Dg!=mEg8VQl%te?)7Z6}P-Yo3Rt^?Y zYPCpu#L%QdY+Tig(iB8@u4$q_{R8nMuIz_u8X6n6`Uf=!5$M&`BAv|wZl%W(a)VWY zZMb^4D@F$Gx|`W6b4Q>?QBuA#@J7GI#|O%o@5^pTUgs5$FUJ8D$2D=ZuloA86BuAc zINU2}Jv=LD+qTNnh7aD>B_IY<^=2W0iUtDGI>V+UsNcdfrII&{qQd-)e?h}9MxRzE zP9tT6#8|ELzY>PRonUd$<5a~6?_@yD#c|x>I5PD{0xswMSY=uo!c*~ux3kSayp!7K*~%|RirJ+=d4X4eV;@lSgDK=+u3N*yol=P zZNQs-xK1r2k(8CDk<$Nuv#NuUVYCRzQNQvk2stZR=a4j6)#V@G?Mo3SpVdw!+vlDr zFkg{a|F+rvmGu4f+TRSyvRjCW(QzP8_q?e?6{>`rrD0r-> zjTGm$8HA1Op|sw;>q$4zAHNerB6LY5FocuQd70(#I>MwLZuiLXxuA0$ih9#PPe1Hb zc)|99TYU;-0VhYlUnRp;YukO@|J>xRlX_RV3v9XXj6&l)sZ=HfLb9+_;XghkZ6)!4 zr*O3z)IdHi6}8$*^{_MR2q8#HW593&j=rKV1-WFIT~ov96iZAwWY54QDRc#LdS<{l zd1*O&uJrA69NlB_g%CIQ5l5+s7I3^ornPseV75KfzWTj{CJ~&&Y6RlJKHf|rn55!i zeK57^pi$2$_33;vwRYUCbQ8AF9NYu>)_^YL0vAyk?_Z^wS}1u()(J0CV{bh#=i#U5;CRNnIiv}G z;w;eR(}=;okhnti;{92s-vYKKu?#49G>L?@Ka95WRI|Q4E^C@K!s=Ar!R3@WJjb$N zAqvRt1_SC9+(}q;i-WAvNd}kSV2x@;nS-sNu32a-RZy#fORlsACucQ92@stO2U?zP z8tsXCHyWJ{eYp?x`FV*E0uYcN9|T_h!FB2wwK~(|uSGhpmTqiSOCPr{Y*iOn@@%pD zm|9W&=lq|G)&D+DwkghdB|QQhHnuny%owbS2a~2>dWu|o4xY8u(u=H`@}7a*vQZ2R zeI{8y60Z1o0#Q$z8JW_?_NVhqkoIENuCNIc9 z7M|RrXf!=O(fY1xb}S`(`L>7Xk^ShlmQ6}2Ud9v5LwZ=e9QP+VJ$QqtFH|n`6nbq2 z2XFz~sBQ01H(|8ddd}#b2sC{fP78SLWGxdRol2!sOiF@fHdT#MipWr6Ob20|voM%b zuWIv5AV~C6io?D=6kp`+K!k&OeCJHJvk=$1fZV(ljN-9szU@6-CwGOQU42Z_>G_`L zmSek``G-tCl%A*oj(Z1_l3ms7(e}YtGrtXcZrAd3|BhFZ#e#R+>gcTn zDyI(1b=o{`hz_#A+jKM(FiUjQ!xJ~@m}7Iwobd^!va?F`_P(#w*TY=gu$ghr;@Gds76a;i_Sf|U+nEbnJJQ2r4`(eZC{ z4MY2Ux-@tte43$Jxj8wo_DMK)(AzEV=Ken=yo7IXsU_`&t84xw-}kIS#2ZdYnS+@Cp$Fo=WgMaRf$XOUM4@-zVrw=Wg$ zGhW*`aNksUw_?BKWyUa;QL=*k0^el#kyoqt#okAFO#KM5N~yCML99>OrXrzI<203P zK9SFo857jGT0dy!SHUfZ^tJ>HR%9<(tLELol zI=1r7K6DWhuJ|Ih{2^PMXn?dR1nve=i8KzTvJ8;urKj{!^dM}wv;w0{SS}n~b3WC7 zw&Z{@gW)NtigUwkx^tP}Hk!y+H*vv$uG6E?qj2!1g&nxJ{yF9Gq=K)(d!c4xijNA^ zPEG#hbN0<+srrGw-GNliDV_c6@@k3FH$7xhrc<960rvtU%>B6CIdFfII@K|*^aucD z^DjuPAnkI_W-ZSy?}<8q<-??fk-O-pPX7@~r-N)Y-4Dd5DR`E+eqIa8@mo7;ya z2`&`3M1}=vmrMp-ye~B>OANXZ1if<&=L~Qubc~a6ig$_ z8Lf;stjJZTs#Bd3nAK!@0Pv$aHn#&{vUVdonR|hE6>dv!+&JC1 zPxY7yD^PMbV4^wna`?xh;u4BK2F7m|1Np!|-ziplu)IsjbOwiMB5(_A$j)@WMY);p zTF?4VTyjcNp!3MTT5&{PJsG%gmxV&4st7_C+xVxtp8#27i{xt2fS>Ww>rb}l%gt!4 zZ4zW#J>T`Dp32p!&s>45fd2Oonp`IjfORZ+hN-yCxOrkp$4oW{EBk8mF4=xq8BUJ& zMRQ^6`{g2kjk{xu?P_pm56q2|?+0HBrC@DS>EetQlwG@qI5t*0mYBG4qq^KLG~nM* zZfo505T1ZCC2RBQ5hF#d<1Ujt!Je0DTbXUi==aYSK^%SRcZ*txc!eSJs9+W;27eMb zFfZ*ge_x>Dr}Ue&73l(?tm@UR94$?f4}M-6T>FaDsdz-l!u@-(-&C$JkxX?!>{PUG zBGJhb#nQBhwE>hoF2U_g(Td-iIksWMZZ;Mf3{c16%>25=@UH{9ws?P!IYG^Q*3${h zjn@uXDQdBq0VYex*b0GHC$S`K0(`uDfK-0A?my33xe7=M5}aga4%N}j3Pud$%|(;r zq)F|rGfZ1=9Lq7J`}SpB+2gh7X#yP00_u@7on;NdvD?08ye*%G*3TSUp>N_V0p8#U zi$uy?*t6>XIJTsr)a(7AyV{Hb2kX)z)*)1BjO`A6T>IzWH6#HF;QfhfoXTBGu5DwY z{39NZn;6&NMNP+E8UpkclriPs>xvmag<(n?g&&r-c@FGjG`+a3YG*I7r)%iog%HEW zROt@7{T%X17a+Q(YpUfR=~0ysBosHdGn5C zbIfI!&K}LWj>t4y2=_b;Z$AlHKRz5M+grLYwe}2bh(K1To=bFLmBVE&l`^Pd;u9en ziDPvaFbI2QxK7NV${@Tr0&a8a0S1YwZ;JITcgwmxm+^n}Pwxl1a({Vy6E;`EWR(y5 zsU^XX)V<&X%E5TCgI>b5&n2jf7fSG<_f<30u}ZUMG3;0EH|+)pf`2YhKA#Q{0Y>Z1 z4bTCfL6)I6^e1R8dNmCCX69%Ed*)!E)(p2Mlj03~ft2JwxVpOBv$mai$@U_%ecVc+ z=}iOt4a4>mP`0Yi$)sEH?YGUnm7GnoJ313_-t@aEG;f}*ExxQ{I#A|z`dvfVaSiSC z$laG7W?-(R+6u7G#pTv{FL}lbS+`NLIs0!jF+AoxB`E-l$l<;AwYMDp0E_1pu1YA!5^xwb+uidiFmXEDB$IDZqIUzBh)yHx(!@5Et=eOkRkW%>xszkPQ z0;3=~3fL)EF77Fm(8NgqoKxL3cJ$4qfn^;>KCq@~>HHZ5zh=u+@Y82%{&nfCMHV{= zR&Maw>S+ISJ*p^A#OApqa@R|qj>4KyowfC(P0x4SS(Ag=iB?{Co~t2a4VDDIU*N=dsi z1L(o!!$v%G?4-Nr=uEBMYl27w4??qKHX=3;JakN7omG_gTs zW+P@I{?CAqk5RP?Zr)Dj#EfcRSEjF9j z7oj;ZGy8uS|9gU%@jt5x2>hQ7{b%lfHY8zf=VtEmMPX;`W-ey_U%ZTR<_?x_R>Ulv z%wJ4!|04~YSB`$-luZcJ_s}PSA$BtvyXClUDJ zQ#_h~;M)9+y761lsPvwlZe$HRgoL+9Rp5K325N!wKexmXt(A%E<$C>uTva*i-!!w4 z)QCDdEV+z$kz1LtrT1u5rao=RYEa|g{LK8&v2O@nIR0CW|AB~$wUe8p3o$e2f9v@Fpx}%BKbrmz7+C&q)e|#n zenE+t<%^t^SeJ!`L!X$9jaZk1l}VqNg`JC7myMhCYsAe#tjqcpF;2|N`9;RX%u3A8 z`X5qOPGa5v8ga3)d{MBl>+AntVEWG{{zqov|De~6n3;){o0w79!&1}Q>C(v_H%?Y|`y5pnb))}?1>=KAkxW)=>X|623Q z4E`gYgt5J~oi{Pn|CkQ-|2(y}`x5$#=f7b8A36nN`!CD*f7;o9rd7X;)Xmh2m{Gye z#opNNzgN-x?>%xdeHoLq+yA2ND}(9^nl^#p?k)jBfZ!5bg1Zyk3GVJ5+(K}7cMHxX zc(}mD-Q687T)42j`+Zxr`(vy2&sLo}HPch)%$c5krlz~+biZTMT+GqR-s1hGY)Yoy z|1};CE>6Dps*Ri5J0Aagi2s=#jrZBq`pEMi82(R8{-=}wZMN8S{+j{ZJbeEf`~LI6 z{|{K?;N{_Y=i7fFlj9=~4<8rL{|V0XTqJ*jAqY6%;qVhZ1spZXF##M(4jia^~{;bxbBlOB19gg&3|io~?JSe-V2dP1y3uHqd)=aERa-mWAl=Nd3pxwT@)^ z85IpG>Xr61>w`uTH$rBkWPv00qy@N#OUv;L0gj{X4E-&z(l7MW6yuHc@&SL^BTncV z-7KX0#T94zpGEtz$LyCfP{-40x3FY0)Wk`^XFBvTCsH0jr-yWz(E4fS;E1Pt1iXo! zf&Dumhh`f?6f3Ct%%adqw7LFe@3}SCP%ThmdokagBy`XTsY6b1YsKXTif3n_Hr8`N zeGtphCs&LZ6Y4n4&^%rg`{E)vU z=sE~sNo>1bn4q@g!cE6b^EBGpzKl?_6 z#5bgs{2|Yj^VuG8>Hc(e7Mzgki+T^>6>=cL_KOC`Q#T?Bdjab|uM^ zna1zQos>kr>t=JTk;7s#p&};m8K|s3{m`b?a?pafqVF;vCd$GazSSog*upn1BbV@4 za_Q|d^xq$nZtGo%q`pw+b0#Rx?duJqjVErU!C6S7eLYY&4o4*SctB$$ePoR10Z-9c zDOtsMYN|_1DB?W@{(@6B|8TFxoesgfxBIpzz4j>~Ax>A3DUS1t`rRET5r6sz(J!v> zTvz(`B4`U?W~9~vHhs$l^`){S8u-VTDoF=SMh6`j?G>n=eb@|=QQ>kosl^|tJ~ zcU~cLU#mNcf@yMh8FBB0I;n4TRjk5w?VWh(R{%DEDf@FGKMrnG0TOqF*gkT0s*6CA zaGNRnW~AJ5q$Mhb1LDh%AcB6ZqXJNRR&iU2vpG$zvjOwP_r!ndDogq$nBqP!IK?C* z=1pfp*Z8NGGpNI_I09qVDo~Quh~FcQJ^wNYTGX+^-mDnK-*AxwvWsd}@2J5!6k4oO zd`x1HlbWrC|2dZGCd2qw0vAs0@kwc*OV8{jHsT~vg9yqO zzi?Yg))M(jen0i9#BxtIO_*CUy`oN9WM~E`7lpm0X+b#bzjWH-^GM&8Bo2Kjus?_I zr;dANo9nTTS@a57P4W_+dQg(_^F&#q_B|)%eU!|1$yyzeCQ3nC5!ppN_N2&|>2pBK zsG9E!q+5djBMV+OiQqtgz-C9PNxeu9ZQTOf*u#5f@op8!Qb=nsn2#(yWNm~qzX1Z8|rG zxr`bQqdAagS~k{{NrWPto$vxk6N%Ooq&CNQ5y11rWavq|!SoDcvzufxHrU{8&nRSC zh{G-;=|_mk1>1VqPk~=vMWP7H6+5BDH2M8fT@tm|bWb zRr=D&vrQPo>o<$dd8#o=%3Kf`(q6ji0$gya<07SFkJsgkvH#o z^GtD&IJ)&=?@eHn?vTUXdZfg@Qct16sv)zTNGa$sol1umA∨E+hF3OEfY7AH2bc z8QRLyg6%wEh8`<2*}&~=R+HO+r}^|e;jMY*I~kzeyjA**hv$pUKFDyZzntG^+U z9`v=3HcAc8_WL4{MqlO6^6d)78866-ei)aJ33(&lqveO}^d9zOL4x*3{&jkgpF>t- zii@zC4l@L&jiO&CtFhWd1|A&=@7ak8E?5ds3#+gUK0&_XB*K_yILi>(nyruAFLCJI ze}{?{o&}}N#q&#w;wiiq)mPhP2T5cql?zRKW;1OEeXI+RJ13uxvST5dzu%rd`1e)l2B&Jpq3*Ou z0kdMY{T0)!VLfzbJ?w}_jO?~&yKq)cdgmBxfdg)ib$*9hA1Roui+WT4gs2@QcLrzr zl1#uR-=kUMW%zy$iXi4)ROQb=p5(|c-)3l5V;>2XDg#4TnR$svIB@nPI`XK zpLZcz|MX3tf7UlkK zTUbhX1#5Q?tlE5PAkVGr+VpNA_&PU}8P>U$TBOQ`bV_B~{XqLdQQB+$W*%S9E;AwV z1|_!0?BGT|2#jhLTtb%@Ly3ahH~B=2=o=z5x2+Ck-GgG1S{lSWosxMcGTDS)qo$JL zF${U*ozDhMDLanv`3l~c31T472FqT4QiXwpIEK#7qz5e=D>yO-NE4p?l;VJhoYZQY z3c3FLeP?@89TdZ{#xwR)nCyTRB3QxumS&!6cFs=<4}s=394$6u^GMYfctYTQ>PPiD z61Fa;tJ?+8>=?*2LVPL?txhiu1!t`#)@y)$mQ&it)(t2*--2kDq=jpp`qJlSw+D$G z+4cu=oW84)owCeD63ngZNrz9;G9;6pz;}iweZ+}VJuodw2(c-ENr~#jXsUVV!I^S& zLV!0GQW2&tew^1B%P^T4`A3MN4CPALaY1nBR_-c1>jy9zIo1@-$cLy{#v}}@Pn&}AjYNB`brd(&Rg#|ee4|Rs(8n5`{1j{ zC-bl#yc#Xk3M@!inn~#*MBE9xvL)NOdEsk8h&lx)_KXV>mztR^eYeFqrc33 zdFiRVjr5E5#|CY6SV<_x_bVx#(wp7>!~+w|?Gts0vatsi911TA-+pbsWPg~U%e$Iv zOu?vtYX18VYz35A4t}&3+y7{jh_o0_dNR5U z;{DHL&nK|h!8oTI#VnKBKnYD>;vU(ac|SQqdj!V&T!Lq==7YJegfQb+m7@kJm3;*H|ae1#NURN5k|HB zIw-=haKi!G2=j}VYR{vo@kxU6<(YF_%o?sKlxR;*BxC;(gzPz07MF|KE&)bGc7*RD z!ylfB?cV*%e!RnJ!V@eRXo=<69ErTWExacx$ss&#Ufgy48TT5tp+0^YIZV4Gdm3zqxPztUXYQCUMaCmJ&P8YSWcu}PX8s4Qhz_g`qQC9xE=`2$AU@_qkO>&S zKT+DXLo`OuD3n}F`BzJQ&?+6PA-*j^97OYR1W4Ctm|6F;nUXV6YXs)Nv%dW>^(3Wi zv(@;tNv>ub?A_{=@%+}4@laQDds0;t{RW8mA_zySgh3iF?P_zi0 zSKW#ujaQw$ETOP=*so>2nz1xnHy`uyIAnzebzl|S}Re_rRJ%UhXWEc2o3|+m4>dsF z`iZf79Z;72XB%6L1(l6kUBB`>+B#~`9s8QZ+<88O{M$tDfk!w2c!s&P`Db(G zTZ&SWFg2C7qKlU}P8>UK65{k8$qq}V5>r7bJ&6)Os<@HQnf1jJ8=;K~l~BZuTJ=Ya zMWR?JZHpgat&4#aQ8s9sbl4(6GVU*>w9dvU<1agJ;#ir%=e$6C;+^y?&v_Zz#>oWyzHL2mi5=l#{$zxYcrT)z7wPQIG%d z+S(7ky&Pb+w#|bABSwlJcuK5(QJ&Nd_k6*gG>%YdtRHb3=LWw^v^#B&>$zOq8+is< z^u5y8Jco9w&%^0AD+=7|M0Vq5J;k&h>|JWiJ2Z_};m$03kOf26{J6NV2Nl=4HJn?3 zXUhlhlIcYeqgnh=^Kg~US?h=n*5ESgkI+Ih2Mm1QbH=*seq)BbHeQD7Gc4b899&tX zMze{D0h{MAjQrJRu$S$olYLkKfM?j?nW$bEaJ0F8D; zoi#{-VTv3}^us5q;q19VK6qY7<1`?TIZwF!AnBu$HPbA+)}^$P2VS5jkjE)n{A^X2 zezlFHK=dF=B~*~XxW=P6H#Vu8K6Mp95#@%oQdFPh2)iP5F8IvYGxe%`;`!{@614uU zs)NWpyUV(LIg-$OMQ}Ocyx62f<}MYXNR;yek@z;4rt%1_=1;|@*?J4pN<-3Uwo5zM z;X&9Ms8725X_$T}k3AvaDBZSli9aHyRx%|ljHc%NsQz=|c*3;$Eyv?!l<$*pJm*Lu z1sT@NRGEPZAhFkz_#^@7O?nq^>a}#dR%i>Wfj|<5 z6biz>5(B0JdH)Liz!*+sb)&|2(P^6&N^wKur;7U~FeJo?=heO9~?#dyW#F{MCazcG0v|wQs{Q^o8KB~NW0?+!6}Qesb{-NYbs}qlve~7k-;9WE zTMz^-+-{!xJtTtGGXc~|f4qk>C z0bn~Bsl12k=J7Z768N;G4*M6B>=ZjNa{+wcE;f zv0gLt1szKgX&}0Xh@k*+8wH7Rwfhi#3@w(@uRhz7e`r+h^*noaJ8Q1#L$T*y>_tjRA#z8_ z)Id@842*L8gpkg2WSZG!{<#vNrrM$QgY3swsgm8!zuk36?5zdC40B{p(>s>gx8y2~ zdoj#kqeBiTH60)RB#sHIa3GpZv^YxV|3Pu+J(9_n5ZWvhe&roThq8lF8btdH0@|J! zPtF97z>h*kbu&i9mqS^sUNVKQ2L3QMq;eEBN$hL|b;R2LIBK5qdY1h`!o($^v<;i^ zEFaGM+8VGBD(}JgKQh$!52KnE!(G-QI7S>EEJqwJ)Tc1X)gCx4-WDGFp}(G5{b2bP zfkI>l$0j)hM}ea_J4P@EI-m|?8ZGPu4mLdy}B$Yy1OUl@2ObkzFI0 zNe@;ps&}HUio58Kl6InCen{j+*!@}b4aA*&((Pg{>-cqa8UcK?GpTX#^pWa-tk0VZ z3zTw^_rt-R4Te{b?EKRbO3U3@q|U89aC)@|C+<(6E5KsHZIR)b`1I$q(h@kd)E`j_ zVu%>Avr_H+278b?_8P;L4-vd|0KOY=(9u15&jRN&FJJ#>i~Rai(wmr&mD;zE^p1qi zTgIUAcF}EZ$Oxb4H!72Q*y_I>4P7aQ?07$!#{Po0#HYjzv#%o$_Xj(O|5o0zTlHf- z@;Z~jP7+yyd@|oqUdNv{M8jyYx!(fjjI=YCR&57`+WvOGIPDU{j0IA~&8xFt8Y3N4 zat){%J)mNvi}xY4A7HJ+-t=*Aza>t7@LPc0GcagreH+m8B0Qt&Z$vnI445pN5Y6^{ zZ1J?u>oV^7&;80ClF)1e0+k8d^F91}w%^!AzibR&^e%FI33dtH2>D~PncuG$8yH9t zKH40fUYMJ&aGI;;pMc?Zb$`&~8o)X?*7soQc?9JNIu@2t}RkPI8_ z;BMHMjx|HrAr%smK>h&LNEUkbpV_E(2ny ziB-HOM^Lb-4%|b2CwqRKChYiAr;KxSJ4RcbSBqU zP?-4Y9l>&h#Y=4{`KkBkO+_FU_9kO$AB)Z;RBlz?h)Q_*4YqbZv*Jf%x>@`A=3tIN zPV+2ffjfsbZ$9k&U5A=^{#1PtrT1n84PXx}KMbu<_^Zjf0IiwFza)=^P{f0snOa>2 zO_ZDCvZBCKmx3*A!1LsT0fxcf&&$}_cSsW+K3WPGEf#NK6-@Md)a2e6U7Ka^SIK^~kj{fl4^Z9tE`4h;y({s1elxwFp1_ix# z0v>S!9%wqh<%Hb(;q1SD#2^Snu;d)ZRZ+)1*+9J|EwMqqkt6~k`NC~3fq6g#lwMD%B4M*~; zltH8|tx)Sfw?9RWc^L+qb@{vVSVCh@_89Y+CaiqRyV{RpZ#dPx1TV4x_)A&WFh0Olh|o%kzpoZTqS2SM__KpvBwG?vpG6~OW8jUc>2HSW2+|_w~~eaBW8|Y?r3bdZjgXVg*xEue~Ti*@8Me z$JYP^??`%6qb>Ep7$|gh60^e1*J@V!RVB1n^3IFp8~qfzc|Q6nwns4k0zc|*RqKQH zj$+v3MW4JhRqOOChWTcpYrbDmz?%2828#3$PIs@tN zm?p{Mttb{r9Rz!6q+q6QzC^h(feNs?KI{_3{fkHaDg5&Rf-uGdB7h28#NKl@I-PoP z+|;t%-vUF8zCm-0ftQHS{CR`Ww){F`qA2064NHE=iPtYr7t14x4w(_pxZ?Z#sYvA_ z)pMd}Kf5w$=<;UZqUjC^pq%E7@9wX%kR9dwZvDY(!8K+mhjBp-c@RvyLuQ^*&V>NF zAs=g{!}xkYyACpoYmL0&P`06dAj<8SlfK{x{05Duo14eWmx;%dU6g8Kb7Fd88}>V8 z=b;GUAwP1f2yab{ad8O072I3=a7Fe(80)DC>FFW!CE|qXI=(#QDPakVz)#UbvB+c( zjOvo7ned!S<%Vx?adewr36q)%}G5y(G=x~nYDv5AN> zy0~alvBrh>yyVB&a$!FiD|?FJda=vq5uf3;L~=kXIMCh~AU>(oZouUcR+yI%RO2NfBRk}W7e1lDjFP?XR)5vpD&tYXE-p$-pqS_(&ZHu~XbuS69weNawJka-{Ewq|XZBD2)y&yWw-{_ z!u}Wt<%79=^E8C3{f&gGy)B_MEdf#bWp6AkGTuhppYs==Gw0pN5|@e*b}~=%w1w#N z)I_Sir9rpb%X?f^hbde9L$_veWDb~pek)}6<9rKhy(rV_wB`bi2?mp)h)8N+pa3 z%6j&~aSt9irO$;u9*Em{47$xMNgDn{OQ|muvDd5t5ndL^7#@6Ajw5}nH;7QY1Fnfd z1BLPIl4lI(H}t1#vX$K4xnYB79z%bLj4&^m?rg%1*2}B9q3LfOn6$lyswY&j&$NLu z(ahPXha=p>x{A*^MVr3y?60MafJ8xsIl1fFY|l7FJ6wbqr^lc%Ee!E_Hh0F!>E8%&y^#?8W@av8ykeKe7B*2BP?RFdrjx zj;wezyovJjOz4Zg)7iJ&=giQ!$mwzqsU?I8v>m@mSC5Ev(AdGKTUQ=egTJzF&VW)% z;FD8gOR|*#coaZ41Go^}l=H9C%56OVG>IA8+~gY1{JV_y1>zgTWlloC^QdJRXZ_X# zQjHbCIA$c=grH32%kJede0vriYELWM3i$VjlL6UnP4N_vVydZLR!u)j8+UnbZpk)= z$H$>AP3BX`6~ii-n_o~r{Nnk^!{ee%@fLNQ-gHraNiZI3`;}&*ME60NOEVi5F|$w; z;pQ)^Gnr1=StyI_VYn}k#VOveGK0J6>LbrZ!j|RwsoDd0z3Lst(9QLaNO5qpeXV7D zf_?VW`m=}u7%V(#<}WR&b@R_t1aPz`=*o6Ec4KV|~n zN&sAFs(bN|YeT^nctveTjg(0(-@2JvPRz!QNUN!}Q2_ZDUWi6VWnu64Dfl?U2;#1a zuQ>PSW0scyW&or8;W?JWKWuaEoBB{Agv1b6><{t;J|ET9&}YPmrZf!6U{}JD zJ`(MPaqnwC0<{*qj%JTooMFacj!jTAkRf58q)3k7eM6>Af^Qa;3{T6~n)=xH*{Ix! zEN>arz;nKEW+$U;Tq85$jlaCiEioWz(ACW3$!(~FUF>v@oLh*kl$RJFC;S-8uX}3Hub-p_0B9y`DDBBVBag+v!ntf*`H9~BRFT2Bh<1lylW!?#iU$u z>df$X?+ycEYH6D&c_+AbjrA^#fM&8=Q826)nVYtttZ=^UZYqW~W>7}gGDR>7yOp~+ ztE1q&u#}M`!~G!b%#`jEP;FawgxRRNCDE2>Rn(ej7CEE(FIod2O5n?xVBh)5>ci}c z{sZ;7!`+k90F>of?BD8~!7^`1ZGu9@p=i8y(Cer4x5E6`SDze~{}6oc^8dy(dA-sq zK3TB?zIDFB#-(cBXsc~fi75&PCKA&8o&J_c@bzD%-ty1DWQBA=G^tmL>q}w2v19gOZ z;*i6;gF;BgKt%y18a9Z^Su5a8_ipw;BI**Wp{(E)c2|ri8;VmWx+zh?p^#K}XY;6! z{w9AvAnWvD@aw-YRMXy&BPR}Ymlsqh*%2UGq%eW^hlC^)6f7?5n|(uyfkJ zpYWJ7T)WYX`1CC(xVOH8|1Y$66S-Tzj{yc+kv_7)-m{bS>6f(ZprCV#bVez6zc1{i zr{CZcUXnTD!K#(|I5zXOml~T4*|7zW)3>Z(ax3#VN7fbqVx(eA;&0I2<}dkX?qLA2 zL;v~QY;hgj$#%L#Gy5Xd{vh*E5XLYX+se@EsmXBM09EssI55~OE@mHou#bq`ru}_In|j=Kcm>nTBBCORgG4)|2B*r{5nMYG?n!>MxY zH=`Go3l~ExuS92o!>v&3)<19IW3ez>s($*L9a_`&#pwH-31;_<1x!lQY=zWtk9J=k zU<=&_17R{sZxnOB_p$j{inp_A_~D#B8gZ&bGBz&(iHm2vV#mlHy@JZdXk_;NV!o7X zYUv)x_^|a0$2MM?kD8ptZ+WsJ`IU8+GbDq_N_0yXd)AnGl8kJ=AG-reW*FBH!>Ut@ zFjsUjW&~QUTVlM|FR}B9$Kp2PoAU<#GoW8Y5lyi)OVncj8*?j8p zk4In=?s2q&0b)D2-J`0Ecy>_ehc6cjsXkn*xuPFmWlwfyDw=oMSLPSD{-o}DItJ%6 zH=v(|3X^b~6WE1_Pk3#E+08|U=9V=`j)RI(vB>vpUXx(l9ILSv!=CXyKo(UK`TZAZ z8P#ojhbe)@_~CIrZ06MK3obvIR8!o@Us_JL*Stb2(b4ANE!{+LO|9R;j<0|2&j;F9v6jcvju zUTPb8W?0{}Ix>-)A6IvG)nvBHpbW2RzXEz1gY#Uk@z!mY5|prv|ocuL(IX(l+QU*Q@GOi|~3hAj)U}3hV1D-_43jxyMcrZ>m0@ z=}UW~xpaDJS{ylt|I!q77!n3E?XiGJN4C8Mi7@r0KDWc1B1x zYeLfoC6taDmU;(GZ+tYder9YJtqPTBqJo(LB;)V*!5|b zdmQpAy3K+Zl)iwFbAEGM>@3ZEF{gq1PKuD4n*Kf9LbFwVtlqZuZkEt{ukyRY?;Er# z&fnO&*H@in*59?{t=0YaUBq{i6a4izEs9)SN`6w(o8l+xq${;waNx~E;O{));!5f* zoP$c`8Z>K3wZ|lE<-J+@+(I$CM*ZdiTE#P8np&lr5xH>vhwdPOkN?&Egvb4&I_DY|&OKllr zPpqYvnyRTrke4z-xrNO(W^xdvP9lj=je4mswV(XGqN*m;KdJ69bnM1oxgd(kM&~$e zZd#t(FC97_drE@$nRIw4=wlf#zBnWLXoYn(rfb=8mOIMw9k z3cuH4ZhNBKByi&A)rL4FT3zo=;sYMlg;yBxN)aL-#b4ydfWzUc_~|V%xD&txw)vue zyBJ<-B0npQgc^t$17Zj1B;e2W@pDzv`a}WACDy5*nM%h%Om(V!bEVOjZo?&wPDuq? z*9aQ7J-9k->I!tKLkr#l9!W$e!^qq8G0mfH|78DWqw!Kq%hIdGukRP$p>#*}GRVJA7A=UTD6)ceJlAf;V{3x$CV63n!V*GfRC4oH4Ru zv32eM9J>0K8mnJN$>aF^j`_87jksM%Q3<85J6$NjGnx=@ag+ z!O?jqHg3ARn7~}S@U_Ru$7p%uhXGRnc8`0V`+Rt{Gk%)4DGmolS6?OwS8X7taa#rV`{t)#f-}g}E7IAk$jo};>yHHM^J)e#M#b@1O}tgC8i6y96Y{y(UNxBW<%>?0R_gaui>U0hN#^8v{+5`S z-@XM){K`z<*BGj6n&i}xo*Z|+JC_=K9;C7<#wk6+#b9#O8qVB9mmH&~-=5jK@!tC* zmn>6I<)(iUW0_uQjXN<{iL2exDZA4cmlFI@J2mJt&7i0$<|uxBN$L(W)9#?cs>Rrc z3kp4gVEj^Fi&E6!wZEmWG%Sx^B)`9Oi!c_E{K=1?XORZd;If#z?znzem4A!2e&P3r zG5Fo-1NR)wL-TFOCD<@`=ii5`DV1Z(abr^Tfc;+lP!eHJI zo0hM78oV)FD-09tdfHyG3W4Mct9>FSODcUFjI~!{Hr6D@OIA%uO6zfXnr3&wo{^qm zp5dM$o)Mm*oZz>Rw}Gbgv40Gr$&&pn2e z5BH%`IgH9v7lsu!`)~FZ@s-339*#NvnwrFt_hUljrS~9lBK9>hjtVBU?PMvV9SW(R zZzTC;TxGucEzpvG(lxFgI{1f5H_(KC>_!;qb#{vkY&(0z04_2cH~Rm(K>!{y=QsN6 zQJi8u{dAyeCa+7df#cVtz(aX*@=zq)qfNuoeI2-g_4CPhxO|T#c!LRCWp--p{&#~2 zJY}wLe97Mbo~F%*gQRO@=@Y##)q9S1cI%UNsFP>m6R{5ioMl#N6#91q58Nd`G-pn1 z+NEX2Y8D#2`3MY5L(;jh>PWPp0`@S^Gy7HRdj@MZu4$|{(e62>b?H1boLP1>cUt(3 zvZm#dUtp%K>b?%Sk^yU(+nlembv+yGtvaGD$bj+W_ZZBJZdbL;i;V`rrtp1I%(l#Z z+cYI+GTl0}5IMco|r#Bh&H)ZYboE$0tiq+9-tofn) zw<*Vh1Q^b&pqtjfY}pZPfddpzLtwtuJ!s4Uy&D|b1gg09gwpt#51Ymb}5kpkAg}XCG&c98?GLkq4yTS@bBmdrm7KYpg&w%CQ{*OgzS^QFP!GZf8wRHaWJ~EGrIA^ zCv4v%;p{6W*eOk0*T|HA^oA3tKz<>W06|DQ^6txJO^%G8)-^(a%WTc3N-3rO1Ky;^hUCm`Q0Ogefxmp_gIs z>RF_n;X-w5tG&E0c?;#ryN};x-h{0J4jkO|*U$uO3FF;u)~D=@)K2k&wub14&=#Ei z7qfw4Z7&PQOLz&j?!#gz%NA(^i2(*rNc`59Hxd`JzEl(8cDTFiMY}sZcg&$<`a&)S zWqsXs&F%!Is_dw$)?kZ3kBkbvTb)U(N|Q{WDcgy3!nWRz)kEn|BbKR9jZc`L<6blqn6ibvU>B6(c|CTQ1K<3Glk7{!XYaCOT zeK=PvkBI&lz2YvfME>w1@NckG!Qj7s3~63}1-Ce^7`)+y&?(_oRq=U4p(|e|k4xuG zi^kZt$92bDVSzMh;miTLH+)o(hP|e}hC9pSp~ST~x}4I=Uq~1OQ`K&}j(+1)938XA zFzo0%Ee4~Up$Y+f<@Urt)ii46FkQq(^dF3tBz-garBS6+3e*a)3L*+L3UKryd2|VM zX><{#bPC)G_zIsC&;rMi(+)a#zuAdl@S!LNZ>shILXG=CTYP+of#Q{~$bm4%!JxlZ zTN+m=kHqBC$CU7FVd;IbTL@P|-k3sYd9X-*vnHb6Fm!>U?O10Yz@cQ4$EU41BS77> z#_<}=0ae-Tznr(v3L->iwL* z>0;@Un>$$)$kNc$7MN>wW^`wC)OFR{?SJe3)?p@09x|EMKr|O5r^v!RAuJm+x=F5(5 z?+%;>AyU`vn{}Y5&V`OvOUqvWx`M)Z>9&H$;_o6fdBV%yf=^p;EY51v{lAmYL=C;| z3vqg#_xc&ph?v*9uax-sob=nBwnM^)1D~VUKBINrGaIyCRfYTV)t$DeqTx)UpnV8M zBf_6x$^`fsMf2Cs3iTW|`m7ZCWNkR+@wgj32~qT3 z#vY_;p5^It{9{;q*fP`jOMjePFw!M+(WfeoGqYv>;;;Mha^XT} z&Lxu`w=CRQ!ra{Q1OFvInZK#z2YB>1PUe7o#3iK`=O;Jke7NeZ-FqB515OOB~LE-RB_S@Rb@b%qZrNmI4;D+^0_K$c zV5mtW2OhsLak9Ef>^+S7(bQtwu){HB)z}tk|ExuwT@BxlfC`?eX2_We_TT-&pk9@@ zK;ek^sfhd}GKk4>i86hG5-9XIZ(=Tc^>eG^ue5AK16g6m-^_C~iO`);*Jld^l4V^n zeldyAI1Dj8M^($n{JU7*`124wM-$uX{!7C@3LuZ|e(>ubfa9~>59{kJ zX+4I)D~aW^*{mS~(nQ-GCvLqG8|~@QxE-jnpSMfu<`l>Gm6fU#oE@)=hUxDKt=}~{ zeHD+5l)9Si>&w6tx&*8X3#HJR`%}ix_3d9QC2d+8&1DpgbY14Zm`+ZlTIy$@7>#ib zgN*rtUPI%aNFEV}L6N}F@JD*70jHyWgq^X_CwMjzt4AD>12kO*;R$0tQ|g^Q1U^vu zWIvCQFTV!)n|{#b;HdMLn8I;2Dt=l2ze9&^!2b z6|8Smk~SiWiBrXQ#ADYeDQ6lpO6}ffRuBIG`DGvO(1jI1)3H6Zj9yzD!)jP}{8LBCnJ7WP!s^WzJzm`i`*TPpP0vXDVL;B*44Cm zyr>f|#eGn-*^TSvcO4(sW6AIKjCVU8V!lvJUdn9A?1i_xs_qZDReh2aN}LZ5kTa@I z+s>LP$Jww9`?nz%9`U1P7APTfTb(R)fHv|5I^Cf5_i<#s4#FNY?oh`W9Ha zc?2)t5MkS6--=N;_gkNQYk^y75#2SPGuJgWBde5us_e<;HA?|)F0{pj1%80QDvSx% zL%UzO3wLSsYtZqGq+L~baZlWop0%1D_sRq@i&pF`Zi{5SU6P0PjtiZf!h-r->jCGF zABIbOk5N}QtMYc`hQXU8dSz({Zhp1N3%aLO9+3*~4#vKX4~r{u6UBwx(UYZk3+WJG zlqh-a*al$5R^LmVYsK`<_OQdLGGMUXn5?oVjvVDP8J$O7nu1`>HTP;&L(l^5IaN*I zL)!t$vwm$)K%Buara9?G*ucRzz2QciwUq|QBKKZ0#lr+Dby`T`-qmY{Gk{tf2cl8snd#Lu1Q4b z<{@cn#cJTa=5s6C^`NICp7BXY$4h`Bp=408$4$>RJ%K{-Zb8sXUP&H(p;W(|)zFWO zLU8azx_zXyjrA<+T5JNlxPV93`1h2Xq@My%Hag1gX4vUd8WsXjGj1x*8 zv45y|`a50I^>6T?wgJnG-f&5)vzWP_xLtlzsLG2qLO!|0LB7A_Ta%y7<&Tly1u*M3 z;MFwBRpyQWpwW;RwV0N(yQHQp=5@G3lOFWS()<~f~D=-Y-p^rH1`5uOkm<%6( zmE&uzgnhw-jjG~E%N%B-*uOf}|3TYb0JZh~i=u~8+=>+Uwm|UUt_9j)B@~w+#jQZG z;!=uhu;LQj-6`%+q_`D#_aHC*{m;4QygTQ-d*7Y+W_B_wOTT;XHESl5ozF__@`HSp zJamYf^PDzu%(tL*8!j+?I4BR7?G>4dajfMX{cZIWtPH;d!kO74z8=hAJIZv0V;tsV zup9drGL`1VO~1cy4|+fesPRh^HodZJg;>W>dNHf=Bruh!OgRyKXG~zCpbv2f`I?!W zWYJ4^>=b|!^AgA|T`L7-;zqxik6Jwa4x7nu^b1h5iiv+!wf0U9&3w4QfvA@nGeF2> z@m9V!t=yDa=$9Dw+)}GPd}lHGsX8QP^Nm5l)YNF&@31#m1t#0d$#;b_MfHHNVSwI` zZvw}&Sqhpas%~=ob)}t6WfJ)-s5$eOI#tL1sz#Px^P7q^vj`c6?dKmWZ9#9lvE&(O z{Vl9zMo6ClzWIe?C>$HlSy(0jemShL>ti>*dfPLgud`i)XP-RFEy%*hz#+s4sIx4N zuQ&%94PQ+LOcs%&You@oH2B5F(gf&al>#N@X{KcRxc6v|k7-^x6E<8=M9{AEwTCRz^OV!(CRw%Z7iTnvJ9@~I z>1woK_ppE$PGx}$#g9yEY%T&86fCWXGR&_YaZf%f>s`*HYS%`~w6AB*2b<|PVMMIT zwI+8-RG2nHr(A%@M(@+q<|7XY$tF33VET%NTE>YR!1yy9TzFJyt){a>V9L` z5=pot$*PW7W5-e@n(!?8iI}o!Mf|J1FF*6g4RGdQ?V|5u9g2=T<8}E^HY0Zc_gic# zox~9zhE>`P>jxVfvlg1!DlbP6Ji$*Xt~tLh<;&L~TWX-$ZDx0q&4d;F-XM>ThmnCZ zA@jG_oEwQdP;FBdsVL)Sg{W_r$Wi7;-C+iaq3o5W7#58=#O{R78&6f_E&KRec}~ji z)#{VOhpBXp{g0$XG{s?PMWY>Vb1&yuII`5SMVC)1htS_V@2*L#&3li>3R^b_ivFP6WHtUW(`@SM>o zZF>FOQba!s8BboaV5otCS+xHTjupih$9KhcA@uYiOFFej>BNMwQ)!Q+8&9vJ{ws<{ z=s#SQ|3H^MsK3{gQTumv>E9We|Ku%k1ONIl{|DX@H`m{W|CxUI598&Zvi}X^g;!AM z|4zE-Lr2Qyxn9U!i+)S24=e8G4+#ilkU|QP_4#N=OZPfRj^#aZr+ zTeB@n^VpAlOO?lF@%KqVTN~!iyLT>U*MER~25Aqq`=s*)b=F(&&K4H;9AciI?z(3@ z9L_?}Y7Lkqy5_vn)AwvA@ywrO->l?MtLXcv+qV~~txlRyJ>8@no5v@>tRCLv-s(iH z?4@Xi6f8&C3Lb53dqm%d-MB>>kQ*tnj{LfVYYBK4!HXd!lP7@RcQ3>@JFgFYwzd5Z zJT1LvCRz3NU!>{I3qfU0OI~0-%c8FNh9{j&Gzhl9M?9F3_+Bh+ zLZK`R<+Ybpnl#D0inxXY9ltg@Jl#hjd%9 z$5}~gE&Kkd9N+qPKi6dQe9w8ACPZahxcd2H&pRsh#f&ahS?BLLq1qx;>Xqq&RpdfS zs05bl{X%R6SHQb~g zKb!TX-Rj9d(W9TTX$y<2PJ_%9hQyL^vYTR>^?S`{G(JonnkKJJw@kAfwB0SvZ2^^x z^fyuIJ?`dzm0f2XM>~+Ie`syD1BDIgyr@t2Si6hy#Le-nH{|HeykBkJ%Yas>H!_2~ro5S974_2~rv zcJWu45<)cpr!o+sEg{+q{5wV^fam~Wk0GjY^U?8gBie8aBH$^+r@tu#QpGFux9&e& z6&E6p2LVj!@(Lg@D;{1%>YsXlT`7cgh3JU~;U?*F^Zb+gXGBCWJp70t{#E*yx)Kl) zr2AJZ!GA_Y^v)xM$p2T~KjZKs&W`tQoIFB6L<;yf#e?XH_a7YVZ)Ci@|C~IKP5}5f zpYQKjJUoa5B7RuNxK;lFbs-k2{~qcBB1p0S8tUTWMnGMNVjwp!7h>eUyx9K|>f+}4 z*R=bKWc>^3`k&Y4za{@qM%UlF@qcJ^@gdIoe`R#>{--PVH`f1+^_yFW2XXKIhtVY{ zzzh7JjIM)LbWe5Jnfg|m6-RKQTEPBirbYG-n0%Jc&zsK+k{1N?2rW{OMDPMua zQozj2^2~}*(pbJ{8T)G4j*aR_d%lfe zx;Q1a^I;1iTEvShBT2y8*E>ff`1A*qnJ-gK+r<*y2=A0K;TLC4`6HjEO}d{!=qWt= zYHlaDQ?Ij@11##on3-$8?5^Fwx7(K@EUZOiZeN_X2u;Wz8kn-DQ!Q?jhRSi>yPu?} zbAGJ=WE9RmD>3rhA-}qA~t{U!NxE?U}MtU+1%wkrcnkWEMXj_JjVI zqZ=oSw+YHz;!$kh|9;rQk%iYIxqntU#5}Caw)H2lcbvwAE}nO=-tCHA-(G8DYe(J! zzrV_~P6?2N!%^{oczk}6h9|mLpj$BH!Z-Q-=?^In-YyEPIf^Q#o#&1n? zeLwFH6qeQ>aqGMi|HXvG@hgA!&eO_HFM-CJ zc9z0fUQ z;+te>wlf*|LJ>xautURy%5Y()3qFiyH1`2xHfOcGblzFR>Z^K4R1&taCx>Tn3 z`j=$%8>Q14^pmGzdpu{n?c#)e*lP=gUqWlQEyB9*s2$7F5u0q(EIcpPXdLl){mvR2 zj{N61>-ph})iZh!e_QTzlYu$ECgsmtnO^Nq2#P1=XMA1Da~$-OXEwTWaXzzs)$5 zxShn>i-lswzRhC-`(96vn3Cxpx?49_M&%8-W1GUKDcHAiRG2@Gh}^+=3+*_xIkxe= zL^p$Pe_9{#tEzeG31IJn#oIAh46Plw$5ljf#O!`9&Jg^ulcz+T=OIH0`a_;i+nckw z@k@A;v9$V3EY`#gZ%bD6sJ_2)dY91p877PhImXL~KTw=~Fw$%v8 zGjnC(@=!w_q&8cYO&P}h*gMWax-vm~+1>8!I+DA;Z}H8=eq1l|CcSId?o@1hfvraX zM87oT!samiQzSiyDsDlOzfLS^$hKA3W1nY&y01fb!tTpn{(<1S>up+NT9FMWR1F;9 zU=(*hZRQksi^`VU6GylT`8y2We~#Olmym(l^w|8Iv{-WCw|(zu1S5 z;{c<~s5^d1UCAeX_x3oFttWNPWb1eIDP5j;Rai#beCy|d*Hn=g@J_~l;Mw@qnUUJ2 ziE^CNsE6zn<(dj9rzfIW!i=D!Sm-@oO~Xo_Ek)&g%$h@k&Yj<$R*s z_YmJL(1erAVhM*?U5^`o{_y@41wVxME`a^gth*+Pi)nF)e)qH z1(RPjr~W?fz4wgDgo(449iBdphDDfaUJtKwb8xTk8y&{p--adXqy%FZKF<&{sP|dq2ZiO6pN}W(cVpaORRnwxJ6+*>Rj6BWU*1TbD!HUYTIT^T(oQ7Z-&Cb z=xQA{AF$*w(K79()oQ$vdpl&w@UK5qiKE;K`U;atTUt;G-O2D`-43AccYNeRy?8b$ zn{F=!Z$v$OX{0;^*{>dj^!1*7e*`8ykn+EMvuWX~CUGbRnmCfAybbO(F*qvmdiE4$ znD43N9_>EQ%9|kewkPu>qx*LqSQ!3I*fOUeivRhcBeSfrm^!mZTLu{IO%DmLv{-2>BYn$l+ymi8$`Q{O9zTznOp<@_AX4E|Zfy;2x`bWQ z6+Gi3ECK9a2)APzoGZvb1YV|(h^)FFMcuwh9s}l2^G*|-TmmrLZS#R|-_?q{l}0+( z4R1}P+w*b&ueWlJCRGk2wD1E0>O6a|Jo3a()UN(e?2|PR*`U<>v;G;o=D7dKSpM+s z`L78DWu7)Ml&f@Ka_v6cI=xO<&8t${2GL@dYceGs-444oLBn;M?xaEOZ}(khYu3Vc zPAAUA*FP3LmLiH66p|bK<^YwFZ<-`yFD-^9wf2YOC_r7@8E>F zm0JHz9M9=k3&B~N*l-!jNBkDgA$x^w(&L@$g<6oMH=9&TW%9$+Jx8Lq& z>GLkGsh~k68{U^C#yGFH)TmEcqf~dCxEmJA!6v-{^5b&IdlF-mQxA3zMm2F{Q^@%Mpp+sCndS0{>&aKiMp^yLW7M!(1;(CGz7CC& zJ~qdcZOkVlGL@l`*CiwAGAZo~Ungz+8?&Ds@{{f-e45?UiW7d|;;-xB2``T1?S7(i z`0Wjx&XEgh{Rv7Y0IVl>yU&K(fB2Y|(og>8hT6QN-W%w`KXQr8FJzj4~t&&e2k)^|JMr zI-WTvAl1!nV=hSolX_=TLMKNFDWEE8L~dyWY}TLUxOU1@@lTwPj;oQ{f=WeD+@K8m zR}b#`;&w~H&ao-&DxP7eZpZmIBq+fAC0q{+iF-5E(AFpO9goA-#Yj*4>AO*&(*9T%`?3%+^tIhhFU)Xe5|WPr6+ygc1mFc@goq*G_;37zmYWTOQ}3;#P_6=|D+}G zTJan8XK3GedNqmVm}>C&OA|@WrjIovWfiTEw?d8VVmR(~wvj<_*dp35j{K2c0mrDx z%9v1U%9A5N(#$PId?KmsO9!|`^0YlwWt3G`nfN#A$`2*ZBxe-|OeM$jhW8CLwmADr zmDA$2*IzLq*Zg($i-u#OnujUHXA6qxe-_K6=1gpR-4B_@dhfj&ThT`VYu47^T*aSP z;VBAJozUopXNnx|cP6VVs%U$1Ae=+I>4A^)Hnp!%WUsRR3gPMGj4OMrw_^AA zTw6#6K8oU!>??SiT{fMJeHW|yH7hlFf%PM6yVF}wVN=UB$U4oa+SA(oMm#--N8dy* zz`SB;7?+pSYtS^~awANv1^dsIxv=_#c$cthdWRk^+~w8Cw1Ar5`J4G87D)*z`Gv}$ zxplYXIuY|siB5xV4>Zgadog|2`MIc>Lp|JipHtv+p7Qx4ICqzKl{P zm>5=y2QIGp%2$Jq=B-x-*aX)FwJ4j+T^{dRuy0?Qf2Qn7pVacA9yDC2#vSVlOdH4$ zQz_*_%iWQk7Wk@C;(VKY@U_7j$XMc+_KVaKLO(hHe!=wOn0fWjFWReB%433utfa^y zF^!Z)1M~1yY#Vp>vk8*d0p7kpe>L&Q){-`-+993l$-6I{dGR}oV~kdhVPMvvmENSM zbnb_Ln5bC1n#-7Jgg`!z6go*DrwN}Ez4fzzr=(jCz1g!FgL|r^?iM<=CV!gWcFSga z*98fz+&7qlzb@fS4}5D74u7%b(a6a@|COURiv2{>1x`nK>{J_cb+1Et%){p#=|ZwC zWbPCc(B`pJ=)_aGJ)_%xI(5Ue^~|uTQX|nkQb|^YIZ{R?+a_#{ zUSElScMh86PT4g^rd?>S!?S)UeZtZZGVM8vY>N@0S9=yyvzI6aEpNIwrnO#MadW zbrP%H-1fW=FJHc0#@OS?^_tdnZM&wixdWc1s!)QY=3o7)K0T1ODpiq%{|LXtmJrz3 z_P=sB)F;ag;A#{NHzAt83Ybpo?Yh}|oKA~ODd7zFsz9NYTA@WX{;4r+-w|zF(s%mc zvG0lVEn>9+=aBY6DkY>&5TykjZ;l^AWAk#ButF^ich=&7Y{Vs%^ZvJ|gaRZ)=s|EE zd!Dm5iT^9@taq_tbIYM=#;h0gT6@{7n`#l&>&$jOmlH+>HLVjANW(7b;NBY>Q}(Mq zy8nVQoz&bdv`3ZYgUsL2N_g(YI^1aTDQ(}brf(~nnYP@%Rb($Uqj0ox*(@XME0brn ziyOb@=Sr`#Cd@@-l1`2Fm0ectZ3db>+SiuC4bLpkdFB+DBdN2;ziLwG+xyU3J69U4 zNUKRT&a3e{TF}W4<{oN)!=vGjz;n62Ls`oyQUS&OFYaM?= zDNr;*q920y$t2ZQeDvd3DV@qX{u%ID6>x78#nu{2esR%l{g1ar*B3*X#XbUSclTol-&idt0bs9N z`XBHC;Dn0^Fde95y$I@Hpn|~9c|3+CG9@HZ1eUW!`((8g&d;y7N>Vm%=5*gX^tMr% zh-b*x(=Eo`d*h>?JGtALkSQQbNOay?uk=ysF>%Vn8y9(0`t=;-ZAGWED;cFSFcxmb z0@qz<>U32o7Q|i}VqGMx0(Lar8fSbv@67RhsQQ5Mgw$%KOw?+BOTXM`t4Os=H(tI< zH|gz4uQmMki}qa4cwgjaZSVUr;JNykPDLl%*@0DeD~g`=%EL`pMPz`MIrRmVlfk|= zwuYeY=k`0hkrS%P<@h(eZ?Q-My=UyFW z-aBZSKUZ>;)56>KN{=7a6dOt-YRotkKhW-3a=w;-Om*;~w`q0T=b98%@)TxrXw zLe@j&cW)GMYZQ_-xYPb7|MW@6e4p?$ebO_5IXh(_#<;MDLEYtX2j~~t@N*uDMjlPt zIhDkDSEK29y{TXkoIqbb16T7qKh%4?h$hZ+i4I8P3Ow=X*~-)Q?6$s)4aFX7>-45i zZ%Z}i_RjfsKM%ik=*ZOMk_HBR`uydmWG{2;v{ub%`{S7VKVGX%UPx^u+a`zYjHL*x zLki`osoC&}F!GJh=v?@%IS*dOh@cC=^5*xKT%O*T__1BN)F$*}kh7Qv@vL30s z(S;Lbg3hD_`*|=e>vlBwA2gleW0+s_2&9nj`%1w2^?LX~@Iv54(>ZpalklccwD9(L z)CU5IxV2f=J%;_I;&bu*B4)|b>%@x@m zu`MAv+U41Cm}zlFYOSoySL=tnQSO7Aa+_%wc8VkG)csJM_(wT2&oio<%ytF4Ig0VT zX#!sj-8s+qayO}|^^LpzNY6DS+_Q;958_ITyQ3McdTe(hWz}`uc`9O-EvoJ~_M_a7 z8ug>iacop+Z1Csj|{I0NnLD>PHQ#PEOAbMrO<@_bwz|hJ42|t&P zb|f6Jy&_j6dzYIltJ2H5v>7qgSE)@Y+EY}Q65m&@Q5HsGh{yh1WA%8+U_0~?5SeVb zAR1Gw5Q*1j4Et~fBFNb`s zT1K~xVAR4!z8EXMZ4`jS?SK1{tW!)W@)NujWzJM1s}<)X|LXTKYloc5AJ^fVwv4%i zwX`0Km4KeKF}HJ4hw1IDhhMdE!j!FSQI!dV;Z_v(dJ3n(lw*^t*JDWK<(wm>7jN1j z8=o#-Y!I6edkm4uMv(>95>$SF1FHAl+-_%vyDeuRb^}>|xo4`J)pJ)(>@RA|Jz-Hr z@J(De@+<=BqSl*NMWy$8?b^h)f?veqJlrs`HqyjXQFb(AVC5DdD=3holJKgWEMOSP zYO{gpjGX1OS*C=jJm$T3wW`dBKGEBi*F8Stu1-xi_B*~s8@r#!y z$xM)CoP@xj9aEHj8g~4rxg>FAHm=V{GooB*Zt~{Qv%Pe1@N`8E9^O?WC89{`n5ii< zy8Y;bHXRpWN?Bx^r=zyC6s%$3)_Ci!v?O{`(QsTbI&2C#5!qv5!w-;1BG@7NOx&;! zyGG}|S4+&WNzT*qKwr2%uRb&nu(gX17F8zsV~=)E;-jEj-bX&op!9sX&R_)4V$03h zY(;YrXZ{+)3C-i#W%#l#B}#%{%v&bWRlzotH0?)%+Hy%3XljM>cJ5tkV6!cz)e^rx z;M@3Vrfu`eem-i8_j^truG%d)=={1up=xvkhJ7sS)q2XRUO%IxT3E`Jd8|qib zpWLdE_3U|iw)7lEY+oVYG>v+9gQm*##=}kH0H$OWbNBYfZ7h{`U7v>4+y2&oJGO(?a60XHp zP|kMEXi);gt-O>+*G|OHN{G@EKj28LNE|-;dX>5In;@PL>9uOYUH4!h!(Ge_5F&B0 zBMNnngnhC_u3g)Haes{$J{H(Yjzj5&bwJ}?KRIDvDNfM7j@&%mO=Y$WBC6dkNSUS8 zmBH>g);~R`_x`@6x)f_YrN`!&#tPcbi-nT%?qj-@phmUE z2aBjWLZ_X#SSI#5?LQFTN>$73flP@M*OP)00`Z-ZG~a7wlE#1EQCNr2n4zCMlNC%* zi@l*X@l-LV+uEmID=}+a#yxJ1JG<1GWqCQF97H{-nF>7P=@BuSsSAtzuGzN zm*>AE@C@W0%g30_ClZ_|-ZL4^HC|HEP3@QX;;?1e>D_gokxBbg$C&JZ{81!b%jd-W z(pv%y#s8x_aHnAuuKQsI{e!r7I~EV}!N*4rKHYG_64hzlj9FJmT@qTG3fkrw+-XgZ zMWZC5H+eT&j4oc}S5XICuK+?ixWT>9sj(l*O_I$nChuo~-r9W(C?nmEp{m9|3*!^- zbS1tn68_0Si!b8%#x!IF*q9RfL4Mtxa@`tj#>l&G@J_Xa+{JKI#ONWoRxZ~f=iYma zn%M7n_8HZ6n*@rk83y`;9q?%hg!)vs2Ipp3-@cgt8u)-@^LFVKdx<^wa6FX$%H?)x zgBl8U6)Nf5h+&9Eo!N&NmfY8MHSf+IJ!9372eomul*T?qL~wS+gv?mtAuhvg8QXHogd&#T`a79r4 zFdfd*zEgn~J-i(!bIJ}cwxFKA+@EdU(Y^g_FS|4fo9pHNG4fFH7&=iOkXT`-AIU#5 zc6{x>0CZVa%8_lcXa3#buVa!%5yE%tmcvA*7z}khJ2MuWr`j(f*&4H0i2~z09813!N36Qm_mOty^Pl*$YFnay#BrgO z=8cv)0XbZ|?qe)lVG?$&!Kd`I_rdqn13L<2V`&`WeJWW|v;mY}6z6ed-*0t>R`(f? zd~#-sgIC+z&G_?O2Em^`j8R8&omjl3Rk)32th!t(^p~!?wT$w4fVxGKL|)hD(q@tuPk;{U*x%uziFkesCmSb2$&fW`V zzAwOWK}9UZWJtSik$nOq>-VbVu? ze|ZkK69J#{Jibo~bd}>?j&Hs4Io}oMdfx4yl}T%XzQ`{oC1`<0C?(qe%m^V=JsWVj zpQf9SB&ENwyr6e`HvIg3Ce<*~H@e?>I2Xtd9a>yuY{*_6uem1jQ2J3&I_RPYoY!AC zgL%kiU@2p2>5!ktt4_Lo!Xs<#YK}2t66cN11?;+=Pn7*;o=>$DeXlUCDK_YuX|^0v(72Ia z&mV7W+M2f4m!>6(y9lPYe_owR=#&#lRCEEp@jIVuoGxC~cd2yNQy@Ib?>Ik@*{8ok zCa>UQ^go|NpYB_w-bu5)mipWej0<{LsDPBF`NUX1v4tmGC(hlO8&PF2v_En8Iz17p z7^mKma_QcSkm1v*JUt_m!G7YGFig951-!nKAFkZge~PvR3#(bq&KV1z;*KU*nnxj| zRiA{0Dg>BC{7?Y=$`@(Pvc~71BXoJyD;UndJu-Iba3Lqi8UA1a@$r2mu*sHK8LHiY7o(M*wd(WevkPfvs+&!sVrI=ETaChc{A=Exy z%5duy`)h6QmHks(l^9!|gL1>j`mdBiBtk3lPtSyVnM(k#;^8&-Yvl6gU)p@H{pxo; zbFkCwm8HJoBM(pFY3-QD_B!k|)5EiHmhZl7U}A_+@;8kSeMO(5_-2uqD)0`yHG=j{ z88vj>MqUVX=Os(6PtLob4T_`YB)om;YrG!}sf?y+k4!-I$XGZFx}qpuGgLxKp*dc= zx)w2bcp`1-!ghM=6}Vz2a;&vLj`GGzbcQr*nBRP?Y?~ELBpgC4t=i#rUz(qjJ zOPDUP@M);`1h0?WA&4uK+U4tm&GjKa!C&oF6N7QAivzQy_>tm-gMa*2MR9xYuxdyNVUaX6?!` z(&53wBVv=5M{CNtW1n8{W1JLLL=HXf6k3XI*%Eco`rwLqVZgN)cn%IB<__ODV(hIO3}jv>>R z*G#rdaj1AS8}!a@W890jNi@)W^2K%0ZdjgqB%%IE6MId2!Y(GU;4C;>7H8-3Rm^7B zJit61M(CjFzruie)S#RBa^N%PK9qIfn_{5W)$x>8~Br*!FGAq!wJ!epi}Sj@Y^@Q$2gdI-bH*BiX@E zeN9xdRGd)7y!^Qdhe!&gs+bYQWHS=Zxwa5V2}o@xtbr zNnzAVee|~fjE%s|jDO!xjqdi5p^QGxc%$0llFcs>=5z~34>5t{3{g_b(2AZ~xrdGR$gVsp(x*+ezU2BO4|H)~vRjFG2)=Aw%h}F1{ zsONnode)UEh82)Mi5c$40BX;-@^PQ<^&t8vCMVEf?= zpUeHUI;*^$=kIvD4w!@Gc46x#xzDSz8cxTar$#jKH-6Lkof2F^4+=Y z4{QFO8-r&;3BA7`7O10ipT@D+@ja+bWKeH&Jd-22=b4Y}3>15MP1o?Y<#H%r?q3hV zQ_WxxIKmz&b%JICKCbeCajxQt^W2H>%KB2K@Um$aFXWz(6%Sqcfi>^K?Z?#2Eg5^W+dXw2NIs1E$Kjcr>WH;@Yb`zJqsu!#gWj}qO zLPxP-q?|ephL0_cPJ`xSKZH!~Y6?x&7*&4!g|o6d{l{-}&K%_<$;8>rccME2s;bfO zc2+ofa*l<(zFzMoFXwpF*Wev4)G8}m#m{ncurTnx7G(apGPe08DX0u+l^CC-PX$TV z)3bcdgh#WO-b|#hs=BtRsz;7resE{RvK}T|adSt{L*S?r5S`5Z$xQzp3E|53yBd4a zjCeB0?b^@o8w!4o@)vh^ej&1_@$%oq^>*Z{K0oh>iS{Ks)mG&}>(#7UyD1qwfbpzH2_P4vI)hUgYDnZ`rax3*rI z;eKIyO^hi)K{rwK1*hOkXw91Wnds!pQ}shUjgn%_EI?18pJ|Bc8zL;$OTxEBzp7bS zI7)GpN^Rl69$8tVw6viJCqMNDbF)~!9sycHT#+!lP8GH6{1`adPJk+(RgdDEF z!@B*}Fc_w#spMAnMS3|}2FgkAx4jwqL-EHm`OP0K(z}uQfFr9xMFsYvsnFZFfW7yh zkbSD;#0tXm4sB2U{xbB7*4DzWVz7@+HhPA)H3EMu6|LS-OogmjsP%M2%CHP@_ zVW)F&*zW_k!ab4f-cR}WqeaYYDH`OO z2OKi+R1(OJa=|d0^ySMpB+Be-5CAZ@YNXFi-`_1K_*)epM(MNjSdlor({Dd?IoBZA zG^cYnF|+OXG=Zy5m`qRE5AbeMZh=BWw=imd>&pp085IhyB#8<4Ddd19Oj*pcG1^Z9 zw%Y@WMPJ-uFP}v8#&Qx#`bx%Hfbcr3UP-<#U~tbW*P{ux^E6tU>dt;!lQq03ZNP6Zvj*SVVA|SlO)rsH_W&Cw~K^3YK`tZz60evs)rm@wG=73Xg@Y|!exTjI4SkDN`bbL z4o}%d8g3eH5_xBee~KL-M+4NSiydkdsNWR=T~{*R*T{+T1%CgWIL$@q&9T{6P$L)T zO@AgkuqK-oxNOd%Hw)zEL^r!^xj6G$(lpB$x?DUL%3F%!}Das~)*(2+Dk(p;vd^5zNZ44=z zB7`&aMg9ambr(Lcq7cFn&+i;%&_7Twu7o6v{owYr5#P}uW-_LeivqkBi1Sj~e&0Kz zHNDdrNV+5+M))g{(bwTyymw*J;&5&jhCr|y&aqUyHAultydX2W&zTVyz%JeX5tE@m z8YGdsYM$@=VvYgt*gp7UXq`qZ^G7$69WAww+R#CWj$rk>i(L|*0 zd`AwwDCdm_plUEcyf<#3I7>BT2>9hpF$|jOrbiCt&3#^-5>AVh(f#G+Tn~B&l1PNi z#LR#KP4L&g=np1K$!>Q3GqmB%LKQH$3(Ibc;;nW(|j!o!6|iaRvS<4`Qk59@5sfQ2$EF2(F&{M9@5v2Oepj} z;Ut6*(8kWP#yq`NYT<>UWA9Ga)Dj#1NWa1PQ^xT6HOk$aQ{O7=lf~ipr9XBQg*RV4jw;0a=`*Nr z*nBiHEyH5CBhn=Eks(?vnB=)Lar_0}v>vliLEycXDhp>QpqXXZvqS3sdb*Xm&6Mcx z^eeue)MtGCn)I~aJfEK#^?xt$#W@t)!;(X3Rc1vI`APXRckW$?D$*KH=r5Xlzf2r9 zv=rZvim25&yojf`*SZX=+99pFVD27&n0jyBRGH?Q` zX)-v0#WVy=!fF}=CSf*h18)IL%fMUAFg-wZJhuXXC!SjdFd5IS1W=0y=Rv_RMO9D; zI5eJH8xRNORs|42xeWm8P;f3(8WyfTNCqQjHmw1l#dCuI?w|qn!FRCm4}+g!IBK9s za1e`WFc^*1G#XqL9}9!}fygtVkD%Rrs3PnWGprmustTfoU1)$fVHY1kP2jK0ux4-* z09FicVun?Ng#oY}@C0;13UC-dp$sSlSr$Y2L6)UZV%TfeH}3(Z(AWZK0_gn*Pz!hs zN>~gf0a=z2*Mp6rgr!hs7_S;g4fdAhjWpm72vZGI0G5UlmOvRnm|##Q_!)GqoLB^MpZPfo`L~Z660oLAQE<48W!u%rhGr6@L~37XLVi z4swS8jsctcu$CNXef(Jhc=_X?5v(N(Iun2P18kr^$O>}T25l02cQDjd=H}n4-$dg^#D&yA}W+uqg!-&4j|^!8uSAP@@U}9$%#b z@Q4SOLRnxRSvCz|i#gEd_$qk-;!OeI3NXzFAAKC81~uvfM4*l-U_13e7nqIOAUmj0 z8=xES7zeidIEV|H%Z84C8Vvw5fK45k4R}xvX7gc?57ejw&;)FPU?rM^!Z5uoC>Bhw z3_1jLECh3?4)%al&G6O!-ygaK#HLSN|NkCWT&Djz4!KPK>!SkMqY<2=|B)I&0`ogL z+q~}9*=@=ct|RTH*cFC^o)?**&}%5numChbmC~V3#kv;(S_VtTNZs6k1W@H$aa}h3 zuv{%>G18CqJNQ6Is5^K#UTW)|Do4-3-j?=@>f9ti4-Ozt0a)5}D;Zokq0T5 zpBvRqIDwu%w4gEkTsKJQb*`Hxn!9|{ za>82ao|y^#GJ>H)TdN?pe>XM*b5jb{gt^J0xod|e=5B|9Tj+Yu!JB^qM!UDs#Q307U*c;8)mJh!(Pi-5S)ZieIAv93>b>5jVqT)t89Z`I;5-s?dGtoGiSOKQR&|?fB8OS9a+`-OGf>3K?0aY0JHG@)L z$u<&K!;;?gD3aR;5l@U-Z4m&rd`);_iEc*ZQHhO+qP|UwO8A= zZQHhOcTd0H{$}qTC(g{AQAGa8%BsrDs(S8!7f8^}fR)?sPsMas~4vswT z0XhsK(TLndgc_(7_)|=(LHyG+Z5(@CAreVOk!;p#KFEhZ0%cpV&$UwNX=X1|4=&t~l5HxKHo6r4(7t-)v2 zLoSqX#-NsJIsQCPGLdtPoLnAat%=7|AX!ohW2LECk}DL5B@>i#{8?7`iGJgBYU6YW zhFZ5YiNDCT3_4Kc>5{w21R@b9XK~^1@{7L{@za$-QX^ zS_Fv#h&Te7AlOLU*WA1;WD2qjIW|K9xgh48Vv0awfH;4e%FQ3P7}6G|DwYRIKSNz3HHpSH1@$G)Z;gB}VS!X6$Q!BpHf z*1hQgP%hQvY~6a!z3%O*Xdo3PfaROzjw;n!ot}@L<&6E{c#-QV_ zw3Kdq?LkH6Xr@o(YHgn4{J!#8x|qOZE`+X5u3WFT@@@djY|qkuJ1U zIl-+^%TyIwW)D?bFSi2Gs0`nj=Q~@$S1VQ{6UtD50(EG}6O^exetx|h=8ljizXKWP zi_s=lxI6VUJk)y^HjP0GPZc30<|3g;ie;%%8$1nqgC6Wb_g!Nt$s}m0&?9dQ=S0zv zFL1Tvva?j_EL?SZM;;JB33qt8xQq2xuKot(o(4jNT;OWak#V=lu%6NF2H(~jj%hI5An9!Eq4bzo-$0@dwmP%4bU2=9BP_tMQiLKlUmt>#Ka zUF_tv;)ZllI8Tqk%c|9ZN!A7&_` z$@ayHN1_%-blaiS5^to9dB1K8NA4bdQzO_&eD?D)pbs1fztpR_(|ql#eK&vWf3IV_ z_lC-70m{n7{kfrs1UqcQ_b2WAZeoW+M^0+!|{ z3Io{1hYkvWhlfu0!-xL`y9h}WE0{|>!4DB-kY|$%j2ZRmY9rzuv z-BZ1TcEk7r@$q8-I^6TT^TVBa`7SAr@&@h#)Aj!e*d56m&=+hkFdooszt~>U9l;ww zH@pt;EzoPf=3dPmX*=`|KppUEf7M>f9pf8BH-rv=EbwW6(_Y3M^BZJ0L~I~gzu{iP z9eg)rE+AYmIDhb7;2j@1U|7HR9-kVx3()_#-Sl$r*wlbufVKB%>yfq~Z2;PUbM{#8 zsMO&6z^d3GwIFN&H2F>Lc-Y~zpjrW8^@7>Kv|wt1R)L)Na@c{E0Hg$t_Z03R z+R-OHYWB~3W4!SS{$$;JLw{!HeltG!hI~!`A2(|Lq64Fg0)#dM=tuAQ|Frs_W4=Rs zXXjosK6r<8P0u`IyzvNbo1XkMe*1c2fBAmM&VIXqec^ozMxwf7n0&}C9Eb}Ybfk^c z*KYBeXG+$aAyoGIrp$P(iN(%&G6mBFH3c`#;y9rx&lx^YbvnCzJ3Os^-u|_m%H{h6 zU=RDYOdYPw&*J2ZUL6=WCDhs#`5?!m9C)kp-*(N4RtYN}LJ;{Pu!(!Z^zt=mT(XyH zwbR^e)oe%hs0q6byf>Nd%;Lma;Kz;5zh&|#QJ_!C`7mY*G|4kDQDmRYZS7-5n=aZv zW@;fJL=HjA&4y%-JqiqNNKKwm_+Z6*BUm5IriQ;FZh-!#E_O5rtt?P(So>;~da3A3 zMCt3q{wsRCKd!}R8C+EG&>BaR7kRr#Q#gKd$Lpl6Q>;9L(g3^tD$#Zp_4ejbRern8 z+yHo~kEAWRJZsVriFH~8*0l`vjdfzu25KF`+m6c9{41K*J1i^j(+O@<@;SVXO1N?q zQ~r@bdSTaVp_k&%jX*W__?xDRs^)R-MTZrNi+D=EZ>4Hfp$qq292!A^l&}wY*4P;s zvpw?|{-YvsLY1ZPtL&@C@)+K0*;~tKxrq%jO%?M4ccKrDwJ_X9Om>G#s|%%5=_Y}b z?RUm`pAFL0x*7OpjIDj~%%49zu6*5zE_t@sd7BO3-%TLu+_pRBuIpDJbxVeGo=D5SYW5@b0+!pV+h(2?lY}|mXaKzfO zv-9Y=S^3AOpdM*iLFdG`_ZTfYxyvWc9+9B@o=IJO(;6OwAAi&3c&Wbe__())63yni z+1r>u42R^1tn0rlsq4SW;flc zA;&7`p6{>j-`LzCBj{^vM?G(BsM$DEcSH7_@4S)U1&l~^-_;0it7+y8&GrGqFE_Wo zS#kTmnRE}~H{PbAMrz*aI^Hf!o;%+*dE4Ba8!?JZaPO84=pGR(88QgVt@el-NR59z zO%R_ym=iu^Af$Hsd_H5dVxR`K+B6Kj&MZFGGlV{|{%z=y~(10!NV4 zN7{R>eJAr*GIKuEtS816@|OR9{T_L|ek>*TE9k?yA|I9~ND2}YJ?88%`Il97 z{%X9ygVxF~{PC#$d?y5lb!v3w=>v-sxwT?y4XXuA>kUD5!Y3giyyasZ_TY9BgR$(U z?QhkYC(D28UrU*@;N`+xV!R}ieBVig(q99~GDqKjJQ_z(VW+=tsM5&z#lH(UbnmmoNBWk+H zah4Rn+A5Sh?$T(k8V#q;Fzc(fe8%;Dq{%d7<`#H;SZv8St=XIyD#? z0ucpam#TDEQhVnS|NXk3_~vA`yO0UH>BO%2e6r0@%vFBaJ~e|HFRdBWs-;hzF4FYN zd8x~kh5ajk^1bd)wY&M){_ zw@Z&$T$Vc<7R8>evoO#Ms%0Q9+dM?<;)uXW zz};W7SX2$og*aPYrKPTT8eM{SLcR~U&t*KL3lEeGaF~Fk*Pfu{iC$RCbShqINHtm_ z+-d4W#%TAKsDxt9B}*28_%pGl9D0leSJ$)<%~1!d>|;11yu~)AZ(i3Xu*DUG zSuPP)rf=>!j?|ZEsn+g&xcj;pg}LNn>(1I8!(1Wg%x;jk2V3YMXDf~%jjeOJj$q#G zx`jkQXuzza*|CY`pMDxK^0&ib#C=+qnd`evby_x?nP&Zx!MPu0$70U?ARyjwDdq7* z#pCg1H}b9xjPn!c%|EWBh6KS{VI$x5qsIAt)RRMBo;4;y` zD0*mx8Pl+N9R0@{?WcC1gDT-uGTU=T;(mphtYtjbRAO-hvv@_)R-T@N3H+SII?Cui za^^5^V_R2h{+OQr<7c4FeDq}K>ehucNnw5*U+MndKDF*V+NoUbLVZ!XS#v*dnQsJ) z>A4%VT%X&ss4#fNJFYp) zD4vgxT|-L|r#3K8m>J}d-3@5*Fh+6H=B^;z1$a*8+$|g;7e>iDX&3LHY;%NCB;yFu zA&tbx4ZC6u<-io(XGYX)0_DIK8qzVe)rh#YZv#R9N-o7TSy$}-rY04>xHyq=VbXI6 z_q>G%^D#*PE#l1~Wc?AvW?8jGTX)yRJNRcI=4}mcA;3VBO+K%4cXu`hbIHSYyyFCD zY^3Hmqd_p2yVHXBFZiZzObxaBj`tyflah$YW`~1D+G0blg)m<99Vhn-oy;HkleDU^ zm(-GE1eyi0rWN3ut@MkaodOi*7qGc4;KuC{H)zhPXo(hsj?O`Lyn>&&Ey$ z;r=eOz?XU8Yr=31k+^1P+;OZ)N0S<$H($s-?}`9;*T5eLB!17u06Y$?`4eK$&uP0K zqGDuNB57_ilNJ?$p0|GE?>80d>+&9Ss&_>lDbv->bsQG=6Qaa%ndHy()dg$ZZ zJ4t4$hovDbvz^VCC43m&e}QI~WCmjsAa0J5a@SHy#IGfi0u_ip{#YEe5g_In>=9}; zdgcn>M>q>NL`BGi*_rnXD+@;2gWh4nIGKA};;FXXR?S(&TEZ5tS5Cx7s4RtUA?M4B z*>AskH|E6PWE2*@x`stWl(LWKhAw+qmCN}1e|21ax^KSUw>=*_Ur(QJeFH|xq*^lO zzZ$KVdFt>f)#o&n$lzexSKjYIDlM7>F`r|sfhw1qOibl!3!F8~WE~ecpCYaWFWZ?2 z<(ms-N)+f^lGKrKEGwuPbPkPEHe;Ok>A{A3xD>Pz?B!(;2emWFqH*Kb2@4rn3Hcpi z49E@7%HQrzxm;n~;%ExL)*6$v6XBE4uzSeVaB|gDokgFLvao+cf zK}MNh^02FP6E;~p`RTevicLTiF3InQrSa{aoNE?3BlS|!2dEl=WWy7@1i}9-EC4nDja1oJ&&_9xb(Q3+1Kud~A&x+I*i@wZiGr8$7gu7t?aN4c^SEaub<| zM|sQUE3*`{I7Om=YOr7Aar{$4PHM37`f17nSqDsVsog-Ec2HIbi0Hy#EPE?EQJFQ4 zEg+@K^uIiDV)&40^=yrFa+YhzNX4#S`+5bhX+>|uaD8-J!)EM^q^#JmF?AG+zMPKg z+EZ*Fpk%|2yc?~dBds{Sj{fRfKRx4e)^1X>#-wI#N^Gk+%x~~y!8%JDtDYxMnP4DI z;cz;rER!^LH{&WrY;lZml%?bqLhDz$S8m9DRuH}i2(HxlxLE(fFc|0iEnN9fKD%aI zvtJlwA8dA4g$0zuA$|CMfU%CuDzba^%BzKJb2~!`eoHhn8=R@%zxb z*J}m(;s#$b7x!Q~fe~0>-?Iic4bb08jSR@>&9PF{EOw^I`(H^qIkR4=8 zx#IMS-1G`9aA&)}z1U7Cg}}+y*( z>9eJVq0g`19ItmLY-gO)Z;Pvoi=xVp-rJ!IXEvGCjZ;ljk`_40#;;9>Q0pM`FFok! z7kWS;K>&gRzubvBf=0*pMr}x9Y+;O854aPc)0C4(?WW?mo?_K|Dp;XLq2T2_eYbU$ zB`*VsY>msy&z2wGogbfHyr#3QxFi`nh{RrVSFifYTx`!Lw!A$}*JdU&zERuPc1t)B z`T*nMrH2DD%hxzmpBfq-tJ&F@y{)vD&LCEa2CWrqQ%+(*fhGgP`^L7Gn!3^g{YF)& zKCAUlV-=nOQfONwQ~7N`Pkd0b<$W6|0Cp(YT6(X^J0NBF_)?x!tXj874?fjJd;rb7 z(&0)5?!YbXHrtGzQmB-qU&9A|qurQem!lAP<~wnZ*lyj!8ov+LBN;m9b6%`jsO$PQ zxQl|dUK9ZlLU$z{d~1;mqM+*amt{Xmsa5DtdoL_5S?${ZFWrCiDhSkUA4tIZm zbd9qpcq_T@$#(<>9ziER$y1pTuFg@!se)L9ay-dTn2rbRPl6D90N0uTpfEK;M4lT; z5Mz%Dj`=md+Y`;1jeQR@jwI~m5s?8B1)$`DVwv+-J@2F9I)H^10!h+9IAg3=3mP~P z1PmZodypeP$wBK}+nhWu9RmruI1%89(wf!Fm_-p6S4JOvQ~$ZVLl3H*TYoVQ7Y|4J z+RM!QEi~rIxzNtnT-f5|LugkWN36_y|uje^CtnwbIAPHiw3v{87FS*yeO=jf5 zw+cFmMt4DgOoJlr9@Xf!1BU`*GXM8Q_?)S=;e;o(A3>gbL!mkBZSjD3SRG@^$hbk> z9_Z#vlGN|%brfqrtO~na11wAZt@0j%V?6`}mEPaa1*i^*sqb(6tkwQhx_AzZ`sqkq zo%>jKoLMWIwHG^ySd8<6I5zRc%Js~P?M?47hVgS&w)UHRLm*!Zt|+kvPeec#rz2_o z&))}CKhOTonpQTg+!ywuMz}#YYD1eF) zJ4vX$M=1Q5k@$ZHk7tJ2mZmJ3rq2te{wZvU%i@{ffGleS{R;GlhSbsc9#{0xau*om zM67`Lk^`NoriBsxmsR z7pB&;IC<&}*r^u->Vr|#R9tbXVK&>b#;@*Br8d>2Hc9DSOiNtO2y$%oXDw5e}Jarp^$htB4&I^!|c+h!RM5 zw}gH|O7c$UrKk^Yh703kOsE)B;ilO|X1vwi1W4h~P z_tN8JuF7tUNR*X}!B0`askY|3w{*1?D7#(re7;^kP3P+9ymg#5Nh!<+X-F~{!S+t!-pow^HyHjxfl>%84Q*{} zc_s(u&W5;9uS2QVm4%d6`N8w|!dQb20w%n;lUc*=`KN)^k;PK5CTL4U# zkmt%>9zP=c;#(ddAvJ6r^so^|+`XFBLvrnk-iDDLTlu8%u8_D>v$X3qGIBLF?$-l% zAP77q2D&Jl%{@im#S(3mC7q@;3tli`LCo25DtMZ2-dkzX0?PqW!kJt>Va_8Vm{f9n zjg=r0p*65$3NH`?%*k6!tZim!GguJ0mj;8TGSg3JR!&M~;QKj^1r<%FOuP`Sle~Bd zXeNX5{8{ryz}g{X2{Pug7BtKw+BNK3qoUI`{5DB@(eD59NbfrGZww?+L>2ZbBE$N1n?^aPwL7-=i2^({}uj!AQ+sBxpcw*gJQ z#`MacV@BQj5CQcK%38&o!G?c5jzmRt96MdOFk~1sjB{zz;vbSnyK*)lE$rmeVSWj3 z;E?xpm?ihWaAZiTqkBWS&zXD+PVIJn%1X*)eNkGj>+>9ktC(us{+Q_1%-UEb64mIV zeq9nG+v+-mLV_XuO++ct*yh>fPISH2*=o#moxaNQY17h6joa<*<=P$FN{t#(Jzd@5 zSVX>~#&I9g z!kjokkD!$p$Of^)bb&#FZ78MIyCV)K63&w(Odda;q_3j_iYZc~Z6q-`qn5SajdRpU z&qq6c2)_%GR*O_wiOlS1$2_o%o$^Ynwe|Z1zKh5_G98ZbF6pj_5D7t6+R^O%U@7+{ zq!9{kQRECK<;l!H`km-mc8VcbAS*DaBbGm$SmCnDaL2MZ`7S zmQyX^cBsUw<21_CqQp_Ks$U}vs0ItZ%+^-Y+?yCL+6AzVS~F(9bB?ZtLI}PJPsXd2 zxA$N-=Q&piJ$tpJM+8$?EBazCf@{|8`6b zFz?ewKt!_sguaskmuwg5Q*Rz25P=8fIf^(4OvV%duEb>I=8j&{7F{xe7OBrm{~bKJ zTAy!x)U74SqP-ns;B8qv1y98SgDfWqWkLRf1@%m#MPO(+nc{<*85N2dz%X-3N2h&_Qk8G{!nl#t_$hN_UudJRyYs zW{5Ey8s78kIWji<>|d>NaOqPdrG7k}%gf48iQtGsf-c?}aJ9hJ`>-#?Ub_p|-U4+?P@6r|+i*G9d+ev_pLHHz-$uK7OtwXf-jxhDw ziUy17aoqJE6O%%TP3%g7d$d=3;#c1F85+bltVG}586AEpsewy9)8BYEno`0g;2mNo zCwOLj<|xc$Wac8`ND`QG3VhMf7CE!d767)|&0qk4N_4hDLv4EkFJpUs^$iGhU1${x z2Y?eY)7S#Vm4@`#ujk|#BM2u(leEduCYHP!mjIcSYQs4$e6&XfU+HUr_v=@J-*)F; z-woArTn~YQFDtKty5C!+chZ6g+dW&Qvz>za4|wlixBj=i?bBNkv4$^h4PMt%2JcOt zq{CO6@>bg(v~t&@XZt_Dy*=V;Ih4oMgds(=m!jG#Ff7^37ETR`WHJRMRpJ{^Mq@19 z%g;^9$LL8~-BuC56!!}Jx%W>D!aWzyTmPfNUcNK#}!beXprf3E0%A$$N zLlgyFsjs(JPKB?xzV_cm4$M3&)^r7}pR}n$paXvh;+XGP*hs^&xH`AN+MP`yht!L< zQ&uON&PwxkZy=+qJbWLAz&K>)i{ciG1us^rC{|**V4doa=8G5#DS$Kck&N&iflF^$!oEbo zN`x(}MTqw9H^zw7ta=>gdD5-hPMF(@D&*@)z>xVU`d>H{#D-I@O#0eX-6w-7>LrHD zD7t4KX9{_Y&lwR0UeyBRb%-kHQ2A(jUztj}Lu|*K%=9``oqS6N-^FhN-(}Y4os=2N z)n+c3>Lpc1U`x)-u#ImDh02!2lBFSwqAJ6p?O51Af!x0dX+@w8y$nlx<7p~&I29#+ zk4HczBc=@F!mZX&ogc;N zy?`ndB%a^l$z}E~8D_}rky!I5_9K-HygCi{zpXY4kw+{c515Ssd?VeA$kSSMPWJE9 zk3yXwL!2P@_C-M`cMhPeyLvmOv;At&rEX zZjKA0kW3~f>`&gWL-MHnVl1Rj_d>WVM{RXZ&Y!3`?1-XUK3(L&d@`V7Un2DWW>Ifj10s67LQ$egcIU4pQGxq0sYFaV z#ZfS@)8}4x}x;#F8hT}0)q4f(awSl2|^PP z{y^s&{UQz|!{8(5m8dWA=ilTmDaLv#K2APul=D!iy_D_`IV}OOh;eRI;HY9oy737m&WuLDK88a*WwpaS}!9{K;h@5z-r` z|AprdfkK!vOT8qPj-BM77D4>W*U;xI^gM0x+5EMdO|Cfc|bi%^ihFpxe zL{0@27|kG{u&_pa0Fa9Ro>^NUJMrT7)zURMmE#&Syd-_l&Zto?l77OueqQv<%IUyg z{bVewQaQS#xRqsHLt@bdJTWpcp{uh{FeAxeVEXp>Oy6*3ir>2UxpM}O`y`Q*1M~qz zIh%{NyTJWvR;W&ZnKdgDRQ*`NXXH$Sg3XCW%__}6Rr+urWJNlEIZEWGL&;|WQx61T zcn(O!0azt8AW=Dp3252qHgyh8f$BWuN-pTv#)j-&A~2T&uK}ScFkoZ=RC4IleG_pN zfXNA>M8ah>8Nv&zv`GOi>f^Oi=4ocEUGJzXw`v6LMTOH!zXtG!1A9xf58w@W_L9t2 z@Kj&U*wuHXT%aiG%Hmh|>@?Yi^q6T6PE@TCLo0J2#cC12v8(CPN}wCH--{T&ieye$ zB@(Lgxw?wAu@TMbb9dZMqD&Xk33`#g4CIW=2Y>jlB2p6@^AKzCFCkRL9L|@j#DE4DKqIj)(&_i|&HaLY~N8m@jNkC|4Tv16C za*=m?yXPS3W6H}X2(yW>!Siazo2PbUmk8BeCVjgk*+j4DeX~^9^-!l_Eb!q*wT(9> z^u}HO*$#L`Q9{gS(<6eq$PL?J&?+V$eref2X#&)a0+hXmzg*h$lZP{=&?$MytHKkWR@{v)+G_Ad#+<5ep=#Kr3x~=Ibk*N`X2lB7a?zPCNCG?d2G6&2x&3{ne=Nh9Vv?|-{fK~fAqAEE?Sl&5 zOZ~ZyGL{ycF{?I#Z<63+anu%r5hMg_u?2&)-UCit*G-zOkK%}Tdq2wJOlA1vpRXL-Kb#7~^}qDCdV?f8A}% zPop~X;9&iMqS$koM}5sm`l3cr8L?gVHA-Qqa=(qZ=tMEJ-Ju#*s8IGIJuv!G{5HyZ z>&8HG5&SVBIDz|?v7t}33!@ZpV9KWJAcWg1$197RexEebh_w;1M3j-r#7E0%bW@w#CPbIB{a*vFt{Zgx0>e(|7R}v@yQ|a;4hWU zmDyoqG%hSvD!cWEHx}f^#}IUCx|X~{B)o&R4~$fAiu~^v36+k&r0sIIRtG9nN;e4} z-0f~CXRa0ib4?B65$3v9JPs(3HL_(Yr8IQYPq_&3Uepw?Ud28D6FtBR_9Qgz8_idGXv@GEQgq?+w7~&rkid| z4F(>P`X@0k)~~1Dz}A|}fBI`{8`n>)qXj3kGA$LyJtK3U+R?f)uymKuARgh8Gh8k@ zF)RnjlACnnzOJDY!m2#0B%VktMz^S1_95c3smdhx1*8XHzcgyr?A z`4N@|X)Bf$`aE8uW3T-t`{?!DVy2RX2pf`9$iU|Ei4oqiq7+5a3F3d7pDqi5Imo^Y zh+))Acd;9BS!eq5oN8#YztjX}@3f75J2vsa$);+g(1)BBtYf3TNNyfkX%D3u`^0x2 zit4tU4`fL>9*RC=_YUK!d!=oS9yNNt|Lrwy7j0j9(l3|seLeT(YBc%V;$Ic0}m_fwNqA;?o~?oNbJxX8tmujbF}doeI+NQxFsT!r3xIMQ!>;5`HXP z52*eHa>)Tr8(tF6Txzq3qw*RF7C8dLZy^)c$+B1;HgT@YT6dHqX+KW#MG8Gmpc3~Y zi;EJjYfpJJs;I{LR;r7bm5K#Ph9m?77}hGu=Y6{D3cbdH(B!hNm-OcTBA2(390K_WqI z&6Qu10NnD=KtvNH+&ERd!g$ot`Pc|^gaC+_azAZ%m=@4!y-x&E<(@$3pgszE{^G;v zTSi1#x1lnp`Saj!3`s{Y*Xf}Q&n6y*496I*8s97%Mm1s^Xv_&v_LBxfP8*RS>e$Uz z#>BLX7?FATKujmK%(_8@*jCP_jmEt1k$h5HZ)K&?t$Hka0`8E~F2HEBCR-$u2E1RL z5RK?`W$1Yhke9iA83>-K9j_?R^DGg&c_K=rjlyY(kE;TiB1n?&i#|1rmi+Xp=-{ zP%`coZL5yl)qwMttp~vql5t-z=4^A7YQX%hG5l3i>3R7zS43+) z74pvdNVVNf5oxpea*`z@if>fAGxPfjjU%D@)aQkR4jUpO>+qdZxX9VUSlbQ1brq1 zbyiUoELFs6cZT z^74_#08*J`Ap{fMYm37coKvVY=|+q()=@xj%%)H*poG-?uk?fRKWPAM7@2(F1fyr) zm4(^y6XX2N)F<|77>fYWiEKLW56ARxo540SSDR|>**jF|ioA<-%!}a)pbAPRzlyS! z@f#I~CuMGKh$>VvYjTOA)BX(Qo6qz%7?(Nwp4X}rJ-%U8WfiX1JnXL= zEq)+3&Dr#J)OE}Ka4+p-8* zdN-i0o0(|C&vh^X65D_#kv@Wg`1$#eDVb#kk%BFmK$cvOmU*P%yTv z80!sBTVOH-bRHE`XqWRi(@noPK@rHccmpf78JWd8rJ4Gc;sm3yE$~-AhKM9EXW{)6 z(Zv{oq;pzLK7m{ta||R~4~tMq<^weJGBU-~sr1hPge`NEPz^FcN3Q`jLyMpMzKi-E z`ngMH7&q#RL&KkQve-Ek>kg2}Om{EHXEVLK#W0p}3a+dU!$$MHRs-l>1S-Pg3aiybaTL(SD@VtMB zzs4JpqP46uY?A;Z-)wHP9u~w(KZzM`R_$t{Uu=8!Y?kr$kt8qt8wG2Fr`A+Be0{pc z#P&t^d6ACib(}l>C{|Y%(jikFTwk_y+n&9OXmPcAe>2f$yUDXb=RThDZS#_qm~`~9 zP!*GvDHu+5Q*?g!{$TS4kpOi;+97|bG(+YYcU(}di~-OC|snzt7CVNM%UXX7q_#x(&+ ze8VJwSQzsdUxKF^_uU%J@F4QGfdRxK;Yt)swq zWARemlE#`RX(yWb_v+}Yz(%)4=#p+)IJb{7rze^}SEc=(*O&Mer|(4~b2&`qK43lh zdQJ0INA}5j{l>tE^+K)Cc^D7AKR7u4KM}SvE*yf7#}T zBM4>5Ye5pGN<)dBdQPYS-)qZ`MA;wOwi;;S0-?{UF82Ifm~qh1Rg!8U-*+Q}d zOoY`9E<_kNt6we<6+2-Pa%g-P^!McO&UT1Rsdiv?z$f0M-Gfn`M0o&wi0w;D) z(7QsKxBg`_x-2)%xpOwA==Kb`mQmXSsSsnnGyNL5%fieW+0(vWiV>#qR755DHZ)ec zUUS8wc$ks9_GQxCp|iDSaXI&PL#y&L*MDLnq0AtiL-(k#vHFsa3bxF-(z_))r7`8h z*o!7v4V5hzh1nwAs(hsJNI*XAMX~m7HJgGU|9g-TaJ5x&yAtZuGdqiDwFuG)z?z?Q zhDR-=u(_LmUW74op~J6F%UY zKhZB8kPhTj6+MlZE1*sGB9zqRdp-u>-pC*{SXx9Kwhl43-4hyPwV}`I?WMhKSkDVB z?acdgSU9SmXV2ZOnSGeGS%&JiO?pWvkZ zXINt!CwyuKM&|!y=`#MulmrB9-S9Q3nf_xX3mr55PjCMNNB2LKg#V9}@c+>g{y$o!?&xT4W2$Oyc{AnT}W2e?70pY+Lpi6vnj2(KazZrTbWe)ZP#Yh^W+E zz5~y)zmv@;RV) zzm4Eb?ySwzMIOtDn5IPIw(}i(rLGHyN4kUW5So|9FSu-t-ll3e&?Op$ob`!ea=!T0 zIDBQGN_#7GOX!XPn>6Eb39jjmAuE(AquuHBSobp9NXiXG(11}w`bLduUUJDawG7Ka(95PxU46>{;`qrr#Ppr z{RKC7RQsO!y5RWEB>Of>CC!Yy9Jq1BtUQ0iRA8LL%uM%e1dorwZzNniX=6HnV+zu2 zLta<$?p~`m(Ed__u`NIn%f>Hyvcp>YF(rod#^d%}t2lpfT+kfG<#5A!JaOS>))j4+ zyY_F}O21&Wzvx$4AD_+sAPkyU|5VTADaL!K}8(={5`bir(kD_PZ3f*Iv(= ztI~FJZAwm^cWOk(=!#N$&K~Imttf6_NsC~H2YDjjNXZX`5_C1tQR!+rJ|TY0 z_VXa#!3j5~Xm0o0<0x9|DsyDditP{Hyq@L`w((+L4>k)li_5kbEx$U4T4UB#7TsGE zY|xp1l$4snIU^$R=NQRFG^*IiQdB)|tEJv8{auLSUxrbvaFC!TFNs#mMC|Zr%#n*S zb+k|ypl(Uhn7!n9mV}!&hE7+IOGPZ0-5)x$8b*m8990iqSrlQ5zTY1*!A2b`x!*~= zUo8PSBT@#bp&=x2hL8Cik+UXssj$xNVph@|ajBTh^%>~3C8?3OfleT1V?bbC5=jVe z#N0FeRjCk$VadLbB4DXjLK|}Qn~5;Uq{J5%d@8pcq>b%kWLJXZqaK$gr3WsBVigYC z#|)8H`)@yua$h0Ct$`_KI~zF7+u#(_%kVSqQ!M38C-_%%KPc?Bu|OzrS7m^UQf;Q7 z=grxVG0klT#LeTY!ILw}U)qpv$CtBlw z$~UaA*>*4I>ZI?Kq4hE^Es#8KGow6vH@hT+?=uj1e|p~gb5X!6`*)#3=Y8c@&0&4z zcacM%+5UP>49Sr6WasZ_!(J=(hC{M)_U>B44omJ(!`eEy1@@n>6RJUln!paTbqwV~ zyfU-r%!0sFFa0r{rSjt}HN+PFCJU_VAa+OYNQ+M$2|=dVy=pVgGM1NjQ* zgtB#LL4!x`#+sBg_5;Gw+WWG8`1kw3(yr;_g`z#BuR^8OEJIIU*VZ1t_u^u4HFE}X zs{*w%?x@4IvvKw37I7pmA`3pwtEk{W$!hLCQ(PR>00&>})NlheS>sGJ*{^V*H|2a# zE6Y79ahLDiAipgsKHey$y&TwXUW~rp6zFbD?7CuS_1q#o-e|Idz(@6vxnaA$w*a$X z!vFJC6qMxf zA`S6}sJ9<`DRuWZY&jcOkN)8&Nz)tPbiz-UTWA>OOS^X0q~6peU?z&!Qnbx<34F@6Z_QB-62G>9lCzN zd3LFM3@gmg(nq>NJgF??ev;$90(ut~axjvZNPQ%Bsh-&&1N7MZ|E;YnkB9o}{*`@~ z?AeknGG-r>eP`@hQ?{}1Ya$5|#=a#&V;NaO3|g#ZU$QHVWC;lkzAd}7Ls6_N{I_+HP0>+%gUd_~u6B8CQnr2WvLH2eNC1b6ey4J4dI@8<5Mxy=a&B=nyD0C5m8x{uKf{xUXxwQC%pTk5HPYb zMt+6gFiL)f9wS7O4xQ>|iQ_W-g6aOiNEXdvOV5N)lLS(nbEhx2+U~b%_RJ|d*ZV$| z(=n0tsYf2k$=Xrki5uB*rrh2wMgd{P>IB))Rdil&DI01-ET@oE10u_&xcq3FbW%OR zDwtuZ`9Aml^OAL;-Zl%8#@iw&>*sdYJdXJxg0HGwj$o8Nzi8`4dh zXvgB@N#dVXT9DA`si!P~@tKX`T%$Q3=W&Mbjngq%Q=jmFO~9=LJIJ_pnvLbKEBB8R zDx|Wgy70JYmatD{FsVM(OVQ-h2v9d@MWAlW3TZvd*O-7*F=!EY(EuS+!$m~k(9$-P7i|V-cc=lknv1Q zivf7CWC@=SmA`lkrGq!_Qn-MRA;q<&!&n}7bGijLi&jScy!t)qyPGFRM{&`3-#|PS zQsATQ@VKwILCd@B+#8JLf?@$|<$OPNDYJB#JHi;Sr56j6%WT;iGvYfL@mzTQ18;nU z8#NoAL6dxf)TmP~oV=GwH95N(Z5B$fP=r2hF-?vEGu@)NRv4-0!T&Vz&{XUS{VHVO zch$VARvfC6CU;1lbJ48!#G(7J$&mDZ*_Q)ss#=BgWdLKC9nVTc4?4|M@Hm6&{&<&8 zWDK(~8SWeSVRv``@8Er`gnxV5x~Lb`g!VZFU0KfVN~)xy{CH800QSniAU)4j&3S3N*bou0g7?dmEQ+8;MjDvU;i> z>)ieeGT{~w&{_O)Qd985QiWRGQ20vj?j6%xjqc_Fy=lVOFZ|;Zdr=Zi(=AOaIlb5* z)NMl2n)F=e*A|n{d)*^NiR^>!%8ll$8FCxF(ReBDM=RZx4h$@d^^E{kDFWK%UB)2b^l-@}0THhfTm17bkF{=wRoRgZ z;&)BRrv-e0eIX${f7?jbUV>LM0Zsht9QO0LT0&mqcdCnxIZD+{8lRHPFK7$o8MO@L zUvu;s+%h-!rIf?;_q=)t&}n106Buk&F$(6AcBt^s0J~v|QH0N<8O#y|$$PSCxRJTW zw=&fsH6%}*mRonVB1caeBmIli)G`ZMLN0RK_wL_O&tkaZ}tO6G<_TaJD=An_RWqdgA?6znsN(#Ne(^; zDzx;SZZo+NUfwUR9@B}#uOFn4;zm2AL$ERHq?j^fVax0 zM2&`9Bx9P2oF88Ht4}JziED0|X1aW%%00ncn&i#0Dw~vgJS#@>7*V$cEw4&icAj(Q zpFdWJKKCegKPTF2l8@52T9ihfnJ+T-V~$lsr=bRuOo?*+MUk?XldRc=#9s-nAD*){ z&D)7d0dA{gk8L1>=*|&jm&~UW=bj|2*JroxRZy=kB zyt>dB2Ng2jb*u1*!Q_C@r5s^BW6b*AUxDul#rwwgk`V!Bgd26$!)~h;^W&Q<0r0@* zqu535-534_4MEQ8bY&NvM>xNg7d=~imi}z)ndH`kA3v@lx%F>lAm5F@E6U^$9>Pa1 z#O92L)i`+X(lIj__%g9@s>%}2rnu)8gyE5uo}I_DP3>>+$_=O?Bphq)yn?LTmah)q z3@;5d{?a(RopfwaH~RCM#U7#jzy_00-p9eIrHe&Ee5w}2d*2!$enQjykGx()M+u1) zN4Z6pkh&D)o`2n@LeN&`4LZQ}Zk>4I9tID7)(;?|7_uG-wHpgjcooNaJRT_AANN+_u5TgD#maO2_3WkZO%D%uSHIwM>+AFK>K~9~F|{961r3*GE>Zr#!Jh>}5RE4rmi^Vw zf4^13Dz>&^4q~?olSFcyA6us|8>tm^Qy!SP+Sw|#zE);rDvc1qXrU@@-<>oY=nk+h zB0z_4OGyoE7U3X99UbFgT&fC4(yZ&OenDUNZdwoHr7}HcupRez_SV_&HvyE+WeSlDS2YiHc7Xe1SEC#+A<(D11dxG_K?4#{9l< z$6PSykz`5dd2lV99xFoF*#Zq>d0bG@x`>blesH&52D6dVd1-Eqw1tNqKlGgqC$g2riST%TZt8|bMX9KQv;_nWYjKmkuJ zXYhLfyW3wn;oL4=V?FTMPTVqh(%a;Tu*h8Y(R5gfu-rj<@K9SF)9f-i1HtHJ>h*NB zNP`Sf-@TQ0x{Ta)dyIZzM<8;DV=%0=8kX*7Rh`;7M;T_zwI-iwAK@PJAeNo|63oMA zytxD|+>@3+>4rXUuwicTt9kWeRs=yI6~Q{s z0sO_Wl3?^Bs#s-GAzni1-DO48qT%vsMX#Fw3&9XuhEm47nXWQSEW}ENl?s+h@ogBUHdmw&Esm}3NNbn<*ZSbqPCk@e~(?$)d zmZ=g1wr?>zZTqu9RE^4(H#t%V)v{2e~oL`HR5fpsEguU{kw+`-V`wRGZwYg<$FL-A0(D?^9|Q ziP8bH$|8{|L}LdVW7Trd%vk@S;za+!qphZOvP#ES3)HCq6{lsrXK^3b)lm40QFO1< zFB40W>fjofQ+i4WV&KWg?d*!ao=H!@rZt0|4^ z?mpC4WBZYS*&W1euEyaqJulCfx9%$>$cp>xAq-PXvf`}S=0=3VR|^tl?8^cpLfNI; zhgY>8jk9NG;n+!U#p$4QIJ484^Ep$JRfLOkwDa|P}WMt!AuVvq= zxuAicTZEKb?j^o)imVl;HQQHNZLd&^1iaVNu|8& zI8?pNaPPueUr~k;-u|mt3c}s_q^GpD;2u}QQm&eW=(m#KUmFuXzoG&n$r&2GKBsm8 ze7^K0%j^J5l>Bz{kakL>KAQ_e4)nNLozW{lsRKF7q+5Ay?5XW3T8WRxzFUHZPLC$p z)?}X8l)A2c^q>k#UbLiP&t5+_8^?9*Y^rr{gN(-bx%546EbI00;Um+Wx`mku_!{yG zGua~D0o`Q@z}vp6*TviE8e1h@ixyY=W*9E7bIvKX? z9$Bu>%9uIb;e8-+)}P^Rv)Qi2Gk)Jlnw3@*=B-;A+G%4r#RQbVU~S`+Pod57eqo*@ zJto2>J|hLq*{+ycRguS^(8YUOz1x`ek)PF!pYrZ`*!K>i=n{twCgAD&jp<1UfYCeqlNPPRULx8eUv!+`(& z0)hOwBhuK00iMJ(i=MTEozMT+Ug-an=vcei5eNUpufzV^`M&}F-gfqM)IdH6f_VLJ z>&6A0~Mg zLm-HGroYDm5g<5>m|!@CA&_U}An-rb_dn$zlE71b01;3S^z6J4IO3mUi9!hEDLEpB zgb?#|f6oDeLcyo~AYw4^8GTSm=qX!3a4-ycS|1#YI5P(v0s)?i0SFF}M4r(H1%rsm zzrXDxejG61=~w}QL{HAh5v@6corxa^34x#Sml&1PF$E!^U}A#dAGQ#&GxHKLgyd

      M8d7% xAR8nI3Iy6o+QDoQ2sDBS!6acecCvqVAhF`o+xK64jkqC*UegH*s_3fH{U2~XZV> +#include +using namespace std; +typedef unsigned int Weight; +//const MaxTreeSize = 10; +//Maybe this Nodesize cannot be changed +const int NodeSize = 8 * sizeof(char); +class HTNode{ +public: + HTNode(){ + weight = 0; + parent = 0; + lchild = 0; + rchild = 0; + } + Weight weight; + int parent; + int lchild; + int rchild; +}; +class HuffmanTree{ +public: + HuffmanTree(){ + tree = nullptr; + size = 0; + } + + explicit HuffmanTree(int tsize){ + tree = new HTNode[tsize]; + size = tsize; + } + ~HuffmanTree(){ + delete tree; + } + //Select two elements with least weight from the first n nodes (tree[0] to tree[n-1]) + void SelectMin(int len, int& idx1, int& idx2) const { + //If I set the maxes initially 0, then int the following code >= must be used instead of > + unsigned int min1 = UINT32_MAX; + int min1idx = -1; + unsigned int min2 = UINT32_MAX; + int min2idx = -1; + for(int i = 0; i < len; i++){ + if(tree[i].parent == 0) { + if(tree[i].weight <= min1){ + min2 = min1; + min2idx = min1idx; + min1 = tree[i].weight; + min1idx = i; + } + else if(tree[i].weight <= min2){ + min2 = tree[i].weight; + min2idx = i; + } + } + } + idx1 = min1idx; + idx2 = min2idx; + } + //This should be run only after weight of the first n nodes are decided (tree size 2*n-1) + void BuildTree(){ + int idx1 = -1, idx2 = -1; + for(int i = (size + 1) / 2; i < size; i++){ + SelectMin(i, idx1, idx2); +// cout << idx1 << " " << idx2 << endl; + tree[idx1].parent = i; + tree[idx2].parent = i; + tree[i].lchild = idx1; + tree[i].rchild = idx2; + tree[i].weight = tree[idx1].weight + tree[idx2].weight; + } + } + void PrintTree(){ + cout << "Huffman Tree" << endl; + cout << "Size: " << size << endl; + for(int i = 0; i < size; i++){ + cout << char(i) << '\t' << tree[i].weight << '\t' << \ + tree[i].parent << '\t' << tree[i].lchild << '\t' << tree[i].rchild << endl; + } + } + HTNode* tree; + int size; +}; +class HuffmanCode{ +public: + HuffmanCode(){ + code = nullptr; + len = nullptr; + size = 0; + } + explicit HuffmanCode(int tsize){ + code = new bool*[tsize]; + len = new int[tsize]; + for(int i = 0; i < tsize; i++){ + code[i] = nullptr; + len[i] = -1; + } + size = tsize; + } + ~HuffmanCode(){ + for(int i = 0; i < size; i++) + delete code[i]; + delete code; + delete len; + } + //Generate huffman code using a huffman tree + void GenerateCode(const HuffmanTree& tree){ + for(int i = 0; i < this->size; i++){ + delete code[i]; +// code[i] = nullptr; + } + int num = (tree.size + 1) / 2; + auto buf = new bool[num]; + for(int i = 0; i < num; i++){ + int start = num; + int node = i; + int father = tree.tree[i].parent; + for(; father; node = father, father = tree.tree[father].parent){ + buf[--start] = tree.tree[father].lchild != node; +// if(tree.tree[father].lchild == node) +// buf[--start] = false; +// else +// buf[--start] = true; + } + len[i] = num - start; +// cout << "..." << len[i] << endl; +// cout << code[i] << endl; +// cout << "..." << len[i] << endl; + bool* t = new bool[len[i]]; + code[i] = t; +// code[i] = new bool[len[i]]; +// cout << "..." << len[i] << endl; + for(int j = 0; j < len[i]; j++) + code[i][j] = buf[j + start]; + } + delete buf; + } + void PrintCode(){ + cout << "Huffman Code" << endl; + cout << "Size: " << size << endl; + for(int i = 0; i < size; i++){ + cout << i << "(" << char(i) << ")" << ": "; + for(int j = 0; j < len[i]; j++) + cout << code[i][j] ? "0" : "1"; + cout << endl; + } + cout << "End printing." << endl; + } + bool** code; + int* len; + int size; +}; +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/myzip.cpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/myzip.cpp" new file mode 100755 index 0000000..1587956 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/myzip.cpp" @@ -0,0 +1,331 @@ +#include "huffman.hpp" +#include +#include +#include +#include +#include +#include +#include +using namespace std; + +/* + * My simple compression software using Huffman tree + * part of the argv parsing code copied from jarro2783/cxxopts + */ + +//Thanks stack overflow for this judging function +#include +// Function: fileExists +/** + Check if a file exists +@param[in] filename - the name of the file to check + +@return true if the file exists, else false + +*/ +bool fileExists(const std::string& filename) +{ + struct stat buf; + if (stat(filename.c_str(), &buf) != -1) + { + return true; + } + return false; +} + +string ifile; +string ofile; +//blocksize and NodeSize count in bit +//blocksize should be larger than NodeSize +int blocksize; +bool iscompress; +bool isverbose = false; +unsigned int filesize = 0; + +const char* MagicString = "Myzip file v1.0"; +const char* Delimeter = "Below are main compressed data"; +const int defaultbs = 1024; +const int TreeSize = 2 * (1u << (unsigned)NodeSize) - 1; +const int CodeSize = (1u << (unsigned)NodeSize); +//const int BufRate = 10; +//int BufSize = BufRate * max(defaultbs, CodeSize); + +void exitprogram(int status, const char* msg, ...) +{ + char sfinal[500]; + va_list ap; + va_start(ap, msg); + vsnprintf(sfinal, 500, msg, ap); + cout << sfinal << endl; + cout << "Program now exit." << endl; + exit(status); +} +void verboselog(const char* s, ...) +{ + if(isverbose){ + char sfinal[500]; + va_list ap; + va_start(ap, s); + vsnprintf(sfinal, 500, s, ap); + cout << sfinal << endl; + } +} +//Parsing argv using cxxopts, results are saved in global variables, the program may exit +//if error parsing or incorrect commandline +void parsing_cmdline(int argc, char* argv[]){ + try{ + cxxopts::Options options("myzip", "A simple file compressing program, by ustcpetergu"); + options.add_options("Available") + ("v,verbose", "Being verbose") + ("c,compress", "Compress file") + ("x,extract", "Extract compressed file") + ("F,force", "Overwrite existing file") + ("f,file", "Specify input or output file name", cxxopts::value()) + ("h,help", "Show help") + ; + auto result = options.parse(argc, argv); + if(result.count("h")){ + cout << options.help({"", "Available"}) << endl; + exitprogram(0, "Help shown."); + } + isverbose = (bool)result.count("v"); + if(!(result.count("c") ^ result.count("x"))) + exitprogram(-1, "You must specify one option, compress or extract? Or show help?"); + if(result.count("c")){ + iscompress = true; + verboselog("Compress."); + } + else{ + verboselog("Extract."); + iscompress = false; + } + string ff = result["f"].as(); + if(result.count("f")){ + if(iscompress){ + ifile = ff; + ofile = ifile + ".huff"; + } + else{ + if(ff.length() <= 5 || ff.substr(ff.length() - 5, 5) != ".huff") + exitprogram(-1, "The file should have extention .huff"); + ifile = ff; + ofile = ff.substr(0, ff.length() - 5) + ".decompressed"; + } + } + else + exitprogram(-1, "You must select a file."); + if (fileExists(ofile)) { + if (result.count("F") != 0) + verboselog("Overwrite existing file"); + else + exitprogram(-1, "File exist, use -F to overwrite"); + } + verboselog("Input file: %s", ifile.c_str()); + verboselog("Output file: %s", ofile.c_str()); + if(!result.count("bs")){ + blocksize = defaultbs; + verboselog("Use default blocksize %d.", blocksize); + } + else + blocksize = result["bs"].as() * 8; + } catch(const cxxopts::OptionException& e){ + std::cout << "Error parsing options: " << e.what() << std::endl; + exit(-2); + } +} +void GetWeight(HuffmanTree& tree) +{ + ifstream fin; + fin.open(ifile, ios::in | ios::binary); + if(fin.fail()) + exitprogram(-2, "Error opening input file"); + filesize = 0; + char chr; + fin.get(chr); + while(!fin.eof()){ + tree.tree[(unsigned char)chr].weight++; + filesize++; + fin.get(chr); + } + fin.close(); + verboselog("File read for the first time."); + verboselog("File size: %u chars", filesize); +} +void WriteCompressed(const HuffmanTree& tree, const HuffmanCode& code) +{ + verboselog("Writing compresse file..."); + ifstream fin; + fin.open(ifile, ios::in | ios::binary); + if(fin.fail()) + exitprogram(-2, "Error opening input file"); + ofstream fout; + fout.open(ofile, ios::out | ios::binary); + if(fout.fail()) + exitprogram(-2, "Error writing output file"); + //Write magic information + fout.write(MagicString, strlen(MagicString)); + //Write the Huffman tree + fout.write((char*)&tree.size, sizeof(tree.size)); + for(int i = 0; i < tree.size; i++){ + fout.write((char*)&tree.tree[i].weight, sizeof(tree.tree[i].weight)); + fout.write((char*)&tree.tree[i].parent, sizeof(tree.tree[i].parent)); + fout.write((char*)&tree.tree[i].lchild, sizeof(tree.tree[i].lchild)); + fout.write((char*)&tree.tree[i].rchild, sizeof(tree.tree[i].rchild)); + } + //Write file size (counted by char) + fout.write((char*)&filesize, sizeof(filesize)); + //Write Delimiter + fout.write(Delimeter, strlen(Delimeter)); + char chr; + char outchr; + string buf; + string outstr; + long len; + unsigned int bit = 0; + fin.get(chr); + buf.clear(); + outstr.clear(); + while(!fin.eof()) { + for(int i = 0; i < code.len[(unsigned char)chr]; i++) + buf += code.code[(unsigned char)chr][i] ? "1" : "0"; + len = buf.length(); + if(len > NodeSize * blocksize) { + outstr.clear(); + int cnt = 0; + for(cnt = 0; cnt + NodeSize - 1 < len; cnt += NodeSize) { + outchr = 0; + for(int i = 0; i < NodeSize; i++){ + if(buf[cnt + i] == '0') + bit = 0; + else + bit = 1; + outchr += bit << (unsigned)(NodeSize - 1 - i); + } + outstr += outchr; + } + fout << outstr; + buf = buf.substr(cnt, len - cnt); + } + fin.get(chr); + } + len = buf.length(); + if(len) + for(long i = len; i < NodeSize * (len / NodeSize + 1); i++) + buf += "0"; + outstr.clear(); + len = buf.length(); + for(int cnt = 0; cnt + NodeSize - 1 < len; cnt += NodeSize) { + outchr = 0; + for(int i = 0; i < NodeSize; i++){ + if(buf[cnt + i] == '0') + bit = 0; + else + bit = 1; + outchr += bit << (unsigned)(NodeSize - 1 - i); + } + outstr += outchr; + } + fout << outstr; + fin.close(); + fout.close(); + verboselog("File compressed"); +} +void Decompress(HuffmanTree& tree) +{ + verboselog("Start decompressing..."); + ifstream fin; + fin.open(ifile, ios::in | ios::binary); + if(fin.fail()) + exitprogram(-2, "Error opening input file"); + ofstream fout; + fout.open(ofile, ios::out | ios::binary); + if(fout.fail()) + exitprogram(-2, "Error writing output file"); + char* flag; + flag = new char[strlen(MagicString)]; + flag[strlen(MagicString)] = 0; + fin.read(flag, strlen(MagicString)); + if(strcmp(flag, MagicString) != 0) + exitprogram(-3, "The file seems not Myzip compressed"); + delete flag; + delete tree.tree; + tree.size = 0; + fin.read((char*)&tree.size, sizeof(tree.size)); + tree.tree = new HTNode[tree.size]; + for(int i = 0; i < tree.size; i++){ + fin.read((char*)&tree.tree[i].weight, sizeof(tree.tree[i].weight)); + fin.read((char*)&tree.tree[i].parent, sizeof(tree.tree[i].parent)); + fin.read((char*)&tree.tree[i].lchild, sizeof(tree.tree[i].lchild)); + fin.read((char*)&tree.tree[i].rchild, sizeof(tree.tree[i].rchild)); + } + fin.read((char*)&filesize, sizeof(filesize)); + verboselog("File size: %d chars", filesize); + flag = new char[strlen(Delimeter)]; + flag[strlen(Delimeter)] = 0; + fin.read(flag, strlen(Delimeter)); + if(strcmp(flag, Delimeter) != 0) + exitprogram(-4, "File seems corrupted"); + delete flag; + verboselog("File info read successfully, start decompressing"); + unsigned int cnt = 0; + char chr; + string buf; + int node; + //node point at the tree root + node = tree.size - 1; + fin.get(chr); + while(!fin.eof() && cnt < filesize) { + unsigned int bit = 1u << (unsigned)(NodeSize - 1); + //process the chr read from fin bit by bit for NodeSize-1 cycles + for(int i = 0; i < NodeSize; i++) { + if((unsigned char)chr & bit) + node = tree.tree[node].rchild; + else + node = tree.tree[node].lchild; + //One huffman code found + if(tree.tree[node].lchild == 0 && tree.tree[node].rchild == 0) { + buf += (char)node; + //begin another cycle and set node back to root + node = tree.size - 1; + cnt++; + if(cnt == filesize) + break; + } + bit = bit >> 1u; + } + if(buf.length() > (unsigned int)blocksize) { + fout << buf; + buf.clear(); + } + fin.get(chr); + } + //Print remaining to file, + //just ignore the bits left undecoded, they are dummy bits filled when writing. + fout << buf; + fin.close(); + fout.close(); + verboselog("File decompressed."); +} +int main(int argc, char* argv[]){ + parsing_cmdline(argc, argv); + HuffmanTree tree = HuffmanTree(TreeSize); + HuffmanCode code = HuffmanCode(CodeSize); + + + // Compress file + if(iscompress) { + GetWeight(tree); + tree.BuildTree(); +// tree.PrintTree(); + code.GenerateCode(tree); +// code.PrintCode(); + WriteCompressed(tree, code); + + } + // Extract file + else { + Decompress(tree); + } + verboselog("End."); + return 0; +} diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/linklist.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/linklist.hpp" new file mode 100755 index 0000000..ea387c4 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/linklist.hpp" @@ -0,0 +1,198 @@ +#ifndef LINKLIST_H +#define LINKLIST_H +#include +#include +using namespace std; +typedef int Status; +#define Inf 2100000000 +#define Eps 1e-6 +#define ERROR 1 +#define OK 0 +//OVERFLOW already defined in cmath, avoid it. +//#define OVERFLOW 2 + +template +class Node{ + public: + Node() + { + next = NULL; + } + Node(T data) + { + this->data = data; + next = NULL; + } + ~Node(){ + } + T data; + Node* next; +}; +template +class Linklist{ + public: + Linklist(); + Linklist(const Linklist&); + ~Linklist(); + const Linklist& operator=(const Linklist& list); + Status GetElem(int, T&); + Status ListInsert(int, T); + Status ListDelete(int, T&); + Status Clear(); + Status Append_head(T); + Status Append(T); + int GetLength(); + Node* head; + Node* tail; + int length; +}; + +template +Linklist::Linklist() +{ + tail = head = new Node; + length = 0; +} +template +Linklist::Linklist(const Linklist& list){ + Node* p; + Node* q; + Node* r; + p = list.head; + q = head = new Node; + while((p = p->next)){ + r = new Node; + r->data = p->data; + q->next = r; + q = r; + } + tail = q; + length = list.length; +} +template +Linklist::~Linklist() +{ + Node* p; + p = head; + while(head){ + p = head->next; + delete head; + head = p; + } +} +template +const Linklist& Linklist::operator=(const Linklist& list) +{ + if(this != &list){ + this->Clear(); + Node* p; + Node* q; + Node* r; + p = list.head; + q = head; + while((p = p->next)){ + r = new Node; + r->data = p->data; + q->next = r; + q = r; + } + tail = q; + length = list.length; + } + return *this; +} +template +Status Linklist::GetElem(int idx, T& data) +{ + if(idx < 1 || idx > length) + return ERROR; + Node* p; + p = head; + for(int i = 0; i < idx; i++) + p = p->next; + data = p->data; + return OK; +} +template +Status Linklist::ListInsert(int idx, T data) +{ + if(idx < 1 || idx > length + 1) + return ERROR; + Node* p; + Node* q; + q = new Node; + q->data = data; + p = head; + for(int i = 1; i < idx; i++) + p = p->next; + q->next = p->next; + p->next = q; + if(tail->next != NULL) + tail = tail->next; + length++; + return OK; +} +template +Status Linklist::ListDelete(int idx, T& data) +{ + if(idx < 1 || idx > length) + return ERROR; + Node* p; + Node* q; + p = head; + for(int i = 1; i < idx; i++) + p = p->next; + if(p->next == tail) + tail = p; + data = p->next->data; + q = p->next; + p->next = q->next; + delete q; + length--; + return OK; +} +template +Status Linklist::Clear() +{ + Node* p; + Node* q; + length = 0; + q = head->next; + while(q){ + p = q->next; + delete q; + q = p; + } + tail = head; + head->next = NULL; + return OK; +} +template +Status Linklist::Append_head(T data) +{ + Node* p; + p = new Node; + p->data = data; + p->next = head->next; + head->next = p; + length++; + return OK; +} +template +Status Linklist::Append(T data) +{ + Node* p; + p = new Node; + p->data = data; + tail->next = p; + tail = p; + length++; + return OK; +} +template +int Linklist::GetLength() +{ + return length; +} + +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/queue.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/queue.hpp" new file mode 100755 index 0000000..418f0d6 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/queue.hpp" @@ -0,0 +1,41 @@ +#ifndef QUEUE_H +#define QUEUE_H +#include +#include +#include "linklist.hpp" +using namespace std; + +//Prerequsite: type T should defined operator< +template +class Queue: public Linklist{ + public: + //Just a dummy constructor + Queue(){} + Status Enqueue(const T& elem){ + Node* p; + Node* q; + p = this->head; + while(p->next && p->next->data < elem){ + p = p->next; + } + q = new Node; + q->data = elem; + q->next = p->next; + p->next = q; + this->length++; + return OK; + } + Status Dequeue(T& elem){ + return this->ListDelete(1, elem); + } + Status TopElem(T& elem){ + if(this->QueueEmpty()) + return ERROR; + elem = this->head->next->data; + return OK; + } + bool QueueEmpty(){ + return this->length == 0 ? true : false; + } +}; +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/Makefile" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/Makefile" new file mode 100755 index 0000000..cea131b --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/Makefile" @@ -0,0 +1,11 @@ +CC = clang++ +CFLAGS = -Wall -g +.PHONY: all clean + +all: main.out +main.out: poly.o main.o + #$(CC) poly.o main.o -o $@ + $(CC) $(CPPFLAGS) $^ -o $@ +clean: + -rm -f *.out *.o + diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/README.md" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/README.md" new file mode 100644 index 0000000..62e45b2 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/README.md" @@ -0,0 +1,37 @@ +# 多项式计算器 + +使用示例: + +``` +❯ make +g++ -c -o poly.o poly.cpp +g++ -c -o main.o main.cpp +#clang++ poly.o main.o -o main.out +clang++ poly.o main.o -o main.out +❯ ./main.out +q to quit, new to create new poly, print to print polys stored, delete to delete a poly, add sub mul eval to do operations +poly> new +New poly: (enter 1 2 3 0 for +1x^(2)+3x^(0)) +1 2 3 0 +Your poly: +1x^2+3x^0 +poly> n +New poly: (enter 1 2 3 0 for +1x^(2)+3x^(0)) +2 2 1 1 +Your poly: +2x^2+1x^1 +poly> mul +Enter two indexes: 1 +2 +Multiplication is: +2x^4+1x^3+6x^2+3x^1 +Result saved +poly> poly> print +Total 3 Polys: +#1: +1x^2+3x^0 +#2: +2x^2+1x^1 +#3: +2x^4+1x^3+6x^2+3x^1 +poly> eval +Enter a index: 3 +Enter an x value: 99 +The value of poly +2x^4+1x^3+6x^2+3x^1 when x is 99 is: 1.93149e+08 +poly> poly> q +``` + diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/main.cpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/main.cpp" new file mode 100755 index 0000000..1d50dae --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/main.cpp" @@ -0,0 +1,178 @@ +#include +#include +#include +#include "poly.hpp" +#include "../Lib/linklist.hpp" +using namespace std; + +void test_linklist() +{ + Linklist test; + test.Append(2); + test.Append(3); + test.Append(4); + test.Append(5); + test.Append_head(1); + int p; + cout << test.GetElem(1, p) << endl; + cout << p << endl; + cout << test.GetElem(2, p) << endl; + cout << p << endl; + cout << test.GetElem(5, p) << endl; + cout << p << endl; + p = 999; + cout << test.GetElem(6, p) << endl; + cout << p << endl; + cout << "----" << endl; + cout << test.ListInsert(1, -1) << endl; + cout << test.GetElem(1, p) << endl; + cout << p << endl; + cout << "----" << endl; + Node* q; + q = test.head; + while((q = q->next)) + cout << " " << q->data << endl; + cout << test.ListDelete(1, p) << endl; + cout << p << endl; + q = test.head; + while((q = q->next)) + cout << " " << q->data << endl; + cout << test.ListDelete(1, p) << endl; + cout << p << endl; + Linklist test2 = test; + q = test2.head; + while((q = q->next)) + cout << " " << q->data << endl; + cout << test2.ListDelete(1, p) << endl; + cout << p << endl; + q = test2.head; + while((q = q->next)) + cout << " " << q->data << endl; +} +void test_poly() +{ + Polynomial p; + Polynomial q; + Polynomial r; + Polynomial s; + Polynomial t; + cin >> p; + cin >> q; + cout << "p: " << p << endl; + cout << "q: " << q << endl; + r = p + q; + cout << "add: " << r << endl; + r = p - q; + cout << "subtract: " << r << endl; + r = (-p); + cout << "opposite: " << r << endl; + r = p * q; + cout << "multiple: " << r << endl; + cout << "eval p(0) q(2)" << endl; + cout << p.eval(0) << endl; + cout << q.eval(2) << endl; +} +int main() +{ + //test_linklist(); + //test_poly(); + Linklist polys; + string cmd; + int idx1; + int idx2; + double x; + Polynomial p1; + Polynomial p2; + Polynomial p3; + cout << "q to quit, new to create new poly, print to print polys stored, delete to delete a poly, add sub mul eval to do operations" << endl; + while(1){ + try{ + cout << "poly> "; + getline(cin, cmd); + if(cmd == "q"){ + break; + } + else if(cmd == ""){ + continue; + } + else if(cmd == "new" || cmd == "n"){ + cout << "New poly: (enter 1 2 3 0 for +1x^(2)+3x^(0))" << endl; + cin >> p1; + cout << "Your poly: " << p1 << endl; + polys.Append(p1); + } + else if(cmd == "print" || cmd == "p"){ + int l = polys.length; + cout << "Total " << l << " Polys: " << endl; + for(int i = 1; i <= l; i++){ + polys.GetElem(i, p1); + cout << "#" << i << ": " << p1 << endl; + } + } + else if(cmd == "delete" || cmd == "d"){ + cout << "Enter a index: "; + cin >> idx1; + if(idx1 < 0 && idx1 > polys.length) + throw "Index out of range"; + polys.ListDelete(idx1, p1); + cout << "You deleted " << p1 << endl; + } + else if(cmd == "add"){ + cout << "Enter two indexes: "; + cin >> idx1 >> idx2; + if(idx1 < 0 && idx1 > polys.length && idx2 < 0 && idx2 > polys.length) + throw "Index out of range"; + polys.GetElem(idx1, p1); + polys.GetElem(idx2, p2); + p3 = p1 + p2; + cout << "Sum is: " << p3 << endl; + cout << "Result saved" << endl; + polys.Append(p3); + } + else if(cmd == "sub"){ + cout << "Enter two indexes: "; + cin >> idx1 >> idx2; + if(idx1 < 0 && idx1 > polys.length && idx2 < 0 && idx2 > polys.length) + throw "Index out of range"; + polys.GetElem(idx1, p1); + polys.GetElem(idx2, p2); + p3 = p1 - p2; + cout << "Subtraction is: " << p3 << endl; + cout << "Result saved" << endl; + polys.Append(p3); + } + else if(cmd == "mul"){ + cout << "Enter two indexes: "; + cin >> idx1 >> idx2; + if(idx1 < 0 && idx1 > polys.length && idx2 < 0 && idx2 > polys.length) + throw "Index out of range"; + polys.GetElem(idx1, p1); + polys.GetElem(idx2, p2); + p3 = p1 * p2; + cout << "Multiplication is: " << p3 << endl; + cout << "Result saved" << endl; + polys.Append(p3); + } + else if(cmd == "eval"){ + cout << "Enter a index: "; + cin >> idx1; + if(idx1 < 0 && idx1 > polys.length) + throw "Index out of range"; + polys.GetElem(idx1, p1); + cout << "Enter an x value: "; + cin >> x; + cout << "The value of poly " << p1 << " when x is " << x << " is: " << p1.eval(x) << endl; + } + else{ + throw "No such command"; + + } + + }catch(const char *msg){ + cout << "Error: " << msg << "!" << endl; + + } + } + return 0; +} + diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.cpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.cpp" new file mode 100755 index 0000000..322494d --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.cpp" @@ -0,0 +1,178 @@ +#include +#include +#include +#include "poly.hpp" +using namespace std; + +Mono::Mono() +{ + expn = 0; + coef = 0; +} +Mono::Mono(int expn, double coef) +{ + this->expn = expn; + this->coef = coef; +} +void Mono::printmono() +{ + cout << showpos << coef << noshowpos << "x^" << (expn < 0 ? "(" : "") << expn << (expn < 0 ? ")" : ""); +} + +Polynomial::Polynomial() +{ + //tail = head = new Node; + //length = 0; +} +Polynomial::Polynomial(string s) +{ + *this = Polynomial(); + if(s == "" || s == "0") + return; + stringstream ss; + ss << s; + int e; + double c; + int elast = Inf; + while(!ss.eof()){ + try{ + ss >> c; + if(ss.fail()) + throw "wrong reading coef"; + ss >> e; + if(ss.fail()) + throw "wrong reading expn"; + if(e >= elast) + throw "Must input expn in decrease order"; + if(fabs(c) < Eps) + throw "coef shouldn\'t be zero"; + if(e < 0) + throw "expn should >= 0"; + elast = e; + this->Append(Mono(e, c)); + } + catch(const char* msg){ + cout << "Construction Error: " << msg << "! You get Zero polynomial"<< endl; + *this = Polynomial(); + return; + } + } +} +void Polynomial::printpoly(ostream& out) const +{ + Node* p; + p = head; + while((p = p->next)) + p->data.printmono(); + if(length == 0) + out << "0"; +} +ostream& operator<<(ostream& out, const Polynomial& poly) +{ + poly.printpoly(out); + return out; +} +istream& operator>>(istream& in, Polynomial& poly) +{ + string s; + getline(in, s); + poly = Polynomial(s); + return in; +} +Polynomial Polynomial::opposite() const +{ + Polynomial poly = *this; + Node* p; + p = poly.head; + while((p = p->next)) + p->data.coef = -p->data.coef; + return poly; +} +Polynomial Polynomial::add(const Polynomial& secPoly) const +{ + Polynomial c; + Node* p; + Node* q; + p = head->next; + q = secPoly.head->next; + while(p && q){ + int flg = p->data.expn - q->data.expn; + if(flg > 0){ + c.Append(p->data); + p = p->next; + } + else if(flg < 0){ + c.Append(q->data); + q = q->next; + } + else{ + double delta = p->data.coef + q->data.coef; + //Do nothing if the two mono makes zero + if(fabs(delta) > Eps) + c.Append(Mono(p->data.expn, delta)); + p = p->next; + q = q->next; + } + } + while(p){ + c.Append(p->data); + p = p->next; + } + while(q){ + c.Append(q->data); + q = q->next; + } + return c; +} +Polynomial Polynomial::subtract(const Polynomial& secPoly) const +{ + return this->add(secPoly.opposite()); +} +Polynomial Polynomial::multiply(const Polynomial& secPoly) const +{ + Polynomial c; + Polynomial t; + Node* p; + Node* q; + p = secPoly.head; + while((p = p->next)){ + t = *this; + q = t.head; + while((q = q->next)){ + q->data.coef *= p->data.coef; + q->data.expn += p->data.expn; + } + c = c + t; + } + return c; +} +double Polynomial::eval(double x) const +{ + double val = 0; + Node* p; + p = head; + while((p = p->next)) + val += p->data.coef * pow(x, p->data.expn); + return val; +} +Polynomial Polynomial::operator+() +{ + return *this; +} +Polynomial Polynomial::operator-() +{ + return this->opposite(); +} +Polynomial Polynomial::operator+(const Polynomial& secPoly) +{ + return this->add(secPoly); +} +Polynomial Polynomial::operator-(const Polynomial& secPoly) +{ + return this->subtract(secPoly); +} +Polynomial Polynomial::operator*(const Polynomial& secPoly) +{ + return this->multiply(secPoly); +} + diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.hpp" new file mode 100755 index 0000000..084e8b1 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.hpp" @@ -0,0 +1,33 @@ +#ifndef POLY_H +#define POLY_H +#include +#include +#include "../Lib/linklist.hpp" +using namespace std; +class Mono{ + public: + Mono(); + Mono(int, double); + void printmono(); + int expn; + double coef; +}; +class Polynomial: public Linklist { + public: + Polynomial(); + Polynomial(string s); + void printpoly(ostream& out) const; + friend ostream& operator<<(ostream& out, const Polynomial& poly); + friend istream& operator>>(istream& in, Polynomial& poly); + Polynomial opposite() const; + Polynomial add(const Polynomial& secPoly) const; + Polynomial subtract(const Polynomial& secpoly) const; + Polynomial multiply(const Polynomial& secpoly) const; + double eval(double x) const; + Polynomial operator+(); + Polynomial operator-(); + Polynomial operator+(const Polynomial& secPoly); + Polynomial operator-(const Polynomial& secPoly); + Polynomial operator*(const Polynomial& secPoly); +}; +#endif diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/README.md" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/README.md" new file mode 100644 index 0000000..1db6be4 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/README.md" @@ -0,0 +1,7 @@ +# 2018秋马建辉老师班数据结构实验 + +古宜民 17少 (https://github.com/ustcpetergu) + +**多项式计算、电梯模拟、哈希、Huffman压缩软件、Dijkstra图最短路** + +项目依赖Lib(是linklist和queue的实现)和SimpleDraw(是Linux终端上画文字界面的小库)里的文件所以改变目录结构可能导致无法编译。 \ No newline at end of file diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/SimpleDraw/SimpleDraw.hpp" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/SimpleDraw/SimpleDraw.hpp" new file mode 100755 index 0000000..3a0ea09 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/SimpleDraw/SimpleDraw.hpp" @@ -0,0 +1,186 @@ +#ifndef SIMPLEDRAW_HPP +#define SIMPLEDRAW_HPP +#include +#include +#include +#include +#include +#include +using namespace std; +const int colmax = 500; +const int rowmax = 500; +const int maxlen = 100; +class SimpleCanvas{ + public: + SimpleCanvas() + { + ClearCanvas(); + rownum = 24; + colnum = 80; + color = "No"; + } + SimpleCanvas(int r, int c) + { + ClearCanvas(); + rownum = r; + colnum = c; + color = "No"; + } + ~SimpleCanvas() + { + cout << "\033[?25h\033[0m"; + } + void Show(bool isclean) + { + string clr = "No"; + if(isclean) + ClearScreen(); + cout << "\033[?25l\033[1;1H"; + for(int i = 1; i <= rownum; i++){ + for(int j = 1; j <= colnum; j++){ + if(colormap[i][j] != clr){ + clr = colormap[i][j]; + if(clr == "Black"){ + cout << "\033[30m"; + } + else if(clr == "Red"){ + cout << "\033[31m"; + } + else if(clr == "Green"){ + cout << "\033[32m"; + } + else if(clr == "Yellow"){ + cout << "\033[33m"; + } + else if(clr == "Blue"){ + cout << "\033[34m"; + } + else if(clr == "Purple"){ + cout << "\033[35m"; + } + else if(clr == "DarkGreen"){ + cout << "\033[36m"; + } + else if(clr == "White"){ + cout << "\033[37m"; + } + else{ + cout << "\033[0m"; + } + } + cout << canvas[i][j]; + } + cout << "\r\n"; + } + cout << "\033[?25h\033[0m"; + } + void ClearCanvas() + { + for(int i = 1; i <= rowmax; i++) + for(int j = 1; j <= colmax; j++){ + canvas[i][j] = ' '; + colormap[i][j] = "No"; + } + } + void ClearScreen() + { + cout << "\033[?25l\033[1;1H"; + for(int i = 1; i <= rownum; i++){ + for(int j = 1; j <= colnum; j++) + cout << " "; + cout << "\r\n"; + } + cout << "\033[?25h\033[0m"; + } + //Be able to use c printf style + void AddString(const char* s, int row, int col, ...) + { + if(row < 1 || row > rownum || col < 1 || col > colnum) + return; + char sfinal[maxlen]; + va_list ap; + va_start(ap, col); + vsnprintf(sfinal, maxlen, s, ap); + int len = strlen(sfinal); + //Ignore overflow parts + for(int i = 1; i <= len && i + col <= colnum; i++){ + canvas[row][i + col - 1] = sfinal[i - 1]; + colormap[row][i + col - 1] = color; + } + } + void AddChar(char c, int row, int col) + { + if(row < 1 || row > rownum || col < 1 || col > colnum) + return; + canvas[row][col] = c; + colormap[row][col] = color; + } + void AddRectangle(int row1, int col1, int row2, int col2, char srow, char scol, char scorner) + { + if(row1 < 1 || row1 > rownum || col1 < 1 || col1 > colnum) + return; + if(row2 < 1 || row2 > rownum || col2 < 1 || col2 > colnum) + return; + if(row1 > row2) + swap(row1, row2); + if(col1 > col2) + swap(col1, col2); + for(int i = row1; i < row2; i++){ + canvas[i][col1] = srow; + canvas[i][col2] = srow; + colormap[i][col1] = color; + colormap[i][col2] = color; + } + for(int i = col1; i < col2; i++){ + canvas[row1][i] = scol; + canvas[row2][i] = scol; + colormap[row1][i] = color; + colormap[row2][i] = color; + } + canvas[row1][col1] = scorner; + canvas[row2][col1] = scorner; + canvas[row1][col2] = scorner; + canvas[row2][col2] = scorner; + colormap[row1][col1] = color; + colormap[row2][col1] = color; + colormap[row1][col2] = color; + colormap[row2][col2] = color; + } + void AddColLine(int col, int row1, int row2, char s) + { + if(row1 < 1 || row1 > rownum || row2 < 1 || row2 > rownum || col < 1 || col > colnum) + return; + if(row1 > row2) + swap(row1, row2); + for(int i = row1; i <= row2; i++){ + canvas[i][col] = s; + canvas[i][col] = s; + colormap[i][col] = color; + colormap[i][col] = color; + } + } + void AddRowLine(int row, int col1, int col2, char s) + { + if(col1 < 1 || col1 > colnum || col2 < 1 || col2 > colnum || row < 1 || row > rownum) + return; + if(col1 > col2) + swap(col1, col2); + for(int i = col1; i <= col2; i++){ + canvas[row][i] = s; + canvas[row][i] = s; + colormap[row][i] = color; + colormap[row][i] = color; + } + + } + void ChangeColor(string c) + { + color = c; + } + char canvas[rowmax + 1][colmax + 1]; + string colormap[rowmax + 1][colmax + 1]; + string color; + int rownum; + int colnum; +}; +#endif From 3236500d495be46c8c8942da955cb6b58db9f022 Mon Sep 17 00:00:00 2001 From: mbinary Date: Sat, 18 Apr 2020 18:42:14 +0800 Subject: [PATCH 40/55] =?UTF-8?q?Added=20homepage=20link=20for=20=E8=AE=A1?= =?UTF-8?q?=E7=AE=97=E6=9C=BA=E5=9B=BE=E5=83=8F=E5=AD=A6=20and=20modified?= =?UTF-8?q?=20the=20template=20of=20readme?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 23 ++++++++----------- utils/config.py | 18 +++++---------- .../README.md" | 2 ++ 3 files changed, 17 insertions(+), 26 deletions(-) create mode 100644 "\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/README.md" diff --git a/README.md b/README.md index 0abfeb5..8ab9521 100644 --- a/README.md +++ b/README.md @@ -29,7 +29,7 @@ # 反馈方式 - [issue](https://github.com/USTC-Resource/USTC-Course/issues/new) -- email +- email # 资料下载 [戳我(●'◡'●)](https://ustc-resource.github.io/USTC-Course) @@ -94,12 +94,13 @@ course * [概率论与数理统计](./概率论与数理统计) * [光学与原子物理](./光学与原子物理) * [images](./images) + * [Java软件开发基础](./Java软件开发基础) * [计算方法](./计算方法) * [计算机图像学](./计算机图像学) * [计算机网络](./计算机网络) * [计算机系统详解](./计算机系统详解) - * [计算机与信息类](./计算机与信息类) * [计算机组成原理](./计算机组成原理) + * [马克思主义基本原理概论](./马克思主义基本原理概论) * [模拟与数字电路](./模拟与数字电路) * [数据结构](./数据结构) * [数理方程](./数理方程) @@ -112,25 +113,19 @@ course * [自然语言处理](./自然语言处理) # 贡献投稿 +>感谢您的贡献~ + + ## [GitHub Commit](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) -[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/0)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/0)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/1)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/1)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/2)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/2)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/3)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/3)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/4)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/4)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/5)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/5)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/6)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/6)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/7)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/7) +[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/0)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/0)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/1)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/1)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/2)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/2)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/3)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/3)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/4)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/4)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/5)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/5)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/6)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/6)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/7)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/7)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/8)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/8) ## 内容创作者 -- mbinary -- Lyncien -- kingzevin -- ksqsf -- cclauss -- 吴颖文 -- 童世炜 -- 熊家靖 - -如果遗漏了你的名字,可自行 PR 或者联系贡献者。 +在对应文件内容或者文件名中标明。若有疏漏,请修改后 pull request 或者提出 issue. 欢迎大家的参与与贡献^_^ * 仅接受学生原创的或者获得授权的资源 * github 上不能直接上传大于 100mb 的文件。对于超过 100 mb 的文件,可以存在网盘,然后在 README 文件中贴上链接 -* 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改。 +* 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改. *41YJ94en@&VI6$9P=jBVJEz*hmaL^2@^U&IoMmz@6LqkapT(_s+LTUw*FN}$!0F2AUoalW!Isha40<>%Yllfss+Gr^Wr z)UL}`(^-rJZqS>TWvC(Y?%l&*ea*LIZUitT$pVaV`|z}h_-piy&BC%~bXD|ZT~^U} z|J^J&tG=P1Wl8y%^CntcvifJmskIO5xch7`>lJHa^BfbVRkV^;X5@A+dI3lBYA?l6NHxfe&Jfrg14TzE*rj;YPLgc zc*Pyer;~5@9QkH#rt-$2p~s;CA&JYgsTw$>VYud}wtnp_Az$l#JjT{814xrY)!pZz1%{Q@CMPq1k?;2fW_Ni=>%&K4sy`$HA-aW-aS+#-{9M1*1-0?IcDt>6Qq74=-%LJo zT`Vx#q+lY#A78Kve_Fv`HYig+w-B~vXX+}C>=uXovtQqpJ&YIUTSr(@(^Q{aeRrub zJ`Mj~cy-9iJh)Wiv;XkqfenT$KydN~PGxA|l(ky)mUGtCI=+=}9iWyn=Nl;i83%YbKp1}KS% z`XQ)<-iIeM~vojA;ghbl-q!9L767@AKQuzgE-9NtIV|ftvM8n|$W$qw1yW)J3qb88YZb#hR$TxeA^w%DcJ&#;eS>#J=i*@X|yYG|x z`d{D6u+j?=0C^{6^mJ|BVar&gUgnTZs0Q>R`!EF!FXXqE8Dxtvuu{D4<nY1fpwife3jXu`wiLgZjJ87wv#0yrEp(LK(6Zz$=7p}<&t{RhEmPKg}|b? zi}N)^$AxsAbvtu2N1=z6yrV{k^;8$0k5}PMnQ6Xp&`~S%FVGxN15a1YAN(5WgE{k+ zdAuky=yfdrD)OW4L&2l*`;%`l)EAMt=m&JJ$K2R*$m@MvLzx^za(b z=GI^Xi@g3LdXCMD)ImKHzonBjCw3!5*Uh`V@dkBxkKg)tZM3qt4r0o&qMBD#wre&i z7yYOoy7r*0e!)sXiA8D@1SVqQjjLm>GEtvZqIosY!>>yM8DxUGtlB{B)RSFid6P)Z zCPtz~sy2A~RdD*-F8AjbhWEx~@}hEPoEvIwzv6Jk`R>YxCthGtSK?^|8c?7xB1-UO;k|k*Q2j5zfrtWelRH>1y$a#Gvz0f9J_%FNt@E=jZF>h z>3BKqP01JN;hbVN7p|q>GEUOma{tmVP)8dCtUPM*wz1eRpZgCq7a!lw16Vq==Iijv z$&o8BGDmOx>iG@~Xzj^ex0U*> zENilBOusC6nhAVS&lwN^*`T&|*5g2AZs+u!@i$p%?71{!5^Pq%!`}$h}(58M{lvF7x3S^^=v^I zl3hD}-kbt;Cc(^0uLL;4N8bU~CS0ibKH*`$QSUqy9unrqhwq;24_jy-h0IwKX_sR! zM}s=(({{6dgDzOp1fnx?s6jdI?a4GYU z`s4*{P)os>2+9u*byu7o0`97b+cJlKosMx* zm&yz2!?lB>JAPfO8m;+OXEVF+A}AncE?OI1csf6nF$ug`I;d&U5*82ciuw8h{^RRM z#8c6Y7{4E&bz^RLraOQ!Gl7}87d!vYQ z)co8(*%AkW(!*yUJtAm6B9D}}QQ60WqTo=iTRODHGbg__9)g=1z(uTa<|XYE(tqQI z-}Yl%)W1M@W z?!=9H5hE|_+O+lFw=+HklgP$0!ndM-Q7Y1aEFrzhWFl_iZ)2X*Av4SCpBrV`vLXnS zqzjZ!z%rY2x4vIcw=(GQrmG!$ScxX0>PN{7?fsF0ZzETZb56`meBg^AqmF=xp5_X2 zM`be7SxsGbo20U7&!yiwwji!3|5`!6-?!c+!d751!gE)LSF~M?JM6aal-`{%=NWy^b%22KY}{Emb#c^NI1P>u=8jf>@LHvPPt zwDaQY<2!1qUdCxRG50RCQqLpqo&9s=eQ_dG7uS0L!b$t@!aG!}0>%9W?=MDCz#IfH zhxMFR+}UN(7cRvo5>>P27jg$04zfXVj&okN{(02eE!T<~bt8t}Tlep-|NQ2}fd|#B zIK~0>6kWPWm6s3sN1gy~$?gE1B|qkro`l+w&Ov_fZSVP**Eo6%=+-D;UWy+A&g)>( zhRfmHn$Lavx-kU)DSNeZ@eQb;=k|pn`Eavtz!x;#UW4U#p(mrjYoZ33rR zI&T7367T{vK;<>c+Kz0jEb=fc-T!z{?=!b4|5yG-_ojS3)``f!lhO8qm@q7E+nAy` zXAP}1V6E$zU0Tzhw~*ki)X$WhH671D_sJme2WZff1^@Bw6UKhDS~{5H{xbb#iv4@o zmFH1e8K6t+2@qKGrmZ8P{u=}psbl=0-}_mvwg|{{K%Z>OqpFh@u0W;uZf7U2tOBvq zYQXqn^T%7F@1Mun&nhJvf_C7MwtW5gb{RaW8(_-wR?4%V0Ngk{pHMxN4FFs9=(Esc zK~13drN3g4E&1=Z*FoFXeP31Lr+cJo!SJDlk-x)dJa5TmoX+Rxz$X!4fc98dc5CL! z^i9{JGirS@An0hs)kE4{GVZM+{ioSh-#LwdT!>dVfMVQWN5EzjAObPZuSOz(IbY-y zCEPx&f%izU8Uno#7{#3v&!N_?C!l(|`|~5=+~4ERiG2si8YafJgar&LJP<;OK>e#WJ7aMKLlDQqFN7Oqv zfdX{XE$!9eMjOTWwgP8u#HVLck84n)4^id)zk=)_aQjD6HOtt|c69|7d844sXiHDb zry%%Z(4cifuL5-3X-oP&o&TJ$*$pVfjXs_+q%6TwO~sHInvJxOhWG7+^eEs(5Ayu= z-)B)O&{nN5$LcL~c#Pj>=D0cL;}JcJrs0H@)4k(h=6cH$+SRme%m#Pp+4SARSDI}C zRj0WY8s=HRIh__{4*LEv+=k^4%2;!OJ7lz%3{j}Ch}hW(m^uIf_0%v|OE_8$jAfk9~fg;K~q z{|;ss2YgxbtPsYv{43+!g&7w{A|O9-sJ!Q&gyRPd0*&@REa{|)dd1pTX}(QRvlw6p zlCST-0pMG+*0Ss^dvq6{lVr8p(N&-JmL37&tZ=C2?O4#JLp5Be>h*V3rxpTD;_Vfz z@~}E30JyUmw79Y$%a{bw8fx8Z{f&;Vyx4yD*Jc2QBN8dO_9MT~w6^tsUv{(L-m+Xp z0n`!;>~C`>jPs~q_W}pSg$1~X5TWFr^ls2^g`)q1LRHx=IO_{|nI@<^F1{9cq%Z*8 zPj(k&KbRR1(VMwSEBPNbbj~A%mqUA+_O`?OE=pwii4t_+yQuy`U3rG8@dwm%kD2f{KGpz6Mz5-g^4=_@8-0j?vnNY`3I;g)t zeiqpkGzgk0VNi^OqT;s8050AS3%V-1ht}-AhSU4w6CB_DdvxXdt(-Ie8aVc=#m(H} zx{?}JYDV{QkXb*1T?ej?kkSIPEpH)Eethe?@ze4JF%SJrO#G7jly_vC*~{pgQIuoq zs27o+SFLt`L3rIPeL-ZM{RjwpNL=mnfW3XZv)-@*^aJjgX9xNL7i%%sd}tvyPQAU< zxazRONO#3y2Y|#^X1N+7(E#~{0}-~2{Sl$9tjFQgT4X2V_+Qb-08dBT{N3d06bNtL zNVl@Ehei`+fN%4Ew)p-|N4WkYM?YVeJ4bkXuKrzGq2XUO+WjEwbdFl*t#2Tw+#SC#qQN||v0bfo_s+MfPf`gN!n)5yPJ|7GBCv$yNQP(}sM(wrc?{;{)Q2)M4?puCE(Bu4`9%a-ae z{*!)qdTYVZ#mv?Fr*~8$9{kyn!GG?kah2MtIxf_y22r(}w~gd;)xQHob8mI7&IU%+d@^?^y*`fA=tk?A{d=ePH;mmd{m;QquT}#I z_o*iljke7PT^Df|DPF4n_L876)x)8&2RJD9L1!a4AY)Nk;g=GTAF3xGAXxRu`UPe8 zSDa3`XD`}i>mK+0!Y6?BYJ5^Uup^)^nEgDm3&lBVMac}={_{)NBP>4qT-Bhl^*3Pr zD5xFF5WR3Oj>ykX1n3?nbDV=kt9bmAX;#<;A{uYaF?}h%pHPzPSR zen8Le^4oLQ?%!Dd?H%IFxBkVymJjGpw1bY=wwjo&i0$7$f1RDuc}`OuN>t49+Whw) zfR6a^?;}nV?)_t=o4)-)7ymZW^EI0EKH1MNuN$}KgQnRIPy@7!-*ali6=6ZI5_G|z z%-35~j{1$pLHj=CZRc4_uWCyw)L&ffOL!NS-rAgrYRrzAdNTN!bwIGE0X%UZ`PW|0t>gb3{>~l z`_{z?iDskw&6B^!fMPIv{LZ}dqbgi*a4OKjso*Gn?G_rojIYHP^IGi;c0cX??Pm+G z{10k-6pP=~g2t20blQqN=lMF6uTC{;&@W{7@5i7a&Ipj^+398A82N*sK+Lsp_jc7g zihV>Re`v60-il|}-J9B8^JUP`PCYTUr7nj^*O@!0Ojq?UmBh{JbDp<<-bfF~dYrVO zzJ$b!4PlbnauHbbe_L-=k6(OJEp2pr_g8_<^6=Z^nhh@q+O}y&2VY%Y_{XR3{2Lbk z%e}tPJI?ogC&6kJdYs8<~jX%;M!7}>YKQxs?~09v2QvpH3sT({$2 z!ju0G!UIj4^cEK+9x)e7aLwHX81=<#h%eNBn~8__=hhq3%eMBBtWP`{;b zt?nw)M~bI}gEC4x#to2||325b7_&pQf1u)(7Ukr#Pc3wQ%wMSV5o+&=8TX`ZU2=B| zsalSaErY|LT}I5x|FIbMUDrX@H3 zKV9Zu$PPTGLGjnV&E-?oV3&;-z&ksZ{I%E6f6sIc+#4fhFZi2st2&##)zo7N&OHGL z=0UWp$;lnyRC1P_yqI_Bz`oz?M4|J)-~E4pODTjiC>8u!MQO8~GT0oOQ^R-fL3=y* z_2~Tm{}tVVtgq&C7H5`K-|F5}M;5F@>1A5lMfaL*cIVLV$l%u#_v_U^Vy|W2Q5wEE zJI~`QjJ5CU(4cs_<_bf*c;X)b+no8ofMmV`8XC$!mK6Ck1Z<889X!5cdl}%|GJpQ~ zmUWSJHxn?P54p4l*#22%pnW?_2lK4OI_9h)*XC5K=AR>2;Q3E5GjY=zq}bc2v&}{)9Qry4t@vcpSifFckR3oEgW!^2$JB zmK9jW&X{gQ-;xrAzc72vn>_aM8=#!3al;DG_QI(zegQ$DP^F=`ro-Z3ecX!V(m&>R zaq=I8MYE*d$877%GB57n7i}t{p_7fh$ZW3KJWl>mAWk@3`-4z6rk!L-?YVjBofk zws(EQYdzPV{T2MK2PfT8loJ>8GH<{AZLuL^|LL**8)Q}4@m4tkIKzXx9E^)r1zl~= zD;jiO2M8E8kUgsMflG@4o%o<>yoDwWQV1B_TH|l-H`xFg5$wdKBD|^6o}U2g%kZ zBeMuuE-9v5>bfrj>>N99ZCi4{AsD*E!VTMJWZMK-rPb{1AaJ8G z&t-?70D`^AVxNtVv^r>I3*GCN^L@y+9?bnk82YFEE9+j=Z;693SIuA!x8(1-YfPFq zomuO&e@ohTc}D0_{jy5Hz56wNyxh%O*#_{8IXrz#aG_R%;G$Sk7nWX=|0Omxyn4L8 zASW&jbk@uu+%mI)m^`S5B{d_sC^}6Ek?GJ?46@q4itlZ}blAql+_s_&aDli5VabK*7~tzAt_!i@2x80#AyR`xq+OkNY zyY6#dYDt!;Ch!p6i@U?RmUi%lOKSCxYv=@*>X@+O|A(@# zj*EKh-laQ+?o>(|ff-U#X(?%t79@v|mKr*hl#&h!NeKaoAq51aL?nh#K%_xIM4Z*M8Po&+{zhYkjY;cW#=ZK;ua!j*y)@*eN&}&;*_$NBT4v z&~(!eb}4em;06dh5a8W$5K^vrRI>YK!<5=UQ>9L7 zVgooslehz7aW^{-JN_SkG|}xA3R@AyL?crNnki{TpBfhrt*;{O>%S;GlFRQt7b4>a z`ew3#bswP6j90nuOgn ziQP2$pFh9g|NhN+V)R->v;C_hX)9<&vzc?&@(}0hZM%cdB5BkJ9Nj@7+&AsD$Jmi4 z5vJY;`!sDtrGg2N3XOgQEj7|)-br?vqZj9(3u&%KIl;xQlv;=8BkZUirjJ!I6ohL`#J~l|@|JrLMg^d*_grINT=$?tSCfZRV4C1Q=3>i*p=+JUQVe3CgD^>EU(w zCx3v`wqO4Xr0BVdshbJg+#9l$&;idy15yALLa0Lx z{at7&T{44vf zSxT9LHY71#tZLfr#Ot;eoGXrBDlPw!*U9Lxb+hAvY^W_bk@v~2M3C!i%?*2P(Ob(%_pH=?*k#Bqk<>{_uE|giyK&Yl^aPZA*k0%7+ z0VLB7sEv!=DfEyn)VC@+&q3#z7O`-f=ws#!8k#xyt^@UcF%Io5P{-sV>>V@BDrub2 z*OYjjXuD$b>49a%W7{jZo{^fr!D3gC-v{O5z7CBH^B1IPaAr-gC;%T=+;+dte9A2eGg^R}sJLR+B9# za$~#9==z#?U+ii0>M5P2&l7YBF2^_SR{+RIpVnV5yT144*ldDE(3}Ejs}!l!64{M!dk-pSHCyc`yA6!P5F z)3v#cD`vI+$?9|-HvZx@lp=*lmKcQ^k$u9sT^rp4<(Se2hCAfErJn$7Lf(_!0|D~1 zjBJ?JBlvshBHpv&U&ye=zO}F6C2*^t+HBf6 z;_76DMg+BC^@FAy3$R@(8wL}$+QRJ$vgU1oN?K~9j3yJk8zS-Y-{F%oUU%U(3*LEP z83v;$##}3O5so0Zr6||=P7XZ(xwW55xi%18p6eJB9sZz%$FMBy?G!y}f{9PIH6<6- zvmJap*I8zbMSvpVT?_E(SVlV=Ok3HWQSh>mS3!6>E2nvEcYVK1DmFGg_5h)G3#g7W z-pa=koz|C|yOy}Q%)Yp1kb!720VOZ{P*lpV=wC5n>wSKbv_-#?uO7TB*8Z9M7Amr( z4Yhv)hyDJ({+T!7m7-6BZI~KelYixjAH5rS07oi+ZS&KwcOZ5I)R$WzG;&|E9H)jI zd^IOPWZY5{T(=Wu{*fG#|HCRC1~;m|^eK8(@ypMOZ#l<`q7uy%y~m!FNiXA+xnN<^ zX^B)_N0QRz@(@cPF;RWdX-P5GBz0@@WUH zXtt0mVKA(|Vl!}zfO}iF^aX%-Cy;>~j~OIo8c57$meSha^0@BYzP#NP@zB6>O9UQx(ND5P-C`mE3|z z9oQFyjiAX;h$v#|Gi4zxibaCujV=Ip2ek5urDJW=akBbv{SGNQ6Jcw&N8~ByH7sFh z4$~m0*K8Zm6{75I8Jzf62Oei1dEtFGu=z;`B_6gYNhR{*q-V!jAZgQ@uO|2hEZ_5E z&2mn9BI5?I(nJh5_15N+l;w0-l+?e_%P`l0dip^5x1y?E@yICo8s7DZCT4HRac&Q-hqdDosk{&%rz@zSa$q{a{SyLW@` zn2YRd_L{2pd|SHG>z=^T4xB3Mt_^+sh8!v(e@HWJ4HR&@DN#tp%miL>eH?b4TRAl1 zhfX1F-<9m{O7nm9Xio4l`Pi0+>Pf(J0fC8Pob*(gee!W()SagO#qkGGI8-uop>w8) zSE}Q6ZUr#7ZN9yn%J9331S9?%bK(@XBV0_suDi|b@|f|=XU9Vp%kGQPG^3coj6@^C$5NEd=7s@V;IQ=wme3 zOaO}j>gme5G@L$t-H=$nJ@^ouhcFJt?kG|`(qtN~`8LeWXyJ$CI-izQY`h>6q3cG; zQO}vgC5ic$TpNGr;W3FJ!kd}t7YNkvK690Ka$V?YYf<@?!pDpgEoKsC`jtbpbo1;C zjmn{Fb2wp1a=#xDca=WhJFe=52F`PM=kxR~}}`sh1rf^8N$%7?}QNcu0{*AZ8vWiZK*2F@e@@Th17g z7Y{g4n^9=JgER|UAWc34-z!*{a;5*x0maOIK@aE2z3pKSC}LSH}-j1YD3qPm2*?Sk$PM6KC6TdlZutuf`T@C1(2&(UW)dSv#*+H~dasitRY z%{G7;_Ix!)it96p5NW%J{d0SdNT-GeVZ;t6-#)#5@w`xC)^1GXZKR0e7ubr3(HN$< z?MZGxv?{)T#I4I4^xjgYYU?VI^r2cmYT7H@t{-EHF-t%NXTm|4sK&Cq$%l219UUg* z8^1THHSiY8f7TtxiXJV%Wit?PuWXC!i_VRrYq&ra@lb)~P^hZce z7mw>9b9QvSw|;HkZM1=3BmBY3mKIAY~g4m>1X-QAsKas^u5z?W%FV`hY1pS%@IkCUvOiLIJ$ z{Ur18Axj@e&nu}#=tW=oAh(ID-8ux*v^h6rU)1igLZ*|jcchel^^djJd-9`yofhY` zza!$Z#TZ9KzbH<)CKuUf2!l{mn+QnZQP$&_8NS45!6+BG3w=apWXO>8-PN86HYKZO zJ~%PvocM2%@y1<-4MHlZ#XBEs9ubUVJGU<=el_P?mQ^%dz;35jYDzi7U{y+xM|!O1&ZX1V2))Kiy^{E%qq`Y zfsymTfrP!DpfzFcBYEq?nejoLXU&)%`bJ-fp%qS*?Cset z2MTmz$f9u4kM2hsHtV0?QS!*I*Y|4I`#MiV_uE8|=YAZvjd(=jM&p4StRahMP%Hja z{-Z@g#gt1kPeVcQl}s^vblAcL_@aW)KC)RphiV^x2CD{ zQ*%Ug#2cl81rgD8nPJ?lVCESPoK$?W)33%BM(|iiK?k=eCp~D4-&jR01B031kz$_7 zeod?7$7Jv#godeQP|aC3v!`BfzG_bCtT~) z0;cc1hPkqWvb*8%!N7@b{b564Y1%2MPR-|3%wg4ZSOd9|*c7wvIl>P_?`k{?&FXul8&q=-&rGOBVd2V2_*4b==&hgAaH>~%U>@BM9Fe_Zmd*^N zN!=$|??iv0^oOLgS5eUt3`tmK1k(+Vikv?eCrGf>QkLS3<6JV?lWP*~IFj}kW1&>? zJBQXh-OHHas9)rag_Zrp;(Dp#Y6Rtp7Qc1Lkr9%Rb#g_S!7{oa{H$l-S>`kv#^%6P zjx|^^e22n4gXmtoWlf=dO9w9|Kf$+{Y@W1Q{&K{CgsdH<{Tc*zC69$@80h%FrX}+I z64>hKT-tBY4RU22WUgh%wkr!Sj8jRA^(2c>Q(o$ANga$6?VZNH`RHP-fQ6>nIMr*5 z4B3z!7udd{*Z#O8_+1n<G?SZQGAkb;SW3!Gs&o2d>R?UHrL(Cf>xc&Nxi?xpFGhN=QLAe3DD+oJjmvA0#J*N)w@m ziR8|n#K{VIHREk8H{C-;?^p-JvOSN7I55PJw{J`7QE5s(P%}%1>Cr-8$%<_C^xjr@ zP9ztdJVCQX0j<&C&$L?316>av)(iu25%j-RmUZv;JNxeP? z63I(A6TP*>E@(R ziSHGo&^;-Acs0|D%YY!d`Do65bZwd_hD0x=lG&4SJWUaP*^Y*l9=G^(dCYqymL5{62 zti_ZAYnW8X`$|g0MCYh?=B6#G`+>RLIYQi|R;?0KjgmOtTz;4|M?&0{z>Hb^&RqTt zVo;CeU=7BbYB0-G`ZjEu-fn}CRTS&NLs3e2uS-6O%F-rJqIJ9me;Ey{V6J8|T zY;VZtwWk1wO7ibDMKp-dPbDDxm3`FsO7S{m&U8+pdapj)%z&3{@vGdNO3rC~3so9) zZiE+(3LEF5w2$Z)j&WAQk8$5=@m-V_0ESQvj>=}0g2%5xofGSvE*4UU$Y?0V+#RIh;m#~IV1ei65&)?X6^cDbDMl5A~}S5k8vw} zt~gABiS*XyqAs-_Cn73h78V}0ckB8rrSWbl<~UVbc#|*+S#an6Vf@f?j|}I5s`mqR z!XO@mh)y0mtN*LWJg?s9xtAsoi%wysp9_&1>YZ{g?I3=HI}-8(1GGViSF>4OR83l%(jMud47uK&FQ@NLDZrl7YWJVF5?@OA5iGMo+ko7~fI#Q`fv?H9$E zo*#B6A0FN&P9og$h4*4bN>CZdiiFXddel0x!D0<=wb_)9=NbxN7rQ`9F>J%}VM0^v z&rS$sU02OwsWM5Xpe3mW2M7SXMGnh7YNniad$4-r$g*6&21t6=zYHLSmuP<_gxt|u zu#8J{!`_SPQ4$H5X9^8ckroeks*k7OH#h2(Gx4!L3@7Bvj-;YxGtpMC=UA~QK3dKK z#guon+2p04Nf1U<-o9JJK{r-J9mh0xlNRRQ4e+U?u3+u=#p6QB(AyOAGN5$RFj>K9 z5Nd#ov)M4h^~N;ZTO#nP+>9RR@EWLQOkmArCB9#!@Hpdc!l*YQ8TVcQvBVt?oUfM> zlK$?YO{|e4Ljs{#ulklHk=j3^zsHAE2MVCeJ{X^rg z4^ml=>Y=J5@xSCBhts~1RXXn9OiP($K73o}<;|Z{AV)`1qKIs7MyQz?H0We2N;_$YlCym{|X%BV6p z?`gU;`MpaSukAP5RK>{}izUv#I8!qY-JDPxermhsX5zHzz7AFiCc3A44(sy;^YmKs zwZ`|lOKzcszsk^8(VEVCz;$KMs%`PZwFBkzI_j5LN#be9?PBO{K`-vshn zCikXSg_DZAJTi;z7*(`D)T5H3nZHnx-nf)-kxYs}AR8D6hezqD}6^K;+nLIkHxIh)b z@6D&HbI_BJ*^+*6Szly4nvr$7-#)Xdgb=~o#37Zmu_M4Vj7w))(HMR4zzi^nRo;wt zq#+|-G>(ovcn^L*o9(>nd+?sn{+sUir$_aJQ8?9{C%vN;L}M{B0}T0t99+!CMogMjRuiMiY_i zOXlJf=b5D~@(JYE#2=)A3H3PW)(FC*V(+L-9=E;1H~x&oM4n3Kh}+&!oYa_Q;?c24 z*TZxFz=y3B>l{mc+Sp4_*uwFmhU zw+1%l77b-2$9y|^AMMPjKV7$Bp!@EBU#Oz0#?jTXIc;-?RQ)6_CSF;rCI=M}=OJ#7 zwTJT45twO%EEk#xjCrk_lTMrtmmsTHD}!D%<(TLeyqM4ubvhJ@v~RNSW$(_?aD)6K zw3Pil8+P`1{_+rTRw9wO5*xVQ(ptwNv&wcjdYr$>MMFVCu3({tr4q)zosCF~?x%?1 zh$V4HPVp9L_t8AcgHf~+HPW8`9t|g?q@0K8{RS*=!A)zOSnjYu*hcXor;`l6_U|h+ z^Fj$1&hbU?@Fi>&#k%yAc2WB)r>#UWZsZaN^Y3CLpOwc6JJFBc;M5C|$e6stZwX;d z1EUphn!`;Do%mtRfL!{mJ6dFR6Wnx~>PQn~h~btZu$Xa}pj0NwI(i;_6qk5Q=u=$Q zV4fno&X|jBq4Ejqn$XjNUr3E>+dLM)kxnd9)-97io0)?meM zF(E?hR835HsFyH6L@FAi^fMMMT5lip1q7>6j5&I(jI~YvmcK6a>whyr{&}If14Ay) zGJ4SV4|PsSu`UFntL%Sx9y~^EB7KAu(EZLJR1Y%9Y^;zVS<@r+bOdc zsvZZZrVxHW$xo`Co?oJengO2A&yn26gOu?C$SN19e1Uyh5Oax|Br>Y}ohIUc8I+-eYu1 z>ZNw#q^%nKE5tzm_Ygxm0k|n#Z2}&t>}>0UNjIsuwNi68U~oo>hv}*81XzpQzlT$d zh-^gwqenCj<(Pv_r9wbTtmwv}IoFG2#&*VQa1*>~r%3$FRaPqjf|yQ3Va?oarf|3~Q{&Rw0TzicGzi znKu2=5*brnx$ZEq$llykL(OybFlQm|0aSzdinuPeXFTmw8 zy)~#TmWq`_)L50>!bydrdl)cRJqYwI)YjASNu4E-gt9*@-5W;$L+geNRMawLH5^|> z^PZLxkV3wp;nLyIC9{YbjCe@aHfT*Gi3XEE`x!}Z7JjvJsFQNdA=Wak1< zsrU{vv6~)V>(u=L3}L-yu=S8%ceCx14A-JaE`~ z?5;n?Zq>h$6r-?gr|3*l?lW#W--7&~T8n?ibuvWuETH_~jt>T`6%sQpW_Dor1QDFfI#qmLYU^&FeaKB83_j-~uq>wuSB4Do{5L$G%4~4+U}Pf-lrHB0^C^p(y7G;JnZs)=P2$b866}#Y3m)Aa%@sYwqP2VB8Xqu<8KZ%j)NgWMe+dO}XRF5;*n(Gr7ikPO?+AgT8V|obyU)Sls zIF^3^-?69_(h!x}uSnV3j2F>|8FQ`;MKHXvg+H;%id8aDcj4DOQnSoCkfY(Y3mh2Uq;-M&v<4mY~Hi|9qOHpw? zx;LHMxr50D0xG7~iKj&vA2)(IO;6})?KyNdbz+;jc;O@xMnND`2j`S$+D)S5;nLwV z@AxUfquEd>j+gc(K=19-QMdS4?&t#8KQwMY7WRkt^Vy}7UHqga+fy@Toq(TNoI}Ma z5v8aAKOVb$;&h?EhY;7Z;!82>zNp%q!4HSLDbe>W%wIyc>8tROEZ!g=MzXkZAG3Lj zHb2YGLPh5#*e)OC2W&l4`X+TkbuH1)IXl9ZbJTC_R6l1_)=5os03JU96G1o?XCxDq z(o~2#y#P)hQq-t<#amlBmM97XBWXsgvD-C~Cb~QXiaXiLWKyLz9YvzqzyqkX zsLmx0y&M0Q8vWS~VmT`y@zNzl!|H*Z)h<%*N1aXKvWHj9<^k(?>V4saNEQS632_Ju zio^!%A)#I#ePP)E4s|UJV^ybS3o@=XI{QMYRHQO@+lF;FP&|hgbKKk&8AR zgjI2lhq_6B=uGNV|AEAnQnG;X$}my^yp2`TlMhjw4Q6Xe)Q;723`#AV_7ZIS#J#Q5 zhei7^onEE~hOhUeB{{ZqV-NLE)L5H%FRn3ydJ1#1?$q$X=}!EhH4wo6-tqk_cYF3f z0oK2mNCby6M0$55Lgr;3Lx$0?{OBf|m~ng(ZqyAXtbzM6WGoTq26iI2F`bSy9e+|D z@tOaRl;@dXnHBo*7@U=-{{bt6O8@cp{*!5lKDW9o{?q-D%MC-UA7@;(&d~D>e)M;e z7#|tAUg+<)1f(c;gV819KKsjEd}q%Dv0Hz_N_sY>l6gF3JkVSg$}3mE!^})^dV8?e zPx^dbpMW%M_XKc=jQemFLJfF6H@8J5IGKNYbM^R8qzz!BKxajbuKW?iGvT1!2PrZ-;<}SOXB)tQ)DfBmP>2{(oHs@u;RD)AmE)PWh{{B>CwZU`DAPOX4sXwO@SL z_r2hXN0P}6wE}^5-Un>sv}l;SKlycg1q&ypWo)!>gFBFnNMT_Z-=stJddEdRLhB9T zwiX1T3g-90+z~FFS&W*1#ux>`Kf(R$c$$C8Uo}t2f265@zbA*%1ZtXNT*H_-Y)mpv zRb`o!f|^UFD&iqU6}bK%uJs)Dgk$Hje+QIx=fziU{6arC9w6g(fPYgP|9xQik~6J1 zNbplDk299JVB=sc29F@?H%{`ahzGaC6~L1(x6yxk%jz?`6~JX_?|`oE%lAZJee%af z!?Oc8lPGNvT#y(cZLWpwY4F!xXKe2$ld0hgyXmH~t8CRt9zmKT7Rz~^KTaW!J=9;! zKKOPLBNu`UYxHwxf-y=w=n-Y2gvV`)1BySJ?BhlKCG*eqB=q_}Z*nX)6fsPMe*^~j zOrZytjN2yBT+^94L6|&~4e&BB?Fu@Ve2@pfO$G0hVaCjjAz|Pw#dD$KN5-SP#mxw= zlh`%`5fpJa7!R?JrX@3QI;Lj;)BA^=)juLnWTMe`@G zd!VOm?|7UBP`_Jh{!LvE+P?Z2K9L)D(quN%O$T2aGZ?^#@#zbnFjG z@1p{k{S_)Fqd_tpQoP3@MD>8b+3SRjl8_rBxXgOUogl`Zo)OQb1Gzil4mgY{loha83qd!aCZu)z~ToS7;Wx^!e)Np?Nv%-%cXKfVBA6*%`PUE$9F4Dwjpm*IYzzfp>2#VgmcPmMKo9IHy{c7 z)N@7U43i9S2AVzMGsxP?U&>(}n&?)R=xsMT!M!7mZghN^?N&8YW(0{idV{zBUE}*B z#+-N@!jx7B;m0%(D8W#15|+@ARXXa);iR2 z1~DS*tk@LQl*}Tdu_EGtRBhwZp-li)1RZf}BX&fabH(%2o`<-kY&tjmU#ecR+jL^~ zpXlW)(_h=^GW*zKB;%j+QWP>NHABB{xNG#s^GJPh_g`JeKkE4=v*!~5PCBQy3mU!f`^FSna zmu!H~Ry6Sr433hcK|BN_$S6IC#u@5n0;*}~Vj^w zuf;)7l~$)S)m9E~9&3Lh!Tdz+s}vUY#}*Ff-oHxre+2pYvll*O4!-vMyT*JdBonvR zMrwgvP!3wUIAZ9LBr)f7;m1Lm;fBlY(I$aDHpyO$JlQQ&7kgv24a67^kO|2dH`) z`?Do1VwIGpJa!;rRp~MN<5deC|99P>crZc_A)tFNCmy(}ZZ67#9`1(wvC@9iPX~=B zmCDjHk~4D&E<*Oe9stJ$rYift%sE#6jp5MB`M(__kcsOMczWro`73u#DiMzu0~VB{ z4}@hI%MCojj(rG1+vo?_^GbRC__HtXzbU`rxpXjmErkFc(F3v0gz7Lq;~;f%hAexm z(~#Fv7i<+f7)JNxRdKkd-&qN`HQ|kKjI!4(=|ay2>wKF74Chn3+g3l2lNyQII=o-^ zi3sax)8SbVjM$3vH$ldry68MQ*$w6`ypzCO0V;*#&s1QSj-|dGTD?Y_w5jX#zRI+rtUw3q}AV+-}cmmyr#GjWAd;moRUh7@sg^3h~$*E{Um zz=3(9RaXc}m_!%xfn`F(tl5d<>h7l#H=tJMGnEL*1I#nx^6+5P@LSbNVb)++4*6KI z$Mjb}(;xZOgCh=^PB|(B_M1ab32?@1$^#klu`ezszVzUI&73F-v#w~nk&n;E%1o~P z_76}MVQ7w>CP=?*Ffq4AaRWC@dF`ko=^-PSduz2nlpAYvOL5qoZ~j#q=iY1C`M~$7 zLhnNEV*fUEikv7CNVGkY|01iFY?Gt;2li?l3%phPIQq*(0FF+(k^Bkw68M1|3(of| z_w-zRFy{a$u!dShgDPst!owztAiNcClJ$=bY9qOoBqsK4}*% zTY*4myG2X0e5UKs89cpu5+2sl8TBHH(CXq&sV6adV3lKBS-nvDP5w9Dz$RAOo2l~C z=3{|Om?hvWAANtK;H8!aTjQqk11+?ZCm+DTrOiuV2Pg@l{NetvJpfRtML);vwn;kf zH_vi_GYK+)lX<;|tOt+cBBeL*Uk0{)umYxGNK)v2NQcih=M{GWM2Yc;9c|{>OU3*- z3h3mk31jV&rEc4@A6oI4J^nnAKd{RhVL)UN?g1P$kFL^fZ>_(Kr}2sFp0^X%TW zslwKQqje!U#!x=1mr2SFUBB)vl4VKiZUH?H_qV~roS2(rC>SZ5yoK!djHfj}Co*97 zq?^P;2L9|53fZqk`x+GW7FMmqHS%H;dis0-gGX&F44Bf60J#}cagn|=3C`#^Huxl> z$%jTA$u4$hI_4}`nEA>ax;mjOn_HNou`nK2;3gLMl>Op6;a)aL*DJ&8jKEHkV&MLC^F;o1GADb3V^7(2-J&_@ZR}_}8iN z$R%(_)I2aAMXS7A@S3@F26jkQ^S-QtF?P=EW)@ESZR^PqGMIs2J&>R>$D69e?*2HV zg3+0=0ls@ccl6h1E>S_!Y4=E3WJ~(8#`6nJthI<_Yv6tNh);!#8 zY}vV5nZ3}P7iV<)WyykU*s#`fc}wZAOhRp9d-PB`x1AZF(r`r&ZIptVpb(OTV7!_G z0#+m_jf%aNbCk#`XJ$)Rq!^z?PPhn6BN5CBqiE&l)C+bvR{w+4OqNFrilfRC@|Uht z3Qr!DWf+MWTLqM%9TQUpZJ9rTGHEFqr>hvYFB??isPY|bt`+nEFgCxzj3pKo^cG#vTvAd!?6K$?eij?a7p9%i(qVzy!= z9--daj~+0UjZ>u@0$v69?OtuDN3Dp>N3_sPwjK;Va|EDGDe-$~jU&4ugl=&LfYCU@ z0f%}nK?r?~`(&UST9*oTIW2JNk!qYl=Q0p3Ig}aH{0zs&*Iv)cpLr5wZa*DE6M{$mqUBoWaHX(`XNyb~Zq#w0S*NJkY0n0PWm?-$X2a zdYDI0A59bjTsVs*jn!{~@Dxs2agtI5#!xbsGy@GY7Fjsp1wZYtvSfl4dcigw+1~SH z1as)Rr>t5i=-$)J%T_iLsqVK?Oo!R%M1#RuQrP2Zvr1r`n&G?5yUd(hTfi{n0c+qF z4pDpr67bng#6>_GLcOW=cDiaJS1ijr(&7lbcyD54qNFVu!H+_1fU4aBD_CY>6uNXt zWOV&{ac|(DUdE+UR^!9&7ByNy3i6oD-+=fvH%8>>p9*Vs%!HxsZV9M8a=-5tmqk$D zfakaf*<=7oW(z^OImtRQF3=w%5ZG3{ea#(9_0WeklyWl{s)P1u3INU$1J4i|cI-XwtyVdOgD z5mNh9jhLYq0j^cmQ830+Um&^}4YmS_5m!&0?;-|AJHVD>Xydh4%YiQ5K>qs-_(_R7 z=S}La)nEzUAY<}$U-7Sad zEOpnXb^$4AvE-1WNsIP9G7Xl-4D`FRBf`u2!iU9}{GKxfgHqUAVbme|@ zVurPk7>%%DZO%RAZNOv^SArx1S3e2oVu6R?*XgGMut`*88noAvyUceOFjLKX`-Q|e5 z_F0%<2FRpHZR|nAfS0igNWm>*9V~Wfy*$VdtN+xl^5*s2MaQIM+ktZ!+DaG)_8*wB z>;llDen*-}8Nt$R7fTn*1(KSB?vK`TL2}WiIL%O)#VRQoBZsDco2SD!>!LQz#O?zZAU zG_IjQZ*s97re00q0@E35dLdzX1722Y)b#hHm^+iXLiXM@bjj|nrBd3=IlbAq;N&IB(!W>op%^}KCr7=n;s&<{epP| zj1xVMG`GMJWF&|sBYHC`FG8?Zfg;|f5!1?gez@m7|l-tU4)gVHCqN03U{IIx`X)NxS{sa6k5-c`2 zdt08jl&km96#BNmwG_g6Y(0SaNd9{-c^%iiZZVt;$ZS@|jZ9nxPh%>%y4`E7ds1S_ z%mQ{bcf*+Fz1G$zhR13B`>vf&%TYA33No(1PaeVfi9F55N}d+;;FmX=1UA~de{lED zril3b$ENVj4ee}LAyNl7-QQE+fpy)j3L>Oyp`YYv@tI@UtVp#c2>mr5n*X%9)VVi& z=Fm-VBl@~vkF)YZcl(X}QQHp|C6d7&f302cKi3|A+91sWPMm6IGrULwfXHybTU)Iv{-S@pkyYwBM@*!{Y{l13WofZ4MWnT5t z(|Q(G|Fyz-usf?}(LbrS%Yb18D{oM;iF=`-N+6SvOnXkKY$9^TCxr2q4~ufve1%8* zadOwboyYxehc`}X$b8lml6})l$U^+Gwr33P){=n{s1ios$FW~6TTou_yR?0Rpt%}^ zc8jLDeUoWFHmG z(&m)on+iL!YTENLv&!?LJ^>*YnKJtem-1(4o2)PO@2@G)k!_gVk=mK`n_5#DKQ`Ln z5`xEJn8+VJNkMq7K-+pr`^;4pG)q5z`u1qek9>7b9VzqVSY^064YCoz%gZzETj!k* z=|P#zcg)c`tM*-jnVnrio*k#P9xSY*wP}+(VS0%^JS0-T33Djom}+7}2o9*i2C!SF z{VS?;uxtqO8ni|wy5D|{Y$WzHlZ?^)Ve?e*Bl7-&>|?UhKc6~K_P>4VWHw-@u~y4L zJ`n?;<+w^=#0-#u zi-C)irsux|!Ulno^X1!SrwpE<@2?)}4k2-2zV;R)nG@mQtemiBr8mJ+rOEZ*pROHE z`jS{$J@4L}kU8s4=?ZBds-7{1O-5l$%}q7#LIn4XT08FfL+1PI@L7Cxan%B1MzHIa zxsMKN*}1|rSYUA%^lZUNZ?Mfdl_bN{TFbwA#>gPVt_9qT&me|8q-4L=EbZ4NE%#aK z9U;f$Ze18_JIzx$N)co76d^5G*d`0##xLIe+X2~GQKRe4)Be??{oOT|%VmK}>#Xw* zX3e8bUk}}8vsvSaJ$HILpGqWQgUjOFC0MluXa93s`nUgnTiAjwy;6+9Z7C$i_o^vz zn8*C$Z+~`_^(MF;=|6J@Ed9v8xv&nN4u1v8;Kv0rs;nR7))Q|!HYQ1Y0YLO4>f;0X z<7Wq36#SG}3t>$lVdIxAmLZ0H`sxU+{uZ(yBMTp7t(69n;^mzf5xN^UOuw0hUX(-ZDf4Hu=U)}&#CfycQk%%l2eKPLRTSGoN%sx7#}T0lq6p4)Q~?dALJ+5pZdM)?jc zPfuLJCvA7Hw8?KJ$wu8o)6zPJnfc1UvD$NPWy!j?5bmS2}`y!GRvXK%~MD1 zFZloD0&JUq>$KayT=4B-wPV?=R9;!RTs}8C2Lq(BR~G=*?EA#o%bW~D z#dxl}zs0bzML6i|rLca7ujS7MOv$bPL?pp&cQwWt4R)cOAxZ9-GV=KRzLr$i8 zwUbq=)ddueuwlN_Izo}!tEGYh#%S9*rPp)VIyLIwY>RlnntExTyj@N!I4QL@y?lHB zH)V|hv~!L0wN`>rfLD@7^=)4@i!cNH@(h7Jm`KLJblp6cZ`warMn?W;W&elOMAF1; z#@th%#8IU9NovSWn`^#=qQzYG+*TI$?NoNceph zZtZ08TM{kRdnfezt3Eo>=D5M?Cv~2UvgE4^qR8$75m)}5+%>)LCW+~xZ_Ss*OcF8J zzWFW@iAY9(~211(08GxUkzWe z^1M6)(&j}0Y8i=X@H_wO5hG>%*8@JZeBRJ1eD0rdL4@Do$vz}Ksuv@pfPNXUCx6Vf zcGJ`-aK6QqjS%p(d42h5pVeX)vP)8UEgk9y)Qg|WI%vM^7{3XOwT@W^rkciggHcq~ zV9fGO6Yo-*yj~f-;(2(=F%zAgwWWJ+nH$ z;^WzEzc-hb-|?6~Z3_7jD$=)MG%^Pm(h;g*A{s<6RhP|BPtOw{$X+waG-;W<#(Eg* zX}nW#-ud9PK1NOeLly_d_x_{4z@6gH4Q803eNV6*7QfYUTPO0fRnJ4;{1~6)PT9Js zTavcCT%5ORs`q`y#uwm~=%FEVQO-wO(akLI<6VC^C?RoY=egm~23rUe&&zI!rVuiv zX%|gyp%iw?pXJn%pGVi(eL1=~5%gPbI1l-{_g2oTth+BAITT~7W%f-A!T3eqm}S$j0%gqWtG#( z!pY=3aL!%=zohE=b_?+EkvVSOy87{M?P^(_x49jb+TmPYzT4mRUxOuvxSPfFV)@JC zxsv7@&0ReWMt5I}9Y}R%=+IR~BG+4nc=u4gfXpY{;6{{u8W$t>9PQoIHW{*GyIfek zwVNNV)+}oErCgD(`Nu#1;QxSU|MB23`}%ALjoc)`FBj{^g^}K5)8Hc|tCw~IX&H^1 z#`PS!txa3E#k}`izVEdF?n(HsxaZ6C`O^pVWLgfv#GZ_f^6C1Ho`vqdH|EO4RP~|b z0O`S`|J%7v77AfgF39RWDO(%uXW923dNxkseAiyV2LSB44iG_DBdmk3E>~VYK1{fe zs0=OMnN^=8!sJ89rfd{s`6xBctDne+3p+juVl!#p_Rd?i@#- zWX%j;imWaxUZCjSER+%6(_pgg#d0Tf;%HnEz)E+2)RY_boB7d#&1~0x$o`I?M}TIf zau0!r1fl1e9MAcy6K%$QV?y0E(hA{_ZOyg#fm)A{-G{(ygLD>Msm4tGbW#qjB?D~+ zNNTYPGpSb|^IL9faJ*OrlLTa;()W|4(#7lLsK7GP!nrOlnCp89vz$dU zUTfzDy()Fj0r{w>_rFpfmY@~7mK2ONw~X(rT)zobzb4Ts4FsMn&UZ@&*Tpc^ReYAe zcG95R|77WMV~$hhpg7jNeaP$D4pm1$!QB61?5zW$?7HsZnPF(9OX&t_32Bg4q>(N` zN(6?IhM@#OK%}HwM7kSBQW~VYLFted_^!d{x$oQO`QG>a{mDPqa9!t|z1QA*t##7) zGp+_dy&K?>nrNsZ;LH+<#&|yDZLQ^D9pqLN?dn~_X}B&t{>ZemQ~bDeuO?6R8{nj> ze)L^lLU_fq&&G&wCZz}{{EA|E1M4-^vn&`s4;&g_9mLai+op(CO|8gYUSztAR2s6n z@PXfoN`^VSvcD^Km@sgQgvDA{wIR9<#ZK`y;j)*6jNa9b1xz374jckKvVQs(7xkz*Jof{;|4o+xB7D-5-xsJk(*2 z9*(8u661xxWZ04TMysa>5p2hQCi;=NK!J4Lme$}*3*A1cof7l9*3h;Y=wv@J)H_7x z+)-e!7gf$j0x*gLDlm1-W_;GYm@3E6LQ^Po@SWwM8wt1sxYk$t2mp_;ZQggN1^6Lg zDk-*S_X!5&$RZ*W&MB>!1cA+$Ix4o~5c!VO!I(}#egRrg;oy4yL&f(lgk9DYoIc9@ zzi1T{@ng%0ZA?nURT1{DxjwN zjEc3l^+v_ZbRqJ(8Hr-ySgKYweV{ z+J9!`hTc7`f&Vp6T7-pYOMXqV;&B4?!9pHC@tgK8;nFb{4-n_sOk`+i*3#$k4=X|> zieWC5KeLkxn-wjs@=RDMblTk$r`CYrOD6Kq;CnbF|G}-_DV30K2pS3$UtCt7FngGj zVN$0l2x+`b*}~`szViKW(z{xaqtT*Qm4_ws%L!1&L}UL+WH{VVkFV1lJdmSH$Jg4B znzDe&fAfWq`&D(BL8vA5(3PW%LAMs)ZQ^~oQ?u`8Njb=_hfYO=D!GApL!&Ug*RLJ1-K;&hU-1MU=SiD()@$hx+nK|7MrOj4O<$yPQ03rypA-&q=XCEH;9gGGXA3ffoBixQ0`a!1`Irj&rW;me9Zn#ikcN?DKfy|;AQ^-*RyQB-ux-kvFsL%Sw^V~bTsy!NkX!h8DAK;<)zKs%VYxwZA zNuWl$jU3&|oIh-YL=eo2B?MTpn>T?bSA<1^V9QJ$FK)sPj6Wr(iFQq zHv*}#_2Pgsa1AcWwVrpQt{;1dlg?z3Q5W?-FH_WMI1%_6~gh8Y$Kba{4c7Lz3(C0A!1a1$h!B+LJ9 zxa%>#Ay8eb^eGJf(kRi!MRhQFy+F$+Nwzy*zjnjgvEWb^!CKdgKjRm5F|#iI<#EgB z^(!13Znz1R0%3pvnZ}_%F=2C!8U$r%sZA<~dB$>c#2~uQ1N@$h!XNcky1-f#i#(ji z$xZ9519Ks_pwt*-wEs*W@dFtyrig>7FTcw#>Qhi_(O*V*zN?$jFr6Kv-s#wp1xs0` zxM;ydviID9P)weA_#^55mcE<&QNFQQuj?k~oPB0PI(LYchU8#_%ouskT~$4}23Q%u z>Ty8rS19yu8P8_+6Y3rH>Ey&Bm5V>1YvVD#S4{}MV9hz+M~t-nxxjh?sJYZ3;9*4^ z=rU}%SkZ-M2$0a7Z!hnHNz}{wR3;ROF zotdbgNr*QR_>cY1YatSRKPOXX`rz-TypPu(c7d@K4+;I)S zyThT3gq;C+FO|vI()I2x#0jK+OqC@Vv`~Wh%Tm#wLad-e-#^O_tFWM1y?s!4g{&#H zc9e&na_J@aa_RYM5!%wrue2!Ez-lzIg1=sF>?Rm6vkI|ESgnpUc2ju-IdoLl2{^S2;XcsLWd6WUXkPxVa0s2QJ57jM@wzFu<& zI`p%?99nTl{w$n-F*?T|L1B1=kpne<_z=Wozg*$s`eM$3;A_hac*?Ku`56hdD7()ZMP2xi_!`7ATgnuRR=buxZxQ;{%Z z(P|07f6-H)eI=2s!%40PdylDuE_yVdtQYCnn-O!FZGZRjH2+$6J?>0XII;Uv-`Kon zQ;hTS65?L}@Q6U}$4y?kKWdC(??9F~6sejETDfG}M*oi3+N1i)T>#}5LeOWI1QzWY)N@~;a?e)aA(KqUe( zAI?$RWgoJ13L8U{O{d>BA3e7XEeO`W8H7ZNY*%QM2!MltEFal=z6Oju%zHt^oUt=Y z8@qyFQ}(#E47F&3} z^MZLZV;`MHH@jEDGrac6B4QgSvbIB=U3G0Dt(Uaz^I1i%7{{+?KPn|?ZDcRIO{1Kt zdzu&}R>3(^Z?8I6?Wy41Ji(61zNmuyHKMV8eLU`uDakwio+jt!*(w8--^G8dN`WZU zCD`}vXL%T{XkG8FU3AazzTLwWwgnj*%0jArR|xK+I~9zs0ydrbbgf_hJH49&o0GO> zl>Q*mY}8)=Z1jN7arqa1k!ioF{_fEDfB}6cOb`vo=07Ob0DQ7WLlh5_Ts)#(H z0a?RgV-PqUCAk1!>dM2O4v17j8($kGaGuV4Ai91z+heC!i*G5-g!)PBWM84ZuEB8} zcsth41PirYZNUO1b{;d0F=(+#_D=EUf_UNK#GLQk)uyWd=vYro%i8{Fcbz9 zxsDk@OtZe0)j7QTcHc%h+`S9AD_*1imN}246QMxkqQMSr$f;*JlQFprX&a z%g_ZEtKIuN2AxU!Sbs)%b9FUVk8PTXm9NX#Y-Coog`|aj155NF7!R`7j5U2OFEl%< zLAj9RsosnCuNVLvd7I@XdfsaPCsg5jVeB>k8m=66PmF-0yOEg$x+5V;@_@|s=~(@; zOcI;7GczGw(kPglCT4iXkqwdvx>qDRQ#TW!Gr(c>Vn<;|9%f7E?6<)A9kREy^CoPg zVp$22+)zFY<>sN>jKy#pT7u$%I}ng+k(0o8^)Cz{U~1AW!^HKqRb@g_wxR?B1bjww zq3sCUZE30PwzBTr*t4!hELl9;<(;VS3{Jo7dkT1wpZ@}3d_&-Z&`PYe8U z;W7M^r|JqGaBK%BFb+@(=LXh83``8B|JdZ(Q?7;@=Nv@J8K8xr{{^cfbTKu- z(<}^lLA@A>RnG`v^(nP)?7=8ELpWg0*pJ1U!x@W`Ujxpm5&}BjXn*vDGmpW%&=VGJ zt}tIUD`Ty*d>uP|R~k`1qnTL?wOg~4g|BHoFcHY@$*^=jXcBJ}(ZEAlwwxcHN z9D{7t$WV2P}VOJF0u#T3o-mdl1c>t*|pMJraQwM zyP2>h!&9|^Xfv;iDjr5(pcLo4&0hk2v3RGJLZ-~>RHL(V?N`46jx$J80ZJXo8M-@A z5DDidOpLq`Rnm7VN!k@`+dbM#IQ%x!lexIuVe+iAvwvfLJnW}w#z6{Nfy}GYhqZeJ zqIy)mY-#p2*r5tZG9N>W?H}~4izsK^-;HXDd9=&vrxX&$T<83?Gq&}DWM8dEheQHK zo+5g6`xI;X&iwhp#uwS_M>~9OY!8X(acMoMO}3&RuCmMU9TedDjj+(GMR&u9N2SG^gf+s zN|ia((%#h?*VV}G6Ww|rUAI+HrrH-JR`yR=`NWgaNo=}d?-O6jtv&ZPb^mD;9o!VJ zB-G(L>8(Zzbcj z#g*6VC83}@vHnWVsesgD>J97D?)py2X-ENPU*2}bgAI=X&$)86muIEaPQ5%CwgP1F z-m;4Kh zbf(sgx{zJ*J(hcPZEl@8%0xnj#O&S6W72nWiWH7nc5kcsOg1MySILeMS$QWtmLlAe zS5Igr;lz0=Jt-4FbUBld915a5i5zwzi(Z1P#0CaD(dHbf~=R*~~>gyPAn zXlO5XNJCIB_<*d$l)X+Js{9=L+0Vwj3M!a7E)6}+BVK=Ip%%)ob>y@*3GNhKv$b`d z5kgodn34evmaCL(j&F}-qS$V?7ky3L_cJ;eC}SNzUHdNY4yx{0%|MHDAA=l-%QBcK zXfoanRFI1MCSIcu`m#viv4uvFU7*KxRfgUINd{`a${0C)1u zpZ)OJB&0uv)VQuFfGs#9V3_vBGu?uXQ4(7@9CvqXr6n^% zn!r|~4(WyrvGKOE4ycf>Uz(Wg#p+g6OJ^mk4#y3yjQ6&b!n*DyOSY)(+Z^ z420asyJ~;DEYje;Rcqhvx&vwKf2eND8n@Vm$W+%hssSy^8;HL~;l(555H4ZSX6)lbHGfU-KqsoC-?t+aYrK0nfer4?u zKmB%IiPIIbLLA3d#+6vN3x zST*SGs(`@2Q*(duftAuqZVk(5tmzi#mM#~q8Q ze}WEb4d8mQehB1gbmHUOs%3>iGz<{&l#Qi-{C2rq}UNF;Lc= z-a;soAN1Pl%gY_k`*rir%|6bSS$Dz-dy6V`fG*JE3KvP-vPJz6()x*Z|JVIY;cH-K z^mnd`GUm@(#^P#ff1)Z+K}4)!avCJG>_F>p7!i(>@u9hII=r zjf;?T#1Xn!(rvW~_((O+g3w_|ChgZ-AlUB(38xGM>Vt@zk5ITaiax}c$Ha3UYIrS0 z3M@PuR>ET8wZwwmef5Bz`cA9D3fujtBMjBH`q3to<0Fy5JdQVrN0TdpW%5|CGC5GP za4n}~?GRLX?ZdH}^XUmtr`g=_?_%{X~e;R%MeKEJGb~t zf~kGnV4hBCUGrBu3OM(6a9kjCa0YUgFf4?uKKhe{K^!qZjF_&p%BC91cijSKXQdqh zeY7u^E$)RU= z6a?L%pD|8r36@2rDBO$ZBq&yVhTz&%45}eupXlD|J?v8ul4O3EbruoCQ$jn$0#UCh zJhfXMYIbwblz=~9b8C}AbMB+__v5j1(zZ2f20$jO7x_J<-~He5e->4d8Q#Z+Quo8E z>+=I`$FLz@42h-?H&Sge&rt6WVhTwU#@q^NzOjD7$CQr+P2jRvLeyKWf!uax?20^( zNpYdLBXY1s_E6IPRda94oJib{!dW^aS#jce6Rg;qC#g%~U7mCh=4PRbY!ng<*BJM& zwWY|bF;g&`ocMu20Dco_k9Jz~O=NR}bcwZXj|0N&&uA+;S93@(FILt$zRT*=iADrw z$Kor}Ac7q%JtFc*D)PV={6P=jSTuP4E19_OyG`x5OL@}`J}xx|ZZz;xoq~Mnh^&04 z{%Xj(T6P(+)GZbv^+mK&pwGt9fL9RO{`wM5*DCq~Vx{$rguWa5K6+SGe=JM5HT(P9%c#X%jYZPAZkPdVX^;u2AlVPrG4F>lE{{wm%LVLAhurugP?#xGjvy zE2UdMsw|+(y_^cXI$cQJuq|WaE$2~+b%x_9VwbGUH=*dj)hYzRtougcL6R550qh`U z19U6xn!U*ej+&TH9^J4BVZJl>alhz!t6vlz3P}_lL)3F}CZ(OhD4Snsvn!(n4Ql6x z_6AE})^Ar}OLhcY3J?4U2`f1$L&7g95z_P*eTdG zaC=uC0y9Qe?&oy%#>>H1@}3!}VG!Bf*ikxm^fYts3Oj;4nh6I6G&a$a1aPt-;)g?% zOK(9ti6^-+qj72H^6o!=fo^S{Xb*68+bJwYd0fG~hklIwdIYs!+daKNIJLChG@v?P`2nIaRmb2n1C?_KxPZ~D9gR~6u}yj9-x zWPxaU8<9=?OnX#SDfHQ50p^^eXKFYYJFhKG5R(qy)~~ zT%t5heN|X*({$4Xox(Z$qj-9lMN{x%!&2I_KAz`F?I3z^i zhb~Lah?_%!tqzsC8t67cR}NGf!yoZ#jYOk{0qo5=Y($LM{JcxJzycAmYCnaRuM`F2 zvs*>dwCJZ`Ww@-D2G>CzhlDP?#z6jdGp|;K==z89kXObRm?awwCf{~CFSr7Y?0f@l ztSbf*xO<)s+`$bjlLRMkf|*-vo!y_uuB@uUHReZQbH8Fn40d&m3Q!Iksh&pKH1Z}n zPPub{Na^eoc#o`N5A`~;zBX`Q#gHNd%|l$wucBKG#?!w`t`VXZz0!%76gJJce_|-V z;0@iQN3iOJvf81h(FLet)x@P6Nq*(Wa3meLvzc0uxeLnN?X$so9xXo5ko|V-!|_tg zalfJld>8@DSTlG~BA%!t+8Hc^kk?2_+2T;Oxbp2^^fB2==Yrp?)VG_JN4P$>YN$yX zQtoJ1NvZ_Ol2lo#)&-L!)MR!T&6~J3Qxp;_>Cv8UXu)LfCMQ<6A+F#)^Q+U)vXykO z)<~;f4yTsj4jhyej;cbThx3kXw%>0P@g}mleK5h0U3seK78mGsU(i|dU}ktlA&x{6 z#e;`;=^;Vk{T6CW75~zgP$u)9cCi3#BgT5$PNVG+H&0t>R;& z^(+TxCXZldb$uUUW1W(i)m|bN$up=T722tEy2}o}U<#pIVEokjucfX<(Vxt(Nh1+m zy05vQhVHs!$u7{*BRFBZq4UslO4V0jWmeuM!@HvVO$BUG8wl1b3$b@DAT}AhOm;)( z*BUw{RPR+XFC-E|9Y65LZb{2ASiTd3GnL_(tO-jRxRz2Lquht)6QLGYlKT2`PKa5#3Qidh){(2@8%Wbx0VcYQnjite+EB zexNIrEcwozBG+e}_HgEF!zMV_qTW{zct08_Qg#iw45l#gIPO5v`!K#s__5#%H z!0c7XBz&aPRpNzOc(@V{OL%0?g_e1VrQIgN6~O&YA8T=Wmiu5vAV z{?6mef8_BzZ>YEDZE!N%tKlUX#IwAJ6Nc$Jj7ejwc0Up+&4zmLOt0f8uQrArJ5@jH z)LxxuYQ0*;V4#TIa$u!Gpp3tP^Xy@LIu2B9#VZ<(g@)*Wo8|-l@m6_^(ol*nxlRhk zCpe)ttDQ$TT>(USrq7)n&nxR`0pJDev;*PYsghY(xkh~SsNn<$M1k1WV|fh?r{rmc zu>}`V#D%z6Ruf%E0m$1e^yLj5h~LMc24j>_uBAj;a6K{xm&PX{+A8-I=Z4Gw;iZB4n#7bDhG6z6* z&PH|^I~JprZw{wo${uoTMBav~Y?2RTif(#GYUQ|FzZAr2smT-Gv(km`A6h z!4czeIi0nO?Zx0>g^kG6iXyVJF5vQD_%|u{U)0qPQ=OaeYLJbH`K_GW@ef*ftI0tD z?-@Oqv+FRxk7INSc;Gmsd|GUF7RQtqM9+-(C?VpiQXsQ^%QM>aVFeT+zSaFX;%8B@ za^iD%$8!qhnH!VL?zh@5)jdL4j$~|N58SCiuZ9wdd*1E}*6tOF^%KwG&J7Kd+;v)& z&)2#q;YW31aYQJY?KVuU1qDYGdCqR4&6Rt}&e;&!<5HnaEmN4#YRKJckf9B2?ufu9 zlI$?YqFKxHn@Mpos^!&)Bq9rtZVM^vbJ;9%n9X53sWUoG70Q<|)5|CC+hrY-h}{Py z8(j$N(CFr$*318BMhE>zNT~S)=9Rq7hOH=)L0*f|f6&?|m!$SN?42X#5nUf3Gz01E zU~WrlFs#-+_(Io`hN|qgC?>7ulw^kwu;b?4BtRb|Z)3+_ zd@<Xd+9jE3=ZKtLoQC<7^)V19hSI}V_#i&-hj$8n(yEMXaga^&Y zZcN~YFL)1OU0$>pyK{2SPVxrzSb~E8q=f3*H%!%FR8pSEjvZI6@(-7nmG>5tF4g>~ zYI8)>k&CArBPXG3K4P>R#(&q(mcK&~yTtLnyH)o`CgY2^3!=g9q<|yXByFa~St63T zO<&n;rntTV+T`e2qazq$Kr_&diE~647P)IP%xp(c@%vZlM1Io{#>iB2wKdcn|JQ7Q zr0?j!JMbUVke)vd{GWP+24NZUB8laPZkAt`*Y43dkP?9Cpte zBw&4OAxOL%6dlCd)VE@kZuMaY2TSKE^B1id<`t67erketUA`}5=^c$bWQnt4^4&Ob z&v$ZnM2lP>6m&&XIDP67tmxA~@)K;=p8%RsoPs5^m>a^+>u3LS+u90Xap14KV1>N~ zX+T^@ve;k!8250egC%P3mia}C_|kzr4TK=fevcb48Ba0tRlM%zOyU+*A~LFTYr^jJ z5b`QmIjWuPO*Ig$(D6Yz}#utDGiq9eT->6Yy0#0Ta57iT2fc4+1o^IG7InBR8*;JuioJO zNTrHbbv>(i@Lv2YHHTV(){trYk!D*DQd1F@#}Mc#=I`v3y81!daP8H!D5@YpK6uq1 z^OJr7@z+i!`vc1T*#}qmi~e3U(=mg3$P}e|m`Ud0^$L)l7NwrHF{7ERTpJ94?jhQz z-#@7BQu42q>H@Pc9C%Rv?e?d9wtA-ilk$(TTM{RvY|557D_=`pM<_twa=*hjLCxkm9z;!m={_fR_>ob3APc$qX6%x3 zZ>EI(3GaQN|2P+||I4|cThO$xuIHy>Jmxe@(MgALm~iYS863NUeEmr#dq(lLAc1DMGow)i%?oIe*V*Nk79-B6Nz0X;pW zYO-M&3IglF@t0ns|12$&MW9TSkd+cq`u^G{&L_cRg<-3%%|ixZCr+eTFjHAa`(FFQ zIs9SjOU({|Uqc3`h<~(e3VDVqcsXhuB>(sU_UZ(M05+GRMEpNy;q`yiV}Gi@!r&Ut z3G}CgbG6x}&KS@400x+7V2EYV(krI$cPAJ$iyOxP8<;C_&b7ExEX~TnG=sn*b<~0c zIC;gL(YoOytq>*?|8*!Gm>w~Kq(LUEqA2exacfZ^@~=v^3q+K8L>tR$r%OW|Qd&{s z*v`OIl0!-6a6(d9wY#<7+^^tAUp*Zp?_g7gBDzwT7Q#^Ns9-H8fFy$z&X92~X2$_T z97O#fS!>)C!fnzzQD;cmNCnef}5({wa6Kf#gp3>^uilnMIZO~{&XP#PC)5% zz?kE8XJ#XRhtiO~{giI?H?T)4cmeWt3918mDitvRQQncMWozdjs<(oZNS;?!H*)BJ zYL!VAD!Skr@wanUbkk6T1ZM7|EwBHU@a1;?FN>Imc=PlPHWdhZ3;!HU_~nj{<_zJ1 zavb{m+H!}y<+^oSdR!h96_6}cnO)xZ#MPG+K73T<+8DZ-dJ-PEQ8e%HV(cP|`qJvQ zV4e-&LV>-|N6Cz<$kvK7aM*46Bh>cYtK!Dv&!S@#(tbdDp-v}!#x<1T8n_i2uRvmE z&&$ku>HfMMaXvnQxWNL(uPNZ7*Z@?lH|gu*@d(`W-qnNg=sMH4I& zm1`Dza2}HQMoWgi&|zMRJd<@>Jd7&g$oFp9+jsgcKO0{D13vs2b^$(K)wCG>2`u&3 z3UhEeS^+Bv+JlAnWy%>Cld^$s$B~-Ai9^$IKZyeTGF&@{BiCdQ4~_qkOgdT|A*aXD zNmk;KK-Pvs4_o8Ugoh$+KCs^hw8PL}_5ZutMNkNBqq1PgZ0$pno4TmL~O{!a?>e=2D_cBlmt&`x0N!q~L)#^6ANaS@uT1pWgbS#Nk!zAtanl{E3`#A-q0&|MTs$HY_9Qa5TON6l4LHikudH<)H z{PR()5Z`E&?RarsZ@`WCaU_ScQg+?mt(BZayYWrK4_$WU?J<`}vwkQVlea{i8=vR> zTPHj7_vYt45qnUat7n`btwA~Y>Jo5wbvrIkGj!;?h`c_H+<02-@zg|WcFDOi->}eQ z%Zz$DLWod7?52W(L_2I5e&{y6Ba#LXH%8pw0gPSWzblKrnUk4@)ED07I7%+hr;lu~ z4i^f_`>~=9n=ZHQwUL5nh?@rjg{q_@1gYB?bxOOK*#NXwIwEUNXpV?F#<__W`24yA zk2#Smnlb?F>EGYF`G5S@{6X$}pX9|ppC6`O8{Evj1igVXoR_-)*0O+SDc|_=h0EYW zbkqgtbHJnL*=ReL&;AA@mwVULW$r5j{ge?6GC)YLW!Y5dte9J-qve4oH+cH{#p3@4 zn*aG?qw9XviA9dF`GN9wfbY~eDB0-xd>kEHGdm54^`r8DNF9`X^&{;n4^klxM0eoQ zXk0*fI?eO4T^(3(xmToN!=XwIPhak6lJwj=@OfgZd}B`V)Ap|-<6n*uW7=zjn(|eK z&05}6UVwHL$Ii{(V>n5w6P#P!u*xxtyiB(v#Hn8>*8>o9QNxD;`+dU{@8ii)WTaf^ zciq&VucK_$Vn6yE2{;b02`p;W)&hFtd{HzrE6?9wSLJ{Ex(kJDmy$@;Y>TI|KHwdC zmmW~+G;0D{Mf$!}Chus8*VdVSP+(IW_ex6)ap>(XV7uF)uB+JKs4r z_xp=p|BqiZzc=93FTKi<8%C9u?OLIUCvr939c8_6{QXZl{g40T)3t{pK81Q4zbzF6 z4zd$XP@-{eEuX1v8&2~_Km`263Fr*9ksRrKq*<&7AePafbQw_t6c01~6yjkGMkG*U8pKgSZeGjhssB(Wx+y2YdW7IW(d?x*T zg;_$^aD|RE52;oyy7a!>G;Tg`V;1MhDT-@ia0Gtt&D+OMjx%t*SwyQYh9Z3`&Ra;E z@QRcTj?3b2r(?Py6;66;FOV6dz|L!#T-$S}*3t!#){B<@Jnp&?dR9_jyw5^K*GZ9? zB$O?lv3>ES29rtY=F>hK`mayBi?rW32CTL~iF9B!d-opw&w!(j&0|9j??)?=lZpg) zDwWG_!XuzH(P;#dDGo`_^vs&T=uZ8v5mFdj1Y9bebhsi4Xa_$7dg^jvz{BA42~Y@q zp>v7!oXHXf=t-ZqtLK}1170xx){i>t#k3?+n79Z7_Kx|{D&n;>wo@y^^uKkeDgU{h z3Y)B4LN5WSXUq25Ym^Eo**Z_3bl2mH?IQSE>? zCsYmpc~Iq#yW=%-^$-Ge-?JWL94+RD`cRj%3qaf%Xiy&guO3_8Asx$|2N8e$0`<<{ z9*6-P(9r>TScxbgWdlnpAlQZ6gyq^=g4nBH|_~Q?GB%CaPdg z|Ji#xnSV4cI{(`L|79?u79m{s)BBb?V3Q&dxOWM-Mj0Lx0IJ|3!XoJ_pjI?949PLv1RlI@eKSZ&p#SI6 z{+GqhO|eElb6V_sxjFWbOdzunBIl;EAqyb3nT;Eqt;w!TUfS_EMNEi$1&yKYWyHI_ zo4#^z(Es`1uTCRs@=vd?cCU?6e9nK6t9j=N+dG8mYa;PWK2ny+d#HjGx!*(N4MSLw zyItB=(zRikG9Rga9mNsZQbj^K-50sPC1Pg(iXDB6NX2T23Vz`sr^pkBO|;SbZ>?XR z|IAw9pqNnjZ5}kCK=pBqqxU3Ao=VsIXBKrK(kv|7VtUURX(-{cOg>-ZF*Te@A{F<* z8d;?QjbHMniN`2YP9`C@6#`T3eJ`d*0Yp5y0p$3f-}v=!-a6IhI^GP4)a;*l>lbsd z#|q84>Jt0>w6^Th7v#3US(#V{+VK`tHq(|87n2)u59-v8u1=bpr+{``=iJO;#V&B+ z^e3cK%m&hvBY)FiU0C1iCR%F3hr2m?zb*K<;s)WG$JR`=b7}#J*f<|Z5ygma=Jn9u zTJGL}8&JV>R0zry&r&-e!FdvZB6M6s;qum0YSOD@SZ%u_ z)c7-pt56(J$k^js+uszWaKsNg*LwY<^YP{;WGSxc4TL-KfwuFc=Ch<1xhb!(ntg$I zlz#;*pHCPcbDEc<3m~Je5MF?ztSNURH_W1VH?mU_ltGP@oC1D9qlKRVMPA+0%XzG8 z1S@tgH%hcC8-T-zsj>m~W7{yDuZe)|qI zolWnvBK94Qul1npQE!m>F|sz{kuBjwi`!U$>`fql@x)IY#j{J%sO%glK=5}$@jfQ# zTAyHcW`={o2c!)U`_@x7t(Nt&Kv3iNK+ zGUuv4zJuXFyGsnXr;+G_YLR((W#1lz{>kZj5wQGsUtL|7mpC6<&s(3r+Mf6K-9VyM zyuMWr!1ciWn-?I=yzSC-n6i7z&ImMt1Q}h}Z~3~a;~TfkGmK8G11G$IP^Hbf4v6Xu zDgi5NEo5-X_q|&8#Q|*q>`^_oC5fwLiOvG29ecKcagbz-$cGwgtKc4KTrs`^5v)Am0u+Jg731E($rn_i zJ?6V$xXCleNywABcs+kUR^1|QNhzQC$sG`s>xrGz4is`aXJB*KyeNE9YZ&9vFJ&3x z-E`Y~g`PTZ>twE6HZITtMr^sxYyPzTY*{gMKCfj*@1 z#=}!rNdgvj(A_|!(b*R|FamEcs`c>BfScR|A7&Abc$+3UHNF$*9^sAqk;|SpY6Hfe zfKu4DL!_s{5z>1`N#O+V4t8kW%Q|*e_WS3r03_U0_vN-7-cBV5H1)zsPBJivt=R1s z(kY0N{qYVrNH^*VC~nYA99zlfxL3d{r>kWze{>#C+c(D@Z`=ENS}}{4aF>qBK&ZgM zI=;VBxbZ8ArY=8gH{LUdNtQinI%#Cn5)2!j2Z~c;kX3y1uT`WSmK{f!Jru_T?+5u8 zXb4fQ91&SM0Y(9}91^@i1R=s87IX$gtE0%RAGHD&G4$ z_N3ZKWK%%WYrYbMu-a{9fMQ%iZ~R0g`azzzzqFtF23iNfpuA}wcQmJLl~=fYV#{4>6_rirp&(l zj}Kvv$X)TZy;$Ig%*N&%s1L*j1glvsUs}6wOsp5&#tOJWKj8^u<|fvJn5Q@lTJ#IS z?G|DSn#IR}CjQxkTkQ|p9XlLdlDJO^&Z>if_{V-6oZ3)ln%5vsZwAC;XF|y^6JKO2 zz@yiUiV)|%RFfzWAtGD+Y`=W7OLZC3eHhSnACk>O>~!bnZ8t}^>&@mX8=a8@5q1iX z{doi}$Q^)9g_Y8Jadcw>!B8s0%MESZ`4a%zf;f#2-p9WLS5Ryr&#m-bbCgV=jdV@M z?x6I|7acpJ?TdU*PtZQlpYZ~H#Lx*}XusC|^p+i~TcaF=g5V{|lF zEE?#rPZIC};6fVmia@~mI2T1Q6x0L015T?2Ww*C#>$z}|3l6<-lz?Jc%VkxS&ox7m zᏍ*195_H)u&>H{53$6FSxgKDCxT6k%=D*aJ}pPCkNh z^#gC&PL%3;IPcqF*_5|Gkd`3`Gn$cF>tCBY3rKf9f7f>Q%;(NpGP?xpSa(_y6hb8V`dBpx|CCM#?0Bf6raD(!*?f!dvuD-slkUw&>kG;4zv{3 zZ%nGLgPA#>u?q+K_y^zqws=}#DVC3PTnGpXK@IG{2ctoq&^b-k?2`l+7C@`Y9p}qe zF-pR9Nu!miOq|ssNtGUyc%WFX0%{x!^z8uZUkfk<#A4&wnFE;vVJRqv)|gOm7C2xy z=>Zm;?*o#PFtKsMVnxIR&~4We(FcD4#$8xPV7vOQGDVl4L-NI_Y%orcUOinKzgOOy)g-9JGJ}C_=hjwKo=Veciq) zwuZ>h&|#u}gGDYnKBJ&$3VB6#-G>5|tedU`di%lG^~OQeJy2yF#|8bgnXW{6V9q_? zcfLh_X)|9Im~6|6uvVOV5UVwl%=$>DLHA&L2tnA6y-8?JRt!PB$&t~!h-tP2GfO_w zRhT4F3VhK`1#tRMtF5{pj6k`4Q1n77906y7H0FpA3s=kQSL4+Uin1NJfOZoCozGI?5xGCuqAZVt!%HP zo_$CwQZTnG733EjwOj<)N%Qc#zE&r;iO}cdd~g$9z(lh74xXUNLb_}LsU+^630R2C zDhscVgo8GHrG%kZWW_4At=AQp7|yI>>{XbBa3$iblR5HZ;SiDhF70%K8zmW@X4Pnx zHwjpcOMA}5XA&=UE2Pt=ZvmIcK6?@#TZaqOWgs|ycsiT}LD{|iSssHHPv~u}OA)Xe za_r&{hmR&7oR2q|V+u{H!-uzq!XC4EVvMuFyAms?@e;T9j~Or6;fUx3g7_L4yoPNx zcpDHQ7Y}ukw`>chh^$+#rp&fepahb#dv`-WenJ2g^@}*~?plP$4n?K`_%2*9^4WCo z<-EA{HPpZdfW&BQ6UgXI(_(eX|gS88P5fC>}?>&^u>; zovPh*_>g>S9*&E8hbvNd4lfKq3>@8JKYk9JJr~_diAif91SE+<%qbEE!+uW?1dj$< z;q4fwIqc3=XVr%ZQp0X2YUjI8TDUB^R?VGNas|BsZ#q)9Zhr;q5cw4p%c3gb$R+Q| zjFU(J=1pijjzonSvmU*hWqH9mypHlY!?}@VTs4BgguZt_H&N^V?a|-WKN;r;v93u@>@*$38F!~~V zOtTH?_0NcbehT>XAV^?Rd)m0Ed>qO+B5`cNmG>z!y9Lm2+=E5bnYr(t;rs$;ZaA|n zK3#DVH369K1<$7P4QzSED>8B9(9@0=!M4@~=qwEBEQEKY(K(g4?vF{1-)C2rOg^_x z(RgwVSes6;+|{i^Mu`+dss1Wpgy4B=<8i**WLgdt0zWf;DP#$~)CfiL7zzi~m=>O# zu8L}lT`(>tpeCEBoSCInUE|g0e$_Q$*-4DjVEt0TT68giLc+3R3cn*8b2-|yZjk=- zbA7n{f^!QdGZc^Pi!^&aV05#41Q-y#VeFs#WcPe`%-)h>P$1uHA)>zeO5IL~ZE12u zD~~zta4aE<)3ee6v{IossrKW0a+cV-H~wzul0>M<(|Kqjj7g9g#LW2$&McdEsPq4^ z_m*K*u5G*MphFrYBnORzh{T{%DH)VVBOw9`($d`x5+V#51e9)&66ur{q*J;iBxKz~ z=bZ2Rt@ZBj`?rr{AA9|VXFShc*L7cUp64wkIY>O4EG>*U7vn1@%$bB->WqWaOBN%##JoSo&X# z74yYXMLDsJ*J~*W3{z1`u@R=JRg!u|mk*8#p9r42LWV{*0R-QH39!R5xU{-Xc0=VY zL-lUz-Ahqw9BC1;dw+PX(WKP%Fpjp+tTXY)gYlzXe9?o z{VKnMw&DvN0?CEgvd{O2njlzE7b0?5^1Iip3he<;rqFSxzhEcOI89UD!K5||S^Uz9 zqrl8XB^2@smpF6t)_8)L;Rxk&LhQOQ!O-tunCH@la{*6y*KC4ujfVO{R?`Xt9V)|T zi$?CG#C_qDrjzX%acT{a^Z*?$CPnEdH_OG!SZj}g-k(CwX0?+q24Rn4ANA#GtMf{G z6Uc8biFK<^`I}i9Q_;yekss-eL~+ninnpgp=>0np+7LWx1sBfJ;|?J<*}PXB%>3KeKvfoEIh+%xlVJdD!i4< zgicNE6CBR2nR6WvPG!}1oAr%s!9yz z5~R;gLpr)qD6d?+Vko?5%SIlw9GidKEH8S?dAYbu8>%%ETU9@F>*3DP@7&#U$!B@W zHp1wrBf{RH^BEi=H^E zH>H0MZN+I_PNBG|N@jG|IL^!Q@y|i2Y4>B8#dht<8IO{<4f$DD{fi83o{o%rvByy( zMRY$)gb6i$N$+Rbze{H3D#b!neXj4n8S^bqP~8dDa5rs{`i=O@qyfkBty_vcZ_H?Q zsRvaS_-@l^OxHZOVn^$Ce~P)#^5waGCJ60Zou_E5oes;#zEWDP8wjrE40H)g96pzQ z#o$nyCD+Lwko3IVj#FDg>n{~^tjiAiG+sYE;-KxjrZ2wT;Z(Q zAR`_|UroHU_XajAs`KdZ>CJ4$%G)LgmZG27C7;8--S=#~35mqA!ISuOxMKWjA0>p# zkYJ;3_}iJ)x%KM^-}ukNEZ;>?IE$qD?LzN|e4~kCA7Bu|@(;i9k>F_?2E&SPl}Xkk zZE-8BOG_&p=7WLTFFmIB2+%T|T8?Zd>7^)ra*p2bwtX|zms*`)v!_CiN4${upCleBWZ!SukT1sM{ z>mk^Evh$j#v|`FwU?^D<4gTeA->xrd=RKdJQhEs8r-VEmT8qX^Rx>6ZoFz5&%JN(c zr`>y0?x41UqMB|N3P}A!Ur;TyuY%lX>XJ8Q&ZfXt-k0!JMME(bU!eOt*6A#S6p7-y0+}j#l;L2kq18rM4dRxC?6ML~J~|5FYow zxxf#xtC&LJh6KvCLd`U>#IT23v9-~S!!!G*KX1P@1ME$3np^@dtcT12%Tj=8jN7hQ zJtW{YW@OFKVwg^d96Tp0JWI*2-SG_a1Q0__6c0d9>|{RZx^UW`RoV&bQxPSsBFs}Bq5Wogw`Z{`&g}G6pux`I!Lj&DH_i^ZH*QJ&~CN9o--`dHJQ*9Omz*l zif@Rt6({plqB2v7U-tde`EpON&_+?Ewt!nUv9ch&WZto+w6LmT0!NWdX@bN2R;)}a z9BS^9{UhtLA{b|&HPowDBAC6Ky%kcTKqk+nPbTLZa1@vwS!S!cK)x2K_V_BAG>F2t zlh1tK!B$hkLJQA$(HsAh0OqktP{okw=(gL*?71l1m(t`7UvysZVRUTEZ~cNnq21u~ z6};tVbn~aFTFv)r=P;ARoJEwsu^%%^qA=~)k_g?lkbg8bkP^T_&L%ZEtGSNpeRPuP ziWZrc;TwCvRY?!KIilV?MF&Ukm00)QZr&J)L}|jbZht`p(a{5%FB11 z$Sw2Ba|dvkQGDYWt*6-^Wa*zc6-XwCe&AWaw+pvbf;^rk7fANS-_f4c_INBjgO)Op ze&0*8=6ow|KU?di(cHOsvHLA7Nng#$A`Z#sW3o|)y)T3Bw)`EA?UR(z9NlJVAq(mc z9Pz3ReNvnSzp3vnXaC|^TFl-%gA!q>Fr!4gbG@DL&k7{{hZR@~YORz)7uYGYB@M=y zhB9cL6Ge&-EfD%m&8kk|Z0Neo=&q`__A!v$$0NibcQPW7lJ|V@Nf+Hx-PqY{rG~Q< z5Q^&O%{88&R*K<4gn8mYq#fa==|`%ZWS`BI6D!wB_+{H$dv-kCBHV#v38H3PrD$-G zlWIW#u1oR;C$3ABA(RQs^7L;EL#nO4G;dK*G?_bkxUEtwj4jv<4w$%o)>BFs!RptX z5JA7BlXWn&!5giOxGpTwW$fpyw`q(V_&noe?x& zL!FuHaNan%;s+ zj+;M{={}dZqx!AfLHhBSX!ER*u1kGAoL|{9HBMAv<_jE%+7eO9wu?}!GE=11m)99k z_oOm-iypL-%1RMX)}Kmw+~@U;aP0LXd*H&vwoD3&+^{rVwlQ3 zjnL5Og6`@`^nY~&pucC*oBQ5;#Z3d$%et;*=}D2P<=L(9VU6WlE$vX8nH2l#Cv>@aqgVp0%k#*^2Q~!4`5IvR)p`-&s2|Izn!2xVakkAck^m7VH_xh?bR)?? zD?t2&LMQj0q$!+*DBv{>hVYac z+SWWwn@$>YikRkmBpk81ao#l7TtE|UUJfUHj;^zDO96Vnu&QA0Swek{mx`5aD&L#J zg%RyxBLKrq|5DImlB^GX@P?}*<9qOc^5r0flISOYbmPO!{F?CZn>5l1wg;m9AT`$=M)`kv03*spE6Af3kjJV zY0|0L-Wzhv&HIt(o96$~guuI4h86=TO2MY=nueK{Ncuugd8~sOkIJIIw71tnE>$3Q z3K6|em)FBtbKko}$|dDoEo66EZpzMtc0=u6;G@=LhjPu zFa8;JDDm{T3B>mcL%2bgdwT;jVPCY$v~6W*1Z^1^y+ifEQ26#FI-KXVdjM|Foky?_ zkJBJb(*mAEJXgdqu5t?c^Rcue-UhFn@c-@wpco)5hb^urTh2k>`7oYR62|;|7h6u& zVaX+@rh9@STt&nSL_<0m|Lbw(S|B@xg_FOSHDW)XtthYpT}q1};eQpu%HGKUI@&{7c6jkJv%05d zXY)hEBJG}FB_kfsi`f(I$&VIin&08M!UQ zue;4`kf+ic zFj~-F4tP^?R#}%-+`ilz8N9ng{o785(*Nc9!G7*V*DJDr*<_iHiu?62Q}Bau?QLj_ zRb1)>-Ng-M7zXAlMjqLxmcoShli$$cCk*Ca=M$upqfOpgBwi!S3IkUSC3t4_Rqh@X{-UKJ?jTQ~9#}f-XAjt>*5ai2S8EAxtdquX1?Bc@;D&xy)5N5p^V%(!wyQh=6^z`eUiE;6Lk&W-}o)9cvUb~dAP zZmo*mN)Iz9e=ft{2)B!V)?JS-7JYE=FyiTWcw?$Ww0j}#~yfF2*#ukyiCOd;m3!q+$^P6S>7%-MLXO(&%1!9zIA!) z^O~A1N$fI1tuzx>*+8140JvL|8=Td3A7rtje@)0U%R@m}_Y*wHeaL{pZt^zk3e^|D zf%wFhzL#a%Fex;GhDCx*9tljHJ+wEXGH8=n$6vb*Px9)`@5fWvF@C==Gm9KUhi7k( z)B7WYW{=-&L1UT2=Vj^7zYt{+CcA?g{Kq7GdK@@9MT!Xk)GV5Xj{^pjtqc+K^rccX zO!}EsWWsutD&|*pZelE-P(nh=OZDQv&V~g_zPqk}7tz;ckhf3o=WOK($@(>$lCZ#^n|Lra2KiMyILx9W89$9ADIw?drt$( zXhtB+!rc0Z7B=2;M<0rbcz>M=l!Oc>P}*CAFCL=1t$%ZGRg@kyH}26zCE60L74Gd2 zKl#c8c{P#lD*qne=hs=6B<6iqwY8#rB0wx3U_J=bPqRN3H7j?Vx$MTtt~?Z3Of+fg z&n_==Y#gRIgh$qWGf%(6IfUd%Hr`;eb!rl-FRDp&2w9W@AXSNIbH~sD2L=*v8%s;T zR7pAdw7eI>F>`2{446cJEzB#t-Q^JOuiqEWtsAUHfp2E1mkU=u-^T&9{N(^;DY5;n zA`B&Lwj$O3?JC|@x>{+Q%KBxmSq!ybfL-6!VHcMmT7gSlDg}RHmezZ9)85WX_D3A% z1DjR?iV|LR*Ra)YS+jNCThw`jn%*Y{474RY1VY^I=UU*H46D$_{1V{if&TUs26S>*o*MvSQ3oRXeBYq)Dd zbcALtP_Xnx(=%RSaAbq<=FXzMuj*UGOsHR$%ZadVC~~Zl^(9?=_wp{2LcMKuZz~7f z)@5qu=7Q;nQw&FI;q{sXYt|pfZEx|{J=?vNBT3P&ituax8b{{lF&b=w*cMWvZZBCK z^EE_wc#B_^(n^ z!gGWAEonCg_I5-@YpE#wh#fP;ZVlzFtL+RZ6V2flNe&9ORaM4O!%ppwf*IqK8HfTR z?e%}jz42U6`S@t?8K`a&bD6C@(|o(Zg}L$@ls&BCOGUH0tEPeiTMQERbz!`_E>yN&v7_KgOd-+M9^q~4yUzxV8pr5;g@Tz} z(qWi;YTvY>_)31MA{tu}G6x*Jzd>1sHWr6$RYP0yt=LteLu;zxitak~u%6;ZeC z`s6mr&OPY!+pUT%5Y{)Ly68EgN+|Fv?WyFz@ZZr-d)i+~uu5M|t{ce=+axfYV}A)? zg6cDRv?4#!K;v6CqoXerobyN+9>gvUc8&BMN0`1ZNq9c-4NwHX;ATh9BQ_^g0oSV( zEr~a)?$p_QTn~aPu{6-995pS$96x5m6%4-z8BV*sQX$z|xMMK1ly^RZdp9P*A+1t% zkg*kqlCRld2|>L^u!{Iq$JQ~T>9bu$fJjg@Sx>)fi?dGGN?wTXQ)i=b+2J@s1L;LX zk;Sc9pzq9_6=B3dInb{bkJZ_H)#-KY>nI)&aSrHA3hxha-iO?VjxURsC%DZC;YUF4 zbJ@~POh-JqT=I(G`l;;;rdR;eswnj{Zhu}b>+}jFs}4FkIPChf_zv;2O=!Y{=EA)cMumpIEZ_ui5+^ zOIG)Ep?=~U!SfI=jWp+|+s@}d^rf-&Tl2aFiCstg5Mk*EdG<0WSyYDWP7#)~ZCE!a zj}7|MIh@@yM)H(~4@U8&Dhn&3edMj}x3E2-nP3heFOB1cQ1UVu7*FgUGkKza_q{i- z^YDO_VXW3ItE>LZ{@8*W%CcyS_y+9_`f2PS%05J>BkBzl^@#2!M)c%<#;YvEi-v3U34uLQ=T*WF@}Bu& zoR;X$Ds(lg>+d0KJ1Z~m`1ADHUEz1#U!FV>)qePLuko?YPh1-w5Q%`6!?lzH(v2Op zHioEN%YYeQ-+}=d*#p~1nfuS@LAD`<^xwbg_D@_a2M1%V31SM5%r~6bnd&oV!Hm1E zjD)z`(1PGho*+T`->DF*qk(grAFkc9{T&W2VuGajJ(8Z(K-tnP?!QFKK%Z)By7YCa z9RnNIV;frg)2Gf$biLeFR4O(2do!9&l3%YlCf-pBHmgvv>^Q*yFAfTP^zA@u0o#XH zp;0!L!E5tCU2akPKPE<|Xoy^;?J)On9i|T9ao-*0W=K$mYgx;gLxb7X0z{<;e5F8B zlUH3le?*6hprK4L`3S+olEiP^K6Su z5ZKK(7al$SgbSvEu)Ms?-SQW{p%J}AUJZ-DY<3Nv)?l`KgEzh7h1>yCD~^_-58mSh zRTPZ6;gdW;!qnPg&Lr9+oLD;mQec?5CMqtY;x4oKnZ9f(5l~)$XHs}D*2IH?)@Z{_ zlI2U5-H${1gzYraRR6y1_%q)K->5oXkGcu4@V4rP04hN^Y%s~B{_s9FS@|HsK;YJq zh|*$S{W((NM&x)*^RwB$zeJdmRY{%W`b(p-CLa3H+n$;0}iY zQ}IbsEerYJ9cuF<7H^;hsJMR4_}>yXFP{LEF0@Uj3p>5uS5rH^(^Ex_^){kx3CLau zwS5$<_lpm8W$ed!-TFMR6jiW{ybL>xgo3F7*U&4E(~v{#5;r$oaNTwSgLoDFmJ}EH zFS!L~gL7noy*iTs)r$SzgW#K<(%pw^Ox?Z6#@xqVKpTV5y!4hU7}O?T3cKBxtzuh6-+!!M$pOL-Ce+^`J2_=8~HFf>J{8~)C7cr~}v>sv; z&N$qWRauZzLqZzp=A5%JPFaWYc$^CtB-Z93ezh55-Ab_(>QNX^yJ%hTZXKO3e{`0Z z;1-!l7Skl+X4`ef-s|5KDE*~7!DWo2kkw?1%C_o;m`(MRdA79<+T5s9n3-#BTVrfz z)-A7dI&c>DcQfXLQZa*nye+%I<#wvXFT8hI-&%TwR2SlW`D)I<9rR=xBGGG$+>(vY zR!Q;qKzr&lS1~R9nI|Z|K%0;)NHWyfKbF=n{`9Rcm#ui#yAB-HW3-`XalguXsfM(& z@0R9hEty$uZ90O)Gyt^uklVJ=CCC=dXA=Hi$zIv`pS^f6VD>ggUwD*>k?=)SA~d44aZq;x z5^%O3J`+lcK`2`Rzpzdg1T*H)Ar;LDh>zXDP^R|HouBU0%aQz!Lg*?5fzvT!5j5Rj ziGrHjVWHRTt0ml%T79nlQ4hl08lMS~VoBh5U57XO zuAEY@-MetU$7MKoP%Ci>aLM|1HJ%nIl%j;;Dj zN_e!Amp?$^+hqW)s;{yJw(2*JG2ojkxSdOhhYuc2x=A`UgT>Ce(Cg)-(TVxX40Yo= zWYU;kVcPt*LK$=ahZO?tZr5UU?m5)6>~-Hd7KmoLkO)ac{sj`whW5n-{~XytPec)Kk!J4TIeFG*A2n zBp&_0Ayrmdj5|z&M9;tHpfR9#qN98TZSo%gS`#W(<+0$073_1zVf><3ySd^dnR~xL z<*pL7@e6~s`pu0DLHj#2hf!HT5?WwBc~bp3y;4J)AM}rpknPXWc<_t>oB{gJA-{dZ zhT(tp5qE1#aS(xRm?U-GZmsbwVHatl!owP)D`QkZ6TPalunx2u@4oNEHek z3A}7GWbpm&i$+ke3%XEC^sgH${0L9O#E5SB#be3VuXT7sD0i*WKtm-8vqRSl%tyxd z4yQ`ukkvGh!`ei$GmvvZdK-rWEPU6I(1(TWE(f;a{bi)UQOEtkmik{Ef)F)>lRAQ> zx1uIoa7LOXdemROpIy5Y#n0Myh&CpH>}35WK;{!%PtrdYDG5JP_=4-l_?V;d7)-!q zQ`X9FDs|BK49Vw-?*_QktrhpW-kz;8qPv`cOs2_#R z#RPkru^cCEn~unr%y3ie5XV6LxKI`UFsdg~orN;zcuV1o$F626PmHPF?X}T- z;lis40!c$3T6AkJRD7w8*(!|gy{D@clZN`<<#7O1$kf7+Hxdp_q}cu)Yrmz`y=44u z{D2ssDjHnwE7Q+lEc0Pq{#+X*Q(HgKlp=|J{QyGH@{#%#U_pJ1L={^0+1Pq~gQUzj zqb_WM62(Nk>e*p)>jsb}zPR3=#W{1Bi4*$tzF# zHosr?oty^AKpd+k<48e4LPEG@wnp6MNAInV6W8DNUIlv%POV=K?Tbww8|_`BSDxP5 ztsa~kYTC6B=Ug1QZRpnG=t*`Ob+l(mb`>Ln>K7?Rl%ISbPBsK~`#Z7UyY;7| ztH)o{FnCJ8B{fVszfjg(b^4G$zt`2|b#=nI`%wrrH18?#2?5TiteE*%*<^OdZiJme z57X1{IiBi8hg0xJe7%O8vW+v6*!Cpu1vKqFSq(PH*`{gZ(9}<(HyC9U4ZvU!PSLQ(&JU$2w{)?-)H~7Hdjq2 zJAg&yYL4y{1JzGTJ$F7fAmB5qpRPREA4NmH#6NsyXg?535otwIp{fk^yz8SzFKMtT z^f0Wg;n#xKx}RTp10J27(}}t}MA+y`*xLa1=O@p=dxVW{pYoG~*Avn?TYgIdRsHjS z-ok9ngy`VK4B+84YOt@4#8L05`M@6E^;2%=>x&)c2*5`fHxpwo@y|2yq8*2r37`dtnHXBT!vziJ80;o&lxMdZ zs`CmR8pcWIvHlu0>QNN1EkEh}s0t)$K2TAv;B1+WI#z5PV)|9S)Za9kEap~894$^i zllW`YM9H@3{;+{l?0%`MMV*0G22~x3)IaAB@$cua{q*lqw|X)WwOcjI8{=+CE`>6M zBZ*0102dt&Zf1qu(c^c!Xfzu^QHF;Aw%}_DZd$2r>GE$_G5p@8T_G2|>wg$(&@r-N z#YwJjUA_chP)`nGL&S2=kO(?1V17D~l5tDv9=nRyPh1y+^2^qT^Ax2stt?u=7(bQ& z_hWo$b+zX<A!nc>j8wp#IKc^VIN@EZAnsu zlWeU2e5%xkKcCtMFlXCKua$W=Ug`eplspterG8mwa^Ul8@)O#N%~cT&g#kSuHgkjQ zv>S{>M^0r_!~EXBK`88PBF|+&70WLE->3c^J~;Jx#P}Ab)9+55PT1BqjF+eX`~Q|k zyt9F;dik_%&{~J4c9w#Dv|)%e5ietF+ME8_^;J-!b`Id>1~v-!RAEg4`1Ye`0${0{ zevbuzB&km5pCcs*jueJ{N{z7i@fA+KOBwX#troELb6tL)DCudEQ_R25>u4}IuMF@} z!TkJY$;BT8g6%5HlfZ=hC_%1@kb?fw+&{)(nh1O8Q2-37%ggz)@u1W6LvhWf$S;=} zd-(&P$^2p2dB}6^UPOq*kF1RpdI0|JhzF!87$q*daeGey=|VO4IugWKK5u81_jfPA zUh@SxQh+i7DQ!u+bl8=? zQ21o?Nwv+^*;u}W7C>gUSpK{sP2Ue(LgMeWXU^-5zg}(TsnpJUdmI3?=?g!7AlWWY zhCC&s7Rb0ZA}2m~Jvy_`3e&ybLg-AbfvYlj7ZlP&?Uo9(yF&l@}DPj|g+ z{`=`3XMiJ1_MdR(KLmnFnZ`4#)H(#1gpM>MSH7p@|(Jvz4}cu($f=Ni)*WjQ-^G0qK?q zB;2zD3F`3}KT0h1%yUI%C%9_9ns(?~q-lwPP{2m``U&8BAXD|29t}t0G;etNjH(FT zJkK8525?=o^0TFgA;W-u?#h0?jzQ5q#4n`$w<7vZzU0+L)8;{1%^RHQvWxM)m@5D> zS3dwd;OTDWJTeyT0D#ow(9v5jiqtQGjIXMhvG>ye?*3jcq%OEl>%Eu@eE`xl&507! z%j8)6al*%U+FWCGnZjcmJ2#H>Hkek*Gt__kvWL{bmnkvnIkWP#Tplj<-y3=;XOWlq zSPA}X^FwL6Lf3%Myumhrn{^;ZZaS`|t&;0&AL%k>{$>eiu_|3l{#=PCJ?MT9v~mxV zAQ8*{SU*#Gle)g!6 z-{7uNI{@Kd?pFYQjzB7u7e;OS-{4`72CB?*tw{zBJ*LZ1LOiilSGm>3wsPjk)%rpdkg^_70Qd-i!a<+!XR>YgzbL$li4aV9{8b1pqa}>Hxk< zTkL8>^$x5#v>o`~c*GK)y*uCxQ@1YfATM0`P}1-oWQOT9#DKK5(ltf!F;aRLd@9=* z5>>o}#NE@N2m_QkNx2)44A&bi&YnZAEuQI1?$W@^l^t- zHUUw4`0cMi)OVLGNq)nD5cLe8U)c)j5|9SmqT}1#NlmUC)GCx7W5A`G^mzM=ETKv} zH}#TSd9PQ01`|e!jXdJe8Kgdr!c~N3t6V24)3sU&+%oON0aOxRr`gaOK3Z@NHmx`* zo803kzyjQHVup8b-qbcwXISsl&PcBJTS$o@bZtc&@hsGfhwsgK?4`*_SoEZ{6TSq# zpj&6`KkrZxBDNki>jQP(*CNj( zCp46~6L&d7s-}E`64YwOl!;{uWVh!3hC^?-dwPlzDtjXi*OKgdpHpZny*Nx ze+3b7MN$j?Q}z$G!jJB7!V^SyhP6%nzz)2MsGc+u-TCaF)y(vyTdr2E$>hg}H}oE? z@G-gPbL)V6pr##1F;8Q23u#aIG0#=avqdyuR~`ZQg2_yeR%`fIe=v?thXtm3RGO+R zzBzaft_Pi2|Jk981;Nx`Y|ECn^w4`GGHY7OBbei)sw$xJ*!c3o-vIT}FS+M0>lTCM zXkr74VAy%G?vIV!R+rjt+da)e#1FilS3ka!aE*uB8F_4XTMn zw_o!%2+TPeiG^i4w`Wby4}o=-*l~M)9}zrW3J;^2J{BO&Mehg zbN1r=b8|pJ-W=4eS0CDW2jxK-6W$?dnyl#6IhUCGqcjZ!J>s{e-?KiBRgB_mk0n|) z&rz_m_EPQX@d)t-dy6MluI(7{Mop}s(#@Pq^(HW1 zq3O*veD6bR7y}|TOTCbJat*_=yjQwso))DEyq}9;T3ZFIAw;*VY$?Th+c&Z!cy2v! zIsa*5vE&5U*7Ar3N8zHy-1aiQi_L(Fl5L53Y?!i5K7&I&k}*_F+lDCrjlcZ39=IAu zY?Zb&6S4LT|8#eM9Dz1Pn1k^oUaexyriC*UHE?@ka` z><$-ygbknS^+3P|6Cx2a(sc~u1xko*QJI2QfaI>KRtXj7q5!GO$)#3Pc3%;ipctn? zaHu2k(W>L7_Cu=66h?SG%IEDjB1F)vFa@+Pp3w`Ud=nGO)qifFJK$CcjKGwI z*^^jt7`7jgNP9>HV;a8$(-ED9R9+nRr{3nOStQ?pk{!d=T|ZA>^k=Zlyl1AR0iEdGmX;Ha=s8|98J=>)sy zP;>R>l+N_!eK#(BqWqUk->xo4%J&&>@EJ;3|`31AcX*I zE7FPC%^E zQyt8X#>vRTr!+*C&r|CI9zq+qNZFW2uM`riP8BBEBo4yl8Va;{2Q9o4*JV4aNz=(b zd+t*9BXG2;8Q=j!-S&*o-{Huo;V_cFqY=Likjc};T3X>m4?Z6)wKp0E*Q=J1!xcU|$1l?#vuc)V1&1n(c~O%JR$h~z+>RhLjy zxas6UPZfKhhD^*$^7cY%nb{V4HWH2BD?N8n$M{d6MhgNpX9hSyuAiOO35@cr47(c$J0z)bUwN!nAq3wTuE{Xh>wMDB*SE^Vb5fGl4zr^8B#)?W3|? zY;67bR+AX<69tM4`%Ws3!p>LUY~K936REDZZ%wdVO~2EMMre?^kHqe>e2SIIwtnsX z)s~ebu<3alLmw;uoe&izkwsq9x4X$raDtmW-;m1W9%(2OgByt>musoEa7R1f+`kh% zP|v+`cw^w8So~r+`#|3KGrrJv%AnC5e^}JD&Vw6&rKUOwk-qG1p$MtAk(+rr;Izxb zKY7?|w@#<1GRQ2sAf}Al`q?zz*c4w7}qz z(vU~KzMl??H5^vx`3=@2JPOX-Q~~|o2uBOHo?cd!^Ri-3Y@7NL1os^u)(8auHi`O~ zrg%o7r)~X1s?{Gjg+;rZFSK3mcul}p6*}z!UmFEMfIxF+EVM|Y`-$_o*2Z;rN$#z* z(D(zM{P6rUhKdSuB{Ea%URICvr;HE79eS7}g-`<`dyJD+Jaz(lEdo}DJ{*mSPy6l* zk8&2>Lsf|n261)AnTlzuyr1$I@!!FTlML9#IBAdD3m+O2z1?>DsItaS09$%mDcL6H zXZMacs7<8`@qT}r7CM^gC2g?CQ`~rW z26Pu{u!<@2MKU4sb{-fNP2ZcsZ8?atWnOyS1AiG3>C&CG9i%a+Sxghj#qs$bAbCm{ zutUc5)7H$CMuVI0Z#5PsfXU(i@o}%&qfJlnGuUbTDz{R2N<9cMTTDs5iMf{M1pbpB z;6?6cDEtv)FG@V0fez`-Aa$m|Lns_!fQ7& zaR)E^A;Li)$t##A8xVJ6YNLR$8!A(vf@GT^eMwNE^~|sX2b%R|g=|kF^M-0)$eu_Q z1?HxFAc8Fj!SJRV-+546W@BMpj}kko=pEf8&wH}*LhD|8!N&wWV=kMo1r#yHNidXDi}OuZngVVG zyAwC(<8g5~8DDrG5JpIrSw8e?yYW_BSRwmMlXqx*Af;WL0SbrgVkl8`Cw@9t~@Q&?I7X_Vt47$x5@-9)b_A@HZjBktRZWwx7h`| z3EMu|3j-jS=0x>sl0HvjAst*?{#!an7$ZB$L)Y|noZdW2sB$Q&toPV`+SfA>94UnY zUXUCa8WA`-?f&X=wiuFsT?+D9Jth>-*sAce)UdkdoO>T2U%9ySf~ZxKF&5+vw1 z+VkGSwAc&;gwf6ApDa!i+G{lT`-f(gbt5y0VK7G75E_T>rnQf;u&>C}fMSrtJV@8R zeN=C~|G?;YAX)i5wo9iV>n=xXeO8f}&`eBw>oUkK`7m~#h^k*y;oI}={=&BJf6M~! zuD9Pf%ej)gW{YxThhK@$q#`G3@=2-t#}_2uX7mY{H~%(dnQq`LCh``GkhZM`=9y>9 z1;tqn{9*`(saX-Rz5Qw+Z*$nxq?|xMc-Bd6izuN;4_UA)Bj(xYn^NK|PB@`e)a0H* z(^!yL2}FfjNq-QBzlGtr$ua~EsVi?67rst>)vmaNbDOFft)3`}4JyqFKRH-&Pq6Zd zYf@0SHNwDbBM};Z(ncFC%tcZ|279kNzFqvfKqfWl3p6}Iz@v3RIgw;dzTOl&|1NKH z8>*vBi~*1Hjh}L2EYC9Wp!L0RTB^aK^UywCIYfV{Lugh-12Nl14@V?fjkR04#?JQL z2yu9mIOU)5>)W1xbO+;qqPkr2&-jJ^TuTtvP!}4<364jEI5JMKv9`uo!sjGi2G0Z) zDTt`wPQzxVw&SxNt0^JYKikVUY}XTSvQ9x9a{4#v@*i3{=psA=SviGQAHLcN9Sx!| zO770u7xZ|({U(mzPf6DPJxM;I8%13Xy-=`i0YIa=cWwnQq3dr_9;@`am_*Bb3+#d3 z*JxDU<5@(@Bg(odqeCZq6`zb2-L3c*2JI0}tlNt$y_ZZW(9@FGwY{p*VqX<! zZds#^aQy`pR(p>pNje7&k>WtR;%lti8M#zi9f}&^peaziP)BQNh!B%s)!S*o6$Za)$SgY1jyGg#W8dWbYmjCOpc#lA*sf?%e z1R6|uoO@m*&sp#V646bv*S=oM?sQvy3F@6vWp;{WOeFkP?ZQUN2K6Un>y2*`LhLn! z$2SRtX1Q}b!Oke-FB}Q_Ud;qQe{c|sLZoQ<8m5(BCwG%~AeY-G=7-u+q^zK;=nVN= z8MPo;4etuAo;xH=mqfx@=t+cPzrM}?O6nvm)Os*S4|>x+=Ix7Ky>Tw{G=3@3rKpp6 zkt;m;@;NgJ<&KzlHpicF;bwYz@UU?X(A4(Op&caI%^aE)n5~aQ9#r{O#0h=YF^x z0|VQV-f8%cfpr81_PH$LDIX~=D}s~y<^w(~^bph5DRR1J^vX52?GJ@bLEG@_Pg(Nr zlv;ArhudT~j%0|~p>JX8ciE{!r;*v_6<1BEsyiVNT#l-F%&=JLK`I%OV&Vc4Hf_ZO zKi*HH-W6{I3WVJ@A0pT2>&05oXxO%pkqNr0R$4KJtA7Ywf(|Kg zwzU`NwLu1mqhHp%f|q(DX<(H%v##u&AD&2aoP2(E0|lB*&fNA-R3ViqflLHqGSQh#L`4Rc0Mp zXxCg}&QeO6-g~cPD)0wXMmTavLdb$&z>UG4tr}vV^BRXDfh#8!3b#%|e1A8d^saA9 z@Y%mAyP)t&2;MIO3Zqo73j~P1M@k9F8`kA*d&%&oLC1UiVfYf?8lt)u1pXC^fO;?i zn0eeKeu5+3=`U!g&NR-2Us&?WY*ifMUV)$jYvG%Lo`o1@DT~tIw@wg@AWOoUMET8Y znJZK>Ul7zR8-D0iJ8kHNbRZk!Eh1WI-S(APFg8>AHEs`iTLY8Yq`TpeDRT)?QfZ#XmsC^D`u z*GIi7h86pE80IUW4+usR*Yv}kEa>ZN5KU3fR~7K7EIEJzfY>mC%>9=TTj50 z%x556;y<(Aasg8={O?n)&WJ3`X$~C?pfsJZlmklkY8YsEBX#RN0Yzi}pe13_4Nxd~ zxbhuYKf&Gl272JuOZwLFg-b()nO_|Z2<_F2SHAkp5G_(osQWs4mQwvnCzol@ha8`e z?rQRu%RiYCzt#d!jwfrk$`lTvQN%+6ddNA8%49@tAk%b87goqXpuR#sO_yctj?A%p zNDGd<;YcX8ZR1@I&j_9dOQQU_5R=_#)=o3u&E<)|%{`bN;@{JQ&p(c|BMFDM6y0aH zjI@zi&<-TUqujeeupadlNCqz%%QmD0x3WzEtwM#va>9M6=&eH9V+?7sd@zLiX9dEb| zqLfPXC&SF%zAqXY-`b1g8+(Czv)zg}^WT472lD$2y8lvyRlNd*IqtcGXqQ~x zJZmK1Zu|)Ifv=jlg&>jyRl&qH<9sZC#2nm0Nx*Wf&Uo=1Aqj_XEIo2$_J@t5-wqu$ zmg<=O$J8UUAG0*rAyspwvN}d@d7VFg@VsjIbzJI{PHKMp8?uhJ1ZK=5ZuGSar|m>b zjGM?;Jj>su$clVbFifg{^wSsX|NM_gixMnQn>_=C z5=EaIw+co*8C}Jn_*f_U_sta>Jviuhk@|CrzWQz~5w^S+ukoMC)t2E5?Z2$wXJph3 zvWo-7>@Et}fjJVCWq*p<$2_|Pe`jh?{Y*e5y-)@bjNz0(jm;nC8%S+(1^vQ5Y}L^C z%l)PcvUBLqP+H57-7nUG7LAv7w=FzTBTY{Kw59~d-jDz1zoatR!x`Xr@q@#qV2QFp zLb#wo=TAG`a9$nDzXtKZ7Yu^fSFBGfP*b=sM>5^Z_IE3dYc_c??!Uec{1n#zkA8~u z7n|?jy#W7r-u_?R7_EPIzfe7=Rd;3ha^rW~i~T_d#i@gG*NXsv=`trH`}=rBB3)>w zyKA;RI3B$Ji>t2=h$8I1RzOK%>5ipKDZyR3Lt*JgL@7Z)mrz=PC8b$i14m11AJa_Ir=iGC*Ks;qRqK-NI-)XWzF38&CHFI1#^H zVqx@u_n|T&l!IRA5`=(LCq{5|gWTcg{r~LJDX{BD-Qu47k^!HxK>1JSGfn6s|82eZ z8Q}HoqD#!5MGt;SN(eYnA5-al$NvT^aY1ml%NpWakZ>;(64dEZJ@x*NI?XNuys56M zg|Xj;1U{=E8jQ)87~cEevGLFAHI z6ia?eah?1}jVCSw4$ewViVp_w_xA?SiRdhfKKR_Kg3R9T*Hqq9F@e`^*0V$tNiS2;vz&>bes09syk!n8`r*ACIPz-yHP+`_|GmOw*0A_Q?TCo zoJ3B?nNw7@=wV+FLtR>Xc&uolKN|5~RPJKK%n@mJ$>$kmJ2zO=TF)ef#`R(<@Ejam zw3?y$w87?P=wwo$*|Yo#f!*cLfAMJdx&N361eUH z{i-tX)Ea>+l6XNV$MX%O-8_T}cfQ3EF9*Uo58}!G^F_nKmt_L53>oN)?mV*A=cJ3* zt(O$`W_t)dmlL(ZdB9Ix^DS-917n+hJer4m*WI<2AtUEqFs{aL>l9K)>`Xxo{fmU0 zzm<2vM4DO)mlvrFum3W>`>ip3_+}3NJILj%!hZK`yKoPOnf9H?qE;NMle9q=IK$;j{{{d1O zhh3oQIAIEdmdWb%J0Tn2Y$UPKG_`*XM396>fI_414$&DLMFzz{{%n&cj(<`xHE}Z4)1?=x@Eh! z8#)!T7O}{-3Q3@j2zG?@{cd`0GBa0X2z3D3=27wNm1opE*V`2Z!Ol^pi> zOLMz)5X8Ng9_IQkMic&JYX>PBqqz-QgZmzU%d|rRTS0eLj>r?Bq{`xD5!4ldJdcVV_!OAan3;}J@qUNKw4oud{iFzpvRB3>=7UG?xEp|5HdU_|W4xOG zY&a{4*a95HCZQne7I9COm4>SK2iwH=@xNNoR&UuJ ziy@?ve>8OQ6dF@k)jaEewUmt5ADjynFS%!znjPk@k(;tD=h_LBOzF5*AWwh$8r=Hy znuSCT|L+BadMcC%BlU~O{Q;>IZuDl;1cTYSHS>6kSEl(cG9QxRow%xHvaO#&x*K)j z`VX*+|Ed$n`?wpbk_}i9%RnV_l(JW#kPx(CzqYl|<(#?+hSJz_Ux8FADN^t7!j-%q zIhX?%Y4dzv${4>*YyzhJ*Mv~L@1KBi5sM|+9>R(s0Q2u*H^HBJ!jb}NM)c4WsH)nY zKWY1UyDwzUAw@3+OpLfj-B48{NO%khv%W4`d(b6E@pAQ;j$U(+r4?}4ZUUke0!-UW z6&LjAB}N+qsHBQEFxr9XtkZ^%{#2xUUF{dZuk=ym>O;T_SLJb zyc%hK?2H=&GlQ+~0y24Zf1-6&+AF3=ViHV2joBYoQi_w{&eEF$#s_cIFHDuKX1{^n zM`LHPo~sb!xF310JH|%Uo5{4AxwR}hylWP;_NxYvMbQ*!x^UDcC}*S3ye07@T8XZ8 zfB&2c+~Z-RGKATJZrby!sk;$3TH)o?IF-BBZA=8A$_C1feR-bRDXD&&gAsvca;#dk zAJ*xDC#w|zcw*0IJdU4_+bt#&(I{=J*^%m2$q81=qhWha1YdOIT#7lhj zjA5nXDzAm^WVZtee2EMZ z@%>|s!W@alQrOQ)G9&|gY!Anu;0XjaZrwRCQXQzcK@ffcy9tz-C`#lWho5DI!}kX7$%X|rk}BQsKeLZq5O|D-)Dq){~c+H%C6cEXM$7YmsxD{uo zm-}KhoJt_Eikr*OHGK|p8PiVY9G8&y;DL9Y3%>!EGFw6ZrHh6N_rBvnOytPjhcuCt znYC2WoofLT)14hcjA`nIi%iq|q1qc@(R8jFd7uOnBZ+?Om8R5dd|t#gzm4z`6TRh2 z-~9nEZ=@VP1zwBf@j(Aam+YDxZl(l-2Lpz6n&1xHcRKHgxQXm^sh3+@`%0lp`G&vXXviej|5eRQ=8VVYeUMlo!%t_hhZiONL8Eumow z?mnsqV9~pDUgs<5>RJ@fY1{G|ly=c(A}p1adj!*08|Tu*E!9(Gdk2o#SY!u|&3b~< z@-oi{KD>5rg>rJ+B?+JEXyWCuD=yw;q0p*(&LNYqB*hWy@YpEhz9dF&tSMjRUQK^b z!9ez(B-(g3Nyis=9?PM)N^?^Nj$cuIJTL`nA~>}PgZt6;ZYvBX!f7y1CcoUY>+>{f=e*Sk;ho3EZePo)=7*HFNUoqA%n zQ=@5V-ha?!>E|cp8i~RfaG!l;LLc@={Nf^8FHnYitN#6^A2lcQ)h$Jva}h(dT;u9sATuLl(K?D4FQvNtJyr|`iH=VmlVh_E&k$Fp+&L2u9QV) zFpLwlnQjIz(V_5`eglbBwAm~s7kt+MXIH|!#2gY0dQe5MqZ|Ecj_ z{Yq@)AF(m4)e(3}UOj&mduLWovs*(r)$v-KYrD$?NHv!DWRIb$@JxcOl4J7v1L=4* zE-YM$t2*Aw^nidql9Pc1$dVd1h#J=e#;ISb;c)N55F|eeYirHAgyc>-c~LmqEtjjX?Nf6)khytmIrTyP}9%z;gcqPxGHXE6Sr~Bh0u;)u~(XI-h&CV*JJ2bVS|Q) z&0HuSr`tB5br;mzYo9aUzw#@yw#3R#;?pMs#AHxW#0`G~<|8i=jDr10D}bsoJ*r1z zs?XNK48!lEar^BQ6Vp7Z(zU&+?(@FWtR3aQ0(Z_}SsyRbb97HFc|YMs^+x$C0R?4a zj@36dW6C;3^g8ly{TgZc{>YzRl~*5)i@B>SBKARr=gN)3CgHS|GuK~yU-807<~oLda+a)vGiej3hURm<+EVi` z3+~ZmcMQwyyw@MCyoW@M$?*=IUSKY8?JJ2Cyp^6i%w69cGs5$O;9h<_WN!JxhI@r4 zV@&q$v(@mQf*#YRiu)C+4AdxYP?>C5H7#_9O3GhX}NsXMH zx?#ze@6;GT>A2Wc_eFD^R3HZ~vp!fpa++y1bf3nyM@UN@*TVD7U&JeJKM6+8OY5TM zlreZt|3PZH=>G~@b+m$=!W;dCQUrf`?|+$veoo(clC3<_OWkgs4)ftdLz+Aw9V zw-lu4sH@4k2da(rsZ{TM*zfUSVtEqIOa^_83^0oyviHKpC8pM4H1_#=$r2e#f804u zIVx>R{u*21yP^p+U#LBnWez2k+b0VdHRrwKi$l5u3L@&q;kmU*cMS-xJjL|%J=SXT zR=B4fKFVF zRP2BJk-`O!WN)glep}U^ZtQ3N()hAg!yDH*lRKC(_$3-U3Ri7ffj2lO)(`m8WXzin zmlpa~{R84XtvvIZ@f{NnzK-4EGxCrw%4@rKf}@Ih5j*8PD%4svaq_}vVs8Dkdu{y% zo1@xw!P`C*wo%5?VfM$iOUm#~C-Kmrw@>Vvk6lEmM^Vn{E|t5*Ls`V@KYwL^|FY_; zl%4TV1?R7#P{XbTB`Hf~x+>ZD3ga?8WAXgY;jh3JKDjdx{}1PKxd01HbkTto~f0VSEV}^dRQ_J@5TL?b-15hQ+r?0zj1EdF_g-nsUN87E_e!{yROt*JR4 z9A2t4hxD_j-2-V|)c1^EQ!*R3d=1tNzGnOesMseZ0-s#g^K=dXuytyH`|@Zv=f_An zO2%AHjQdb#@Rv!(`p|Ga?WgK(nSom@T>UKdyw7oJcleOCE#EW)A{u*bo%A&oBYT{l zV)(Ni2$F}iE9MjRhZzD~ahA(4)2W>eFZ3OmyB)~QMs)&hT#Wc5GAP`Q?r6v{C7mmA#GrqL7wa5_wx@>G?^uV<8=FztZ^q@;cds59 zhhBM3PZNqA-d-nu?s4QD;NksN3G^_pLJj--(ZOR7yF!})!F%6M{(vO?O``2I97iX> zyot!5R7s6z^~3k5q^+`0BQy+=8Ow9=NA5UdB;}9m2WfN2}E=2)d#|5hia2zGP%1#u;GJqMsadaHQ%_$ z(5ZGiyjJs^85UHg<7VDY6en(wjP&ES=w&bpmtWZr_gwc}`=R|c?u^T3I?X-(_G6vA zHQgY5Vz^$AV^f&%&kgvL3Ulgnf)`XqC}SrK!JCgC>P{APxwaR%85<7bR3Xe5| zI$^YANqm9$7m{_MQe1NV7QE`uCIvS_r~le1hog=~srRgrhk0GEyQqE3xS90}lds52 zC!iBC%|x@p{OyT#x#g&QyV2|Btdwew=~ZH%@z+=SLG6qb)h(L&3}Lz67Fv4HN`?Ph zshVxJp8$d@*V$YcBi~>AMBcVEFMB8A&9c7YylE8d;67j;^_+jtfP4GHhK=&7s>*Ti zU5}v?>Y6nhE@u|>Ub)fM0}UKDoXuqdY6tb6HI_lij3k-TF85xncP#yOPLeh@%H~xN zjte4+-3xtQ9|tujL@oI&Mhlb#(H@I3 z3wJBWJrUEop;cfVV67-M|Ysg%rJh27bdMQt+u?~zhZjy z{&%a*!%T!u>ym+qk5m`Mu6kDDE3=gcgl8MbrXz|4H^*K^w}+sCDs9dgq|f@Si8s4m z=;F#Ed);U4HC4tGPbXnIF|?+=2p+?zctXbss|VF%k#7QUi5y2fpU1SlJ_Z&>G*xnz zi)`PmT$FcT`s#st6+mQcFz9SAMUlPYyehsEE2;HdnWxJoqpr3noX3?MEQ}%d``s+> zY)66o+jHz#p^ITV&5~%@U!T-cmRbSyA|}28y4TFOI&$84ImQ<`1*DBOA>-T`1e9zpO!MFtge0$(>OJ3>@zJS-W%0sb<%0b zh<6Ypp~nZ+^y#p|l0mfqr&iPvC==DzHp2;;XwQI7(6`Ee-;9eaC%P7MoGWYhTXe88 zhux{%qb`No-IA~nW|)*~7J1HXkCi4Rw6e@Z9Op{D22f$iqqjIVg7|-0M2}L2jszAW zT0HNim^XabeF*R19sq>`8SEOuSUWalXBc%rPAXby*&yCtz3OmKyC`o#X8|uc1|erLp52<5&)j_Zc@IM-IT6ydb|I08D)`|*rtmc6SIjAyYuR?1AIcHN&?z2xgbOP z{s?nG>*r#sxagpt=nrGJ=)Yzm?LgC_ruh?A3Tfw(B+gqw-JO!mdp7i^bf(=EiG5q$ zJS!W1W4hGoUFz;GQo4$9(Sc1cnIvf=HrdL%0=#oT@L54xqK1q(ICYeGV|+i5+kP6h zFZihd!u4(PC~|@jKL}Kk59Kr^CTNI#-`FZ&`hxR(pxYzpC5?d3q%}`?6HK0zBMmgQ zsz<>X)}znqO-OH2I`VvlxD;&Y8HB)i_B?D^NmDngusjgt^Baa;>O2^5#;XUy7>B$p zsS9|~%huEaN2E_wDG<4bv0VHl5qq1oZvd!i^mda~6_1fMoW%%m>*GG_;smvT4&I6z zMaNhWV1C=jbXvs3Ots>GOainy-R_u9y!_kH0vl4*D8k8%cYjokxkBS^@C?5iOv*Mk zu`U>0vAAse5%mw%KdpfY6l9&~rmA^vTB{~{YUebZCuS2;BDl&~M_RuUI@)a9_!*8M zH7-7x^3v$Gx?d13`$Y_{C4eh+4;0F+Ugf>i=3naJ|2UX55U^jl(nXT|-ugD-a%yX(G*WfuOym!4#A823)^0NM` z1;G8HS1F8H@xonuJs&!z<#5@saqY^KJqgE%AoGWRlA3TZRqu^O<+-h5sR5~BRc`c( zuDJTBZL{0v`pYzh&86pKufW@`<2r=Rs@GSz-uI*AS`QY}ex&qev*ojXCR(3MTo}qw z$$kJ%4@@*v{@=YF`=s}5haczAMQQt?|*clsj0o`o4K9g@a)hcZ7^LuMd- zq{A+yUIG1G(P7uV+Mr(Q9Tad+De+Jw$aaD|9|S3HY?{NJ4U=G{wcIas(%GIFVf#?? zlHW*3R_Iov5LW@|=`Qy`zo46xoc-Ja+*KvV9tEKFg1{!>;-XwARbIUA=#`&MI41o3 z_Dh(7q@Zv|vz5D(RvE1(TW*A9g2|%t_q>NmLlI#HZe`!l`(A>#z{T95c5Ipj!S!!ZZ zU=q)({loiV^Wo~Y^KqsnW6^7mKr{5|C2@x;===TrFODJgocgCRp0J$y1-RoIB8Ft^ zs@e`RAupWw@{pyl^8keWV~w781tW{kGX~GbH$2BZE0~un4$Pzu4yNN#?&8G7>5){# zcmCe}3oITSuNi8!DC)@Bh}hqiYna2&BAsO<_W6dTot6741cai{5;b9yfJRD@6s#bp zPA{?M*CZCo*3#|K{PF2j!iz@ngmk+m0-%my z?B0|^qr(Hh3C}p6@JiXsIN!)jhlnV~GNVJS=NGhv_N`NOlBdMJA0<|wJbiZ#x*hIs zokHlKl>YyUUadxcSOzmu$;t}y>iKu)#c@8A(KE06-UWcoE#3_ku^vk zz4qYVl*E(VbhHWs?ot!5zG&XemMZxJi0P8Cr5+ZvI$N&v_N=$l;bBx?Q`T0`+FC%3MM|{~SXr9YkCL$A4 zH9~mf42f$zeBMD>gzk|O1(j!+Lo3T z?5gvkIOaJ)_(reWsty0t1||n7KG~uN(pd{vrPTb2!$+}AcZ7GZ$V}!V024}1R2?|^ zplMbZ?O9eX`H%FMM08nRbTj=EcViY&>W}V9x_H3d0?ikvSy1*o%QhaGdSYfEbF&bs zR$!dIpA$>nE%;X@^z7?gkE)fw51bx9d^2&sen8?}>-t_GZM~(b!TP|HCAWB<>#7vz z3Zjc9W;hgL0dt)Smg^Qp>6tocfe_CeQS-xl(W!{i2IV~jq?L}|Ah9Cr93XtfQ_~q4 zJX?B5`~pT&avLwGV_$+f^uKJoqTf(h3)45$!YQO^1zy9u9YKcdl;g)Z0U8N`ekvfa z&?n^m825jpEN!*vTsR@xtzoGvq)8a1gO6-jeFNhjs`d)q_yHs6Muc`OAU;QtggSP! z7AW^(v?LZDVuc+-(K|^*m>8aT80pgDfQUX5JNGh|_0vYfGV@G?qp6CYc7{RQhB_Hf zN=&9&wfj)v;=qIS;Ma#fF9U81Q$>6Iu4(5n>Nv*}MX0s(Uwg}Iq;eO=pgmasF>A$Zs$XGbnVwv zDlzv*m(#)mspK|l={hp{j6jPg+rRYLaVJnJnP+9Enq}$x=o+w!g6raZB>XSvT@^xM`m861%0c{ zTpOk&ReoDHoE2Ahx;?)c;pOaDcKhCmy(4_iDo!_TCF3Q@scN%H=u@HVKgbx=OMWFv zNdq6OBrM8Zb&h>0Ne$f&rQxanaXHl0q(n}a{}NBs`$h&OfUD0qMx+F-{ay}O$zxRIE38#Gb3HD-yuY*Q^ z+gN<7WbRj$%)Ll-2lDCkaMgF?V%viX^A~@;V8}ej?!L8^M^_gDa2iCKWlY4WfTMV@ ztXRB$wm+O_OzxR2XpsD1va)kQV>0nNrO*MFh_Yk%kKaoP`tTT&@_L_2P!B^EGZ;hE z#zpHOHK+fp`aar3Wx&LLOLUQ{(9lGiOinoHB7YywE~~S#Jyp*zDx^B>Wqv|LmnnHU z8EuftmMA(ig5WagO$xwlz+>p>y=rt8)rDwZmBc}*%~zUIvjU)wF+LoD<97-!>)P!xj&`I)HaEo@4nulJ$BQh zum&*sJLuU>=e=enHpdDBX?v@eUD0YinFBp8&Fe#!LlM`}JLSA4iEg-CPsc2!BmJzX zwQz{~;|m50VQeR@YY|I*9PNwzz!pSCQQFQ{KPrN*-<{(uxfWpK znQ?n7p;jrLeN9Ymoh0(r&D(>5CR+!(Q$ha$5O_1;B}=-x&7#)j)f#pVL`l zSOL`BjlQ7XiBlyltKV5r>59>S$BbjwO&3k7 z({H9nrznW3?$yur&G%KS$2+erKP*N--+Maqks2qmz#HurA} zEOvcn42`@1r!x`mQWq?IB<;TBU6B{2dlmME*j$~!Pjw75qxQR2R;NX!d{RTbRIp;( z-{UBCZ8K>CNJ6-;XIi<-A%zgnwlvrUyF;KPHqa^XQXQ`7gIDk-z6o(#@e14cTJZZe zNS3_H7n&}nO)C#RORw^u0@fk>&N-?FGPAx*bljwC9%<3Esi?N;b)Dt!tQINK!vNL$qE0r`)Ef^EH z`p6{j?d+L`t5BsMr{(bm|0!|*LXC+MIWgYYL%K3|4l_q1Efr;aXO!22MGC3|r=F>M z0k2RMJ6Okuy5%z~_Z8UMzCZZj@geqOqU?txt$4&c+}#E16)Bqy>jf-&KC#rJD|#t{ zq<5qTCJ=x`#N&L0Ns8{H@zp)XS@>MpI*-T@q62k#njq5xJ_B7!OiCYoHDtsc1tE37HEJ z+zh9|N0jw)X-x^|En%YIn2zJdueK}&AuIbupaN)EC+!~<$lMZq(jzQzaNo3jr^S=C zOnx0x@J6y-98g&e?i>cWvCq-PCS2}^4K9S2;%UNhlRRNnTwbaSeBVGvUM9U%NVzad zRm=Z>y-?GLA&m-ncWs z^R81qV%lb?qExgv=FNSZLB{1z+#yJ}Ef%?VE_Z{mA1mO!CN{1%D3*}<6N+8@Y5UpG zzM_gF#oortFmoGP5{m!Y1VhaluSkBK?k#^u3nK4l3ZfN(Z^viU>pdnTEJA;bY)GLr zMcBm5Cy~iDI#E2{ITL}NN6b@LE>2p0c@dcm(KR@oWVNh^QPW5)BCmvyI+}rxA&8iV<#WSEEkfagO zrH$jNKB{6awX}NRnt7~z1tVP)F~z8MjwhzgzCluYyf9~yvS+DkqDFMflCE4Bt;+k= zF>JpzKdKgz(dr;wnEDQfH*3c0ss-|A(K>! z=23okduVh9nPEN?f8)X=$~oSX1=x$`1Wv8TqL2O6EhH0z^;nst-P_~vA~>p~O8alq zw&!g=BBM;NZidWmwg=~)f5XRu-WWrOZZOf-QUF(4dx4;>UEbZdM4d|ynQeJZJZkhz zx5oD4qhKrMCP){LfWwC4&@6HHZ@mP>;W~ZYYe<^UqLRPWKN{0+z*X-NGeXhrA}*AgHM*;UIQ>K}FT+}%5j!s=Inem;(+ zDOUXF?(|6N>s<|uiJcvBq{Pl96q`w zD)7?7`yXDUOwBnYBpQcfNuPf13tY1KH6tBMefRhx=&fd3kn51HN@W06`uZ>L>UywV{J`d(`4u-v+1D^_DyBeZcs zQEE-P&nXfCSD6-M4(Vc^a*zz{yJed!xemWIEkYgjb3U=58jh?d8k-2W(u%ZR@=3}4NOojkIgFcf*1fz)iHK( zA%cz%I_V`WkAn$uC^D)-m2lW$bbXL0#dy7+To8icSuaHD3q)^;_fkOJ;V3l;5m6eNL(&tkb zP8<&Wlm6;^^RDH+XugxI8alPitwb^PXznNsUR}#?gm^i&&{)rk`*WB-^{ClFC({-l zzdCR&&A8#TSwiJK?wl_yS81T&l_WLG6UaV^a@> zlhFw}e6)E~0z1Hj=}GJisBmL~Et_6eC=7k8bJbUvpFvua7_OT`S7g!6jjU%ck+9X5o|6;2CYUX zD#u`VuWt)|48BvE7wm5o*S3Az+09O$uY@d%R@)O+8my_bmB|ai&m;Ha46PM>kwhr( zW=Xs}uI(%*vjcJcxY)B2WpNXz1UQJb)^OutmfTAl6C*49#KVCUyGdTKJ32O z51l&8+X{mYO|f2D*yY(3C9MNA#a{h-q5 z&cmtBo~p|Uv71oa+oOjvxLOb4sYhr_2TNeZNV`DIA?!eTtc;?*;!4roeX%BrZ9vEb zzx3UB7f5BZRxxW-$+vDxP$gYHnkIInRt~U3rS_dR4BT3Ged*Ft9#6tUFCwxEvUIyR z807pL?JHL)x@{^|8ZPdi;2+Ozp~{hDv~4QPp!WDovo$+&_tQfR{w*^+H2lfiJ%I0I zUoV+nOPI?zdhC}}!B!DTM~u$G-Y{=$xC8F!!Sk8eIcGln^A2Gf+bh359X&hTv$rMj z>#Syc6?v67;`lAw^ui?(Hh9$NhHjPQ!aRjFvI(EHbS`iynhW)J+dwy-KeX$IddbEE znx3xAr9e*_X5vAwP_ix;k!%ITTHe#(=`bT1-dmF;&JjD}sQNVu4ZHsk=`krg!o}Q` zj4iF}90GaiKd;gX;3>Oxzr~LB8UB|@UkOut_>gKm)(`D_Mpt?5_bAvn5!v3>g4eU_(`ax@po7ZSjP z=&&ls@XK)3p7LisOr+NnV7gvi3O&6u>an;4%qRFgXu?wm?d+^!Pc%WP*s5G>03V8h zr76e?V2P)sUF&bB!C%n&gn#1mlDd?Ud4a;KQGpesk0zofrp$cc znMu+|Du7F5(;a5K>wx=Qg)k&Q9Gm0-Fl-`5LBLWWiZU`!7^{b1(aKJlt_X}55J(?I zMb8Ivvrk9|XKQp&wdX=rYdLy%!VSk^eGT{&%~7@5{Ba zZBHg2AjGik5jo;i2_fGLiLI?)binbJE30B!KUC`C66KfkW6LFZou8GvxzDoxaTldd zuh+pnBk?CTP981%wMtP+VDlTpraLr^A%j_g zhpX`eSw>_PBlpMmVYU@kVi*1%wlQ9Cj6u7#&Up34KdAP0)Ozna2h1Eey2{r+XUwp+ z$|ED#n2Lo;t#cbW1}kU{1Wd$I*hGG_T^Cux`C*D~+U>pOq3pmQIy!a*r`Q7H8`pGv z4yOMqq#!<%Xai3pZa+=O7b59%)wY#8pg!9RUv=yzUDzKeq(OhTP8~+B*i{l6suOHT ztMX4lW|UFHj!{J|bHS%Cx&?@+6}Qr<<6~6n3SNJ|11d_238VYS>Yvrkw!-P5;k9*8 zCu8(8v=#No$1%pzCND>Qh0NbIg)Ls5s~U22OR_jvsR~jA(g9yGNbr3JriCN0hx5@2 zU_LL}_<4|AR8Je=!PC|YZ6xS8Jez;dK`lfpF!wXBuF07=b2z|rPY(aLKOkc4sxhw3 zDJo}2{>KcpfP3m#NX2BrBK8!k_6;jk^(nx>;x0fEeuB-`15XG)b_TlRP_ok$(&4xA z3GXhE+Vc=RGc23==|x1DxqqW$h2$M;!F->_>*2Dt%jR+Wj9sRU|f{AZn`c^mdeWN7#XsjII5!CrF7ola@AuVM%)Zg zHxQVxbwzh@dFz*@8npbwaXMlp(MF6PY5bOIjg7ELN6C%Cc_XP`=dFfs-PFomV!KBQ zFXN@V$otWjeRCOSX1r}an&dSvMZMIzR^_T~@Ty`_9of6Kg>6^|ZbsET{mzHcAIR%8 zaIx@k{_G!A}lFr=Fw? zr>er5K3*bwa_4_qfFHogDyO1o8_Byd{O7B@*-2&aoFUoK^n;hh^9JPu`tVeSr)8p+ z-MckK4lZi!YPhp&iOTyEGfsLi0p7lC<##aMg6aYJq6?jU#h>hN;jkq9{U(Ar-TU}da_WJ|A z7}~B@x;DD1VoAz`NWa!OFIb~7Z zZ?84~i^&m7%f$Ab7CKVON>Q(|a2zazP@pgN(+zl#iQvsko^Uk5!COc{(X&v5dGtlW z8S#Fl&2${pRtK0B(yJwZEHX&g z?Ic-roR(9f3%N2m8BSVLVykyci+_i8Wk}6BMq$oXRznh%i`9Kwo-i5Z4!)(NBtZ-8 zZ_#B5@Y14-m0jP}%D7UlP+#sbw6>#qM9k3t#xRGd;RUTSAfoVhKZC3_qO?FzY89b5Wb1wuRl*&*IWsHWZwP*_(`g z3qEOUN)%VTnr1c0)u5B^x_r0D&M$KP-N_jW&r8TWk}HX(QH;rNXq}t(TVY%=Bb6m)me85VBiP{G2!cMhabj@{xM4u&%;&yu5YSgt3~^>SE(`=2g`L ze%~EdSAXeB4(SjnX3GN>0^i%r)WLU(L~j`RbcLn@qSgp4m7 zWp@76^7sUmfp$PPj>nzk;H%@!&6sDxu5*d3oQu?$YoTs!y)m==?ayv~VC0Xr9{O(X zp=R@{j8O- zJqX1ya<8JYs}ElW+8dP0AOGa=m34eHNcsy8oP(@y)!Q;(Br-;qHQV((B*~6UEmOPi~90Ogg)!J|f6W#}qAJ z88E(J=v_cguxXpy@dRpyrHe35*LD_AROVD5IKD9Rd4f$E0?&A%dqJahbs7hfH9D^X zF(S;h-X3GE!fgiBXLG{El4z~`(f-j~s>p3UKVuvl%Z~24qT*liO z-RuAn{B(ifn{94=CNM!R*Ou1sYB~XK6cpN=8s4m(iZQX)mz9-`Nbx}ei;aE!*`kT0 zVx?M)R_-q=bkU9tYXPeoHKM>-8?y%xO0aK#m(vvmV#4GYpLzi;L2r9k$e1kuMn(Q17!5EdMQL!Ourzn zH^uaOQM_!0yIdGzupg%Rxav5h&As|C8~-fgwL^W1SQ@_-q6xdMTKVE?deRUUo94FOX%p4GA z7`>32Pix8Z*BuvTy|?_x{zvVmUn!>KZ^?h8B7#NWmVn(HhmR9K==@JBCkOmPIK|-WK z28NcD5D7tAzyaxINI_JT25Cg;kPr~Qd*1h)_nh@xpR?9)E&oDzp8f2&_Z`=LT~FZK zD!R6Nm)<{KPG|iNcxL_o=9%?mR>fc}Bq#@OR#eO_n4^voQkzVAn6{tyH}ttrk7*;+ z;y6E9*!TlNv7z*4p# zql2l#oB)J~$lEK!@5k_+T|>lDq?ZUTW;qjnj{0nov-5hM{0p-2A98%I71S#ap&UFC zY7?m++FW2Q-XDvR+wM`x z@9lW+z7H6~-L)+4hllP7R>Xfe}h2e?qE<^9Yq~tlKS3Ul{ zn1ZwdNbB_>m4$HI)u+Z6O<2O0<-GxB9RbMB)+cvMKl&GE9!Ulisz>=KgJaP2ig6@{ ziF5~@E_KZMg*7w%JLserkoT(r;e6%c0+(Zf`_({0mpFzmjn{^azozRn1G=`nu(!9a z3fuwK?$Tedr63_837k^(E9UB3tWPWExT;@rKHSYTP%d$k7MfTd1I8=OlG?V^Dcl2M zB_JNf=_vkzV)OwMPMWB2AawLv=>TwFe{47=4e9Y7wAh^d4SCB9-_Igx$BSDh*$jh(1U>@G1Z4(LDlni{MVy7+DHf ze$(I8%@*kA^Zv^G=d#Qvson#YL^ALbq7+k{^yN>C;KysF3*4>0eug}TRuFqT+$bztqris z?CN=B!6UlN1}YTL?S6}ijSCVZSiYjjr=G9;bpkl;8)mT3FH`wC>2iu(J}~>47d%H9 zOV1ss?UAnAC%fH8*UXZnyieF~emhsUt)P~0`~=KP_pjCM^!=0GCxB)biQYN>C@-=j z%Pyc7o*o|ZUnb1^X1dgK^mxx6>lfzJm(KM?o7PBM?sMJk(+moMctM;d)*f=-dHzmNaPHzKFEzKgrol#)33$PkDLrP%(B3PS<3O@uB%h`g zteXdf-+cUq_va$8QlRTOH^+GbV9^E2YIEXVL=r}+mZHxV1cb3X(a>vt_DSNAXTw{I zmXNLsA}x#ymoz;S8Wi@-?l%0!J*<9twD$coVB5QWR43EZXQ%<|{_Gxf__&pXGS`Qb z#oOtCsm>)%>|rj6K@T(Opby7m#9CvYVA=EjjSh}Q#ASZ%=NvYkSEhdd!SHQm?8kIo z>v(^VJRQ}$qL!Y^>q*uLba=!~npD-f`ge{2OR?ss>${lLL5dCSeA;7@Ph3#f-`?p^ zw^+RM#_)eH6PF%qO!||%yL{c^M=kw1Xg8@3V~ywHkXf9Rtm&+)b?5XhSG)t*(t@bQ6Y-O;rS(-J9Az9UTfoP?qSil?I&%cgc7tm#@AdA-`@zh zIT>)Y2Ax@pW^wSkb4x>m*}QQRwBh){g+vzhMB1EpE89!7(tF+W3}1Ayaj5Ep0J39#rW@&(4&F)3MJqrQUMs=$td2qF2$~e#GDWV(A186?$&u z808$Es48pYv&T-AviBiZPqSyn|M|2>cw(o#rkRSg5hHI$*Ac-z!n@{Xl!}Mfh$9oq zeztpO8o&x)m@@n_XEY9%@(yHk>)~)eyE^9BlH^H|pgLf6Ctmd?gT}h!htMr`VEyJ5 z)dmQAkPl{stm56lv8ESyU_1j?-`M5`zOiD604y%D@;aKo%H{`sTu_MgN68tyv>qy0 z!`L$bxZ3Ll1bH%<1HyI;>_P;*KBurvUeubkyi%Riex|D5e|(YT(PHVO8i(83q2af; zMkk66aXXg%2}Vs}JFBV=x852ybmns=^QOC?Z|{C}puY5FOw;x9KCXhtqC{S6tkF7N zmbO!7K8nlDFTS)G7-^1%OO{n+Ik;MF_*?N z&=se{oQ)nt{PgKnJQPU^E5-QgMVf(%%DQ~vg8^c4FKrzkC$P!t+b*J#$xbCy`m?UGUzFyj<`)KRqB0kRB) zG`Tn@rClY)WQVufJbDDGQTH&$t@_MX3HAgahBPzKH`0^_Q zph_leyR*r1+cO7G6-#2xHN6XuC;rhKkz=}Kxpm5Q2d%TTh z4-hm(w@}yQMBtpC9;ofYDefuvPks&sGH2I>;*#FwKH$vL99Do|LIj71nlb-ky_>0x zKo#wc2}b%LQF@uU!{=FJ47>REN<`0BOmCow7EF;EY(5RfMG3VCTzwfe4NqpPp2H!Vp*z>1ikqb{#-=!B}}gjUR{EaG{o zsFXDt{d%1k?hchHW~AG{7b$cJPwb#Y@BfNFYxN|wFVe8!iCK0*8is_Sm?QYxsndph zYaU<4$*CRs+*9mR(+}+Imve+QRT-4yRaH9$?~WvSwvF5x!Pn>STAY1ri#U5Z3RRC~ z@4X~utp+=*#sG(%#ZzB6P|WfP>}IggxsUiWP2swU|~Y_^2$m zb>^Hv`h~Mo_qOBaIl?`#5*!q6M>jPtyt9h(H#I*CDDRRIWV-sFEICbX-E3lpT%jTe|s9sR5Ja@6TMEmKf=z1tn6?uF}ku z3KGtxFn2W%IwI1nDjPmeid+lxdt53iIaw(u2l{kG?UH?Ul8-E@-)dzAcQVIDq)}(m zwpG$kDtZljODYH|s-PAhc?i`mGA0_MyyRG!<)X@UeiL@6CA~M2yDtT$7|2B#C0tk9 zf3^^vbi4ja5XLOsh2PGmXNrXsxp_B3RUAtupu#b$BI&9*L(;}nJ?XFEr}va<%5Cv` z!18oUi#zmOsJeZ2l=c~0YLfP5g!5pXce+=w`b)TRt@J{qte_a~aK7E;C|#!x^^{!J zskJI*2Kg|5(a8)vlnq+-l9CQ;KJ{hi6_zBK#rducj(((Dh!)9@Sgkdic^fnBBSwfg z+Z$5;4j8=DQfg>$FKt_Dwzubi6BGPM66%^SUmIvk zqI%5PIQCM7t1YdofCt|1O?D-S7JXh>^SXWQ zSB3uYEt0%gY)&C)FNHsar21CxteU`sxabNaU9?bqRix(gO(UnB=S zzcLLNhHiTOWQM~?sCfH>?$F1%Xzd*o?TYcR&l>H_uiv>6|34muio=lJH+>BHVDfZwLAMNprHne6iV{K7oqY^E zEkQouK@)^4S?M<78|VV$r|0kU7r~``gp9ha=?dHc|y zSLOfzaMBm(*md8VSotr0c^Vh)Io0T`_VLZ5mOsObDGOoDhPe^!yj;>%J)D~B{M!Zw zK$z!NipU$wCLiHx1iwy?q3Qz$H^Z&3wXSxKUveYFoyG^b!>8}Per8@VJ7i)dU$^OY zyX;8qLFko4mUx%m1^k<@D1Cp@``%vp;B!BO|NXhVQ)DpJ1*791uVc-p)aVKm-^Mcs zFT|>}vfqJSgD{buM~87W(lAL}bNj;)0#ku-lF^~5+EkR4IC5b|5CJj-+HsbE6OR84 z@U|Nv7qI7SLX}?YLaOifr;UN0@^v@vgqLo>{yg#KeDA9HGQsHaw8ocvMWuDb#o`5P zR*YsBqWx6)>-BTZxWD&DNAQ_sj)c$~FRpav>t#Fuv0j;Omclnv4;TuxwUyHIKo~-( zvAB?#A;EWd``t*-bA-LRKH8ru)=ni~Vgr!w!Bx)R#>cCj9M{IH-T^+O_ofwdn8(xM z^M!@FHdGo?IPSc8@aA5vf4{k{|L-kSeEn&v>%NrG z^RiaevTc*WZN8LnN~6a@2!K}4h?DrsbBnW9u z5mQUDJ9QhHSvB_`D3aRDbwuoK1fEW0`tO9dcX844MY(8QIaAeCLH0PfM9|8j>5!~Kt{ZT3E`MrV zt$sQ~J>T{0-PU~fLD|3P(~LN_dK-aVl8!+zW?HS1kQ(o!6G_%E0Te z-PN(LKD*0{STm!XAI%0-#r1cMz*GSly#b%c!5@CKIuuR-@=w4<8k+AVSRYxn0Av7D zo?X1!)fL0CLPiJmLmZagu8LG;N}LJ@EfJpp*CG|KM)@R**G$lGgXg1_uLUu2cP?HzC=#(~4_K*{EZX8~@h@U)Rda*gqB#f1aOP1?LSMv|IAH=#koYjSIVurSg)M#ac4;6278~oT%Z4l) za5c*Z1 zftq0{!R@Irv`tDh3F4Qvl%Q`S=Y~4%l>Ywo*tS7!bNcm$4TT2KmF2XzIwoP=dZwn< z8Q9CY!)yv9kEp{(X5R2H8m7B|9#Vb|I3{p3&6U31f8IW1|ZyAYTuXq1hAbo%#dFG$*aUp6|xOvIv;`Fsp1SE zb|!o&XPxOM+tIaseFA^~Yo_4lpA;8^mG$W_YyCaaw?A^V+By{ve{eF%JTCkO+8doW zpwOlBEHb&T9G21LWR|{b;=Ji&eNVbBI*fuGXV#cTZfC{Utu0QlNG)QTx1uWXT0<_y zSG|doNza`Z75D<9dp+ga&Em|a{pWBuLzb0<%?MaN74}lFre9<##D1nA zlEf}T#9!NzUF$Yck#(I@sflA%3^?BIxeu)Ln+t&!Qa+@H`8uYo(xnccGWGa8H>Ttd zLkrcw?GFzJd(E(;^__7BBFU6#YjxMeUG6kB@bG6rpZhM6%2wEfR+)4rFF}s9FImX_ z<a(FSl7vSA?>IjEFIsLP5mLhDCey}$3)@o=Xj{^8H;Y#8V z4XInfKB3ElIajU+XAG1*hzVo!D$%Ev+k9#k{>PMQ3Wo)y0w*W(_ZjXc@7qQ^{$xWZEwAXs%}GkX06z@L5{OQGhjAQGgIF_rPoQ381(B0GQN@;PWy)IPoRVq+Yn!M$>+@ z=o}C(Ox-#HcZaVmuwT)vol=t%ZMg<+gL2+XFM_K4@yXFfhSJ)%hMM^j6mgU& z{i{SjFD{ZUd?hDAbri(%W&_PDb10a2P}AOcALc?qULz2McMejtA&@!1#{jQ(sacKl zdI31L_kZ41J=y|~ocMY}aV;RzXPMujlX&0IYXO=$b=8&OPF3Q5bRzCYi5#(&8|FAe z^9jaxvxe?ySol}-NJBI9D}m*>w=E~*J#gF^C1yI-2FH;yXIj1P7?x6xm0?v2ky6SQ zKw7!?MO&l}68cH;v=MsxF$&eeQC#^L#NrRQgJD6DU~Y<~<{p~BMsVil6G>}h z2_L4q?+bSp2_>=Wx>0eQ#d^a zy16evajpV8Q3HI$A)XtV51(BUcSBdWFMZU*BwW{41+FTY$}2hWO}*^~Cj3h5Wzf3X zYHfOcZ?d^-DRYC#h3am@C8l~(jeFycdK!(tzrP%QrF<5ARz?*t2IH0Y?TGG@`zDZL)d~EsgvYYc%PaPgLguxfpN!cfc^PCM|CkAx=Xv??WFmnI><+ldfK6eVB+4bD{^_+?zt0|fGCo&qg}dLJS&~5$MWTpR{pi+v%#^%5psjI{ymb*4jCr$q^@b& zt`D?#)pEb5je%wY6A=v<_kt)I?+4QGM2)_2H72J8GNZ5gx=uii1P`WuTJ^wj6rcUh zwiN8a>fzgtV<5+Te|LH49ia2+=l+!!C%QLc6)hC6-z z=%(vyg%{?=%Q|SiC}d#;bqod7cU&$P_Wf->_<6w%x=m8{WPh$cF3Pc?Sl+U@#p_>l zxy9uX`q#srLONaoD-9}9TcuGeXyLLot>dQ-__KdcIYj}sUhuC|9_I$O2tV7*15XjK zTk&fs*9GE9cZx1noF@a@R3znBRW;oc%aJE6{=$F#1uzZxD@4-}Ni+>;;fD5Rz~#xePeT^o6Q8{UTtM`%AtW=5Z`x=Q5o>3 zej@)2IUM*f-<4FUIy^X#)fP6fZ}?O!6R z{u(y5ulemR?LT~(N(}B?4_Rzt1)5W2uKzUx;y6GA`Kp8r^xj`077|uLa=RkvEM@(} zeQ-a$4<2^#;g;^Gcpu~Ma zyaJQKCx9xu zD`(6GnQ9XorCKl;UJMJjjR`5`1P>+2(;`|^@Q-~K%r>3EQ`d(I#s&GhFNtR$S&}G< zTIs#>y^}Dm@|qwl+RlpXcGZ8G!vX7LrZQ6>ozLNTef!_*WkEE_;+f??bw4jNNIE8l z7(UF`ynKlchxzMQZ<1JM{5=J*pm4BXRnN%@43U@WxxSOol(M%+sD1s!*P%sRSR~EG zp}%hucG90HuxHD*qHjII%fRhp`Fvc*CKgC=7S^Hi!p1X0sT%)m9SxGAEB~5f4JvT_ zo}atJ+z>QW1ibRS&(~=??>+(}Vs_A~m|*zVh!S+oOObyq3M^c@G2xRfr&|@$+EkAAL~x z^Xu#F*sml%Ibr{LL$5eBVEC@tvbYHks)f%q|M_tBQ%9-kgIM5j5WpO8`<@=Nb8=uYrnof3 zyRbsEq|^^6ckhAtc2pH=d@L^Bf+IF8OPX7cXH8R|HHNJTiXKf1cDiz3?Us zrB4ISz|gBqf()DklM3#m)ebl!-(%R+!TZK3pjof|1lK3tXGe0;eEf)8)6M=)AodJR zH6YJkY;kkU{iB=&yq^Y974~k>8`Vso`h-%SmZs`Al}{Rq*-Z-E`aYt#chG z#eC*VE>N(8gWgFM-APnpfL0REQkgj?qlf?EgPO9$0MfLVKiTYd^~s8rK^S*w&`^Jg zsQo3Vu}Y6VW(JHrs{4_S?6169ZGtW@Ucz1eW*tm3IaMsW?xiYue46o;_D|*gud6Q~ zHS_P%$%v4X(I+m@L!&M83+^{^g{a6#QP%f zz-?*zIKst8?pvg%@cRx3Ans+nP4OiWbOeRPu<`tW~hCXH+Z>4rH}#sJ|-nrD_#`KSxkG11TWoboQ9xgh##^edEA@!_bbEYK9q zV2949VX7lNvN3f3EDH3_|9p=|256|NqQ3&+!3rB)L0Q5gVn3+k$xChzHUO6FWR<6zj)OU6Z_U?+J-*yfa=-e= zu7ox6-_G@im0!}SFkQ=9mH1$OBy??)(67EMkjIiC<8g(I7L6~<1Gc6bcv0iInu*Ik z{25tv|Cy=m*!pI-DzgzyMUwvhU$Nyre56C{Ac07;ELM6|lG4yZP=0YWr^auH8#xF< zXlJr$FChJ8o##lEKcq{)TX6i*@$0`v%J9EOx?CbeX=t8imSV0CM3tMI8n?QL zNr{xWno%@ry42ZL$Mf!puSX*}P< z8pMaV_t(u>r^dg0*gNEG!TX2on&el-uH|fqQ82hs#0yP|J_1e1;+rPM#nq|i`jo6* zubM!WbPrgk!SQ3YXO~QgQPj)KNXP;wtdmseugh~pW7udqUE2NOV4;E99c^=Sq#(w+ z_QS_4#p&-KGaRk_caw--@<;zCAnM-(av1e@OM%!)^_};0W|j8_wE9a--e#6t#cu=u zQo6>hq%FNq9BU86L*9W)N%-{Z>B+{aOw`uhmri$U|G3fi2oa+KWfSw8OzFTV35QuY z|K93mvj}`3z~odJU;6H&%wC^J3o%B21f0us$9dxI3X&EF)CFuVtt3Q8aIuMN=>#ZT zz5`{KrmoAMq?edeu4IEyTYy>JO!KJqPk%u&F^+mTS?em{wK=oc4RqR0A2-TAS*^V( zPc&sK?LbO|7JBkAD7qVv+}VSaiR09sSToYxC1?xv23+#wN1K2^?He&?cc|6o_!Mbc z*-%Zl>zQ4>_ro1T8jr#Cqtz{iSXDKWPMyOJEMa{>dEQ(_DF9QrkZfFfyRNe@`r=h; ziHslKjwR)xQ2R0zDGs7it^_4s!@c5Z;zORtTip#@gMP8Z+yKb^HJEK~XP}kv?7Uic zSB6Vz;x_?QU;?o5uO~bJ(a~XORSJPLeg1&e{Ub4#b8-UMXeR7aEbhiC92WY6K=dPx zBnCpU(?Nej@boJ{**MyDMT@P&$v}%XtKbcV-?{Q20Wnxzo%q&87lR{q3TyycZegJyrPUwn02pL(I+QIT3YmjJ3`N z_1yXN18@c1QD^aZZUH3Bo%I$FT~s#GASiA4krS1!p>}QHBk^AUa|-k^JOcYna!!Pw zugK=DfC%YdK61graJeY^DIM*1K6lZi$(buz7kH3HARzz7WPs4Avj-^+cj!A%3aI`d z^mXxz8ha%9?Z152GBG|Qw&4sor<&AOu$kZybrW|mP867UN<|S8+{Cd0~jTk9_9{q;HsvB z3s46d2MOkPXcmYx_Txdt!?7#8xd*cQmc1S$77ic;i=|huv+sS*Zwvwq&n#sn>+IL; zMlgR%Dc4w^r3}wWhlSYr+mP%@tWpje=BwtHXE4r7KS1JZ5o67l28<`vDYZ! zIQ${cxite!aNv{Q%TgoAj-Nn(#`(|Dz9(u0oGA~@fs0oyZ?>hJx?8F0hz+;>$<6ZH zj$i?L8r1+0G!e&feHDKboToVl!q1&@{RER z8mS_ELyxhL|Cm&$NA@9}nmzn*cf|o?>f&nGro(}ULLZfAffC8%C_62M*^pGFA2V}E z!P>EJfv11g7by@4I4DwPzj+edNft$oxTm0gfuWZi$)IPxR5Km$j+k<_psQ&I-OjD1 zIKJ9*K7A=~>7f$)bb_iFk71z7y$@e^1}?o@S&#zNKCt^gCmHX{4kJP+lchz3CqS8l zm}-8%sit! zn=ISGxNoci{}U{PjW5re@qw@jT4RQ-+tZb1+|Jt~UHkjw%RD<7O-5UT&a&_xx`L~# z-rT$LEIbnT@c3ZsLOHKu4v<;Rwz|dj*-reiorP;!b+H$Nx5sZknaNfywjK;e_>Nl|I`_#~f z9cPBAm(@zVk#c)WWQn$%*8owc^N1yNl)s?$?>$LWm)pL^oN*{dNz_f)m}@+EROz10 zY}fKTz$z*;BGp0X|Jn&tkALM|V=Jg&Ddim$I>ADqQ3EoErf&aVg=sUVS9774Cm7enYKJhhi(>b~H_is1l@_TjzAvh{1!=v}^(>y7q zB=!2s|7GzZxaIcStyA=fjarBDBDU-xeS(jYa_)>ck?~KJ7U83*7Ek8Dh5%3rX+i&3ODRR6v>345j0 z^VCD#wrQ#ozV4&l?Y7KtWf#)DU-}1%!hTc5@W(@(1SVfcDI}D9m}#%ydZ;xgm>f#- z)bSGZp-5{_Rj5lnh~K}(A}Iz_Zb*^u!x#4$XG03NeUq?RJ6P)dR%@!;Z%1$7%vg^+ z{WvtPf41$KF3;uAnR$9agF^%!Xh((LI*jqhCc-5W@-`!oyr8yO=i@*aV+8d!;zE zWKp+8b_&&4TiD8#uX3E{$E&dWnA}%kfuG_(a0J-&r4vx8D<6NnWh43@8<7ei2G*bi z0b0m2yEHd2TTP$HJ149VS03I5m3{m_QIXA&@q{bbboEaeNuwy|BILCM1E^Tm_di(I z0Vy#dW0+p;JNQ;Yr?fKUK-kt`#*b9jMgAr&to&Ki6-))>f>IpGIK@^TKRM-_>MV6}@r-zvM58qbSIS87S)P{uL{j2f$}xCj>$^XY*)$Q^N0s4M81g zlpkPmd>-Mkub?bhb~e{57u4Y9^^c3}D52(YHXW>jCHi#){vX9lf$ASzZ`_ePKnh$S zJ+~gsm@G1Woh4cT+lwp-J|;VBz&?i`v@y;7eEu+eQx$fIb+MhquNuHyA&w#RBv(g8 zLb;=?8Hfn3sr#4yzq1r=mj?EfJp^0#3X?i>`}O~2`Fzu0GRLr6=N)0bKF2v1-_Rv9 zH9KOGG3j=y><1)4q>b8HNWIF4Cb`-r4jH_8d1@E#+yHv!ObHUgyEkpkuiQ98r=cvx zAy>;9^|(W`tn+?5jpSqLf>Bw+k~fxd7ni==2vN=^Wh-$A5aEtrmVqh^Ad^zMm@C*FL~(>vsXx9>87;Ucey`*NR|sh1j-R8`e@b`A7P@8EwxD> zz%G#31m*G7?o-W%9I?&T1>+>_vQfRviKORuD$Po0UrdC=F;;U?Qtx==3j$ z4k`q%3i}b>B_f1-m_txq^seHi913F$iOmYssWUB0U>lad%KchF1=Yshcyw1^YPOh) zjd~}fF2rR{?t5g-m)R5kS);N?m1Ufu9Kr<7);Q$W>`uv&busC7?Ac(4sD{tjRSu~@~G?cVC zWYUocm8NzA?iHFL{$0eeVHINtT4+8~}z@6@}Lzq9N{NCANIrD45{^u<@ z!8PaPu9^kPb-BcFGP=t^I@R{tg`oVw=g`ZULdI`$xc&(mky&ZW?QXNL^Jfo3RYUz1 zj`iAl+exKH#^WX=5WiOo-V0&SF4uP=9>HnJa_>zw{-$=eT3mLNej6;cU3Nbli`F!E zUkS;c_FYs2E-UjVaW6gRh5DOEaT_wn&$fQKpK)c3c3J9Hv}(XQIj|W!pZ+vl-;H$g z1s8_xM8P~rfhK4Iuo1<4KC_P4g%Fr79~fgtkqlSoe|GVunE#bVJ2_oG_uYE?Wo}Y% zQRG>=iezka%`Jf3=M;#er)0ovwOvH6ep8LU`Y6?}TjU?C*IhYEicZ4dh(9H4wz5MVNOS z2V6eUd)@>}i}g)9jmPY-(9Rs2%Adcjf>8MJ?AwSRp?&PL?K??z?o0Lha|?>ph{Z8^hnYv#dK0d#) z@`=Zp@cX-P1&aA2WAS#~s~=1+za>G7Z*#)^oBqj+859>OAhrZ^h?S~hPFp6@uo*JJ zLsJaB&oR(rbw@|udD9+7>p5EuoNwQhs0ge$az&1uLj`s=GPYQKlZ>vN6<|FC9!LG~ ztMh)Q!Pi&i(!hP}jXx;#$&mGY5~y|!{v9-wH?E>n|A2V)QaVOAgh^#)>5*= zBA$kMaly{Eork=&n8+;iV~q2S_&n#5?IMEX_UD)(hi}4Apv|GNK}4-BEVr>TDn)%& z9ZP~E%7q4ccxPO2AjQI{L?p|lf~4JWJp1k_!Q|H}e=PXaUwY7h0Oo)gz*tB7ozDmP z=22DgWq^1YbF_~qOc+f87xT|AL*(-AT$IQYS}|%gj#BoKaPXsBjt|a7(X;l{aag^7 zjV9wG{6NU?cv(n@&?iOwF%Otky2iI%595xg!3*kO%oh>ky1#O*IkxGU!Y3;4zavb0 zr!GxsSU#B{7cMl{H;9k9A^*>W4+t2sp~GD!Rqnoyvx-Qe3d!VoD8A2CBB`R&t`#+^ zv;gA^^3zuFT>+(DUoq?}nVF|&qxl{#SfVPJXDi?|3%qjyz5X95Blrd-Lnx>WBW996 zai>9CLmKu75i77grg*d>m5Q=+PFh*)Q1s`qNn~A$KS*$|f#;UrrcJ$MF1xi8?TmDTPDQxoDl8Op?mgnP+v zt--^~PX5WB{a`4j?V}XYqM&76Ev^U96ASF^=9i6fvq#aXsiHOnYWQZY!`2nt=S8lX z&a{R0c+PV~(3GI;QNl2qHM$VQ%R#Q>BdKws zOYt?fQEO#eIoN$bm;Gg1m_rU;Qzudd>r)V+r%ddQa{1NF-h8axZ2NmbRsk4m>G2Xr zVqPN)80#0^J?fV2-$HP5i2S{^iIlqJB$4jms7~tacl?4^VBB0>9SQ9diUI2P@KUpF zeeKK!XiIw5$BiuCY1To2`R#0Gm?G_iJ=A8`CJ1v~6@GXAInpI_JzIAHZSxk5-@JRtM_3h(QzOJhF#R!HPa-YGY>cFGP6i2WSFoB5*yIY;FwQ*t|mllLub|19+| zbaGT9A?j6|(|G}!MUWQr6!vY7z9ineNVARL9dCP>( z$@(SornUuj!GH6@o`q|vZ4^>Zw2ct@szg)D^^ex}>~FP~UWl0l4Pl=3qi9LME~v7( zw=(jH%7ohdhWxIPqzE5GDN8+aD-@b}Zt=2B%hko=8zZ2e46uMy1y`QE1J?8`xu-Ol zVYcK;`(ig--)5^V9D76lbQ|$pRrZJy^cMo0#7?<-#|)tc04$}&2+<%qNxEMTzT4?KygGRHZ!7@#SaZy% zsO}3;yf^D$&-9z^=hCS=zX(vN9!Jmv*|N*wm#Lvy0Q&fONV%yN-%-woG-6iIlXHp$ z#UNFd&M&P#&v5J}QomR5-8h`M$YYG?4j}|7DwyhhpRmv9SshBlG)_btpHw!{An$@L7suDaEzg-*CgIR3JYG~w1dD1Y3Z^&?vZC9raHDI0jUML2&i zjpU>?P=?KLr~Duk&N<#!K9zKCh2D7-U`n2ZaZVmr9sjOnLv{5o7KibY1j;w<8 z!IP04FesDxOj+$5^-?~8NuPDE@muG9CN_1JqsvS&gbqr(5&Ln%eCmR2XIm+@NB23J z5Yay(s0JjDQ8nsq8?HS|#Y+R6G54Uf)~uD72CpbhSyOg>SYSB!6zh_9VUGf&}6^b)cF|npo)QdIo^?8uhw!aCpd8IQwT1#Q*0lJ*sEQb)r$7;0VQZx zXDhOE)?y!_X++50x9j+H7sa_FMaN;med%tRi&r}84z}GWq9mN%F@?1X`}_e|=$0RW z%Es`eqeu|%J+_>vBLRbLe61nxzR)T5oB;hkPY6;tl1!(kN~-+rS7@oNmv0pPzU%tW z+U_A>VEZZI5m8*ENY>T0xkr#0Ynw?b3iOt5OKpn?fH9a-mh+(e%5S3XP<=KiqwC(# zsXNRkW=@S_frW`!olgy>nhnxGlg(1A|1g{C*v5A=#)e5pQL;|eHsCeKkqBBrYcIPV zH2Hw4vXqTC;Wo}5($-1H%b$S>Vm5!PhitiYH<8UUR!WhV8?qrl-@I5i^St>M<};qp zhD1sE)!`pHTxhi9#4%Sk*p_r^{N_xrF|Sk&)N7|sPSuBa((xbICA{LXNol@k)*oT8 z;i+Mc!pY2&-sP$8k8G^y4ThvbH{|x&1aF8mALN*syT{I3sSE-|rlob$+S1uBZU4qe zWhqzxJlXL@0xzgECBS5w{}%obb-(*O&sj9=LybeVPHKiKKE+tWrV?hAM1 zh(K67LSubQ&OPd25)K#T`X#O7WuO8Jot%L|o@?!Za)@JkYUeK9zLUAwSW4)jX<^roZ6Qq1WL9**&R!Db zHFO~;sObrA$!5JfP`cn9MQ;m5(oZho!|`!#08GM5IG!U7hqBQsv!2-GEW6SWXm*V0 z_B;Iu5#%ILMsqLB=*(RBjICHlPKe|ihABNt&Lgq&l_`9t&3Mc#?|s#}R|yif0@acr ztIgoSAWL0ERe%t>U3)}sOui?{A=8uH(G()DhY7QyXf|8ERabFZGsoYGO z`s_)&ElYMM6h#PqmjdyW4xvvL-j-+r=q6{98?z?_D3_(+mRp!=Kv`$R5v8%vG>>^K zRZ+|<6q;Ei7w_g8yJ$5@3BQWDG1@@?IO+So^^dJdm)UR)^Ruo==Mzt9BvnFq{>*i< zpwGWSOor>0a*IGZYBQRo7ldJq2dz?oEkANn8lUMTl8JR((D)whBRcpE zOcEw~=6twElw>`|0-_Tn`kJP8gFP4HGV9h2=KbYw>XD`FzNtbqu9NH>%v6)Fmpm!N z;C9_J;JM`#zQ!C@QSn+X;8PN?E2736f_*^lU2#$#yJ5en3S65;!L~oooJD(x3ib|^pfkG)Vc-a z%18AJUY=JGr1Y>|>aO_`xq#Jp$z^MD_qha|`g^lTK{%lVz>*<0RZ zFA33BmM$QBWafSK;)mEENL&B2nsS9nNnv3@cG%@wGnaGNJf2w%@@CtTXI{& z*=Yy#8Rw%A@yE5EZXzn|bIt0q5QU&yv{0rGm;1vGgZ*DCkTFmN`2UeJtX<+vhbhZh zU0|}pws9OViLApK@eAZELC?Hm$P+Ihud2@j(~|#3#u#|hnZTRgj@BLog-L1g%M+I+ zu=6=V7ZypDpth9S^ie|QyM8}xSX&!kl$2TrZ5zDBXv|t_%=L327O`4gg{tLBQ8_WT z_ru_NCuxl;ZISIcinW1!n58dy+8Izb<%d!VURImk~VBg}){k$n>|IFtlA&unJ ze>&oI+uZTvz56V;o>ssK5xT^q&Fc6BR0BQLAtTbyiL{cJjU-1FucSpMO|_Freh) zEAjfX{bF^RwZgNu{0By_C>>Fzponu-)@`In>eO&Al_#jcZX(hYWerz-0Ah4u zQbwkhF?BWlaDdSqw@6{WNfy|;7}4LVuunC@8H9pY`=#2DD!}qnk2$!!xkR9I0D=&F z!1-Hbgqzt_{}*|z>eKi*xIx&3I~y>AmV1U(TIue%TTv0q&v?OS%u5SDNUF2GSP}Yw z2e>QGiC{>L9M`_`U|i^Cjo<;4aoaoY$1t)3O6W-eZ8pS)ndH+fd~G;0q4y^Kdt8TT zmdl)b!i|J?!T1FTvw06hS{ve4qP`G}Vko6;iPBJhSRNR?Ij3Tc&W#UGaj9=ZPa)BH zUr#`PL9GnTY;|k)w30?l_2_-}95lO|$}Z{^7eA-8GRyGL!RC79vUT+i9K{p8JV zEutS_^w30?;R|0BHha;3p1U?RpSU=D-}$chuOUx(Av3tCP-9)(-RG0J-B9siTsl;C zu7tE;Rq7GBkh28x5d|`GG>v4d^?OD=OdNg{riCzRAws9AP~b9_MF(@s5!?`pJs!gjO+o;uYdO#D$ zK;0>kkzT@OMkz%x6xNEPMpH*2%fb`}?p|p2kmk8xM_B%1lJQcQ^mcl_qukd)rvCnG z&+-pZq+1*S+cSqz$*>FS++BE|m{Nn#rtyB}M^HT_@s4v5Z|}w4>P|uXrb{&g&_MOQ z0aVJF3a5$ckBl)3u?yFgbvV*p-gEK`1ul8g>7s05NKBqa2PUyI!ZBeJ(G~`s22smQ zTGv$G#jAH~&uua6ImAoF(>)%#e!;?fF=^e4HGILDLyUVpu#zMSjty^R6*8-SjHb?} zyeg=RXLsesMjf-`s0kOeS)EGmP_4hVJ4dB5cX6=FvO~m9Yg;e%2y4dqp39%`xqkTd zj;d0WVSi99-RH^Zm7YQiRNO;wIy{(LCYfagpTaLK3JV^W&m3S^E*%Ap-UxZMiK_?M znt7B9`M2pFuTKj6=09C#cNU2eu`jNc!kCrpY&Ts;n}hFCTJ4lgIfv#V0P34p`;N%}+*SV(@~_%TQD1+>IDPTW zuY2(eZgd2=jljjyDq(z!x8U{!aGnmGfkGVX(yD8x8}N`yeBc*D1%);jh)UYWP`jR zfJueHA2jK=$%Ixd1DNIImFS-SHY5J1JNN-hsW#Gm#qTKNhF30Vzj>6DZ3m>?g1$V8 z?`}Y0xDp1O%}~&3nFDP5MRC_5UeYll?k?N4%t*Mf<#*j8jy@TpKV+h-ezrZ{T^LK@8Z1Q}i8PN(EhsktXR^%mm!5W;t(cT zi?gZX$dycHn>^%G8ETi0Yq47+T*0yTB8zBkR39sBj3X!KLtJvsKa!sv4{rcUnf~On zDljNkgZ-n+#`SBqZMLx&XuqJzqhN$0-~Dy_7x1AEZ3{U1Y_E&FT^DAV8T3N#EnR(d z@y-S^S)xnc>Q`HZ(tzqsb+CwoG(We`&nEZ#rzlA`M$d6Gw7Gj#1C%K34DRXw>+Q^= zsovf{9+7j9jv?f@;vk2lZkeNydCGLmN0Ks^xzsI=d593Hkg3c`r_6K_-J($_V;wV5 zxTS7N-)D1kwASyp*6*L+*ZM5WTF2R+&))mgR%3Jhj_xte^LF^MKx<>~i2KE1i=^Wg zs?r=IibH$shZK25G~Y7u_C)UvRmD{#%nn*hV1$~&lHTH~KZ!&uMlvfb<`Jjmn!mS8 z^lGKtj*8ViDR{uzo3$C6CRaAf*1d@^B9d`R@gjd(;#jnZ&|79&`_PG=~C{?`2y#WyNQEx+3EJ*P&(ul z6s$1dZ|*WF+Fuuo2^Yz3b>r8cQ;AFytKT(r?=jmB2SJ|?Egnwq`)gzpIX(w2+@@Nk zoFST;$NbUucccsJQ0y>9re#m~8$DBXM-hj{*~g8;A&ax}eV)VDBQ9SVS^Weh*WV8VUsAW z7#f$qA70+`a(im{Czd$g7w?3Ezs+qf*JZ~2=7A)Od6{?UZ;v84+pICz zb4`lFSI2i4-}|8Hp@}PrR^vyveU8Pz5u8#zuGxz}&6#nX&#m!M{56ai?k{|Z@Lt&F z9W-2*>B#l5T(yzV`kiG?%a(QFAfc_Ak6R^rku5j&EVX`?;J9h0dtp_-mfxLz%>Y#> zQAecNVWE^{HdY!p&z2jq>4m4OACdBAXE|!yMm^YMM3!!D^J*D@yJCqU+M9jD@y5{R zbQ61R*3DC+H;FRhsYIX0O)1+mCKPgc6b^=OZ;sJ21mb8w==TNK6Ke^5G^DS^)XW9J zfOOGB!u_c*E5<==J(0%DqYHb#sp}#wYGK=+5^&uNGLA)9R-3K!7vUW!>T-pRR30!&hbGOk9&uRsQui zJ`)U=h?+u9&sYi%|0zj1iy>O*FTxXK7u7usa~zAlvENbhy>bME3LMU$!&@MbgFd;*mU87l53q)V_ z*7CmF9%gb-NWl>*AjT+%dp>k`{^j~tc3c;^i&D;JVm5@YKa)zegteKgrb+@{;px}U zA3hN3-UCChJ?DA<<;mZICV145Z<3v8W(F*rs9|C5#VpNt!?|HJT8^#pfUiuo{b?4?O_hC z$F&uBi}jeOfVN1AmQeakMPe_JJ4hE-P?u0}|7N?lrmz){ zQ7ky}Qw-rHjNMFwXFroAFu>kBjQa)x7he0&1?aN4fVw=_en1Q+L$~0jb09!9w&$8v zUm^a4m7t~MJ(fAP#r7uKoy<7$OoyB*L|Qn2r`r@OCO9l~~#|XWxrO468eMmK(>2R@1uOe(K=f^d%~5QxzHe<8T}! zZ-Rgm8F0{G-1-s7{h4@uyDI<$sxEyQmOu8GA0c_AfSCkNrVSWC&YxonRkY&-qQc zn9m!Kq|R0S)M;L}iAB&mggYu${_;Z+QXb-svW3B=up1@Fj)y{@*^Qh7OiWMv2p0Hk(a_)kd&V#Zi?ujc@To4RLYSfY3vSg?WZEH#?EGl>qtESFqorfbpQY@K!o94uQ zR;@&AsN`J`ns|t>fSpGj4Nec$Gh%Pfd{9OD5OE=&yX{WY)WS=$6eQj&2TVCb@;KqT zYO&@rHkJJqX0Ukw29gJZNVpGe5vr@FF9d(fLzp?q!{GMj?JVZETm0)WVsVYYnR;sG z9(nd0B|MIfhi%&DtON3^`O zUUc=2%GU%N;V#=1o1nrDniRfm#DNGl1~XdYXSjop;>)Q9VYv5|`}z@YQO4&i;1kO% zWhgV7=Zjpe1)vIg5E_XvNidZjfZ?X>e@wl)b;9FTL#3SO>*p|3stAjegPLF}YpN$uF)19WKp|QLJ%}k1xy&{NJe0pBk(V1%t4$U&oF?B_{%7Sk5UA_7 zbI#UeM{@k;jv=1&wuz}FvY2(XH`DMX@mWpagQU9mk*$|+mKD+t z^hyw|B*+u6v1G^c6(_G=F8m>O1=>v3*%|5e44MKOr0-#pXeOx`jPt2f7nF4HSK1pP zI(hY=G{Ze8yH`r15vT!L1Yar8f5y8=qI?!w$^~(2N5eS*+8&Ek>E*aZZIi0>?4i1D zCwZ+Ma)QY*7|o*_ira7MBGz1E&4mkZg!6Rliv9h47}WEy=omo>>*`ftkXnzd)Nj_T zFk)tf%VIx2KLzv+d~jd%^-@(JM)4*A%bsP$m+sbw@8zxEN~&VR7A$>V?1P(a8VHU< zIg$uC@q+iw%cz&FV6Ll^8Ufv~*CyPUA&O-nssusr04Ukra%iys@K-$E#pPO1zEP%f z7Zj0arf`{7t(S)^&qh847m7GAUgu#3DE;f(kx+udolgih*sl5e4kGz zSLayog5nI|bd@0A*#oayyl9yO=~q}~hYl1h|C9eb8_0`zzOj7O3k zkeNk9ATq=5B6A4|Dmdm$VX0rw(g8kB+^dDFd$2eBr9{>rL()cg&vFXr+&mGGb#;U& zy8_F-4fqAsvD1sg7xwo8pzQ)G628Iv!8gz3#>r4YC8iH(w&Yy|_p<+`1L53cC8ThI z|2~p)Xzowb(Iu3<_PR^3_;=zXv>K6UpjN;KBL54WcH-_Aq&EP;>2)A)~{1?SXYbXE2dMtTnDB3m%Pj8n=$#WCBj zxs}zJq_n{Xom>NGW)a-u@mu!~!YgM47vb%%nQ~J=k5xk1Lmzn9OkIJ!fu(URQ5CVO zrU<+VLHZM`hgvI&<=Jn_2wEz}q^$V>3Mn$7yZt&u+6>#Bza(j73)lprU%_rQxCz&X z**}rdS0r7$H?`L35K(%`H>opV9zvInmQ@pjKXli_N3!Rg&M(4RYPiYt<=Put1CrIO z@%k*6G@xrd?#lvLKhG$99Bg+;o*?OQA>6!egT=rKr;ggDoQKo2O*HCsd0`6*bxVYP znk@sTz?b)=b?aC;^fc8ia1?#`zhW^mxevSzcI}BfP-iyg@el6<3jLIKr!2h%9OXHj zSR=24asvn_sfep6f417AXjBx_8vk-7 zzrmHf-l$hAAck;bixX?Eqy)Z4Ri5Yk1Xn_MUab9dOi>_{i>@TriZ2aR#8$fnfBcsx z>i;k~dHd{vaS8;Bdrh(;A6?JFVK0+`Ob^6~%RsI1C_>x#E3A#y4hxRb3tp`2sjd!> zx+BPgbzj%xwgb=Q1u@rhlm?{J3{pw}3a<70pXy;7wCqiz);@Bg)jmNBq+oWlSD^s= zJS%Hhu(hl8pPawfRbusQ!$tvTD~F(?Q(sFsVpgpRN=8vaTIJ^eyO1MoIqH_xxj9NQhq5_cB1LOl}JOwXwZS2aGGrgO!flzVc zcEY^vf#q6LDg_Uv|4;MRQt8SUN;og@@=SxGOkK^BV22aP14=NNGj>vw^Mw)4WJkj2z6qbX1An%>7fMl z&_AI>6lgzzUl?Oi6{Ng7|(Lyxhq7w>iA*j4j?h^1W zxwnYtQQl!DP>C+|*gh#eo_~_A`t|50QQcPeMaDNCvPLRMd*m$ATmd zJtTcgEwXRcYcATo$G~dystck_gNxLsz5f3=oeC=r_*eqM{suYfA!vZ$q5XMgx~yAr zX3*G`1qf22_7$Y8&wFd8U*`{1xU1%*_6;nsHTZn&@xwffO>6}{cU<~FR@&MhA0`3D zjm;JuVtq)`%R1xq$BDzBoN+R$9+rfG zptjx%nEK3o`_3v*<#`TC5TVaK5d9qEBl{=8WRB;qolG}KGNoKfV*ejR{6eI z32Z^zV`(ypK1WmoWXwl)(%>oD>~?eQK#|a6U~}+MsWk6o@8ZXgJ4kegBwV+Ax&s0jY}~@a4-s zQx-%Q@CwH>K+vi9F584OeF|_C!)32ED~dz0C2S_xvYxTBO+#W$AOlswo${~5(Z91| zA`LzV-qShq1=a~qe)@3@Tl<=03m@LR5s-6thQ*1&sML#8`pBs;1S>SHTl( z6p(#*dSUuXk?O%|H-Y`<>dBz;jtK3Te4b~10Y>zh%7qjT5A�H>FQ#@yxa0rJ!_$ z6Aqj0xV*Z+94p{)ReRRK_gUPf26y^0k0Q(U<;S}1k?HfN#X1Dn!H=Gnv1XZuW9WYX Dpbfw@ literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/adce_overview.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/adce_overview.png" new file mode 100644 index 0000000000000000000000000000000000000000..08addf55f5d5baa61159278ffa3ec97edbd741c1 GIT binary patch literal 35403 zcmZs?1z40@*s!Z~7&Hokbl1=W(mBM?!w?D#IW$8fA)z$V(jtN=-6^5c2na}*B8Y&9 zAc7#sS+DNzobO!!e_ea)_|BSD?^A0%_YKz8B6h06#{Rl^Gx@VGBrxQHbo z0#7uyVk|FQAV&JAp?%x}9I&qT7dXXK{(a&U6>;+L_Tdy$=7)E=Xa^?}-pNePKbLO;>g zG}hJO6jcGAU9m3q;4ck(TNe-LCw4d+e<7>~Xbuw<5)*@qb@EpaP*(PJcQ z(nvA1l)o*`*-Ot`)Z7f?WbUbr@&r>tdAiuTx?;Qxks@$M(3f|hmIE>nXXXII>fwAe zHBI&4F5V*IL4NjFGckm{go&++6b|MFy>)XV6c%iTva(T-gdg;8j3LrkM_Jq5S;qp(lD2x{#?rn%VmM_zl#{rPvbv*&qn@L$x|oiZ zElkqY+)m5fOj<(>Woz!QVc;X_ZYvFw5cT#KbyJlNv@wvDL?V$s656UZMy_a2Lvfgo zq?Q;ETV-{Ox2cN01QKq5a59l_5eH+5`(jKSq`XYU9F;I$SU)wC3uuQ>QuWYAc^L)y zI*Hpm*f>hL;!x6>xz3G)G=BJBY$HLsenKj+QZ%%?y2JJW83>}&$W zJiYv#O%cAXI3=W-1L#6aR8!5+$Hh&`Ny^7jTTDvd92ZYY{sHNtsVj`lB)>E-jGgddX zchk|-H8O^4_&7TSNZ9z8**c2r2C163*-GkS1Dp(CB0lb(ItFS=2q`abC#(DK#OkuvcJfV)b&N=S;E!W__c8m7Jm=Kdn)_BIA; zKK7<=XmK4IWoextSfDD#QO^T~^LBTKX@LpbORFP|9Hpf(9(FKMA6+1g>Nbv^nph8K ztdokPow6FtA7-Fo1`^fa82V!jwif#m{1RmnKu&etX4bXT=0$qy$3@C^<) zDcd-KCIxAPCe=k+LRFd!4w5*G;wwce{6ZEY4o!U}3Vb$}qI;Ug$s8=2sh3jEf4)DO zI^B?55({x?b8NfoS2?x4yjf-Ramm-YtMRC+>^$&z{gD+CKXX*!{M2Ux!Cj&))XE|E z>q8{|bo=yDw*PYAWZQOCuHT&fqlP($T-}^d4GsKoMHUJY0wVJ8^XuZcx_;)n#lu4F z0z2)yo!CiTDP3}*mJikl*R175|CNx<2y!7!4dy^Q^Se8ZlV1HyQrHt_rpp9)P$$=J z5D>-Xv2Z<qa?wJj^2Cd@2iCDW88Z#{NM8m5(4;j{s_50 z!O3aN^!noeJ^w%pJ^!vmxn|c*cw_1B`m8TNecV&7^y3{EyhkqGMh|t}eSwW)&F;c| z2GH_8!+$Nip_X6pl5XqZ3w``@=kFvUqZO0WUZri)$dNUV{+~a0&%a3T?DKEXwW#-qry*7OGOuwHSF}>N|INMd5d{g(P=#UUw*T$Foctrw-D27&v7+>@;v1-W+uKHeAKX_$NlUeq%> z`1KucH%VCwuT}K9-*{Z`KbgbpxCd4$S>kzqcC?{Qcr)yDPkdOQey!B)J>+igWw(ZDp6A1CA6@TR%FMLlC?Ahh?m4jzeC613nBrTAVv(}Lf zi@4#-aYP(dmI^=*q z)a|{n&2vh~c{RR$!oO-jazKWsgml)7;NO_FeW(kD@JkX5oGQPQ(RsQqT)NY=P*q~F z@rwB7TU2_Qo;>_^*xAqQJlD?S&zn!8nTI5zElM9;U~~B=kKM&!wu3yqr(d2vd}f4% zb3%H#DI9jJ-BgsGmG+{vKqf3~9$RX^U;ExryX5@OQ5U3718Y(1>wSzKmvEw5Uq99U zxAIr&VCA{{_Q%p6qo^liF30_C$yVAJ?d**#b(){2|g z#`mTP;G5FL2G;*Qe+0C0!u}q2+bwuholR z&3t&l5L;|D;3eF*C>3%#%Ty5HKI5t#oLjzDnr8HEd|R>bI^O5Vn!HQDW@8Xx-GsMT zmg2o+SRxYK3GkR8ktSCB`jZVavFl5!_M3&`lX#yJSPc!(R-K4iinKo*6#Ex^V11hx zNMLOgBt9hJ;cVPLMx?CT4(DAafmxqr4LIq_`u(k4xUz1D?|Al9Gh9)a9qiAe5^6Vc zfmL<Hr?fN7oJbhRzop{g`?fnyQihJ?J+v8a1M_!TxaJQ%<1E7!l~|UuuaN_p(a*n1^w~ZC4wZ{eLkCBq zlePj2#jcKmChz<;l7y>xHt1BM_cvG~|8W{l@ zSaS~=a%5Y<6c(}VBFvbg9lYlTYl`e(&5H3-GV~**g7njZMUhPp`U`D3!Q#{Y{vI;$3|8MHET8ou1uqPG+>aCG+iZ8_?`R2ZWHQo%b z$$Q^lQOS)dawC5>Ktpng6>RUN2S5j(n;Y8ld(ro)fWagVS!%*W2z`aTywt1O_lr0z=!!n+dT^ z+TH54`0?GEyKjL@D(L+4v${0$s(cGC(U{zk<;mW|uthp~$mr#`w{7ByyCcJ!&Y)d6w@te^6%H;ryli@#z{jcCVLS5BR9h@BE>d zZ64_1Bwu;OM8on}=wW?p5|{w@Un5Fi zlUKBbJJRWH`;42~osIf;re#{<1`~`BQY*pU%vVRK-kPFq?wg(xbTz+R7sNJBp@zHU z&JHHApd+1?)6Y_q><@3H(t$AI^bB~(IiDNdWdrrKy9MVP3g>WFsSV(K8)h-_iP&2W zWgl+u2ARr0(Z;Xihhe9-AjoZ>I9%{hI5_L2x5`^+UC*?52LGI88+Y0%#rzO_I+e>_ z^qJJfK24NlXtGj5sxvIP{R!=%)L29O`2Oaq9$5QKa%_&`%kF6AAg6hcuCsXo!8$^` zw5#DM1Eiewz}c=3?)z5XUE;rRvKw}uyHcNugs-O`95#2!jff5l#IntUml7TNqiR&- z&86dAz0m!%LAuY|)m`$pfe2?O+&caK#w=T?Zh*}UW;z`5wYGl({49+&ccq+kT;z%A zB#34Urp;X{d1+!+biarF`SEx%a3fzT{j99KN=t*u*O=?_y!QBZbdylSJLSze$H%%y zD`8=6XNL>X1y3m6!aM@rFz)-$H=phgmv)`)cpSG*jO||_eED}s6ZP3&4&94y=%LaUXL?U~{^p9T02F_m%XRFA>}s1@di7>m;Suz={F?2T&-8ft zzytwePk$~NlZeVNVl3-L|72nB3I77`A^b!{puy~*gy2QCBun+{&?O+ zcx$hR8vCtvV^sJ=M{FdWFnpXr^-gfun9OzsQ<-2KDFM;daECR!`VmQO0X9xEuaR3n z-Ws8=pcSDbTHd;8*NMp=O|DK|sU`6ZX!9O(hYZ@8aoNXBmt{~DM)7ix!heA+&0pDY z-j_F0ra4D&=cfzOrA|HBhsLOIDkFslet8ltiYVja$Nr?jVKBmRhD$Mc`w;=p9l=RV z6P()oxvtRk-2C%Bx~J@ws1mDLIJ@LPcxY`B3wl30R|_M75u644_`~#m9*g|RvktX6 z19eCu>hbo434ecxy!!0?bVDJK@a6sx|Ay$ABhxVu^8D>ccyCpKVACvHT8Xprtq%s@ zqd5t=H>LI?FQxYwn%EgRuF>OOr@o4_)1kqX>$z0ass|mlubjoPQS!S95s>fIp9mAY z!xzw?D@hl{^-*=lDYL%GHS7XDSk!^!Qi;G$&6_LP-(N@XYZwj;oqt?O$hyuxVEyXL zsO+xJZa}~6gcUHEPnu?!u2zUIxYp~Qob84^b_c57u-p0jnQ-t&awGKC`@PA>e|$7Y z`z&lve)TKJt0Lu(TE|L2)GO1mm+0}fSidX7?b10|(8y!xEMa@EVQUvDyOTZ`3f{4y zWAVdedVa}!qBjhNT=)N$zG$pNOy^B zve+r52E<7vKnd>7g?tJ;=Ey|uR`#l8+-hLs2Jx%HKHt@pW3Z!-GiHK+j67QLu&>n= z=NkG${rFeUI|8fF(uRtj^31gT1(bzbMsf@|Fu0T9Ue7CkbRjO9U;Fi2~gFnia$a8$vvpSJntV-kWo|^CL&clY{QsTIB1-q6bE-m%5HeJof#+3k(jO zfIxXjnkTx>!E66C7ueY+!8Bqx$6C~PM_TLglooP<&&$W7{#VS}Z+Qg2*98*c3LRij zofT|D7~LnTjDokf?kP+t2i6-_b{x)cf*5LW%H;^0KOgUX^b;Fh)9~SOs8ce}v~X|L z(QmOt8=z?@F2-dyD&C1(>dI%~_U*^VDSwVBI=nV)8F^w%g>$|U`bFjDQ1NI$<)2a9 zn|-yz<;l7W8hBP`Tc)Kz^v{k%Y<+EYSFc1x)>_46!isNXp^TE#+Mb4FopMQcTZE_g z7;p4mEk_WCClwJdP zi~1c+^$W;GI=89Ng|(Q}1>no}huX$NYRBY%7X#PT@Zzn`(EUs4mq@a3g3c|8$$Z}g z+?nH2WAkv2CMacwi(c(C zk6vzzBM&o~R!4<2#=2#M1D%7vUOEc}xe2u`t`jxA-rkS#iWA_ zmw9>7sKMCXmYGfOJvkNbl~%vZn>h4pVVOJA&ue%DfKu?@ECXUO0tw@5VInXXDY_L#hxy_^T18MTa=vR7$q@lB=@Vv%x z`L5XGW1XgZ;`Jvr?_;P7YplNpW`;W#UF((86p)$+OLS&I-Cu}Mrq+oQ@luFw|B%G@ z%jIfaR6wnOegF;k9Ir>ii`^5c}q9&lYH$RQ^Vj3zh5h~Y4KF8$DbNi7TiG`o^JiLN9|sz z9&cNn2a&M<34QDUBeW!@xzww*8OJDM_Q;ObRaDRmkA$>D{bS}53Fl~3Nl^}u{21(a z?sqd19xD{p5IGj>nzq8jM=kfGHKRC+hK0C7u~S1!9^AZKA&fU4tn*_rt&l|R+&ufD zQR;NHNCol8Z?pPPp9T}a^OlOjB1Hdpe4&R<^`b)=wVDT4P$Yg?^p;?<3AN-V);u5rvD_ltUm0zhn z3*}JjlGA9_8i<_g^4kA+HB4F|(n(VwxI$&1Y=zOkrsnytk(N|-+;&VhWes09%9+l4 z^|#EYC)pMnD=9V{Z$?|xaS<$xvH}5XZ=Iub;+giwOVx3BVm-{S_Nz}Vp9(y*tXZaX zukE8*vlC|zjdDcmRW#HL#z<`9b%Wy#n+U2o2|+!W-1)Q8V?Bf3s@0C|Sx?9q#=1y& zIZpXyi?wj>p?qPBkJte%jo2_cT09@ONQs1niQ7t66AG&4qc!;hT z1kHiQw#@Kxb-Jr9q|)jH>0_c12NJ1pJJT9I{~L4pp07#g5`TnW4Q8RHt)-^gCXR^H zsr5`>k_lzU_ho%#8Ja5pXlFTHHery4)X4$P%OuH_jLfVx^ydeD@fL_QE_So^PekT< zTGaKC3V3jE_c4`|iayX}>)B6+-!fZg7$n`MFVCwr6NucxJvT;ul_}GUJvuckldr9n zx{ef6d@zX4tD)29vLrw?RhVcJmh{{j-#Tzl?gk4!h=eQ`2tH=RU_*jDCs6-l#hp3nf}e#Fyt0>Aae{Jtd_$2>1} zF2(uVhWxnIBR}8~F<)74t?E%o+t%lltwq^i3hkrAeY~w~*CNw;laj6&{Wf`TRCloQ z4cq5)fd^|3X4&%XB7-L%CHAN?ioy}Ry>jd9iTl4gxG8GlV#KpZ#8au$vM=;FV?qkW z89uT9YK%wZ4;NO%@e)z9owsJR3-%06Slx!u}#8B5FtV!I8?{j2EX7@1=pnD7w_8)Q|GML1Dj z=QpB@z+K2`A~C;_l=tOP=bH?|2ZZ=qGr z(FAY$en($oyxVuHfh^;_Z8WnebI(k;fOHS4t^u>sko2!2d zc3~>0A}{4qT+VA1EwYO>qV&<$%8oEKAr2VnK-9{u^4p7aZ`Wx=?(2WPZC6N;5yWg$ z_(A8-s&tDHn!chXd!$m85)VdlvPNKxO2WvL(r}RF8D2}u)7RW*F+$bo{IoaKj3wtq zI}ua$OmVPASTgSbMlwZtN;xUWo*nfK38kE4@o}D0U`@r{tpPi6oKU)0`!7$G31-*efQA>X?0SSAD z0R29DrGQjKMWP<6SlNzIkJ4QosV>W$W1}uFAR!PmB`st}3RfMV;QKV>9}@>>vQQ**8urP z9{0??(|J=&+y_?SZOd|1qQvN9iQ#g0g6DkYb?wh#U7en35=8S!4!0Vd{rO*RC17m{ zQI$0}kaQp!z%-ZQ@tX2W)PBx)q$<8S8^wO&D@t&8MhM+r(wz{6>)#1sFEfx3Uz6pV zT?N-*EKnXO8N>7zd4Hclrxj(YwGPi({TBk%MofdwW}()|5K)Ods+`= zqjz*lz0MkaIs3E0S*l3RYqRKY#vq@<%kea?3c8TorqFXDw9OD!6V`vY!t6zHq>l_* zk8h?$S(oi{teETu@8#Fa-Lm?&#e0wBGrz3=*TNKych6_9$ZDAP-k2Q~m^Jh)-ypOn zBU3{X5V9$yhrH1HP`q?K!YSX{dDZk`KGoD+*RqH*cl)1V#p*Yr#3U&Q`X?4B`4wfs|CEUM2YAT(pB1lCFe_t4j59LD8u{J zY*HobiXj(e2BwUrL`Cj3e)M>gO?k-Bgr9m^h3p;Ii?qqug-Q{r_M@S@KB~#~r`&KEfmkL38GXu&XIqscenn_j zcKI0UBGc5JVS8d^^LMNtxo7Ebixb;+;bBG6KOA=#lHw(_JKLJ6_{)=mDVN<>4BZ}O zEjjopkh%)BPbBDCJjgee;JPElAM-85w~)e zC>+UCU9hPmzD7&pquklVA+tDGyuC=Y;6aIxB5zl&_{GZ{)pPFlqu%wv16q` z#uBVI*YELdRAxP2uPoSk)%M}HXm))b%grlWb(}N?dtv=uvb;?} za2B;y3bCQ8ApR;bnLO54^Q*V6*w+x$21rNtCUKnkq3Pnu5^j9!onMn8xUbw0V!>qC zDlxk?zxk{}Y96EQ^fP^7;@o}p&1)dkhVPol>V0ajrK=3`+))kB7{=B#U7YF2xYp;p zW+9hVVbN?{|5jSBAKTbh*SV1C{M8G{j*{%xe2K4 zpdJH(%#)W~m(d}!*y&Ie>x&ebq*_fB_*&0z388Q@%E8~MGWMxU2ow8Y5#`>7<2nn!$-$RK(O~Q2Uh!N&6P^11ee0N9%(7gC%j?7 zf;T0`!UHELM+=p?Uvc|X#A{KI!oR*%|3j9Cnjf>_Uqhs?euc%BwlMj|^Ad%j!r-CG zS2Uu^_$qFgJuiyv9=4~!FLHmSd)v27S%rAMe_8Lmh~HykV#&~VA)YcGFIyJB7%2B$ zelONMll{4*;C5f_#y$4WF{ix>$B!6^UwuHm56hC4S;4*%h_ctIIUTgJOpI}E@jdaJ zNaXVWD-EL!99o-1ctH2>?|Aalk$m<)C$m(eK`Uexn;B#kQ^qJGgLh**g)aAd)QdKF z=hol|DeAwpiISfM7b#w0H_F^oMb>BaYMCcH*OZA6+Wf7h3E` zbDxJ-;-7S~b6znMQ#ZR^%)-5tMfvn?C#3h~9x^frMj6zx;j;>Sj4qG;0)5=rve#sGmxLfCrkK#?XrUlVk3Qi?`FxB$0pPG-jBH#)LZ&;jiM{fhn#(0z({`*0A!+8;vX-T3=W20V zpteNnohl`s5c=}_6|xyEIXAS0<%a_>gVb+099|SF9}e$~YhEq7l}Q8Rwp9`?U@|4> zD|^}3SZiSBM$pG+#SiC~rSGN1y}td4LpQ3o3a_VUYudR6jno0@J0`)MRBG5wE>9F) zJLZPAkTf-^ey8mgr~4Im&NTTM(g?$(%%gTkSF0wu`s}%`WNMA&g{q3P_0BYh=GD4n zC&memIW3(zEpayn(O%LA#5d5zUx3tpf0_G^5NTYnpI?1{!0OZehH-uqg8Z?%ub zahhg2QW^Pgc}txo=E=?5Bpmxx{%iw}dI`qqjEokOz6~o)Cun?{2@rpvg&Co`yWSEq zILoJ6a;W+}>?)u%1aW(dE$kwwb%}46lgM0H-;o|0?hDb|!bJw#@)9I2B5bB=7J9BQ zpO^X&CgkX6FNAPw`fxNSp{b^?P1Dj{Ego1CI*cIfbY>0h&w zq1w2NF22CK#)yxJAMX3cFf=Vh_s4%|dMG88UV|-`*JfIsi$G((lGUM@!<~*_E&Qnv zvE84IcE|hHBTrtYP|LEE5x+E05Bv4z<^ut4RO$M(ols`cORan5wERVpni9)#{NHKe zwQNEhk@iGp&K%q%wEXuzn0gT|>Q)L(xTqt|ccwSFj(4PFv@=b;CP;s!?fP7j0LR;7PE1@Jr^o=JYqV(R>S3#=+L`o$XZHn+*_cq>k3)hDk4^y8x zoeB!ww_Q93$m_}Nz{MI=we7NXJb z)QR3u?b!T996TKVzS5J76SI(tH}7&ZDO z=2qI3aAY#|P~`av5}z1hGFFhKsVF<%9P4_H-gfB4k<6k85w@~9ONHhes42f8#;V<^ zwqz!P;;nd%(2Ci3kFb_H3hLu>jdiKzxP<_6*LsWC+^O-cLyJI0e@3|7h|qHf;<2gT zCL#Gx<0~?@t!d3vQfbvqKEE@!oWnHQT3e?AXu~j;&ECIp?Z4FDBHA_8I!QIG1;`f5 zVtgY8m&s=2Ljd6r6)z!GXt7o-6+~YNWr5qE$yj+ffRV5(HfYL2n1keJ2$Ql7t6&v)QZ+w`dj7OX zu@E3lxCwepoVs$M5Zs2hRt}l`=r+w1$WS-;87HSLr)o5CQDiwmL_-5X(Vr$tr$>3x zT}mIypSG&~GWDRkJd9ZIeCX20!u^TN-pSM!SYTDtb3EuKusl@ox7^V|JO8tcIKy*v_NMrw+P{zf`O_oc;T@i`#*S%<3o&X z^r*33+zl14y)PsrAOVm><*%XDy4lFYa(72{8sy@tE5%HMDwlSwZ*%LFUbQJV@?V2^ zx@9Ghl-CN>h#syldxVvsHjsFnc7OszQ?Ni2d&ojy=b|QGSiA4xsDAdZOmN=!k7y~i zgA!5w>3R>uQ(ej*!>+A${;anw+6nJg1t^1E`g2+5*}ajmTR(KJ*z^lTo`H9vOhg;a6{u*tN)SdQH462`tbvb^I3+bxbpqQxzVKBJ82amO=>`4t={ zF8$z+@#LUX;f#0q)#WF5!N|$$YWmM2yDa%OuF%gsuLe-oahqFnprkpH02g{ZY*nEA ztV+~Bv2nQTbS2|!lBEI!A%T4I9Pjaw30y6(HGSxEJu*bikjsd{j!=FeBv;2){DgtT z2M@@P?!X{O_+}@`9nJ=eed1O)9$cH>^B$A)2T7X7JmV#qDvjohDcI(ZQCSa}wPclj z??3MSm66|DHYWs2gnrd=PVbaqE-z|rG59s7BKz!Wsv4)wH$k3wc+CUwNqIgZm+J6t zu663Rg)=Z+A?Snn9j-Mykdz$dP*j%mTk@R=&JUQvO~mCHukV4Jm;=TOpl;msV~MKB zHLH-HQ>^WZ}z|ls*oXvBG|%o7{Ys>z+`w+tq&=Wg2Z2xSoLp zIN46u6`#W1$ck>_Ru3kM5E@Dn9X@EYS-BxRV{!$g18-4Kr0)9z*}cN-o;8V-6=W4b za{DsDw}(8}dQ9Fkx-}S>+h>?Ou%sns^3j(88D%RN3t^ZLzy#8q3%6X+$gP_nSM(w| z1`rAe{&}#M^k6TUxVYWB*KyK6-il39X$z!)gxX7vXLcMoww51IK8HZPu(BrtBSo>T zU3^}hweoxU=(BWT4E%b90iYaf)~i0t|E$%+^0CtcLbD-s%){ zrFcD#cSYfF);6%*g&zbvZ{}Bz0BY!}SrB1uW{9>~{?#Y-Ol(w|9bT~o()2gkL~JQT zyW|+#eE}xoVJkV$2rSG~6-b4^g_CK|>rqOfYinx|9@k$g8)*xYe8Z?TKda$QBXBof)rSZa@(vO3Jx-SwJgIt_oWy6Hl@q^o5ylJprIJXj#>r=}^ zd1AaYh@43?*b157KslTPMhtca#CmI+8nZx)Xy+bmvbZC>PTq_ZMzGIQk?05jd%{I` z{=9<-?+tHtXqQDP(Ixy`iGjiYAMvvq9;b=o20 zA=GIQL`>JacIKXHpJP=E zZ?FG^D8QMQpC$gL`yW|_2FklUgMr%;O@w51`|abyJHt=a0ATbE3k1oBVVtMhh2*`- z9hd43KY88hE2Xyq`5Wg4j8smR`fbnK$4PBP0U`j-31SBOASYbpWUXW^KI8)7e$lI~ zZ^thNa)QPkUJ?wTYvH25U6Vpx6gr zY(U%oFMDn+Ff`m7PcjI=mK-QUdobPHDG|BV^hL8Hyc@l?z$h1kf!Z#{Q=N64qVV;4e+TzUP%a^tOmrk;quxg=Jc z?N|V}2n$j80z6)!cEG)p8N|Bp0BiJ`HVymFD|q?o9=iv8=Av^HEg;D}0VFURNs4N_KkcOjOweTF&o*T=P%NC3ZbQ72|Q)lA^sK%fCa>lF@-R5>u|yeWpcrEIy1YJ;P8sx83@m7{!R?vv>4azd=S0Q z;F=vBOl;8^`M&+pogm&=+xERa#>tgGhs_5Nf3b3FcYnsrA)AvLpTK5ZjeaERq8E@Q z(kRR*eBZDIqU zU4ClSd&@@T9i&Sa!QQY^47t?wYYh0;tS<<6+&$gvp9G9@4qy-Kf?pp%5Hm%x#EhjF zKaMOPv+-barbjo*n$?`-(HlO>M>Ac2ejX1H5~Hi?M`f^00C%quB7$d&e0jRsMiRAO z7LN{Fzj}Q5=q1sMM*7=627EV%f`FADA6QR2u<5Aq+f3$Y(R=c;)MBzEz#b}`co&ZWDwNzdpV4^> zSi|grv;9Y1Z+qNJGEiC;uC;3OxM9H`g5UFzN=TVRr}P;KsN>7?1w7Jg3)Glv&(#+*&BzWK| zgy7Jt99&_#>tFRz`%C=;1Zx6_b%7uU&1W#Xv?a-uw#W<3V`h%|8L=$!L%`TSUjA9- zUjlHYkoUAi@aqyjdmjSW!Ha%Ld2{7Nx${%}QQ=hI`uC?d&v?!NEP>n&-jJ=22=13% zhB+;LJ^mvd!U((dDM4%kK%rU7fXZ>4F^1Z{Y~DT8?qyx^3J)yg^ZGtJ z$o)94^Zaag!>yX;BSd!Wj>L)Ak^uhV98sEw)8a~Ka@++(_a?wKtmKoc@SO=SuweP75XiJ@xeIt*&UZ3OAD72l4No3|-2L&j^Q)oX zBj@HpQXG!GIWqu%1$xM_zUb3>lI-#5;$HRXgc z97^g#P}6b1*;Q~xg9y5}Qo@hKRgov{x#K5;5%k+naEV!e0Y#7C zPmEZ=0FRNq#a5;ekzXvGU@HJQ~hqVt&0C9E{wmTgNcQ{SbmgSmHQehyx zA`MW`OD*>nz83t@Op-tOaykc2x04zQC*#4jcZy%BclSmCbl+753h)4mmEQ>vFW^TW z3;;@&sLbFq3;TzBNC5VG*4mCW)5ya?tjJHD3Kb&sy;`F>qv;U*q$pqjuuBmNVD~S+ z=fM?H#?byyjBmEzU@(0F!;x)gb@g=lEpcSmZ`Q)M3fcoI@C$wkjM-IdxT zr+{D51@R9`28!vl_$$+!RziR4T=~?QD|AtQFzzyLf-oGo4={f_mUmou5Zs5#g47)s zIs{Oi>?S}`HVks*Hkc{fx!mst^##K|Af$ix`0T6W@vYR#=4Bb`S0$O|e2U}*GI3x> zu5LS91u~J{BT_4Q zw7mC+it2zdwok1CKC2X%u4<$~n&>Y6)mzCeqsghj0V-H%0>k40;)LAvEY;F}Vkl0Luu%mc4k!;5Lr`V-dnrA^QFRKxsl=3zkqB#sI4ixH!P(Ez5|c zemhG7?=3muip-b7zc~3u!Cm*~Js_4B`dfqnw0>J(&_D-_=R+1AFVx19F*aptl|Oyk zf2jdL^`%k(-8m*&1dh1G$mFLhTu=VkPQG%gX$3;o=c+nDnN8_sPK!b6IOtVBuX?y$1k#bEL05)l)e0sr#N1mIVJipi2Bv zDVTyq)hR`dZm@?$$=vf5;a!>%Clt#&dGLDY`^zfxxG3c<x;gD6q~)@?>s>BJ|2fUEC@`MgYqfV%M8Ii+D2AEjfB?^T`3E>%u+};CXp+3Y z{+>O9w(E!)4+M>Ahz1^tFXI0ilG z5GZ%vzAr^~l^_T&TxK^gIjw-gOdP{OuytuGWe6n2u7Wx44sw{&koYkCYk+)ubKRHl z?;MHpK)EI4U2pPQoXRJW_!xG6n*I8m5A2#Vi~fe(Rh%LI?0}3HG~AoUeQ>fvLd^ao z1bspzdF;0S`&(dfsUF=7;7xYKE9M{F8bIt0!OT(6BHalWHRShGAXP1af?!BPHj`DZ zkS5$E2nXpYjW((g@VjtOi2^OYM`Vi}nt0!pfAv?OJSqpO2Zabr9{>=4766fp#(`}L zIN-3ELxq)ZSpUwF%JzjY#dEReX@FVFIdwfb{18u=J(C9k48c(-F3^ar$pW8ua}Y@g&goth-Wx_%8*1NK)>2Ev@>? z4lq=Yz&%0jDPVcee~VA*h}p-RBZd^d6h=;fh{!Rev8CVb#-OhE#JlJ1d4P?Iiwf10LI1C=Lscq$~trhlrVPyiCl*b?z z?Rs(wD*epAtuU_q>f;@{Hv<)^HGE2v?JUY4I{tL?JnMH+Sp8d3?NM;mbj0!PT{S3g zFgjiFsOkKi<1zt^+;~IfcLh*jI|;b+tj`5^zcrn(GwWEQ%Z=Ui27fH=hDvp8nN$xw z{#z-b;Q@{TK2YI=UdWbFYe%1^#H;1kCB@*(usyfa{-r4XS!qEK-|e8yl4_?R=z>kW z|Au!lqsL3wE4Si?*JS*~02rUD9ykPNw_&Hf zK;VsOM*@$tR`Cw4?FT9ZpM(N$Mw)^gkNLRvyYr|+7-C5w8;JlLq!Bc1v78E(>He+R zB&4?#;kO|hTswzqJp$nZPCc%we_F%MX?2r_QH8vbU(0X%i*KDHYI6VZE z{FN~I&9WMNbJvHYY?($N@a#{Oe+U2hpZdpV0uSq<^1!&$jXZB87rc_H&KDTm&vjbL zc*#*vDZz0$=Oatekkd~$^?+L$)-M3whO^^G{;m#W=(+SE4Bfi`T&_tUdBk#NY62Qx z7?hM%imOeXL_Z$`l_i@X2sK#UdI`4j@8P_5zrbl2sxNlPvUzYSaB1K}9Dmiod1(tc zLXG(hay}}CGID?2D7Xc*GI7nPw8$bKq0u4rup1 zfA2JJ)Iq1=C%b~81J7&aIN$vFz5CdU&Bb=Jhg$D#l#z1Nz%Ot$itWSZx;14NT^>4v z1n__fqt4|%X78(3RkjI{|4>;_-a;bHNqaH4%Yj2g-e%;@(+@ObF9B+(3w}FCb4>9q z5ypn>a1fn_rUs9Kdkpgrou8O?HGxVWQNV5H&~A-W z6HxVL#V<2QG$B-}t)pD=J%umx<}^v%4{*>5qJ%o`(HwlD?SuB2-OVZ}MuE=S8iYfDFmC_$g4(n^9|g^QCnFsBN`R z4M($V; z5`4M*smKfca_g7BKag761={weV`GGXYOQ$Mm@1o_EZlF9lv+kA$t3sDV$@U?$Wf%z zSj;SFb<)sH)PfyZCtX4EYw3X!md=dI8z|K$A4C3!1vmxOAr>c4kxZ9|I^lKs(I-W-}`Jv6h&Dxzk z3wHRpl+e9I$$6fTAGs@UdvuiXT4dMJGWn^$AYaWTXONjBX9cygrSFKM4 z)|B_I_eP7e+<P>~cwRP?Nkzw^JI^E}u8^|=l&hncdL&%O(tMW{0v9t#OJ&V!ZVFJ_*~)J9eNFF0_o z6m2EDfO!z>*Zr;IE{Gbf%3kMp1!7P5BKwfT`Ih9%0B0ZPD^5T=x@JE(lDTeAQizxJ z)Qafoz{FTus-Je#E*7dpcV{?=dfe}xSxGR$wh~kGr-L`h`g#? z{{Y2jithdn?!QEEwYI?GJ{O~Qxv-05WWpyg&eE9Hk`BL`a&9#N7;o_78WmKB9@?M9 zl*8a5bvtkdN_z0P2Sf>Pb-4QKH}FI< z;=B%>oH?%@8U2pFwz+%#9OUHXIflWK2z->UtUqPh;BM^yUZj$WmcTPq#ih z8&Kh?GtQc#IIXF&GlM!ntmO2mIqz9c4}QKOAE%!Wtx)VUn0Xo((WO{wkusgnuq{;- zmozOEEv^7Q5sL2$zL6nVE2hAja0YKDRl~%-qSTL%CuciIuMcvJZipUPBQm@>M^Z#O z1=pCsRUWDW(Vq_ttO!2U8hq*;pW_YiKruzsl)f2}qT4%quDYagC;V z+d|P!(7%j5qO3*3odB0el$PP#)UOzN!fgCoP!bZ0F zTHAoclOLh^G>Oz#_$S8ubsdQMVV;yD2DfmrE(s*JuO|#_)qJ;Id7pER^QkjZg{3( z;$L@aaZaW@hSD9uP_uNXRdPzJ}_GHx5P!-qCZb0KISH1ro zly(poyWCWDi<%(Z5TmP)1b=gY(@77R29nqQi9y6O&XfZ^1x=#kmhPRylG;cTsJ{_LLr0 z!mUP6=`dq<4Q2#hGE)*`M*N8*J~fZ8dB{kgTY>Brd5KO#ZJY0!=W&YgxLR7RGAgC=cFbaWY-`Ij|DJ z#e!=ul!K3^-88vQ!biF8O)h52xs^Nz$2n?m0sul+R&Nw~K ztGWNROcmL>ZW4iZk2l5Byne>IcrBsIjwEFi zN2n|ZV^y4f4iA(TPzpxmC1oClX~JvcGpIT$+w*P?x<;mX)xWAW_sr={cZ{NzyCiOx=fiS(N@b7IBSQEQc%Vcxr`anNFA< zMaOS*8>)`eI@K|nUTS2d<{7$;A&lG)RMiqH?}@g_CO(c@N}UU%dF7ehw3(d}Y3a?| zuc+7vQjSZ9NROhJSeP(`ZmK7}B^Zh-9wc|ElKa!|jjlvbJn}a9*tr8tBTOsv9K(&p z+cacGo|;6r5?rDz3Hy|sX*`JxZQM_a_OXfU^Dg4LtWN1ha+)O1m|Gt(;U*Hpa&x}W zXHqMMcbfBk#qAoB(}F{d)dCNus3SJ?fvw#%r_!P({eYETw7@|=~FEGC$k^gRDxOKq}$tDZYX%xzu1@k3EUP^9tE0!c8H zdO*w%``QHUkgT{9vbPyExGfWCb52L9w9F+^8o$HMjH8Cfys`FLGjwNXnVXW8QY|rj zU)lnD=Ij_|y`l)+M>A#)J#}W^S>P?g5oy8?t`2?vOYMi8OKq|(uiw*mnICY%mI~h} z^R$99q`}Ipml;?2PqNz0S@D`_Pm`K->=zWXI&|1~CGH9@s0#}YwZAJSSxisXLSK%TXq#LnKFlf-e(!0jUFW^yO+_yf}|+*=dLq%zf4hRw+A zj1rO4vNdvH-nkqiq8i#5HVf8N42MphFmcE?PTY|k)dz3}439M$SA~Rf52hB7yq>enwl>CMd+o+R=FLz}tU%Q_F;2;5sI;x;(= zGC$6_JZLV-(#WwLKI)FiH58K9O_|W`zr(FikS~R&4jwmf9oq?ZBJ%lg#p1M9T-&&Q zeS8F`Z(Hb;yz?13rY&xBhtJV(V_`U71Yf+xs0giGN`Tl`}#|SA>r31OP37;^nOc5@Nhyj+C z0q%@UaQIMKx|aAkP`J_C^vY<`LdK?&2*V@fS+AZh=<^`AH62qX+}ByI6x8w_Uq5^q z`l4RdWtWud8d8G`_OvL*7_WBRigMQg@MVV)cAJE+-%~zaP8m$IZeO_*P^A8?MoCXX zx2P7C#iZAHIF4o$qC{r@pj9V^pav>nzlF3*Ukgml>Q=oknyTHlLYTI`_Yp-QrhX{0 zJ*siYibx9)&Zi;95oJ(db$sT~qJx?p%ZnAWYp-F_^^Z=)sUAk(=0EDzM&aeB|B`BX z@l&!1O*fiTOqxNbTIGtY{C-!;XCREdqMB*OcyT^9@1WU7#$=+ZZ5S?jMR&ztvLN+M zOsI?%1F%k!7@#r>PD+g#ly?Oj4^?O%9AOgqJ~j`gyFvQ#HI3vzDkr!@Q7|1;c{D|gdH%4eo#WnF$)G+k+;Y0LNx0B3F< zcUzhzrS7d?D>&eBnH=%%NXmo+8MNLBYSY?~w^qUqoP>tb{M6LVbb zo^3wGDQi`Jy(M|g6U6+rrBQT1mVVr?7uS7Q|3q>`=!_z-OsXmBn`{4Y?KJBE*^@L) z9AUjoYiz?REQR0U2;3(YnWJS6%d$Vm9~+PIK8%qJrQ@YO;@FB1*9?O=)Lw!ktPG-IV~J}*ezA*A z;&w{hr{d8mf>_nSp;#}17!LylUc-gj@aP{2xtcf)D{A%@+5vounwkr>gOMuPY?hOE z$bVPw4ko95T$C%gtS|{0v*mSr9bo+89?a6hbg6M411N_mucBRn!dbimpMh8^fy(}u zQQe&BYpuC+!NE^TEJ92m_HG|^l?m6oeX>91ek|!+cFUD(z=eWEr~?X*ZkVpWx=wI; zt~vRN-?H}dhjnJHzVnrC=f@x@{xAB>KCFA2<;`RvZ8aP7$mdrH6Q1eort7Oz0WwFs zy`~y*&^#dh7s&QYitnL%s@NTV3r8?)T~ThSJU1T(e5VG`@0-^BHNR=Z&pgXOHT{c=T=hqen)^6`qO=D zZV=ffX`3ExKYc{W|3wXtoMc-D*)#JxG}F`f)cbuInU7+&(HG!%us#OO9)>?9SDNKpyM(GHCg#6FX;Z|@9Ohy|&@GLpoVB))Bmf2yw@LK8lPb70C zq$D`VvQzp!V}NSVD1z8z<>F*AO;aJ06T9oJU{JcMXv=rB8_%rD%gK0&z(JaDsVEh- zFL2{srv96>hA}H{htcd5|Cov?Tw*?(3Wl%M9oT}Tg97n5!f z_y}}jQ&esc6bgU{@)`OVRd%5vpo*9`@6$kqo2Y>JeIoX)7ZB2u#gg#T*rS9OiY;eEzy9F!!jN;dLM z$pO;lf|P$jGMy=~)@fOf<=rBqGtD=?&>9F9Ffw)*T7x*GHB4&!xCcCU&P*W$OYsFj zuzF)m@8e$5o|!m$cRS?#P1MZ&DSCVh`83W=3o`@%;`r2}s}ba9D!3E_N8XRGQg#3{ z5VFV1N>S8p+7|*(s@;7O#Tz?78tK{zE&*C^P^tUjFK`aiI!n0ITv9~}S3K*CnB_l) zWXV4r_tTpKC){jO752nbbXfsf1tNuIbpQnTt;BhKqXD9Vrd+FQGxiHrnEy50YO-&O z13jc+;57XuXSpqz=-YYtSA)?C53OJYn98#aWwScd?wee~qvP9_Ab!#7~=YV>d zKH^M16O%giaLx+$8R!zz{^>x(d&(n%Vh1Y;0fe(&h7Z5mR|PJQncgeR!)-HN(XE*w zJ#Fc2?{YBW77&eW!SUhlIjPUgUEJKYt>I9s3H&!Y1U`BPgG}x)5>AX>(;d>_Sc)CI%JVh`c{5$_eR>gnbXC zAW<^3PZ_Yyw`1InBqT5+*!0K8vfyLR8$iU=?eo+~{6!H;Df>RrBT)jh6?CDN#QwiM zOrpWRoLjR+P0u`VX%_zd16+#}f-43Zz~sT#FTq?CiyNv1Q5^!&(ikl|#dy{W$rBVn z0xH+V@&$OM0qZY_AcVwCG3fVU6@ViFn2E?m^%QMqpfN#Q44VJ{-bJNZzOW@ov0e{4 zc=h=|j#OqmSnU5FUjenNqU`|~Iy09T6#nB%go0EXU@4Y8Gf1Y82PCVyV<0D&LOR)B zIS_8}FD&O`{ddp6zBLF!PBe!vP~syD+gqS{NgA(1k{lG^z5m`W%C91Wi}SyKzXkz8 z*N=cZRFrmJ@Xyb00Te zEdoFeEDZ+67ov4&eTb=_D%rc?diN=$E(z{?m6p zEC&kQWtj*Kvy#m7g#IzX*ZR8;7}S4Vi~?tZP+gS$%sqlCjA$|pUD-_)6Fhqzs!jh7 zXyOJPCM>E$#E$_kUE+nh{w4|}Q4$HXPdTpay^jhxDN5Co&kV=Y)JY z5U?EDPYhn*aScU>2^K_Gl}v&GM~}b**hDV`oz&GX0xMN~ zBZr*-6!;dpae+ z^2QtQ3-o*;O&UV|o&+kvbM}U#KOGRo9~{QdTn@GQk8n@NPU}HW0d~Ac=mA!hDL5zr znXDR)8=Am&p)k*Q|0wDAWVz7g|H7k~QXp+PK8-*4h6bKA_Xlrxe`9FCh?8I3apfg< z2XIIO#eKZh32Z>ip8q+1@!6#Qkx3UAhln~AgKyNZ8o;4`2E(1u7@8GNC@Mq~36KnH zp+cJ0)uI)aC%?q&1N6FpE-?eJ&TMF6v?Uravoi&@3f5vF@3(Sb9qbtE#3sD`JS6xh zcrH7M-Gern&k!CHy|N5(n-IKZ8f4dj-*0L-uGg+}AY-*Yg)ANTXWI_%Zfeo-I&3(POeFDO0W zvYT7n1Cf5Pb@+q z+Xlb{cgs}m07Tepan=jOB^O|mdjZP%@&o4Y1NCPEXB2cVFZ2srFIC9ud)zcohn+x{h&9K_ zX(CS{i23(9UEe{Z)c~;R&ASlKk`sg2|DFSfHU(bc58^uUXVn1^1mr$3^A{50H!d$} z+;}Vo7Z+NDjHK9LSU~jU{(TAfw!52Wi|_g*s5GTasJ;RMZRa;l(~#?TV3H^JvILRm zrOZjfAqQIRGayxUA*ZgVeM=A=`QQUE;+o-W9#3HgdjP!Y?fch|`u7 z+{88#2e|-DsC=GFEIOC807RpWI$PKuu+lFegWt|;<)si8?gCi@h4cY*>jHrLS$lYI z_dW1~j0%=)`vdOk1w@~YyuX)@T>xCg4CF{eXFS{!JP2(V?mx}zgn>XhUxrE5UG(!sRJ8@8o=huq0aD;P(vg!RW; zETSrR!T(hBf&Xu^H$@ zlzE`$djX_)Csipf8^>2Y!tmRWBPKOAVw_?Q%F?m$T(pQbXdVUTg)bf4s8}y|LzyJ0 z-H^F6Rl-L~i{?odeP~yGTN6D8tyX*ll@__Va)4e479RTs28x@u{u&Y}+SoqV*XO4n zo{z8+-w5jiOeP6UT%nE62#1__LGDQ>bbN9^n;}GwaUo91W#6-VH$%5Yci1!+%G1D) zK`lJ>$3h&5go&+b>d@{RP1GNN<2W77Jd17`_kuq(<)e($rQk{QDPuBs<|+dlk$AU` zCzw;R1?I+FcD!GJ*_^=Nr-fc-K_Fub1zAYChA+Qou6qVK=AA?Gt2O~rMW2gf)EPJ> z*6N`cuZibvmSWXxO+gY=&67?AbG4*m$jPvCpN||8{oBG=6lx^+sIi;fPB8--n#X!G zW~Pn6L^GvyKV>_4Uj3B$=vA>Fn5Zuxf9o-`)i3lyoIx)!tZ~?;BtF#^VR#hm6>vyh zG%Tp$b_g5ShL}W8v&Qc%z9vUz{IHSZ<-T8gzhaN#6|j^60)IhDV_$;wmx`j_!;EH} zxNmhfkQl99ZWiWG8hDEaHgmy^Y38qj0C2!8A_q25>k-LRK{d1pyx@t6vO5m(Fd$J6 zViSS@Ei~$MqKv8mNpeS+8bxl5>-Z}I`GZjIWib=c4thKRMqZOgZ)r+GH(jh_cds?G&Ot*e^>^x?%3$! z$LSHz&&KaIsi8ZhfS2lw8l80-l#yumZ0RD~)*~ryxFZ|5T>^*N2|tsS`&j%E z-^-lz?-0toa^3VbA3G*2T{dz=ZE!^y*$@nyNrN&0Yii6Twe1fJVdRvt=1-i&4aTj) zhi5_F2QabcA9=87vrGic5k+6K5teApeb-;63}fF5KM9-R+r3LskwRjL1)KXHxMNHY z{l1zv{OYT(bSk=$34DFTHh&E96c25|rI*evDXop6Xn17D>vBNJ}DcS2MU5Yhx zPkev1`VRo_zR~Qn+h34gsZ`$74whCo)7nnyh23;vum{)qG64Oni%P~JPN59PGGNni z>p2~K+1p9mld~8%8cY`Lb(!KIT-J4FU1TBoEPnw1tvPK^tJ<2UI76x? zjP*6o08eC3V>x^yx*Kx4+@#MDxe^GP_Sfv5>|Qntq9j{xJ=Cw zd1zzQB}sZZl+0zTMo4q~<}DB)$>)Bym4TP(ZxiLnV}l$`W<$;`JlZb~7D}WPoJ&WY z97zm~3~B7oVs~`(>$a1qnz*~Qv;%=}m``-gvovygQw~+t!iMhwz`3xKCq|rj1R@Y; zpi%SNZ_bAmr^`Y%#s?++xs-{1g|CsQu@FuHEw8>`iPv9Gl=0Un?UEbQG6^_8uHfAC z5oX=cEE0~()3j3J6Nyf?*7>s6dboVG*?;Ts$O^cetUiITIeHg;(ZJl}8sn^_i(C%n zQX@co0@cJ`&67rZIwyJ^LVcM>hS*m#Sx&+0gjf$qxpSxWkUoEZnc9TI9MI(YzTj|62de1`)yp zex>Cyl8I&M$h?M!-j`oJ-%0kXcsJ||HQSFLAWBM)3J9p&Wfg+A#a7gZfy49ZQ?w>F zg7En=nqR0rP8?*_lWIpqh6jeHP{;M}+FJ5dD|yF%65pKo(%)-fIH!@Amvs!n%5IS~ zUO-=-)VO7S6)i#kKr{JN_P_4*8|o{XRNU`@Mxm;T=u<73y19wl4YW0$(0)wM?cC_MpWI1 zM8gp^SC&nz41uDnePe#e9{=9_6#?oFHUSKga_o;!mx;5{a%v-S3d*Pso21SZbcI*0 z7#pI-JIt*Ue=J*S0vq*}H4w@c;M9um_OrzX;MEIzr^Bs>?-5RVMCGN)`s*=NM{Ct5 zY`Z?!xaELKJ`H&=+`Bv}Lly7{=MtwWFZ$#6;dd@hFUCO5cYaUp6>&$$BQB>!|It$H zt!&q&fZ*bzEHr`NFJIBKCX{}G=|Wm#v#_}croQJgb#zc#IAKA6H4dBdt5|>V+LxP+ z#NjEbe50Ne-b6SGAw*wqs0`w0&Z+Ub+m)s60s2%6JVc*zqCsHuCIMM-21o{%$R!-%y5lVROoF;9Cs zmpjC;DxB#0hx?s*+UxUctG1Um>ejW_`@)NYiMLDyf`}vvIOraGsH1)hOpXM;csY?G z9QD3&J?*;K&ovoOc@edrm^hgl;ee;&FVKm`AyNE>aSdA7TYLx(+gyD7L*-A5ucuTK zx1An>6hLzOv2mw~ zZn|u%#o$+d&*ymc#lO_Z;o8z5&66wx*PovrZ<TOlg|YZbPK>)l zd=#3dSp)3YXUQ)6sEv+S&fzoSMyocJH>M%q;%PbhaYv=Y5qw?_Hk7%Mrmhh6f-B z)YXGpo2z>ErLb+0OXkw3XSnD53a{h4fX2YGiQTpmqsJSj&NLBR^8H`Be(qrs^U^kE zlj-)e@Z+%85Bo^&&Sxg-6wk?wtiSo1b?WpUP%;+G9`;CAMB}qtj*>jXM>U;^k@g*D zc#T3g2g6rJ&8M-IXxck@Nf+SJ0h8_J_@B>9y}B7Q<}e zgjNz0{xReGH(#~#XkZoAKgK_FY01mtJ5J=l_dTsohmS=Q z4hdZDzG;3XD{t&@Wf4JmzgdJ&N`{$XZ|Lhg&Da|HwYwZR3VsXkZjZiI=k2jax7S52IK|4(^?TTU37N-=Qa>zet#Xp7 zeh7&hb^I*d=ac*y^Mi$Yu}W4oW~b5|m1Z`?kbTD=tOHs8$;{UFwAF2j!EK8J#z>Y93hN!}Q3rxP|J#IV6)qnCZ|s0yoE8pzv|+}5?b zhgOuV$c{N%$~~)>bGyvUl(kIq-@8UL`+k3Ze3M<*Oj^;Ug`VB{&HFu1ry?*5R ziqoPYy;DwQ zusdmGY2ivWAGWZ$wqGp#;+IWQ#gA*Imap=++%J{DqF1E0gwHMZFz znuwpXeDVvweq?nLsA^`I82Xr|FggxF%D#o#!g!)i8sx`5C4X&(8o^CUfjX+`_v_@N zhjUl{dY!cS?C7sAj&g`Ef3vw-hkvqI#rg6f>0<$dUz=~(8kvHtbx@-$rsccdHm1CB zJjlv*_p(>wrqKmi*Qyy$a$x9IF;6o$H53!U3emmyBpRrkR!hEdb0db?unawY3SSXZ z)xe!I=~UButwBC*SI&gYViTK%crOiFecd~6JXs=5^MSMZ*au78KuV1-yX1h1ZzgpFm^L_6vZPv$T3kt<=I?d%xOfW>D6V?D5TCCxFvX zexg>Rz3!md`+a**trs`dq9}>V;|^`)bNS38Z3W#cKjBiH46E@GO+Qb)cA0nFsJs0P z6BfR_{${b5eqMMha&b3AVYB)0l@m?|iA)|-9cN7Z#+SD9&PS`G&X^b^%_Tc=f81(O zM|W?5s48z0%Z|3LwEE`Uh{uJXRL1Nl+~@7QJSc0a8vfEata9+N03t-gntJHDetl+1$h* zv>c5h_0A*LupS=yopl?+h*Y}op8_N)z@h2%TP>RHq&BzXyE1qg+9M^R61U5zu`&;n zqD?)oe~=NHv6i(rF;`$3o3dU3EKZo3^Vciu12m=kw%Sufe>6PF+4oVUe?VTF6&xj0!UVJA#tY0=r$WAX)9qbz-1Vj)(*XoYBJimTKx@2GVev|EhFtipviy|gV8 z$0!Ifgze>eRQ7~pp4Sf}!;a6#d{_(T88js18~RvO_NImXSx?At{kFP5jw9PUt(6&n zg4Q+J)C{A5+6G;xcz__>BZ1YaG3eXLd<&!0dqyzvsNu- zmOhr??fizC_x#ShjT_`l?lC>@@v#SL-G1)4Bg#s zt%OZRgA?y{H`4blgAL2<{0XXFGSzoMc0|+0o3i*xsV33a33^yOEeflp7-D>xs|M8X zK&s-mhe@gQ>W?8&#~PR+NiS7egEw(r^_j_qB+XnOhB_0>0ALPIV)-UK|D=yhOl?L7 zdNM|oJqm0YTn<7c_V5+j8&_!^)Uq8~u1K$haH0BWFH((k`wpiwIxYSUgm*9fXE~*z!4px_CJOl8q!y$M3}_z2EzUcbn63gnyB>3w zoxgB`%KLvW%{z}#%ntymjUl5CXIi_U%*F&o3yr^m0H0W3U*W|%%n&wfMF9`seqo^T zJ-YT!*jmkSY}xw@#i64vPdM89UxJOQ{GYBbc(@b)B%>JNS@3Quka_BdxvuRkKcLAK zzrUZKw*R9|))jiKq5nTE&4q3bBUuD}k!tZxMUXMb57of8l%<9p)BGcQ9&;>?EWHOh z)=}~7OF3X3QvbDh^M8UoUkE*%jpccOP*xJg&rF2(ljvWK`zUv@9_~QO3o!Wdp&%;g zmzn?ckz%3sKN)RNP5elY3yhGH2njKs8#Ew6*5DN_nH~!+q;Wn1?*}@1{r7)+*j!jk zK_b(LOXuDXpY_^b5kaG$J90e6WuAUW{w>22N zY6^co)L)=5rMJsuM;5K`>faP`zEa!`{}4)k=fi`6#@|3>y4km}4MO{RAToQq@A?@? zak~j3ql%9}vgnb9Jf1zsccy2(3S~zgDe?S%>cDbMQv=R|iEb1?-Y2)e5LS{dX!?g$?S>_9UB51Oo$8V?o02J}R^}W8tl~n;7 z2iI<6^$4W7v{YC8^cz$2#bl@+(aoyqtK!>{thVOfof^;auB@yaDNqR6L#xI& zr7*gP&%3tFJxpX2A3X{Cao-uE)h(sd$8MJ*td%W=$2a_p;m*czeDmSP>+ZDN+?_|k z#Zm)7Q^~1Ztcuy(2=c8W)Y%ZXkPj|e(g(e2qbPTUj9J#JvZ&}4v)m&rqpf%o5{ayP zWwKGNZm!kK#&3fR%^LsMI$)4PcKhun&X7U?-ce;P6O4Yll$#2 zQK%5v$?Z&a^Y1{&O`O{I|Ln-|?FZkjaqsx08jEK7g3peLkx#?x zth=|+N2BSydnP-bzT1nx&%RkR%06rejFe6u9MNKB7y-HOoJpTlR^FbDoD5RE39aZoxuCEZ`&&eif_EQ|Kvyxd?dRxVb*#7^UQ-wbBltMZ&VZH zKm53%K!GYcI^Y$e77$VCpUjupX5>2$o0q*j5}VTXG<*4d_YLy%oO%8DN9t)}f=bG) z6xJPH@Ox9odk36Br;lW~#&ZoZS_95hQ_*?sld*Z^KeC_DCePIK`w>?gyw%SBvTio> z`*N`Li&N2(+haK)g?o=VYO{DoX1(GcX|!}O5a4yOKFPbU#uWpGBYUAY5niy0BC$qg za&>jJZ+yXgD~wa)$~{kK_uP$rDt)@g2V3ewNW&dFP1d;B*gSpLB2iLU1jm!S2#yTJ zU@ZG3O@~m$6ucJW_AUMj;n|&Mk6H~>@E_G0?^xrx**Fi1CCpO18TNmbLwD;`xjx+$ zhN?f-raa+{FE!BV^#vYmcmQvu{5^Dr(ZbW`ro;_lDOCTq+8gNE$i;EaZ#OM{Rr{4| z(XF<;5;xpii?ZhY#%^(7ev6gT!q%`OsSNfzj2y@m_9uDlgnKt%z!4ORWty-E_QGM4 z_%9o;6IW7}e_DRCkQM~55__Irq!RVCM|pp8b$?@i zkt_%8Fct(wk~)g@iFPu%=A}#gA9KdtTZ zuR9Njy0zPN%^ml}(ByHk)An`O@ZP)Z_v|t`$O1#KQHo~Z4(nhXhe)3kJTX+LA{o^i z+T+De6OxV@Fv6`Ko&Rjz@iw?qAJd*=l$@UKIQW#r*9DUV_w?p|TyE__K+5EtvtNA0 z=3W(-%j2kL&#tB}bDevp8xe-1l`Bh12IU|B#IBkerkJ~|z6KLqw|l}>hCehY}PaSnJND3bD#%UtO>y#t6o9I;P9v^vyi}A z+S0hyGj#9bkv{3A3&?=(}^v`g4tgu$wp2; z@~l*S>7CB~GsQq4gF?GzHNR4liss3?iEH!-{o~5_@g1kfA5C)|GzKMg^#R+Ec1XmH0WxHqV`LENZ?WyN4 zxA))GKlfN{8S&p=&DUpe2!opM5=^oGDpG6OsBkrPd|Y?u)2AOc_Uh_STie=Z+)`MF zsliJ@LGgzyPx4BICi4SteiGM$o5x=KHq@L#5oJifH`$9g&M775Q&WQKsaOMM2sdf_ zqnpRy6ILhf>a=1%8ZuoJg$@Hfye?FI>M&Pj;{W5oZY!rWi+||f&tzc_xe;@7bEYOH zj;*b&p31IPqB0fDx;YBNh07ZU7@bEOLM3D}a?-^5rO5x!BhOedPY3eR6E$#j|-1wu)HIl<;**1QK zgfXI!eI)ViMN<@R!yd9B7)QAU1>1**hYL!woy;aDCR%#~PestFPTn8=FTS=mh1Zju z%Au3dp>F<-U+RyB;x|ur55&y^Ji>oXTKJCqKpoZ8)KpVc1UL27?RqpSM!=z<@Zr|2 zTV120+faqT&~1UQ#pmJ4TzWyWAl6CIQL4q#L2}WBnpvQsgsN7vDUhG@y*KKh+eT;O z_^byUgJ|<*-4m!94>fU+he8$k)e(6QnQf~GpsrNG#l%D@si9=ac;>=E8EeQ(SoA5* z>E}Bi`W}Dl$uK6nXpA7R!vCP2xIG(bcm2Oz?&{7%X5)Vs^92{%zvN{8`Wwth4yxB5 z(BHq~K9h;tgU@jOea1|wh*HKo0fS7!w*SfPg6Y{=hdbby)6vq^C2jdKxK}RdhtNmg zlo7BSsl@yKYi0ykQsAEme2V4Vo|4^QULXJKq=xE>OicaPzjweSk6!cVKs?xAZS~uk zU-&d#vI{ocy$>ZCiMMQQ3c6$c3F~TVTIz4VT&yyw{`z&H`8Y3P?WXBP>qQe>!m_L8 zQ6;B>Ck>g02ZgofjRS2SOHP8f`&vZrPMY7jQ$j;adrMC*J~d+~j?Pj;gTRL}Ou^!! zbQWeX&&a+1tmLH%-x+@2e0NG$4iQJo-_+J7Vdnbyac`|f^KgOuV^^66d+d$=<~TUG z(ORlX#w~P$Ht&hx>#Sn_$4zvzAyOz$3Yld5$E^*%>GAOJfXe+IA^QJjWkj^^ z1FWiwv{AWkqtOeL1qzkGZ{0NucF3szak0NGlQFBK)6$5S*XE}kQF&5!mTm5hWH;pB zFSfd^V9n=2C2^XRFJ>_xn8gKA*=p})$%Ot5B{&#LgN6QelwcS>sbq#mIvMCk<|{PF zL)nUpk@aL7FNx~IC>0H>84MP`ZHNKc6Lm&+POW=KuT88WOfC z${$_KRyHs|>5QKG$tH6DD_sVZ{tYI&jsC9#PxdO0?|&WZ_pt1|ykon^x%v6K0T{}9 z8(-h%&FyVV9UYx@L^9PyU$DFx{Lkz)cX6q#wdw7ON-m$;tgfo6>FQcU7071GOAh5< zEV*DIWdSp^f+1d7B-v;5q^q)z*s@#7KNpaBBB;t1OUORZCIHmoQ zyt3WoIOhJQP|5$(n<7k|(yRY`nG5!i0})y{J2$rgo}Oz;OW93*^~GM7mX{BI-~Vt= zO-(IYi~0lo=)bKEL--JZU@eC;PqbIm0={Z~9s@5Q!xs@1t?lS|KhbT+g|IFjczjV; dMRVN0xH8ecNeel6f;iw$OAV!3r)(SczW{l^?7{#5 literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/adce_removeDeadInstructions.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/adce_removeDeadInstructions.png" new file mode 100644 index 0000000000000000000000000000000000000000..48db0d6090b288faa07e2143c9dcc73d17aa2120 GIT binary patch literal 91265 zcmYg$2Ut_f^LFSWB32LqQBgq!Ob8@IdJ8>t2t_0$Ap}x@KtcjYKt++FAW|)ORYU>l z2-0GqNRg&gDM}X*rAq&wc<=A|zUP7DWOvW(?(EDv@60*T)+l4q-A8wWKp;_56GK}N zh_@O9;#uA$2()Z8APqqvk<36NbRap{i%7zQPD2g;y*jM|_NLMTPeTn)tEd=}@Yuir zDh0R%+R0QL(Tj-3{oAJkh9Hz6DoS9uJw)X+R1Xa0eyA#g)zn=69giX4DgOzwq_T)4 z66UlDQcW2Qh*Cg9Ag7`Fz?B7&5)=%42dl#_!&J`#S9*SaB)k*e)0`N{9S?;oLt)%o z%uVbqEl#T#0M{gNVvK(SQ&}{ zRtAAUfsX&TCnQ`M@^`Eq#tRcb{QoB73cv?q2>WUUG@F~pJd z7#4m8hMst92c|#DkAh;I7<}=6d7?^Mc>@O zmWFWhf~W(XE|z#tPX|EjND|OP3IZ-1U3}>#hFCka3zKd^@d=^^TdC?}k%nMDJsXm` znIqW=Z;mqt8{t?KYdFCPMu7#`sKJB1FoE7?4z{*xoIi4}1d&uIwj?y%l&0bz=x1hYX-xO^vPJ?62xMVd_Czlj)6N0nV}T>m z!C(f~!o?VEg@VzL=4N*GYIJ)aeLU3)W{HM40jmvWqHr*0JG7UhuO*CbZe?$xrtTGN zLH9vp155#P)AtD^61`Y}Js@qUP=qy+YKW&HAvA=s9+Y9IZ%0If9bE#jj^>^yOCkni z8*FX^_w=!{1RFuU15^STW;7dXZ=|U`aKE~_9}H}c_cS3=^{Esmh`E<78fAyGLRk6W z1C0rE!yqR+G=gY@2E0h$kwgr{qn*4>VBVH`Ol|{_0i!eZy!;*MM#KO>oJkVjdDkl1l4qgx+Co@|+bsPjuH-V$=0-#ZNlRw7G%SRRJ=MC`(2l}({6hyG8gQJ>>1J)O?Nx%j5(GIpK zrY!_-$Fg)Zw6KR#AV!|tBRBO52=oQM9Z6n+ranwHtcg0@95@f8qd!jF+KIb)4Ajpo z5MfDY(wO@C6jLJ-~C57Y-4=!~?tQ(-yat#JlEXk%}< zIs-#s82AyPa8F?Mh71EeQ-T-D$D4>$xA3A;88i#uAaj}-n&9luFovo65^PbxY*uD) zb-Q3AD2b}>ZR`xJ2x)7sr%z&e>RACld~H-L7{*2{Z0-?w zP>j?K?0`Xf#xBS}B!K{d>A`&n!G_*QF9$;lDpKFY+uQ*|rK$z`8#zE2&I}g^W{`_I z!GY!zL^DKV{SgRnOXDCzRg|GETwj%Jf`=IgLCJbxD+Gdxv?AjezJ6c}m?_$u9Au25 zQVfG=dJKpk-b~HO$j1jy^JCJ9M1qM0RLz-+RrNNpQ}qVU4XHx2^!9Rrp`kPu%g5W4 zPKQ`nTQR`KzElR<$JdwX;^!MkA{(39QlZ|qM&?LUYki`f9ZXLJ>P-YYt03(edJME9 zfu^SlrRWE$QNZRgyIL}Q%;ZC#MQ`W8Mmh8Vml*vs3A!f-Yt(vcQK ze{%qBkc|h5x+w`uQ!#Ra*uuO?I3I7g zA>In?983TktNS8wNKbEIE#AJiCWcNH#-_n2I>yqT=!5kop#i``8i8pv64D%kw*ev% z(h6_`LyT8Yu&1#l+{+208f>bM2di5m9sJ2Y#)KdXH3Z3!sAt9Wb|$0gMuE=3C|^X7 zqn#Nx$eU_qN=Cz6ROxmBCTb4BkO2F?sAx=Kn0PY)*}jfJp5E3BJi^O`qK9xWH8+PD zIMYo`%xGplL^G(Bi#ftv56)2WB{&*ccu`=_2mr1FFjN&2fHB2D ztjr(|PI#;l%G-;e$6c2Nk`4XRWUL2;zn!+*3(8M*ocXtl8tfZ2$LYHlbOAjKNt1j5F8N*8ICNnzY`8;U`)et zZBoq=?*+EOs`;qd`C1s;(a_co6lZIc8Pea(!~kzg@dj+zOvS;1fVZ`x;O)@93>cH? zX{!z(2^DSx!TLc}Ay_)qo@4|EtH3Z2riz0RFqu8o$x77>Yt1tC!jiFY+W>Vi5^n;- zTbZaDd#Z!YyeJTarxRI)96$&TWFpB1CSZdgJG!k4Bgn)mnCXf0GsF4=a_neoBo>rp zZ-cWWSy729dj2%9Ki0)ug^F}`COOz~wGZ_qm^xttpg2887Z)2x2M03)PeUJ~p)HAk z#Ccm{;1ol+r8CyY%EH+m>}0Cu8$j`+29SMifO}cyHV`92hL4wxtpmnK%?L-+hnqUP zAR*2~l>mw{OwXQZ>7(yJHmA{@C^QI*WuoUyHpi>uJk0|DRMy9lCK*Lq}5x!ph9c(l*%0&zBhp_a_sA>48q3 z#=u7Xh)AO#x`6=@MUcRfAXrlav1ku( z>1?by`T<$MxHi7L=`ffO7(`> zB31ptel~V`K@2L<-^tXQ3UP*-TN^OpV49gdGf>^100{Hpnxnd@dZ4}w1m$Oh1%8qA z?9t{bHdYQ0Kj4?8F9FVSP{X5GcwYg&%ibfmlQOG>PH?9g=JfShXRx^Kp z?Ak$;`s0sD;wBMtMh}(=yj}Iy_lVQ9}gq=|W zH}c9OKANU~6%tdy)ZG7jZzr#>H_wb~Z}hNJypzeWV_h6b z6VK+}W@a#s{h!D@Zl7zAlOs&2Aebw@Dz5n2eE7pYpbg}aZcDmVhl?V6k4#Hf_;je)i=?f9+v@STl$+gBB= zH(d{2RV>`g|629y`UTP_M$KZY+lo`|`j?4!5;cAUQ4Lx@{h^b0FJuXH_W`TusbCaQ zh!Ka;z~XktJ~UEp;VsQvCFpE8)3TrsUQ__XJ2ZFJ+VXj;85PZ!TneTKuZn4xhs~3z zA+EG1QiI-o0v}NnnV@sJ6z&0Id&ou^LFu7W) zTVhQ}wgn^kEZnE`C43$(-k&Uaz0ZB6D21%OnATRZTsySZeJ-`>rAQn?6$MsLygy5Xq-6oT-_*R)%Ws7{{*~t&64&d$Gw#K(cHcB{-ow) z+CX_@Y$vY)*931aFASD&_HxZrruW4_fvH;N>eoqa25q*mp^QWTm2a&KXc-gdoGQ#Vc3g)Rl3UeY`NvH>mDeIJezdw$g!eViTAjJP!xAYH z)BMGOiS?u;idD^An*SkCZ4p|Ub182PIHHctpNv}Hn%{BM*w7uU>_%@RD|EGTSjKrr zd1`A^cBi*$K5X8X;&)X_l~Mj)#$^QYYDfn#R#(aTpjWg9D}6#bf8Hu7;4=;^X^)){ zBPz2xVqg*#jYjEkKxk`@`|?Zi7T!^b%HFzu`hyv_NgwTngxjjr;P+DLSNC4bX7)9^ zcSpLzR%qkBscye-Igx;!ye7ThpXx9a%|(ENA!-9j;zv9H|K^KL$^X>KtClID{{FjJ z?{C?XniT-DY{-hgG_GRq+qo-nX7+BZ&Q{1qs?beQiGf99(ESp>%=S5(pF4lJVJZlAL|bNVfV=A zvCx`OvrOgJOR9earFY%Wl2~%z`r$;qy$N8;@as(K z=Z%TpY?kJEoy6%dKve&1?ap>k zV$1hTMGo0LTu4&7S;xM-y^um(6bJ?Y?(%E4WcG4M2Kx@nk9~I)DBW}=hb5yz`n$?h z_R`yu#L+@rc)j7?c=4$~cOw_8vZ1i@bC18(d2kJagy&6;qk~t99}Pyjk9!*nv*dnU4XvK(liiv!ZslV4g#Gi2Uj%PYkk;RD!S2nHVYi%8 z!5QwsoslX0_63xr?%mOOEanDKhQWX*COwRE-+8;Wv+SN65`PqKeg zfw)i!uCCphqh>tUnjN>wQ98E1P)y&F3XgCNao3!ad#HBQ-XXlG>`h(brZM&o1do>*UQT1Hi{& zRX6#OI2y`5tKz+VBR_5`f(#L7CbO5X$!lUH+Cyeshu;W1?yVmf{7KKNw_g%ep(JW5c`1OV+G&3>LhE!WM~IaAEfNqlOMxEEC76U;30FRPUoFL)`*3;b3NAo z$d&QzBS%7Ig`@m@OWN^Ef-Qv$hEz~e;T%l-EoT){eFaH5$+`;TZ3Sry)mjiEf(n40 z1x;PgHE{8WZvR%yaRnE0DH@2 zACFUtI0OmD+uIAo|yFP5*K+ZubKm zk3{Rg6b&P+qz_AFgFV&>|Cw#^iXy|!OE%G8p+~ZDU*7ERrmcYN_Be_d? zEBI-)i+7+EnEAEqZG2c4cV=Ce%zB`~H#eybAYELShG!QSzxdnZah1E%s~0sg(#5k2 z0rej}KMwt$76!R}mCNXExKe=!R|^FZ(2f6T;V=Gun05Ac@d)Ei9ky}CH~GJX7P|tA zn=NaGckya;act{dbzMB10GX}(6aKqNqk}urGv6ztlb4S>GyC_# zm;cS?%AJ1T)yG@U1RSxz+2$06B&Yv(V@xTq?z(*(>+}qX=#tq4Or6t`B=+n-Wx|SqK5{q483^|OaCg@s z{%P|+WqKUug30b2LK-nbjq8hQKkw(d{KueMNWkKt8OoO}L}o2Sa(6GCgWI`yJa-)d z$am_C=$@LD(hO`o!Yhlh_^gD3dd(?)6_hujv$Lop4}8y+0zBSy3qan9+@=dwMD zSqiR|mz2ObiYSv&*?)fKfp~VQ?%bwHyQ^--Y1G+ zT&x%q9&$>lpi9YGLkHk~O9^-8%tZkI>c8EP)Q1WUbr?tGqP6dcr`{Eaiv4|J{?m)~ z*7KLwnqWCxv{-B^YMlhYIgs{f6Fyqh{+_D`r#Pjz$Ly2wTJ4kT=&h^rs#U z;}Vt(yZhe{$)9uGSm`4?npjAw4Yk`IxZ316ya*7%>YytC6X@6+-q{-N-Cm#H*`A*; zkX^T?0RfniqFFMz^160QnHfH*F-*Jykf^xQ+jO7#B0H{TD`y{mTmVuW3RhiK8HFzc zCI{nNE+~PUcn#|R++j?9k-HuIL9Rdd*lKyhve#OqL{%np7Asr1tij%6afnvPrLer|3o?RfnVtoOE z!8(UejP&SlCh+xlJcIOk{1v0xmsXrHn2P|I@Zk0ipweKGa~DGTnknNOz**F;afGzn z6)rDq8eL#@OaL5oA-ZY%dHDKs*gU{P*W<#A>twfo-EbV<0(ewO1;EvZHk)fVo1=#| zR>OBzCt$BDENRKb_n$GBb1n~Z$>7)VG-}w4>)d=e5SvRgVnr@Z=~r%Ui(LMrU%c_N z68;>~O6*?+%wrL@v)Q)u^-smqTJBCt+meJqgeUmt{c8!Cj6SWqVQuVR6;c;aKF0V^_WehE44SlBqQ663k7&Add%p?A>Knaij$ae9gEs_X}%X8q|Oeh0TR*w=$=yPFH z;v>E_lJFg~BLmHseg-Ht3Xz^Ms%I{>a*3$8+t0EAN~_N1dmUBwOMkzvjG+>xQC^91 z-9^9!%1ghj0SV)Z*7C*Kg7^K5FJhycw|D;BR?66W-1wajHsZGS`qV`BllXpo@%OZl z!5R0~6E~zg-4+s4NN}aom1G(_ZJV1QByYq~L!SuzDmlm4@$akQtEm^pwSat6YczyfsK^ajK$5Rd{Kk zOepxa&h{U#VStA5vH{ba&aiv5{&{XkCPkL<)qk#bl<6_YW!)RlOs-XgXZ+1=xQtp{ zJ=s+IZ6kf=PcA_#XRVcbrC2~b;&b}7tvSL z&GvFW{2xC}AY1dL0BOfh+oY<^SpZF#e6d`9L9N-@ntu%%+Q|nrt0opUW#C%z_DlYi z<>bNbq1Bq6-czA~Ig%sJ`o+c7f%w)_S6v5xIL^(3F6Y8wl6Ep5v-mls6$%IGM}H|x z`qT;qLc03TP^Y=+>%i@v@_My&E@x9abAJO`x+teN|JCx5WR^hLYXKjfV~dpWmrV~C z8Y;CV90id*lA{Jg4@!;M&Y3Dls$Nw^wD9~{xL+IbNTnJeh2-r~o$V()%FTw)XV8NQ z8Y6;FuhSV^(sFFA(YUNuV^p%Od^uh2Pt7FcjzrC`>#iW=jV9D!5fajh?#o^j3;**T z*@w3^p8H2lxocy1XS=K>)rmPgM`8E^Ip9VqN9H(?=;!x^0{J$H=SgiFkS&+C@n+m+ zwqRY@Ln$EZh268hpf1}XHs-V%$7f(qwgk9p@?l6>tfu5O?SF1}Q%mZ52?4w3Kqim= ze2_0=emG;0_T$j{_v{|PW8WoLE9vF83M1EN2|6LPv}U)gH2@Ey^&F^YstjCWdSOX0D*2ZZ+SRZmc~ z1wzUs9pQLC$$0Z2s?D?iOMl(`&P?rg&ZXHmrk5bDT@^_(040!X1eA*r4-_NDJ?E-K4Qbx7``qlZZCr+eeeqJ&fgo`0eWOPqc@$lymK& zo~IF^7_Hhrbr&cR?rj%~8orKB;Ml~fo7=52}Y0hWvAE>f(?oYoP8YHbqM3H*R(Z+=^ z_g~^4Deqc-WnF2%dhD|bG)!0|D!S;7OKQP|#78e~Jo#*bmWg?61QWkfJF_ht@_y8n z*ANWjl!j|~mV%D~#hbiVZc1FT$95Vwrqxh~e!?~EJXBZY(HKhK-Z0P+k0;+1dgiP7 z4EutEt+v@6#yaaAms;3)|I91i6}|Dqh5b%L_kdKutPtkCJg2MWgWk zM0xA17Jx9~)}2BFE!6-vQ5tqtyscBF7v?z?CQpEw?BAlXylcJA3)cej7ry<%DmdYgAIuY&oECE*c*AoIU^Ea zNf+m!FnVBR|4B>>nRh*L{u&iIRb*7^At7~;{PW_|wTU!1TgCORPA?~kR3mzoc{tuEssvuXxZEbi5=<+>2aL;CyXM)|EdS zZ9?2F$D{Y-=dRDl$k7&{Sk@}8m^Amn?c=0cKGTwKlUL?pLhqmN61BmVsp}{v(iPOF z;y;TSRzdu%i^ZnNfLJHK|E!pA% zSXiO+FkfV1tDPb7*N)hgUsva<{CE$p^(&@psOBetvtS46y$v1IN7#HWlO-N*aZK92 zkrGtSd1Ox;h$wsA^ytik_aLtR}~f|TH8xgPPQZp9Ln}1lWi)nU7UC+&?qSxgeDo%5QF(pI!aqb*?Hm!C-W+C>>nt zPfGKJg`CpkVePlmodtZp1BN{?RcfQAO!7VzM%ng+nzuLiwRjcrKjFyvc^5MPfMZIu zpTF=1qa$Q^8ha>eSNk50XL5wQ%jE#R);!xAfEHCJqHcJhQ zE++B0Ni6wDfJrryqZ3ap{d>+T%|<)GoWT7}gz&{4!OvAc@7geXzMiE17Ih8+xQ~r$ds27ew<7cuJqzIYN@=;>?w2FoN6u+J zmkCPNn^Y2CC>#MjiWh^Z4t*OJ4R%(AoDh%okSV8(O{v8mE&;Y$dl_3kXlM4bZj zYGJI}Q&E|FY;?oYnC3*{l~XUkS*>a6`1WC*PBT$(^tFS6Tg{TKp~cgxS7I0M{PIxX zA?OI@Hj5~+FJcdRm-57moR8f<9CcHRgyMSxdk*`!ZRsS93YH!XwHkXq2Xn;noM0|o z0uPt8mJ^IgB`}Ytd2e~%JsFx{haz%$svnB|YJ$I=C=p?ik8_L0CA8#{cbZalH5Zo) zA%D23=068z7k6VVU^t3rTJyCu_)crHO3;PT)SykvMfbVQUXym;NpH@^KEisAfQ7qk zYSP8An}0K3+h)a{f4nivkk@`16EW?^oD*K1`uMke_7I)#kuHXX55*799^CiqHIQuO zo%QySfhUjj@e1y17w8)8b8{&-Ioa~s!+Ppugr5jh=7D{r3n@-u&!Ut{)WYgRvD=j6 zC@tdFNuG4^fQE!0H(GgK^6cUCYzirZEg!EvOW{{l{7E`6p1k!5CH>x8>f?LGcL|Yd z@jG`l6*Qz71=bvI^#hoif^Ph~`0L#T&7hRIRi)c^HRcDb+iARi#-tyAJXQURx)uLz zocReua3(e$kzM$iI|rq=@?_>*z(7sS-efu{K*KyNuxf>yPY$&E7V~=qyi|E);(4E> zkU_ssfx}WRWl0prK-B0URjD(_2L$c4d=5w^1l(_G|C3IrzXc&*b@XXy{jL59PMw+@ zJ)@>;SMjXsvEr~a?t%wI^$#khB?NdN^jix;;TF{rl;Z)5&^>-6M*kf9!2;Z?k*0r$=f$_N@!kXGQt?`(h%Nqq6xr;1 z1Q{J(GAJkwtiVy?+?2GXtiJi8oITfHxvACt`JX(}Y>Cc(_ojEo=WphHai2X17TM+6 z0x1}5Rc2dhv+JS@jL-!tE|GOd(Zb!)4HpXrE#vR?iHpeO<{2ejmE=T@(>7-aAcHg$ zE%hE#5TBn&(!kRKH4x7pOdV)ny1D5<1Z6~gH0wdl#`=dsrL0e9-g(xxu-E^MOAm5V zO~;WIUn$zUdB491W$8ov8F7ATw|}3wb!)P6@oE0h@6BBDOQu!ElxOSnnuD2*SHpUv z;!drAsc1agj1?^dI{wT%}o(s_nz|3{f+0FsGOD!5fw zM7((|uKqiQvkH`5=z3X_Sw`_DJR~KSAw27>=~WnCbHAB!Y~DFLr!Fa5ArIv6dUdT# zG`21I-rq`-a!!~tiu=Sk`>)~n#udN(+<3CF@*tvO* z9HyZTL>P4=KY{UDU^GlL-tUt8@yk+T9;#J+eGi_V(9MUPy?e!`vgF|VxKjEaiz4xF zPmvKr0a^aGfrn}=tQP+w@67V`kNr;tCa4jAiy7Nx!)1u>z3JouPHyl$0Y|mH$01{K3g2UE_!X~+u8d6y$ir&8vjKuk>?3-t7cZ=?F8`C zFsFcvFoC^@EPnNwnN-tE3GXq*`iNMlNWssg-Q|K45%vf8N0k3L?}0YA3JA*TvXdY| zE-9Wlg3VD{uy@K#35~?MpHhU63@`7J-^z664=gN0|NPl;E{!i*=$9-Uef@RV*DG~b zO8xb*D{gWfbQu$cPlf*OEg)A!UAsiWe~BNM@FkW%60s zqkjttc(tAte|hne7o&;(W}iIo{#Z*NV@jDU)}KW&A4jh~2yM&{@pX3lG9#JbqA7p< zLt|~L&U0xPYk%I`&|UJ583-xu@nlq?+VW3r?8Efhi6XmGv@W7`8fi~h+j=4a(Hk^y zS$pQ%%^}2h+FiHf`_{il5B%D=lKlL~eanyU-bkb=U`@7sxhbhLvU_jXb9(!1`vjqQ zTSp|vAcAjdNnh(f*Ytq%|=_n_RKXmNZyEOlLJ1(kGL##XV=^Z$*2hlw1ZWmDAVXie}leQ_CV5|gm&Am zC7;&>Vs->`4b+tC8E-z0mxB7?)WgTD7hWDyvt`2>ukD^+>)W`d0|b$Iz)@ zx;WuskY=J+nk>$t>5CA_G(#4?&qvJJ!FxU|#*iFs_z++7foQ%dohku!eZa#SJ}hrk zFY9`-^v9ym!d!trUMIsX?A^l}A=pTVBW?KW{j%-x^4tfm!YuhP0oc@P=~b_*7DWk` zTz_|{PKGMEW9{#ylJULzUqh40GX||%sRf7$wEnbD> zz2-;k6=`mSekUubT^^64-WDCldc9A3F;mjj`Ut-EH0PFa-zzESf9mTOgS~)|D!_`6 zhGBK~$oO5)bG6^yuJQ7#QG`2aFPs02T*p`Q@jCf$M}*6Hg1&uKI3#&C79tYc5o4}8 zxqU}TV4vWt2gg9q>u)LSok-oa`>9&}!&bTW<`o#&+C0us zi>ysLV3^OjlR~pOBtlQVhAR0sCV`3dfoD?h%Iu{-wf;5?w%j_n)E#^CaQ%tA+@Ypp zQVV+S&d-WRDqKArMm>NM=^#4|7rb;K06v`6zUy&Y9#WTNc(`&YZ2C<;2ANt`7yTpwegu|S-B&m;sTnq z%)Ok43vY+8j;D{?pPWySuE44cmI*E)8%xWB-)_JX`)82I+UdHjn&3+JTlFiVZM?`z(3lIyWhpm+5Q2^_B$d)mnnqKSa8 zBj)Wh!|TtgoNbu19?}Rs9@3Y#=#(!lof%QvwWs3$kDDQTX#}K|CQf)k32H6-3&#&Kw)cKME zpDvAXQgr&=hQ{QrQq*{&rNO4*;Ja*v_VX-Zt#fN2#39LRaxDLs9|L+*lnl<{yKGdd zn?7G5bE0_LPg#xejvea7E54-nT%(?UF2HVjrTb>P)2pzsx!~4Av9j)3xt8NdG_U(| z3dEDfdk2eorrqufeOznyG3h1*rhb6q8`|gAXceCQXd4U7kW44|Un9H-j~r?e8@(fD zh2KB0P*~DjHd|KV#QL8BnK#}se3#&<2#YT+^cVLt&MCAG%JKXr?#$C{^agy^xrBIl zG;&v4vsCu(mHIYCPk+rH>W8F`*c@+C0sG@EY>r}C1~If#^UkD(!K8_72Q9Galf)zM z#K$S#X6%=-@Y%471~J9_r1mYdA3P=M>2pyqzIWiT@wz=%1j`GoE(0M%p2)g)1M&nh zfAJj8p;vKPmn9td8v|m*^WcPf4|nq{iG92)?-1wvyS^;Zn}I@@v7SX{(P_}(Dc)e7 zpEa9bBAz_)|6Y`&^7#SeHlqHd89Pgp4~J1VJ*Z|(W+Jv};zd;et5*7sHR>$RM``h0)>r|UJ|vXi=*Jv$%aC2{c*Gcm$~E~6^a z_>E&9R!_V;e$ITm>5Em(+4{&_X%;mBiI^|gwqthw;>XpkH-mu0~QhlER%Psn9 z`bniYu;q=$v_;n$Hb38uJ`6lI;rdZ_p#8@yVHOE>A3LaS#V*NbYdfRs-wA?UvYQHg z#I@H__Df3h@qwET@qX%1_$7+&TEe^n@$O|GQ5tzW=XzH+fAuTpi)A55$a=1L5P0A} zB3{KS(R+Fxl>H6V5Op846>nMK{?O_2+-NKH;I~O#9#>yES6>l%b$R&g_((iS_f$h+XM#;QZ>RG4>E>b0@taYW&!hzG`E)y$c=Ho9ec#*vXyO^V zv&$n!ZW@Fq{d#Nidka=n&U+IXjE(R(*4)K*KHvjXmCIR$I4 zUt&9LoZf%dFur5&8c%NVDI1hwf?1d z=c|iYM-A9o$Mb*IoQ5QmPK}XsGZv5hF@Z(ZN0g;mjUB4LQ86VGbW@cK0I*|x;tAP@ zO()BMR}xdmePmkx(#v{1*{Q)p@(+j_`;V9I!=_8)UBJvFJ|FS3I}P0+|F=G#jlnlH z&I#_4bFNbKxleDpR>&6>>}Y;OkwlQ4S`HaW(C&9=i%-s+J<0V)E-U^1#d=oXTTI0>*Zi3rz`p zV!LKqxL(he6Wi79`%TcN*G_v@7!B&!&X42lo`Afw;W5MGB2LgmLR|YZMYP|;bR}2z zczl>5$`|nBI!owJ&i&EZmz6hrC{v=V0W}`f-IQvtEPg>Z|9qCrq)=Cmj&%5dN#D}a zcw2n^ivYI5%E2^4-c zlbe}wl4LlTa;!_UdAO=1A+Ft5`BBGZp6~ajC22#lweW}J(DgA<%;is!oQoTO1o=~Y z+9ou-hP~>(o_zi5KGWzN*3GQ=vyL8hTtRZ-+Y3d{@7TgrD~)nO7}fK-?Tj1tpSWNz zhefRP6#mbH*{9gY=`ajOu|?dHb21*HeN%2V0uUqlz?^7j2QUyd6cnuY&26HSR zPgCthN(Aom?S}1}s*}F=t!Q2M0w^=oxR!my(E%a;)`Sh&!ZXKmEm~&E?>OB}bq7f( zEL8JfDZErzo=kU?_@v%cJXIvs{ypFTnAr0WlaLdo}empn-k^vs?! zAn4xDcjJ=MhbR^68oK#KY->(NR!VV_rgZe}CfWDWLBDeCg!fCbZ9zRDrzFu%3wwnB zd^p-U)!&%(yO8X|w%jjeB2rM^i$02nO`jQktAT=poAu|Te{l9TK`xxcTqPeO9U*^> zR1;#6LwgT`ZcmA-wywV$u7A!-e~k_vwUl*R?@XaA*C&uVNgm6i<)>ty??>5u7u1*X zV7rCI;^%&8fo`5g#ntDkoV=IW^WyqG{KULEz_Qc%>cg*9VMqPiv2s$j*vDc^pKN#d ztMBF1{|#AlHo}e{*u{J*rqLhdmV|_O4u+?16j&xY59Q=7s?6HDri4<0#L-{Wj|j0C zZ|;7|tycP@J$N>Azkhh4t+)&OIy9ad^&yG_DFeqV@E~+Q@WzpMKe%8$*{JQ=$15Rj zE~4EYBU7xqQ4x;KF7O&!9pnSoL#j_tl`161Dk(i1oj$O{>x;h=rYovDzQ_K|$R4an zOOAY&WO;)NkJKQpYv1D+iuL_(`-Jy_{QBUj?z#dZ3Tw#&{N5S^i0?wXFFB5+YBcT% zI{S9zPKBCjm&lPqIS&8lUQP9hdIitz!Ya-&KPpsT@G%7?%C;D7K)#Ia5;5dc=16Di zhX{|P=+?MW;4Hq3BAF1$l#?dcyxv?0M|t37ObAKBA8u&Y9f8&q)+M&a{Qe#+%{i}^ z?@uj`+N#&A7ryB)X3faw6>FdI6u@?!F=igQv_p_zh*3zXCi8E`KbVgFXgD?x)VFu- zE#LuVO-D?>jFUPpqv8ANg$^?TA>=WjXaW=AoceX??#VHUGR=Q1dP|BPvCJlrABN~B zIcMr4AYNV9zWsEc%L{ub5`y@46Y)cXchV!Y&$;#v@`yYvO1Jno)_}5i?X@;Une-9=h)E?q;39esK%qs#F*xIIIgKVQ6540EImmTNQgY zh7w%P7h|KG`JHjZmn6|j123?5@4FVn&l@_UG`sX@^vI7BG~dR`uK2v5up0hqw*ORI z90Ge3bQ;5HnUN|In0eLuhzasrOZ!cU^{^_u&j~n{DFKbm>&_qB1&ba#{jj(LIuxh1 zC(klMRQ9--VTj<97ooN9SFkCqrJ!nXG_199@py1Ju+gnb)ibUnLK{xRCtMZHnZ9 zy5A^i3@d-nL6zHHpZBISlkPEd^SLz-z>P9So}MgaxQtBCq?(`Q*J#|{QN$dgds7v+-dlcGiI57gbu zy7KB(JkdD9`1sd%F&%uf5py2VCod11k|fVa?8=f`-Wx(R|G;?0Be?KUYTrKbAW&bV z&)fPa%tyA+=s^%qL5WBz?@bdZ7~8ASCg4gju;zsZNvXV!s?wP2b?KRmI7gqV+$Ru? zYgI~f-Ewlz?(BKxadwo^?KAK3eq-#$xY336BN^v;u5&z`;d@FSG3$$e9Au3sw5b=Z z9S!;zV@Xcj`Jb$K_tT{J zSSM(&GoJS(+YJu$|Cw+GQH1dZ=_;y@i2RCrvd21GOs3Q`iL??n0B%oU+gd=n_x@3k zc{{~hD!i{@uTad4x`y=6v8x?*!Dsn`pOKfLv5=Kd_3VQQ)bn1-y7}RJ1JeDU`}UW} zxwhp%kISGO#tv+gPdpDP`v~$`5=eI50=u4;Q~UcGTYurbw&wz683MrLYL#k{AI`F_ z>yjsM-i)xRFdQ)#`SH-3!b1`6#!CB><;O)ue&n7e%%oaa)CZyHqs^#M-T5=>(;k7| zM&@%<+1ewbKwvKKJe394&CkgE{szZUU8>PNN(XY=WpAI2<2(Fn;+!?NRFqu zj4SW$z_26JpdnB$*5eH-_SGSO%_n&^S8a^7_o9MFQsm*hc#9D8s{`IaZ|LI=7Sa)~Kf`+C05PvJuNjje`}nC=s4QWN%Rq}J+T*lMhQ9twie@>NcweFOJ|T@EAD5g(K; zO6vTI7yXg@f_?>fH~7mt?9k$g*ImyA&fk4|UEo`gbY@Qj(_Yc&D(B>({xbe*k*VCn zIA;xnlXYqGSy!R<%3EdmZ!A73Kji_9oU(jilyJ?=`($Q{o#+-BzV>NX<*AOZ$rjW# zQp&WzN+{z#>iT6~;Vl8>LhS>FG?Yqdjt5$+5+t0(-f;EnE{ zc1wfi$GhI|#@(n7uy0gp$${NH0ctoUIK<;Q?Z*?c!uhoTevT33|EOFr#K{xo)8$kk zLkjCTaK{G*mzf>!iLAXZ{d$*7@SKxOA(hXF&+!t&9C=$&5i0X%ye*l%-Qk zW1w^3Bak3+?B`}#mABfWuUNew27-ewKnv4~zjgH3y1ChQU(y=2z45041}gWzduj7) zbkxC{y{f&bymJ$Y{#eDjGpa+7p$9*cqO)YsKYhMv5uPB(CwHQ)*}q?DAOARAnfo5v z&4WFGBKGE2o$i=_Q&{{wFJ&^UHnf4{hFA@vTIaSFa}O=Abm~ys??%-Vv)Pu0>lbHZ z9;lpocTFubEVe-UjN4_!I^`*c>%nae|4q?iJW)+;%aJ*Xs?2o%Q0qYFqMN-6uma=Ut4$CPN8p zh|i}KkJAgQSj@!MHz@6}aS%k8&OBW_iLBNblXBfqMjpLc!sJaiYzB3DE*_jKm>vm| zP4^jz3govly`i`%X?;kyK!jBo1$v^wyOcNyB6X|AGMQ4Bh&r}M*hJo!R2OR^m?C!li2+~jg=8SAs8o-Kh&NJ95}IDdh33-R_b3s%hA$H|fcO{qr{pCcmkRpbKeemR=&D6OJ59crucqMV{#&=Aq)hnKH-Myy z|CdPL^#>^z_T89kIEGC;y~o|{i@84N8sFfly}dLLbZqaBy}=0`cM?I#9OAX^MA=_c zTORB$qPtE%Pq=>#LR}e0B zv_h>-M}45}dhDtsFqhEHGg?BNnXat)sIt2|)PaK9i!JLZvFQ3cw!#{pfS9LWo|3O2 zojFX2gg#3Lxpr*JcWzEUc;jAhBYd^{)P?B9Ny*(Sz^U}>{SjcBL@3bHp8j>%M{~5f z(q$xa0`i7sUHy6q$M4Mqlz>)S&Vx#jkQvVjFShIX6uNNj)Xfbe3|s)5MXcetJNRe< zaY(-=-XD4)JYm*A*#zE@ump|yBR9Orl3JD9&X<@k22uxbSk<}V>UQ95E9NB3SVieD zicz(3vYsRN0mDoT&{MPz)AsYQl{q#yL9EH()kamLTQtv4l{_viHxv!GM zQZ7KJ$YMO&$_BULpteUKn6{^4W^Yvb8ksrc#amu*3ToeW7uk?2nrkq=u+Gb_E0Xx9 zx-7_^&s~`T#zwGDHofWJ*u?UUs82x3?xv9AvUd}gv_Ni18#^(&5Ocfj!v_TEaY9w) ztVJZR27ByIIE~O^l9>21_63O5f>3IH3Megs95NB{e2w=Y+qjjgAt1Mj6Dxg*#$#9a zQewBuhH#GPEAY!)DrOIYLwnOvI)Cf)2_I*0UICwwp&%+j7zOqR>ttYvb_J;xFcjd+ zuFQ#JY-1vJ#?EO1;-kV z5tZf9p*M0gbn)MQuRTjM1*UgleYXU0hcgsyRv>yM!^Z2i5Z@B3>{**a(AD=u~fGak9BC{ z(^gxgS>#Lc8_SmrTL}@M^3<(xw>)VC@BVTzTaLTfjYhiBM2MNB8y)_Q4Psjg9cXrf zH6A_Qkc;onSyy!Qt8VWF(2Xl?mRtGEAS_jTspd2-xghc6Xgur*Wop>lf?1E` zK@vM`WO7YcuKCcKkApzu)_CHoZDtUr+o7+$$G5e)R2>mdE_~(5No9uxq{|BbfSgzL zO+n5|S2ozSdDLb-w{i4a_!|bl zP%vYs_R$sE`m2r79kk_+?~f11zm~5SAhCS2N!d)et`;=xBYNM4sHV{I3BTKbBazeq zIC-*@!^SMPVIdj=Rda#Z2mk~`{rf(HKpAkuYvbSbcyz;)tJChTrzlWN7-cI@l#7=d zRg5);zXs<=_kKp0oMEBKjQ0$r$#ON!m??RfcYRak#&8|ra$W2qQp%Fd-6>IJn@6H2LH5v_By>g(3lC!fixjivDD%Cz`i960Y!a!V8}A^NzgIF*%wU}s(Ky;z z^3idRZ)@A4xM$;A9tHS5#tS4kd6uJs&^6)G%)V`-U|+w8T4oC^-7*~JIkl7>nX z$PBf|Nc!xnK-@iL7i84EED(-oA`!-idE^a-a9kL$vP~o>i zPZ_lz5uHKC;k1UBKM9nZ47KIMEOfwdBb!_zW{i4AEw#}IZ^A;8%XzRoG!ncoutt5@ z*cB@bf+gn=Vo8mgHV?k>(W5&LCd3(4F$)>Gh#oKxg-`uOb79MniX(Vnzg#|LBql~Y z#!6L?UsdMxfF@@|r!OJGBFPWH^?e#3^9<^XQ9WJq{TjkJfoYVsjqYQ`8|k!VLtgz$ zvxA;|!Dfb2gLzvCQ$we%`K?XUz5lrq5_n?+AI~Z7opcHZVm5*VzbijqzykFIEYX3h zx=zwXTjxERN5Ie9xUf?XrJtICbUk%chJ^BWBTPBcs3{~Y#}zo{JIX%^-A{WmOP-qP zHIXhE`b^=Qc}A#?KF+T?>qLg@Y~DRlCnn8P#%|N}4c!l1MLt0VtP8@AYdUhufM?Vq z0luqQS(Z~yhVNVb=ZD`G;x0An;TPvNbY%nNbNYMZ3F%Cpu)W6$;Thzh+CZE9UHbi$hH&p|%KD>X*$gT?`K)eOp{28KuUCCql}u zxbYp&Dcw0bbfN%IxyI$D#Adraa)G9GS4y254iklF;cLV@R#B$fd2no-BFqu~hjrHO z69=_K2S*o4jkWBl5EFo<;q}8(M(yg(ry}W?MC7#eiX&-Hl$S`a#;g!lPDHaENx^z>8ivi(WzjEmsV5&n(D}uMZN=$d?abOXgj~?!L zZZP_-$JG$DUN8`OvAlJtVS^}|@E`mQ;;c0mn+h5LZZE|5k&1m*qR_8R+Excn#4me@ zjZrCPVOl1xKh*+NMs~n~!J?N`ncOwt?ahspy9W;?#juM8>^*OvOTdqcJ*Y7KCG_2w z$smKi$M0nRSmNUel+G%}F|xdW$lOT|>3Fuo~bbJdiTbe7bUa39Lx@7kT@LY+ z^sadqlt)X1_T{}}N7*y7L)ojGL{^1(m+z)N;L|;d(ct^&!{bsNHT~%TXjS?{gy00) zZ1)9Wt;mNUtWlizm=0g@W{$<+Yt#JS`%gvbVXpt}xxNE~A-!?^v zI-@M2uS1fRCw(%dHUGdO!}P@y$y^hHPxyRDbtSFNL6(1)Fv1N%Lveun!{RsrevWZ4QCG< z?;=Brk_=s3$(35SLSLysd--bb$M+KD30oK(0XB+S^z*=8l5Y{kAGo9J!KiugtkpA9 zeGLwX+n3f@F9Ua~*Yb^x9@3Rn8gC@Q8@JR&H3fIhHs^iw3^H}mRleFR?H3|qZFjSZ z@(k;z$dj?lXh~6(HK*!%5!y)(2gtHv;SSC^`+K2czZvb2R*^ZYFiC= zjQSsw@RUJ(aQsZkiz2X($&rzrbJ4*0>)hCU8WgVtWWhV9hZ>q>OD5A;%MZAQK&BuH z3vP0>s@eBcM(bh5xIgNxihs<#f4(xAv?M0{*JCyDPGNR7VTm} zB>gH@Cb(&g5+MjvqjUpc7fmRI!79|krVn65r2N?z!wWJI%eJg?%*pb3!5xUWe3ZMB zHU3_eE)xgZzs#P3j)!E-hfCQ03Qt6S#^?^##mzwEkEI}ATBHQ&k28VTX>cney^~V> zEnP3agTm&i^hx)g64Xcnelg(H--p@l=s!nz*;VLnDq;vWI^%bVxhV}O53m! z@Ygd5q~-dWw#a%GEAdHs!hVPj1OJZ^X>lpk5mS6PXaAn(6=~o2Yy3L*xR`zkgW4_ zV%j;Wr5()!k)FVjiKKBUrDDNzY@Q^wreItjf{~zp^!61En#(dCTn|E7mK+iyyhiBT zDuKN^tAYNE#KI#B)2jaRr$Ni7*)x!r2e$l{2JAr7USG%B3G8_z0d(zm=RL0%R$5R$ z3|^1<2c(=q9k8~QcD%L-{pawSD`XHxy$ajq?y@Wa&zKFU}( zlNc06CG7p9A5}TXj8T2Czd-%0eC`aX#8+tdh5;jIJ$hsmLJ6 zoT=GX@ketlOaCVxMvhG-ci)siI&V5D>1q<8{fnYWBPa(~8 zczZ=7JJJix&&XxC*Sb|YQUJFx>*+`a+qxpkOkbA%Rqf+)nW`{1jm<@Hfbxh(LbJDQJ=^+QOQ2LkfPyfyBP z@_gQ3XZ1gtt=5gfuBXe7s4A$)5podq61p-W?ZLD$cE&t0hy07UV9=~6|I#z4zq1cQ z5qYlip0`)*ETUpL9sMQ>rNZe*xA{eO^zD_-Qm zMz7IwX=qk8jD4WFCEsphi#=9FE#eba5RxTV5AxxkPZu6TNvWL#d*k(aX?-hCP<)=M zjG51GTUu)w{hdEmvy_K}mieB(o&*xctVnKx@1HL{53U{OoEQs(8XKDDrVxMwH2n*P z5$Lo-z-F&g@|w^PBW#-Et=>X6#NZc!A-Ubs(d-*V>X*c$B}?jQP#G{{zk{+qCkgZN01)CAnt{d_+Nw9w=-x)0!VzWnw_l}nF+nM>D9F!CZ zvSg+<$lG@Fy?)4m>?ejWVYG=g2;$L|=UP0pCkAT0UpumEfOgjj0YRsrm1i z)+DWptg>3D`10G4W9wm}Qr)?6(oOZ^r2%MRZ#LP?W&C*WmFl2Lm_e?qnW90F`=tk* zoO?#+(a7)g^?oaV&1mOGx2*3&SMBq#N0UEXk$nI9gC>q#UXA3cf2_r0pn&0%^kh;^ z`@65PA%&AUf)aN83|svxV{IPY5eq-A=I!J-C#g^+@*(g6$rt%OZED$>pIP3A!R^qb zfaUV2=kL(RLj}s9qB2sxvhIrwp>=G&{9Kw%G-uPBVmw>2s80bjDu0%1+LNR3<+ymL z-!?7)37pJfD}Y2y|KI zFtGi4>Y}y@xU|LaCwT?~y$>@|zWr1nCXs+cqPGR!zKTbR5t+-zfSs2X#5#giOr~}D z@y>oTpa(xIpJA%tJYc-e%ojJ1VE?Jk#;orZ3>-|p^IH74*TveZ4&uwDP*H|8X-BJ) zG7e%=#)XcODLjF6_WL?7c4kNe)?=Tq9AYj8#^W!P<(%R8K zP2)OwD8**`l-Y)@>1P)GIr>4^JO|znFp6L)&t{*E_wE)sCft$*MH1=TU`8XXPMVPN zH1QV;;qte6`Z(5l7w^RTWQUVf&hXYoy?a=ss5$q!9LZsHqz}bWO`&qS(wx&=0|qqYUqb58fRyOi%Jc8CZJLD*|PuUL6Tk|EIcfm$A!1zB)TOQ#Ml z7uW`Aa=*3HgYp7zjawG^yNXYBRegg7KE#flKvuaCkEuga`H?hV&(u_e9v7rnjuH7@ zo>_0NkuCnsqpHM!!>{)EKSB0`oXD6@6g{G3%vAd|PJRkRXdg8e?na7IeE;V2)9c0` zGb`=ojtMQPn0J0v+jUk|OcI6^+e)=Gnd{lgN4Li@u5BLXdEdnp<()_$mSxD>0>Z#o zv&xvg^}*HPa6&n%voA#?4y5tr8NOc~(}kt~1dadZIW4|Z={xbr^w^&$7D&)~X4r`g zt!E}t&|0O>UlBgYKU(@f5fZ>bwr8AADz-9+kAc+qc{`rfUqBC6`Tj{~$Ol_vRidk1 z{$-xz8C(2WDY5Xb15>MFD~4cmGEmRK(aRpG`Gqk>_QC9Y-(1?KAKqfA2Jgl{E__VY ztPkl1DX(g^(*l;#Dr0vq6>oVC65NkRSYo;K1~D2Tk3uKf_pNMACqV@GeVCorIi^34 zTYjM`>dM5s*jW#6KpViOcj3SWK`<3F_`u zytQOPNHr(DcLtd<~L^)F(`sq^H1aunY>;>S83 zPuLRHrEz!iD!0V8OB#m=8RhyM&bZ(OGq`KBMkDmCAC#BH>X?q=Ynz^?&mh$^PRlxTBlKA7H(bo|iA0F1C+qIxbt%|Z8p!*HXr@lsNd4!#75w`1Fao z5IDs|a}Q$x!uI> zpc-2QUf_n46NXP~T_3C@RVWjA!Ha0d=~P6=am&_Z#D2%~p^rP>&D2s3*rE*Kp6C2h zkUj*G_c<1fh~UKxk9tLudlR{mm?-8t&Q)Uutep+N{P{%yfruXz^d;y@mTnfBN;o7o z8quYv6-ZzMMbWQ(i#g`K#=kaRUdMr1e{^PJLsAY9%a>qBxu*3WKfQg_9g{N^J%WK+ z*ltc2V{y(OHiAEQqH4K&Lw9L#`z~J${14#d;=O|D(3Gy8i@CZj$!GaX-q5Y~NYczm zorf_N_pGU9da4|hvG*R_s+=m{3iUy~)4>dN)RTB@d0)UgGZU8G!}R*qkw_IV1D{#) zE!kj|jxSmT1%DEp2Xk^)LvhzW?5x`;l70W1Ii>sn#0lCDSh+UihJySh$}O#eMc`uP zyxl=ou?%z21Gr@6TMF##9ltrXfPc33U^88Ujpjlzz62aUD6IFhM)g=_Rd_E=0d5T& z&!0QO9Azi;3$@LSg%Bk2#hcLJ?nJBXJ|0-**=&4JmC7-8V}nlkie<~(2u0h~lbr6C zFb?E7*_l;MoDYKETLc9X4)jXti>lWeKN}X5W<8q!nxuZj!|G0Sv>v5=ciI}VO7BZk zKN3AyFZD6X?Sa&JwvN19@@43=o@QIY1>ul%uY(ir$U6(Q}%O3JmwkQQ#BVWWB`hU z2Qhu>m0QR!8nOpbHlI+HrB^yOME>>}6zTRSxL3P(91PwF(@m{m$ZsaE(I>`+eqn5F zOsx%7INGY+!5dv>(A_Ju*L&pk72>(#2cD5b4b5p$F>tJN1`jR+`TJdU987@9e9-!{A>e{ny%c8|R0NU*(g2jQj z-DnQ5mf!49R1?H@{Dv&$=_=o95p%CoO~*ybsT-F{R2IKFNaP>Ig~c;kSgBw*xUsu` z=!5nuu#qxYoj#!=Km@dKDD(tFGN$x1suqfsP)MzNU6Rc^;x0ZY@ z#*d>b_Rj(bCW-zpH#6>)Ppw$(VBcXkQTCv)*qSkIdpdfVnTmCyZgg>H)p%hsz#n*7 z+c7)#*PXPxpq`)LEFMGS;iK0%l(X+CQ?ZNB?w{zm*{tIrbibJXevy;$Y`L~|rPiaU zPD?t6GIa_fx2ZSO3`!%>t(#GW-bce8?|vgg8Z8%dm`B^p&K~j_1#NA4^->%C)qBl@ zV6)(J`3X@U7}b;8<>9}-&|e+4u7Ax35|8Rm(Wo{2^Ihe^?9$8V&?j^qJLN|=hf)ds z)V~5YEXS^|(ohP$+t*9QkBVq=L{8--cqgv)8D9NZzMqwKZ7@a6_s{bhkCm$m3ppc{RsX>|%bMb)Bxs{T6*nfeRwN%-DsyfsTs07b*7cvgat?# z*_OVHC%)HGDWYT+6Slzhw?CRSytcj|I~}S;djPD$J37eMG7}TWAA^#zIf?yrGu!EX zobuVL@Qr7h1=wO}_x2x|dK@V}ZhxBYK*_hM9Mf;;NGli`oPDR8LM|MWUgi~|Y^HW#oT`l_LKl-7s zAFl~Qg?_UCr`1`09y1Q19tSd6G&TBJ0hGw=$AX6_6t*Fku4umZ_apgcIEU(+#@6*0 z^cY~cfPmnislQlZ!<{43J+ph$d+jMOQQ`E$T&$NJ`m!)qpsp$$JhG$ zQqhdwPMl4Z-h)VLzfv?*i|sq|Wjns(vqIKr@jTNm4w1j^a)b77C$O2yN?G9BX}Y`pNZ8A>`!KOO|7CLOJcoA2vNUMtgn ze3B%X!Vm3eD9T0aLp93!eiUYfv8!bm{YHpC`joY$qLi6qb9 zWp53ewjLkN`3o-$Dv$x{PQlrCj{y`Qcio71H19+kHq)XD9}6OR<(QI6u>Z3%{iUXq zEGUhyW@bpPHqm`!SLh((cWFd%hp->fKax~o^xo~hdk@3RavsO+q4?;Yq*X)g*J8Qf z7=8f&A9x30t`mEl$ zgM$P_N!?Hws59Rmp_0}222tuRnq=np_8gBRF#aSlH@;Gm-q0zMgoIO$f>5%5KrMGj z_3Ib(`9_IFmYqK&3KuxmW@Z%FCj%~^34LW%K~Di4 zx~qULY?-=L77Kq~1Uh!re|AsU1%!wzOS-AxhF7{ct$NvysG;3fh?BfcuSSqNqW`L# zS1(NC>1WdVolX|+4!ej`j?c34g6h8=Q^B-@~&AHLyp{(#XNonAn^d$(=^FN zk~7n&EUB(C$Q&8xa#rc4@`JZnEkCMJUfe+|-zW$ze}WQ;=b|?H6(K^1(^Z-)Mexnq z1^bh&=GBR7bXbeqb)pR)7@FPH(h5Ar91OkTbA(o+sr?p8`|c?_-aH7+JG7|t#d5&W zMK#7M?F5}gv#|@+nbqJ1xVE=Lk%Nst@Dg7MFk5RRv^?Wee4YFsSt+@xd{4@BR83a9 zx;S$Z)UVtPlF;(|{&q#84wkGoiocldiu}AnbKU*51G5K zuJP`Q-E4DcT31b@VYe_LVSa#PKd&bGkE4Ft#}p~pmmu)sX~ySe$erBI6{T_7$6y!i zo`8WwGoH+Q6G%T`-_El6*wvz$`D>DSYicp^Y>HEeu*O+3*{ZkXq3=hHHG7{#5_n!;v3l zH`LZ>(1b$3c3~0U_7r0^!Rq3V+qjrl1(*!f5_Cjj)R4N{m;*>yu%=SitPhWPE(4PM zH-^h3W@R|tSI{BZKgV!IlXc}AQ)p@MtBf3-OY6(YwyDo|0SyZaC}nLsjsGYwWk-@N zGnlA+VU&*VsibK9_ejdq#0jItXZEGEYFDXdRHKB3k?v6C1ksm_9o#he-Qg3Y){C>X zq(YpCFMoy`-(yf)`vl6J6(tmpVn_uF#vrY%g+38YM703Qi37On{`MM|i)79EL_W{z z?`ndKAH`iGi#slP5WJ;PK1$LE%H-~KvEYG%7@kPV!*MWHu3N#2k2@SpQH?{`YWAe{ zFBKv3ywe879~~#zs?>TufZ@_6BDmXKi&Jd++`~e(h8k%TkDdaEF^-XR`z@VvZ8=K* zHEtxU9#O1tVHGa`dQDi^r3X$ZyiV5i#+e{%rIcAC}2q{l*3Tg{Vx);&?J3+Fe4Xgg{)xlnKw1Yj_} z{`rOp=pgq=4+=$6*->)!%+KSDvjWKDily`!Q|B`OFZt436<+6_ejwcWoo4jYTY#af zw5RS1(D+|Q+;viCy5}n`-V6W_8Q@I#CvYN>0jVH!O$1&HyexThyXhc5{=-Y= zL3hhLz?T;Ag$76?%LMq|nt)%{xi2_Xpz6K8eY!J*d{vtvLdP?K#>+1-8>0+I(x|5S z^?zO^|E6sGEYzLhk+t{=Xk)q5wQjz3jVJVgO#m2Thig=&qD?x`PCvEgg;x+y1Ri?k zTm&7t_Z<$nthjeHI-OTy+V&DlaUcoh#;1O0*iVzom`*PpJBp`uCP*hE6PlafIe5Rw zl(ch>z#O&d{yl4{>XUN>JPH#jiOa z^ukAAih~F&%?PG687Yoo=Sf&eSw1!3!e@9qJvy1iwyVXx8BVck2Jp=~Ps_J_cv4&v zA0Zp++&n<0g9^xKzGS)d72g;_0dx@6sb~DRV3&sGoobr=0qzaL?lMf`J?m)8SKz_% zD#BI5gQ~CKSJ#E|CmLEqEy>;23BJaMPDilu_Bj_?X{sI@TlvVmBsy>4c7 zY}uJgSQAmKC}P%(&epmuQ$$hD>GfM~laE;yXFoT4-}1lu?!!3Rt(NInmOrhq0x5cs z?HSL^e@T}k`bINH-l$3-C5Qa8I?(QrlBuWI7U2qXd-c)@UJ;XuIqX7&gbr5XnQmJ4 z!grx4EEk?!_yRyyZBAx?Z{2Z!gLl`o{^SWsJx=c@g*@YS|MW#l)r8@^E}bqR>U@hK zj;Vn##oyHR4XOPjvUny|MleGIOPPpuxq_og_4qXosci+MnbE`CKKB&U8_9xsl}ATS zEK!}tO`S?I*}Bfpjrz?;+!tOtt~ zMyLhtg3bI&h(Y#iPA$D1v-O~PaidOld<3A@mG@?obNfMJVf4EIO8m&}g`VmyyhNgK z>5g1#6e|IU|8`Oz<}!@-bXxTzpA*zJ#Y-5VgQv>t_0sk~U}96NP$P*)z-dS&s%O7t z0^($Uw_t3aA7Bv3>eJL8O^~H*#K&N4+Xh7X!(3ZA+C=^o%gP zXegT!1gjv}q6(V6ANHyzlcKLEv))AKA--lcay#+WGG9aJ`E=gjIJ;GEw?9YhK2|w&hAwyJoSW z3z(gf?U|ic##|0N>i$f;5k+r>W!o%{d3~-lPXespg%S7K;UBnTd?r3`(|)g}PPW>C z-TjhRZld%G`c&%umg&fWiHn#`nxFkXjk){osslM4`R9Q%BO6&QDovZzl;19(H*jkh zKPAuK=x~x~sVpAD#z_5$)MLb{Ly+g;4<5UZKK-PFmbm^qA9%xrzeL|gY4tt8MV-`^ zCi>2Saefgh(ie|#jqY9JeY@U-MC7Fy?9X-kcD7E@;h^;{u~KsNZ%7ExB|d4_n-xct z#-m+Ut{4mz16C5K&=tlm_M~KF{w$U@t~UtIwCF3$)qi5<$ywqOz7p z?e{DAU&A?&4fbV1)DnZ|JpauO9&ghI-^eh>HGgO>PqEgNYGt|N` z^E){fzX=i7wNYnJ`FSi|x$B$*06Kh3ROd>nROwq*0ZB0?&o`rd%W=8E7NnI45dR*D zM~UhcZ@b^kmNWbmWEM!kTI3qV_o5YtXT*Fj{?#*OX|e>E`8oxVyl&CL>a*?}R`+Mw z+lwtI2ww8Y;R1he)9Iesg|=bUuav3>MR`yQ9P@DaRJYY?#*0xmM#r%uXJwiCLUv<_ zKM><2)BOgu0NPd)b*qC3PEp3_SHW>0lr&Cv;T;J$OAXRhRHmS9;<$NGjQ>?T3SHxuqufK61(z)1sCdY$Dn-jCng`rOLP_W%>O)3-T<>ZuHzLpwEHF zVfRIg0?!BtwTt^x6)iGKK(EA-_CdUTq7rz6i}pQ;>e9ayt#8SIwKvQ~id7^P9eg)&m>A?h*9=u2WqSmxr#t3;i=5b_ z3%fjdeEPWV7!%!-w7_mh0&8J{H)%8+y%$m59bnq#+RHuYKjY0}CrmR2_}QPzrH&cs zcpWJ}gZ@}PwLA1qNFW$=qq*YG7AUl5cR-|X>;)t^egn!6J+e>R70SI6(0Z1>Ki#I& zRooOaekREX-K|~edG!p%8%k+*5x0F$fYEeRYWV(}K=3t=ziV4GYmyETE2YTD`_>&A zi)6w%vV6<9wsjAzN(U*%x<2MbdtZE$G?3lv{Q`FU$gZgn%++{p@!gLVn+4YQyYm2J z2p>;9r6U|2f-h_x5^~u+SzP4t!z$QO1`kWdhbR|kXsXta=j8J4#UP33@nALMsSN+x zk(nyvwL1^JN2hc(?~9HTB~kL+iaFjC#=zh8ix^74&-7^Tu0;r?#w0lAxvNyb8p zbqn3D&8>J9ET>YLO*-h^lY3YrCce64anuMb@R9p1VfSOW3@}ZmK=QsFA6Z5?tH4is-jG?PZO@@(fOcituG?#H`sMChE3z9XS*zoXUXJ_{0OSEB zf<&Bai{F-7n|zI)77!B!k-Z13=0vR+4Xj&D{-`mar>VJZ8q=>cHVC)ITK0m|U zSsgyOd#AZ4kT!njph5GFl$f*hal^_IxqQe`T3X~9zSgOND`m}S@tpN-x$9zci+NzQ z=C0xwi(C0c%}WfqgOzNZO&*?S4VrK%dLy_3?k8{EQnQKxF4` zVBWwh@*NT2Y5BaT?renLAd1m7EIdiX(%Kv1_yekg43Z*OI#yms?&Z`QKea>5dUUC? z1nHH%c7YnYPnT5mSSSw7`E8>ls49PVxo8J-nBlEuDo^fOf09^0SJi_ax=2l`vuMxW z(F^xnF~l>;NKi`r?HJZ;m^tuDui^K9)8fYC03FjXEd=Bg-`07XQiU$ZA6vyCS9F(2 zjzBBO@-+hsThcK)dc%0Lg2G=FdKExI$Te%z|CwXa=o|R!&339y;CcreQ>2RD;K?J!BFzJjEiNGbwU5ZQ=BLb8U7r|#rX?859I1UTeb}VS_8a4g0{K!@ zI20OddBI*?B-pPZX7a^1lZzGSM_wi^_vCQd6ChZLQSY9^rv%0E_qLy!sMf!SSp%Jo)Ak#6eE+E)i|LhaEQ_+COwY5|G^n=UR$}V4)d;LJeMQ&e zON_x=bzlx%kX@~qJO`8$N_WZ$(QQoCe&gOmEn>XY?kGv~O@sC!Ca^2zpueo5v zvQtqZO*r=I9V1ysY1!;^Kgf49rv^(;CeRQGL^w1JGcHfLwsY*To=_#=8ILSq4ZmAB z=6^>+N{0y9XY1psO?%WH%I>Efy&fVztdx!@W-&lEqF)8CVs={m{^r(*`Jt-1 z-~*cp{m;_AaEX&LvH}*IzOu{^AM$<5Tq>Q7$w%!^{3*BVx>+0h*<^|c0O@);K?+`D z$-8H&t>VNUii|113&jH90Fs&htZU?3Nt2MejVTcgzEt2C6fne75&$hQ|Ej9RKdt&; zQ~6Uj=KUCO^05vXdp>`Ti=tHV!X_i$FC(s2!5YLfw}V61HSxZ2`h3=a6r~FmR^uMb z%1Mhp;*e5mY&?2pL>HCLmdPL?z`LthKkq-iyr`D}^yvT#+5DduIRN;y?tEYiNEW=S znrrz};Zz-}(x)q|Z7a@)&sGAHYexI?g2ZC62>C~>GZq)|0ppRf4g;e-E{@q*xVU!( zKeo0CLBCs8uc{Ldgh#fhYnJLfl$EC)4@TJfEEsV@vqm^KrqV-vmza06bG#`Y=a9f`mmU>X-yVX-wedbR( zz9coUZG3i4b(&gBrW2ON5yh^OmB=v^^Y+cM3dP_`5vHDVuu4Lx=co4?{!gj}_<%b7 zaz?_H^-J6|AaAVh?m5qi!rvDkueaQ?p|?Eh6fTVFEI)o<3`Wto)H>1(dJ~K7KBFX| zaLOJb`E1ck*NXu%rDI+le=h(O<>L8S(zahl5z?_lpSiEy52I?_@FF@Je;4sk4qM$0 zbQZ7n)3E)w;!9ol*Kc-#i->%Dy&jwtT7kr!pt&p|l*gr8lBLZ%7cMFeSA&Bhb~P=0 z^?G!Hf;^E|CnY(p)yW5)2TzV{0<`?|3S~Gp;##%vOKbiiACHE~&+Hn<4oF?@iBm`l zmtz!luw#O_f(_v*h%ra@O{(pU#xND{dA#lJ=L55W(f|tF>O`t43D%amB0hOKP*o+1 zV`+0kD!~HhU!|R?3&1WJ5Xf%Rt=Sf(UNf2!H1US620z&J$U%il|X_hLPL^_{$xlQ>>q5pFJj24 zf>~BYj<4IHay-B}6oY|MyWJdHm<^N&0|7g*9bdmkyU*?`?9aaxo0qUP0(O|3DwCZ( z%+c?+0qy8;Lk!TpxlGRf`Uw-bB%l>G-aLU^CJ<|KDxN%`cd5ldWlqQ1iHg`jZZ}~> zjgYK0xNBsPr5e~6l=up4MtYsXmnxTOY%P%o%|pVpBLuKgB{JNfZJ{^+u9LG!xPRfX zKDCMz7+~M_wr%DfkSPDUL2AI+rX40~k<{}^WN2@D}UB2^;t{Wp0w^Fm=n5<=|ymd%?cUoU4}MoR{%iH;g9(#sBWCXaCb?hGX!C;lEkVhYS{P zyFLFj9~%p_Gnj}=2*h@Mi~?m;PK_qMxHycxEb`fZ8I*4CwEOIOu;7yPvVhgNOaWW^ zUAOOCTQD}`Y#3!5*@v>8H#&_ZQjBk=1=Ivl&?wVO{{uFf6b4j6>_abQD+-S7GU1>R z>(lL+IkgcWY%ih#{V(pOtAR%ih$x-Dt777xmDe{J`Wsn@P^R{L;_3Vq?bF$ffour) z0_+Q$vpxkmE&JI6f)Vd3bm>aw^PvInDq|or6Lwbu&BsJ}wnp<_+ZABKntq@pLnKdn zS?R=5NPA;x}sqOr?UuVf9ar&&jcjzkGOs>(F|0$lLV z4~wf)V$ z$&;BPLj}g~T7kR960h#pgf`c{GrMGLX@i{A?+TtMFfI@z|~SpqN5mC}M>fe?4_Eb9lIASvoK)P$Z|t z8Oz?D2gu|;h_+Py$k=lsK#oDG`$NIJ6->M7Z#;i zswAy#|Bq$fFbu%mzSQSa>IDyq^Nv=*qx*(v$mV7iiYjUNrCHEi+8btp6KLq8M5(IwX?9qDknb2ctQ#8V96z;Y{MYco`i$i;zPK&@GzkA zl;ms>Jk@)+ss9mhKKKv2E&zCk<@b@u*bK}r&8c)L8w(S@wdgt~+Z6eGtfAltR?>a8G!L-Qf z!JMSFlj9?94Z<@A=A6Darv~c=N5ckN2F@b}8cbE^Xz~pkz85gMr5hAb z=@==@Ku}6Wr33~@H`2K&-AXv5r9^6gbWK{i8I982ARzEu{GaE3-}@V1#;%>$(dTg- zKdu*abdOP=K@HZk*hVosKfs_BJCc8~abW+J+g0|=21&@$Y3V}vbo%2RmqL%X8GLcB zqBCDJznBjf6!>N6OwQWASzuYxeB|TVE|FHM>`^ggXr0;(EGbs#D}qY7NSB{Nv(dhM zRDH;m|L?1XNWBAAL;Szt&uMRO#>o5$-KxoF-+6NV)Er5`v8Ox=>ovdE?$IX<2U@Ls{9OYe_5tTW-l2#0o;j2$I^?U z3d)hrnVw~;SlE7&g3O0hvj%yyR5PhQ-6wYjzu0SA^dVj74jtU<_2&SGnauu~pn-Qo zJ~_PzJ@(7YT|>`wN|KBHdzPN_BTfL!yg3o32vC%g|Lu!h?Jtf4d@25zfPV{BMN<>$ zKh|byEa$K3k&EAT8rF@P%*`7Bj-FD@^pbGZyOT0tdz@j_^?7{x-{*0%gEa7Lx|nKe z$bLqzxCW50)PK?5%3Zy_quW<9Xo=q%Ml^n&lIEMp+8RXs-;)uu{a+_j(?n#D`)%%W zYfjku`NP(me$xva(x<(1|9UU{4&;43PK~yA=cDjP{Q;K;bR-grK#R1N;$E0meAL!8 z9!ujt{A3UK4})5(31uwL={2u=f=R8s=g~vjsA~=3oxN``T(kr9!odBCi(YOZii)6m z&NdlYz}q}I;YDvvb7Qd)0z zhH1xF#KSBFKG7Wj{8C}Pxjwm#!e6p0DcZCq(`REg*aXy%=Hq&-BT#FMxTsb{Vgmb=x_5a$6pcTGqdJkl$Z84wvCz7oGJTmBLthT%*9x5&?b4L;-dXgo;e zHw785$c^iH9QYgs07T8?f1}11ql*CYeroZWQ@!{F;M*^%1RSdHpET_F@n2Jy;bSpY z-;OQ-Z0BBP&D#(ETcD`gk!C*1&eRp$_Fh#1JqHcbmty)lR3f#jUw?7_`^l+(xf*l% z4a4~lA9JA##wh*$pj2JVw^Dpka&=ZhMjg;=Yoy)7&jGw7O#p5hqFxLl8xl zX9Cp1#cLT5(qY*9j0HdrKkPdrMlYHubVl$?iSe)?J2Hz%di-a zzx4uIFo@Ib&vw^-0X;W_UK1M`YTHU@yMyGC8HIAt*P~-`j18;Gx8mpf|Mm2%a~xws z=sU^{>7t$UQr#yPZvkxpi=;Z$&5JAk+beuZN7_miiBnep88_vNt%OR-mp)N?;!@ZY zSi3i0hmD7<{nBVY+MPo1AX4O=y$@CSB8KJf%-qGyuWQ9NO+G&WptWs*CQZb{L~F?t z5T)bNm{f)J=P~+gMHSa_sPp~!^e&*Er?!1}UqBmPupb*z7O zWpHgs$?`qi7y;9}uHW*qT1;*_P3CX68Q#C19mYdQD+Bi07`3(ouFgN3 z4XJ(vaIi)dZ!x6KZ^+e|cBnaa>wjV_KC&hIr zUGDj`1;&|1cuh^sx<8ZZt~cm@Q@2*ybsQ`O!r!}C(-Kj_&%~G5f;P_M^AvLa;fZ_h z{-^FTO(`Q&VADKK6_Wj$!DXik3!a-ope+4)O@zZMnZ!_R5^leMfAk4|DD#^Zn@HVc}{9Sa9vM` zhs0g;UzJX`cP_X83~tw5JLDXFy?laArS)%FT~|CeL#fUHRkWhIasGy7?3!Jg{^oN3 z^V|ld<9-#ubtmkZTTwi@%{?vZoW|TAqx((#d8$j-?WNOCUlS}Zt}%rqT$k)~d+crH zM&HNBn=X&yc23iF^t$U3Y}*vH296Vs9Li0O{)IBD|F0wYA_{|G2UhGg@-yi6apWw(7oOJcxTe#7rq-wsJa7+S-IpH&*EY@m#mX5epbclB)c`>!u?BqCBfbY8$z(vT#jR_5pwyn|JvuLKo`G*bA&;BtXzGV7zK!|68-nWr|HBeXKUwQ-;Q8US^a?& zT{uOnI&r74lmK-*9V@SARozUHXb{sZL#|H4BvCyU<6poy{FI=eio1ILJz3Yq8RY^+ zUWz>IezOA=tBS|V>%QpTn>`w zd|if~@EiUa`8{uwv(;w~EDUy=+y}&#?-B-pTjt8nAGt4ZPdpUBxW z#_`8f4Xjk30#h5tV0|`D5KF-Mte88#9ehg%;Cz!-b9X^faC|?xkR;H<+3OI&f)JHv z+ZOBF!a6JJ91rOB)dk_m=_Y@`K0p71EjE`_i=S~GR=?4aes!9z#Ri5nT{i%d#cg%Q51`MTW6ri&qQx1E zF4(@uB;l@`GhF6yM;8G5wk&`3i}Z-Pqx~#GCE^E}FXdVVtYIZRz~98h-XAr4J@=tZ zbq&@wU})owQ7DV_z|`%+lz_A#Jh~5vbxb)u0k>YRAkqcyO%qB#XGQw|CNtLUVaEQv z#$#KEH%P4#MuQ!a3#cQ0M1`zy-{1L}Vj8d@?VlxDH*3OAbUVTt#Qif5dT@RXf5m

      =Bg|X*p)`-91}D_lxe#j9k9gVHW4T(_F{1 z+$@1px6h|5qFg|DJ@?Nqx(ZGW9_nvHMC&+ctDT-d)u z=oH^F8SbWJBjeDttnh-;F(h-U3sow>X%NChPx?uQ3Hwp2z}zHEWO6;37oE;e|_HVf>B(_#bgP+gqTuiu<~pXK|=w1TvCOJvU(uH zBfE#{Z!s{tgkM#ZA0*0~1!GxsOJVK$Z_XzP`A|lP#4TYiv$BKB!wFAt9f;^L3WQ3D z#UQBT__PB-4N}x>kOsRhysb;dg0oQVdk-muNXRY38j=4z5$RuN@)bXZB`%P*N2qAR zH3vQ7*Zt6iy>6r9GC~<3OU6*1fY`?Odn^^pK{ZwqOy(KXp{$SwoG@%cjK`#8<5E9q zR(Y!JJ;k+l;=Sc6ZezntOiel3zIt2ucEq>JjGJZ=EZ!l)jAvABgfeF69Ky&I{RWr~ zAad--AFErbv#XU zyd4QiymL!RG#94NAM@(OnPrv!kh6s(5ag>X13Ce)^zTe&ik*V)-f$mUrsu3$X9r8b*BInfUsh_3sK|1)n}aFi^+=cd|25P6CZsjCTB{G7D#S zmn_)v%lIry{LG%M$Bd@Iv^R+CHjOf1!L6oFyqF}k2HwaLKbd2z1kWg$G#WI2+vuFB8Q0OUm zp2uH=Ri_8I+b%O;SuHzOXP1$kTtzOFP7!b&`N}w06sY5o(7a9ii6`YvFm-#BjY*ey zJjGKsV|&xBBw4Hed`N~}3-=$QU2<2IMdIZ<|1SV@h&psQOmswPWN7I4^)#|J%qcY- zrpa%aen`*oi0G)WxZbMp2Q7y(WVLnPvK@zgyf9arMAD_ny*SQ;p`LJ6+K!dkFZBJ2 zGBZpEV!E2+gc4tKK56{>jw!yWeD&xK2J&|42hYWaZJasOb3L-f3MOXXda1Ak+PN>0 z9f;pCgi#9)I~XOi;NxM5UhQ#u(<1^Jj{F$qJeAEIZFe;&P?ca4u@k8isr0k%mj8oz zJN$V7Ck}k{J6pkw`B}<%E^K)aSJv-28QYh&HTt>8UNxyKdov9NREcCP@PK8kry5T5 zXhnDC0wt=S5;$dfpB{<+`bWgdg90-2;i`l5B&_&W$}n$pt%5e^Y+~|F^YgQTqKJ2?H+oF> zg0bcF;5FVbT5)}xg)zR-3Y@%kzq0ZUMGv9(u#v+nn||-HA~eH?W499%oeksB zW`&)L@h#II>jO%g-d;0gd$)#u^1KLPWUcjoT{oj{a&T<+jY`}vOc%FDB6_0+ab`;C zi+UM)Si?h2O{r(fsPcy}-FcIC5V3A@mMxM=1D4*i40=pA8^J3?L-X(^F^S@%%xA`L z^B1W3NCUr7vQ^;oS?KzVKaONJ29!F0jZLO%zbdhjZ@i`0ChK&c+eC&vGv<*~J-&|` znhgH@@XI;ly$6Sc$VXP93on!yziNGx-lmzSr_*Db^=5~*Do;~y8jVniVR-2)tk^@t z>VWE=PZh7PUaN2A@7Nb#KHR+b_yt+!qUd{qjBA4Y508sGb48J40ROg1_cXH_8!?)w z6IFz+x$pQstTaS$Dm@4NN?5Rbax!KvCZ`DivRvGFP5KuJ3oN}6Y-329;qh(84Q&J< z4uwEZB~hw2T>iqlB-u~naci%bgb<`$^!Gp*C=T>|Pzak=O!Oykh_>`SQux*(^#q8Q z&1b&otW4uFNEqQ zw~semPu~l>k;dd+P0DN(mJ3U5n*I&{LLD6qe|$*52HmKyZR2RCj@U}uS6fULHuO--G%6O&yCfG(?+Z}t+Uo5@`?S#IK2RT?d#@n zFTUpbtYQOM=fD`b^>4Jxg{&V&FPLndz1QM?5g~=);_ryWve%NC9|&!DRtW=1l8ZPJ z3d)wSf1;-eg~!j{%Oh_;1u{d!;^um9TgliA58GfK`La6{g=Qvtujbq{(@GDeDGnhz zFMg3;ip;J9Ntcf4ThlAi4|Wg1Ojl(51S#ND6d8y_-E1W`uBU<&>;L4*ke3hp~r z&9EGr-V%$Lq${V#w%5ybaen8N86-;TyqSQ@fII;$0tJH_h#guI*?j`?0d`%FPu8O~ zu@wxqTpZLEUAS!AdkShu_jTbiqNq3uI`GTAn()w6#GcE>;FY>KYKtSX<2ubQvu2`2 z-YDo|Lnpy35_++%Ox&(SJ?-t_-FAKGIm#TPiDifF7JK#DGMvv2YbiB|e$Tu+F{-I} zx+o4mybaKOZF_EJB83;cLMvry$-;tvy`proyyG&c%t(I^S{it&jO{X+ou4q_%Zyn+ zRG17k!P-+#n{~>~2{CWuJ?eHG_^J&t9+f#U>Xtmi#wo#eYI9|r-L_o!clPCaSSr<_ z8*g+gH(!qrr2?nfn>!w5D$Kr8=2k?AdY9ds88&l=^%Xqf6S=AmE9kq0H(HH^LNu$w z)!rM}UXh`*NbjCC$p@kWk?caI{f;)ENJ5YtZO7}7YD#Kg{jH3;3B{sK?zmJBJ<4o; z=Au?-K5k}>b?$Hbr+iHxU43t$d_1fDz6u(SA6%kT5z1B&^-B(Hi@B{>?w~S=Z#21Jqh-*Fi z&m6KKn`5=64B&sV%o%2OazNLF`B}!Lw${B0j3sqHIC0VstWbRG40>25!RKo5!H6q0 zjx9JzG#^KOTsqTed{URAbVeMQ?mG0u$AZT;N#Iy72YwGe27ftA#xH&QTnli4CvzB% zzw-Jg&1G}+3W=AwVht!#E|O{xH(qU?BrEKqZTa>>XgWv^gXkE*@$!w*c!)M&OnTN2 z(y#s*4X`d#%(&;|Mjci&sCH~<1)L3^tb_JJ0$hb9{#-Xa&5XG2G7YeCg+EO2Y1#eW zLR0UvY399#R>bGkR$K^7P*bRipjN$@wzW}AR6j`@IOK=Ox1DUZ21uHc#(-ve)vpb7buI;>h4=0H4f{H6f z3Wj?KKXRB*_mmcGs^FdRPp@NWP~wNc1(%6fzsFw`pa~kzJ?yA9Q|)a`CQ3*-)B?)w zyw{Q&c(71WaTAaLh8Gm9+YV|FgI{{YQ+A5JBOd71q#`!e)>j+f?s>m1*eeS??lu%Jv8yLc2*6_fr9ytZSX2h-mc5 zQ}b(WrKO>p){$uuGX#A?&nC}oS_C!RY}cxLm;uaH6eB8~0lm``Pbr zYMar9v~xJlj`xLuPx~9KtIeR9COSfU@*WK`%J#9ZSDwKD1^JWsgiUHi3p2A^^zQbENBsV zW>?s#SA2*PYMbq#(3fCr$d<5=t)S2V8L^6ix=Q2$^(x{1Avm^zga-YSX;{fU(JQ2s zz>4>uKFTOLmRb(T2DZN5b?X$jAE>eHrOJb6l(SlTMS64}Kz+}{EG_x@zDjuv)&2H5 zGF$lMA_{nh6J+E8y0%-4A@L%6{y#hR`JTwY2gBAL=VxY?Va%<~dOg?cgn#8J9_2UR zl)LPRHRVIgB0t@}57Jy9d}P*)L5SX-CvPvzv}mo}a?g@R{==8Ka4ppAVB}Gn#usc^ z>x!b*1AKIZDu4XAyHSV>5V`Ev8l6FMnQSpm+*8w3u~&Gl42mhTd9giEFY@r>LPL?Hq`ZRSp~-o{&UOR`wvX*(H3{jHB!{K2kORltRz*uF zjMXhoWE$|(`Hv^ZTu6FUOh;JGKE}OUuF}xy`ZmO(bhjLa ztKII4#1SC1O^I>z2pDZ!0HOQEYre0~0-;P!eT@tYVISMdOy4WhIZa2+5V%j|nFfGg z&nIep7hg9ULjQ!tV}t>^2mD#gE023VI$X3kY5y*4L&X}d5xS}{57?>i=frWeCrd_n zJ}MGw((LgJ#*H2${Z+9o6IXi$(3?nGj280LJ`{z_7z_Sok9%Z>cPJ>Zw0W0p zR)#Q(0C`Ln(TVlgu%g&$$psR1Q>DsDB|EOVs{29tN7pVoM3Y74Mp?4f6N*3}bX_jT?nq*b$hwOKyag|gD!OlnUi{`EzY!u<`c&tZ zo%|dS7Sf+loShCjs>mure=-m5HSD_m##uJfEF!0Jy9D9N10!uhR>ap_bF_BnZomEq1yg z;j|L_HOphOy6bSguASGX973_#)m--8z)+3eY^}uMH*Zc#-FTo;AZ~df=Ft7b+o}18wU4|xYrlFs)QkebB|26mZ zuG3=KpmV=?ugR_fK}$iv*&RXVc{L@)xRhqUFx2yI-JRFn7vu>vCwf+jG;;Ms4<@}5 z8`Nlo-X@(HlvE_qzIynAy1(2~`vLXNzz>SIwikNaNULkx#Iyo+`&?IzwHpRSSf#3M~Y3*HV3IvBG`MAr2;AZ$jk>g(590{_Gkb>Rh)o_f5BC&PN z*?ZrJ(omLSex-S!n+$&RX~(qBM5TVd94hsnK*+YAM9SR9*?7YbU(KzL4EdS;ak{aa z#ak%+n2oH*wJn#(cB@=2BE=g}-Pcl0ctKTCvzA+LQdfGHpRCHV=3lqAj2D{C9@`rw zb$GVlJJ6&^xn$y9W|(a_yJo~6fd4YtHj2WM|ayMd>F8|&i1zHutf?jcyfnndkJUzE|1z$3WE~&CD z5dBqVC*0C7AP~d;ju3Oik8jN~E z#UR(iiZaUhb==<-w1%Rx@yX(POMVmD%X$DNkU!GLmcD_1m zEly@8h`swe$dZhXB~0GVe-%fSTSciPJys`Ga;e`fv6Mm8pDr_WX*u-gM^LfC)u9=k zjkbBYz}r-fsn3mvIc3)S)A&$)is@3)D*oHdBA@ha)@Q6lJE2!VpGvn4G6!JyG{XuQ zRCxJ{6!X9$5i`4jKVu0Dehd71j!gF~wMlGu&PlEQrH6GD;X4;65AxS6-_llk4XvY9 ziS@Jp@@8UWVunsc>~ZVLL)wn7d?#+iDx~NkcH1#A@$<=W-vx%$Ge>ic2Mn2+DOSv`ZBRat|sh z-r2zaNYmmfWFT`geyX=g;}l-XPHUgs{T9-~21&&W9T1%B^yhk!$}}H#`38Bp-`tob ze%7nd%yu7y7G7Z4f*xWtb6Ih=y?97yRqbd|_!Dp`GpI6nX#Y#dTJt~R*e@S!_U^$e zVGX+QWzrrA3@^3-K7N`EWy_%Igzg|X>A>mG_jp?fF}T6?G5&BYXxIbbaE(%uoaG#c+RxVTW5Zf}o~!WG5~fwS)RNS-$h=L3Pf$+J-J{>J-tnfwX&R@ znajV%O+u)89GG_DwSpN$AgB+CD$_e8u_(pBMuTO``b~a1R3sd)5r2n!48kCjQdgKmk5vn zs{@(O;e@<7FLSaq7j}o3lNo0;c22zOffgGmFyxAtv4K4ZL5Oq+_auAwM&Rr`C}R@7JO=c2!Bdk6fvdOj-DftTA8;@Q&@9LNM5Sg1?+G z&&4&Taq(hV3&zQ6kP4HbJ{D{e)iclwue6Y1&i2@cqtQ^=_DjMwph9HgW_yD_+c!$Av zb`;M|PAsn&A!PukA|N7<3t)Q=p=RLxV`R_qMvaQko2(=Vf-rh{c4p>W`3=H|fkelll4UEDL%8TgPp;GL^%vc()*Sjyn42T=V1THxT z6`41z-wT!4p2H*7K_l4GhkVQkM|+Vj#W4#n;=}fPw=ESn9Tt0`x~tp=!PuRn%Q}&R zu({b@d^S<1WWTIAujUfSZv!lLGMH6E3ZD0ltgcDXj4Y2LLKbmURy+i`jQP-AlnR4p z)YW@}Fmn!v!JtyOx=@DBlqNYK9}r;O-CNp5AvXlH@9L1pf?v zJl!F@TET=_Jg@(xf#OrabuF5^h}|zYF3%8iwZuETSa}3ifDATv%Sz zuD6MFKO$z6Zr^)5Oz9b z>PU!CK%Iq5R8~)UB7a4GxYQe1=Y5!gX-F+4=4T~C?+t2=BUjgG<(n72Sd&!t@>mR( zt+Eo$fg87J5YGJvPpg!0sM4QUFrGmJH_il+A69?pVv?kD{#j5d@p9cAOp0Q}hI@>_ z$Rt*oL5*ygz%Hqq;4IG`v5p(N>xVpc-eN(^^o0ciU_Vn}pOQ{TU6cz(PxJLM`A&() ztTo*+)#~v-=&R@>=q3he>^Kz5bGcD$oc8X3ZFl=6IV#4AR?!j$dz75q%urF%geW-` zp7hx;nA2z4Vi>q<^Jx4>gf(#zq4!23p4?|Vi%P09(#5r;PciP3+}os@poMg)7Aikp zF4OWN#bnQgt;LdC4(|>-9?!iqi4x^JM$dvuyWbo6jd`%T9%MGcx{IPpXN#6B0_)|Z zumO@-@qzA3%7YN=3T-%d@(o^uPmy>W2o7ADbJ^TCX$cl8Uf9%&6y@OKB}bb*07VET zDuYGM&rk_&fh+QHg};miSa9PI^aPGrPevmfL%_un0owd%4j{&r$SLT8sL9eB&E5#j z`roy5aTSDRK%yBG1ZB%1rq3;R;A*Rb4>n~6A6S=3)tpDAivN;ma!S{=o*yi=7W@Hp z7`O-LLvkl&hPcOi-0VofBxkv59q$np?sk%>wYAo?&kCjpS;X?v#1x^!sc`u}scjuErR3xz(`wL*m2r4|4XH1}Nx_-vhd33f!&S&o#k;#G3(zRQ0EO z(Pr*q!KhMMiBD=6M(otKM6-X5V|voCT_0HH&t-x%Bj%l0w`NtKyXgyR+C8UUsS42K zvN^wSt52UMvy(&>4`q+R@4_5Tph;`1s~W@EV+kKvCOiR(Qqx}#S?`++v;C66DB%ab zcU;I{lrwYTjdCfMscb>ij*2nb>=w>F20diuWp<+%nIZ>VeqKgDp3J3{ti~LJ54Z=q z!@6V$&DfXf)Jyu0CN5-dDi|_5GDOO0GQIs0r0@z;i3~~eXtF~=jRUVMH=PJaFPb?BeNJ3rp zED2Q6`*5T=5DdxvRiD9FO-P{OWH)_CfvxbwgQz1V66I2)n0;ywoM`<@+4aCy_w4#6hkwEqO={@2pAWODK*)q1GV}5@gwgj zuYH3uI*5{m;uild=AGA%XXqrO>S{XWt=?Hl?4%wkdRt;YoDq(AU7qsE&_KTTpb^C< zy%%!k`S(lCA2T4+(S|tA7Apr)#&PD>+6*1NcU<@6N5eL)H$Ck;!4EB%UGp8hRalUP zsp?4q_Vv9qnvv6F0}h)hHwV2^&qHTLv9p#3iIpa?fxYFY9l?xy z&s83+vUYo&OAvTR#Zgq94*IemEK3fM)pxj!aR|P9KU->9VW%Xu>qb#Xei-~fBbx68 z7d5seQl=S7{b6g-j?mME9DHa>BmNv8rP9tS2pNDqf~~fF*Nw+}gR3zlsPPBQvb+cp zRD={3`K^W0P}F{zCR#%*?75?-GPJ>tWFY`F{hmf;jZXr}5`i=^B?XNb5RcF^#|Yx1 zUuewh@{WTiOke8@vV9)26P+>P{P%6{E9zB}ih3tQt^N<|%x&0q`*#C%Az=nXKC(mW z!9jn~*RJ4tGa2G?7^+4qvZ}O1FUsqTs@nCO?zTp$Cj6Bh%~2H$?q?rKIJsOiXD=*& zZI97dmO`PgBK>zOOJAWRlGl(swS8udCzsxrpaHqH2UQey1ukyPT#Uqpbat(7jmaKa z-$V|)Ym&`+4BcvxC+3vNd431BbACV8^=rH~cDHTIHv*-#l83t{RRc>N8)_0) zgG?6SF}-`bJ7eEnSMsRYHScZY_ z8fZi}WtZ4zUXF}$O?E^xm+4c`)8|xduSz@2;}}&Y9T-|dguuGcLr6VF7Z=ySiCUM2 zGkzeg2RE=8fzvZDc`If#b1`?uV1{!Ef5IG~9$#9uC(1W$D!9^IwmN)pw9$TDwiV7R z0vz8!G1|9%$}QoRHgsbE*M$%{rc!PD4!KpIEf$0m$;junpy(>SfcQ=fl#)OTu@!bh z>%>sD8IT}=@-pvdFeyd7Stc6d80&DsN$@eR626}xmnaWqsx8*$lsAL7D0*njJzx4E z17LPU88vxuUZM@ZiG%mdpFW%V1qrvKtNXLc(Lxb9KHGReE*B!gO1aFK;*Xas?^qCh z5YQuSr)bB-gOF(;+iG`USZ!k>${5j#7BC!$$gjNr^Sjxy@m{zNdGM+U9r3h86NYc* zl8Nzn$ko~mc|af|pLp~J`7h)qW1?5u7q!pqY5`^ybt#o_p9-&zbHfO)(6!g;o+0*Z zEqYZYkzQT@sBgzlaFw%ih?`GtvhS3P?K96AFC=-C`>^OTuewF{k^dCC=T1Z2+$H;3 z`gw>|Y^ne?l%Tw1sc;^jen4+R363?T(ZHz_;M+`vElDy+5fC*{xzl6J0~`f0kvm!n z$7=AaCeTpWX)M7ygHg35rIvyC2X)Z6OdSF>>-TOtnZc;z0=yi3jK1vLgl{OGHU z2Kk-zynLUf8tD2}ixu)*1h2Qj*q(a8E?@I{fYrXv@r+<7De`b)(!GU+Vn(M*sfXV# zLuTB|Vl_FAHQ5CI`JrCnNIF?Jk5>Oxlf{2^UYAMzol$s>DtPDi)N>jE{GAZbywsaP zLia!$4J0P4y{$cUhH+5MP99$acE>S!duR{I7>t=2B-)X* zy)BlQ&EB8GfY|Ktd{17kH!+p|)xSTqRmn=p$LDzDkxI2LnN$4Ap|c(>5x%kF92|CO zrE*U5!dg`c$Hov}K3%VQyWEvybM2YzMOFzYmWK3>uHy;PxnMZ`c(hH{(ki97{_SgH zzqu`~IjNbwjk|GVY7vzo-H|y>rIUu51{+OZ9MK-UY_F0mlQ`}5lm2lLLongRro0-M z=!tlq8?j`jpbj?PF9e^!brCmrx2NjmYVJR-hT$JelDE-!@JP^<*HAW_UQFV*7=YKk zwbwnn(+GP^*icu}SpUI(1R*Tg9o40K##%75^rPakK(-E2@@JctU%lr$=4t6~B1L5* zlHc-~b;-b7b?j%C_Iqx2i+Lr|FzUS9W!Y_?60CVtQu;X)f-O@KlL|p>9Q{@7}soWcc$kT#)Xg2_E@B zo0A7+T4N5W$FHiT?M8oel0|FZi)T1izQvfREOqk#Tbv`KUcR(b(A06$zwRc%iMg9? zyEW9Y%gMM(XQ>WwEn-_(T2yq0anPRjL-Lys9$gXLK)}Z2@9K~ zV%33XD;#JH@Z5dW{-v10<|H8Fi7PZ(omPN2u5A|1BcU40HSQCEU2=h>4}|j9(fgVwqMl?@-cM7UeO&jf3(zDjeHkL zcq92{)(asFZ#tPVAAKodJkm|@8_#Pde)z9XYJpgam$1JSzXh$hi>Bt)nf8Jb=!__A zM^|+5z133L9dC5?)3KK>W^0ax?w^Opyi4HyrDjBeXw4lim5E7NJloGJZai}5+ljYo z{b!~(rgYtq?HDN&?WqT32M?Hh4qd0Hf z<&j?2giZ67dO}wpk_Z69u@?$zuWPU=PR%5rv7b{$kNyApC!8g#aGF)fYjH{&CPJqG z3}nB!2&94ORdg12*_g9Y`7eUtupO`tjb42o_w1nRK5d@jGQ~$%{PCn+`;#QEHs3Bg z^aa){{=z9;^usP?a=CWywU_sO@B+GEgA~dbzX^cv#Q5~-N`PKokxF_*xSbU)ibMwC zm|eK3M#|ma5N50}NgY>_b)vXJs^W(#b8R=wPtr#`vw0gQ$9E(7=ZD&awS>_3P~ziH zp)niP&;ClDw9zPY?zmUgCFuTivl*y0#u#`%Z@e4Ly;N;E#ZS)K-fr>pD-1cr*bb}i zFtU9pAe$coRzC^eYv27#x!cxi=E3tMsHuXc*&>z$i_@JA-RAlk+#4G7#iLq`%F&tc z#w1M@ey*_`rIJm2Bx5AC3|C%H`~k(M>%6YO4&;@ZCYtq7g%>^zI9RtWbYIz8$?f*x zOgZ*kvHQ0^EO?N7(!Ms@V3us$amIf_8VxOEvbgo|R?C@ks%*NJC(5 z!pMEMGRXZ##MF7}rwr+I^au`a3+UPS+wS|2XCTj;(nt}~%_olrI{-ra=JSP43IVrB zei^xe4@=09b_;T*b`CQE2*?ca=I-ss#GnrM>h43+`zTvKlSxsaU#ksI5zS^dgB`_v zmow;L#ilX5$GWxPLv2asZKpow5UQ#hAA8h)J10eQ~1fbjL9^i)@0`2j@8J?p~b`Z z<`zm;Z`eD=I&zvttj~eoQNcT*%OBRG#_n01}JDrvbj$L8f2kSiz27) zYAmNZ{GzLY{rS~Y6|H<+S4`$;j-(eAUxPaE*~R?`0=*OH%g*xt>NnrBXDp;k&Sl?u zS!m%sL2jmtlJp~YTzQ?#DDStL8ItIPILo}kv0bcsWG`1Z4Ukplib$kfLX9!c-3R^N zXXdaX7`u%u(b{S3Lew_eEv^PX;L_2IJOG9A-eb%08_P#L)=vw0^kb8wP6=D}W%doA^&CZX-3mAjUc>PbaFAZxiH zpq33A%STtBW>>Mi4jDwls%OBJi9jSTE_jc?6Qz{a7F|WCS|UMmJ0u_XRECzw^#jFQ z2#N-lAr`j2PU@bJ1Rl%Qs*Se7tE&r~zvQA|?as~!T6?7=x-Lg9)Q)d%89}IK7K8j; z$M_Y>#YAbo2y`JovOg3b2T`+|o0_9FH$^}0>(zbK#OSp=3xAGe!$*;86<3MsOQJp8 z-!sSw7M<^#McNLK$++YWN?WiZ;PNIB{P0c;_zHDP-ofNkBt6`avvg0Bh^^!Czvryc z*7+f}sY10_CQDXmL;z}9hE#K)uFraP!%alaVPj{)y>g!YzghE7F85GakB+&A$(A0b zvoHJDp49v7oeZS9$Nde3nGKWeLKe%}?5ngtB=5XZTu2`Gs>HCY{`t`D+Z#E?B_vY# zL|613ec8uxcg8D#8HtRrhBl;YFDvBGR1l?BS%|7s&b*6% zRY(wv=YKfJ_yf&tk%qfpNPk$?)S_!DD>lZq3VPQg37Wn0z|TZeOuAx#vz(}>`VyRp zO73?65X}i+AB2Q`0^Cq>NA4KB-}M8ScK;itWAN{vJm67WnFb-UFj50#@eS6%WR3eA z$2M<+COAy8W|Gg4-A=6`z!n(dCdGs&et3PLAk=0!5oms-`hIqd-}Tf*=%9PQ=q*J) zdQTFFuRLq}6Sbj|*yp*QXRJi2XI3eqTV*Lt4hW2P9y>bS2w^^)pL4$zma;sIRJoro*O^E#zO% zttM5G4q}zMsfzjb-e{wFCAPsI5n?;f3cx!zkb5*T$G{pwsPc7y;{}&#o#lo2j<$oqkb--ePs_?4Ht%6*pIBrXTgq zM;`o7FF=yr?`79ip{P9c<7n%8N{jA%v|k}Q;e*lUokS{aB41fkqE9jo%AKsoCKxF} zPVlm*-)1Kd1j;vJ89fDle4O%$AN0abGiZ>TsRQZV;(XU`q}IJiEP4pwyKi)Jz5HOL zg1ROcMI7khOH9D*<0rSgod}}yH``Cm&AJ8u4|{L@7G?YW{SMtN14s%AC|yIBw3Ld{ zF?0}$L~_vicU{XGA`KKA~}!^82qu5+F1taYyS zdSfKgqw|U#;V3T*D?0yfvyRaK^&xaBhvYfUee$)4?T?}&mEk(YGzVG$cvTW_zppd2 zHfr2r1(Wi0j5ByEQ=X!uRvlz5d@~UnK`8OH$|c7B9>TYWObMvf1?6%tOBYiQslR2* z^?NhHI2AsRiPm~W^@1F6mk?7b-qU*K@al`0y`>f?0G_kLY|yXlmXLSB|J_@2;qJbT zzs`9(>n~z0U|J2%Ls=ve8&RFb{wJb=iJn!7GsaXTP_cu;7uO4<276H*@nBwEMwo7N z8bh#Fk^%=zU~dXmmxbvExmZvULd86&$nrGn#vTxMe>!vkGtuc{`lQ3%uykDkecBlG z%q8Fu=03r~`>w(=Xnds7N{d+!6Y4++*UB{w6RmbRH)|uy1=gmffR95~aCLs?m=R%Q zn?P862>K3InCJizo|00BPf8u3V93KouHwe7U)S5bwUx!fb$b`%A!{(;dwB=S6mm3KPB6?Vt=qY6f3L(fPSd z#U>JBcw~-ybCFVSLe5vA!SPD7dPn-YV=41x*{7htuDO&W7g8RN| zbp7YZh4&PsKRtmPZd9`araQFZ#*YO>Meytum~S}p9_3@9A>e|1M$NI>azIGQHB~E3 z&80w!F!|&Dy$68;3`*79HZg=QS2Ju``|{$y$HS%SwgvmWW94$Oln~sj<-%4J zjSOXY7(jZH$Duo99xH0KVNE{nG0h`D%d6ae6_|Zby#O4~7#%OA=x0tG27@cuG3}9Z zq=C}tmYK16mH6_c(ATFv_=J(WaQbPjH6gGam`#*dAH0hwWynl0!j5fTzEF=ju59R* zoo}|oIQCDff0^Mx3v*4svtGD8-fOjPM~#xdZBwD@v7zJ#5-avThDMTRYDaJ~!_v~K z+=~%<-E-(Z)-xNvQE1weT}%exB@F8;_E#&mCz7EvIHWzcb3UV zsm?80;UC;KRzc5(KgAjON5$FT#Ex%M-jFE-g1&$yn1|`W_w3a{b~3q8IA=mlr$QIW z#RqN~kt@cJsz4QW^3pOA@?E?g?QKVDlQsP9N}kT!N7!T|%%@USZD6kUp$?bS>$V*) z`ojJBnoJ#$jIgw^p8eR*8%(<_$3jAJ_eDhR&*P7CXk}VZQY$bwaO6FdPnM_HY`vo0 z!Y9N?f>S$xg`A+uyj9-8DcvpDh)U)=Bu)Z_WnP;R!X7~@+JMzh*T08_fzQS5JrS9Q zYV#Gd5jPpLx>r5o1W35%E4^Y9$g&`re9Y)EZ~90O+_7yes%09^$J4=<7-S7dhZYgV z6VeHMkv?d={)9EeG0@G-{3eVD6Rm~CqvmcmKI-RbL`5)le3DyrbqTzIJ zl)c}|!M=>t5By@ND|v7%EaZ$3L{R2Y&G(3)zYH(|64Ra(V=6KFyQ$D{K1S1~@FM?0 zkmn6xBwnYz%2n}r(8l4+PC)aVjk2bY5L74lMJB5W0UD3<4i*c1I6w`0nf?WC*ukA< z94&}MP@ei^AwFDng#=4xV}j=oQCNf`h8rtvvLGz1q!p_3*E{^o!*hVTN|)IY)3@20 zE;ae#Wl|qu?0UR#LQ4QsqCb)EYfX$6vGjaAzTN+Q5%wv@=_dn!dA(}C1p*2mu!&c8 zp3a_q33{g#Pg$9F)tcpc(~y6zXBM&DWyYa;%0-?OmiHPkxt9c1fLhU#5OvfBdBp3k z@&N!QBef9kz?&~OO|c{DBgpg(2%y7bb5@s|nhdpURbSo0{ZLh7*{+I*lWlPjf5i~%5g zy7$18s%#CGDEj3u@ddiN)`yu#G|r)i``pR(X&d4`MgcA4U|ee`P?`D}f>( z-2z4EdL8_I9vLjO&}en6Jo>4j18l)LH6EG!{a1@Gs?=N`3_nJU%v&;(h54GL1RnPO zct%F}N~!O&^RsR{^JXBo%S8HV8i}$c3^*bkIT+^?+^}?ch7JVY*)LfPbH!uzS!8U)q5*ng*Pp6y5FS(084>l&F~M zc^|(S(?V`dH)S#)vuL2FbUw@g?_-nLz|)d-V%MaPJx3E&`iEeaFh8OA$ub(lU?F-jBUNV zR2C-W^?+u;n@EG`P+};p!y+d%EZE`Vk{U^rwi*{bwe(B=&mF&c;Ho3x!KF`jkrqaC zexQ%zVhn>dj~2$Y5{3bW&&MQ5?)PS#(1`h}wAbe!;)mgnG2r1v7It}rVE6kwuXDE? zp+v4rI6k3x7|wwj6cinV_w1;)2y8H_!Wjd8FXzn(nQrMzOM%jJEoFETan=n@R<<}h zr_Dyj#E)Aftp(jN8kuc(w7H9M&P{rM;3 z&23ADCL_5vc zFSylM>Tm-pb_p-%`=@KcV3=Td@AcZXxknGi+@jLs2BQLe3q&#M-y9|LDlfv%Z-L3=gS z@WgCAvEdjx3c=Q=0+R{JuXfZCy!Q;IU0#2Ak(%e}Dz_@;n7RL=A?dy@>P;pUQnd}! z9WB7FOuVY^T+e&-Ewh=#X+FtHv%q=h(;{~k0d}U`}*hEc=Kh=>wS*6N3}bm;af<4XlUXOhxA zm$j$t-f}&qeRn*3YbZ}s%$u`?0waA#HziNUjf65U>sZj9X3KLQ^g4W+{~YBpnT#sS z`|fQuHukfYV~^OCnaq0r*W7XF4mX`Dz!h6MUj=RJTItXkTw+9A9!hTOtmlM)%cNl9dlD5YJt(mw1?mXpI!R@Gl+-(1%wcM(S-= zzjJ#&j(tNnUL0sVAk?GF+SR7l)N^_RFd4K8BtVlW)h~P-uzOe6!CT7(R_R~y?>X^Q zraM#S0j*24)4l>cL(N(qV+&KVJq>)j3%e{i@>9nn6%&hP?G^DCW*`G-g6m`POWa`U z0d4tI??ZbNaZ!Ms#0v3+xG}eZY~(Exg#pafqlZk_Ke;3BVTGxGp28{?@+Z>~i9+{f zE}V~EkU-xgUqr0UrQotJ?ok}qkCa^w7RS0i{y|8$xD%!>&HMJ~^LEO`K7c<1$a13% z(jn48pF4}=K#0E`)3*HacX6%(wfkmxtL*MDBvP_n0*TFCO1pgxS?Ehcez-@p)dR;%wkwIyAXZ7F0YSy zYu+T<)F(lto0)+?e>oACf>{jrfpsVNR{g6m!TV5?5FBZ0I@{tj=+&G_X z?e@Gel_)iYW8U~U%##Tc3K`8&*P}v{$a}d-B|{E9Z7gMjjDm8m)=rCsleYs>*f|ne zIvm<4RO!au_*wjnBX-y!FwBlR+hjz)IN|Rn#{wWq>b4{Is%B3vCfL-jaisUXACxDw zX%d&;5-;E%4-%0Co6|UH0gF>P5N{N8jrtJUHNx?fa+22H>pfpJwT;gkBE$`Vn)2b{ zf99tAc7%;AQqkL0l?#dMnoxI`05YxGUHk(hd^laL_gsO-wO{r{ zsyk4AVuZng)jBzpQK+6r;yd4szZAGDv}<|{SD;8Uhjh$5{5DUph5y`??_mK*0`We! zL(L|(VK1$c)p^aFyKpmRT}~qxm6`kOn0GaJe^n{5k_m%I6TND>e(34#z2b4c@BpdbM<<^D!Nh##vI-~0;SnQT{#XW?VZ1Yq>l`{-#oPn(-(IzCei1|O;U!N@RrqRM zX}XOq-yE+!Zg0wqhw*~dFR{w&Gpl1c9B=;VhVp8NI>-o4vL*70c&Fkx(SP~5-h~R4 z?mea)Voq)~0?vCKJYY@wWb1+oEnfx{m&N!UR#F)B@jb(bV5!ETe_Z9E(MH z%$-wShwkEymzDdTct?cdGC6Z~IM7A&KpxNga3bDZSsB97K@Z8psrNHB_L{d}!`YOo z`p|US7iTI{@;r0G?K^AAs2i~|SDS|i;;psm?DMET>|~!hn!lB#f+uY!Lf^JBQk!K8 z1DW-m#p-%$CEL=FSCv>zXm-QJ4b6F}dhd_ZV?%kXWEeon!>q$bNR4Ab_>rUll_Wq( zeBxTm2%<>b6FYH8qZawj%k#x}*x`g@oE~x~^RZ1;)-m>zY}FJQQggA|R>3Te*J)gu z^+AX5c$rfICfX2E#1$uQr2HdTjQ3)O4F)M9$6E-2E~ICUo5T7jPPwmHzny&yy!jZI z9B6$0Dya#RXq2Uf?)KZ&q+gqNme{uRfkQ4VrX09uCI3`K`|Wj2}B7w`$>isCGCt#4ca!^Vsq z05c5`qOV&r0%_e8OO)`1U8SBI?B6XP;Mo*3Ow;0F8>fvPt-eSySA_TTn^nq&%AV$a zL)@=N?+%iXn#WlbY!2Rx{=|lvjOhN%LXXK$h{X;coE$%ud#KN6zJ z*Kc6<-v{kvY7IX?8nDSPI-4LPxOWbHkLocDF?NuAb6x?%Q=rcQY*Wo2X;_w2hz?>= z+4t(7ShIfQVcDTdP9?_)#!ap8oIk+)>!=7Kh)rqKEFN@lgXiOE&e534Lr<_2J-|Db zw03B<%DdLt_^FX012r6J3g@@`{58s^3cj(&-OyJ<`|jAXoS&*RFAF_L zo9Xvv5GN2nA?-+7g}eKk@z{JYi)W=Xw(~a_M+2D~5QH&y1H#?ZK1wz7{_W{7XaHx* zspSJ~?ifZZ^=maT2~7w!*qjZw1Kvw1_kG?yqnuoVChE$jvHocG%}Mk^@nG!CMfGCE zncdc3LKa-y3J>nY1u>-?fX!}-Be5Y?tB+*HJy$6}r)tMhTfs>|B;pE2%O zegQ3uUGmL&_XA@Eht?TZdqE?k-FU%H*FSxn{YI>>Jg`=uOYMPSF#I`Bp3T%@Evfrw zZs5{8-y=N4`@RwB`l>H1cr1iSxn8tERK|jx;E!*AH*(g{q@>`uq5E6KzLqlp{07&? zI^zQr8?9P}hQ#~xT&Ofa^myGt#Eiw5Jvl+KuteU+{)adqoT;eSSc+Wi@VH36G$9J9 zzM1Nbb}oF~t{+lXap)% zW5$IK&N4Lu&QHwhAEm%GwP*0H`a=n*6~r-1*Niber&PHIfqY=}5h#>u& z-JCKj8@-1Ce406UQLLG=hpwM~O2{jU<#iNCY%xKGs##N%BUp>s_wabG@_mijyzDBP z>zA3g8jkGy_O5jgXRj*Uq|F{5Z#MF5#@sH|E_NAeZo37DdxoplOWefIL- zT$u!~+Pdp2hou>h27n%ptCqOQN(X4}e^46ddx#UqJC$~>JDW!(XE{;pQcWGs=4Ck6 z$uUn)P+3i+=|C)T8*4){Ok*J?0CwwYAaSiQkpV54C}kc!n&eOtr?!sDxuTnzY%e8t zLj#F>WIx)+)U0rCOv!ZT`OkT;kpNw?zk>(*UG!YS{7JS9P=P-jlm!Pxz}{TTIKd|^ zWt~>6k1>Bx!y8CWYbGu<%yV1A{cty55jR;pSr<4i_7?3yP8p62m&FQm@?&{Wb|3b{ zrsE`{6Z?_4W>-YNO)Uw@1MLE4{y<|C=9%jXe7&3RCH7vqv z_N`(jsMp@3=9j}M7x=1(Ld}A^PiNpTGJ}Q!jaBk)m(R|*gC(jhC&cCKL1%xX5h@;8~XJ_u@eUaz5jaE6bgiKV!KOy%ZG!Zx_(r7+s zkB&+h7{B3bMS)r&yO4gQ>Xi^ldgf{Hp-}5YFTmGa!)!HI``aHwf{2&n&F_5ogF0VN zd&lkV)JRPoNy_l_QJxH^kZFDYov<68)}%`_Dgm?Qx&r?|!Df9mp1inIpfY*|mW;}X ziR}e~e|hB$cji!$rg>_YR~TSWxULOwx2UTJ4GIP%pby9p9io#~MZ~6at^MvnC9_`) zh8(tPMgPVmz<@YsTxj|?x1l~sev-wwY89NOq@P*7R4sBK6I>|XFj1iKan1!%Q-f(Q zf}BlbRq~K;&1}!|WPb&XTr?!IpuVn&X+ zj)5u%*20v+OiLGrqXJT^qGQ$DE#Tv*3;WrVl~!y-S+DQj%8^*b$dr<0`a{z)Q5qal zY~+pPVK(;HSu~thoR}6ge}jg7HO*7kimm-%Mi$o}1Nj6I?s=Ys zk1R5;RZ*-Q{e4HS#+VF7)P|)w#yrk{F&EGe@Ov9;zdCb-O6@y}etll|;@_Xnd8yKJ zLH_pChry9P;yNJ6B0b)nE8LESim_Od$~!@$sLp+B`=Tl`J_wH*%5@zj-_WoDDZYtB z1|h#bR+%&QGo&DBqb(?(23_4t16&drIM zz?KxV|E}9cGt|6?rWuIx%kEECT5~Lp&V^{PV{@7^42>1q?W;=Fh${|eea#F{3G7p~XP?rwO{6l=u0EiHuxbK4IQeW8e3 zk8AyvQ;up*N<&?-3_opOX+2}l()VJC3V=@3vVT3Fr5t7Gc%o@Ock%{|7I>mV&&pm& z!7**tdy<}AshjaM0j;W~1O49Xo`-Kp?($~VlRWr1xyGQ}3TDE#rBe6@(SuT1kV zQ`OI&7vK=PtSIAixqA%F>#hN?S`JzmRIA)X16J@PO;scZy&+3(L@r_48JdaZqmXQR ztSbL{{W-GnA-Kaf`|0N+icF&kQ+XI~YR%dlqs;3}atpU2kI^U(`(|SwB?xY);`Dyo zus4X`h}^YsJDeiNBiIjWu09tpl;jlRT}K?#5OLHz2h`bD6dda**+HdkULO;e{+kN` zxRjT=h0-mk5BfBpLg7|hfb2rveD^;Y`DZ=(wY%R zQ4aqsv|<<)*^1tD2lhr2tWFfISObN`h*PU)i9f5_C za`nv*(8bEXW^~x1j-I&ys%-M#O;LrBQd6vs_Epo8c&HiqvJ=b^K91;Fmcg$2j~bj19eWU+ z%ZfWBu!%}AixA*`7A-H+Rc7i~{V_qiR4xdAn5USM=~15!4CHQhJ;DMLT#S=4qGbL(0qZL+ej=FwfTc_cGD)P(5szn0 zi3iHS{s9!8Cj!$@fVp5bA!`Q|kZP=(R|FSOyCs&&Qv(JnieyadIqjRskS9sg>(3rE zFH!5E2Ag(mI&B1m;0tty>BjYNgKKQafaH9P2Jm|nxeGBGOI^RaL&{5~J@6D^Vwp{# zidyIzTnK?}9OpRg7xD(;2>h^D5>8M8T?{|idB_>Ma|jI}Dy7nYkR}fz$s^N_vVA^s zdbPAIQ}DsFJv#~N68VciJkm0T2CLx+2qh$?)m+IV-kHsvpW%6RE2C#YX2_4_lY)RV z3CGYwwC6%fP^S@|u4uCSyQ?o!_ZnaKJxYlAci#>vpy*t7+PAI%HN}NpMLS^gueA^scBLi3X`H3 zj2S>DjY;l3ofQ2+kQQ|pMHja*v8~bCBLsHj>bb~ye|1XSq|;|;3#;yn2;t>&t=LwQ z-ht83?62q-k9j))4vk{02rEt%M!$F@11s$4#dqu7v~>}@6ni7I>mf(0X9KsArq)mN zp*nrX5*hmOJuep&knP&p@15wXP_O1@Auh#g0BuorDVm~K!Pc}SG+ zO1lk!=gc(459{7Mv#P9Jn3cA4xE+y2(y?Md)+f`WsLK6isJDg=h78#)9y|>+9v+xz z=XC=41iD%gz`2o>&Q??a#x#VC68R>R5V+=dG*bW@dxeVNR}F4qn}0#vF~wQbD^WaiV9UpK)i6pWUJtJ8TJM) zX_5vOf^e6`0x%@1UoG}L5vl1;ASJ8{CG_1aC898w?T*uB!t8A1E$v1h7jha`lF6(M z3(e-uhi>LMV&ou+qT0JEqO|Ql_xjefarE!`a_sbyGX(tJz={)n5owS5 zV(f%v7hg=S#j8B0he2A+0cLk;YcS?`a;F-yO5Z|qx_Dnydj?4~|1Dn%ZCt~vK+`=N zW%)AW6U9Pm>!S_h-W#Gdc-t}El6kT{+79m`+7o}AxLJ)RBslYSFyX%DHe)&^Kw;W~4!2>Uz} zm|Q#*rwd2>hJR|SMX*X7*yd^lD?nJakQg#N*kQVAEbPq+WX@Qx)aO~ppsHpsdu7<2 z_*l{mqk5p^(_%dq zfETv$>fsktIn(YXenyYzQ}iq%Ii0nf8LG?UPMB;Ca5hHX+;Tr6XPKz&NZXvgW zCQdhMEY-hiHep{pCu!=&S3fNA1=9KwwDiM#diSe8sLgH2uQMwoMu+5_a=ibX-<@es z5q~}!8dc<5EG^c@ytHG_62e_5EPT%RXB~$?;81|68GInk8#{8=!h{{v8(X(WZOmp- zDKsndgP(u4xn3>zWD!WpnFL54qc;2myaT2YaHVt0*a!3rmn)Q^ymp9i%!Y-cDt7a}rxBq!I9uZ0JYgj9O zy=xlltGC@Xsqbn3*10@~W65ZdT*}-Ua*E{=i+%$K!kba)6&fQ-n)oHxgRBMF2qtFX|Vs>(5 zJmRgy;Qej22+0aceoPq$yJa!`G=t+)KY|pVB*b`667VBf)j+K`EmE}i4S$~r`g$Sb z9O+w(#d%*^a0v_|u4@!6;jushnQw{FX5dfi076v!(b^J1RRFno*iiy4Z z!cTOnsHapszlxiU>1Pg|&*zJG{$uqL$EW)7m8IGH9ijsgu1^6NYv2eJl|S@jCm7== z7||w@cD*ZW@?Q&H>-y(n`Jm}H)Ke>DY7txLYQQl`-3i|-VE%%4XA~7}qs&O=j1kvj z4JYe=;m@S;5+uMxu6wYbNOVw;mwJ-n$uLFO5vjaxgk0}UGT#yR7bxMy+Q8IRN?p$@ zy4&l_x{7V(QA`DW-eBK;>-s7r-kdd*iypo8Xw8P{Fg#Gz2%FdJYp<%d| ztcEZX7To!rX@oe;&&;9T#rTxA|(z zhZX-|T;!GRG9T%|{OMR17K{M*n4Op%vLP@7Ei2(df@3N89;f;UKp~{!hTnG)`tjP%9>I$AGn$HA97o=llY!?kCCkv#Br?=oQa8eq~1 zm6=@n+pXsTXDKJ4NqB*|N@C#$VG1(~K7oxl(?8m`q|JWz>Z?(3a=_p9uFE>O@WrDS zvRZa6rO_iIQ~lFJ6=>%4*EwH;U7`s4f?4RX7d=_u`mg&@<;|h@`vU9$yoF6{QUKyp z^>b%E62tk^*Yk1;gIDbd3heI8jvDHhs4ZUfX1a^m+ zI+|9wINtb>e22uqJq|yL;q;DAqQqX`cB~Rds{&QR$3oM($IWvBEl-%nuK}g2;%WL2 zkQM!h7;0z`nT7|s8R%>gT~*;v@utkWLuB&n}>5c(zfU`q5%RZa-FLQL>}|NY#@A2_X( zeFEQPD-d-r6MbxWC*@>M|KBEx(f!-RNU3zED`cq8D$Smfd|0GNyG9FKdiao&&&hr0 zV-=@j>gVCr@0~SQAUJW!`fo;1%;m&##pv($C7c@p5^S484kv-}k-Cozv{)x^R)k?~ z^~?vc)+?@lxno{s#i-#S37q(v8CtC>SnQzx*^eo{nu1Q5pZS-2G`bMhXkMdoDUQcn zMw%DtfyS+5R}bbu{`=$mPPQL<|3*L^UwvMf51a*RoN#=8pCB7$0Q_nrl(dEbP*nK$ zpm#p1qqU^#&j>-!$RtF_ai%df?uyy6H^kqtT8vrk>I&5ZSIu5> ze|jSM7DJPLf8-V2DjJPhizAD~d1 z_Q;5WlZ>j6*(#v3O=mjthN<}~`WRy4-m)xddo}&R#SR?Y15_SGxpq zo=x3MMif+=;q@i{T!);TsR`WB!>@Lfaj+-QX74k4TZ|nB@Y#fOWBf}3{~I*;{x=wB zs#c#C_|rMZ4z3L(kX2X_&uO5Ay5yV1o-U@LG8=Kl@iM z>BD;|pI@a)l6LR0z|Ug3Q`S}vY?z575}43~NSy%{#X_u)f%^xrML-0|L_Y)DC)Aqm z&3%f77_Ft}Ex#hd#S1+rU=OF_Q>cH>5QDh))FQ;>I~If%W~}|@PP1;*0p}R8UhlB^ z*N+-pp8s_WZgFfhpX&P9Gx}bF_+A)X{(yy z0n-F030fA~p;$Amb7_{dNmpgN=&` zVU9CVF3Iv68lGRYR0>YuI1+h1E4}N3?0tQ}{X?Lei%0T_frQbVd6pcayra}Nu21(3 zG1MS8CSRUnGWTMDbDRS$EeF3N?m z2_YN-`x-Td)!xR~|7&4LWmXt=KkE7cQfgrr56H|=(||?OT;6nl@lfcx-xZ*Pgj{Ik zoRwQA-y4*%<&xnk7E0jzO*}Oel84=TU^at=ctf0s`_$^oVsWZ1#uR8bq&bhOc4&fF zr1!b%Xa^p#7jb7t&fD9|UgNp71l1-+NH3L<+ly*dsq=YrD)iQx=T~SoXkM}IN5>2o zO-h&2Jyr!g#YZ*fpO5xE&uB~r6Yr1-y{oc0oFWAitojPBDe7a+uu zPKqYvQF>r@1IjY$Y>+y%)y&KS$j*;29>xtG$l#mkeN9twljY&%QZ>gt2a6j98?%C` zjgTPbX8`9q-3V$IsD-338s~Tu%*Zr*2IA2Q7MrPzw%yKh)i8B!{}IZQbP!kY4AI_t zUSDNYQ|6vMS1?waW_;8C3S*fq$II;V@J*3-ca5g4aW0TDISy>Y{D{x9v1jpe{s|`j zcbWjT^1Gom>Mjb5Nz}d?fV{qPjx`4qH(y_5m>LX4gEH|1Ynt85kHtJ1fO?5v#(HWT zi8!WXpbbD8>CLft^d3seF9D*<$jmQShJO^$<@*u<2RoO0j`Tqv^B+Cn3XyF{ zl-L=&E}fOVUkxp4kT2$tPkV0rz%9^f*K>ijEEk%;z}##iv(`#5aNdCQRTW7%Z^Rcq<|DlmD~9Q@79JYYJYB2$;;TtR6zVga>iiozLwKY|%K zM~zVgPJ$2j`5co;#-r4+The7PWu_U4ZHB?9V4Ui*PtPtEd%ChRFJlyZ7A=15g?{}e%r4o4M?$aT9I@}@k*=0J=YDQ*s?Bl&avo!#sL05`;tLEkh~=UE%^jl*YE}^6%eXj`#V5n^>RPGb&8Z=bsmWJo;8y{n^c~YS@~s&H$S4Q z?6PWeraP$br_r9qP7J^Vgm|m4;Zg3&e{(zO{S6yN|MAy7jw(%}IzagIr?xukyyPRu zOSNO9Nk!~YA&Pf2`@1n#2RI|PT>t&`k_h%f36PNC*LShqgfu#gMcrvgXp7>-N`PD; zYhuPgUIQ$ErT6a)>L~wlLD_DdRzq-KEc6*x2~hS@i^BUh#Lnt7Q(e`6^8B9s_vy)= z&RCTH#O?zJYu-)EBm%buPA|2<&xp#^f&QHhN)DTvYDlsJw1D3%y)ki@?%Qkr zkB;cT>Cpw*==G8nFrU+VyY-<{v#gzu{_{BvFUWu*5r=8(^T$Bpgfh?%4RXLEl^DV? zsaZ%mvPS-XfoSHM@=v3qYsGs>F{tt!RLzKn9T2hm+MNP2|Av-UdpY~lj!W43g!XaU zkpEglP)$5g{=)=3a$e={Tj$B4(f@ZFcz!;neeoQU@b{%JF~mOtIjJlp2I$f8IM7j~ zL4R5Oa@L4b;cxB>e-`{f#lJ5FDvH=pCi-To3g`O;8eZ_*_o`0;xIi)Y)_@`&d0D`q zsFx}e9TfgGJq(XmkpV86>4Y+iE2KSs6KHJ=J3Flk&{EVRv!?;Cix1~Q>-&nqYkg9& z1!VS)B86Z20Tm`>Se7h6OHu7WB%-cmejy%pPeB2A=5AUI4~PP&!?WoGzyH4_1aFsuKy^9`O9zd8i6AS=1)wxl36S zXXnI|x~9)!`Y6vq`s8pG{o|;A-7wFy$C{~gB4W@aZx`jMjWSff68__%D5nRb+yINR z@!b$PUB%O=%(4%t9(JhQUU>Q6@A=!ce%Sy2$NayCewnxr*qz7uJD+Y| z3mK8Tj>!Gz0e}DfJuc?%Az4$vAI`5Yq@QOsNqjojnQ^1gIbnbN?~8CTgHAQpsYQNt zU`!eN9wwi!y}hg3uWT+>izSvk9k1(W@GbPeSP?#KyFQ}O{lNZ-82$ACY{t!fFt!f$ zq5pg@uN3f@Sdw=A_5c7GFd0O^orcVE9TgmtI4Sf!ZWUI~gm6jz`pU8kh`+Mm-T_4{ zjoLZ!UYn$)&#cOdGn+D$RQ~5-q=lYA{T(P#1-EfooFd>0VA=z&BSJch4vj05D5Nl6 zrTRS`oWM^O4R&9|ER`1j`yHgzjOemH{oy8uCMV~po#^g_5@Yt+5jusB)uZT(afH)=)cC)q6G|2bozE-iPm@(TP&}ixh!Gf)2>gs;vdfcv6YVuld=;@ zUd#oXrIVAFcYhSJ=ceuN!~VCP3F`wnRoM_12!*6H=4+Jd!Cvzl2pNrRvUvY%G*aAX z4B(|(ASVgw?}w-akjz-g^ZD^#u{dAx{y3n1zk;bP`40cz9xawYPgbdll;9+##=^`2 zN-YCl)uLWr>G){Qz^T>k_HujfMz4?rll5Mp`<#(*w&$uhg}&82@ZV0N#I%cFm5q;l zLK!0%C_{;ftss(YBy{{~_fuU-meupi*$O4#zluE|-4g6m0=|)u12AQHy>)@H!Fs24$UFZ=b3!d!Ool z4RVZO@1jcXPN#Bj1rLF4*9^V_VhQeF&SnjoJvtR>9bWZRw_fd)O(kkdi5mFm{H{cF z;nzwn1zaCay-=c0My8p^@}0CEec7J`d^HUy3>h0qL59&fDywo<%lm9bvQ4r{{fu-2 zYRwBdDw~*5g0rfCB69O0((~qQt^`mV;y!FXZrep>I%!zvcw1codtyPW1@3&W>!r0E zV_+9aWsAD6+H73mE@?Vnw2!JQt7`Khm))v*dt?9QTY~B&P_=V$3dky+*nYnZXummE z4QKGN(ec}V_#2RdPk_urgX{J_l@V{`J*qiFEd?q3g&g zAiT~YdA0LlckJ!0t87SI{kHVo8~4qNuCv9j!EsJ(yF_MExu=jwBweBc z7Y@yb4Oo{qeZp;g9PbHuS`fO=VYMR=&7liGT*Xrsc{9TKa4;%l_U`Sr_?pUoReM1E zdHd~t`=sycNzN(o->QEL|GJ$we@|I$J~LIYm6-eI+tlE6Uq$1p7#E-q-5c~F`b9fq zw5&$c>yt`gtS;dsJFWQ0U!kUwe|`JvsgF<=mDAnL1rP3~s`0M`w?*5MkuaQ~>xuUP zf)BFOPnk6`VKpj0-&!PxCvVqfk4WGB*$u>DU~l_!bG|eM94K>UfffgyB9HlZ&$4S4 zX?S)}qO3siN9)Jm`q?*a^t&t0-9%A;OnwAW4G-E?wVppw<|7a9IN=-b8s&H>0$C3tF(mv!X&+r76pe5;lXPIo$2$%a?EWmseMj6-^zxoD)%Ea$y5MllOW8_iu zv9aIqlmTmNOJT&GuJ9#OOzzcm2|ix$Zb@#A@!2PxqA@=tAP`se$3XJ)XKq|7{*>=T z5s$0fICd3AXMm&an7YM1iK+;3bbu?r%o3^m@DfX_z2;RQRf;FYu90Q(Yy8QyyO@K- z5IVmfowZP(voaGSFPmIIWSz2*s@l-;^Y?ehYk!c!RPIv1AhKo|*vpYz`h~o4XG!3Q zHBfIKmb_T5l}aJrP}E;gHMyEBxJ^Gzc*V3XaS2S|))StY4h?$DqO!3Y!4Vv(N+#D% zEkFC}I?xFo@{_+LVSo}aF&uL>F4z1f{^@^So15MC=Q|IZ!%+#*o34s~?6mUOM4Zt& z%SG|$@`~G(dacK+#pHa(nx4LkoJe5Iir(B_wDVZVAHTi3j&jMR3IiOuvKx3L|I!>s zMcvB`DSw}(TwPLqXBxTe10eomF1A~kXvMXxPnGz6%?DiH-14EDq1fRc;?(l`qsCcr4%FvY&ufeU_7~sD3!VfXHMWU0l$dsi0Tkwy zAHFH1QV~r55$ltFlGJc=8vDrU$1g>GvT?^>VJN`7!U8L6Pr@sB7TSZa4S#+OmGH;c z#k?oAx<00mBZ_o zvOpTgNqv?7;gs9RS3-ZGH)C;HAtvFQIe*jFl*TVN3Blft~y{H`s7vz*J2MnXm_5YNF>D*6Rkkquiz z3n#H%f{*IP(47mawjZGiKl>(@R?i#EWW#nQYnB`~M%IA+0KowH)Y{h?qfns8xrExJ zZ_qN;2vSCan`7-=ek;fj?P*?+Sbrpv*~sE zfTk82Vw-B@@i>P=#5d*Z+v$OmaFB16VZi10-C)K)&=);`FDF6(FLRqW4Ik0Auy1Z< zL{T>Hi3_eOSUfFMy7ag9L!IC_ex0O;e!3u5dU|;fJa#jRzUoLx^BVGoKcR?Ic9ZFc zaWz4zXWFmPQQhB!c7Ns$|L!?}4(CAkf6ywS%BsMll(-X3BY^+73k`?vxmp3R8k=qW z6ny++$LB$&jJLB|B>=iYcX~jADUj~%&GLy;6U2Bu-;b|Z))F`RsZhrVcAbf<&b^|1 z%pAp;;3e-B=k+X3gTdERvYUdGoDwv{*{Azw*Be5{H z4nJS4`c4VtUJj#Y{?*4!VRef_XW(SuUFA2{{|2Af$gsG(czY)-dfKOIbiVVfdmn2^ z&F6azX8Jc$%#cXoSqq!4Ice78n$w_gZ39vxi&9ECilW{il_|4M=0}-hYGI3gUOq*0 zRbyU#0$1l2#Q%4M~=<>S14|W8!*MfzqEQB|OXyf49jFYnqzg`>zpCc=!`3 zc;cx(rB+uQM{$2CW%6Scqu`aSq^iMN9?Ee{{!Zm2<=0CP2+HV5YjOC#z%O6={dMou zzCx^*vER?$P#kjEsyA`!v}(l-Uh%SLCrdRS%Od5LyqtB<-(3ltbr3|cC!jN}vNAe6 z^+_KHR-!~xpi3I~HWH=CqlOV(m-d4PSj&S%l2mxI>hN*~y)TDMZUr@YTGY~%!`i)< zrlaKL(^;VoH>f){*g#4oyHnMnhM0vx8S(FU{c*7q7+(mUH=l}te=h|fH(w@wNP4>T zDSFCy=%1x*f8w!;!9tGEw;2&7AMZ7?jTvo&3ifx7IdgnNI;1ussW=m^W)mScyTXO> zI5TTklw@b(QS;?<{}*>}6&GdK{f(=DphznqU4lqSNDfF1AtfLUqcliLr${%dVd?Rm2oZiG1#_4F&c-UA4t2uK;Na^w6tI6w@{lXS;?ABg{anifxw|}*gJ%8A! ziv~6^2+`p@xvL|9wXK{ z*^+N2r5(MfX$HMalAw9Aq$e~x&EV@_DPO-PoNuOj7dXdW%@KNXlhyInY}NPCVYMAr zNKfY|*%AY1f2q-Jsa->#rSP15%)m)b;E(BWT;#Mr12cvZIp?M%_yU)||HIrg}x0M*A{j zKYvUksMwHe5(?Ij=uF!nAA#?X3&lQN`AQn`_wYO0%jpjfUXUxy&p3Cj?8x%b$Kq^! zLq+BzsmZ;f7_e2JadC#L?T?H{3w{swMS7d_*2fJ8JY1Tunr2s=cYN zRNG_c@O#yw_V-1n@;&TA36sCHE%9m-Hx5@GT+Mjm8-&IGoVVf~#i$jr`$)heXV#4; zl6OV#Uh97DOR}msxSs|sC$oTu=OFs!@mK0wAz5TdB;9IH7Ga8`?6k^-#MpXUlB{Ax zviiq-v5lPBKC1TF%tN>-GP&s4`up|hU~{q2KH&+vkLk7g3s%0NZhUuq0+6)3E=P^i zG4FT=Bg1-MA<#5NLz3DZQ&!&|_@VAn9XmJd>MvRz0A80w{mLh7{=;1er~e*Vm-7i5 zZ`WP2QwW7?@z!2DHz-4I7vR)f+U!M2x^(R%Wtz5c^ZS;+3dK^%4ZHQep9bS00f>Cni6P>Zo0JkF(rh0pA z&Z@N+7wfHUG|zH>=w4!l?Sy}_X`lPnT*@!TXX|yf$h%BFzV4qo-Td9-zOyuW=FCHZ z`la?4vX50tqp3#}ipX^cEq#cQj}d0c_sHTrRH&C7Oy=~Za}_P4zFM0xJ#lnlQ{VIX zB4vY`-#OY3ZX{PY!S>#6SL_S5c9>V}b|3d+f%~o!?ACl={df&wFzZhga^)+!YrA92 zT1RFq-6jJEFr~0&Sh+_9r>s?HE=#h3NlZ5} ztR96x(8oqsunNw^6WtB`ywWR`#;%f%A83;_nB4sQVZPrc7vTx7sQQ~NR1eRnr9(ps zZi}9n&JfG?%5>JO@U7-n#P37#TM(~V*Xi}*q#sL%_e ziOPi&mgfAG8rJ7*Qb~Ref8HDMFiE33mTR*0z831}<R%sl4FD`iM12H%5pKV;Zi9 zC`a`SSp*kQYrj|UTY4$I71h`-GNYmuH8p(ACX{4Pe}bP~WtHQ30Rm%T_8<`DrhrN*qOBMPm z#*F(Q?*|eMJ6O%jxsjXevGy}?m)n+R@Jo+sUC_tPhu`V?zE0=XIa)DLUzrc@YB|m& zsDZoQ7~O7K7K>`?;5z{HY_iILbIX9i@u=g34P0z za|_5!gbT<0&_V4O*&Ul?Yf`ySn_wyf-iIt$?Kz({(Fm2g70gbdmq_}u3%soRCRcC8 ztA2nTu;*K@?TPU|exyxEb<&o{@a%1MVDSleshJU{tYW@NlT`IPv$=7y)}?YA>RT{_ zlj|+N9f!QyCiZZ0A891hBHvgKJ!kyg``?sKz0*#rfQF&h245+cwelOHtIkWclq9^UH9XiCp2tU-~^` zhUm%MIeGmYwo;BeB7avocU4Ny&^HHTQyUj+HecLyYTD%E6$s(|c~lGctqG9TxtUco zD_$IG|E~v-LnNZ)_iH+;n}@uc=-PjLTmNF+X6o>o4=7Xot|hf>!z6aA7+CNm(?xk& z_o)hfty0O6C^n_%mbI-Hg(S^5qG;v^m1Q{eo$)GS-_#`4zRst@+zNcdqwLI=y&9a3 z)tt4Kt>6={j_;3(d@1&5Zf9{Se2M#pQ5%2!LK1ABcO%@mgmBaZNJ&A^qE_z1&P7`a z)tuP4nEj9ro0DXMVz|o56NkYx_2?>vFp{^^o6hbXgqWWAfSkNir18`^Yi-$B2t)gD zLW0aumV#&T%0*1|i`$X4mBcTm2rcKsPfbpNPrH z1zR4B6W7HLvtmR(+iR4TYjR1S8$Jy6PR4EE%C38nkh1B%Bsm#cV(y`>_>w7_?!7Qb z?E6S`-fHG?m}yILgw>cf(Y0wj z;(D*eIy%}abwwo=xH1k|`4&drp7Lf6Ysd(n#CCrce%bD{Cc;TUg?2LY>}P$BJw~ic zls)G`a?(-dTq(|yxECMiQHdpSA`OxtN-K@Kx0F8BYrwei8yONHp2#WuMQ81xx7@?G zt;(!nlj!DqIZ`~h-{;wg+tmnX^U+oA{zuk z{XqHV`O9$!CXe666o$r!Pg7()lj7p_$o9~UpOBo1gloUXRQW~qv*)_(OVc~{psgUM z6}S|SoJW)_dfb`YlSCo7k~)#q(suNd)OIrB%U^}|QWR)w4jIIgIq8$OmC#W7hoz(D zZ?8eo16%omSj(E5bCRh8HOxB3oW-Ous|u2u`e|g-tn4tWHUGV}@Yl1iRs-eqv#OLS zeIk4iK9N=L#=p&Q21O2US}?B5384(ES!%YfDPtIf+%Ax7>r>~Ec@T~KD0p$YraI{* zoMkOjtmR@TGaSO3M#j`j_Jh;Lyf+N75}5aem!8aGf*{fR?yo=p$;H<;h4$7uOS1*C z3||SREfACeD|})|VHbwGl=uC{Yn9jJwrtE(XFQp9gAK0t+^~IF zd*kBj!Ml$u85Ku;nXK{Ka$jP$hD-3mEi2ag7@o*!x~o5$4LdnI^=g5`D3$_JTqWZ1 zcHl1DA#M1*-2wWg~H6K4!V z?Lz;pX>9x-Y8pV6!Rm}^Uc5Qbta-ZOSS{5!O81=0JL+dS?4<>c%||4Ji#1&8fI-TT`n@RAgIj^&0pH%=T8L zG$9d8&pN2hy@fLgLOMh1V{fV(q|;oF;;|3A>*x`3vM!!|K-Z+l*-yT0 zT>9~lWMQN=vZL($_UwG4*<4i&JAnPjDoO}blA#vUJh0_^KeR)QcsYs_S zjjaZw2MjNylx`HqL>C8OGwyIEl$yoLslDJmg%O`n(hps;SN%AxI+8|>_DXOgs(r0# zC>kqAI1m=hvv)b=mcnTJl)QALIsX`T~rypqMbxwQ0&%42U;pk#iwt8q{8 zPva~2QM{=5BCMs@Z3$AY{V2twuhd+5_ED816Ra0ifaN-(4=d-*VX2iZV>HYyxL3}e zp@P51KU5v6R#i*nFg-?0!@*#`!B>;+S{D9Vo^h_XbGFZ+Kh!i;O#CMv4`IZjxtqvA z(tYvPQcbX%f!1uE%O>>S$k&eJYMTN+7c%4d|2GDhcRsMvZBAEI)z3e*s*06>#g=^TaC&5 zM?p#lTa$`SbBx%e>WR#rlAmwXA?v-Cdn0wP@i1`b9xC;x9*rto8kK#F*j*PDyq?Rs z@8eZUhqZ7m-4W3g5=cU~nL94zh+ah!u0O5hmpSC-IZJsB-b}7JaW2T(sTVbVCaoQj zH6hxk?Hf|X2E2Pewn2S?^Wh)7!+=93p|4u@p{Hd3x%HX1fWQ9D@J01}QfeQoZFzV3 z>~Jg)=&rctfj~Rh)vSB^rlv>bMA$&4pfk>0xu0>-2o)Ep9p2UM_%LG!+oqj}M=2N9 zu#GMt;<_@FGe;@ut2p_qZBXX~OSH$FZQ9a<@I|-{!MIVjlWea!@2f@lOsq+yO8nkB zDH3LKLwGguDoW3K{5-7>7%uKj-xbyTxJqIFk159oTa1W*?@v(B6XIOk7=MR3`NAkz z`()vqd3%rzEQ=eqBQFn;R! zE?6nbyyI|y;fJvOh8njz&eH5zX481o*c-?Hh-|D}Z2*cP_T|Jb)>O0k6HD@ZJtbW% zkDpsD3--~>&9Xwdoj59{o{C7lI%|+qz#PLesP($i>T#jCBz~3%l>%?YhTA+%$oUVH zEc1uF3;WlQL_cQ_t+f-%zJsEFXG_zUMlm9*L@BEx~_%^24kARC( zHPOMsb?Ry`U*;!NO@HT)>jy0UZ?W-8HGUMrRC#;Ad0#xKknZLgf10IIs>&?KN3UZi z#7u^9y2X)!yBZt8i)4HmIyrXXYDc2%{=_I1+XqV&V#Y>sdRH@w$5(zrg4i}fG_r9B(!@}K= zrRC1m?(z5LFox*by!uOqV7`UpFejw^j5#n$h(2lJrjeISoA{O$ za5d(hF=Nh6z$Oi30wfJwXN+s-zWB(g+`!&w#O0o4xv=DR4jg!+5Q-Tb^8E;^yAH~J z!m1zT{oFip^nBl)3CzPQF>tB*&^ zc<2~|Z>s}7k#n@;TJ-<*x*ANHJ!>krAK0xrEfwa(6PRpT;YT4RaFVVuc5H5FDPh|| z-l`?iY_^`$Ia-b}K~Y#OHG1U$H%ikma!o=v;bEAH*n1?NrHZ7&AR*sHodjEw10_Fc z_hm0B#V(i$b(*y=UVOuGG(p`QS1iHM4FQ4I*bs_mgGsDIRU6+d^2V#?EJTy%Ke(k$ z1dyA=njU#7U7)B%)*#;sla87kW8!hZrrx~<-C|8s+lW?swV~K~YUV_Kva6NWDl5H} z?a7w@G~7y}O=x>dr*|`0g$l>MS61o|8Z8>*rw`L>Eli}kis78BZr3=ON>yJvwsp7& z37H>!{d5$wF^S%G@zbZ1=6FfiyE>%1b|FPe5*uQUURQd4=aCJc&!I%Ut#Hj zaoE1qA!#OqIoV?;5mcoYS}a!#cQ)nC-bBj37OT>AC!C9NT106}#SW=96#Tr##3JBe zanvV`tm39g7jpLx>@qLMIG;bKpHuGT|MUV#vqycHyNc#dOsnsnTIRyrFET;j{F2Q* z6f#GRKO1iwB}tFQzdhNc?k62I$h>MNOkKA%lYnb$t#oXCArdRWKcgzMIC1BuPBwwU z$5v_O?DxV<#)rX~GD@zymyH?=b8G{72RsJzKv+0C^gkwo2y^esL|q8g(!CKIEuHQ6 zP9|wEX{?8z$r%jR`0#8<&0>3yI0kNfRm84nIFl?#Y~C62v8QQb zEx30%PRx3J+rd88mxDrbG`)P4Hfy-j^;X_U*Kfy{s-+8bUuM)oWU5Wj8*pcYZ`>Ak77*Hd-A^9?@Jo~w-`O67mfp{RAydk7`0DT z9yMFdPd*(LVkAwVGwauA+`3=&1G@m{U~YyqGs>YDMjGPAiA|DI<41(V+{F4;+zxWP z>Bzk)-#2D_%yIHh;^ju8G;Mf4s+~l%=#Oq6chj}K*SZXhL$>68+-L{er*0nA7snUo zo=J_yBDbmhj14~6QkF8CJ1)IvHsWj|Dm0^yU36^Y+9I|tlU!llFsF&dSM~LZ!rmC` zx*K4uzZy(WGo@@(d4Ed zQ6G{|R>??nM|37rhNsHQK9(;$|8)bQk9x@9gVswc-E~D9H#hde5-hY2MaMp|Kk842 zW=slL?A45=o$7Zct>6nZ1NOSl_nI-l7gcbkC%dxziDGjb>?TD9E^LIqd(OhVe8L$n zn?Ru%OJGY8uyO4YgNbS>f%4fm?`3BbN09veg7-(TxU4;bnjN+|&Fce?VPze$wS*J) zU4N{;o}%p0n--A*yLiAZMsY*IP-3+=0iRNR>}i8Bnu?<` zAuPhyaGp(^Wq4YJrsI_-5oJbYsOT?! zN%boiS!>1Lj_5o4686b=Sj2?Ierfic+_q2d{rf8@JW~9ht~_%E7FbjJ1UvgfW}Pdq zI?B!Oe2+%z~LC)-}B;3lmS%qI%&3F3Y zcid{bou{i~ilcQ8`IY4RM)am!L*=726Sb4Dk1||M>&z|x=#2rsQUoqWe(~$wMC~~T zLEsP0?vaOjQSlCS`?TGS*W=&QNQmSiVdQJd?WQ&WIEY^ zno(4J6^|v7>O0Hf_lUG^22xwi>zG~roS!n}w8X)jImw$T=dFyAtamBVVvo6Z_LUse zzi~E*B_mo74x5fci?l!&i?pT_`#-u9`%Fr3>1Nx~1_ zmu4_-^AlJ;b)47ol;ptosyf{@(pSS$GfLOpCt4X!B_iETzkTlNZK1+A8Pwn@E=R>s zE`?P+)Y6>Va6sDsVvv94)A^Q~P{|GhwS37ZPCxJyrp6(wd~l(o)eesvPKlxxNX3q{ zIoV1F&!~TpoDmiC*k<5KF0@uhXSE{owniM)^QP%RT3k7AR8VZ(QcS;bR*#iE`g?|mALCjNf8b;4oD=Z$aEgG*#kjYUwo>jXdYIpS^8Y zjfZDC?e9q<_V@_bjR*K&>^mAUu`%TJ`MEtxb2}~H+97{ycJK6w_Pt)>**k`6W2^2u z)d?tm;Nj+TUQ*EVbIN2lFE%(`|L(?~ZkQI5Kc*97?jS_dPCep&yUU8xDmkr=&xg>d z8~?|={~nvmU+>|L)!RjsZrm~g6%Io5Jb#_hwWc-1!V`v;@wp6G!mt^8hk~Naw^T_EG=pkUVnx8}52OCQ&ic(^cB&|Ua8L9hfG9ZNJ!+PAbiQQeVUKv{; zx>*t9c+--!r&^dsAc~q*;IC3@yw;43;G0@t$d(sPKlV`7RSa`H?yaTqYR?-yUcPGb zTH?*U)SKJX1M_0jlAX-_8@jiGo>eS81f=LmF}x<}R=R|O-i-T)&b^a(46js3Xxf81_1Ho}g?M|njgyB|=jxR}!yI|KG0`_OzWebO}Z|Z5x1cxt;$Y*91#0*uj9+3jezafEN5Fi?Ya{Y`NV*8BK%T6n$O=-7!#iM&;qSS-FyvGeb??NX4cGi zc6MH0aikl?K>DxjYW0Vkb|S!M*OmNkC^s!u4i6`D*cr;!GmeTlg!Vk zd9c+Sm8POPF|I@>FExI$x?N4iCo6t}i=$C$s+d%b;uh3$l7no$)Az5<6Q>?+B<5o8 z*U5D`JAMP%PqU2?=~$f!0}cZut7Tg$xO$R)aW6A5`NE)zo5?amG!-o2Dtwfy8QnC7 zhPs;w^n=^pAsbIt5D~dERN0E?)mug$^|zBXGT-fyymV$XD*eq zb<)Q_o+<*AE7(gd_HCC{)d@4a#ftoHHC25gvY(e&d^TX?9aa<2e6r3X(~GVKJ9^bS zlF=(&qs3_lt8cSsV>JK7_QfOCh1}bhd4>A@cQRI%M7mIF9AlrV8)P*XGvrV0BG*P# zxn@a(8>FKcUAW>UmN$)@Ne;swvE@ba%iBM*FMko2{Nra!y)TVmng`O^%Ap`JoJ?nc zxZmNm#xEE<^);_jY9lL@(E_)cbRT*$t#Qp6aVVB3vb=rz%qH0R*^_`Ogwbo^Gtd^N z-<^*%v)>gmg7FYfk3U`|F;eJnC`F(xEStQg%ol)|I;nRYLtZWjc#*VMI-IdNCt2bm8U*L^~(;y5Tk7Eij)`>q~S#|X; z^fe_H0DCLM@KE8ClO8r8!}V)iqT_wSlMsbt9DGrF0UAgYd4u3*Kb)uGfyg$t55%pc) z#3_ZmxMDh~_Ff{dWTADO^`X)8)PSxr9_b8t15bH_c}k0NN4$1qdDWHj)PlK8N9VgM zzVF;8Gg8D# zzk2Jjfcp0R2{@ZxnG06py-te?6%bcln&7uwO}MZc1C&zm#%u=OV@_I=E%b$&6Y_rj zTwD3F_^pn5Jf_A*O`BP)ux~Q^zGB9XM!H9-3^UJPNzh%pzO*zTCvLV8O`D{Gi^X|8 zWfpMH2>Uo>xVyEAIg(;>#g|Lytt5rt7@iIPGvMFt@5sxd)tJ9^8bZ_fL|^d|owuS|x17?1 ztkl9Fxp>D*QBPPtsmPbc^sO)))=Tn@>hh}5lWcfox1z7yqb8avWs#RyIZ==&9Cj1I zW6~WGlrAYZXI=a=5?{!kJ8z#Y9F|i%7s8@!b~_mnW-zV7|3_p%y@WSqkb6EL{ zS2&gJdm&}@9W&Nz^s9Rv5|OHu#YKTq2=r>s2&ZZUC?dao%1hqa4gk^iVx z@!4O!AXeP?GplK@++sk4tPn?{vS9MOX-V;4H#;c~236gb^0rL9)+;@05}p^XohU6j zZ5$$`(rx6_)EYLj{Rfqpc6B~02dc72f_5gK9yy<2-zOBxkv%>y`0jMo-{4Cmf*dBs+f~HS>zOp|cfzCn>XH7R7~n?Xbz!nbkHcB-)#N zYP_d%vSyk<&Ia|)OL5RW{Glg%Q(eg_Ph%62OHD;S4F;ZYC@0b}82mwhl;^=!p?+dj z|Nb%Fn5!DkM8nLUcbEU1H41`JJIkYc5;esm2lAwqaCK{L(+>A{PiJDXFKuZOp#l5%P2)+F&&Ow){Bef`KWMW24DMk1M}F4-@cl@Hs;2h z`*nk8e2dM5TT2hc=uGHLV{f?et)Z^7Vos6#H#ynb48`|Hjqf5e3k80wvXI+gOav;s zJ*o3i-iBsaxbPCD_)J}?7x-bcd=MN*KM3yBz%ooM$Z+GKzdb$UZH#>Lqe~av%I@Tx zO?uU962edjj)_*T4iaupIbufc%31lRVNugIX@nLVE{bF3V#XsM&|)hk4D%YVbRz4? zcO7L`!q2+NAav(Sj;n-{8!4t4Y`mv0#m{$7?#zh~^C-{-l$ z1b-85-IpIv&Dvxvuu?TyvFr^MQ;5FG0YnC472EDG``rw#Z;085M>aiI=C~|OWUfCL z>JgsOkbHB9QpEJoRGi!&&9qiVUu`U$p4}4TxXT~5X(jPM&VkP4S5#U1`zG2zUKbAm zgDrjTQq8k6Z`ahWPqV>d*0x%H1%8iI$4-AqdX|L9NobU=R5?rhoBFB;kgDQXLp1YK ze9pr#sf=0Cuc3GD7!ZS^YxQDG2Xx&96MbcCqB1PKeTRJWW-x+{0cSdee|ERK13!cj zeiSDgHhVuohL!wqLy#YUbU2j0r5%)rvkj{G+TuVhyrk=M-i zBDc6P?kUX3s_YX*a-z}MC(50x!z@494Z)94>{M|ZuF)3gmW}201b>cV0YA~25YzKk zZeO`7k4_k`+Q16Q7I-B9zL;Bp*!=H~>(JyPIVe zv4E-8PRs_t0|-b1a1sU(LETxVj}7Lf7NVLp_-!eO>XfE7@tvu%hktu4_CX^@Mw+j@ zgR&D&ebQAIt;E-bQDkRYgJ6DoKxFmdPcL9B+-NTpCX4sc3gpe!86bSEv0qXKowFJM z<|`(19;wT56fO{8E@zCIgeFR{RF=?sMH3}u3RHB{1b;GZ3uo&t|Co=mMo$lTs)z_R z0mK%y0c9zGk=b|NFyJjwboSHshkm-U0**r0C`ReuZ0+oph`YZ)V|WMo(wEb|9Nf4x!X>O-3H_gOMs zefvM|C;9)Fw&gP5eC#={N04Fazgs;9O%ST;qI2+Q3h~V~RuXy!P*(5M`0F^#K-(;V z#ADyJl(Ez8(j3umuI;(=E7i0GEAYF|p?<8+LQq14=!}S(apm2Krqp4-@K_I5&TCCDTf8kamZ5o#&qWnL zj~*SSY%7oefK4iHh57F%mP1%urc1N$j9VYySQovEsl+jDLCV{hFi3e?fh1==`P|p} zKeB2g8PER%K$f&1LKS1j^3G8RVO|A6J5ZFImC?7K^>P=WAy^D%P-;&dU@Sdn0LqD2 z+KnsrnPi4Cyo!&U)(LF5=v2^u-`CngDqidu0K)iS*$^;rRBGX5@JjUayXD12`mYpR z7XV~%?AaGa1D{XOpeJg?q8If_6(UZ91D+fEsdd`ob(bA<1)IYm?UJVYuXbIY zw72*M!4EM2LvQ?cWX?R2F=mt4+>)K;v>o6d_3T~%%+W?wAAiI3@(9b$rWJrRrS|N=9FXZ+|z>adm2jC!SV#C=J#MuH@*_l-d89g2XuxbJ4v)DX) z=p;Uz+mwxvj`I_CYTN;3MhrlgZKCfh-rM~COoez_8sL%f#$sm%^bZ?RJ!`Jp=F%M{^HWr#j^ANxfI-!2>$ExFLAK?#YESU zxA%(|d@V6r+Z)$gfB=J+Ag6`wf#{UGoF6@Y5ao47)a80_i&_=DIrpgR(C6Uak_jM8 z@DD5Sq}CiOxU%~6Uk|&i^onFhT1EHshY;aQp4+!23Usa9K9~K-Gk?RT*>+CM-6)%X zp~Y3_2CkhAdK0bST!4t~_rUgWaUq`6+BpG*hF_a`mumb}r|K-##F^WoWAL>^<)?cC zB<3d0b=EUJEAd?LU%3?jzR@4(JcAC3QcRHZ#;)+?XDqI#m;##B{W#%46`;FTGXUc^ zMnAtFQ3b470{dk2U(CryPR{eQ!<+&Dx0IUm+b*_G))3|H{td7*oXtYo7UxS1{;UGs z1+Cw&j?S|D)cv$c%>U^POFZxwodK}+wucbYf;j8Qys>T*){selgj_aO8_&e1D+n3yKCpPDyYaDnq zJ_aDB85711#UZQwWuGs3Rwh6NJm}zpWud(GWkPxAC4FJ_4uF0Ju+AW7k%Kv4F#Yv}^$VK?95w*TB6=Q_RgFA0^Z=7*kq` z13LWp(ptron<8VNXCMh;GS0+3OxP=8cFvHIq z^3FHn^Gjf>T|(y=A^FVP{Ll7)Oq$NQ5;lb5M2VhXXZ%+wz#rYKkkEU1|Fd=fJ|67o zlL!9@2q`2W+8+N|5;>7N_qig?L5g%ghV&opf}Y164jn>+6eRh|BmX@bmb>rHeFkqr zj1&3q+minr81%gS>*tFAo$LP>ivV{;6S!;ML8Aik9hmf-FZ~w-uW_Bx8aPG3^1M7> zvNRR1MW~7Bxg_vaGL`1hiW^}U;oD+y8Mx0<=wyAPy66}xA+9P@BKgi3R1yyZi~T$ z`At7&cy@uWV-K+E8ooM`xM77cCjTAOk2&v!D&W^?J&DgP)RuqJbTpy10nn(o^(3}t zSRhIGUwgiX@6`u#WiP2sU0X$f7m*L?=NzDxum^g90iEBfTCfAfgTK%uM~J54=}U4V zO5q1h;{j%tjUo$#+M$ri=LdVQ=^7NUrJM8M{8j>}WSbP&q^bKmfL`WlJo= zM`Sw1c3H$n`jvM}q*2R|{B1(I^)PM!2f)|vX+Yc=cE0pmq1rs6D*c5=hLuP02cx`a z+I~Rat}$QIeT6#%D1VJ}fIRSaA2M)c-dSgv1Li(?-siesf9IA?Pj{scvomdk_ zL-*Y@`@$fLAp1f%)yxZ80jjIs=kyZnFFwD#)dXR~>-XD;V;`DB_+QAQQhL*Hs@n-> zx(a83BZF^NRZk+M7y$$PpB{0yo3}5?SzNxlhwg)Q^`W;%?H+>HilYkVR`sKpCu9z| zn*|v4R>E9xLCpXU_YMH}1)}zdJgmX8H_@ygEaK8wx8e#PKx-kmq?>?C5(xl<2~}Et z&Fw|x;zhirgfO9}fHZ+pC(?W~juezDg;yCxtj1#xgsd1T$06UQiz3|(?H$WkbGw^S z+F`1N;*CQM7`FCO_w-WFP21Nf$W?7#y^--7@QrY+YXzO^o&sR&Io zkQl`4b^iyzi7y}S*39w;9%e2$RuMP<{j*1NdRXy0iWdX;BW{z1)XdnkAYt6S#^}rmp1y_j!qB{{6F` zE6L$&JcxrBBl{9?HOpH-6fGXST=$dbO+1UYeBNie{8Ora@(5blF~Ime7g|!kMdDK1 zs=DHk`xi_io#C^e+#{1%MdC18L6S~2(>9neD$UJTGL(;$-`t5l0hARlOMu?8SxV6j z1%7uQOH$*PFp&eK4IUH{Xh-l`E>|hN0F%RY<{>~ta3y+Y961I7$<08}&}4&ZKFr!f z1I!&)AVd)WMRIcM;}|g1TV4{%!7{k_Yp+UMcE#ZUg+xAxWO$^(vh3$PCpE0pXK?Mz zXLp;{@0D_oM!&*>DCLfsALd=>UvoXC<9+NeAvrUP=v!C+_g0jYpd7~?l^ zbLW8cS*npu#`5pa#EAV6fOHqTaq`%$=T^@i8Q`*67MoZ83%CW69!6Lc^hcm$9DDOO@ z{k}D^%igiY=i;k2!Ue@4a&&x(fXkzFny$CuWSOSr)6cO49M&p30NWFNCY9WON98CK zcV{Dpr$l9}KuZf6>L_PSfgpT%&Jw`!(`d*~|2(u9;vbV?Ld=f<%(w&OXZ+$#yd&^w z2vl_X&VKDZj+^xI`n6k2b5PaiRtTQ*;pYG}V$}moN#*>5jSy>mTNqmL=l(3jUGf}| z0lb6I?41iLMJj^8Og*j#^dvSQUsvy&V?smJ*r7geJtnjJ-OBTeT8a!r3j^t&5U{<< zb;qZM#|P?2?>SEcKX8g!Ve%^(v)&7Vv9Aa)yOxCS9wE8IKE|-tH|79Eb%i3X*c_H1 zh#$ibpu01hPsd9Kd)Fv9(AeTAJ7~;i3>_P@;-NA8#$5}wKGd-ZV7NQv;cyCY&vG@^OoZy__ z0>d?=o3JURufs21%2X{4@UakR{<&OJ*0xkL>&)Y7E;jFDdAdk=-w=nVRh-4{1@P1P z-i<4a;WP$+9%GZzu18w?+AZCZzbfz@VwFKp+T>I}i22HQ|NiJXox*4f?wvrE-u<6R zY95u6EALDY2Z3OBK~!-O_!!A=X?_hyAh=ZKVPVH+AWY;6~46!@$Q11MVJEk3h?KK|8 zz!PMY%Kk8$vkSb@Ta&x>%ojJJObl9I*k0WK*-ADFJZ2*XPHQk|d4t%^4^-%HtOc$M z=WuVu?0n#A^B={j7 zaa0zdT7`@x4)9d8?0;WZHM$4VkCnn*KYhn#D%Sp<$Nt8+#;OKb649MDFF=G;06^k) z6T#I&nBqJPTnMGNa^accL`lopsRgVoL)bQd2&e}E=9VxEf%tCKn2p06g))OSQV#IF z^XT;Q6qj6!UOmyH&h3_p8$Og#Q*-IF>?5~!8uywpL;nPfLPuldYZR$N)3!NgFQ@kB4F*L0ijpdOPqNFq?}2r5iUIZG^8TyuY^EolBE0I9TdwHpQ`Jk2t`*v;rqthM zU+vFvgi=LgAfsa9<5Lt==#v7|p( zyWxV0KwEqxTeP(TwQxC4Py%fd<6WINXt;g2ZOUYd$i;(|qgyTHa;)@OyZjA1Ix3R- zhUVe&sTX1=LM^dg7c`oD;(gI5@6qrb!9fZt@U}PUY?ch>wDeEOPNlSH4E3z7K}NLkP9w3#OfZNJujd zy-Rr>v)pY14m#t~;I{4fM@=LVDVrr0?xWFF3>KdweVEhu;Rl7r`}@;pzc=pFSUG~o zE$b*FRL`iuI2^|o(#;%wQQ-ZqKLtxz!Adx2z&6unS2TWtYitGtLEpip?OXov4*lqz zMp^-9sg>zKz&>r*%}%a6uM;+<5z_nCMURm4DxL)1Tv1ur>W#J()Z)JE*lv;sO9rmi zdc_=Win4SgAr317qz5!YugPj8kP)xfLtRA%+x`khu2(JOKBP}I^P0Xy+`vBVzAce^ z;uKH+-Mu4AB*efMWT<#%9LjaZ!D>I8!0XQgF(pP|3G(Jc13v_%Tp8_9Z`dYJ!qc%d zLe9&0K2QTpy@h5yQLJP;hJxmxV%maHbsCtJA7bs30n|t|kyA*))f9%-ixAULy?9x8 z6k953oP_v~inOL87AL@gwQw~zvQZ)+9QQ!syX^BtmwL-=%g8Rf=kd&fq4s9>LB212 zAK8b)b!0!yq7XutXTY%X5&D<$jb}h`RA@|H65Hd;zb3I?lXy4zj@9{A(^kJp zCRuxm;QG6DReoj}o*=EnqAc8JXPN67dFo%s^-Oxv1}CH!W2W9X$Ju5y(;!wU^@=7B zbZ+gP*AxFs1m<+X^Sh|rs0DUEhHPu`As6we)COfi`MU$y)y8Z;~3b}qn;+r z%i6|ujMlOFd3=&bsjj#SI#O7=g+q)Ah4d~$dpx6nMd8NQ+Jed(@j6(;$CCP|2RHjz z`@R}w$E8hn(v$8BB3y_sT1|CQ_9jInq;E_wCw#xTq$C3|yU-|pwQb9{Rv6uVu9CyY z{4$iHQH7ymBZJfMkA2upffA&n>&Ae-cSn}(Hv$1`jZy_yD@NG&vaxl|iQNpQ{@d}U z=OHyM0)!%@E(_{*1Ckm@ktmpB&6`6jP&JfqD7am8HCpa=AAXT8UpQSKXtT5^0n|tY z)BQ`WP*YXN>PX%UqQDpK$kpp(WBnV6`$zwG}y%}0?CN%*$U-a zQx`g83eJv~oQLG_+@j7K-!)W3E67hDkA^T5x5-s&Ds2EkJ{V5TgBe~hgsq0NO5ZgT z9F6r!PWUXn^34x9U?GntJY8hqcPgrXbW?z8JWX5k{1yY4a^$@EW;knMyCD^aOBT5y zt^pUL*B|yBscra|qL8kj_U|pR|4gj`T8jMd7sY?WCvu)#(u`HQ7Evue;c|3Ki<~==xklBi4;k% zDR$zi1?Y3W(x1(LiB`iq9H(9MQvgd4nr3}KZ!@#>w?-7Bboiz0k6pP8RgDCF;5onyQiYB_H@iuw? zj9PIaUax3OuLQ5q8D*W#5?=3C7Wuk$DXVM=%2vDL+Ha4usqEc8(*JsHz%K!<#*?kP zit&8WrRv7j?yJiv>sH@%{(!9_UJYcMj)ZFBzL(TZneKYUc`fKQ;$r?&{(;&|dlJCr zUc~JizCslAg{v%MZrJqLJ8^Q1f#kCR{*OJ6l4-`$}&` zf#>!%EjIyjVo}f*UYOB?HMMcDe!g~P@b5q0qsyL`3z_-S7z&jMxRdmd^W38&BmKZ4 z6~=*|?R}`HCC)TDi@7tob+0f-NDFE4f^GgSrZ{nlC5Qs;joj261mD#hd>*e4r8xJ% z&+IZb2nI>~^QDWAJVmu!NF#6gg}Pch2gPiXgeo@q&CB_Hw7kLnHy-=~?Ui#sv;TaN zaZ#Gj{NVQF1>U^Ap<5pHy`C4wouuTHUeC+1&Y3jJ`T4gy-T8Y*W$)4VKX(2=^r{q~ z?TSr6uo~n`KA{n-Ao(I2-Nzk~$E@_0`v155ES0LrPeqzktAHU0NebKl>;d%W9dI1W z9C&864B@>yoQ#8HFCby zBVb&HP91~VE(Fw!)?fX2x1g?qP$j4aL&1dly{(A^jY_tXM_+Yg@tjNj#y{Hp^F6;g z@I5}ZNo_fnuD(Ltqp!k{djX~QsKRl`w6Q+?lUo#HkejG;hThZHIQOE z{9tZnKgh)`0H*y8AK;mbzaSGA4$2x?_G>8ynh-tV7qce7EXNTD2nsbJdNs+VFsiPs z$5#8y_#qt~c7>s`acPov@EP#D^s7)b2=RTPp$rHH3OgTdEuO58 z(>ZmHh8WnZdN?yZ)TkFGL8ee$<8!_bmR3MF;DX{Iu=|Vl(4%6%1wvU0eq*9vVwe7t zq0r&I+!jF-%py4OBc2gO@BE2%4n(w=AG0o6RXc!#PRkGE(qcZ1gS?ZSAE+OUfgl>S z4$@B|!0pcmIsO*pDKAK?+aGk&XhDIxvDdUUmsJ6Gms;h@tp|`*SyFh?i$a){wUbJr z|C3y4pJb;p%`2{%xibL5bWCAh!mGukyidRwMZb?V+`~|O1Ag>v;MG`TK9Xz+w2*pM+s?{C`VBOpaD3|{{!fgIfJm$k}Wwm>Mg0ydzE zWeSS4p@0-Bio|-4`tWu2`B23De?^^XP*YbF$7w)~Rsw-wkU|Yhsa2prBPbwiSb`GD zgsBcK0a+xGtyGzYh;%@M0;X7OC4zC-5s^|n3D1f>={q8Vo^-U|r44aC+^O3goXTVhyMJO{ zbVa(h@E8bzyr&Ka0r8_4+SgPgantTiZSC7CUdXJH99shAfsLD+ZV+oUb>(SgZqKvl zn4oLiY&3aQ`{CGlFwVmTRH?e=YmjI6o@TtwA2Kt zP4}4O5bQ*D_~$_0p#~RqU3&RX?lukx8ww){*j)o|h#J(IQPp=4GafB=U&&twTddr;EmovR~*I?7CMzAk^i5nvGdot|53nw|TI-*R}{IyyfVH zU%BD6UOqy6%Wv3Za`CyVg*PVs#Aejc<{@uuOL9|q+$FOySo|@xk9Px_}WCUPbfk~OPU@; ziw|G-Qa%f!j?ALYHoor-!XI_b>F1KIfj>qjiv1bJi?|Dmw=*Ew!kA0{wfeJt8*3Ky zov@YZj&Q2vL}MA>$QiarW@>D)2IHE^U@5`FD;)8-SUgTott1}$c+~oh?R_PnXwPnS za?~d|75l6SQAdiug`oy6_$@MvU7$k~dWHWph$x zv@BZb5%-M#m>_AvbVr8U-B5{N1v08=m+5_r8$v_w{YXsIM^4W0r)pTSjf2&)?`0N} zO`PzAC!BSKB1kYNAN3+}m;P!i2=)v`Rxe!B|F~WKTnZWcn&`mL*r?e29sVc!ZjQfW+1mIp|F z8kDI%ixSk@^U>-Lr-w2ipWaLxqz+%t38Bg%qIBj3PT2src{A`J?5riMA}2PESD zs6?Nyhj!bF^bpW3qjil<^3WbtDNiJTNw`QouhU@hM2zt)%5&%T?|0oCcE}imvAY9d z*;!0weC*50ifQQ@FU+e@xeQtkBpRLRM9#2Z9-SwA$y|RsR}WFk{bwLV1eQU27FUA8 z3px%|YlFetUVB(dDAuG{bz% ohWD#YBZ|>zH*FW*a;;ylzazg|R3KZKo6v(7JCMVY`^V<}2akp0hX4Qo literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/dce.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/dce.png" new file mode 100644 index 0000000000000000000000000000000000000000..311bfaf04af43b43b2293114b01174a212d9b452 GIT binary patch literal 123552 zcmbrmbyQVr+da%N5m9N7-gGJrlDY}$?v(CMNdu4&5Rn#M=YhD0DEuTFc7VUyamhB>IQa*q`U&xcZ1U(lo4gT1)gae30!)P(i+?b|m{P<{!8$(ok9V#1kR9b{aJ9{B(H^6x*- zh@QsW`JeAg{z7H{>)&r*aiQqb{QDhB?=A99c*lQ^aTMR!f4)XRc}nz(1^a)#HM{=l z@X^2D%9ram`Ui?MG&RNBa^l~O2+5%tzdght9@=c@qu&#Z?5&g!rt+tN8L+H zc$~wDLOylY?AH#C|ICNg*4A!rZek!SD=Sx5S4Z-6Y`uyQ2mzDs&tuzyfq{X2eWzN9 zYVnQ4#Khy(=?634SG&I=OpW`8v)zvHP=V@Z4hgCIr zct-Qp3*U5^bQl$d5OLR~i7Umwi~Zy2z1*F!HB+yrt^FPY?ZE>fp9}sS+xXNTgTj-e zEuYJa+H!n%k0T!9(8@{;g+9j+v4QqfCMKq+$6*ytt8z&N976T4q-m-vD%7>Kj!#d0 zF3*qHVrw`9NAj3uH1@uj9e#a+-stAc%F3GM=woO&|K`m#C8Yu0a)-*vvolZ9Fg3&4 zu&|y&!&XHlrLD4^z{3$6Z*<0?pd*j;qNpEkjp7(KDWvhzt<;D49 zy*h2fMKYf=R=4e6OEks?1`el(*7x5Hv{RIJCi+~AyE>o+Y#c7$l|y?XOv-IT z?AKpI>KGatia;Qm*!=Y)Xk;X%q~clhk(&YsDwe;#zgElBHdlRgFI9DU7*9A4RoDF_%<+2S`h61KL0xl0!$y;0ttk4d z)%#xEYEY>9?ax(=oSp5x{`pgY3D0t=GuF?qCxC3|=1o6FwY(RF>ee6eF%5ZLHVBX_ zD}5>Onv-3DZN#S;t_NYEaO)5T(zcC3xQxUkB>SxCU%!5Txwn+7zSCUuau06&1Oq-^ z@Z!n}0nXL2u(C3$8jXuE($}9|TpY?$qJ8}M@!eoGk~%7^JMS6~_Vz5j<_6MbrizT$ zhjP?(w6(dd)P?MRy{dNI(yiD&?Mr!%|46&ZfK|)u23fYryI5%%nFqwY>Ze=p1gh63 z>yorKqT=;W?aZ*RHX#u{rVT6iU5E(99aL00Mn+2;#Z7euBEe&szM>#WPqopB3GVV_ z1A;Q|bNBNOf5vQtnwr|9==kvPzHbg#`((?v1V^Z_%*RX2*-biGw9Rd8>usiZ<>Y$s zjIJsyO79~)X3al;><oD+S(+ZJ=1}xNbEenAiwes#RokIB!M z^U_g|OM_*?!^1-+v2b##(BWvRtFQQcGd4Cx_OApX z!!uIeCmctevFuV&Oy-LS&x$w_69z^`A})?^hcll7Bi1HoMnG=4nv$L~ZM&7@9 z^Jc8rba`Qc+;?t%{@7E{UmrE;!v~B=NlvrgulaQ+L8E&=!znXl6DXphnYGIF8*gC+ zmN>2UcSJ*V@I2mM9S})}3b7N*Zd!MFamsGmP5xGI>*C^~+;-;vy?dJz?q>4 zS2GfIt6i7{1uuG&gqzfxf4se|2mh3A;@6M2*jQL@{JIIW7MtUx6XmvHP$hzc`P{a@ z78mdRo~oXoo7>+hg*UgIsq;GeJw+?~IUqRL(be@i>YW?c3f0dx#@KliE~Z>(sA*_) zYu%k2{qLcR2@4z2$bOFbaCH>e&$mEYWMpJe`g(eL$|eeWK~ePe^$ioch#4(D#9V!F ze7HGbZ*Q;t=m=i>&eGY^vIHl}Z8KTnu-FdwCQ#treG+#lX2JN6;X$GOS&2;}{mYKWawKo6+?LD_`SR?K{dEJ#=0{0EL716oVqyX}qTch^!PE2Xi-5=bkdVH3 zT--($s16jQyf1eb{`_&fefynCjwIt;j zeL;j|WS7nOdQEV&w6rueVdz+)*Hcexh*H-vrYdIXi*63*PQbc{L#*KAXKbn9V`ADL z?=A{mp6#_qGM=9vwX!fF5I}V^DMvUgx z&6}!fQWTVw5fMrl$hJ0mNYbI9kHbgi4z8|pQc^uVJ<)AOY_Uv2LPE^UwcWpuyA1U8 zlT>rq+1UeGKFHHS6H?9@5=o>95sQdC7@eGK7$2`PUVZS8?a#(oab#p@~DSYTxQU1j^P_^-=KSI#hIY z^t*T0XX<^lwI?44oxQ?<>n|@ahraVY0Fx2}34wOBHM2F4(UYfB4gJw^bDZ<_)2I8- zw&B>{KRa2^Zw)1ZWz(5^iuXu+Wp=i&-skeK>$moQPfh&z@%m36pXKnk2wFK+HMJfH z#ijtv+1XjIlYI$E$?=+(?8ub{zq`B%d3yEUU%q_FQO!NsACO~YU|8cs5N@o1UgEiJy)TZJn-nli=@}T%8Vjat zJ$!cCXgvili+cofw%q-EeVMe%tmc{mYdwy+40_O zbOIKqmEKSiK0+d*t?62=G{u9}fn@*G|{LXnt(4GzA4Lkd3T{Ed*cA zTs0H|w}RfkNB0s1gcAJzy>^+E23#MYWg=YM=2^QpZ{IdHG{D>L?zECU)+%G-;J|6! z$;{0B^yw2Mw-J1pwnA5DXL3qPxy{r#fEg&o&qYKqo>xIZPEJn#{{1^b*k3xHD;Mr* z0-tMMLbbl)Nm#LWlB`4JS-fqXy`y71tD(POixYIVlf&CrE!*t@^i02Z@31=nr*j%e zH@&F(A|R~!q|YwlFQ$FD)T~46`Xd?D;dzMKG9Fb$GMEh@x5S=raT7cmfqtFUVk456 zK5Qi7B$mV4inmh~c|I86EzPNlonZ+%|6PQiXV@0f@*4OwcJo_XZ-K2L$&|vQ|WzvX+2#dap?dj>#r4`GbR@o(XrSX zMlw*}+0kX~=A)-K^Y-=w3SaL(v+p1lvy~Zq<-NTHS+Rovn6C}xz=RTtAt@u1z-AnD z5dyU?Iykr^4eG;v5{Bpz0S~-L$&i46mHxDjg|-Mxh8jZrWEbuzGHH82P6(2Sw*P%tm>#sz5V5`8-JsxL%9fhZ@$n*cCcr{|ckyLE z^RNmDks%f~H)Wh|Z}0AER@mvQsNf>SGY04*CD9w9Bl6fz^UpmuF)&EZ&(Bw9;^N{W zed4e{o9NkK#0Kz`$9kNDVj5s3b@JYQq!}zIG(3|+^}BdXbaZr?TOu!CzU)fiixy9m zrnwq}(iFq5%B=Lp>g+75aXXG5@-+qn6BGLV`(l?)Sa;CTH^xhI>g$DAndk%s>mXnb zbn*d4kUeqmt)RH-18RC+qMbYupFWBBibD~Z&QW{J5UIHgE%_mW3Io;qbd%e+x5RwF z!NDQG#$a)Av8}BQf=pIY@(M$7+h|KmOPiaoLIz_ZVNwYr6XGJSgBzeT^OP#t;t3Cr z9nWW|_2YaoadCNCsGITJ3yFvr z0E8Vl34>|ijy7{<=7CBiz$?gmoADAjadQ|pnd z)brV$F%}*2Q6qmFM2LxriH*It(nsklFDV%$mI671jpoNs`k0An0}8IWxw(jEhD+(U z{A7PWKS(8NDk^f{{(*s*(mf$>$EN!q6rxEX>Hr7)Ja=kuZ3*DwDu#z1SYPx70Oa%l3Ki z&vK#`=k1%AB$-MEb27fuM`AekLK8znX@GpJW0?A0=$5P;a1>F5)3LD?wb(#ghIw?W zKW(g7{^&PJwx0KHYG|U#W8IpSeroYcHpHyv-TwUZy9#tTRaI34OQ9H8s5hFz-k8|C zxO4;5C{#>SOnBnxGW<;gnPhjo``E$Jv9Yl+E`FCUB0fHNK3@+H2gj^5-<162$$sGM z@REf~j6|B^l$Yc7%~)wM)L9-~4+9+N_?DLI`}*c=Ww#`s)+0~n=3(n8<}I4qzdfA;j)!iWcjkno8E z6{dwokx?783=AYictDrQ#OSD-n;R3n2)~1xv^tRSShM8k%#2==ypgFXcICDkf0|;J zV%CjoKOhGJBVlqQ@Xyt$=Ax$$3}8k0LM?*H*UZwgW7clp_;7cZ7eFwe*22OaC^P|) zOG`^4t{>rT6M3B_necAkylKxveEwd63TqWWMU#V~Vn39N2PC{A=w8`-B4bb*#;hoQ z!UQW$6A?(3`1|*7!jSZWf?nwBVkxV%5kP8aY#tLXkMYuNIB6&f+- zcM7MCQTrcnZl178mMRsOlxUZjg+C=HcfX^lrDbYtTw0VIO2BF`R%8qneq>F+k6K)o#rUK+kLR3_Gy*i*4*RAQH#4~8*b;Uv1mwCNv%IJ+%E}Lt= zf5S(+8JM!gYU}8n!(bpMbnXO^qUUumbTv7h{)S;_eseRoCqZ6M^xpmZvXbu3%kQ9s zyVU?a6DD{8GKfprH5LUjmcNw9)TYOniKNY;5GGPY^!;rQOJgW2MXN=X2_C zt$Aqo>*eh23%$|oye{)qPiEhd)j{$?mZn|KKJ7e~c^@-y7Y$8XQZkRk+v1a;6);;6 zO2I)v78@@N4WYJ9&e7hXq0**YI?L-zY6C>Uly`Bu#n&X|=;-+R>$KoKW+i!fa;(6j zqJUTqJKq8>=^ATM(M?XzN>e$b>sL)spT^N~Pq>~qt5%l@FN{bay`>!(iN)pR;AR5@ zs-Muwa8<=osHG!(dD*oYE~A%^5? zUv(xiR2i7ab{E=U6djS{=`XcZV~h1%A7=KIfBEvsyT({n!&`kqej*eA$TBiB=^&vw zI0g$kBp^I(Co6tHvZTDjKteXbLWc$fz{n=~^y&AhYS)mEkj;$^AUCR`68Bl$DH)>LZ$j$t(nFuV5lhug`%dA;|!#b+{_h)UoO1UwF< zV_5VdrP2HhAU;dwJ|!k5dh>@qCI8vpPT~F4-NVDw#AJ@=_v)&Kib~q$(0Hy^d9i5^ z^ko>61_uWrDIsImd@fJ*Qt%-~0Aa4zXCRSCfQ$k2JkcH0yv^jkz(I9%bgc7JGzuT? z?|;k8ByV6vzct_SxW2}278$Yu{l5NWEe9G`E`aT!Y?Z4Ud3cz&^GfLT(`^8f!;iA- zEI50M4FTQ(b)=!8A@_y<8EWB}Rk-+|oyF(np8W{_3ym7IGRVMxr6~;!rhR>Vz<`WaD2Tt0 zr)+5*LSr9d{g|h*KR=I7Dd`-VYWc{(Q+tyo!u#I4#+~hzn8_NrOngtCEH962?Cg{EZB)jJQ1k_C>z7^%Ch(^ncAwCz!J0$61_mcnd2Kn- zCr_RZ+O?OLmj+W*e*R-59mF|=1b`duN3IaN|9G9ccp(6@2ef^}M6$(N0ZZ6K)dVyI2P-RLDIAE7Sb+AxbgV2c zs%UCvU%r0sgohC*ay7k^-doz-Jco~j4`}?&m6w-?ngqmbO$|S3*mHFBFHaoPqodWt z#9AOedOkl9K3nlR`%?%@P$-nxa|t{TkQEYC{a3`z{Cv6f#Nqa=u)*4AUb#=+WhBW| z{v9IvH8nLA+IeAN50IIF2)nzx;e@UTk?_ot5)MvIPCB}P7|Ti+906qIY|XVV?q+8* z%mv-JaRcOl$O_Nc*jN#Czn*14kxe5b<=vEQ{6@eSdPOHfiS6x`H+VG!Czl};?~ef^ zs$=vc9SkHxq~xP$Y#nFx89xj*n2z9+JefwW&{}2GzDmc)h#Hwo7W1P=vX`^bl4;Jj zuJy*_9zc&E3~8C1^t?Db7RfJ%i4JJ6oS`*|2pXUiiSL`jAbHYi#38Q`5fSnB?b|@} z`rG%3VTQJc8qydfNfS|9Q`7xLApYaWk8yFl9*0(qYe0EwnyRpJbHmJj35`*rvxFG~ zb&XFUL{(W%PR`cWmXeavw|A~N81QvsL_~_v@z%FwLT|N|oX?VJ5LYKFDPb5$^jn6& zbG?53n)p5~4UIoR12Zsvgg~(t78dFks>^)KY;JBAd6Ju(tCp{u5E%(64wrrX+BJ11 zyth9_i;O$|?98usl3amoq_8k1^6!bQE+Qo{Y;~zCzG>x&!I$*^4r?g35(WlyzIU*Z zNcTZ?GOT{X2H!i*hkibCR5a5y9!JwKv;=;Yb8tY5?m(PyAbf#42V$dj(ZS-z7fo_n z+SZYg*1o>*W`ja?CYVz7^vLEU^l}k6Xj#e(eHnNDOQ}N{3S`0dL+)&ELoA-KigiWC z#kDNgf5EaGEdVz24t5LCZ$o|k>7v`xmv=d;xv?W9H-G)MsSrz36ztBPKbAyAkdcuY zC@uh>We=?>Hvv!3YKxHE~jU@SRnxzc%^jDmA={DhIKthksDp!N1_;}z86=ab7ANJ~%8(*gR_Z*Onl(wkY(8w;TBtUB=mRR_4TE1nx$sQy5uV`Ad8daKUz zS3n#xaoqnNk!qgy6X&%-0Oat`ykK+$9tz?grYx8TNqL%40c{Dr4{+bW zk&*e=WPqBH0jB00aWIL=#&KjNCpWOT4JHZSHlQLzn!$PjCl1u)2f&(wH=%!(=Aga( z0VE9^h>rpgJdlc}U2!VQfxElA7A48vM^mn}a$jKTl}i-Vsjy3$ZS&#AEfs!uA6l3{ zu5;T*YT&>1YTOECGlD}xs2Qf=lAu?qr722Kgo8!`vdvi{(&^I4Hj$A3`I>UcLk{19Rf-2c&~! z9^gV=$1wfx=)7+0kS*266|msF6Ccs99NAAb-FC0Lr2? zZUybB)$aE8Q`DYp+JHVBDC9zC1$#fo+!FB0)en`E3q09=@3IuFe~3-Fem! z$M>W@FtE*a{j$d{M4s+!X}#21BlCd<-~?{#agomMy>0*whJf`?3a+7qxF6vT0U-~< z17Nq4I~m_6z8kSw%8B;8VEH6TJw3|r?Zi8u8+rHYvcLLyUsO&@q!Wz}mOU)>fBW_v z!U38iuj5kZ%F0SPzTy9J)AEyQTX#r9isT6C4s(;X=(V?yXZ^Dw^5S< z0#GR_Q-G2L0zxzxFM-E?PG_lm)(-T5gNQipX5c}stgS7-0k0Ae5@J1&{Q5}h^r{8) zWVmNed;jAFkW1uZY`KA3dUMTlGHI4y@9G*+e(A7n4D72KO8Z}yNu)~9L}1!S_+ST$ z^vA7)O#>|SK3s>8Q9mftGv z=LJYy$Kc$*atS8Z19X52U8;Ew60X%$l}fH^eevY=>(`+v1-=YLF?)KdItWx#Rb?f! zZq1*BMbx;v?teQ2ehKV%anJDZ4xgu}&_r}uRtsX-mf8lu0zl&kB^5ZaU3+)~0|~`! zduOMxy!<)p;?h!DMg~;1(%QR2-T$!=ApW%(XyyX*_ID)zeaR?ax&HkTyzu|afq-Fh zWyARIVU5xt{m<7BKKK3)+k@oau88j*&$5*N-)4!GuK(|bhyVR?zuw+q*C!aB7Bvfe zP%>&u^cnA;PyaRZ&dSPaa=-Q^KWIYhP^^RI-3H3zVq+oJSo!(+si>L&3&l?S~zganxN_4V~rXzl6IWg2TtX8>?u0{SB8H9ltqXeDXLLJD~M z-Uq)IQ=ULv(yLe_CaWK-EEQ+F{q7n#tx&ckMz79r~{~W!cbX(+MFe2{* zmjuY$w0I2CaJ4U`6__V<*B2}VAm~E$%ScERmX<;pw}Gp8_U!enAaJoU0v;}IZhwyV zmS>*D-dXNR%qPLLjH;-pfVNHPOBkYbmQnJ@!e+XvIj$$a*1PY`O~0F*!Vyr@n3-X2!AEMt1od;Kg zgoFfQ`1Y+^Afv^%8KtMEe-ZK_Z7hJQ4%a=)^C(;#E)yEEC6y>m1Zeg?pq}{l0@WoY zCB+Oc`^G9Ws5m+8e}3Y)7A*6ggin%pn?YPwh z1O&`@pLW5t+TOkfzy=4cd1z>8a`Fs1CG-cdCG70&F+P3_qV}R45A;#Eq4$<`XK>4l zi-`o>ITBE9>M-tzdU#(Y=kXEm;}f_rn1z7o@1EZQ)5a&TR$#1+ zV0wAMUC~+kOZ*npPoF!=X80)ms zF&`o#ut`X)&CM&#`jX+Nh3emugx^7ANr9v1#v4Gvz_ipw)-L=T7Dw`TGf+m%IA*+# zNH8^_m<+8tov?HPz{5mB3INViihuxjt_c5!lQV(DydPAe#YnSS@!lPliZ%Ib4s;@14UBUrUcHA65Y zrKO!fB0A9rio3BO7=&+i_0fy-Qy|{Zv0Lr=`S`RRfwBy136cl~l7!dkXJ_Z9HY1); zpo?l>52z?BI{_5}%X@NiGCaC4JDa4K6|k)YiVidY0KphY5CT#LRzd7-vFB$6{tk$) zOP|XlAFRL|h)2RPwaL0LA z!@L2sp9n`max&7VAAA>}zozt=L1|Q0R)zvPFktn|Vt5%j={MgRis|EXdG3lmqhcC9 zXi=)n0NQMPW#vmyHl(HBi=~(wyg^0a--FF_BRD+S-6S)tCRLseY>iD0Fna^P8kppRiXaRb zuJ`dVH8mw}%~H&YZ6G>CiMG!_G>-m=^b6buh!T{IRh>wzKPP`TFqSg( z*I##;5PeZk?k*U!GLp}N!WQlUF*`Xq84#(=5Si)mPg;Dhm%iI54cOf4^l%E;TT#)Q z{`!z23EtSj%@hM{?H8+a&6xfd4}pyO9mR)W9<3ZB2ee^9eQ@{EkLGv~WTgbSs?Uj~JvEiLIS zEaiaiLE8x<&;8r&bm(55{zM$a{%GR+x5weui3ERn;BC`WDrdD_r{# zhz2LokQJy1gLa1d?}VLf*f=>O+l+u%fbQ-Utu*p4+}%v}d%Faoqs)+-nfVme3q&ab z|JB5np)@IcUyS&!!|iRi-GxB0l!tI1#l=wz&8{(HHR{I(m6^RU)O21^q7Y#xHuH@B$nF18FR37lHfk!ky@j&Cx+}EBDPXZnUp@@l4U`fYBc`@v{iLA zAMz(iQ?2tb8E`=b5lN*XCr7zrho05kMC`+|LfR<-XB5c`GWF}Hz57=!!a43}Y!Y*} z(c%&pZ`iYw7zxY-R`^%mU?Xem^D)yz%-Ry&I!_7o%)wPBFjve-WOI>*EpKgwVE|oQ z4D@etu`ufK@iFEqXj~B703j%R10=pe6@{__q@<8aR)Xqp0^*SmDZEEutPO}mL3?W7anE-i0t)Oa56uC1+s&CO=2YUs($ zKhVCxa=QK=ltw^oudm;R?gPAvKL&8l9)Oo2w}FEKPjnDQ5GW@B0nouKTsFsHexsnE zpu%bclXT1S-WB-7#T~LkU?Tne`~bZHX2(HW1ez%~FK_sP$v5_+ll~|6b4~Dh;0RXH z*7gMKN}=iiU*iRrx*q^MNC*$NhqgxC8voHGMXgv?wp*5iaLP_*IOVyBZ)O<)K4`?_ z6BEGjrgl>ZyxcW{UP|B(cL6k_=ue+|%{U6xYfQT0Vq#(d_cXP&LD=j6Z6HmOuYegA zhIe>}>1x+}X!%@RF72P_c|t*@SvyrE;d8M9Md3=X*M1Zh9PDwtOO0FsAOy1rc|;pw z2#uiNm&`kmGxd(k_xYXg|F_J2ufMhQ>sRlzu^E<-P(9o}E^daMGJOIu-zWeWbs)`v zjx-WL9YVm`FgaOMM=-#Lfq~Hra0Tw8OIxiVb6uRCPjOVtsrHsnjw&}V>%Ws9%Jl0f zxxX$#Bcr0~Y*}ew%%WFH-d@1 z`3knil&JAW%5lNfh7pR!eK2I|!%S~6LLYL1F|DMQGub3W6Nm#*J#;-z=f#MUoz)DQ)}xzjL3@5ClX zAyD^;5wm?-W(P&A#p*4II1ZK=MXmJ9yl;ve##g4uUcya06EP-bI*AF zR=%6w_2#Llu5nw>Y7^nQhPM;n@8BtV3;hW>&wv~!QLWz}Ld;weU9C^5)u)$C8YeN4 zRD>EC{S>|;8N~}4i>Sh(mQdd8Nb(}GaBr%pj3j31ahV6@;~BcgX@u@!eQ~4ez$uk@ z6Qd~XF8`)B!rvqwpA_u&w{Jg3eJ=iP9#BlmKo$p~Xtm(Y=JEb+x!&6Cq$D~Enr*n-nW~LDQ5HTP*pBHH}5mwE*S{RVhY+q4NI>N zUN+kp?Yh;-g5{SwumUBqT0>byIYj@bPcjO^cik>TQAvlv>sX#D->q5!3)>^lGIM^w z(l4^dVw#C#ujE5u>Ta23KgS31fzQTE<_P+{JWs{xPpNjyx=tytq-iJocsRYVlU@to zf*VTMdtaaCy|l|lUt{Nx3bj{tc(}hgHA5UTzCP+Kssc+kwG^XQJ!utf2Syv4h_Yo_ zm-c1!>F<#M9~JHP$mLH;of={~)_>UfB1t=xYr1Tn@x~G&QX@-e2Nqd&n=`DVHHD{< zvk>r}Zg#}2fh-UO!)Bi>I4!~y9HDx4OeNZGlB;oWvgn&wbeEUa9+79=3r>p488eYi zM)-zfcK9Z#T8y)t59iQjX47RF>=Nj{ogzKoNfkTGS+TJn3bv@iY|Ku{YNdT7mxrs2 zU*GJXCYB|NYHpE0K|G7j9wj|!qA?OrerIR0QzObMS8_u2CFi%g|6KbpTsvBbm@(Uc z+!v?KfsA_*X**+45jT<@$AUfwrEi2;I`R7XTQsZIf9kicun2!|ATnaR=u$fCQj8!P zD8)tF>7r<>{iwEutY~{4`uV9~plpA4DWo;QM7ST_5}l#E}_rD_g%Kf(*u8) zC8NGi@(jLiH#E!sG;}1HZHtSj+1a(EW+;`R~A|=TfbwJyCA4w@taoFO0J=ogqJ&VM|5$lW{Yb%bY^2atKoCJh`fB`(X2FUIOOG zbPO_M#i>H_8&eOSxzUPYVMB)(Ln(tUvw@*wuuu9=XsNc&e&ka$xz^|i2>el(uQZ~ZfuOj4>qzsOiIK~ zNU{kvMV*Eb=TNkbZ7P#lrW(7CH_BLmNrjkJ8dInhKZZX>zoc?IMWO0$ONhv4se$f0 zPtz241K(vz26n2eALJA#?XhHtq<`IqeI~a3L;Pz|T5*vAc7nGVqsV>DW~RQIQ+16` zI~#FSTt7CtwYVY-@6owC;=LeZ{%s(ldrXA8H^h_b9%DEK*F9I(9}F`qPxMT$GW!gF zwnDH!wa;QTq&{hrUM0xGJP;LuZaHy#iZdS}lKe3{DErA0(@VcM#y>tri9fXAH;C}# z>lq(O-tNAu5&Pcyhr^0NusD^1>=WeANk7v?YX!R;aZMWTImgZG-y(CXgBwfr`CN*- z>d&=A*pAr9myaIJ0lvgS4!G&{-&k8h^jkRg3g|qjlvU|7t>P-nsl||UXOg}cQ@%eO z*%@VgvZClDl+hYLC7sib-NG8oax#7(g@GivV=4JsE5G|c6PKVb8d;WK6M(J z1M5f?)$Eziiq8&^yPh2hCJV9+I5$<$O${X+SQ|qbW$+!Y=}z&mt!~oQX;5`wAbgD? zC9|J&@C<%IWw)>1HeN-Jf#fXyQM=QLJ8hew>t_kxynO3gssY90Ty>QM#m+km+}xe1 zk0L02>G;JO_0#(sS2M*1L`DYj=pT*LoY9xINb2Bg`C=@}6N>H6aG6c$vvIDzjWWUnQ3rKv;II8G-KC5#r#yXCQ4Xc(lNkwXE=<2d#P%^5e zCVEePo1{)-3+24KNFMqmhd;l6BasDrrnQJeNm+wV3^gm&;8t|^Q7L(YG~VxY+?SEK zHw}D0&}B@HhDt5>68=n6;JTL6-?}KGYY{6JqohA;Gd{@@f&3dM@vnO0LJ-dV^=(I8F5=5HvT(QgsSo2!^+^V_D0;x>wfy}rUvu6hp33ET7@y8genOu zhFD>xhrSHTJvsDbPd@S}Cy2H8A4g0{l`Jt+b{Hhv zA5l&*tM=S$Ldy|%4VK8Fjycrf`~fHGX=b;dEjQqCp?5Pxta!FQh_P@?j5sv>!r0l_ zd6PwHPai93Q1eA7##~G>T7)i!C19vXiO8t>FPMs|G#RW}I5ZlRBmE&O#>XlrGP`J^ zjE&OLq;HMe3+%Y5bw7#|1R~uzolOS9d{Id`5AjMjrkp#I?9DhHg%}>K**x?|U>y(H zw{;kO#2-?9=8`Sxms+8DQgq^#e+5e~(!9%ybMkCKt ziBZw^wQ*>L%BBsS4=fU;e2`CYE$6tr;f>BUJMNzHOdT7^fI3SMsT?U!C&B#EF!z3| zJiEKd0Fyc@qBJwybYYAMot&taRq&}&7Spt2`RclAt4ew|#782|~LX*Xx@_DY&x`A{2&?`z1T}reoBxTjNJC3}RR? zkU=dr886>Ce`T97JIPL6OYbBd^5hX~$#!6*dOWjYa?xY2j~gaBcji!58Xg#&RfxT{ zI7N|=op3q~6%xgI@%$cpEm6Dakk}8GpoWp7MGh6TTxFT^Svp6(u0iqQ*=$B!UOzlU zDrTG6u+@3D^4%zJjf~!pEBS_G933OoLl2Z{Kgly`jC0evS*Q-6>Hn-KPpOj5%jQ)7 z=Kt|6GZ}}PT#zEp_tq>bX|O?xp)uQx7Pkc{8S>GnhUepcuoYDDnU+~Lm67#491gyk zZ9IQbrLjrLu?KD+ICd$sMQSGL$Ft_>)oHfPu|_IJs-_8}(l<-eY#lH&rnjvd=Sn{3 zs<_j{VJ_)vE3KOKB8Ek|pZnw?XE~zjs8Bf|vS?TAce$}%o8`{eO7~gR)*a2oW@RjD zKjDG8Cq8Af_U4hi_Rox+^&@2p1X|-5hs#CRWee=7&{|lG>D!HYyF5sQZla)U=Z};* z%9YV(b51w~FvgD5jPc|88AL@_7X5OLxg)H;Sb8mm)P?D>W6_<2MdOxxWx0}W2WJ&) z3kFePH>F<4awQe>Y83Itt#{;ifyQsG9nR}nl9U7C50CvQ@CN)QfkqD&ZYcW)ne_1(Lmcr{n@Y4w^R+dIV zk25#NfpIj-3L9UEFj{uLUqh96SjhEfj0scJ&G^pL51CX|4G6g6H_ z%eh|;edTIH$qHvYKc-As1I7J$HR0= zu)Q$+e48ZuLmI?p($1SiN4i4Qhu`DB;3ZaJ$l@c4txLd340ALam#7Qy)ejR*t+1+j zcP=!+VogSC)ZQdPpqfRl*G_F*=G}6&_x6`pk}^F}0`HH}D9be~#ZS9M8uU_ridc6d zq9pIBp^-(;^BS$&iW(}ZU>yYCe%$e;j&P8sJ@>1l`QbAzDh3HfCg!Tk{^Z6S^T?n9 zKjmA^%!8Pe`YJume)NyO@#{``I;=EsJ98ljkt8R)Aid_x3tq6}BVa~6U(ozZ?}hoQ zai~)T@|%0?4nu0QBZtd|$yt(y$osYoHlb$mmyDijoMXMnzPL(@1-nFLciH5>k@nMVU97{5^G&vw5jG0q z44hpt*J|1>1l}&0m3>}Ym^*v~Mdpfpc>z0*i#x`vajw4olYe@I9_LY48bVh8w>Gk! zBrbGb@i+N$mAY&H%h!PUzuBIzsRL%4I=8l;y}2@G{5lt*j%fZAH*oD2Pu{?432K%z z@6A{y+6ZH+k2Oy&|vI!U-zz}hSH6zW8#~5Ek8!PQibo15p|IKjmf4V%%zwN$Mt7ddosWP4)%u`*gFXuTKX#;O&iYxBY{1xzr3i9jvnEA{MgMkMp?4+^K1ff z?nE^?T=e&eYxfZHWM-&;0g;ihbR%{PB}D8lI=YjKiwO$>$f=-DgX?Fjj$kYD%AwD} z;nWq!3A+i1??2D-6wr%CCIy6r`5bP<&R#fFdiJMEfKBLXKk%}jHMfT;dhSPX@}4?& z_{zQ4*dOaF^w+}ooa8(#T7yF@MH3XJaiz-V%##?@M&x zjEmU4L|r&X>zjng(r3b%^j{JCs?UT`;b9e$e7>>3M}wahc1VLU1FjDCX*sM7(ii^8 zy=sg-3hSXwgFQbDO?pE6fp=C^PLl&QGEJa#CbJwDP7?q@I=NF05;ICDPmtK>v2xTG;-?(dpNLySEU*N%V zON3+S!E(>e9-%HPDY*;gostqO<8|-}SpEEf0DqW6B|kSeHv@yDk0A?~2l)Bnq+zqe zK6r3}kE9xYE-DH;voFBX*wg`zDt}+!&ICSuMANJt2**!A7X`8tHlp8bEC9C~Y`2OT z9RRl%?5uzdi$S5iCp8+qUU_(XsV-k_u+q3p%cZ zApKES)BLoBy1IlvjTvt*q&?>5Uo2W%W(lw8P6vDK(6gn*jXE(dkL_r!Hhr0Yi(ZUtkjoknmFTQjJE)k##Wf=!F?wL3uXdAx z+K-Kmt)~auoy4sOVIwT~(m~itNJyB1=aqn2=!1CbjL&5)eVg~ekQ(eJx&XI$jC8tp z8mRvu?l2V%4{GcU90^^6xRDf5RQ1uNY)(nr@ht6WZ>X!dN9l`Qw9wmuedF%b*C z?L-?0yS13*k8fk@>MLJFr>l0 zW+wriL;F2!GzM!GcD&7W4d~qx-|&N*Pq-%ae9k!?7R15VpX^MH7o5odQc+h|Hc8%Q zrVjQt>Zq!k7#l~%pI*YFl021FriHfI1tMZQrQIK=e*Ex8LOj)vf=-c?NebIZ-})Ql zt|OVMn#iOVsjKmnDgKBKBi^^KU&%!ko=T!~VxS`KtQxaPn0%CO+jsHtLBG$sDBEsy zYi{{`H>{I+*|R5s_1Nxrg2%c)_X4DSp*N|F71zWI|0RA_=YmuVyW4M>5yip)wT2;%x8}}zLM>-+<%OM z@*d;O2PssfEGkj}0ZnbPq~=K%_%m?9YYhp9S*E0|eFo3NIG-oGyb|!hK?JqSrVs{B zgF+s1@~>4@9|vnJ_>o}mr8d`uR?MziHm-~vw z8`{N!txNJ8htl1#$U7JAy}xgN=bU@b z|GD?Fd}ME2to5$t=$s)9RAgwIcUboRU=-)3NO{9zsG!06fzN=ZOU^{Q zbD-PcQ}?+T2WY@`VSu()DBh(^m=O?ouMaMFN@;N_YMvHeS+N%&xJ5DIUiRg1xo_y^ zuW+dr<>0uJ(T=aQZhYlK^r2WU9|aP%w$#Rc_resaBIR!nK_%gwF$9v%@uyQ?pmx^o51>Zc0)0n90z=Rz6`D05_}l>Jx)PHB&O;Ctu)=*MuUsMySpnKGwYsis>cE3Y7>c7wx)N2zCKbBdTg;Ifv3gL zgnYy%(rxDT>MaZ>sTVoRa~yAXMT*xruW`^k*&TJFP;m(8BYpYuq218O+Z#t9TX9K5 zw?A1l1O#LxBm%mO#U&+oL5Ru1!Y+Fl&J7r1gGZ559pQVUww=&Tu%xUYBl8dhEr4AI z(pGSKKi}xm`VrpVD@C38@Fhuh)DhB5RWYCcVSbuxEE z?o6Im+`htzcSCuGVzfkR_bR8uF=01WJ*+!YTD&hR+4jY|A@yR_u_@hFUJR_InG%L( zwpkT(0#4zppv*AOzGqk*m;b!Tv`hBTarwzjF0R0p*km!DMctludf-(C(}J3Xn@ z(A65(TU))oLORxFoKMP_XSL9~t=ggUaIHmxUL*h$7Zb~HY1mdo31 zrIgL^8U|uaY)5ZRq1zJ=Y@aqS%{GO?`GDUxm&jeAcf=siP0HP`b#liB^xz zzeM<_l&0bP2Vrh+v8rTS(5}1+HlwB`nh$FHGSRAb%#P+SiXkw*h{->%c*OdSoTV9o z<4ug?jpIFOIQhmT73gw+-2ibxuPH=euvxB-qf~DKk|lg`M0Ilu7X2%ItB`GFqHlS4 zV(Abg+WiFL-Z-J-wc3vHPuY_&km>8P6EmH3QB)f<9xsk`<$R**^a(sp1_C>E_)5#- zHF>>Hb4JI>DJbte=}lbDHI~5<;3`IMs{d?#J?MKYa?io2Eo!(Y>1ls^rABTS=ZP$p z_ra-q-KUte+|M~5B}Lym*>`Q9o=i*2r9~m|8KQTV7H(2g3p<$XR4Yo5k>N93KUw;* z04q25d-5Efl!xgdyM-ye8{t>xDs&TH>fG^9?qhiP)+y!3J&Cf_EjGf=N6dbyd8hNy zVGP&_kBPQN4~HCI41aswTecUZWvpO-xLGEubB=awr?b(xDzx;#3XLEH$J??TF?yBX zcpY`?aJ=XJgmGR+f516pD5^qY%xD=d1cxqkh{=_<1sj87u~MVc!P zMW6Sfa*FxxUF=4@mN|Mf<0A)$RxyMH{Xu;`ew#4ILgd)Jyqx#3J0B=HE>Wu@DXdk@CMpUn zVseY4aBf7Ea`0F^RJvs@+omS97N&L7pRY1ITv|zcC4~>0&$Kbqvv(oI>M`%~AFSp? zUNRO;Hw0MFnYZR@)YH)X0738>!c~5 zFRMJc#>)}Uta30Cbl|Z?9nMlzK;TdQ(JIgGSdk+b{jeB`hE`DQe`BEz8?u#qJ|?v~|3*a24Gt{w+3t`T*AjiVFz{VXsvF3lyF@ z>$BC75Y^FU8w#S z_Q5WfU3-95e@h!%o8*cm&(YP8HV1}nKhdC=y^+n8+b;_i(+MAZrJnFu*b$~1i}A*> zcI_y8Bh|Mukr}FZa6yoo0oHeczF=HWIR9M^Gs|ziDaBf+awD?$C7a(@Z&`;_j2Ud) zCA6tQ9~K)>O|i(iT)vXqxHeI)`J&Ohx@yC@s8!?EV?Bkjx`CGkwEWZi)>{MD(dFEK zlDlbr<(9Up-cfw=vXHnTA=x954BZufuxK7P=ip|pEE&p26A zWZn^cbeSx+ilrIdN1o?6`jNDkq&x4ZK?t)r-coVgB{v^U)ZmwTu$c~XQ8x&$);LOJ zFz>3e5?ReWsX2#ciH;#~fggka9Udwoe*K~C*LCkns_7V$RM@{!IgTOo40&MGYR2U5 zo&H?aWi=k|aGlvN-)hZ&*HUxC``)fU=n^D$JP;<~Us)OTYd1`v*dz|isJ~D(XGvkAnNXH1Qdh&tO z4ry9bi3^}jbbz^fr+TU)EQGNPOWKvuSj_E$Dl?8>b>jwuWqI`+xpXuEA z89vu~dNgy*y6$9YwUvEjIWU9(V&cM{MhgfwZVT?Xv?fkm@fjm`+c-8|mbN&a?bQnJ ze5|R-cb{UjVT@0tGI!zy|MF5&SM+B!Q!FZvS3zyRT;iA9XL`R!m2_<4aZ+F%JeqH^ z%h#OcIMpOf2Z#nOJ^;{xvAPdoxbS`Ld{^&}Jz;)Rg+s1iwKv8oV=fo z540?O3vLR~jO_SPx;_1MLFaPa&{m#R%j_2p9`q22Aq@|OGOa34k%mycj&LEtBxa3r zI)s+xL{{Ol^75!KWeXCdPHN^^a%s}1T0w%eBrjqKKi;g`+K1Dktr%txM?IQfiJ8bH z9VRZW1J3IYZ{*hnTT`4k7*cU)+9=bJ`n2mlGPn1|*&Nr~JHX6~8|WhtzgE4GGG*j7 z^c*99c-b2#r|TJrl`Ippja~N9*%N$PI~AK}F=UH)jnkdkE&1+B?7y@W4Rm|=B`O47MqMBoAWu*m@Y>D>q~ybs=3QJR52TV_+9#ag zlX(wkizh?h-S%YrG(|dM=Wd6^n`L3g6g8)t_qE-|pzvl=CMH{Gk>Y+Hv^_d*SsJ+P zlFNRQIB3Bx8`bvfaAvpKoXTykFQo3~n!F<3#gtCj0nHcQX-n*8@y)>j|L5}Y?dA{qETXNBo1c-2F9*%1b7a9{N8L z+8;7jTC$Ht6fBt!y?xW;?qGDn9OuRtq5F12@%-p#$OkD{C*8l0aE=^f2Zo3eLjZ<_ zbhh1vnUg6}oYX2=>t@Qby>BAH_whN|XV#Gc6IQmA=;(f5L$DSdLNX7se{FueoOPu131&?fOlK zD<5AAWFjZGQ~jF2o~M*66JbZo|13E5B!1Lo4|6{Z*4>iQ z1Kq)|m5Nnbw^U%6GBQE{2#kU>6n zd4s1nC4||VXZ5g&u_#lW=b0XAS?t47L6SObd@_5$=#`=G zXmzi){Tdua#!P3~HXx~84hd@ z@R@j+VfFd=L+lX?lZA6{wiaf6$c3MxuNDf=_ZXGac?pdmj{$!|Xguxv^!1~)50n#* z*=TMXt0a$(H7ml*&;|9rlb8|El`N+DtUbc`h`ajka{(o}9hVaEjSp;(=}t8l-b;~~ z6}Qj4x@m}!M7>U#kl^Gem>=nNKNeIvg%3Le))zNArNSj70#!I!nJHMvDOlpQUCrzE zl?N)zA5qXwdo`^|950wHCiM=CZ_QlRId@H>(|3I@I+93Uq*TuIr{XxPi%a3AAQmouX3B@2+CwtlLiAoi(_Jd-^i2-ilEvO$dD=E*y`9 zT-Dy?V83~CEil|!>@O~Wn3?nHh}8zmY70q?jcR|>n{Y>BMH@$L_+$Rzn*pIE*}%Fl z*}O!8$%i(>oJeamXL*ryWt^KdnymB>=pQA2DX7a2n>GF+Kfl}A__eSgjZ&EUE&4Z~ z{Z7f-4px@KNPc1`DMTn*(iQ%6d{khn^8|-TU+Zk`X#QNtilp&{QeY(HXW}|bmFb?R zY=T}gbdB7#Dz~5Dn_6}MRgzYswjDJ~R>I9Nmpf8pH~Kn_DtE-PFH1koA)3XPzr=81 zm8j-ODlpDM(RS!};jm?4<7ocd2Uplkrn~jXXc^q*l>21MyzXvGshr&LYEe{(GvnAcbUdlP z%PDQ?@ZThk2*2HwLQh&-q4F6Rc-m7qDg+IE!6+?7{l&gGZyhxj%9FBG^-u zyx1zof;!ba_Vn`^JGD!mbN6`++15L~_WN^u(c{`wG+smx4)`R}2$r5aX(>L&&nq8o z3xQ>v4l%|>)Ji5t4eeP?;f0wFR013;-$rA4wYRGu+PiGHJ8hh+?E9{5{km}WTf4{R zQP?5P(vMXtj(ps|)tx`kz0j(f~>WS`0MP1*Gnk;?npQjI6Llx9jE;1PFQ6 z#Sbh+ic4wryKOy%Ocp8`HA;JcEpQAy$zx+rPq;G0M3kzL=uI1c)Q|XG1Q zAqr{HJ=}M$WU;y;5|gULaz_=?TmBXp0>`KfSTu@_U9<`h#A>x|InR64L~O6$-z&Yfx^2%17s*udXPp3zfOd_~WYtiQ*)$8W zZ`tZywIbycCA6Sjsbjc^By`kPIB>%n8DmfVMSMney+!cnbyNtkEpcu2Y> z)K{Z&XWHU*XWu9BG57w~nDER;>-n4TFo_=V_dopKWZ$5kLy6}H%1v%iOmNOebuXah zJwbh5&-^B{9*~}z%dK2poS$D;gu8);HjXL@prPsA7*QkXjAG3yFORI##&{!O)rb1m zNnAMa;3HEx&6%c-j;b?+yUczk1Z#s(ctI!x!uZ3tMp{O3<_6IDzITrVJVOs+(V4 z-hM#{&p?ay`F&TS9scYdLj6$BKP}I2aRxeS$LUf3U#o@#voP+2ZVmZIsTD7!zoe~_&fWV$#2&X>QI{2t8LLefq}etg2!s_X=fs07T(R50**o5f{0OPW0;@;1lk@22I6xV>oW+lxyz$BTL-^oQU(%7;owIpyVhK;Z#JZ778;5$0e} zKm+RZh9k&_J1u6U-MaGT(jw}LN#TkGa4OEBX1}F7l+(IRi$PRn)%3$1O6SJR51%j6 zF=#2+jt|~jDrb99{~hqGx<5@Qx~5->r|x=jBB3WSGLRSFLW8{ za21@uXCwzXVK4W5LUTm(=-7QmWJ&QnW)p2#(2H*7N-<5fn~#?$L~VGz>Wm*3LR#`} zxWSL+1K_BY0V5&oxk_aRSi@K>0RK+OYCbn+xgz`wJ87FW}e! z`q`IA9X%U#0m-7|vvP7QD6!Fv+5;jgiguSgOx0)`E_3EP@LI~2ax1={vTdYy&9ppK z%qi-ors1;QKt~U`_u$|&PW^Rsapf&3uf&YmwwlOFZWx-dRfcf4AuLr3v*P7#OFv0^ z-!>8DD*g%0wA^y*hxVHV?FDj`x3ATFo!*SWG--`jI@-G@9w;?#>vCz>vtiGVLD`^` zpt#!?VaAEjrHa;5tmMeR<?5TvCAzZ0q z;aq6un8(j6e{{PaKcC*ll@K1c%l3_}uGlQZ&s}ltVzhB>{ezJ()Pf{Mc>Y1g{(g`+ z8@tsMB2(jZWKVSiBtk))7AO-}iw;3{38l#oDjJIN+qNvQ6QI&Q7y^Irqu4hOYcYGo z;da9`<%$Vxn*nValy)7++>^HKRO^&ua_15+RXKmgxl{8(I{4GvO`M;~xSV<^Rr^nr zoWRE?Tc%m;>YG`H7vG{jd`5bIFym$$p%)T($Nw-ruRsl(Xzo3t<3ZCMs?+BGHO6|7H}pUcc`l^c^Vz!E5; z4~;Qss;8p2iOikHy>+X%uMbopv5WXY2@^;+{QT#-aUobJLgnAzUOHQ@m!W=HpqeAQ zuydQHq_p(XrAuka$^MiY8|UxfDg|W|Ia1r#BuGjhccgH?rs~|I6h|o(VBUx&se|O3g*wFZF43J5n7r2PbO_Nw^f=D-R%}U*P7g7!n3^a{o4W^kto4ap!P@ zZSfR)zmF?-Um{p`%btu4f)Gd?XM}YZO8?*3IDR5EA%TL6%h{U*70-~OLhPSsW32WX ziVMy%8S(-NKX&XHq&wo4*^KmczHsn%hs5o2Ip~+F5kg5J-g%i-M0D@?(P$6cq}xnB)e3vWUdM4x%Vg6i>tX%|~~8gJRX0&RoiS4uHvUpLnRA~v%&gJM}T z)JM01adb_~$vY64Y=av(0jB${7t-xW|_w%q@fPv(K?l?UyY# z@YCT{y1M&5PXXZ-B7HBIpqf79EIfb3V|jYcm1w`#*7CZHg}Psh-oNSH$gQ>M^?B|E zjfNUMA5CMj=^8TbZqNM8PW?J1dh|dkKT%?tctgq>NtbWkuXRctufg}ZSf$!u2H~9A zGJul|_O}P8^D16d?o5@pxz+aT@^g$3(+3w*_%_!nyiR9D1@65M8SYrQEvj%dsXW*D z`iEnWlmlgP)JwY9$wotp{KtDxRRw&1oboV0=`HHwNctXVVSR~c#a!f2?fO$W4y%^+h> z_SnqsnEh18+OfWQ12iBSo{<%D<;9AtY|Qm1YRr&XzM$*s4adqWiKW~qeKSobJ%ERq z)N{W3%HlP|ta7de!?o6kF_n#^l**^Uu9Y-wJ;_zpOLDE2fUzz}(#%^jP-9UUl&ad% zHqmCtM@2;qOG!Nj%NVE;239#jxFz%YPwoJkUIa`~EcCBHy8r?O&?E#B1`CaXo~ICr zdil2bxKZllZ}k^AAr>pdU?aTNt}&^clF4Pevc)GTsdoJ#(!H|XblLo4OvTBdMxl_* z$ViBt>8J4MxTyPfHM1rL+`RSS(aR`lq*7*dJm zcuh~$&}qLK9OoGAzMBGNW!LY9Y(=pL0y56utj7ydUR)BMk1IG5L=VZy>}W>q1Do3 z|Bk%MqApuLgbg2rM;D8~fLuX(dh>%Fcm|py))`8ihCn+haXUb`S_6egJRMN%fLca8 z#BWUi)HDBQj>5mk-~Rw&<4)bgehomO0^#({tu3HjfFsQpWjf6a6qKs{`WqHxZ+5Ws z00kB4dIG%BO0X%wLQjO#2<^xQbroo}$}><*9~>M66~0cs(@}Fa7lZ@qiWtw}sprG* zaAI$4Y=ABtCnqQ9V*;vzY%7q?V;NBxvUcnD`(SO=)7Ks!AM5GrZaW`?juy(53leG6 z_wG3%fIWhS*7@`d|G^6?F&$Zrt=>vQi=iB=pgqty%H_(k~W7IQtz$} z`43+&A*`7%Zqf^ktYgiV0+0T zCgq-)$u*_iuz6jm)A0wN9c}cQku(b{*`c(C+VW`rKY&|h<3+&3Sg$`^g3S0?27z=6 zCNq!+nIhS96G7e#WNTs>^|F(qf)I0IZ||fsV7EqSI83ryh}|1tWU@K%?Y&g0?sjU@ zYDnmA?JaV{Hk3~=>|>G@=<5B2zi@MiD|a7D2YVAse4UxqBO4Y!n0)U*#W*B?&~FtG z)9(GDc001lbA34Pv@_ZKT1#YH)l1t2wV>!vIe{dACR(O8fXThtD<^gT))j{U82rW? z7bt?B{0ZE6T3~&FmNT*tJQL;RLD$zusGD3+WZPc>j$dVUMCNQ&zF5Dl#iV!S#~!8i zqp$5mZ=hfaA;g_eWBI=LIM*MW6?3@X37OLC%TVoHwcy^ub%RpayLrNE2MvZ_kauhy z(yFFM`V$$6vy^%3MLU-noGc`^vwff_hJ~KA^PO~IBS<~0i&1BKf7?f^db?4tF_5b1 z#>9{P?@beB1uLQ&X4n*zELPTzi@mi%0c1Qb18K`Od?i2 zg(r*K-KC_|-pRaW4eWHWU-9oTI=#Aciy}GYg>c(@DGZ88Df19~GMok@Ki%#X#loZd zy4jm?OhP~6zXER?^u$3pXxQxKA0+9iNo(95VW{+Y$te5F&v!Li{H`_oUE@$>JzeK_ zJb7H7zR~eg5QEcoe;u+XAaPs+%)W3RaE*0;^_v_6OC9zx7C9*}SfCBfOi3}I47l+B zJF+W&@t??!;9W_IY@RVzM^w*IkJiCtHfKdi#ZniogA7ayyGvRK{*=%!G4Qo5Eh)>WvazV{y_O2-N!i~S(2|mn$Vs0!LYEPol&9H_{tTHVx6@NY7=o&i%V||07$*c#)y?H_*$z(h@0!QC^}~ zSh4z=zbieHD+vPoq42(V6Yi~0L{5MASeMgG1phcWhS+lXtAc{`tyUR7MU%zgNOvwV zAvtvHhLo>RUb(D;BY@#W$f1*Z)a@{E`!gbOrZ+ctQy?U>dTH_fuB}Vb6~z-j-wH8*ZG0<1#eczlL^@s~zl7F>6oD)k*?4l;G-zc;a`Akkn!Qq0Kx);(8@iZ1y zbb;ENpYJQeU|cwo5wOUcP1+hZhRhLUf2B(q5@a_7?-PT{Bi1cacz&!6B}*iIt<&;n>Nx0GSw!ga9x_azqilwu2I!kauTcVZpM?%k`~aO?_1Igg8R+!;OYb#vrG@r(LA-#&!|JFNMcfPuGj=b1S3Cwlz|?J z(jGTvj~_I1Qufiz1%FnLT{O(iOVvo`8q!H!uw}d5JoFEJvucjA^5vdmh=}ttG#HL2j|BhyfEPuUC2i&fI)%4Z(U?x|fwS9p1GmYihQT zal`JATs#X~C&(||!Bp%gbL=Z;qdOgccfW=fFkeV!@x+({N-?rmn)@Pr(5t8q>VCE; zayrbkqCmX#mrc1PvZLf*P?K8f{|IV2Zw9X&$x#&|@0?D%Hq3ktd_xsbpDlO!PVndG z%k?4RrsZu$OIKav(e;0(Mlv2`^yEF)al_lEr>$2rG z?v0T`l~&6%8s9E0$6uEw24!)G)~d$c2eD0Ah(3x;+a$9P(6L3Y&AAftB2V6#w6*d% zgD@ePF+SLjDP{?GgTFDVyn%Y0+K+^C=}d;CW=h<}HeE)J(oO-75C}INh4AOq51Ctp)f7f?5&98a&n* zUJZ)n&IKTKN-O%gS3%|5FQibX>pRXeYMh=={89>T z@|^gSNwd4=(zKzF*{a~-kc2!LWS4;H+iuDk@)ff+;-0T)-tZ27)9`xBo^YqC#%a5U zGI1=E4G#!iKHLdVflko7H3LvE@z?p+Jv^&SOqhX1CP*>^Wj&*>f@6&ZnlQSUNwHcq zYG;v|OAHx{3~y%{Xxye`np8z)HK&VkU$0;I6RI90W>x*j_a(c5&+HPQCC=s5p8wLJ z1;|Z2#QLMJ?E=*#3(9!e{N793Yq+=}FV#?cW7LN<(mVQ+5u2SAJh#3j*k`FYFF)Pv zv2}gG>m;c$&`EL+a*+7UdtCq;fqS`%e4!#BBGU2tUCW-WU>#LJ)yntr-CxInGhGfx zjn<_ic;Qy1QTHp`lf%Lh$g;LSSU&){4yGv)!bNSsCZKK>-jgP}0a^R;Rjc;K1wfz_ zqEX+p3l#e1dUwL>x7O@<><9P>Lh&5-ZX)U);#H?2eO3Z77ntJ)Q?q$yZW7!R{azf2 z4Jqc1x&5no`~o82@-lIy&a|oC$c`25n=FjR@pybSKZ2xQfbJv@Sr*ODK~ImMBfk`~OW*a812G;IHgL zQu05rD0BOTQXJn8KbDacLk@=*gobkrpSrm9TqB#;&m|LL#)9Z(iRLlwp>>)|wA)Om z(x>M$;Fz>LZg{Bx8VOMDz=fU8soZF2^E0z?hOgByUjL9rZ6A)|18I>}$Yjp4dw(Nc zsU7wR7gnrx=tca~&x@4LeRL&Y?-BSaz#0VO%OHDUIGQKVo$>eqCvv>Mqz6^5C1@!+ zZN3`>{xo*-+)-fm1div>2T@*9!Zx6W!g>C@C{VUesos8}2IDu_C0fyh92$HHRYDAf z=1GA{|DgrdvD_Serat}_Ma=lEVMZ*^m3<#fQJR0PsZk`d{+**LX}U z!M+7L?F15}v-%*vqbM)$nDXxsGrtvLYH&aT*;`KVToR}9d-pDa)7B8Ga`Lo0uJ=&y zM*8-5Z7l*H!Ge#26EB;QnU!V7r2!}ud^oOL+59I)M5Tl!p%=f>$H(BK`yI>$xD>fO`*JbI@oCUhRu7D?nB}IQT|qI127gI{mJx zzD4a^RT`)X)!3L@!@0CC}e6i|N_SfZZN)$_YY1Z?W;UCxOp!OzaV{+Ctff5*W8 zW6#IG!|ea3dS>i2!E25awkQiB_jn$w>_A`#)N0^(HxFWC>Dj5n=@#Z_glg)t1-BV6 zFJ6a+An*hJ8A@dQ8!FQ{SrnII67v2NCocpYxpb558J_NSSYr+px_Voh*b|I$=c)-n zQ^>I#)wLov28PuTDPAzSa_)QsktNhrtU=XzUI`jszP=)78HVC27OY24wLqAcpz;bi z3-Gckgmyh>hJ-#bHOd=K2><**Q{IDEl_UbEr`P4eg~T<6A;Y)jQJH5x!X+S+am^Bv z@^`JAltB7JVI^@D+ZbhJh4hf>Jyz6LaZ_)Xi z@4^L~Kf8eIkSd^}qJlLDfgg?l${yy{txu7WNHBD}TFLMGVV*Eczy|M2nX5v(lU{iC z+;Ht>W+;44B7LG+uOJ(&)H+=SX)5(_Q6cP@Blfco4iJv#uEQa> z?boioB{;h(>C{r1BdEop2z=3y*ddM0;i!3)YofthfI*icA9qiYmD43@L4tYVT8;BU zK$Aa-MBqI{!bW6JjkUo@NJT%9fQa$6k$_9c@PCkj#($Hiu!svcCux-0gm2M=slLoBYY)odC!|dpHKbEo(OW~lP-tB0TShR1V z{hyfiHw8HAJujP`m`JnqxgyzZ9WHh9jdqXC6fj2fXIbQgK~}pfL(rP=vLE~W$WkwB zLkD(nxJ6jZ@R;L1303%-x`pc$mCo3xC{-DmpPPd)GEFFejC!1n%zpQ0aVjzB z0NvTS=Y8R89bo1#*P2Ku_4+k0DuY5!0Nbu?z)Kt*59K}OSt zhQ;BPo`Oh+t3Y!xNpznbK9;YLLLZ@qS!f#~8(m@~GH=_WIBYc#Dx_GO=={pMo!Fm3 z1!I%~Rg0d>g@51f8KQ(f5gG=PtE~|d7+xTu_Z`;iOgN%)d~zF?KF!`P6hBISMMFud zC~oAo!k0}W?mc{R-bXSAw z^yTccn0RCcWTC+B(+ho11e_M-9K6x(dr36h!t&}+(p$dCreyLbd2(ITrLYwhT6aX7bvE9>O};KLh|tZ|@|-ds-;q?y6>L{-xQ?z|^m2~E1n%G<0@8HUBf`e4 zCYLFQU)AInM&hKlCp(yHOS5pXm#FzK$65M{GV4<{`4o&0x7}}*#7Hp51O9$jkeGs7 z$#FgV`@JK6dsBzbo*b6>iM&@t$;fA2?8fpX<1~mfbj~E$`0X19;st-w@478h4%7?( zIsc#}4gPk4#Lzen0s*@D-=o>3V2>zMXhnvM&HB?};WY_6Z^|`=ma?;b`F{bx)lZ4| z`jQ;cy`XlxyHb(oey!JYS&=!KvA1*pDVSpS>4Y4E#EA7J=ADq3w6ydS?v&dFnY#iu zyF3$LE@-G(%qI&98DwdWGrenFz~*4^#UVSzsOX@&p*|fldx~0srrI;<)gKbGi4eVkzZ=IWks8d54eZ-)3j>W|g_>*DrdE?)Lh) zf6NGtvq(k%xb^mNE}$*UyK=ei>qT1fs&KaP7tQ@TCQ7e+#V5l+G<|8paWWUKzjrDy z`O_E#ok=y#U?8N<8z};Im3@o+SWpV#fkGBM=AG+MQJbD0ey*V|69M=_7#6`fynV?;5rOVv#r@tm$$LkeiZI zh$~eSe`rgVAGw_w#~@~ibLYY6bymI5j4Xu*m7slmxvi#jVVx~JrwfvFFEt-W7X0eW z5v*+G8=X{6B>WbDg|YI1^ZaRiHfLYusi|yPS`CjrB=HX3Gp16x3Nk3u)$mDwgk6Fstkw0m!HL;ZY_XVL2euRP8N3CoIlMq-oR zuUBVn>a}IW&31TCoRzSqqPMnInVDc3VAoCFK3O?ex5M^oHgcQa@`{#B!eRYwx zcheZJwN`nN5%i6{Y=}3$<2@+u44h7Db;EC;?j?laz1L-iDcBU(VvX5VEc?p#uK`(n5!sXrf4c(=?7NoowsIQJ%- zFDXz+5Hd*H1J$3!=+#mEIlD2zIbDl3)+|vnh4m-E2zvdFawsUSjnREd8P~1JN;b?Q zFrvV_<=2H|N#H%P(C7il_T0fAtT=^2Kkr`li>P>(XIx+$Dt=XpAhdA@lgW$=gCe2a z4~s-1s&UDqM$kGEs1CwfEKd!skw9CA(l-H{iH!2N#~Ufcgyln%6b91skNmCk9ba!` zX|7f{7p#nG3XAf{NbmP*-De<4<+I?B-q=P3OvZsi^U0^O>RfdG;_s`MtbsjvIP^GY zZg-cbF3T+EGSCB=4+kIv{N1!1aeP2%mDE)RYE5961TH}C!q-7_1Wc^<#$BWs!h6Wn z0IX8+@Kntujee%_O{8hHECm&itUl9xWdi>?b=fJy-&q;dLitz8@6uN2RsxE2TvjbZ zAVwn~P&ntKKe2L?z@#(^du2z0&ow@z+?_z8hQQns6*v0rwfS8e%rQjwyZM=w+VUi1 zu5?sb<ksQT z@m9mG5_P>tDhP^v!k{X)ADZ|jxdksB%2Ln-Fr;ockI0cZr{?h!u9k0uJv7QL03=9w zz)U<(0VEMRT3c1Y6GFrcj@^BOr#M7*XIlwoYGiGa|MSRpbFB8r3HP7mUO4VA#J`U= zu}CP{6=X7>GIuhwM#!&_E}6*}mz40H9&LFX^u*EOiOI-({AbmD=VTbN3uunlUw^ob zikC(|ucvUXvsfQl$S{-s^7-~ig*YVjse-Mf^RJuHb;se0V>f^x*l#^^N#*BNEBy1Y zA}7UcUoZoA`|{b1sX9sFJgdLfM!YsjJ?$Ki@nKh)J)EkEyC)iwD!RvJ(SxnpUr_WU zO@y5z-Tcm-cjtXaJU5>T2?Zs6o#!wjj+t`RFr03FSK!#lufoOT880ov^~9RCK~{BG z;Q0tkifFXqMEC^R#y8c9E-LJzwSgJ3b~nCGH;>I`yB#;T&21F1)?fLY6*Gkf3N)j> zJg>$7OSpj7lkIPHgMGB&f6;sYF#eZ_!L={$8jtVahe|>`BOj z*$vP;P+YazP%lmZ5-jkIse6CPlIpFFVaMml=x8$*qDpZ=A)$^)<}?stNlyo7r1ltY zb~?K6QfK9MtjE90@sUS;DCFSETa%tX-}sW%{b(POFW@N(LL}hr0rtH*^%wX;QJ;iq z`!^{-e^V*C#heX{kD|q?qE;&eU%o7o&Dcg%fvy$&XWSW6_^+#abaC?fq$}@)ZoZ?I zDjnXdCrsP_c>l)pKMZXu$DYz7f8_4aLUFfoOM4p>wG6#`sG63)mC+ViNH zhE~hec=b5UwD8c}Ytw~c>IQgu(s zcg88rn1OF=sK!q&?I7)Y96@Wf>uL!D$jNI*@Z%8amfCH=d-FCO*zF`ICj*NfSXPjG zIFZ6mdFNl>{QhEJoOy|-m~?pBzs#0U zAv2^{iuu$ZP>ImAYmu<6UJWDDRb*w08BM8H?T-t%!)(}O$oNzEd5pc7aQjf>O)^e; zbdOsTWGyW%HK)gGDD@Q3d~m$9wYiB>Q33lhb{3Y=<=2or2RD^z(D@p7S*wQqX>@r3 zE*bHLyjKdeB=}JixoixX)&7l19E^iZO*6ZUmrr|tObLIB9*M@52!{qkw-G7oF^L8; zYz2z3Wn3;=F^84I)R4;0igLZ5xO>7aat9ASrGOC5jfeT3_zdOF>r(xJ{qxz#g*L6+ z!HWLjuI@upYX`cZ?-BIeM@CP#3@k;SxvqLmDb`q<92Q!pjmt;4l|!Fa&B{W6SS zcdP5Y^Q+SyXc~);X5-?nwGml;d zkhwZrT83cE!S?&G$~mT?sR?X%ra|_Q-3}aTJUjnF*tN~md2As==DSC~k{5D=JQfX1 zwG*j211j~9eO^|CQH*}V2KUCw`!g+e#NW#m%Hr|f<=!sgcju&$<#OJzz12=5=>f9n z$U`d(iubmpH&euOj$S+sXKfo-7qWeASmij`xDvd2gbejKa#%qOl+~|q1RXiR*auMa zp53y7@JnB>eVm2zH9fl1PCy~?s|Z6*HVZN`H9>J2X{FG2!PGv1vAf4EK}~bHlfHKp zCgLziLWkTlC|N8T8?MEfrmKf?(zH4Wu#M}I07^J|&6Qs%+) z4uax+PPr^`mlX3_d|#YLK&XRF4Y+SR@++q&Yp39ajZ#PJ>l>?@iIu)JV_$jb!2@?G z?sp~viuKJb#H8m)xh-@?8bVgW5hbR%4{Ep*a~Ss|8|L!l!$=gBLSaV5ELRmADSt1z zc&t(C(>cMS)Y==4pkPRoNRw5H!=v)wn$VPyTa7yTRkzT&hVA=2dlb=ObY4sk9yu^7b0jrK1jtVW*= zt2HJXF#{;PIlfmcr3j`jDXkoo?D|o+x0==ECmqVUO2KCh4iBdW|D)TtT{24`b)BF8 z5VW@T*!wPiWkRKrnYvLQ(dmqPms494ghobGweHy05HcQ)r|?wT@$;M!|4W+}%jxOg zk+I<{Z8{u0zJ_BAO`fI9S?;cPlG-eFyu43F#56P#t+MsK8m>=m3DF-2u8dDOTviT_ zZ2Q_>$Y?R~Y^V6v1Dv7l74r{2bXai&IO=r5cBur6)B2uaSPz2$O=%B5hIItCH%@q) zXg(C8Z&Lcl6$^ZhYT0}`YKbpQAY|)Uy|V4$Tp{iK0U}CB9qqUu0JlC_*2IJDj`xf5 zQ6Q~?BNJHuZEpc{%kw8Rfc(iEAo-fm{tSa+x+%y~UD7Hlfk5)ZQ7^RxE;Sd~q7CQ4 z_dC@q(_ie^m&2<+D~9x#-dQw!D%V%mXZ39^C!6mm(1DH3MRfH0VHU4@UFO16WH?;p z*T&5^sfsEh_4_5hd9U?ki4EOexK`w+gy9IdZw?Je@m>EzF*iLjPCD z+D_pubRg&YGBLM|YXZ zHF>wA*D5L#aXs`a?MLa!Vco~0ADZ7w9SPb`GyY%Py#-j6Yr8dS1BwEI(jtn8v~(j4 z(jZ-;ba$7EfTVzQ2?$7cmr4m!N=jO~yZhV|zqP*a+iSVc-uwLjf6jI0wXUUW3HZ); zKJW94d)#A;yQ(7+_LW@sgZ2?=oO{x88oS@Ivnjmlt^^Q&t6T+DAr!zn&mn`VSk=^B zk&22628DJ(&L(uvU_uwu?mFzdW_Ljs&Q6R(3r`Kuy(^<~P7Y+|UtU#JDc97z_qYXB zvc1H}&0#$SZu9f-T9Y{$8+9H5R5V}F+nz;A@r$PAFV>ednGli`E+3M&VY|$&XQt|bku6$%HSLcBTd?ZNh@#73YW$i}fp>q%b3X|eN@`#+D zmq3C6paT8=ujCD+x&$BA$cO!72N?sC@52kq@sFq~I~<->?gWQM4}6bqcO&Fo_*@p7 zLYr3ieI$#mFm0y?mGnD%P=l1#8gQC@AHoxx~+mpbzSvmnu;+)mKz{5~ zvFJg=m2Gh~j!?XV3h! z3yX?M@0zPXuNXFqKupxUq76AK?_lTBarQDKjN4M3PvD-ml>F$Cky>K^P#dey*?9|Xw2(&2tk*o zCAUZ_kl61oHd2cD3G*prn7%jm2>$w%ULEcOfY0pgY@i-S);O2TCLm*OsLIiL=Cd1g z?VuqC)1$K`$QR~lZQ#k;CcFNo$EezJXvxlb+0crO=$dz(S*iRx_gmgqJHQkTAT>1p zWk-NP^mfyI?A>H`JTpLb>a@5#P3Oa&I8X(rfJ)T+1P zc=os==8bkF45o(6Yl47AT6xIgh2A4**SBC&BJ^}uDi&ZO_GB8vJ`+sgePoLCgw{a- z2K?eLacl%yWp$neEf_xgyaLAAaX^ZuwRNFp?#cF``2%0yz`$FRc90YRGeM7Grd|n* z=CqlUi#{H6tv)$EK*lzjyKTg@^BgQfAhhdp`OM2#uejxNVMrV2~e#5JqE$gokf~CLJlL-jS4&IzHTJ2eoq5 zUC=7J9}JE*LPY9Udw_>$O6eearRFaDu1qrDO||c|0K?|5;!9J5^h5MIJan(mi?FE6 zwd=g>M_o%RDpV=Mjv**c2MbI12XO80sz>*!$}$uZ{5o7+vi$7?T8ZvCin)V+qqU}kEIbJ-`01IMNJa&@$HV_=7lrYPAoYUC z+ZpU5R}>z&tfBFLl!upB?|y!U=>1#kj@>EM0OtgIEh?GIlU;ib6r=Q5JjM{$=6EZ;}4sQ)_k^^>~WZ?i9 zDjq*`&%17H%>liREl!GG6l&=mn9m8>zz5|f5kb>jH85=!JZ~_)_y8_pqA4iI-=7Pb zrneWMSL=aws^~l8mEchv#lXOjDiLKh+d*2b$s1`);XA(O;C^y2%3Yf3?3i?eROb_h zRO#Rn>$PZ!RA}Er4ZZ_E5xT!HIv(b5o>wD*OBSa7Rg8z9+Yqr1C6`1>HDK{vB9R<2 z+kyFw(9&3t-kMQQ>zcExbaprR5&*jy+_4+CZn-rP+uM}O62VV-57iLz{i|Pm-wG4L zKR^#ZmeW2^w;w|F;I+EC`k8unr}l)Km33##n?wrc!i$SjQ6OKl?Qf*g3d_sc>FDTS z>?gb_CZ-}@{hsb_CKi?)l|nDwe%OX=qZ)9t;BRw= z+Wu$tUv}fSn`w>Va<7o}o_w!+{bfO>I>qH5ItCf@?N8p%S2?XpW@mAEAi0!J7N>X1 zf^*$G23Gi=oKZI?9)#(_s)c(Poyttm3fn>-$Xogt%y4*klsKHP3O$@hq!9+0-}U^! zyXwoQnax(+#`m$P2XV7Jj7k zZ8cqQdzCcV91@%I#dD3RE_yI}GL5IR`*_vd1MT|b4JGe@%2!j~kqQBl_w5aH!!IUT z!1bQ+V-lR+2#>+w+GB&jf7{39(u>N=_h9RUMPh7Z6wPUGW?=9!p=DNVy=qGdFp;OC)CaaJ$4wg z{C?O&Duz$3rPEbPg$OD+97TC^{wCw|e4R#+=C@=hl*A6Fak+2aAH0!+l_r(Q&UY<2 zb!zAZ)J(4)JRjI_j)J;hHp0F(Dg9vW#N^*Ac_$~i z)k}Lw4~rQwVBuf!yJzbBYX>Cs3d_sNA~E^9xJT$4Ce}dz1M?;zF#$e;H;Wx=P$H+X z4}}*B4ZTG*6ZKsGf;*z3tzI$RQd>>8wN7biq?cd}lYN{LUqu*_;?pv}~7smdSsT5mqoufA_|B}bk2SALmjy_H#R!UzQ z<)o}kWo8dA0Q0-snvQzg5tOMYp*iE$BqltCN*W6MsQE^!7lXfhR)xje+=ydNz?3UI z(2W@8M|}vz=JopbEf$p(#DURPO6yf=_}8TGQsoZSq$64u4W##%2Etw zHe8kU($?E^w^Os^7>4Y8xF6IstlecG0v!P zsAj3ANcNfal@d<&9A1QQGp}jHm)(aWb^O;G@uQ9R)t6EtGzmUck0(uvUDYz%&>`?0 zTjhUlzHe&ttS8+>Skgj0I;Uoc@jA6wp;4HW-wVuVa=jvwHKETO&AfYZb%%VODTkAc zyFO@Ep^PfenxDY^*5Wj323Ka`jMOziS%)X(RRL7yH<({RNB~(R=Me=!_g{ZA2|Trc z`Xy4&=?e{0IUkQU6)MH*&~A27M^FUj`{5^b zs$6N}TJ;^@ycNUOiY+3Ko|#Uw<%s{^DSB@_1ZAD@xeJN3n4YM}a~7Qri1Z{aR^_~> ze9q3!hKA`g_awVujsqCTphaL-YHwo$1sX`sHfu!bAYnz9q5q7OKf;xsjl9@w)Yuaf z_<_89dl{Tzhj9Vd=l8(BbfgbE(v{1h${ecEQp@vYE>53rY||=-gctn8cY6|2_dzyx zxCy(djEH5gS;O<~yNiUtLu2zl9J-x0{CJ4=xv^_cpk%c)OsXopSwBTR<(rhnF}3ym zd^Swi7+gyZ#n$H~2w@9;#%@=wYN?kylNee3uJg)VY}2zex;viq>NN;$YoL*{3&xL% zfqSPNpm-er?J~axOJRAotfgJ;{YET)a_(wL%m+(VfnxnK`L@~ZIj}?dn?yxL!N?%Q z`@to8-vkLILzL4V0h<;d<~%WS4}%qvF#j(#=;4Uodg<9TDmX~-!p+iI zLTgXE8ISa#<21ZIha7)(`ZBxtukEDYLX6+_pMLudgtO<~i!ep->K=(?JrZOznF^Hj zO3gTyxR}wFi89*V(sEuI84QFZC*YUTyC)@4At524y#*8mBi+qoZ}>Hchf~8C0@%V* zv=C*oySwUhi_AC;AL$azxM~6*aqtt>CC6e|dMghT=XeQi+sS)g(-BI$u+>jFmYGa% znDf@7z4_*}ZGMzM;6xiEq#$cz7HWSB=%DaP>Ko+#Y52WEy=1&wZ&J6t-Oo$Bm5Hw9 zFc~7I=B>{%GT|r}cP^&J1bfbzUEG%)Pfv@5p+IPZJ;Ngh`4BU6j{%dN^BM2Da!~sY z3=AATd9)HTlbw6CXb%i!s>xwl^*W)iwx{Ks?SaKPPg96d=kF*H69?YQ6a85r$*NdkT%yS zMbh6yE<-PD@WlLaJ~V2Xk{9-?tE)?(CeE3~Gw_%WFwsUp$UcX0FE#It4$l6>((5x^ zQr2ZO`hI1kSb#33Uei?-+C6UyOX@=-WvZJyiB>B$yNZFaa(Y1IYK`>F+hGI-S}QKu-sycawBP}R%3qC#*~x%rYGoI(Mrn|=e;wJX>2$QUEM zQ}ne4YS21ic?hGP9t@`dClY_2r7QB`^9$!bL&@0ZXaS?KP7Y^y)l|LqgT6nA4!g-U zq+YaJ%z-&9#*b>BY&7>HEXZQA#VP(^WHh zI5!A2hZ58bO*b>))Q!DvyjFIG-$x;>0H67@rD4UsRl8^&S!;#@2Ms_{F%=T#Jt^O* za$?2WaLc>C+R%XANr7&w&U8UWkQP1Ff@>wnwm)T~^L@N>f%FtYU|I+a>%k~0kO3b+ zYLpyK&&Vy0Tto^xpJh3f5wB~H*>{VV4NQnB-((u21`k}KAJya2ERM6Yb5I82$3IeC zr9bL&u`8tD$GKODy{@FvLvu+efZk2QWILpBVvwsaTUP{*$w0@SHyY-+Szblo4@|{F z^1>So2H`O>yP_gX>@kXB)(*k=uaoNE6gz8ee0yva{`g8?xBKy}x-qngrtAE%c2v)x zf#-h#m0#mF@>zm&O!M3->Z+RHVtY7VbcR1ovFF=qcUKO(EkYb2EzSyEAH>_g@W{so zyt<#gzWVojLPy0iQ}e!AM1FCNQB&$jT8_JO$CM3Oo8vnw--7vf>!FoTPIiVLvczQo z@&2ZeL{jUR(0A&`mQh1gwQKkvhxz(pY|UbySwvTcf?`!|?~;|}g{00Mo~D1Ca{%@b)|N0Fk@2_~= z>Qp~|w?&eF4y!dUjFSaFI%A*l#z&6aTQ2fcR2WY|N_d7o_K#z7P!B>KC@CmDrl*6O zb?chf>(?||HABet27^|*0O3-&xa8Pu6Zk&sj*w=(H7X45&7U`3RjFD!VUT+M9V>A4 ze?&0+yjflaS9a`mn+fk9Py-t1cwWtrk|Qa}Oc0=vdg0uQt~oA?pB{rtIr4?v>AF5{E20zean0w7HOgd+R>vDMH?T zUKe6b+K+JK!QfJg_eAes_j)!*&nI`O&(vHWo(j`>tcctR^tzQxeOCrk8_lf&m zl5Z@U**VeV`Bb}2+&AixJ5)n zjfo26Evc7wx6u|5N%lwkw!C4T*nfL&S8#{i#q6SPN>$h@pN3kluC9(V=;Ma2Iyf=tDqZ_RW>@X>R`*?Xx&QQqR)@7m(kS$Yn1&;i5yQ{kDvh!Y zo*>_o1tD+%fAo*=9Fe;WL<_)Yklguo%Bm@Vu+(Dg%PSO&j3)y72MSbFeJw1Q{6n4+ z`+MQ3p`33J{Y$}r7Umhb%&g`k-{>V~u+j<-m^rN@ZR3MFQAw9@r5mS)NQgL{=;tVT zc0NxtI`=5t^TfKN#uKwJ<1?#nS!L}YuThIe^G3WL z8&^P9mDK@xOis*6!%;1AQP-XSmoxjq`&N*fPOg*0hx`~AAh>aZS?^mUsg#l??6PJN zep7zryhn*$M(>E-`noAM+8*oCVu-ib6uHW~yX{~sF5CYvr2rOEX(0vR)2heqZhT}q z5CDBf&|=vpb{cr{Yd@vU21OV>Ri`IQI7MozHqGO)KmwYld&< zPSgGP85J@~9rjIKack|0o~|pelJi_j#3hT|G73kzx`;upD6eO1RUJQKT(Ehy|2suK zy9aU+(o_H98=xeDI|bsO?CR?3>Pm~^g_9i*!J7{-ChSwn~?v2iiQSr9w@`+A(IGF0MBdk zGBYcXhi2<^~>At6*Tl{`|0=PaZ+byuwhvg?-^N_v%+esmw; zkdkp2^QpJVA$d}HO*-xlRlBd1FiC>layyyy4fccZ=Y5$s^tYXE`Sy$BSHEp@q_*3? z!;e}wRY}BBiZJYvp#;W6U7I4gDSGXGEkr6m+oI{U80lQa3v! zU`qh)>Hi-qbzJyIr*wU9{|*=Y zO}Ah`0=*6lr;tMlO-ji#dfC zR%1%vlKU_t;qPRBhCY5(u4OU4yz>m*2xk9C8;cm*^d3S#K*>bIc*y`w za0>QjH*ji(oT8z_uTa$fTT1}O_JR||71Z3I-j=T1XhjUsKJM!bw0TqW{*o@tZPrRDLAD zSfel+{hbHxBuCA5uZ`=|V7|?<$+_IC zrJxS}iBC_>wG%B^0aio^gs2{47x+GcumM?h-Ikd~Oeto04!0h)8pgM z_)#V8U0XnJY-DY14aQ?DtAc)$9Pqs59C`zH438=MgLw&W6n|cOEVIlkzgs2i=O$aZv*UUxtE8mlEY9B*@sCE( zNIfwXZ%=!95~}4mh@iJJ0}agf#iEjr=AAzaOP^Cxm=U!9FVv;Ztn1OAOpO=>06b@ej}d_t$I22!A$ zc&V0ZwDQkc4j=O>4@`N!eIs4N8fhLq9N_V0p3Vxpj?=%`2v+?C+E|Q3`&I4xu ze*qb*^ne5K_%J;=1peq!oH0!e47~P6aNHH2fFPr5&Z`EUU({xrOI*ZHA+u<1U}@j( zRaJED)4Bj}S#-p@!0-6}0}VIUERL|&k7$A7T|E)b!fDdG`uiP6|N5tT{l4rxN!KQH(hh9ho>sC8eCo+58^Wgs)WPRA5Z z5=ItRE&Prxzj}Rv2iL^d*!U>@c!=A~EEJ@tZCG9?LS+6mJC6Hldy9Iq@#c(C<d7|}-A%WvzZ7lJzV`GGYT}kf z??%nbp-Vpq@L&jZet+Ixug4M+n+un&+@v-zH>abaS>l3q@is1w818l70-bu9jG7wf z&n*bg{ZEPgSBF-AIgX8u#1GUhp8mgv59s#yokE(xvG5Q>I7Q>^W94C(W z%t{BRtk~nEK)jT%4B4a2Iu&ciKV7czeY8K-=$$#^k^wS=Y#|yNMdLnB7iX*692E&7 z`_-+J=I5nA#tJBLSk9E({a2b|+qn_Filr`OpyTOl=<V^u08t9o(k(3sanPFLceA6BVC42VlESFgXkVg~^ZDd`w6vTl^gzytgZHL%ol9u?np zWn&<722FB9Q1&>}^RKLcG_NR;MgV!hBo(i=H^x{$MfSwK*57<%x>du>$(|#Qn|N`G zeu0Z58H;~_F5Vwe&dX-E`SiO27zSGPw=*&yqmn90X5N;Iep?C|V@ZY6*!)IzwTlI6 zsHA!dugw2WAn@-B`}7#6P~=tfBCi@bHTg`P)a^kD(5Qh7N-6i!y7}?lzhH#HIZ=(W zd}tqB3*Al)Hv)&#qq7ok>7jvRX+(~|u&mS|(#C5YyZV$EF$ov&+Xq*eznO@J^ zTofNv~Fp69FchtCaN(Ol&h zWo9QN)JrgBv;O`l;cO)Un&_$P{62$66PQ9+{OY`1rZ23p?d@mw%Fr>=dM1maeJc7p zo-mtj5w1B>Enw76#QiHH@e3?iVtR7@LdQ=d;ktq03;>L*WWS+5FF?*=9iNiikfAVO zvt;L5$*0+>m6teOs5D6HHpNZOV}1{T%i^-8(S^cXw`xu}1_2GAdpOUJ8gXqXoE@AGu?8c4~gFZ&KiYZb>Z#Xf!5YE?dN z;HRq1`f=$}@eaMWinQ#zbcNoTm**>$hW>-{9Azi0@&AY(0OtZJ#xFDVnL6>O0}|l= z0(cHq!`qe4^~WY}64P6L$cdvG9`~g^SE}Wc-7HR4^D09Jo>BfL7o%>Sl($$ibo>V9 zg0|&vn)rN!Pzxbc{L*>baXWmqg-kn>dDr>NXr01IiY;P2jp>{Rb&P=f1Bd z?SI!(P_jBkk3D(WvA6P9Lx1TfEg5iPrsw&-UO~p~!unt3zei4fsltm-Oj0tedH>iG zDL)qW`A@uq!=t&fmAe0EyQN{C{X3 z{CAu%rzm2*7u9~O5zE2c@fzK;-47#B{G=bzwAIo+2#%toq;ot$9%U zZ_R`4)eNaY&JK0!g<4@Zmbk2pZ<==%WAQImY#%S)`cI^c5(fM2!o!7Pwqppe8(v#) z1+Z-c^}7SShjt;Pa7irzBya!1DbUKxrQ1N5I0(s$`4fHo{6HVuv9M)TUNvZYu0c|C zcGi%%IK`D@-hMfCJg5TwAF9H+N!qj?9OkLEP2Cu(5*}Sc^E;k`64XCmi|5=r3@uJ( z<}h~KUyq<(i7Wu>Q<|ilQoC>G8Gor+5$+lesn^L zx_9l@**qlmFTP$1Ufx>769?ZBBw;ssh@#^qWuJ)8@lI;FFGdAK%3vlO+y?1hSNgk|ppthtu}U-BFQ;+W zMxb4n!?AVTp^C1s9$7>3Aqju-Ar8Ju;71E5-j-l?EfxrI&862iNtmM)#l*RD*=-`i zU32S%W?{5pA;#R#yms@d$nC(m5}&cvXACp=lM#|F#?`n#?S2?ui+HB&n>tJ@GCp*F za@1wq?gv*PI$h*l)ao)*f%&A7J8;K7o%yFf?w5;@mX?;>v%{vZ2?$JP$v6%Lvg>m; z706JypFhF0wU_R%=}9IDz(#OMKR5c_7KjC9*L5-#=b|!>N3}Ys?Hk2UvW5?|G?s4! zMU{v~*FLtJYzJ$K#o>>4dxWS$RJ8|dZS$Kbny~fsSsb2^M(kYk{f#TJS3g+SoHm)A zk5QAWqw6d>uV8d(3q;jS4iA~PWBC|{Kkv%e9}>-d=mPV|rBJn%Q`=u1G?zAL@$O)d zi$(^^IvfqU`-(`L_a8*pC9PDS`P*L2ziKS-zYYRmojqmtkq8f*60R)rrO@$#jQwJV zwQ-QcfKLYm{E)H$r1jV;g)iGT)k;27){m0e1;?>B5)PhjL{-mcz(K6gMd|a7d@_46 zHiv^Y!K_w!ac`}ua9xQKq#|tvb5B~SvcUdR%isC?aR%f?POIf z_&n~j=9ql*D9LVRrBVl{`P-ECTz^D~`DNvz`TkG7O79j^m1%`UVL}S&a*U7QUphLa z3EIeII`e0cHQtp+F%RzFPfJdo07sbTTW~{zCTMzc(q*HSRY23{U?Beld2|Mcp4=sM z2h{1CLEPRSKwKUB2xyb9(r&r(ki$B)`;ed_jnjdh{^lP@ci*72Bks`$~UUy_R9 z=Q`LSLRZ}8zl7hfe;_Z9YnF9rkSsj{RL7@Qe$4e>&2bOy^o5OcUXPTOm1oQ~Iv2Kp z?Ge!O@*aL~#$G*haN-!ER~8(q=iD?*8!@<)I-79lTJwiKV&qS>RBblSqFLJ#BvE9f z%6T$V*WOFvrMpKu5&<%F%fee9;VKIYM(ksD{c8P!+G6$R4DyY_STrg%M$O(TZ6t@f z7J$3|*Q0NHnip9oab{UhjsoX-qd&;f2m;6%7_1I=*CJ?#>-8CGu1B-H(sOJKr;@5v z!DIEB@>cG?yplCIl~=?nBJs70RRPP_u9E0lvez9!&_t2Chbat$7Z!D*sa}uHkgF!U zy&5#}_=ShM;@(LU>-hYlGJ@wwKUA+zexgiMF#{fuxOm1y^v&;|+|&jd>1C53^7vtimf|uZcSs zj(>~iX%G3;YWXjKDoNAynXezy?YpS;ySdw)`W`+Yq%6r(2wDSC(XYUQjurzeW}}Mh0Vw^E8DKz=p6rRe>cKnj$OPv9YnR=-?cMJQB!_PLad!V7PSOcC1ii>xKrdw7&^HNI6}X z-n2|`O8q#Y2IL2*3S}srpQ`KG?Gy(H#MQ& z|9V0(SHo^RGh12|-VUN#Sse45+=swoejE7VYJoL*A#kIK*O8_vp30zkY z>OiW~=#Q_Iqn@vt{VIlnnmQ{p6TvIA^93t}!##UhE=4FA&%wq6pCB_%QGO+S_RU7? zJb6QBZArpV9jain^{u|{aL#X+_(h+L43B$$n-(CUK-d@D5&J%D%rq0kMkBl;zq-3v zjX_scSyZN`|D@EIHnyB;z?bA?H73NfM5;c{p(}MfWf^E@`Q%Lq~ z##z3vLI3FR?k+m<&89UH1b`m7sjl}T$^jdLj1uG8o=dQ3k@L>-`1m-F288qiRbA$^ zMM*jdUbf)iV93LTdvitRo~9t~Ft)q}h8CrD|2J-8rJQB=z9d6ZPk*&7RT&9NX`GP! zOdoR@ z5;iqBkw$~zD`knBn=z1-) zCvg0nNZMSkSlu#gJLc{#RCt(#%bQ7e;F@6EkbLE4i=PsU%duim0S_Awqwa_*e`kh# zUrttAnR)ekjD{r2X!712>0~C`XDu(&2)1jqpTJW&HRsnW%aqJjWBut)=5g7(X*55# z>KUi=c)@}^&r_f^M@f5F6oE^Yq7pBed&?rpEN<=9$sQr8r*_m?l!LFe4W$W(o>;zx zh2jHLyrHSMC1KU`c{a{;@3mJJ-W0m=ZS2B_v~ds1Ma*;l8}3oy=Fwl?zq5I&8zl1p z-Lt>%6xxgfauvHpW$(I&hr0A!XN9o5Rm@q9mV~p@lRrmPkEi7t9HmOAsY#*c&vU%I zGlj;uyb_mFF&}7L!#O!pRid9&%okq8Wrdp-q4=&VU z&k|%9v+(LOZib>?e|(Fck`7!N=e9yU&h8cO7B#eUN_aJ%Uzy~pcxbv-;m4`D%~M{J zs5+X5oQfB+G20kkr#7VY=70fOhmSn|ECy&yE`&$b9BmIm{L&37MUajK2q()>e5tGR zu0cx1}=1_{UR2!CwEO*X`XAM~TwHZ{jMb zsh@w}Yi$qsQcqtt4h#7~xHQ)HvJ>JjmNnA2Q5s*$)w3wbcHY#oWpiIWIPT_@2%2UE zNv#?8uNX6ECte|Zp$mqb2Kqi&1Fe z^SU}Nc`qz-kC-Ki6++5r&~XkDBW~5@4xy38cJ-}^lI}owv=99c2zRRI<^rOg5U_5I31cYzYv?uKDCQfcz!p_0)|>0q%+PdD5}pc2aq z7QT!xK>$J|Vhz6`My;BX7Gcd!9o_4*##o_dgeGK7>zynjf|W!)8ZT4zJ;RidlFoOc zsZoN`lxO$Y!}>wZ2#a06lXyfy<}x9_5B;4Nm`UmETxBhW;R!P9kCcwYVl;&|E(G`A zBYk7v9Z@~M91vF^sJZ@hg7^5_>V@%L38XNH*vSd=jUWU)v)e8HfN4zJ?Fv3se>)-}eve!+pE!SI8v@#R9RSBYq5!NJi4+-?l?USue){e4 z#K#2Ch)Tr!(qeglhRdYF!x|a5BlGe|y26bLn@172f`}Fm6boMG%&hH@?eEaF^6NZKPA4tw)5W#!>dPQaBk()B) z_W$uEZXUXSe8nl&3$SC-dUvuo`XL=#xZ>^SRfz4h#1HKw!E6pWvV8>Kh1Uwe2ib4* z=%ka`(Swr;AP)G4`4jUpGcyYc~+>tgoy_JHC*5aQ8-=iB|d-L6N; zMuY2Nfo_?}&8>2QX(^$V#csjLz&QCYK!(qyPzIk-N{ z%#59=cIU$%T7W7A_mq~V@`5W(*_xG$^-2nrr7k=iex>*vXry+p8YBAa3R4j)E^m|Y zOo!K|U#(#CGb}sze=K{?b)3J0%xD7u_Z3XK{|4YJhrm)UEFzKsj(srE@h4i$cc=ft zYo2c*W5;o08jS6+Y?d#RKBmj3X;wSI&^L6mq`bVmoE+NPUMVn%kfv|+&C@EVGWos` zL5WPvA24LzGJ6xxHkxH7M|5O-(9G@s=pEOLrKD+joyl!a!Kq;ysjntHn=cAn?o)-3 z4Oa_WANzDY+vK?z)IM^s`lV9buhLf7wsQJQlz4LX?ixnz12McN%bbpfLgZULKbm=i zVrtYiTt6YYClpUc5$^7imO94sN$#xb-1kw#8l-5eiw;gOBf6YHjcpycw3Y`XKIG=q{5uFZtkdl(#Y7G??7+g*h z+6>-8QZg#4Oo$$-sEEdtQ&6}8hH*#$gp`GgAFtCT`7OVBQ07e+R&FvKA4sJHbx3Cs zCVykL6AcA<*!|1R%KHgKW2mIrQ-f+j$6MajHydu!jC%{JY-N;SS3XJGsT{;lEL-KZ zQ>fi~Tcu-b&`9Q+G(gECOSJcOnDC*S-XTPg<|)VUWph;5WLIE!h-V zo6`rk8FR@DVNDAIQL7w}o=7PjVJUV7o+A zX}C<$e0MQbCgZYN?xCJi*XJFBny+0Hl5vp*KhwRc&GBy7ei3!tPqKw*vY-{ByssI& zv?-2XlC)OJN6rY71-C2D6{z|9Ug2Gux+rECuHTi368lk2|L~{#u`rN;dY?Y?tedYb z-RITz9A8`v*=}k7F!$P2H*DH#?CiJ0fx_vAoOH1GcW{kzwO)u;xA`ML+i=N;$*orJ=cqz#0tF) zYZd`sEXu^mv&ririRI)nyl8lDwfv;j4y)>P47gUM+L8&oZst~&P3W$KE)ZsHT{VVe zZBq6iGZXfz2G_9NtH`KIdO;)*ay@Ou&`|$GNR*eCLpAEWt$sl6JyG^Zdj87)DilZb zDo4vXv8uA%>uR{LPOGTeu7qnp$-d{SAL)oM4CHa6heJL>nG1yKYp{lK5NW7}LnqhD zgQaD4?9gVc@{`^U-9H{L+Gbij2<4Q(_+t@bT}VtgJzvz6pGHK%90>cKQ^k|T{Y zP)Z>zmr_+?H_});lJMeX*0xI8thKhyY|DuRnz?_Or%f~SQ|yK^`o{H#M$1+trOm&6 z_+FO2)9beRQi1hTE2?n)PhZxOUf0@AtC5{@kb1SN53AO2JGM>ev+&%JjCxz~b1oVq z{uWX*;sG=4tuR2-cK&oZY1{m~78e@IsQtyW+Ih#Qcwl6SGVC#VsG}zA)0s~)K820N z4SzJ{JYMU!cN1eg{2I&2y%dXRup|;Xncdk_d^OOUd?k=@!VoQx_<2v}B_|iOzAiT* zoG61H+s)&drWGUwD0ICY0q4(n>afy5=M_DL&=(Euk%yv zgtEbuN)pyMy0F*!l0i{VGT16iKb6A~>Gw4{9uS_zV&u;s53%gu2@c6J%pzf*Lm%Be zG4_`oc(PAI4%<)!uCH`-6It#wN~zqTbIhCS@CGAyU^`BezOT-MSMdk|vg=DAz6Rr* z09PA5uJnedWmiNg(ZI7#O2^M~(Nh~K41S7p)&KZt=CAU7{q@N>zS!?n*V7}fqX({L zv&f)$09vs-jleM7myCWQBlDCV51CZl*4B0=Z0xE~le88os$U{-g*_vOJ>IVIDOOX3 zeW5cv569AJ>_QW#GHI5nst2ifZP|(66heZppvqOshOzAVV*rBQ|GR-;2xJ!Tv$?&) zAz>`<{vkKmr6`SR$X#;Ry2lutWW%+c$m8>jfamRQ!haO(JRc#AFE~eA6x`;71TIc2 zm(hhF_#3!&m?&#ntSV9gUbQpk{|O$v_H+2W7s|imLG-%K8rKiCL%-$gKJge{Gs7oj zF~OU=4nO~l@FgUD;1L8R2J%0VuU%l=e*F&4C5*gpzXf`*l22bA|B=*7CT_)@;yqwB zoc9c-)uBJsbg@uxoZLbYEYNW$hQIENFyn>9kyDYz=JWFqahIS4S-T-2cW^GlL}5xQ zsvDPuR?hw#i|1j4m-IK_uZ^VI8K{hW`EVcX0s}8*`x1(pk<`qSV^bZ^XO3$bd6vA- zg{~J?luxZH9)(eo%(T!Fl{zgIU~4HXjjZp@Qjh4(l6n5PN}#2O(H>1(yTRxCtjM-0 z2BStAwYh9XH+lT!`fgLTH(K39)5*B>u;k1<@A0sV6nr+HpzHoG08s0Fc+~fB;Ji14+!mCTFl9`N#mx)=6Oq}E7Go$7-P!>8zZKF{G zbTiyX!Ku>}4fNw>{^IVV9nR>jxVl57Bi)t_x%wa$D22l8{IdJKIBif`{IYtW78P!;3qsDGU*#JaGGp1$3xxE@>iWl=_%nM zTi+B~poo^eHOl@pSixQW@rI{R?TB^tS%1+x3Hjflb9)2VZ2)m4 z=aa(#%rAN0JYKm@j*bsXyL~}z=X4Rt$LN(_l}1EtM@`s zYsmO*r~O9GPc~5DYg=?hxQj&{Oa>%Cl9-1yvdG~iQt-JFlLUXN4smM(}HJj$Xr?M?EU+}so{?3k+l7?*)^C+>Ex#nQz zuA4#+&yFS#t_rTVE1uTS&G}+lRj=$Pt?S)ycYQgAW^=WNIVPbHfB%gP+C2=sum}*7 zzmsOX=<#1gZLiB><3~FDn}f^kdk&`_j9Xp5yz>R+jK?G7^8W)roYm5U!OxP99}g7H zRE$pg+ zwO_!y*-ViyAMsjOf}?h?9LrC!kM+O6!_~7Br(cP$DRZr^`>@l+4*T-vd88ib=)x&C z{N-Hbw|8-IaS;)v_A4XH%V%j9h|z|6&aV{R8pMh^phIh*nn6}X|)B_VIZ~YRsmGu0O<236rUSnJ~AKFPx zW7U&09O`y929hg-@TcB|yU#2d2-Oy9Mh)0k4%Bg4Tl90xXh!3?X4V)9NrF=)L^W26 z66ZK;*r*R8%y(|FJ088*P&-MkoEySy1H|x?-~#E+?F)%K$g|?-&6}TeQ)6R^?2Ewj z`yxiyXHnR6a4l$1db!zo{S_d$L8-&x;kI&hq9)nRAh!f_=8vY_6+g=!dNmr^i(4$> z!6R3MS1t8rt4Iq=h+Nf{xLWOKcbMRx{M=%9N-;_GQ$^-|^N>eqHfsfk<>5IjnVPzc z28+W4a2OaI5BPDq5AF>s#Xp4itS>a4N_U(fl(~rjX5kDfKkp+<7=?7o+PzTKYiVwN z0BR&UI(-#I@=z>(qH9ebeN_^l`x+>AblLSAvieOlLjPr@HY*mP-B~2;pU^ohCr$y? zArUu+FQ)F^w&!@5qG^n*qbw0G3*1`hB%#EL1BA{;^r}_7)36=IF9s8^x zRe0zbf>JRrJ+Mg`ec$IBEt*(Wa?PTGz4_w1d+Q-6gePnIUiUGZ^pRfpg^o~P*u)ET z5x`j>!$e<*1VxV<#?zbaukRDr7RT6BJ$=x+z9$zYlxZ{S{e|(H_HrskOVgknyis&i zzK^WnIHtUXe6L=}vkxNiK-9o)oth>DQxwC(E*eyPem{HKGI3P%(AcAMf#T9%eGRBK&| z^^z*%RvgsPic>HLyez_{x9;KVE5n2M;_h|jv5M96LzTvC5q`KhAvF%fggEmBQLS;U zww#)szygT~OGXM5RuwtNZxJ55E(y{l387W%s^Og}3lJg8`BH2yE< z-a4$xtZy53Oppd?0cnuf(j9KPm2RZFn@u=^bf`#omwA z?|gS5v#{ND{*v&iXe{^F9m6T~hA523QB1CvzrCj)*LeU3i4B721is8Su#WTIx*63k zU|q{=ttKj7^J;LepbZ=tA+qK_;U}e7sE-N>MCx21!Ga3E45$igSi!g8Ae6h@ow=nn zUmPRXuv^G0vDj>UO^{4$m5vv5HbrW`5_ZJ{{a~-3MUO#kFo0~nZSm5*PDVv%Zd>mc z$S?P$s&7xf1VRxZYkl|jWyu^)EECsO;>tJUtMQ8^9bcDXz-~zaxd_G^Kr`GN%bMUk z4462Af(hW?y6;U&M`wPtIc{iZc;EXgZ1l6lUqPzx+e=bpnzC(RjsiGkQlACQCbdcb zd398e{w!Kn%hb8`aWMP=BU&(q#h23Iu2{1T%6yBCY1=0K++{OD?s{@!m^6+PqCb9S zA2*^}Ga)L6zd+(S^vP4e=%I#YsO%&%gx%_vx)px zxSA?bBocH&`ckA6dMtxE(2Z5;O7v1+#5^-D_u_m*Z1GKe;99B0y8 zkV8~MnMnkHuhRcOsp*I0nk9k1Yx0)z(DbPpaHtJXnY2zTkb6$kYM+=&e}(!|`}Zc9 zD}IYnQ~~0;%aX4MZ(N_J%+@uqJEm0l1MaAT>nt7SC1UpRxP7%o;85>8Hs(4b#KrHBB!@6rn+OLoMeCx6{_O?N~PwX7Z@&Qq{*=vC(~8>!qpQF&$ch0?7CSguL#}mS>;04JQxD^qEiJi7n{zi10zXoB zSI#CBJO+isEmp_RdYt_hGN%Y1hi}WO0Qk(^!1IaA%LhG``_OeYnoQRvzEDAXCHEw| zb{tG=Ub)=X5_0TWUa|1?1VKR^cSM&ch3rur(^l}mGY{ej>^#FKK&uT0bJ5b44aRMk z@$xUM65y zC3w$9ZaK$tXy~;XZlRaOh}0GB;JI(_>92gnD5O@Opm+L+G~|4ibP7c{)l-1blN)%d zDnafH3hJA84V^a>n=a0nVdm!MCJ3?z+?12^ah>3()ad6F6J>sTNAuI8MXs=<@e_Ym zL+JXOEOUOBGckPxCUbQc()V|Ww?N7@s3BgR1|8|Z3sv-WSoCIuI(Q2X+fWHf4Z8ch zTJB3gE-xGC3GR_DhU#3Mw!w5JLE<=a!RwiyM#u?eeW|TVrNWlJ$_CrnxWt)gi40qX zo&3wydwEu?$F&Du_^l(=I~?l0=loHSB)FD*2fnOa-0V$O4}a5yV;tKRYOwdgMr}SU z^_4l4sU1Fzd#=AT0uCL*`=dwrO&DZ>(yXkCXfCC=I_?8uqk$SIW*T9PoL0dpyXQIH z`faL*PqSLY5fCMMP0v=&w@pNtc%Peeml4%`{5p`67?mo1nryy``zx5{ocf-jDXI@F z1g~-7%JiG5{oYc6Ojky0fcGEi$6Rzy}=&PkQCGt9`M0uv%qwv>O&GoWetK z=`+;$fo4P?lp@8&P);l@qvWlgD>#MSl~+4Q>)ZL!*f1KX;6u|@LH($()NC@iGid&# zh$&nWFa$f>Y|c-iLr7(gZTQdMxi6bltK^zrJ6W$^l%4smTZveT%R7O=LtbwdkIqq$ z(J45jI1DG1t|_s~p~q-pesB@<7|+|D0mR`VB^vwHLf;LqM&ZM`H8OK5N`YxPUc3aX zS=J4gN6F@RZulI$(}w~e8}?Q`Snq&!NFdU-Y3N4ugnrj72GjzlpaO=gB2#`UQR$Oni&$7MJav$1xQTBe^851<3fMr3DQ$?moK>!sAkgW;fbHLllZu!0eM$pG= z1iln(Y-K6G9g{!K`1^>}EN_^5unYjJEwaBB!kM}WKRqD7n=$|#(Qsh<_Zcga5(mWb z`*RSyZ*}HyFHP;wTDXdo8*a`1-?_CZ-~Ux^?SBEA75xTe(R~ma8gU5;jE8SQlse#c z9=>gy21B^0xHv*0BAKC5*({(qQv_4k*PWG#KR&JP@sOm`h-x_3o_;^~c^7=H&Hj{; zCd_M-Sdgi9EazBs<|P?Ii0ydyu(iNyam<~U0bNG?m#qzZ6z{Q;F3q2WdU>~~ep^9} z;lZvW6#75IZT#Pjz0ooMN8omOCI*FqZuY{oykLG*U`-=eiw!$m3;f))0SuAQl^& z_Nd8!ftm5r!`t{vbOvPCTPO~--dLFpBhYS~zDaGSeeVBX2+zTBZ&9M}^H7$YT=X+i zUS9X;A?#nVTI|l&Cangxnyp{}vQ~Oht%>_&KqN>n2nVjEa8sHL(8?V;pWb@&gVS~Q zsf0W`-NPbBbH(BFNzaqO0CHjNT6uO`{wCZtMlbV$rylWn|DuT-y$Xv(vplk(>#Asz z(;$A7FdxbWbX9O^RY7DSe{e#nYWzE{W{0I&W*|ZQ1rY~e&wX-)<$G^EkFO@ay}dC{ zOf7>Q==O6H|DR~!2NJl&);~dCk+nPq!d+0t=)n0H#KAPZ5Vb~u>Bz+&BE_~@^AyEF z0=`R;DH_-RKtjTP_ctxTfvABD2Wz54w5`|JScg-%w$kO>Ge6aZmj8Ef(8CS(Kg;Ac z*Y2kWAB879gW5VdNx+tj2M-#{i&cmse=9&dxElX_?4UJM_d7b2rS@w)XwI1Oya7oj ztABhggrSC+jg8PU_34?JZBu+6r%g~uqZ+A1^9WRhfP)RbFSFYahvEj|2qu&hYDYXh zX6J%r%f(lh+AjQD_0!%-FVdtZ*5ia&w?6y$rV-cecgOnm2ZK^z#$aX3oiIwc83c~^ z>J79*Bav9pPt~Ex;;xOs=hJJQy6mMYn@(s$?#`T6opoQC*0vq66+S2L*EGfMgCNXY zg(SaFT1?-zQ*O$Y^$M=1)=q#Cz)KVyeW6uy$`zNA1PmB^uV1=$^lJ)Due#o^4F0vC z?zpeDi~!M@JPYjk@Ro|NAQxKd=Ru(Rc76B9xVSh__h8%?Rv&I^VgjN8a38(}iN-B0 zE%1y-KY>?OBELe7c)ITj>QlGkn1Pif4_Tr+w6o6qi^K5v_*z@z*b`bE%o#x54Cz_a zMZc*1K#dAm&EOZ01uUyr*^;m&ID1>L{04Y`hebc()xMn8?bF6_970LY+vwM(*|93n z_0GUhV;RKZSI{}~GH zK{(C3kyqmx{jO!y2_|D*U6VD=)=4$Mv*1*xT{ybI!_AFDuL`Vi&7cy5J2~P2SR_un zhk;Hae1+H3Xn}n2BO;&*3r@eA!op@oJQ$v;p{&3AR{LAn5vaHm5f(nMdYLyI#&N79B{5=uin*k-4wet{{)=m4fP#FH7i{reLeLl@FN%~F>ODSe`q zRKTb*Q8!h*DkJam%h*Q&Ll`AZ}Fa#X=Gni=TsQ^ z%fvCtP$KfA!_Uun`N?3)%|w)mE6y$hVA8UyFI43gZzmvXus-<1cIZ@I&1K{O;Pb$= z$*DS^dNOe%m3zz>EH18d!8=ViT;^57!CXV3cOhM`h!ev?$ErV=Z2rWjpI%_MKu7X} z-Q5(X)NG2=1TG-x>C?U0O#W*S}@Y>kayc*#0+o(d5TV3FYG(eyDI0_ z3a-Zi=KLu&zPbEK`Q!ql&hBnd?*jaai8%Sn8p_J8w(&1$=xJ#&0)(Av>hkh7zWja% zv}uOax2J~I@`#~)eFD&v<=Y@( z`YE~L)kOOy4`K=e>4LJ=llZ)>w4$S?6_9xMXPFDXd~3tSg}e9H?_J`)x@S}TgOJ;Ypg{>L z{y(G8?@o%^o}c89+H65y)SAP@mQzrR9($Y&6_(7xIXl9W8&(tLp9*9DeU=OE{mtk5 ze==zN?T6swVuvCBxO%dcfMe^@jVzOTn(Off2?gqtLRRoYufN;`%~#TX{xt+m?ZeAa zR99EeReXAV+UIpYJ9q=}ug9`J?;3SH8h?n?wN3W4DtOg8M+YH;zK~RY=k}@7wCm9S zP8E2g1U!E<0}2Ke^|lvwT<@dp)*bQ#;!e+{NMR?WHcc3vV4*lBZ`QNlkOj?+8(fFZ z6NC_T_<0kgSH1v5zoeX8lw<~|x@W>e296CN24JM4W5GjC{Ee5`8jyxy`10G+FEv1I zG_rG%kaKA_Gelx)7AlWS9@05eSV7i!FH+662p}nn&_qD(zUG&rMQ?qPy-& zjyIno>!jCQkqp$iengdJ-+c6y(IT%R5zJYE4q9 zOirn+Z+dud*smxYCkTrfG=hRlm3yo^lm{rRbJY^(nU#k<(e$BRoaeoe1e`RTKd$cP z^}Jy%MB{+m=8)>qLwsK*g2GEz;Isa|e~OKb4XV%xeQ$f_{!*xR85&HhZZJTD95Q@| z*dF{S2pOx>0RGf)IsP>dNY=QCMNK+yQP)fwnnbUxMrrT2f#e2F5rlxwI=XG+t^)V7 z>Y_5)SayJg*pxl|U=WDbjMAkoZ4VaCrCZAX;p0wgJkL-?}P3MJ-kfk zprP?TrgNKUlr8L<>B-m~)Haza4o<4+gaW;XI1Zl9s`gh1q{5Et`#@dV_=EFU9HMon zrnhYTrE3XL>U{lix7v3dJn7@em%`a#R2AGQ<-1Ignx9%sbloa+JNz;LFyBFWmor=x0Vfvp|Ub{D_p(08@$dYHuiu9KnB?qH}nr6 z@q-Zmi5r~tGJixszzyt`pm;@5%Gd!jUvk47h52cmq?K0EhPV|@s1Cu+FZ4?E}Ti|ktaQDy$@f2In1 zN3W@Ntapz8Mo$XSU%A}@V~$_xkdH5OB$HBwoNjI z&GS&S}l4iT!)t2M%CXycH(l0hTCEfuBn0=X-YA~6c6 zgqmfw)PG9!b{_0r9aY=<0HX#9%AMI=T^swDe@2rY{_rOY%>Lj`3;h2*d*YF9pw& z)jR=hu60Ol>4x2d1=wyMikX)>N4Yg{yP|7{cgXb#OB0s=0t>wL)A396($ba;jrRD^ zfXSK#(7`)=z5To5$W_xF%_(8Bfk*}o;3zx-m4oMs*M}?eiDMDxW7pw*ZmL?BB4Eq` zU}#jQr+|M2xbW}3;N0=IFM$dECHx!o!%1GdPikbBQ0doI6SrSYPdx zR>R@G`AFAA^Son&-@jQ0*u~y6U+2`8X*{M%*lT7qFRZzh-ADY$x0RyDH5mKu8euQ1 zR~1+FtE<;q+U>@Cj}k^6%IO0KIYF=+$4cN?Fo|CQxjTSvmVT>D}8rQ5?z}E73 z3p4oU!^PSqRkNbjXDgkX2?l2_N#Jy3{%4}|!F?Fm%6^iGs4k*+dO@NDWZOlAeF


      F`v6TX;7ITpNX^ z;s4G&3;#3l&wrHi{flP3A=l+SvF4(s83(rPn zO=Dvtc(Ru9P0Q3PEXFaDnSIIrdzUTtHlrv_ByQnD!ebU?3Z}d`poSaP$=Tx4y5{m_^Jt&$YzJpR5+)BSMTVdV0hRihPk4_yv#u?-*5Ap*M-^FI zR>_!u(y|0Gsee5%&L6*^7tyL&m(ebc)pJQ(uWc2$$W)t7H|N|c)esuo6H?e0DNf%f z;bmD>IFg4?J0O4p1I>RoD-+c(5F4{xH8Vj79CyY}I1h*%H$R$cit5y4x0h>+$UC2P zyuL?Nv0j!Ot(Ztdp}*#3=R@vO8fk6KcQ#6Lr2a^w)Sm>?a5!&5c2hoKX2;6dXTI@z zOzCn&Xz#;t3Vt|EpjqCDu>rX;nitHXn7t}n3c_Ae{=-fons#nzV`Bq0(1xpx24>{6VKcb| z?%|mkeq_S~(*HY&ULW5b=D+(YR<%b}q!g$#>0JPtBoq}%cqX4%Z$c!((z(F%fWG*D z8U&l|l(24k@Y#+)Oo$t{nyu<7-arCgB%G$szGo5%q9=HpQsnlfvu=OKwd~in*)MGh zN7{cpCP0<4NQ3;q&)(+&K5AFhNhg z!e6p~r?0a%|8cw5e=2HH|C#nHD>MfNr4`0#zyyOHBj7C}x^l><(ahlXcy%FUji&+0 z?R5uSNF&$QjI*wHy1Rsn+@zsgP|=|tbXPKwbIR(Q=WJ^2!4Q$RLC04n)0e0FQy^=l z?Z@3mJ$8(3$s41;p!5)*{DactQ$NJ{g=yBIld9K#A|H$tybH#0y?G#pr%r)O3O=mc zUVb+5J40GKA0N6XIB1>6*$>Kmv+Olp79Tn65H{r_o`rj0=jG7H}5k$b$+NcJst*b61Cyg z^XCSCHrlOya+E!(@CEY;Tj@a!C=`{Q?oJ2k-69xm7l2E0oZh?7W7P2JnG zi!?N3#wTr_v#Hcqe*XM-(X_Sobv-;I5a6O$G`3F@IroS=)8FVGpJh~nQwgUPJ>3V@ zQb{?;iXHHwVk{UtRD8n>T-HPt3kxL^R;%Yrami4cG*Z-l)D{Np%oFZEE`M8*cdxVD zEIy26G*p@9@IluF0WQJ{_AWLNL-YbEi@z6~g|pWME+dkaNI@}ovLUeHX+j>ufZD~c ztzH)~bBN8mF+S*7)7-Bfr?@tP%!Uo;ixI2A-8UJmT`N+Ci5x%~;?!9O<~s=^iAmdZ zm;vP$<93^4MIf;SW@G%Qa(|C~+EI4=#=HPlRbbvQN$>5Nbhsft@P2^>MG-)e`P;W| zL8-P;NzU-P9RI0s*3i9dWNR93&$EZmRgrr>M;06{7xHiJ(?`%H)g|&C2O!E>7R4bm z=vc1t9#g^tY82pcFB_zu;va{T&41a%i*8C7BGtj5>Rwd z!n1o_;=Ho^^4szC7Xcm?9+*Mllrt0+ia>Q&ypc5PwKxWo2s&Nzd_G$G)BJpp^m*xa zFsZ!Nm!_QiM){?8@{*$Lz2~S^h!npIZX0ln^VE!+FCVw9V+w&ZbSJwn8>ipHrd@lw zSNOtRd0hnfd3Yvg*$yP#+}sQe1sg(c-=<|`)Gjp{7|f6&LKX9ZOA-Y|!-zeWM*Ce7 zS2%kYb}KatKotpy3@#a`ksR|=Jo=3ldWjkWCmLxI*r5rjrW1V&A%?IH(X%>JqZ$5$uuVQnOUVgGTSRldWD>0oSDX0Bl53RrU zk#Jt*E6x>b$sQ7WTN_M_=!&n4sJ3wRSq6uNLXijj60hG0l7D+(n2Z7Z#r4eyr8<#@ zz(_neIG8S<^Ys;{!|Dr5%X~&BYVmcdvZzAx+W=~K!Q7XXi8PJ7xjyz2VfANpMa*X1 z3)dJX{)vxgQCAc2-jK!IoHC(o_uGz{Uw;JlDRktu($EynTLr+sM9-d`5luWqpcGwJFJ>P9)FDu*oNv#AtsvroOBLQe}rKxy836XXoZh)}IlsX2~ zx7)MyVn|?|pl_w{Bzft8gDh5=!a&LW>I28H0Z!)3!HXp?9VQ*_9O<5}=wqLzbQFo% zXWw_(cxJagi-$9{x~~a?-zw0HUY9**ve*lTk^9Lx zsNY^62B*ylA5jwJFoST8 z-0?%ti!lvsiSfG40;q;)SB!PDoulSgO?CPJBECs=SZ`+CV#BTL(fbUDSY2#c$_#Qz z8-*fwZoM{tOppS9jJufo0b3$Ci)9v@!J5r%dCzzVr zI1n`oT6X?M?r{qr99uOlzscs*8Q`Mn>qhfXCm4CRT8!&F#peif;@yM^o2R&@s%rZM$ z;B)V=nLJ8IPjtoLh@vSs5d2~C*%>t+B0=0w1IxDKx%H*jL(sUTWqwC?UMxhSDJoq( z)^RAi&7eRfr`=sO@u@7~qORGFt;IiqO6#*9oj88T1CD-q5v%ReR-tPpV;uI6wco8u zP9R^d%jV43;&rYuK?+36*T-PMtqJQ#xc4CB#ZK4FYU5D|$%ONopov{yKke8O#7s(N za03|!XgVY(CkN%&>rORvPoJJ8W0EK=F)1wBK@sG{XYN>!Y--DJhC#~AA&Ij;qA;A_ zpgHUPO$%_XUg(To$QNgVj2VgA=v3RWSe1%8I|NW~rMP>JPrc*c6dLe;+}= z#VT1`v6Vgo=5q{ndM0IJp3505S$k>*#lg~=Plwpc>qDuD#93bq4W`ZrD|*~*CpBEr zYGZ6SI*a8J_XR4i%@^!Ke0DlW0gG0K6!OBRAK`5}GJIL?Z@ZghM8IWdU}m?%0v!q#2Y2_2&$>-#l{I?-oe8b>kI5B6+!tqw#mtv7y~bjnwgmoYmT1Tw z-~YY-KqC-?TCOHf@3JeAJ?~nJjf2DQ^E1%`qX>+Alob!DPmYEX3OGU77>s#|kxwzH z8RfIWj0_|bSDFKDBbF^jUoY76kR*MQJ!e$CJ{1 z0Nm==fOTMbWyN}`azL-j-Me7g%G%QMu+Gxb64-PwOM?siX{cP+2dnGM{iY6n z>~icVWQ9mJxVEf0tEBh3e<{ctB$^aO$T?fz3U;MaFrLw=+Eu!S!B!HS$;inkA)xgF zjql)vP1#FO<#ejX@dOhC%2^SP&U_Hnpue~t*r#J*4szf$ov#T6X3zGN z%pTSxdf+$`J2)q$EgSE?(jrrMI#^lMf{0~Bcln*xujsh17TE)Tq}pDfKAQ{cL{&?R zkdzCo5V z{_oFB6P9tJGFsGO%IwBmq{0Ld7W54l-6DQP5-g?JlXZNT2ScQ_DpR^6TpA&|h(bNM zVXjd=EohF>r89k)N$DJlH)?9<1}I1!H>P!cc)kjWL!W?I9N zNCb4BuRV|(FT)k$d2t;%FkA+$)zVtEDpP;-Y^Rzm(ajA2}hfWL7|B{;3JCKODj%!ejwnFyy5N z2~-S}k-|%|3K^Oz+Iw#}LP!JzTqmY+D{7wyQ3f_!K>gd6OlD`>4T?cd=gwrs&f%yI zTD9*?)WE`Abv-+PC%1nW&5i=E-REFpG(Ye?e4j{uLE|%)|6)iQfF)KLIHyn{TsRu_ z@gw}r+b_4AYz-v>VifZtRq#D&C@7G>!1rtpp(`KxSkC?t3%Y*f@u67fi% z{IK_!D~$RwvaLmTj#0{fAqBE=6&5o9%XzkDnis;vIsNTPYap-ppK8}@YK;6Zk75oE z4mu@y!)#tZ{S%ZO4TQ(ZB^j-=nE2dhzFGHN^3&CZy*p+cubDt?%5}p3fe@7n92Sb5?%|KmQ(62)#A*ccvBncJyB(+%x=^ zPEmm?+X0ri)=S+uGA`=HbGc{-u^I9_H^pFX55@Mb)%WkBFe2ZvZKF*ZFbP4+gupL} zfXE8+LBCeE@cpR$e8GegyyC&~P=cCP(akk5Q z4!?W9yRbs{!Hy=jh-37d=lT1h3z)UA6n2L~qz_s{;(OYy>h;)Zb7|aAG~<`_-4xP4|i>GMQGq{R}L&no*a><*OHjB{;mWy)C~!6O56$V;&hAWgrj>1&+#18cCCX&0e~CIu-)xrw&>05ALnHzh>< zG(xS8GX= z94G~5g2s7#(R{MCD_COO3pb7nWa2BuiQ|?n%}d4DO~WkqMA>R)!bo6wWVAI=(@G{? zCS3a=ss*8R(NaN_MQO$w=6&O(Q5U%1uP2fzb@i&2zvV8i*b!6y%_YrWJtzPP9&wLc0Yz+M%6{W{alZ4?i(~P!cr@^IDQJ zRo(``Z)PISTv15WsiqH*5Imq^`4Tfh_INcd?7o%(IDReC2GMa`H;kO^eQw??)uE>* zlRR$%Phy)@8N1>)64ezmwjAF@qizjT^IX;h0l>%;bFs{wy~V9}Z&7!aO<?gE=ct#QK#)^awLt-6|XnV zm!$4nFKUKb9iMKN^f^_oO)u;^l-WJOq@I3R(IBi-9fdysjJ+f6LpaqefSOZI_6x@w zb$Mm+6A90*%0E|1TRUT`*x2vqOfwiCp+idrEJlzSK8RA><)-L!tmfqQ8hJM!)~^>( zv^TbPoM;ovW18|IbJ{S!@R>>y&fx*)Jx20{k=aVi<)F!#PT2RJU=5W>kG=Toua`Q6 zQRWoxa;RW=EYP~SVj7B{SIkd!!a4-3azHcQaFQC{X8>3|O~%o;NVq-)U&FGeOnKXw zn1|cNuC{DTm95VF@^gxv`W}2DBl9{nOSGRPpp(J%eAkxgSd$0f9Xd{H`|u~`)Uvr+ z>wvNXdect5BCCcp2yZd!YGp z%+LG2iZ7@|4uhEW-sM+T85I>r1=L6ZhKUcrE$HyQ5AV_4cq8DB*)LaitGnuS08|Kl zS0dkJM@8qkboc7GrSE+|i4E3@N_qzHQWSG_LE7Tm7CslP<2jyX2RcHWYAV3QfZOLd zkf)p5IFE^5H~Vy+Y3IY|lN8kOks%{WpKRYnC6Bt!N%a7eB4I3NS0Nz}Rg3DKeEO$c zs|4JXsraIq2X%1t<=OaV5G}FT9OCPge8rF!XAX$hWFGjhiumA$lu`w2$w!3$UqFoiSXL1?J|1gmqY7oILst)^*F#E zJoq+NS!BAZo!#fs5pBUsv(7b5{WEloWa*we6X~=;L}&NSJz~ggRj0=0*SGR&bLy&; z!_~3{j)@rFQ_E>dmTjyPpjk^Nl}v#*S6(AmvS-*J#1Zd~+n!+-jjv3sQ&h@D@=$t4 z^9S&l=YHJil+yl@2{u!3iaMW<?%KeCOERucEL`WCY3c@ogv=SKD0%}zN~jE9+icmd$+sC8?D0hm$EK<;{0T-jJPGpYu9RJp>M()mvN z9=L{Lbt%PE6c{WL^qN+1s3e^)hSh7cxP6m(MBZDuWIO9=F_9Z?E#PvxIym@IatS2j z-{vK}9WkKbSpeK-uLYC;AiUDDZkP~je_-4`tMS#A0367t=6!?**&rEMBnXE=tNPpA z>J_dT08~&icJ}g|oNz)_INu4P>W<}rtMk0RwjWB)`Yz>$7#zL9oU=}7*pmJUw_Oa4 zjjdSvfHH`qvbl;1kB8y7w#hRs3I&!%dr#I0?d(KJ0W08bnX1`V{Vj%IYUcD zs{|IZ)`)Q}|FbT*HpC2^k?`i0()}L>qtnv7G}Wr zM7$RyHjIDoIZ5z!kzQN3MEpVC`qob3#i-EPpmmAYVU|@8Kn)0h&i#rAU*!a?5gj=c zfIY#~H0Ss*Maa+2-dx9p~2Iv z3=x=xd6Qg{!>$kbhmTo|P=#FumPbx3hw~tk zGGsYXX*WEhXRMn1{i=cKnSXkb&&NfrR_oThm{!XYsMDg3>A zhKI=3^DR;FX@=>9S()4H$hU971ZS9b)6xF>Cz2Rm?bC= zMoK!xmGN`gE27A0a9B}QtWA3lqGKa6^|hkf=ns|e&yP^Fr6 z38H!yVH@Q!sv<5GO?==DW0k4UncsC_)_h=9Q?+}3I5P@v5Or_^13lx=q*e>|)ReoK zb5{(X5Be5b9Gg&3ItJnl+okaI!Y_zSA}gw6Nw6K3)i-K-s4qI-y*8dHsB`gb(h;4y zbe`@=m{SumzTO8%QttkF7-wYFx`D&Y!7>()rm}~syRySoNPHK@0T^}M(s~YN0PQ#X zzvkh;5}PLCRN*1Sd}lxAnm`hPsj?VXePmFdjntSNThb1EvujEAT3cp zjJ?{_mO3=0k|v5_;nLqO?2@{w5c0+r(A9Mr8DrVun`bOLUelUtu*vA)*8|F#!9u}K&<~a0@634*?__T z?1UNRCM(>{?&*2e8bZhr*~PIGN+K}x>RCLGb0~VT*Y%a@P$qaKuHvlgF-kwk2K*(v zc{1KSZT6^ZxV|D-bIWY&3aj0D#+90xx%lP`)h~p0I%~EH@oH-y#!hM&8v$i!Rohpn zvylx`E4IYWUtT`rMU89IB|qafms$Hk389v)-=EJMbvFg5$Oo|^!t=++rqvzYyIYQq z%L>N)uSS*DLM_RC6g>`|T5BV6KuyckiJ5iajv0Melk)CqkWZ>lYXgG~}a4;nIz_zf`Ok8_-G_N*4!XHP9)waG}HybTT=D03Ggz^FA zTOWTTyqLjbcF&886GS9Q0hi8#I=mCvYVQ5PYyQKs*U?wTH88ILxc-S- zG=V=?Z#t;%F??fs5b_RCo1 zE4+_SiRf6Hm|0cIsQBL_1m*KeHgS(AFoH=;Ykfdu$2^q50z7ku45hHDyUG z);Zkxd}fhm;`OTdIru>HWmA$eEmwyQHDDeG$iCX$l|#nni9QAfWr(!iFcU@-_0rU# z3K*p5yZ(?H2M@6cTF48SiH~Qw+zl*J!dkZiX$BUtnefSrM(XH>lqBe!^g|_@2QI*m zw4lkXtn3hgR`9A~0Umh16n12z!wfb(dOIhL(9F8{Eq#jXr@<)WA0Ft!k{vjMz%B!|PGlDJ<=-6YaF% zbg!}R{r7N`k>XEqbk&Pqz_{LRgFoM zzHOy#okNJ~&ejDE()choc@7DIXFOwbj;k)FN!y z{&_-wfd>wq4vaq`>+I(h*Te;YS*HXL(RQv>2JdsSLPsCn(46@N1SxcmCz7yi1TcQT zMGCY)|BhL|Py?d#H=mJz$E?qO{I3$7_qhWjLOd_@!AbCnF@4A9;$0JFF`V+GHxkcw z0)v5D9(TZ)?kCdz%MpA}S65d@2O<&@Fv`q&U3++V)Cn3Mh@MTgp)Ac3cA_3w zqKsAbOG$BSt7#1sy7S{*!avB(K2h{65aSMKlA-s&MWNyU9KEaODe%mlAAK+L(V z)EvSD3=KNJ5t3MNm9z~R9hO|rNnP(;tUyM$)x!CAB=$4HF=eDLD(3?ay-4Hz(4k;` z2tlKeRsC+p#*K`U*_l?4va~ByvU4%pDx{5B<4j|!*dXPF&cz>%WFkeCUzOx%_=3qtN7r7ZLy>eu*>^9OM)>Tc zApd+>K){j>Qg1?>7w8mS3UHrknAIU;Rz_gsYyr>F^|nM}xnek*G6g1^dqWB!on~0= zn!hzmIEyzfBp8AD|A_C-C|2S|dE=YekNxs*#VNQej=1z&p3m;BC+wVRkM3y2aoWD; zW$)3b1?YC`z06Fu(dhZEzO@pCArCT&r|8@?qhxoWiKy^P-WAyxSDh^ml3lBnur|uS z5BASDLaA+j4a7sN!t(l1tCqSs^s2ZEUNJI6Hisr!=JOd3Y47R0zAnLNUb_c00f4q) zg?_yOg5C*W*0d#&As?HWKSCm~`bw?*Qp`y-jfs>r1+8;cFeWyN`LcdbE~&y464=C= zOG-{emCwO_KDR^T%iwm_ute##ZByv-mwf8%L$!+Q6wmAG+^wA#n@eco!)yA3R-T{i z7V<_W><6F#8ZM&*i}_S1ZbBk32I|6_TJR=%mc}UaZ?{0BqqRxA~ZK#$*a#B zL8frOdxGrf*x0IvhK^2BM1+#IHV`?brlt9z5H35_0F4AHAY&-VtZF(8hig8$X($*z z(V&#APP6g~sCR&nHW#xlmj6u)plk6#jFMWWzQn`Xkn>opD<@$FL@37EWr1g4M_IN+ z7G|Mg@iktsnhq+il5{BY=b`>}8?{VLm%5H_0YAeY&zqdR=o~vP_?y4;JBPVb;n584 zbP;-^vnmihb%7z&47y**}V z@dq$Mn28tHT_Z=JW>nrI7EwWLS-Lm+d}Hs!^;W-Svz#hkBHK|nOz!TLmzUx;YvKZ4 zjM*aj^%18!9mi#p*O04W17-Gi)GE&%b&RG!gsRFzhQ{OMSJ!{6EO3e0-H;dlH~OvT z&;ONtjk4}{tkMKY2B2*Oxe3VF;;gDWOjsYPi{hS0P0r*_9!q>{#|PpBYFTx~vnxrJ zyaqoLdH5KA{JNhEgVg7I?R?z_eP&^^5+7qg&vdJTge_@mz>~JE3bs#D(x$u5X(6m* zoKH(szqr)g;jBG%5DN(*)QEpJR}LsjJG?uW<+c8LAF>$pCu^MAWza~x_W2zrvJc`^ z;ys<;Cdo|Yixyq%kuTy`O$fBZ!O=N`~aMJmpI^@eGVVzP}8EKmEe)K`; zsHtxp;S{`b@Uh!{tIgMs9cTgy?BPaD{mrTYeB-~$nY=#_lSzV zBw$NR2fvT}q4O@A-p^Dc6z#yc-wZ!F!^8NU;aTSi@y z9>`oWC94W*7w=gU2zYw#mDWJ4T)lCm9tDg8IaAkwC5hlK2;(g|@vPWTi~8*uFA7bs zn)*#agI)>|JK5pUYqN$o(o`CCZin?A=h|MEhu=|;+V<{p8hAB1day(J!C_r9q936Q zNCMyxL6hef^2qA5%OuAdrM&|~+qd?0g<$;IWKZlT0ozML+j~qMna6Cc)zQutKV)TH zz<=C960$T48x1CQ#A@+pv2vZNAc-qqUz|icBcZd>EGo+^ElY_HsjF39E?p_5#5ifXP*_b}&8Hu>t}DbVUmb3k8Lt zh%VQE5V=CTHLorohV%>i!X+qY+A1Oa5hk(Qo*9W)KgOkR{aLPRgMxV!wfvpncu)#* z=zKHQzr4{;YI@sn{Dnj{S~IJp!F)9WQATEBWaO&gHrQ+SMl%Gly|3Y6tgyL zcqW#NKmVMM$rG{LUs;E~v`8$<^tliGnBVqo*mOkhKsmi);GiGoKTRTjw1044Y&rT} z)Brgtq$%d(^pB{zg35yzTj1$T)LY0gRIi;R83!a|Er;bkoNu{aL*0DeN zJU1_*Ll6@a!|U`(F~f`{F7IfIMG?HlqAHuNL-Zxv)Q`xyE<@b*<9yIB2XV*OSpxY; zPDf0;VoYkcocG8z6g6hnKUXEu_|W(<9KF?;&NZ24*?3Dx`CV_bSYL;wI`#;O2tQ4O z8e*5ej2UQDsuZYYZjf*cOxyX^`&jR=Sap4(aZ0QM$WBKtQ@X7Si3_-Q8bzxr$ zq#$EfP}$7h-sYjN(V&{2N8mMiVo;rdG?v=Xw25V=V?j^lMh}T54r+8?j2gP$1jS_q znzm^zo0?!LtYWKd28ai`ue{~edG2=KP6Eo{4Riiq`v)=oI})N66my?^g$L-su5YQu z|LN1?PLTs9xmml3_??}d5Nv#}NjO}2Qj+$ybp`j6;5X5>VypH?`Ci!=d@`*o40p@) zZRZz)G`_5BnJ=UY{he>zN3Iv_CkLfRJMt$M^b_e8GW;`Pg99uky5Y@EX&eNJEBckz zMFuujjk{;5cw~RJ3A97gXLF9Es_4Sh;zZ@4m)w<&FFv1oD0}`yrfJe3hqw#^;`+@B%Wm0k}L|ZrvytY}$CRvSW%+6uTgDqK8AEHf&(srFui+ym4hR7fn5oE78a&2H&_B}UoL=a# zL|WTC9!;5(DvfNN((m&!?#w4sYoRbYd72+891D|shv8Kh3B|IN%Ob0)p@Ugc z>k++W`)Cs}{BJm0^Mf7gYQ!&(y@mX&~Py<@?^9zR)hGiAW za5r@Em?Isz)Z&D$R)wQ7-rs=l{IU8k+^cZPw;wq-+kWKI!jwWKFQh)9Mx01m)2BHh zBQz3!2^gAeYAYD()m4gSnP1*6auemD{8*GO&2Fur^ z80XIAFMS8>X69sHb+XYdm|FU-ziCVPSXoVvr)D%KP&XncYcP`wBd zftMp5l5YJ9d#D=D?U7ATVFE(B%kCVUs;2u?Tk>0OK6cZnmUgBI5t?MU^W%pb4OZGGQ#J^wH_LZOBR8tkw zr^Nw%NVrI=2+@3OOuLYtS`w{Hd%H?P0_0mzYFTiDHw)9dc9QN20Dw>21z?}u2`;K!y4g(?5do5}!i;-f0G7m{{1 zL4s7nt@C^Bbb*{GVS%qfctuhYriI@Qvx2jw$X8a7JA%T!eMwPY8Bm# z_gc3gjg33Rt*c0-En$oUMpN}k%~sa?>@p^n%Daigq9K1MnSHep4lvx6o!nf4lFK~P z&es{#nT3n2pu%=~(UM|7rq~@5^yEL6SG{WsB<^3uHn0w%q{+Bh2Ncj-S(3=9 zyL(S0Y43#tZjSdZ1vmaSsY|*(Ju{u2} zuMwUdp|k+t_Zy1*97BxqgPeD?_(Ude2cS1fdff+srxP5UTciMXKbC0N*ONOE+q2-! zRE0gZ;K`ciP8YdtQJ^9CZrh_%FF?cYAz!m*HdF017o(MO6K3XGa#5jmlq!(q%fQlB zUm9hJjhDqTcN;`Xm1I4MzL$B(42q4pz2p{FUF!2fOnjy0{*7hCksdOZ*;s|RwS|;f zdOKP2V892U6GxjsruPa^CQD3(zaiNNe;}!f_|Z_R?w|-(2)VhXVp_^w0p?lttoKV{ zYIVqwSyjc|)LS53F|UJ!G<$Z2^Xk~k(Q&@(#6G3UGO25Y-jT~EF3vrNuKbxi5W@b2 zqP7>vesH+tVFgbT^pRG@Z63@8V9=+V_Ppw)2e8Vi5a^=x?>CZ1_#(Q|`3YOoz@F=V z%`FY^^ACeqQ0nA=qq{``vCIgBVNC!SI{c`Lg3-8{@A>H%<-aQAU0H?re@OU&C=dT+ zWS(dy01owkizx2_anl#pH@`nFKf1q4w&<#1ll}=BB^Y7Vk_6^Yd(mKN_suVRG&;g& z!wwd#TV(el@3!93OIuj#h5$8M+(^d^NupD*9#cC3;_zc|z7beTb3xt3 z7D#-j;+nd717zo}tE$=52I)tY3tge6GGPh1(dWQPNODf}h_6?cJ5u_!I#?c$4+d z_yY)JbEiQI7qtMf)cWa>lkQiC1b;2%5()e5vH=G3>bGBp>WHoD92J^JVA9Bzt>Qh7 z;2x40jk7b{dP6#x(gN$x1I8D**Kk!d_!p={0o81{FS2x9Tq-$m&{l5mG!hXo8 z(+s+#_SRaMGu7J7Vtax%Q`@puI+=Hmt~FU6Z%hM6-9-4eJ`K&-XkzAYnYl}iQ=?`w<# zG@KK+;Rwwm+Ps`gElggBj6!BrEpwIn!CVx%H%KOOBM`lwPx&cf<42(K{%YZd+al9F zcHwR)z)+^7w=~{;Lg1UBl2DJoo~ei$+wARRrEwKf)5NFd6oD!UjWJkH>6yLo5hlSB)h=%|c28yE(h1=B;5=CCFWSXDD{$6&u zT!pg`9|=6A)>~>FoRv4K&s3Y#|HULS>F(_@#LWvKC2Sq_fE?s3-0H-kJfP{>%C+Ne zWfp(A>~HQnQ)^9pc0$Yj{}y#nhfc=FyD)gg2j;rs-`jS1uu^&pWpsNQ{Q6*gfRd z;&_un2b&#$G7$rg@iXbSW{h=;3NO#}25i$v44cm@Yiracb}5YSWwHmxh2M0yO7R5oZ5N9lrOfxw{W-`N#&_KOsKz& z=_~d^KpfE2AOh;8>fxao=8uwu3*qiA*@YA(p@z86&3y}KLA%RRNw{g)EZtf<2_{6b zQWdjz33~0^2?KY_;x5kVkZPF9tkEkz>n+_y9WclgvKt1tifOEmzw;jYW${pN4hb;MmCJ9Zte2dx>c&mzoWuO*#9f2xyX4SVH3>BGg5`; zAYnYnY#We`Ays(X0J|&z8^Ca_#&ToV39zBTSZuJAfBWoWfzL(>q5>o2EA1FG>eg|6 zvMmZKXlNb zvLe|);1i$`uCjTNb~Bvhhp^Vq924Jzu3k`mo=-{K!Len3mc?36o{?t-gGI`HLQNa4 zsy{z-%f55`7^}BUT8tvZFHeen z%9bqRtul(dT^4-X{vzhT;6;EcRB&X}YR!5Yn~K`Uq!Hw9mxF+s5Rh6PS{qJEz~^^6 zuPDIP!K5J6a7KquWO}#Zb)uxtyE)W4+%7bxnCHstl%;V|n&X&xUX?mr@C?j~C%1Zi~!=zk{I7 zRF5qRc>$Z{Wv@w#)OZBk!m(w8mFKCyKx56!G^7q-fva=rprQ965V)64d|X@yt4^E) zs%-tMN9bI*{1Or%d2~J?jD4K@TcjTqA1?|8txM^d75{Bt@gc&SUOz-V)yfYc0J{6a z3TcS_n-qZkCQ_hqVVgI&ohSV9@%3!~M#|2Qil%1`?gx5*GhvS@7~&;v*g6FMf^C*# z=pKk|*F?x>|#Go#RvG1YY*oQ9_zXb}=PyQ-)`aJ*OcV>;C`LAmJrbU*20O_B| z&=2<8zavB75k7!X_zh9O4wK2#_1Q8P&lbyHt$H|Ps{2QF0z)SjJF$^#hk>i#ym_2P z`E#jBB02KsE7-{RUWkUEKLNv2p>lx;HF=cy@b4m-_Mg+Xn;y#9RRD6(wiMPrh8=f4 z`iPN*Z>%6us3BUn@B*HU$&+x4?+`j47~nR9_DC<~an~ zy?0Zt4|5zF_pn!5-3Z$QGtSERZx3jEI1L62CVEUG`b{^IkmiBG$1el*sbo-ZV-Z{N zfsg?zd%;Ed?_lX;fCPygZ$CZaQdWHK`N2g)A-gME$(J{{7+cBu#vFFJTchL_@(msy z*PY=3)zv&H`bahET$D>jKJQSDs(V3>5+yfo;XO-|PC1_9GP`(qElzH(S6(85x83b!N_E zmGrpisHQJTf*i@edBH=>dH*#QdRybw^S99PQ#t}-Fd8;TFNP`eDOZsb$34@1z#W1QhQlalP}S>9$0(bDN- zrOk$goiDf+xNYO+^)=87_PDLhL%qghz{|o9ilaBa2}L@MhhGgvs-D+;K(u(j**38r z7xevoRLizOkCaB?I5r`G(nULmqsGP?U=B8DEIdIt`&CU z#)9Av3?ApmXzs{U8LU4rfSst(RNR%ZwCI4)|Mde=fw%H=RU|V?Mt4RuUD7D!=I~Pt zc2+Zo&@vX>NS7$@5DYYa9Uk39rr)@G*v3CIec<8C>uVs?9Wb3-++1#=a(3I|(eh{w z{;%-swkrLPsL3PpDV{s}_>#Dd%3f{V{xK-}C}e}n+U~MrfWFq4&u_oPfiubjtk=P5 zbuIAy(4(^e8Ld)E0|ngwLP!&B5$-eR2|k)F##?Mawf6DNzx(^2gkGZqbcPUd2!@S* z%d^A}&tE~!OsX34Kh`q^#N*{P@dZ3O6z-+ME{jG!k$JW1W&o@A zB;-{cA(E>>m{B2c+9Bh%9bY3Jq=#)m~&QTY1-awe`8XQmR{Kv1HbqYv{yt){a*ubJVha*o@mgyOVF zpYPqsp3-+WqmZF7HlN_g6mU#zCpF^%s!SAZ1VGr)xXa2~38>k1$EPf?xIQYd*kpO} z>5dCADvqkz;^@l$sNM)Q?DN1rReoj#WPciLU|TH`9$@wnFML@ouH+tUIEg} zfSCrdJdK@Ujas#aX-&$#is9UXfN%0|no7x`Ite%hUO#D$2;+_?Dm&<52k{E|qIc@X z^>#_@Zrp6+MV_#4xTazpJA+TV*bRter4<0&eq39J-6(L_<;$P`j!Lbr(t04}vB$NJ zk_B}-1A$8q;9Uv)tA4s@lo=p7kH}|!`i%@}!O7z^^ zM_R@jxP5e=0|P$Q&CE63&VefC0C>7tlJa6zA>|RW8^O%dPf2^ewIza-Zb! z8*F7O_AEmDFKjWqKg5IMbY!g-D~>!DWb0E|n6n!-kRa5YFZ7WWv{SBJ54lX`-ggH? z44McYclqMelM3g`GDpSu)OhIX37p^0Ru@1z`I%frQ4AN#$jQ$S8Vdr1|9#sd!lO@H zS(LL!c8-FN-w5?4#%jtQA0@H##8Qp}lY!n)m0(~rnl!d5yl$n1!hLJ`;#2fSpF3#i zfHfNsdh&l_}PQkjcr;#|5m_; zpWkC065!h(=c(O)Vp16ke?K<5ALZzqZOgyG$(Uc4Q_TOmoHjW9>0e3a&4T4$IIB$> zXp97fUy?wNsP-exJm}I^{}XVwz5hC7sLiHBfX>*)sF7>vZX~xt>4K>_bFj}#u)R?= zuafSu-hxH8-WI(7RwqNF9oF9&Bc+ia3%Fu061e~w$ef>kjr+xXRtEsRNMH;k1nLvl zM*$qH)BbIEggn6>6Q-gg1S2oEHpn^1X4Q6QPaL{WtmoYLzLJeTs=j$F>Am}zj3u7p zJjzJY25LuDPE|quIZWefe$1V#sxw%3c{^5sBEp_?Sfg;La4rCi1FI~`{U!so_?`;KtVtf;R~l4vGgJZ_oI;A=5RKu&n4wZ zeCTrGYCfx7N_UD=&&1*DyEVPjo&{c*tjauHyx|Y zAF0zk*B+rLtD)J7iMgB|G=Aq8XjZsPgG-1TOX*N=VCh*k@G%O+g{i$a2x7tS26oWq z!=qo!fHMy;9qZ)@S-2mwK9CcqyR1E*Ea|mcK@k=8RF?Jo*71&~s7dsx4>6PEwMI{} zG`T~gu+yBUd>FZtHw!p8bNwo%?p(ERs>MXs$x6E(xnWz`K zd)}i6Zp~kL?_Q62@QiI(sJk_oM4LefG$Bn*ABdL*+r9X)KG#iU_nzs(AFRib*Xngj z?=2&jhxoU7MY4A+w2eiHCs6RCAwVWb1ORaHKs&JI=et800F*cQ1PC{Y>q_zY7H=OO zoG2$IG>5iksb2)xt*<;Hm7Kir*G%rsiv%{=Gr4K~!2&QdzQ6~f30xCO6{^nY?N9}k zeK(HYwe@_JOcANudC`PKRw`vAtDAFC-bz&Jannl=wK*pT(9-&{!P5j$wt9brX107& zH*f6)%xV2&7;LkIi-@mF>G{i0_^s^rS!UBW({@E-#{IQ6q(I=?g^tytTsUB%51mxM z<-o}?^S$WZjD@O%OOl%1R7FnM7vg*`<}E_Ey7Z`U%MaAFitNV*WN_w|Yf_^Hx2Sui zJ~XdSizMUpfQ1XFU;hFl^GIin^m5(BTI}B5K?2&j1>9xk$sPJHNnb=*Ch_I%?XJQ_ zcI_Mqs3o2bLvLZhBlA7sQ;BWJgDjf`Txc5b=B_+5m`CZT=8RlW5<7DDd~xw`dnVN_ zMnCRc)$#5u=4ImEc!Zam$*Mskffrej;SQl8IeYCCK)VJ5}Ja6+n z)(NN0CR`ydqAQvG_UD_9Z3}Q_f*<1(@X8`ta&+d+qk(?spr3WOp#> z=eRb|YR5pkbgCs%E=|m)*2mqXg&0$Ua=Loo>Z>DXP@6C~I zo9fTJ!!|YI$xWVCx?n3k^1)fH1W9ZcuvTmhwIpWu>$SPMx}Z<_&-lR)tw7(zzbMZH z`9{_87SA?Nt-)W^Jr8DFxKKOR#N9IW5dKc}HhS`WbYaHA)YZ&mSyft;QkD6< z3y!sK>ud+lm9Du|IRt?Zx_L|zMGy*_i>R~QvPLs)$gMufEC=7Koa-zUPFT}zsw?^} ztCu||fCS76qBYn@Do>@y)7z${HD6|(lb`I$p%FDS1Tl{~cock#{XQ1+TtlQN3C^Pc z0mPDak5&9?9Zh~Fhf0FwDNylOg+c=ehEn@ZsROh#hp|Eg*5fQ$bxglX0{An!q}-a> zps#JfYm#@VNyTYv?D=Em$M8ne*Uv`A+hFu&Mx=$0ve?`6vrUDh$KP#bu6R<<_o}sz zO4ONpAvp9Ko{dL)VjvHQxcXy!hmSQYILm~8kg)GP23!I|ig>Rx*~*7x zuY*pPtwhf-r27pgio1gF^MyZZ#x_~U%*1y0h5@Z{fGeQYf&KSUMEh4Za+v}UUePDf zBbF5EBR%m~O)q%_uJ~2RdO`h9GSrF4|7iJ@9*NcXEy-d1pk1FRIgqlJ}ms-p+(lddk*hZ;b<`W?=;CWsceqCSC~>?9Mr!) ztl=L!Vc3xAekTOQ1Hbsdx#$nT@5x`Edi|mG{ZE9u4fb29J`6>AT&N$QSua0yJ)G69 zc+S*VxiIG;Fgjq-P$6*F>&yDCHxO&?bGuMO5EjxI1DBgG3vk5@DX9u6AVv0zb~O3z zf%psL=f(dCU~=pdju;E~CmIyyC{8JU@EUsIk>7o-Pz+gL0&K~IbUgVnjXW@ zEYhUPyC1>rl>(7iJnkh<-EwwB48Shf*oM=x%axt$jSsHISyq*-Mn_GcmH7Bvl4qRp z&TA*;RR?we{nWZ~4lvXf_ZVNV(T4gcaRTt<0quKqF^zRh8r!R6U^jbF{$sN`PsQax z7QZj; zXW28HynQOmDMHK?_Q2=Il%5FxBP><^H(2UQ`oDsuJ_rN|+5iYVZKiLgbGH+7n5P1K zdfdMSar~#kAIlh&7d}0j-zZ~Ye#)vZ8P_D1iK^+5%xIP{`BW{|evT3_k1vy$v=&I+ z?HG!r6tEXCC5#f^R;^EXA$W${vV|#R~lWIOf*pBuoGa}b{y$c%o^ z%6d$1B8%@+Ofk~<*U;0w09m1C&x(4rwa(Nuw(9TEoHA7q#qu7^+K)h>;&uQ0-xkVy zln+}UjIiF`0;a@Vss0xiG>=3N!!Pi9IgVU!vN)-kPl$kiY&=D|s$ZwuhU>(X#7X`1wHfc-Huq=u8PVQn8(QB z%)>@CzxMUjORObXD$cY}Mkw&~k12X-m|v9*3@_YWtlUhf(wJ7NiX)vDgr|Z75Yp*b zz;ci&b2mCV{d64twOi>&btRdcdva*bj6P4=B|=2ojx8FaQXvrt&A9Wcm>gZHQ5gFX z6F06oKz&AKg?ZLDoi@3tSCer>9cW9 zQ@!bdBgEY)f3DU-LR#dSKH{m(B%t79JVEi6AQMW)<2>5}uM#!I#KVj%b>e#EPR#Xy zz1=)u*afg3n<~9OQx-C6g1`j5(ozNmMiPSUIR6W0b&^P6h8YVB94$>hChzU>{+v;Z zkJXE|PVmXh0Xw*hw1>sCcyyv_!H^lf8y*{>h>OqfO<5%dJh_9%hZ+~Yk#tq6 zXNv|mc{KVfM-li`QXs;<8AXk|tKDC)`08-VXTDdCixnjqsX|CihP3yxi=N6|ML7X1 zMZ~;DJLWcj5|M(QWyP8&+Aw8yi{?%(h(&FU^%F(OUJj^gq3o#Q#@~XQxPU77IvDWN zUMT+{zNSn=mV*3Go66!F1!eN(#h{G1>~hzd$2JTMP*I8*l*evwXyjlDA6iLNC(^SF zXX#JRb}OmWB$NR`YcsFf>(SE&ePPSfY$BZAy?z*uAfOYji;8AH^*g$+4dvVC23$? zBu2H^bKcsj?RFk!ws`eqv<;9!GLuDo$;5>Z)2+!xz)H%|{s6DigW}12wpgvwkGfH- z+L}d(x@u|?dV2%*W}xwL>*jQ-o?o2S%z33_7ZhkmAtw9*T*vZ11fFu`QK^7tpEz5` zS8WiJw(wTO^UG7!`+ofvG9CPx4yH zM+kzNZX#jJgF@m*jKhH)#A60r>>27UB#b`AxDVacANAY^s+ z&x#)90L$Om3pHf9l?fB!R(A42=-x5s-3%u~c{x#7Fk80Kgm;H-1v^K(;uE{GI^k1N z&JdFaYAdfeSXL_J3E-jK$0lFIA!vcyf=xTiGl^wP`6_&(Vm39W_$X*`$QkKuz7(cSLfcOU4+2L@(&>b}@yg>S}YwB2Zq)Ac-(r)k0IuP(h_qu?%Q+>zB5QTDYEn zoEQ4(s`@x9Zk?-$tx>YUOz%p^Nl2SkZ4(F!HDjgfaL7Ta(sel8Y9JBADmjhX&t^Gh zpdoI{Ah+#D4(CvOjQNc!H7?Qh*Kb}guTiKDA#M2aX%t3m=0$7P6V_Q0og&SFS0B4} zDO2BpD^HKRTE}PwucZiSS`riHrrk|9$}{hr^;_>5Gh0oy-*E=8JZAl2J%hxZ?Ob@A zW$u*G`uf>;M(G0O6(&q*{3O2bS2qUZ1;|f45dc3Y!tC{-abu(QJ*_n?T7srh5nF}o z7bA;ty19gfC$gSvRAniabMhjpDn;Dm)teqqGNl^UU`6Gk-^i5DOqEpxioLVAGx3#7 z`TlfvEwY<-K26;o;ABP~gLD+H<%eY@p{zn^j)@J7#~XVw6R?r@Sz_uVjv)l&g9zH5 zz(Mvx(8#5Ws_$i1+g!g2s)o@>mbh+QWyK@*Tu{Y-1_DY?AH4l-(>aT`(!n5lD(Pfx ze}4YC$Rre}2b)zYJnD2WPBh0>wctGFRd@{C^R<%~N%9|*_(oa}DrUh^)*CKS6F4GI z<0BLe0V2>CaEfrxIZm=1uizwaT5igFNbJMsR~ygMiT9I+>iPIpRZu%v^3GjdaDw0X z6&RMdEjK(Oja6rBF0Z>K6F^ZJIWDMI6O@VCZ_w=Q*Sy(~bGscMo2XL&@WXK?Jis0z z!I??0mD5)zx^=5bpC1nDWcl%en^YP5TtuCh(6!J(9K^jBsB;Y0+bHdmL^%ihNr0B| zHO2C;N}4v7A2BNyH$?ytG^wkyeJeoQd zRHSmR=wcGL&G!VitI+tB>206Xa&8SX*XFZZoP@QbzUM_==YCz^m_QV2W>L(sZy0!= zysb|UynDpJe={k6vs5^YnJ`!}a!Q>dL|N0*j635_rVARkBaV>rhKez>vJ&iA`w_{O zgN7>88|TEv6-T~is}}{8Ws#f`Bt;#_R%U>)|7yV{0fh)K#-XzyuwFVMrZAD9TP2 z%kDIN=;tA^b!+^}x2wKf^w8Iz7h>jZ3 zWR|6GRwer*qW59;yO`f|fD(zl{Rl`n%Y5ssr;A2wg(O%9z~1I(w44$NKzm?I*uG{|Qv)dyN;>HVX9ag&)H`^*0KBzq_FE9Fp#*XfTWHld3gV${$>L8uXxm60qVHQ;r>L1|O{ zjejDerl#)A5Fmj33okp*0o{@eulJX;aR10N^LUxn?{;;;-)@Tc>BFe=f(`FEW*`#a zG$?o8>23=0Hy~o?N-Ys;J& zlDGEKbVanwIrilWUW>B{;>{zaz*l9#vBVH#jb^|yTruYyw=cVfyY99cPY}&CwB$LSA=Ui(PsTc7!*cY56T+BW;m0K6f z>mjn+fWikX)eJ6}G0kw#fRYc7*r-6<_0FlMS9p(gKrbj5AjresW53Gb>w_o)sfO*; zE3XnPR2SZ?8E!aHD{aoyIIYGj^0&ER8XP&(=d2yAF10~?#l5b*^f_#Y)0a&a+K59m50sD)Y`{W#wedN zZCF7Bu>@Ol0yhm1HWtuJ1nB5s8KT{IXPRob zm1Ds8(2wdDApYn(RNN7WGE@zc?bOw7U7Hz&ai*%l;#p z*DquDVI=vK_k&Y*r(4ebC46&jwNkqj_J64O15;lkwEjBN0UIJj|AN}i|6orAT0QCS ztDN2(y!r+8e>|^$U9N34_S=rSzkCGV^K2aHh7oVx(3bjM1!0xpGB~*z4IIh`1OdNc zeDMrJ#GOA28$OY@(P4nWIW;x171IYtN!N>yK@*g+#1m`(_w;k3`<3_W_pWzpm8Y5m zyE)Bmf_2INd&W5}*6%VBUPkB%0SzG3V?=UU;xo7ny{@&L-V*`exK8i+RRaQ*kNbYU zg(&~(5P-rPE|y8))e0oFTCx5M@9cgdNR>VOumbAw(H#VI<-61E-|!C^U33 zs~Ng$H7t*sduBB#x7eDvBa1wZDeHA^wv)p*GupHKFx=e%ZFh!5w-btsG#R4#YBKTv zw-kbp3gL(pFFgoKK%Svy3}v9y>A@V@KivIKosb{ve@G$dBh8yWdX0__kLBIq zhKl4l_#Znxm7<`dN;6u%P}z4Ct2yEB-huo2NurCg96%h*Kt|Q~F|lOy_X+pRer`jx zPqQ)#l_Xqm%?%O6L{W!d?qT5 z(Xn}C0mBU!FQ>qHcdoeu3$+mE35uCh!NyZhPq0*TS}?RP%QJ^E>G+*4TwLc~l)qQ( z10bKOgTYbWS?M~{aB5(!2!j7OmGOP+=>2hijyhR+FFSSJUcPWl9he?PnT%kv{8CfN zVsd@inuJ|6lEdpzSd%ZY%fRtJVqpZ*k_9f``$R9t{C{xEn;Gc7(FH~Cc2)UjBvL#x zBu_LVnAD=)18ob~I{M2c>on{30ft)HVgE_bE-8kN&f+%&8ACUBM)Ac}NzlyD6r8*F zwEK+q^vY^((a8ZZks&mtmLy~_&-N}7UR@}TfTqPxE^T)h8X$KZ=KG>r^4ThxmpVZN zH23WUYX8kz;2czMcVQqS+Vw^;m?}wW;S+|(8Ji5`t8SFIxt#eDkc(ywjpA@B+)fz; ztge0+6m&1Dsz^_7SwaetGptBXp4DP-s&R)$|e@JGrYrDt_~CI>{CAjC@L9VI7liYhWo|m@^EXqaqhTp`VK9o{b)H&m3pg zu663CwaxRG(rVR7KL2Pkxw;xHZOaq8L-t9!v4?@cGDGk1519Gha=+>Qhi0x*y95Ez zk4=BMK_j+nl_5SqIJhM+u&g~4RPVxy-@0S#ynDWAj$5ZbQ?yXws|xFL{5aerT8ZsR zLPejWNX^ybp1B^`TT773Alr%C0P)>gcp<#5(iQayGF*O!qbA$SKJ^BUv=&Jl=Nrg# zJQ%kqqa|w)U&fUq`;06Fr~qC%x#xSxzg2^ z$@ftny%e|YPhYEIOMD=7(&$s9c(s7hdiTtXbtEQ_pMN_40v>lJ854MkwY2|CkS)Y* zxsU}!i#?`IHZ$Aq&6}9$wBx8^J17tjfp%bQ7n%l&>{T(uDHUzT)vhJjfC$}#s1pfA zi#N*PPe2uB%K>plWk(zjWp*SsL9*E|o$rH^MjG6$As`M=xQqmDQ8aTp~-8bqC@6!;IC1xhR9bL=eDVg+|IDr5uQi-q>R- z-m#g#yniPT{P|=`^?ITVnsF2b&tHZW-=zZbk)GZTfKm#fcVH79fO4MzCe*zd_?_^( z2Lu0AT$|Qo$^+69KVR*_$PlUly8ddq>E(tTnrzjz{8?d}-t%~_#o~xmj|5wZ)ZY6) zRkd*8{~J{;pQDDzVB7|1ReEs9{COTIUG?l!{`E{E2F}wmXiEA4Hy%ga${Y$kLD9_$ z0`Mw-3}V<1ImDxZ>lebhpa&7-wXGMaRlTmG?k8&Pli#K;pDVX5(J9_%;%gl@#r_t* z9wFbOK#_cX2`>a=g;bv95(&sY&vQ)o2meKc>*E85^_1`W(<0)N?AJ<8^Sc*V zH|{#d#u5}k1j$lpRlmz5l9G~uQr*8nDdO6HXmO+eO@(j*i-T&%eFkOuAbd}yk!ze7 zIA5(wYQGyvwvd;1Wb}*1B*2e8;(hw3vf#;IT3iiUsej7MzPaB({B5^W5FKmZ=jYx3 zrekwWywXbj=-t)ab{vEyi@xed7_Ivb~ zgyQ}`uV2vV2RJa1;+>p?GP8qAXo!$xXnF8;I$Q5<)l#N;f5;y`I{FBG13JzE?*2|G zygxBKoZfi!8!#{+Axn~E1emLko0s=^D1um=2ubuRdCvUe>HtJ5ON`i4v$N6u(l;2@ zS6lVjffdj+c+IrH1SB)(ArJX_73Fqf=wnwoKE8L=X}e_kT3fd}zge(Wi?z%;x-2ux zet_a+ZDomyX?;E1f%;lTRz=%HZpP=mYEMXNXv;Epi~^tE#PF;BZGWdK-8qO{fHuqq zsBj7lhp*dty;fOk1FKmCyFcil;xC`L&cZV83WgtP%b4@Hmw*xJ5(+?db#-B|nkR{6 zmZ}u^!s8X@a+7+APo#Gm8s+9Yz6%jy+sq${V(2t66xaNnfiQSe% z8O@akWg$*}nZD82x5L>*<8Boc8z}}jem@~Hc6M+-=K&Sa zV$OCGK~N@=RJzf6P3(2RgZnO`z!QA(;P8N40BFT68EEa1sHDh0N)cNSLTr$~EY z&?th;xbsREW6wRAj4sQ2RbPqOZQ+Us-madvw_y1-IVdkx->GzTMxh8MYnIL09&HP& zl9Gr%h;N0<5eC_?r#2$f{ zY0eb8ZfjF>eB>Q?yuFyG1DCvUZ&$;gZ^U3$JxCydML(-xzn40vE4h#WdSGMb)L9#1F*{wfr{olD->jc_*VtexJBX6?y+)xje^CO)7cIM9_J z2$8+Qfa!+@y1FoUJl9R0kGVC8Fw=faO$3gz3cx@=70nz5S|UPR8v%Kj$p|8$P@F^- z1maxMQyoL$q6?bAZ9MFcV7uZnXl`fmTM7y)onmgc7qfBAhFU)U# zPEmE6+OWjOw?6pLfk%`~#Y5Y~(ii{au5M`&vYl)(GCRf_W^COK7k4ASwvJj^ds4cZ z|Mr4Qd`O~YBGp!T_2`Z@Hm0*3RGd?WgI+^nvi-PzLNAZg!Hh!2&`@ESzRI@d-T9YB z18IdP9{Ks-a9NBkV}-q6%`GQ}nCj|wBNAq@;@rgQzk9n<8|y}6viXRD6*PZP>1zl* z;b{0cTWncg6k^c`mDL@DpC#V$iC1N(o0(n5d>03x0c_W%g~*thD{j=SB_$<+O|}Pa z{?$EEAka_ee(VytTvjoas-j{Q&;#G3Xj)s@{_=21)8nSXk=d(B(ofS9CLttuJj3vNnps$6O_ly?6W?@ z>(npFv&${+eo39%248;xa)lEg+n^NYIbLsaNiDeCI35g4q!jOqmPP{f(?UGQ`g_57FIyZ7L@$IDnvp@kSIz+G> zms46=nv)|+5($gVR{YnH0~``bV4rCeT^z6VERP|4+8VaH=^F5*)zHc-8uU03RGm`% zw15RcP)J*n_djnJ|4v;A325|&7m4!MNL-qshMjQgj3TJ;;7j!+*V%`;7AZp#BFHpU zSad_2uwbiNP?2jVF^o9Qf~(&#KpVf2_QfT3JLZ}TgEm-jtL+)j-4Il8ZMkJe>5=i0 zH`5e-f`7dhAuNIBm=c{Q%zfkln$f6P8vx!#(~mM1f~|Z+B=c2Xt!2Pu^Z%H3@LZym zK5}A*Ik8W_3>XUMbiO;K(-AUPWuZxzY2@4r)IICzaV?xBgO?EsT^mbpn|LFIQO~Gd zU!TN0T|403sql67Bk8mD3Ol%QNKS{szjkn_0hH>X>=i99ZKBdzH@u3J+tQo=kF>V{ zi|cvQMES*l2-hXBFd9TFh81a}DT?w;UIaCdhI!KH6?GP^VXoxQWO z_tujqw48IgPMxaH>ixb~IrYLJ_voaN(6iPDch{nNqaC|Bk?ZWffZpqAjt2$ANGes~ z{s-fH4g--0+6U&j=w09Ca0v%*cKq<>eW{O~bGxl~LpT}-GsjaI#vEqq;&=D#cSp{* zNu0!!&wGXwnv3>{``sO*glx{PE{dRQ)kzH(H9%{X>=vQha;LB)03HD;3OnwUaw@dY zpGxpzy}(1M3izdYvPUO4CZAt*7yH8p(e9|> zOq#t;SM=2e@oigv>C@BaDh%VliIcHznJGDM`o0id+io1<5UcOTCot$|&J|s#&NfYQ zXe@BKn0i42itn3PSUKyG3XYgGJcM(NfK=P|I}iP;T?(j0UWkDFB-dts5r=g2YwW*- zENJuqlY#4(09bfjT^j(8`i?!2d$O{!N7i=pd2*RUDLh*znm3fCL>Yv<{5I3{@)q1l z-zJ{-7;VtRrIW-zZ5p0XPGfT~5K5qudtHgF4n@McgnsR{!}MQhGm*zUwcKG%ud_T7 z)hbb{J<7~#(zurKVj}UdhF&jcCFM{qu<@<-nZ)jK>gUtAWhu^yrbebX?8#2tS(?(` z(0t92ZD2IJmNj!~?iS1H8%PKLevSX5m1=i%F8I*@to5#CXGbmHX^628Zx;4FjO@Va zYyU=?`>)<8S$;g5nN=)eQ?5X|wb;kijf+lr_~gj=i5qXEO_zxqt}d08CXYjsw)!J) z3}l*h5@K=%>Wh<(fFbwEegY|l&#gu_jUVt7O`2BgcZKYX<;qIk@BTH<;A`NOI^0qe zzRUVU70XUWCdd%y)~ua5;(YykXLomZ8RhQgf)Nl`ZwbImD!<&Hs!RbYJsR3}S7QUj zSrW|3oER|&4`7$!B_+z5Tkv12-4Fsp?RwZ98ZkP&S2lj=Dd3##v^%Ya7j?KcPHh?7 zvU8lXxdsrA50}>54%=}CVzQ^yERQb-sGnhuBL4DBD=cnVS)Tpw!z9?#U?HC7-H1f; zoN~*9=<`M6;Psf7M|8$aa${`%O}HMG`r?{>%_Q5)>v3QZF6TwaHf4$pnR*fVw_N{T zB0Bi>5RZ%hXi=C|;hMaft@>^+ld*+MbCMra`@d-?zCYeAEUjrhW%dj@lIo%dzi!J= z;+23F$-l$D@H2@zsO0L`(PSbEG{CW^!?Tt?VrNvVt8138Fl0;rlnrdt1(X0(^qyyH z@hc>F<@uwVFd51|)^Gsh2fVM8Qt{uE3+b`@fp#q@AZ@jL917@_(BMn&mH;fw2JChw ztt0z2Aw1Tztbj(^IucNE_8B%E6*aX@<3f1}2tL1xjt@_81;uJpKf}w*x(37FZqG>l zoO?mYZND{?Vq6SX`SQ{q4!uuIftS*D$AZ6FVK=RRP}c~rIN-mA;EVh>A`OlOJ53+p zJ8OTN+^0XW=Ra?R{^&jNU0l5cK_{l z>!Xxs;z#dxWTIhF?`$Ac17N}kZ-I3O{-F7QP5qFN5IK+!o1HzvX2Fsmll(?bON&qn z7}1_%(wx*W5=n!OV7l3D4FxKS$gc7YMbUba%DoQ1yYjcGQ`TFgSF62`&cJQIDm8O# z5k$gc@v1LA)NG$JYgCgO(h}g*1`H{0#s^P*p{$r*_3X!yTX+2aoytxnu3J2o`ucfb z@Lq{PIibE^_xJaK@>Wt*e97W8&w}ufUzLm}M)RP*+i*lz*L$1bvR(tQ;Oa;0^s}bYr4awUb5HV1Uh+?#t#<_aOKpf4{tLF(R^fiyX6yP^pzL|hj(`N{0urzx z%4z3Rt{0XZSNI#Lk8`<<%tG0in{`IoACM@jpu@u&&qk1JUQ)*ObDB@TS5?Jre1Ht6 zX9Cao?V}8VBypOUFhZWmty91hk61H4ISB@=0PM)mXRpwyMcVF{CBwSYta&I70ZQ@3?BMP_*^|Z7 zqRjeH_Z{bL7B((@!~xF&+ta5S3odH5li%D@vyF3B_GC#5(``@p?kwE`Vy#2{IjjrF zWn!{mo53hIniur`RBQ9QTPCptu+>(EqML-GAx=gtP_%RuF%W zKdat8?LQl>%P}iYd~`1k7cS=Qh5;8UoSxcp0snGMdze)v)%?m3k)*@IbaH+Dg1W8{ z5DoCc9Oe?VKF2L+_H0$L5iX)RFE|>?c4cuPdpvpESUA+zL)WC^f<_@)#RZ|+VTCLyBDnu`Dum@m5K`^7&?4W1egq}D~`%O zMB7abBM#i-36DX9_atjoEOhW>fRsYROkszf0QQ#ucuQb~=HyT$NO4#%e{XARBjj;> zgilwm$!|Ci4{SHB7WV|*c))eS*m^sZlr0Uax`Aasbb{8?AvD$S0# z1(zi#TvP^>w`t8D!cV^hviuLl-Z+3n|8i|Mtei3D05l|U@{#@Na^QN9`AQ|S0VaV| z0k2dv+vDXQaHXBdW^%OHys9*cm%eat*T(KOf^jRC5{4my z2O*1?IJn8XTf#yn;XT?LQu+xQG?b>zUuw;P5r%u6Znh`>bH!B|7jvgSQ(LIBMK7BB zT%B~UEW0wC^D^4k%*En~iUvJN$AtP?HJ%8ahv0-&UG<@6uAKBt=r~wqXwrY5%3ryZ zhj}+6^$(l0WcJeij708OHB3gQaALMpr!|#2^P!ra$Z<6vnfd zlLosg_LqP7jE#)}>lROp03RQ48G`>oB^hAIXlpOdR2p?sWlGNez?^vaYfve>Z(u%a zWvrm}GD++im&RU|&r=X5GRz7pGI`B*+N_;b$+COy5zTYds@3%bL_d7d)Z}%bVj454 z0sY@zSA=L_YhG?yHK9b2zZ0x{nJW%*Vif!s1eZNZYOb?Z6pE`id@~+{9Ev0)lA76H z>*%#LDLl*7HKzVjibmn~1WBYNyq_WpvuD|$Bk~sNt#h$&UYwnG1#5|b`{JXsCxZyU zIrxCj8o({rCFT99=VJw!AN?D)rBh~X_yVxo(`76vKuEda(o$CTKK=dl!8!2UMn~nD z`?lpLVg<58llby3xu9}`qMX&q_Z2)|qy$WRy`Upc?pxURKf_)>u(B$l@j5briUB|U zp&=jpb?=1-ib8w(nI(uu7{oKYEV*2tN>IQylxhgSxY4vYdx1FRSg(xaL4iGI+J6v= zgG6$Mc+X`&QV0>&nYlOI&V)vzTVLsv0-sjsUg5}%Fm`s~2(g5wbg5M&V|;@_Rc54U zXLW=&4aV*PwYenTba+v>#%$ZI54aHqjq~{x8gKX(w@BE=OyE-CSvtXgSVeN>4FLA` z$0nuK*Me`^cLe`URx_)8=KcK?SY|Cp+x88qjEoI`1mjybFn_1?;=?#SL?6?4i37F! zr!6nN`0toN|JOFtznnlj>cYyxA`*%ZNQgwns(L?i1L`gZ2L~n)@%pH(tqp~Gj~Mg1 zUs?+a`iL38`}M~p=(Yi590W`sK1orq;)jB#TU=NeYyrwd0N)(2asC%k#|I;IHfty^ z-&n79AVYh`^A#9%TJ{|q$uz`r$M8bCjAP*u-{u711I`^xg+6+R_UJP#Op^g8-w!SH zJs<*9SD%d_6O~EjrH<+ap-ecP5?Eia{|~`B7UQ4afHkL8Z~F!9*C6K-IVEK{U`GZ4 zC{iUbbrF8HgBTT{>HzKYHejnF6A1xmwQ5zma%u?p`c;9D7e|yLO$w8)_wbgPr6r2_ z%A1nAw0*E>_4G2P|7~E*2-43#vf#BdaU>OQJ%iVm1;(ZdD>@Xc@LZ{cwhD7Ct%V%v zBySZ9b~d)>cr5E}U!I}(lK~P0PR^;V(?AtCX5#HIh<%%ue*5+f*r)mV`CI*euLRWR z|4!Ew0WCuf2?E;l7w^*Kq_T>N{~s{OGGb1p2$k`8o*ZC(NaC;vQu+cejvz<58sEEj zmIxvYGa!V->vp~m9D>8STE?=we#X`;svrZk(kZuYLZ?SWO7IhoeMZ#CAWVRueI!%V zYO!g?w7RQI41Dov8v}^iC5FEaJN7%YChb!Bd{B$12-GG|fajVcxN7^07y}~_L`{=8 ztvGO>GZz6qH-uNaLiM0VDqJo8ZzLjhkbL2UXR81^y8~bhJ3Bj@n3&LuhOdgBr@&?= z6AgPQ;CXw0ccT^yVq>p;FWvbElEAmi{_mohqh2AgB$xx+-L`&y?+ib*Yghor`$kev z?Y!mYFq5j!v>GtQCUZMTgupir5%fsy$=K|--}~u;$AUe-^ns~Jqn3fA8a^k4uqJ@k zP@Vco&&9=6w*{Y<-e7+i@DG+QFd#hu4%YBZOXk>)YfIDipQseVfx{${R;aKi1}-^X<1hjpplYXkx%P5b?X$<>ZI}2t%d$Oa*Ld zG3AMfQi=zHfK$rrC_r=Q1V#GnOHQX7L;otnTN&NvM?t6ZcC+&Iw;#jz%^rMy`#_CikG%4uAq%UMo4|7v=KhaKB>%u#Wm&h9-G=SDO-_#o z>bJmLvjQgHk0fv1__Mt!Hyz2ykCii^*v69k=^%*o3TXX+lm9kg3ee~&zI&Q3c1LZu z!>Q!^^)^W~(M-MHY3c>I%(GE^nIwWfy3a_M4F4b_BZI(Sd3iaTv<6+Q4l4eZKd*iT#v~L6zAo|b6RN&2?@P#KPD3p zfUQdX-b}Juv>WC_UGnlWZ8(P{M@Ok@WW81T@J?Va&CYPpL6gWx-2Alf4# zYZX>32t-juMFEmMw&NbErltlYFK|LBe1Uv?MM6Tt!{Y`xZ0}NqbH|Fcn_Xur44f8S zwz9;dsDjjiq1<@XvUiZeZZ=t5yNFLryfi+psH$4$c%ZHpi%jBm)vx1+N=$^0f8Bv8 zOBDn~x&mB#a4tb;PhSkJ)4}Y9hcuE}gZ&PmojhuVdBW_9HS2BL{a%2f1d$mz$OaIP zv??X`Z(c4&snW$ZG;l-3KnLC3Z}~3f*MgU1aY%q1-5L=k_Bfw0l1+Xyaukk)@J)2Z zW;U?)Bhg((M*jS4(n)3PmNJoPfW)(KDU=wY`d#a_d_s0eIuc28Vgl^_JtvHt*Hqb7 z$}cq|FR0nUfG1?}A;=`h8^f%2RZ1FEaLCHnvm%s3A`v2y*c`};wqY&`GU3&?NnAL{ zC5R>Fp%YNX>kDKFTI7$M#yXZ@a$NfG4yf>+*>`&TWyK z9SthG$ctyJS-u!w*kUy#IFy4d1JPIvcJj)m(X`t)m)J(#GR7K1a-Hz++~0%8i`Q>k z)9g5I$?X-IK9Z<*v3clT*rC3Kbg3lBw7<|eb#eVOT@YwPK-7pG-07tPdj%#P?i+IG zBk+vH(E6o-@Z%jm0#+T6N(XcLdU~@klD zrK;tt*>T!5^z_m)GM?M%{&93Yu>nGjBTP~gp4Gv!Sr)f70%1!-X4^QA`X88X8 zqE!Hp6{Dl0d)@5;Jk3UI?V@X8d%2vPoI-Q7I=z$I5wPCjLT3xG#I!7HztYCSiPHT1^<0ub_yg==*=Qx;-Ga6(sZlD^R-UGFW zqP;Xkk;I1rWzrI@?LF$VC(+q?d2jk4xf5%AeP1!SU1fgVvl|qL$|xZbCxD7B4pFHC z4V)@iebrVrGrT;DROfa*BaAd6gW`s&4SH576wxYsb-QGt&B&=}k?f3T$3{jdp0?`Q zYdQCC%sQ};DQgumq32Crk%swZ#3}a6Q56hRK~DGIiX3DlQ}_(b2LcDTV44(Rfu7PG;-jsO0C4Gdz^h(V4E7H49j|vB(6B837BFe!M5tid7M+Ev| zqv5>^piNURc3fulyg8`Vs4*w;jUP1BSp&4!_Zx9Khx7GOXnHIO9G3IW2h~%qm4%;% zg^{>`w+Gz%COa%FEI_wBJ9W0~gL?lft~JXfF=q$(fibiy=Q+V>@|v1W_B*5hJb8}r zlgund|5Vcd#$N0$KQ`8sN0=&jL{39bqCea$O=}{}lsT3S6Drmi{bBugR-aF(LpHrH zno8k&hdO2l_ae@Bc(KH0bj%Z}#w5Oqgr*^Q>8OG4-NN;_65mHJLlzuIEO0Dd3@!TB zUiiod-7gZq5>{>?*f-!dn{zmS0~Sx;fSaw1MXB5@;R>ZQR7}4<`>^Axq#=qPUA%#T zVp}4PSaBjEXCOg5TcZGyKqVyJ!;;K1J-vYU!MJZ=AerBTyT5{+oqcbz__TQMWNE?* zF36rd2t<2F`sg2wXqG7L(ljnRLtS0n8{5e6jNiu_hiSl1bh4C^l$4Z}ZQV>XYqH`B zQ^;3*-YymiIRgF0DC#Fiwj- zR-+({O{S4&POnOZ*rI@Mao+RJ(MhuyD!|Z3OU)x8hm@;pv=m3%kgcziQqBJ6?vX4~ zIj2_7)knF_iRQa!a~p_C8hU++Nf=4!a$bGrVo#O)^FvVVrOTk z(r|FL$|R~Zc|Esq=_?3tYJU7P+v2?C4Z*mLn^ptXF4Ltt_p4vP6lp3cDJd?NE>^yo zoiKIK(3ty9iRBvxqs^cKThdlMJey|!~m4)%g_a{SQbm6QxP zl5|{mvO&-g7$S!a5)u;E5ORd?o!wv z-X1ma+~5%X68sv6jgh>nvU#&H$2*-s*s@#Hu|s|#YES@C{kf2xytX~i&w7p@6~1&@ z9C=kB`9RSgf%sOnI`C<+88qMMwmmwMAcko@Oehh2hCzbx=^K<(2rNexFhDd64E%PR zAx8(7Cu>SH6Nd|p#mclKS>@%-fCP04l*e%g0; zA8@@4`}!4aX%4W=KIULL3R!&d#%<{_0@L_*2IKs%m)MyHWhw?LRAxScIK?KFo<~a= zi~Aijt51WQt)W`cIqg3z8&z8x5BFQHy%B#!b_pr%AFR|Yu&Cqr@Q1I zD3Cd5iekP<7$n;g@B5@=asjxa?BmdZqXJ}N-u<3`0&E2_s#h5Un+yca3HaTeH8nML zI8*nVl?s*luGXV~mR+O<{D#ORb2S#H=Ms@0+3<~qlGW7JCB~|#f)=-$qGMyRArJ@_ zR)SOxV9(uJUmu@B{Y?^bx05L%22 zFZaGRhzq@FWi+?cM#_-v@jW$*O;sI61u0M|5aHg)Rh#qUXXGRu@_WV>rUXh~rC1f) zP3*$gbhkg?8m@K(#>B)pHl`TNfPnqw{j8S?6I{b?zb1!ji}`+7_P)%jKLif8 z``y_T2t^MK57*9^!@Z3JZ-=Cf#b&oO&+8oxt7eCh4B-qaAn}2{R7HF|43y|+L?Y+C z;#zZ#xjC!W11+Wd6@Ow8A)(t9RId+ej!P{br7hRHFF5C!zKg{IH`V>h78)>P&OlA= za#+8`ON83awBS%JfQ_p9&!^y59Au<6Qi^Gwbli=l{M__FCn}DR#T?}qQgfVL1}m?b z^xuc~i)cnLxl1(B^@d?PT^*`hshpKl6l=$LOfV^T#t*4W)+%O)h4Xpg3X4bq_^1+_ zAP;>>ltuxlb|?P!c^YI%e$2Gk4g)#_!ONZJPs|V(Ur~eoxY*vt!P4JEO*%cbAF3 zaWF`5-_X2%ZBV8UoVy>KCz}!8lDriy8F6by^uz42@k5X^j^ILg0#h-#`ug`SY{Tw!A+J$XEFO6N8ADWOaZYx_ut;)#%OPY6mzL;r`20 zs8sH65fUL~h`8K*#eO8YKRFjUH3$30_oUCi1t%a0cbYZqu}oBJCF6qQzqcYa`zc@0 zw3`&!JSIah|99BhKcC>;``aEN^!@vm76KLP3*9D>n{E7qu_Wld(X$k8isf7*qos7u zc7Dd*1EE>h#2L3nzVa456-0g2h}GWKf-m2Qu9$N(j#zQ`xCbZ)!J!|9|NmFy(bl& zYAepH?Cjx`IaPIaHAToiF1LQy!0P6L;7F0PyZ`%38WN%E-?Fw7Ho9B>I9(x$T}zi` z&Ux>rFV%1K2^Da-N>u4~^l>HidxpZH=bqR_RD2dgtqc^pBP8MOkRs}9v=B+&1~ra} zDyz(~F59uVpVazPelY~Jd~=I2vYu`&vY351^PL}uUnRcJ&hM+dqQCmxLW1k32SO$R z6XQv}Znv{c`i3@kUQp4FUixb2ikbY(;%99}Kp>i!@w|M;MD2+Oo3Nate{oS$T}ydR zdpw%O_CWqry!!41@!|^1oVRq`1Q%ZKm%W=;U5aN=TV-s-02&zAP&dksE zAnRnxSJ6aINIkT9vU$zVdghF zJHPT)WfQV@);jKWi=%X<>vZkhKN!xu>3W8mk@(G?sumqO9TNC!hAef^3O&vz#X9u5 zCU;i*DqpXF)R(E=F0bd&1?4dH<`c(J2T;P$#O;bQsNQnhH(mRlvHm34e)H45%?RGr zK!7{9WBlGk+D*b7Y|2k!K#~5c*{FZ+SD@BFyjsCrn&aIKfBnh)Cq{t8W8fQ+L(bW} z9<3nDpvof8-U?4CFW#1HY~LjK&a$6%Qb|{%eRs+~iiSlT=e#ZU%Cn5b7Y&2?Dpy+L zo~B9>aQ6{)Z+hr2mH# z4_iKFSHqqpoxi|WJ{hg9c~bQgihmI)>uO)jy063{W4l*6`Y-eD8A&O(*k;RMX_nLBh|-! zTK~X8J<@*9%S2mLPO*K{NUO`I#j!vA!oAd@d(wAnzJtKeWsm1v;S2b;^ukTR{PzQ; z0kN&`eNvxawA+*f$bI<|)|6h zAg7Xa(X?_A0%if;Q@sRgmtP;1v$>TN+1$_f&kvv)b335~$RrRxV;(`5$@|c>*5p;^ zbmJFT*uwrI4Ja1hTK1G)8V>IYfUHlyJ?n4R>z1NF2s21NTjXP9C}fw3otJ%z;exbg(*WfOJVHkcs5I0zG$O{ai;yejr{U2 zl_}^|9LDr*J?b@U!qM8oS`(9O3U9_*`zI*lBcd+Nh`!RFH75*R?Z5C<@V`ANv=lXN zznpQx`0-jLZF^qaq@6lep-4KV@tV9$H(;rRB=NoUqNeUJx9j>x-3f)DLjNT6TQ7_4 zj)8NhUU7EH_}|DLjZnbzv2XoSfQ3&qvID#SORG-;nXOu^fLskLrP=5=p^4jrR#J+2 z@yg_bOIKyH57kfHuCR4V*DKhLZV`d=!9xKJ>fWWk*MTAlUy%6UjuGFdqjI`4e!?D8 z@Vd8XT|*sg;^sZt+*~L{9yGe#j_~X4NA8^N=fHq|tX){pwB)-_Sg*)ms~{~^T`}R> zVj-gN-4=oPZp=?N?de!5Bv9yTc66i#gmo=SshqAXKni8lu05R|QpL!mH433?9p)&c zxZx?`c3LmajXfbP)2&Lq^xkx^X*a5hwR}$*T5I8FHg^^YjZ?Z}?}$ zT%bcC&?*71g~}^|XgUX?9Q&tV3mK=pj_pr9V52WVWh}ml<4vpSoIax5n_>O%k>_JA z!m$nUB^Q_8#BPorc#;nrUSepzeEJx2q z%jxA$u%Q8UM|Ko;PYuMj52AzS4Om(4@jX|bCaMH)_|IxQ(nNT)1kkE#$0u)+Mzq7*Y-BxzPZF@q=p9* zdzj3l@-3$pLm5i9CO-cUEI{1Y91%`bbTpIu*5QkwjQ3e{t)aZ7EJ8vqIs~K{_9VJC z<$;T}L_ZcrM+>zcH?9@%D8oA2ebK&r_1#P5Bz8@+k3mA0*g1T@;Ap==TzZ>ubsrua zIe$j{Tl?v-mO0P8n#P7DG{D$uwGE^F)bhS$V0_k9uh}WSQqRcwJlwh6+A4wCe%;-u zQ)a7P%NNaUMnwA8BM!EbS_K*{BTEvpO?-+a!ODV~S^YDD)UPkKZk>>cof;eK+a|0@ z(E{JUY7a<0k({xxTD&lX?w>}mDzjN!1;cuQUNCm3+ixk;mUUFalXhMz0oEzQvv<_2 z`DCP{TLWD6p3T#fld!05`#>^L$0-euRo|bZg$K`X^-nixB85!a*-=}H>j)1W7^%)S zJi8>*pWMthI_SVe?aYJQY|pO*#!6j-9M6u|!mCZbJ#%TH6q5adzdk$G@}z!~oNtRE zgB8u~*@&+#tCf++xFP zhc&AOV%~}Lj`pFtP(1dDv)LeoEalHAg45RwD~b@3Lq=^9k6x$7``JflPyaz!mRHcw znbEUUJlXZFi*DMdz6syAu1{|GS~kDmdxK(m=I%7?;N+Yt8z7*g@)Q|)Y^TlLN<%{f zQPuDlG9JHRgn1A-eL$NK zs%=^Mvx$MuD1w3{eAD5n9Byc;p34yv2i*_P^EOiZADR>XEDD^-F-r{uGE9lq7Q5p; z`4^lPUM+%wl;#7WiR;KObokK6+0wmqRl`ftal=TnT#mdNZeEv4;%u3Lt+cqjZ_x>Q zqT!p>v-293CQENDI)fr*1*-Af8j0VVN%jY7@hydOykhGgz6&fn;PYGws=+zZ8>P&% zbnZFZeR!Xte*COv#k}X95s74FPp5{?rLE=|=bC-^Ds8J4cHyNfCwHJh7a9ty+e*C` z_Tbl$=e`T0az!H9O-g$;YkeU)OqBzwLtcMIAu!Z=BN5SY|M-~CGI!OHW{}<10J(Di zamljGaKn~I%6?Vn{O{K#wM6m*)t*Dls=7Vw*;_h_*cZAbhbl*IlV*1z|6U@>9sbM}>cUcL&2KDR&u7lZgveMS;u#D!U#KEPT&mERl zX9rh=#BsRO^xuawRqv)Xfc?rWrLNp~7zg|ZFsKt=XR4o!NY;mCo3l`1i%*xZD|dR0 zJjYrq<(|tTrO&>GE^cfEk)uH~4DW-Kzpz_)y{iHV53AVxux9-^N~jjY@-CUMG3FPJ z^6H@lXO{DV+%~(os{Q-gQcgBAUDfk&e2zQ0steO3@8Fky-HML)!ulq}6nmRyWQ}xH zGy|ML%KrTfg=u4OcaMh3Ag3WyG^wx8-71Pw=HtX}C67}Bo#39%g8BV}8BIzJd`O{q zc|umf+PnkmwSZ87xKfqc_Yw~ueC=v3qv|UBDoTKV?M}+Ow)dKNOht$mI zTTMqOQcCRB%yB_&R~FMtEc7Fu_a-H}tD_#(uerIimzeFv)A1xQcisA>b=rpNCe9`W zB?P~uWjdpLe&FLDL4&H@w4#x zuU)QDqH-tm?W5v8PbVvd9K6WL6t_)$Z4}&i60Iu%;|%+~b`_Tj?_|apc|(!AVng9! zeC-#`tl%(;&b3#*d8-xlH%sS}@koOe4e`$qYbH<7^IK}0_{%{TLk*25zW6Wt8t4#n zZ~FO3dqD>HtjesBzlq@e%kK^zxQSQ@y zX*&o>+oaPKmlO41b>s1XF@S)~J?Hw$k7o@-{?^hr7~v zR>bVxwSh8C!*RtK-cL)Ds;P~(3HGMpdcbaFkK&9YjNQ9lHnF1Q^gwpn;5!`F$$Eno z!v@%ykq*zl5XFd`P8TS3&eeKduLj?R>wee$t#+Y9V6)_U<^kI@Tx5vFF4s34$=By4 zxL;Vi0vp4>1jl~og_OStWtWNbF__Nstr8R5zi;P3B4Kb!>i5u<{O8^Adq{b8BBSS5 zNFhqU)_m0lSFb_#L^`CsyutVfcC;|(XLzaU4o7?G%jwtN)r({9B3LQtu?{I$-{%&! zN7M_&lb4HTC2jD*I+_!(&N=&-uDjc8QQbW+ojYExwwvWXvRFv}y%AL00B-1_vd3F{ zwvi&5kC)FK%$3(BPlI#YDoUrq8=GB*2_TuPnIafaNbf?+&rIcf*!h0nLqw<6%H1>1 zZl+_VYhJAjouo;Hq*WYV91g>XO4n8nho{6TCX2Aiw+tYqok8xM`{+Dcw|2Q|30*`e zB{E4{m{NfO<9o=#)v5tZbcdr!guK`GRKH^AyDCAou$jHa(hGUt+p|`^?jbT?Qs2D8 zYXV_NeoNck^;2Lm7|}<(zAs3VUtzAOes_5-Fq-~iF_YH}HlzbH8?;(fd1GUxVRBW%e6rvUsUl#o$5aXrvWP9ODqoE`I8O`5}?c+BX*u~Kc*n20#LR(*+ z#x=Xs;@}f7yWkC)%vZnO^f~%ss6C;NdyOoBGMIeiL8x`CK`NP%nSWTRLtD|72hB7r z`ljS6Y>rvP9;%UQ2Eqq~c#$@*JFVqZG^c}p=$Dk-+^V$HiU;PCs4w(ZL~48Dzk8Zl zdh2fS=(IlPfN{HfDV?}c$k>9(!t2MFd(9FiAXv!`R!8If*^G*)0>CNdQ#X7sej>fw zF4=oNbrRURycE(IyhW#V9XDrrTBqql2u4j)8M?NrzQ*?U*R?lTOrV*ZrR_Yd>km&ui{S;hzlp}}@h?r! z0=m9epUVD}@`E3S@BqO8sKmbw2_ZV+f4qiYt0BNSbTcA6;rEFTA?P;SOp|XfAk}Z+SM$N*PqEt9Hu-ON8DwLFdppca* za`Mxq-YV13@6PEG#W~wonirTXiR6ZE`@t)X~ihjqEVE#{~6FA!?P<#n)K-ZvmE~osK`0=UU_lIVsp~ zT$O%P1sOgn9C6)WtJ%F8#n+t{wI05^aFgwG$Yn?MqQbHwW0R&dY*2v>J4Kz$PxQ|e;Ypa;`9B1vLeUgV9(JOm zxZ%IBvqsM;&;^2A25vW=p`h1qGcqxx2G6n$M1|zU#3hD_dGs|#;vD@$(K3`xKB~>u z=e~yn739{5hOtcog2E+v?X#>5QeMd+$x(*cDHCsZr^osnIU37ThB!>csiJtja!YOq z;Toy*(zuzi5=x3d%aBeQ^6N|p(vDD1vQIe8ct!*QSMPckmyNswdIcEhNk4z4SKXPfKJ!Fsx!zzKiyQL zp+%N1wCC2*7qqbvv?;%En5bRq+S=GzU44>1kTv<`_TFymnbWb#y)Pbn%=_r~RU((0 zu%;BLp_i8DgjFQ($~m4Qwm^dUDT1VsVC;Gtg6()%x-HrEhpV5Zt(TH$Wev(Ct3&B|hV za_--ijXYCiCQI?`mlA?d#Zt0K%2kmDqhIwGi7L}ZcF&31kyOz%-3N<4A3Hp{G%5R^ zp)QkfI1d7X=cDq0XkHhVLirRL8hm~?$J(*N3AXmSgY)GtD`}=XtZgL|hc93`it0LK zta=%{eoJ*z_gW8GB|8PYoV{~DQUZsi8O-p1%YAse$J7dJ0YhLM#hn~c*8 z##CB&sGJa1wDH}`L}txQkuoGS*)c7DTZd@OgoBs)ItUBW zIW58e+Lz7THAt^6nup(f_WT0${=bgVL}sqp?xQ5O-l=r>@|eU{66y?_z9^dfz__%! z7o!=wnF4#|``*4=NbE$FuM;MTl)nAViVElsYnNw}X`=yERoilzVa3|gkS`f|hkn^o zDW+ED=EcPa^PMM6%B4q#Z*x0Iop~RID{z-9a90GPWlS^P=JgEKE6m>{C|0DPtpaSB zOv8|dA^ulOk zay51N{1A_VkioGJ62FptqpqC7XhQf zGEgLBJmx8;E22cD>ItI4rDNaq?bT6WydGMXShFV|ryF-+Ogf3Z=Fi0K8#*G$8hL82JCM_}$2`tFC@1Vs zg7FBGL{>-HFkl4y$Sc2z-LY3K1l3J=wfw(<=dLR&1DX=q+8B@hgZoUt!gi#0Vh zPYMRsIUFL0tkJ2PbmJ}8l@@Cu?W09O@9E^cBayghTOBx)Kd`OLj^{@x99hlYyZcKh zOve+j_hRC=xMo}gzVA843!-B!DDx%Ij^GOevCOBNcArt;#KR3}(JTu4+apcNNpAi0 z2L!prs&c<}ayc?bZa6&mr#nv8!b`RG4h>auCSzkU8@)Z3uwOf9V+hM7t508tIe%2R zQ5ME_OPl{4I+tIUB4HP*sn$m4_L;VBtn$!BhmyyFnbRY1uh<`Ahhx^#AX0fdpN2HI zxQ0_=>~_LH;o-gO=dW4Hzq}PN`MC81!I0lR%o`1(<=&t_lG6N{SGvyWJVFVWl*@?H zkwX5J6D#Hx0C}0@Y+&emII`x(jatcLt{z$v?C4f#HGFhg*YUyPABJZsiBySlYGEag z%I0QE1ynyId?D%5klBt&Zl|^0>B3YH#v84;S!!AQS@cDBM!`H# zq<$JM1%08`g`|VK<@)%|ZmTOD)d(!B>_Y|jRlY3_Lo2qosAh{CZR*BnB7ztFWpy790c$G9h8@rk*>34O(lJ#kKoe;UEP$ z@l0frpyk8*#g6=XZ=U%hK5Cu;MX@)!E)v9rRlmQs7SN#ZP%``bkTO1+#S=3N*FPC2^5XO`2L(YXB;E$-(iw>X?aK zM9yWDB2g95VNao|rAg)bIJ7oDN(^YSkyGlCve1ZfE+NO@g0n=rF==o`|7>d@mESXB zCpV3=e?~@xDhs}@umblwRAabw2c^G7Tj20~lh5jXNKiPwIFcN>Q}`K0kP_G$x19Zz zyk0T7`#xwzRjca5-kAgy=qPUdJLg0ZDP`JoY)=N0MqugmTbE^@urN^i0^IghAilFF{=PmV=kl1?EaYYxtzl^cyha@We*1Tj`8SiwDf^31^Q=zQV${9$A~C?;#!O1Yhe z4&Cy=8{%nqa9;&`{NK@V@NuTpmu$|0paDfxkr{OcGq1%_#BBrg_I=0S1u}j5iu6KL zu_>pKK_R6zcLrSa`8)J$Ogov!NE}j5LI@K{|VcIfX2J<8k)lV95V#rzv*`V`_Fyw;d|%o zd*q>4Y z@0NGBS4}&U6i9{<5fL#q&U=MK?0)?D71CYmvq1Rlcupwg?Cg9zG-NXm@=J=93(3Vn zUdv(^!286;#y&(uiH?r8e#vPuTNM=aG_HT*NG(KU4Pft#K+2!xd|k0d?Gq|TaGg5x z9eZy7_7Q`)462r`!QP6Z5o2$N56s-?{`P8QWCWxJ&b4~M0Q?Lw@-aF&*z`mA8I~rL z_b?Hq@6O^!vq=faVQFY+0BI~9YXFE94$@%f=gli;Pzbq&#;SgN!0n-nBnL@9puP!6 zfq6lv4X*GB;W+9b3nV6pih5mb!~y6$ihsLhEvhxcpC4ede{_;le|zhmx^=NX!|HMU zn*lNJ-ypxZUmq`moh1nS@hP|v!Xt?-b0bg?MI_S(PfLnK1krEIP0P2mj`=i49 z7ZDLrX*7&2d;&o950g>;j{oC#GfCp5Q#uY4N+9v3V#eI}D}d(58hE$?U<*K-f&94P zWUkB+W03D!SXhWyahe?`k==0Eu!m1TU}kDcE{?wppUuk{?2vOq(gh1_RJqM!*0t#55jO-{OUzWQn~ zbKuDHG7MBv0A+QAL_|=Ib?rbsqU&o=Y6n!jf`#!M=9QEXT_S^fU6yAP0=q^AJOJYg z%0G)_j_?e8VCv}ZCKm8?|1|a|vjTzTQJ>VidXtj6y32tC)|Og;YgEZsY;-!RQ7=wG z9Ahh%&ws*i0#dd>L6&FFp4D0{X)7tQAH#WX5grNMn0K3Vba!{(H2ijLb~?L2_;b-u z>942^C;(*Ap4-D}!ID#04&=T2qWS4k$Rw+(shQNysl^WV^aO;5!>;#A5&3sOK3THN zmRV^t$FTzZA^lE*nQ!$(kV(eUC9s){<}2jt>FJ4t;Fg0#`_HmLe+JCY`xU=QiR6FA z#69n6u=LaAB^v%d42%l|CbauX!lXfERn<2xzt%x9waH09D(D1~tWe$M|4vAVW(dm| zfP%Z18mKMSSZI8ukcYe$GlFMwZD$izrU1k_XrG3I|NQV1t@j-64VTWT!+m`$2~s|U z@W=PP)9-Ju0{YVj*uc635Jm8K^aSAJbeRqS*5I!oVoYQt%QW+I$ndEz+P*0G2*LO3 z^z^i!pWo0yP(}|NeRm0;ox#M~-~T?Jv_p*aAzVLX5d<8!XU8AjYCi@i38=yme+VD8 z>(=|F7+ZeJLVJ#gy!6T*g2DSl@~&9fta^6npaNJ&t6JT@qX3nJ@Rmsv#qHk{n!Yuz z1G|AMWe9-tz_)&nfDkdz`{`*#k=Vs(0X{+a?Eeyk75}z>3B`T-w#0@*MC7>^$_a+j za<(e};!iQ0xDsDqUod^Ar&SYkK#>Yi{)Lv7wppt`mVOOn+I^^nOD!`3(V{7Y_w-t!Yq1KVB9r%N)GY5hQ2*cn%xd-)}l$70i<+!0; z!NhQ7@|Nk58M}h1si}xa*KD;J79152_xINQL3e>jsHD?SP^h$AfQwvRg#HSUwkIqs z?1KSHC&JIp9>|*7gM)xoRkv;HT>;b?c(5}7iT=Mp(G`H3p{yMH3JJ)3A1o61$=`vA z9G#GmKVgbPuRh*lbM0btw3lVC!DY(klMCf)8Z z!WQks96MWEaO_7lqK;Sa`+q+)z2aEjgh0LB=gBPEODTL+5Oa`z(@){^*A}2M#ti zNCdln@|=K!4!~WrvOc2u{Fy&~!E%PCt(fnQ8o_x848j$3R&zCj!=FHkX!F%(5)Lig z3Huc6PqYvJ{!d%B{f|h_?*Qj`jnmZh&)DZC8E376J(Y t;382_fP;Vo!-6v)w}Sz`RizYY_+t+|>#Bbv?|JY>UQbs)mvv4FO#taVYytoP literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/dce_DCEInstruction.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/dce_DCEInstruction.png" new file mode 100644 index 0000000000000000000000000000000000000000..8a7c178b4a5ed7b37b7cf64cbcdc2faaad6de86b GIT binary patch literal 77636 zcmeFZXIN8T*EgvAqXtE3B2q*;Dkvp|pwti`p(OM!Na#HfN(dlQq$*uHh=M3Zss%+* z5d@W@(u;IKx=0bF`0j)EeLv64J9EuDA7(zi*M$f<`|PvU+N=K7I>hJ_HI5$UKD=+= zzM}*@PJiD%hMax-{&9pK1fS$MM}OG2@9?OPnyHUF&B58texC?J_3uw2GEz>SR38xp zPDDn=-{1d&owtqu1!qt28@S}|Y3JgQV0=*3Q7)qlSW)X zqtNDm*V{PSd;DuJ9Z$Nmo12Y@j5_Lq6xiyFskF2R0t#n2}RH6T(uXB$Uv8+UNo`ClS4 zb&*rUqul9uS(FaR&`d)L@8$J(0}mTO{+`rD)MQ0eRG}{*VDL{wO-@8bS_F&wyRWRV zkG89vCsIupDNS;=QP;HfHF5DXccl8bx*FSfXc6o@4BZ@UjWAj$EDdXBE~73Y1H`QE z>P&aR`V%lZG-pG1W4elsub;WM0~#%D;)%1$l6AV3M)r|a!>Qq%Z6*E1O zlZqS05!|hB=W47@06#c8y5MwODee?wtOnRvQx`+iqxqYooo&piWSYK_sj9uRyP=(? znk>qOYU1wcs-aCq`hy#ZT0U|p88^B#-UwsvZ?C2;r-RhB(Fc1NnwUEq8LMc@srsSu z0RiUP7%zRi8ODT+GN+l?xl=U(2kL4%;2TPhfL0@TYWwQgN~>YC$#w{BbyFQJaK+8Q zN0Vx6Pxf^%(J?piboIr_5fScme>roE1_FiimiF+V(LMCQN{Wjd#!T9lMv}Fqs#7(6 z?EIWv{0&vl_d@xdX6)%jC+X{WpuIHYJV|;aa|B%zg{QgtA_Ls0Mo!>4L|@I@{q?^?~AG)d)5!;CX0AGbt4hB1TRP?MT<5nQCLS{B_ldPHNH~nyPMoG88{8 zioTwcKEajjh_y9Rv-9^iBB`p<{LR1~PQC$NM*7kuIV~(5Wk4c3QCv;P0p7;OE_8EM z(*P4HSmU5>qi*1!W9O~qg0%H9qods&JdIq8J!L&nPFNo!K)j3r!UHYss)2V^@scyf zI(m{x{uEh^y@p!=xN48WQ%teiTB=Ai8X;}uhawUkz{jq7KB_8IO%)YW9e-nIRUa)? zHG->-Hq}hUfS`ib(xiH-Xi9)jhSz&MrRQUN|!^H-xjFx|El!fu|YKQOm^5nLyXp zvc>9an}BsDns)w1R2o^@Nz2&S)4-POMNw06*2B@{%r#YX?PwG`O(a$aM?`990FQxn zBcc7h43OSZ&Uhm`dt-vGzP}yP9wle2CxbIKlyY(FJX}r9$6p&~V5?_CS5cR7!^j2D=@cERiU!ij*Vorx z&WlWzGp3q&(lFXcU1L`jLo?uQuqdjJv=o76sHNsdFvFtJKCY@LHA5#mBvx0(5ih4f z_pw4u%?4+y?WQ4x^Y->3m}9kM%d zRwEo`a4xnaqOrMwny<4bRnL*AVyfaNW#W#rH#U)S(@?jC%-qvY!^GIzo@8c@11=hC zujl8csYW2%>u6~~&jb(0B7sS(BkAB93WN8MCS$ZzD0q7{9VbI)tfqzx1uQW%AOLGn z$N176T%=rm1Kf4xd~rG^L>yU0-9gn6MYfZbArSCV;Ge0x9MTbwqUj=0GSVbJ4+MpP zamM&c5v5I4(B6)&I1@EjSp!pJEXv)+o@_>zaq}@%_0-ZZcJ#)(`e6M@_AUl0zMh_{ z7&l`n6MdwIDw*zP8enhWiw-cv5{zU~M2d$B*&Q5*IbF}w$J~^F#*h)d2Cg0k8mf46 zZGR*d@8#!Yj<+))B1nd62o-Z%vbm3j0Zq?m?hCP*Wqrw5*Fs$wr? zYM`%4Mq)fn++-kEY~trZx6@ZaQT5HWWi*j8PAGLZNXgZyvf5}>J6T_xffIu5+Dpk3XuCIR|- zu5PlX>V`4|)c`FMS9c28h3eyH24Wi4-Pp_n>E-KbXRGUibTh|e4RlB(Z;F|xmmgUT zgfcs@6Uj@;TSLuD$H88TXzPn|^tCmzk#ba3(=;TR)1fB@5KOT;dNNMH;j$;VuW;9`s-nW$@d zq4fd+Xeu7Q+90l~YZ&;UogMVejBJ509Mp{+jHC^{37RtcCN?NLl%}+|hpG&XfT9Gr z*ac`wOF4P_Iw18?2F`dNd%A-@-GG2`vB48PwH-BRrutZaEwnbykm^AOq^KeBBpZyI zl!L6XoVJZBo#vov@92+n($LeT8>`@*?VMEQ$o_a4dq+o zH!qA9-rQG)1o?h~I|`?c_VIH!qxhJbBAs2(cnp*;A%M45|97Ibmvw>P|CP%k)DArC z!SCD0zmI@ZF{D{7_WOKxsgHrcVg0Zo&&qZO3=iqm% ztDhglHRZ57FlBHYkS3eAaZ&f#eOi`Z-wE_P=`b>zS)5nCwA&-MtVgRW^-wUn`0|;aNX-QN?WMaI?B{LT9rk;H9vsj%>P|70a zUd+6@^E=Z%&8#T7Z@mm2eGS|SXE=hVMCKQ2;P_v|mY&VY`A@M4E6aY?)-(GVJ8oQfQIva>A-a9O zysl%|x9{>mMrF7e*fFn2BZ1H1=NEtfkJ-<`YVdXK8tnc?D7-LrU&}Wh&lgp{eteKL zxcKH<(c`txTG`HBMVZDA&DCV1=_kPQP$t&Iv9^z7*OCE2%Jxc2w)>NTw+nU44Fg%V z;R7QnsZq!*~?XyyvmpgJC;v@j`_z;d?2qv82V&Sw>dh_qZnKPWCr(aM^Pc&VNz zl@lgBO7-Xei?00)Y}${{S8w*bC3(hyLx7}l4Q>adV&EPparN;^*U%mtuZtD<8MyfQ zUmFey##y^>uRVdz3{P=kj#fShhqiA0pSLEkX(JpvkYAqrBG^T>GaT)w+cItazWACT z=xa_j@6VNX`}QO^Rg_;rW%lO?U9 ztQ2K_;%lTs+sV~_+XSv9Vdg=RbW3s(G1sMHNW#s3B;bC)Sm>d$TBgC_XViB3{6oj` z`VI50hTy=iI)6{o%TnKRIcD54Hh;%Ud9^=sa>H+N zFmvX1$Vge$Tkh$nqgN5j^*{3lu5K;1yH?aY7+VF{ABx~!5+q(tA`$=DBQ}>2PwC~V zjbsm{vql?8B~7h1v6CVc^{qXgeQn!Xe(4$gPjd}nGCH{UUYg8k@Xy>#g}^5}b<^$H z*|rG^y_PM9XYumSPU;X_KvX$xUoK|oJ;$!CCZ0pB6m~-^xcYbO3)(K0B%KU*-N>^Vz zn=Q(2V-(A|(mc(o!K>%}_Qsn)y{GimIJ)ATHKYd>c-BW<|h#~A{ z$UKxKfDzihE>}_Gr!#ml7Tpfn9gwJ7sD1*|A9~{c;V_Y}c5A85-EEp2cizEP?rcnV ziIMo~8)l`pe_S5-7$exlh^b>Yu5LNOAg(Ez;Kgx8&jsA zM$S=w@T!g7rq7+Va9vGQJ(e`K<|zJ-4Z7_?<~5jOJG>_pzDeLe_WIX!wr5s5dZ;Z8 zHTU_RmecE)){%7lJywwPg8sEIU4e(zTKswrG9RG zIz7=H)cMLVT>d$r3`RCxT7qLrq4Xo7Fd_SR{JwL&->n)}J1$MXSnbH3>3cdtFP;5( zCtN@g;nYM)_Q5geUA?q97VdtONX8X-tWR^U2X()M=xZVVD*OM&W&K}!!&iPo?v8muKi)C5A+yfLS|crcN`W$<8P8}bWY3qIIbM79 zmdDf0b9un2;HHd}#Zv=F^X29q6tqpfOZnngHUe}J$6o-H2l4!Smfvs2wb`x~H=q#? zFLySy{@9)BOspHTrq7BXyr=Lj3Z<8zb8Zy{M&VXo=a9RfVKiv zxL)1;<=9nhAV%F7aGXD>z>8K8fo|sjx0k`w`9O@Ks44qixSV9D1o1GYw4agqrw@N3 zR{0!oTSeYxsI!nP&H%kN;86uaJOP-jy3&^qt!6m_BxG9YstcAd5*POZdh0Rp*+SW4 zhjR>8;2~=+a&|W$R&ihlg#L>;OQ5sIq18wCWc>fUTAA-(JOBF`p{DTGH(W>jj-G=! zsbWbSyK$iIAjf`4B7A^Wme2+8SzCv>Ymwj>2Y6IPn(A9f-pYkQ0fd@MJAmP6LaRsq zS65Gs|K0gN&xpQ$P5yt2f%X4?G4S(C*)+mxIu*Avpv?5mu}f{RFzRrv*E9&KNDz?hG~8C)1j{x6U?drP96KQ}RPBU{%Nhh&2^==xBQ z!}05Z8`vLP${53vN&A+k%gk!L*+C96D<4AKWkUS}DLP9i78uSTJJ48Pk;LV%ss1(P z-%xvbSCcxh4D=eeDXdzH|GW45y38*M`I#Wx*~J0!pY&SPaO{_akA3Bpx^j(6o>obg ztv6-m+VcXR*nskq~MW_>Eq;EC_)fe2HEebxbcf0-{Gh`E*yD}Of4 zP2E}V%T_%m*R=NS(;F=w`_w&_uU!JfEt!^x0Xk)1Bf&o}Q>T(NvYxmPWl7tip$47jWD4tUT)$oTf2$1JNM`ih&A_bPM;#MjZ_^d4U~=JD0xHWskp!3UqwR z#J1-Z9^?X67MXg&fq4IcE!8b62D)ASJ$rxXw*F$+kqc7Wl;M$w7P8X7ih;23tiXpH zKVMWN$e_r&308ZrWJb5%OEWJi3+Q=a@WcQl!+ZYc5?I%tu6!_B`8WK=N$Ezu1e5uP zO!FK%r{&u5d;CN3EO)-dEC!?wc_F(2^F34eoXHh)i{jc&^R1pOXd8ZpbrJs8X4WIz zIxu~$YfZO7TG~QKU3SRfba-a3)WN+OWz9;#@n7gHaPhxruIwuW-RujZshLJUwVvu8>B1M#yw zIH77Rpio@=VL!>nr;@TUHqcpb~(z%WWE&cl&qD-;#r`@g%RNB zHBabwv4moi+P;tNeZ*&{>xKw?UBk{o-P^4or|g|M{)A(JC+=t;?|x7yke* z9xLGZRbf+Kvi+-S=DxOnOzSfQMky}J>wbGOT!HJ6%3Sk5B!aKpP*O~SxV;!?Pq*&(B4;z-=oK?%K{>wpBXB=BKV~O zH{5EP*t8;OKSC0=HzyN{Kn==K&%XZVNB1)UiOx!%_&GI5iu}+Wq3~1zDG=tno_bm% zye4opOV8)&?OIjQ9_boY6&?X4vQRVvM%uPC=sIl=hkOm>K5{^nQWWxMw}?8^(VP7Y zq>zCv#DU&V7h6+ zbv^fWjWSMMo&&`{Limx-^2nE1+s8Ec87nm361NfXotfa6Q=WNLUle43N;fmg>jN{h zJ0P7y>SQ6MK{oG+D~Mb^affi?VvIKLYH72UGROXjuN9wwjFMG>jO1KJwB~VR6LFGH zq_d7bh9ZI`ZTz}#b?eEV$TFU}l7pXr8(!Cu1g2pJ>TAcy6BY(XHLmryb0Hiul7uu) z1dlJ&bUu0ca{I@JH@>EC41VPI?30W%F{(ox{KgGDJU=TSk-bV=raBK6#G0em0&f5Z z<^UY&Qzr-};E}2Pnr5LM`+L35TDIcFkJ#By1yQFC^+HvRnOjwJTh!^RPUs=G8hlHI z#*E5hhsT%aTx@mSdxr!w+`Js944W2Wc{*06abnH$<&J}`as{Y?Ev$W7PWdCna($8q z0EVKq1c%L@@*ifUw*Xj%ZyI>TyqRC1;{5_1aRR-1`^kE*#gO^^4-z#uK-GM?E!Te} zvy`gI&}TqWu&>=8TX>#(@^sMm*Q;xU`fgCpx3Nqs+j^{#oJ&0$e!caX{oK6UU{q>+ z$K8KrESgowO`o%6F`9(h%hF^3yixPwcUGVt1H6OUsn7?4=!`&PNJ9a40^#!-|1?tQzsvJE7`Ah-e zY$9RzTB=p|B^>uJyzTw|teThtz(Q8LNJ^PNOg&tw^xke9W`YAJuei}~>kxIyPin}c z?jaWlz3YA_o;21?NIw52D1P{Kz*N$30i5{zIZy>Hnb&de&+E+O`dck=#dmSt?$;P( zC&}BOhbgKx-TGPsN(rYf^}hJtc;v~p;YZrcM^$FjrR5hjJK`@2|7_1FwF7au{Ly|_ z0@%7F=J)Fqqt)%;jm(<$l1Bw}+C%ZjgwDOh2B#z=`+BE2$%4 zn^r+9&C~?%E;c?Zms_7%%A?nUUd#E;8PW=v2NUNDmiR?=!VM8n|Xv!Z#;3lVj^q zzizCW+f!@N?e)y7lQg+U(pe~};SpqE-*4^W!Y1_Y6J{me@+%keMeTMbPe#~aA#WUe z__L4LBLgkp)4U6Ha_smqG3mmQ$*?;{#%9gKA%Au@T6tAk-E~MxJC76uH7o@kVjebK zdiHy+bm;a?*^z#_r8DoBQD6WL_3OPK2AUDPqrkD0Y%Evq0PN&x$} z!j^>35vi(z51`!#GsJEOeLid?pLpFJ6mjT*gUfRvf3~b^KqfSZX;V94))ch0_|&h> zI%KCO{>|+p7FQ%!vP}R^G@LQRb2`4Mrcrq>vHDB|BojU=wb;7=U0q__oER4-#5M`U zKaBBZA|oK|EqjW+NpWLgpbNryjeP_$gx2xR>`5w){b$D{VWI1Or~ErC?|2F?F6~kn z;t&2*!mOUgDf{|6*B{!p#7B-!9tZ|yVEg%}!{qYXiNkML={Bk~@*jZ6C2w&&83rKM zbTg+l^19c9B@;wY!VgNM<2^Ab7D0Yi!L>M3I8m8bI_Fo$CiWfc`n_~3mlVoHpKn*{ z)1tL-e9~#c;~&3Al-Dgif6~p&wM0`ZmWzo>F1*9YE^4Z0TEfAeqx5IH=6p;9C+ZKL zw+=6p1)@;^V1*i1V^}1|HGAITNsd@jT&=F=8Q|%>Mi!WwDfF7-GB{8(b^s?l&t&-~ zMp>F+y-mOK^zAb5l9r zAXNxFfNX$opAMz>xlPe>vLnkIe!Hk9ZR96?RCT_~<5BzC(*KJuz@Dydf#~D*OBgma z_BwpA;_v~nmhXQyTa>0_+9gCVo${;NJOy0CIwXP3-JPu=p)KEDGe^GHj0KK)0SX3?-lZqmPWtboE$Uvcy_M7Xgw?aEh|(y0PvV%tf}1@r`!aa8$T*Eon#S$ z|MpI@H%|#QmnfL=_9jpI);uuik8eGbGl|6o`K;mu=fWsHdh0I7-9*~^?d%v$M&gT- z?unp3J3rk&vsw|@5}e>2!05VJNbx_}ye#`MSH;p&h5qCt*+kN4>6nk$Q0|o^a3`O4A2S$W2rC?g1}!wQ+j{etKehxhYPMLF0C8KxUs1czBpd9HK6;Wi$`(D z2+@Hl8e2Ga@pT~3{KpEr>iOPC(rMvM3RU+bAm%Em?ab4|0%3AR%w&~+m>sX4`k1fu zUhWg-VvE-MKo#5g==Q~@qYV%6H@#J7FRY)LE%5}BCO}6s@o-wNO#8U))p5-Ms3CWkg&Rt4X7tAc~ zuflXDXLeM{31VsotItjH)<>{}01saFG`-(&FX*v^{sAeN!6g+KoASQ>;TZzPGJkq= zVHtUh?2i>QO&xSVYLI^r_&?OEu2Ml=Plkr>rLvzdf=@{vW`0yby>%H%D|I1+#QfXr zW!C6W<>AMdY%{9URn<9c=fB$hExoz{>|CRMjeZ$6A;@B~*ikz@|DksMf#BE&OZzCO zHmel`$!=`nZ`RP0@CO~XJNa?GJEpF}Ko)BN!q6Vga#80J=C81L6nDYX`%zF&9Y~#j z1AQq9xruti#Hwp~XQ=s@i;Ew~ywa@n+5c8!@gNWK9bQX=)3lT`yFAj=QK&BzLrI9@ zeGoSD4=eucevmzR*fc-9=t0+l5?*dVNb!yU!&xzY(&gF%X^t3PvA=0`4@j%aBC|$V zLnByqCCG1%6!rH-xI1NvgK7c;1QcT-!zIDc!>;>e{l!A+7wa9X&)IvzVFbd_bec6| z#qZzWlY&%d(yvTH9RRH1AlACQ-YPoAd~&x4 z07!{4fWWgBx;h##OBVcd4XH&w3r^QEMJPGT&x3pp!NN91ISek0nwA!qE@m9mlmTh0 zGR)`kJy0-2E5CsyC->y`lm#wY@0G3$AXQ4K)U4KnkwwR-Uo>yK#{~=^UqDpQfE(?~ zzLzKf!EB>1q6sSvzh72Y?-gZtK?TZn-g^B4L$NT6f6f$0vK;Ylb0hV2AZ@3e<8sx9 zB$g-&sC72iX;*{I;rYw8DG{QetAcp@2tbDMh+bW24L?H><`$Wn+R%j3h_!)G%GqY%9hgPc(47b+otAX-|AliRsjLs@nBJO zgfePfx->wHZL+8MFVbKDI3RkJAiybO8Eoorl@u4(@0G~2KmwT~k9*p1uf!SdO-LIV zbd}mCOG7)dK#GE|EHMB#kzt1s9YzKQTOcTa>RAQ&B%b*)v)F4UFudsV&55}7v-fkz z^tEr}x7=map*6Ty3P7iSYWEa?;{r`iiOOrgK)VzxU#tOL1&)mfHklPav!%v=2TJvy zQ)Dcl&r3kR&FlaYY7pu|NW&mk$)UY7J`8>-EK6ND9-0a%>Oh#wMTpFgknOlL10qd< zDBp%%4&N(e|I@Qj2AhK>A$aD0nu*15ni^1w(yxhs8U0Uz%@V2)t(N^?U2U$A6S(y3 zJ`_!LKBS$G^IHerGYdq~Pd83oGOql4`tm@;v$Kt@60G=3p_P0@*v$SzyjM0rW8p6T zLfwMQ>U;4D;5mZdI9&zW<@x34KHf{B(4x8NO6GZw`B=ilsJZcZG5KxM{`DUSnY z7l2-ZK4uP=shy4^z$o2Nh;^_RK1L>Xp9b%_+>ZN)Ec zpyju!l7VoH&%ESc?%Z285cD!zKA_qRv`#)BCDuT`E))g@jIq1#>{#*tgnEY|LgE1s zUpDR(+>Yu)LfvbCB$g#de6D0fg0l^6gOKAkeb>8!W1JAN;mnGaRv$ngW<;W@iOra@ zvGip~;z>VwRr}jW;4;d>F%)mx@ z?7wFL5IeuuQ=$-QJ)ie5bX^`uvo>el!PCz%MzH48ICi4v%WC2kh^LWGi2X9HqYWfs%TQsX#y<~hgcIenNb)NY0iZ?Krp9^M?)T4SPxNRIwWdwxLq=&u z!yr^qk+*@qFE9uHDA+@?0B=CfFMCycqm^A4wKJq%g92F50kj^bE8iTeX=F*lcno8x z6ER|0L!K|cfYMMz_D`|F{jBSUnd~(~A)sU$^h0h(^+8>T zi+&3k=8;)@=%(;3aQ^xxM87y?21ecY4*+Ug6tFt&@b(5*5hynuKuG#2RK+_$u)4AgZz7K({yB6E8M3{i;n4;f!u^|V4Z&Nz?Z=Mp1qDG} zYoLJZUmt$L@vp+Zdvs)>#9Q_=JM7*@Fyr^_+0b<$HiOy+S;_ECZT`^@XP1jQ#YKjo zORr7=Y4}U@q=1vdGlZrajI<}dTKh|U4j{gfQ+GN}nT_$kBd{s8*Q(7RojnHudje7l zzzBeksQw(dvEZzpz~_!JHu)QC@v`82eJUy(#27|cH@~J^GxZtiw*|+Ye^SZU(HQut z9$|hEI@fD(00@NDhz8NYzWfrQk`0@UOs6h(Ln)@Q-H-6^Q&8Rb_~*Tf%ErSsbzRiI zI>!j2a%O&Wa&pNx30@TKl&P*?3j^@p;Pi=ZpaaTj!_{n+lG%l_*L zG=ZLX_wISyvTiovK+1c|Z$ukfz;_VZs)0iH1Pnp$#g`cxj#6+H(gec(`fsUm*F)I?@q{jc}B=4PpJF16fiVUgp%foQry|P_U7X?IE z@@ZC$wH3XeE%>{W_weNk+-)gF{D2ilyA^Z{+u#^xXP&p7WBs0{eD$nQgu{IA!+8(a zDmE=&S?oWM9|q_iaA;iIxA=|&NDdzRSO^`?ouzo(^=D7{!qV|}(3nz&#X{k&1IXnJ zfuE3v<4EdL-_ynrkahg7Lsx6V$U=!zrUZ_p1ot7QE0CU^0T8o6Hq;u^;MW-#J11BH z+TB2GyFkQij_2)S;7#iM?duneaEedd?}hGhtpVMl0+Z3^*CI=_-@W+8!qfoZp?El8 zG(sY^?fCw5Sar~FPEqCl^gwLzp53PcNfi{9HmJQqFn9r~nN&J*gvHcD=`+9OCPh5&!AVKb*2gEUu} zuWpc&z&HNyK=*GGJS&i&f%|!+IK$*$Q4|ft;XwU@nm^8}+v~d)m-)49_uH%s=&FZG zKpwR5oNIisaQ^e;b?aVaJIVKe*P9rm_A``9J908r+fksAq;go zs(ZD19Wt)P`|el-T}Ny_6|Dvk{wor==W2Oe**X47P*G=@SO@n2sImG?HbBaH7iuTt z9Txhlif!*Var{X)WVG0=NRj~aIML}~!x!5qIvxO4{~W`-T6pj@9|78)wz_y_RzyMAm@$BhKIm=HFmgO2mp%vPrwR z%k%MKk?kqe5peAO;55Ee8Ik}-UKeGMR|S_PwO#&TaB7voi3To9f&_qG#Uyi=H`IVA z*DU{QD&?qRFX(U}Xy3=YF9)m#Htz;J=1t-*Fdg0l)LHg-0-4eqlw~AyVX0qoI(&~J z#Ep31s)SA;pTe7fok3%y{VD|D4p814oyqRWk)yVOp&6YThxX~MFQC!gZtnW1|}$MnAS8N7B{rl+SMw#Z;rtF0>7Tf4@Ayr zURIX<{*Z#kyleThA^^$W2mlZTdy23j(J~0cKI5rG=h5fB^8snxpQuo{iD4Hz@rB_# zm|kGUx+egHr~SrhrG{@c{U4vWTfC9}Q&L(!cqK%3gjOIo9>LC3b-x)Ga?-Z^UG{&xJp|DBG*dF;r5O;5 zee(LMX3J_iWSEt3F8k9bu*CjzdQFJZ8(KUuM zkvSNrxja(e4n|neooisa$f^dw%k=QVB!X?Z3u23bgj7T*Mliq>bjp0oEO3evI7S&5}3JM4{*Nr7oZk6 zdbmR<9@EGt&_$|>9K$gwu6Y5vdE*_%PC~SF&@2IRq`$G#c*yipd=YEt z;^5;NhWNWXw9`ixzkc{<bWA{$5_XfbpJWS`3{087}_m*)jowyU{+lue!xj!I=XXaOvZb3@aWh|GLj9GOe1bpQr+0daBgFWcaDbyRZH@lJ*A2lqkroeF zR+|_`!BpM`?Nn0WudnHxi?N$ym;DBsmk>DN)!~4dT&maY2#qUBEkDXstJK}ZZ2?Ma zVbh+wEO+aD33sj)@WtOj7yf=!oM6hd$Tf|Wf@w}G+G`5#{fa1oA8J-WP%riFLdDBn znQeaWAJ>W9@&#vp+%#>{iabM>3!GxZP|K zn<%=JWZL>?_vgzEr(JMNk6iH zcm^o`*{0TjNjY<7|Fa1$&rt1uf{$;NCa?URyR8MO)oj?^-_bYrwG_hz$?%~^&bBQA zMe)MNq4g?oOop?UmwB1g2^>;exOe`B;+37XT}2)vu65!Zg+dN6Rj5& zk()oxD!hSKYIvecIoLT7+BErY#jjz^u7h@5ZbBXA-Ayvxr_l#jZ9K{H4BqkZ>Bu16 zhBX(Q7X1QKxNQJzhRZR>hFjJnrzT?}vh z;BAIf4xO_5z&0-36nCSA{S#LzXQOSjKP&S$;D@iM@<&@YeK0@QQIk5>_B2NEyHh-` z+~+iFY=1oH(R6UEMD>fr<*cxDf!bR*eTwUiyBe z0cdS+>0yL?Rdhi72QHzP<2|@-Hd~&rc}A?iDleIIf}CsxB ztr-z@1>4Vo*8Ioj^rXPV$!sC7T`uJA4U;t6RS=U0L{DvbW`ff#u5vLMxu2y%+2^wwgzJC2YpJDR#!#sSJjR#p8)}4MCvEb3+ z>0o~Rzs)(vb(tL08Z?WZE*O-H&aB?^G@gTas{K|JcCIkdOZ;|{>8RZ3+s!i=i1^CVx zqxf?rH{0{ZYjb}I%7?QV>)pJ66-ue|!B}F>Ew--JOaa|;(>hlcAXYzCJ%sb;!nXVESeqdD#{yNg-5X zzUMUUD$P+AX&w|`u3zJUTgDa|h(MBj3z1(v_9!;cvFER*%|7Z%et1l zp*S9Ca>RMJc>IS>-TQ^d`E(~ZS9wM><6~Fw8*@D$c1v_AY(EJvLhYJ3Z7wjeWmXs3N!X|3ja?oHAXhe%#v;B6#yq%lLY+ds zlxL=S?sXK)KMQZw<)5iAi9Hd-a&3W;qSm7p#bZ;Oe3DWkNhjGW&iAm4UE@3%n&6+= zbYXLKnR1_X{@n{OFSa#2`fTuY&jvgC^?7S2nG@7k7A1Qq$-gIT*tA|5Rc*NJ=NBbl zRX%!2Xn(=SBUbv80!}pI_-N& zJx6P^B_`~Gzhpp_*O>-B-rPfK%WwK8EsBe!(42uq<{mxq>1#h(@@SpECa^asHb;jF zJ0*!*#phn9Uj#jp&PCn`&iPHe&{&Gt>o8nVTn+E z()D%?=KJ%V%%fW$2Kjn|y8b=d5-H5$$mED;7Yew+6OKunp`l)mD~OCou_?cn4n`fM z{JCg_T9T3M5hdlhvERVNRumxgJKi$8QXZ*N<=^<0Qr+;Yv8&}IarD4l*DcG%{p&&u4NzrnFJc!Z3!8Ya?|<|f zrfvH(j`r~P+N`aX=+~$EVJU6_$+6$#zqZhf$ztymhL7QxoK!Oxuis0+pTX{93*<)5 z1+sN=AFpNJ%`?Tl$0ud#pp~l1qTlPAXnEgg%b87>I+q-FX0@p&leS$OmLg{`iY$IO zD6t+iKAWB1^*DdE{$=5k!oYckd(gC!XS6aS!+QA}u61l;b9?S$bgOq*NMHRLec#JhG_N%us=ZeO zFaeGaANN+btiaV|cH+M5!<`;}+3`>_HT$mQi+sB*#Ib=byL$#}xt}#vV$O^R&DZy% z($=jyBj0{#)KM)zkh{F|>(NWQIQYL4r&d^~OTyX7us5hQODaA{_-4TbsB7g-xX)I` z_3OmnW-X#2SYzSp@^>s#26PK}4X#$Rh7|3Jwsd!IOhxnTZ&{HaA>d{}-RM%E%jn^o?0B6ZArs;g9_i&O{W&zo~BS+35{jy)xy?bY*o8`fn;LPx%HXO4M zb>!*EUxU>?>CcxLK0OX@SdtqM`A-|>gG6X$%t^(4g7NGsG5+i;F^&muZzUerxzF*I zB4`+MyXjI)w2*Ujc64;}0+?M5j;hw|eli8WC&xt>n@3MGBi?J_@g{2A6lY?t*z)}4xPi9@cLb?jBBqQqBubmG{Fhy#`7&E@NB9pAW*dY}Imv|OQX z3EGk`KByI z8_|FZ1u=tqC)w-1SDEisM#(+cZ&FGqO*)t}x_mM2K=nb6P&fcq)Zr$V9mDsT=p4lE zV|_)U6eKXiYL|JWL9yaFVCz<$046C)@bYQb!`Kr9Iv2pS+Qu>87llaqNE+wLwcYP; zm^VhggYvA6uQ)w+m5CZG5)iLbhl^$D1)cr2YBt<-eEZ0bf->&&zOObiV{R1;gD zGf!^_61o19F1|miO(V<2M{(IU4Fru^uahUuKmHoAuCI0BWqklJ`3`zgFD8?J%$7Ca zMHsvp)Y<*LxrO6B*-|!P^)|)8!nvcj-mh3C>vlcR6ADxi{lml^vb(#ryjb-Mv~GZp zjD0K>R-+nqtXDjyH5km-&de5l|NWk9Ye=`gD1DpvPm1z!8azhfI`h*zdI`x{c{JTg z?Gu_|hwAhG=xtqKxjbY-dcv&*n*(jN8opGN`ZRn~AD36)8JkJXA;t)mBMJp7qZg-4 zv+eFQHZH?8BY59o;GN(Ng&{g2yjq>c7t=Gf^dr*zr>r4P1F)Y>pVTBH+06H3ld?Va-(^K{F!ym4GIRA7iw&^8E9iOr3MjTq9o_k^V|;3C2L;1DD%-QJU#A}s!_0TYs-g%!LC@Nu(o0+Z0!a3|p= z-QD}9Okx`Ecx{F7&NKv=bnpqBYGYMsOg!1T-T0&Vgxrm>sd}>6+*Oe;KGONz3C8#2MmchN;6yhOv=4yoYM!1+`i`q+*Z6%reCBqv?~A+e zg)XiIRhfz@TJS)$j?vcsyss0=xAI)<~7~C^qGXB{K_MtPQxCy4GhXk-(*$f*h zrG^ympw6U=f0HR+RaXAtSllU+hrnI9;vM+*C4ocb7QEJ2%g#G_JHP&CMT&$UXL-=F zau$2x<(a^7!LfB*t6p7l+v@g^ujcua1LMPrk3g>?3jmD+^P;XG8G0w*QV`veRN$d0 znMAP4K;r6kCe)wph&e?!u1vNJJ68CCOkG?F z{`jCJMrxs_)Z}4TP76Bu!&v7hg?qQV-?LNpJexeLf&jxisC81dp8WW%P(Cc_$C3A+ zf}d>-fB4Dvhuut~cPaU=FTXHQEXn#k6hIizXqgO2S%mVr?m)F=*_W>+&rkR?{G3V7 z{mr)jG>GHBD-Tzzz;+X^#RlEC6?Z!IrJ`Uj94s-GX#59}ehyC+=I=E9fsT^z`SdW; zRb?hHiB0HiW)At92{0@HlAqfa=i&0p0USRUJ9JF6$~t8Fb@ZMtHEWm)#VI49ro(uN zm0G?B%%_Y;!R0yv*I7FZZ#aVM+qgA(XEaXvGuTGdz1gk;p30x!#PQQYmC<4;(|D+0 zkF_4}&w+wt;-E6HHc|JBQN9H;%(|~h38*L*Hd=eWr z9eph}!Q`Ovi*u7k%l9uIxj4F2Drc~L&s@97WQ=k*#Fl8;uG%YA2D6Um z#>OwBcw{07dt6;tz6;)f{;yX$hS^&6FB5vs`_}o-eaYTqYJIwN1;_6KDo#Ci<3ECl z`xu;0*qX8RF!s9`Wq;c{ub%w1yKowK=c%jfdXkwS%b`%@DOpE3H~x4qOP;YOVYPMx zV59ZGT6?o=f!)Gb@ZQ*?F?zs#qjE3#qrod+D5z`45dERa8x{lwH1QVImz<%6(9pqP z>^o4Q3xx{bVtOyR;{ztjGQl9{o%lkeVK>YY5?Nf<{okCSbRe=aSuY?wM&U8=2T80K zHOJTjJ*)RLb3`(l>3Ha2K!Pz`J0VWFmvK`Ur%1@_D_iC?S@nl(rISPUzo*P_;Tw=^ zOX0Q1s{Fd%VY!!9zp&WHCCq?=#!guCtvEx=*jqafiV^MuPCAkb&jyaj<=pW3w&%Xp z(~P>>z?)nhOy{3~`5Qg9{1K6sMbHh+Xg@Y;6Lrdk??U^!ifd#_)v-N}KlJ}_c@Dk! zoEfUtdt42SRh!xcu^;DjHy$T1Uh|&%K2Hg6tHF=9(vgMUNpI6eduV!H|63=v@1J6dPiXyrj* z7e(%BsMAneukZ9GW9E~#vgB*?Tbduu{yht@GZ7eKME>k5ICdUbh-bQbWQ_82#@gLi zB-!)r9qU(D>XjbW{=55QxC#cWSSUN8w-cQ|w4GzD9g%GKX(A$8FUl|iM8D?eY?lEq z%)U0yyzSHVdBJj-b9AdKHtzqHkpKPg{}*CE^D|WGU$2H3BC}72Y=1(!4?eoSzSMH#Y&i)iMgK>30LRngsroK>i@}Hh6(51I#L9fyPx9=oZP|^FcnuGW0B^ z>k9`jlP-bjTIl^Rckz;6(aKo3;qOo-#|~boH$~n3HIoenMzcF|FIjj_g9cJwBG-pD zbGYGi>-RUm28MiH=v!9fcLo}n@`PM%0@KIlCo^wDStB%evJo8&H6*}f7^?K)ldM^& z*D~5r&vEC6Uy+?{YKFSVmIxE$=++U$Aq61@0u&4jdy*dtCJHiqRs0TmEUsXZAB_mw zq#%NS`ylLES*V~NXE8odW`;me#*`7Ry%GqQ?lTCVZ@Sb$=lhw64$K?yg54RlROGE| z%FlN6&z=Q-+eZ<+>>LDUIcB#Gzf%Nrg`wW3H$Cgu(*^+6(+28QcOqsS)&*czt+35@ zWy(RP|HIoO^QmkfHXglSOxK*<9I?K)`e{{g49sE?M@{e>G2pK%MErX72Tawy{v#aGl$9Urql5l1@Bj6O%^=N(-`u|y@{7&n`&m;< z#nBHJ${0MbiNJIjW*86{sIX2Ejf1$%9~rN?w(liZ{JL5&p7z`nz?T1S-VF6BFnS4! za+HtOhr)4E1YGh3mP4srbF->$)cG?ju4tH%!Aljw6rn?a0ijfCLSkGdggKgs9h3tB zV8^qqf(&N`b%f7y(Y&CJ5HD~tuKE9W`>iJ^e*Fhlf)9}XSyhm+0&Ad6XzqiJC}j*5 zLYdeBF6Zl1T^jhQtFE)#FP*tl(yjX7(H2-EAL9nP;zFyIyyVl5w( za>hJwGoE0iS(^W|Cl^OVW#Pl$K}$r7EFeEMWbRoci!VvMqkZ?W83BWm8!G|_xcdnB zZ}0HHUW(e7@z>tMmo6l`<^DE*_ie&}01phHSxI~eUZ56s>wv(^RycqbyzH{iw=w^m z9&x{6{hzS1m-}CQQaws0@DswOmutOGL^%r|dt=KJgX`s%rtCZk48ipPmGVJQ+_!>C zSCEA3=&a@Lm+S5<&+qEE3w7N4VP><*0wxcCfWWkRp_3;I)hwnJvOAEW6?-Q1riL;; zdujjN_rU$yNEzuNT0OMM7Ca+Iummjewd0$Iv z0ye^{EE-haxu;Nav{}CYGvot%3byOk5L`N$IMFEmQ3XjJ?xFNL_`59J&~&>h*bu%_ zmaxFo8C3t@{tk{HJZGgCaWbvfkQ~*Kh5#oeV=s3cER7@z@3CVpX{>xd!TN1_waC9*hymtZQCU|L23go@?>=;BtT zX)99#TlLLiWlXcj0J@M=9XUPHS_c$TUH0jZ9To52s@T`#@pRNqDARh&6Z3#_=~*C1 zUog|Hva@12GnLinxYr>g)BLn>F!8cVxt}ehtbs~6foULWnG8{73m5l7&F$0QtbV~O zB9!0E<#c~;YIfyGLzRXudT)x;NE>tNA#c2_(zJkt#y)&MU`WhiN_c@Ez-69?C}M_- z3!<9wtbAWcJ=vOO@f&Q1W}KK<;lM*cc7x#fnIfRNjc`*yqOsxkyQg+`7L&GmvbsX! z+sZL7SMDP~#RH8#*Z&w0Xecc~b{;f$%s@}I4j_;^H1Th>8~)*Y%Wrb=94H*}*P%Ew zn{UZH6cq?s+qO`1zhT20qe6(HK&L_ zbAF-;Shk3ae3V5Dk?g;1(K2xZlaY&z-g&@djPmpe4nq=|L9{baE?iHU{E38~_|In~ z#?t&hfm$D4AP`^B(|y1Y+$3lE0MLwNC62-#v#tixgr}q(_dj+XHh_Tbs0apuMfHRh zAr?1ggT=WM9fMreS@`dL*Xtdfv?4!)1f z$WKwXa`!V;w6y7eejkPoZ>~yb$xwuhm5`wa3}jpmv%VlN*`wcI*F{c6BrJdo%*h)a z6mSqs3iu;M>rVxJ1q3hBPrM3PUyMPwD4M)8o{V}9WFKSiwPVmz={`Pn9Bp_|<@H$; zuXyV|oX2}SQScwr5TP+7*B<$efq=}Ra-W|C2&qBIB4s#p zoHeU%+BGzd=McvVR7Z9L!9+Gklj?GDyPtBV;$9L+!chEhfza2uihB?bb_I4j%wD^UQ$dt;H_x2o?F5n~q0iJRxKwsTNpFIPejXBgBHeHsW!qpb8iKgkFS$8&J); z>zmyGU1Zrbs&Hx^ahN_pl$&);-afIrV{1B&Ydh-xS&Yfj$D_CQ``84f5BWdo2d^O1 z81;y$aI#;txQp$-)kQ&heHYs!6N@J2OXlf&Z6+%q-=~!}t`Sdge*SexBQT z`mZ8+m=8cAY}~;2WWoX@O}hg5+$z zOgKJr>_N2uHPv|gZ#Q8Os|=kVu0Y-^_n0%}u~I#2Q#@0-xerv7Riqt&YiYG>JnUYN zQ)K1vy*#cwWd-E+z0FW@*|#q@8zAhoxOyt$RRf74m8Z%KU;6`vRs^K69fE{=V~w6J zPYHU}cfmj;SI3|Cuo`|N^!VX0T{o#`J99n+ArRM~@-kVS8se-CZDD)iSTC%Ry=%0B zRCGw2MK6_`@#vOLgfo;B%3_0Xpq#mo?5=M0ZvbSrZC758ryfSG5`ebSg(lw+FzxaMVi`ono2o%geV2Tqd5TC}r?hN+6^5gOz#Si%-aXFJn8o z<@??3RjHb#@a?mG>c|!;X-al$)I|o({Ao+9jLz$!6b`CnhNuH*lD)Rh1jUr^&jelB z0UwdR8(aqpRr>o3z6m%Pz(B30MN$Wl)x*ynDY>!RDs;dU9s-lavJT9<&JzDmpPF|! zAfqn7FdblrR{AxqX;LjN2FcR&Yu00ez#Q;-)N*htxAH}+v4pFuIQju$@^b@U5K^A@ zrcQVIp8cAFY)L+pg2-ctPk1?UtaO&*(UjOL?N1MNm|W0L1hkn8>KI*9TuBU zM?9pl>qxe#_z%A;37j(+uKU5%dqaGsJKJ35|LxymI(2K>i}li}gA=(+LCWn5iu z<}mdu8=6$@&ZC8)vuP9~RV4o=Vp6Ndq!9fjb=m(>Hl&El-X`hW>t1)*1U`UNt80U1 zQ>3t|8-esfK^%BhupN0-VR3%;LmDMMdamSnyKQ_|MYh-JIC|dNT^&M)jU6P18NC4_ zW``~k(jN*>_krHj8Io*sJ+-T7^C=%m;{i@xjqy)k*MQ-mdHs;Y&9o^;6`0 zBam=fqne%v5?0Qz;fF8*gCGWb5Jww9i4kXAvjkfN*ubAsi`!5%9GUvcd-1+uj7RVk zR-0}LYDeq|BxHO=5tb*N8{3M@9YWo++_k*(aF>b!Rh8fgTFEhUh^zIJ0{t7&HX(HZl_NGGZg+Gr6QDY>C#q(?TOX&^G zo}XiwAO)U7{sb<|@gl#g+R~7CqQ=77py{wH_(%R1jrj=;!YxQ<9s5U`nIs~`8GNni zXP84G=_Dc@0J51*JFso>N+7qvIDdfU#G0!MwsTKxE;?oNWvO=JFVMx=W2JlV)+RUk z(uGFa_2vU{`!CYBV|0hnX31j&J)@{!6+|*fI^tV{>vIW(j+P43nZ0n*52TmX&Fz7( zqn1>^lQk$^I#D62Jyk3xZCf6@)Z;BI$O~+KrR=`|TKdH>s3s!cXVB>GsBD@9f#F4RSe#GyvFfGO}1g z2a7VrwfL&ZUA<2JXnzmHKE+DFlMIQ?XuBDoj_irL`cj#V>5t>fFPpdb8`vG#v$P*c zXHd_qlQ6l=3xa&a(-qw^C+SF(aH`jrv|Ooiim2f>!WnpObk=B<;dDul-J?vf%TcWr z1Sq6ou9tI*w-(hy`oR4!yp2j$k%zDmQr&iRi(N>B9AT`0x|W>p`S16yN3rJ5ub6pG zCDa~9u#xNp+t|kbm3hy`>M;|{h%mm=_~%Qp-2>FdzZaiy9cep1>&T$q=Rm7!Hn^wk zK&(F4Em+`}q`oMkT*qk+sD||p9Tq_Z4+TgW^44PdUCe4BNx4}O673~rcov~5l5z}# zsvQH-c<2O_Kq0+brjfOD#Oj zArr;_RCUr9~ZwWAhBHe%{b93-YvEHMVpdemmta;?HRdl=(JX?6<%@j^s%;73;YVx{H8 zdFs`3({Nkx94!j#IhHcyXkZD2B$bu)frTO&ok_7F!R`e*j~ZWg;(rMfPeVIj`t3S2 zRV(iLD|`Fmix_rjI>_|SGkrYF1R~*gE@R4kwP<|k<_wzCB$#A96$uBQDtP#o@s7@8 z1At)`kpe^VAd0tdHg0QJJ4HeW0Q9ew$azuFM+lti-sVNnKavqq z{^PpNw=4y7&PmX895O%fE?cCYp^?XL?-*cF?kdx_vkK}A7!}K!Qm9(b6n7a93nx^O z%je(kzJIJEv|=gjP{?<1@X^QLzi=I6^P=P_wu#g=%ACR<%I%PFP#)CV#Ewe7CE5j6 zpB1L@S6$&@98qtJGzkp~NBY6IQyRDrc>{Bj3>jKtXug zh<2=5_Db7Y9Zj4ymMn>-F^E)oP2T$_*(5NvcNlE`TBH30j`!0Kjiq1uy%XYyqux~e zR;WXDh=P1E^(<})a=sy+Okjhnfy`i%I3Du1CQ2TTW3Az*U&~TrtyMlOR|_F47tBz3 z9Ptr%3gM@lKem#t;Ro>&@NvBADsS7CA>UD!3Vrx6*@c_5&Z#ltK(h5gIXXsrWm4IB7J|+uA{{Y ziAWS5Cj=l0Hj2CtDSVRr#*WTU=t|_x(4>Wp#uXILgfBNgzZvdaqs;#uN|3nCN)(-z zGk=q{h$_$;t~~VfV%{e!lX{F#TB}Kkf=MY?35nn$!yb2%L10O#9wyxiPEQzp{-RG? zn0%+L(x4R&I)}bTweqL;@hgs#QGa0)QY4gkAedC~_7`3-w$~3Lb87O?JS43agN&S} zt|5sZA3rvx0;;%)0UgYyzQg90TuD4Ii2j@f*?eTm6Fw{9Ds#n5_+!QM&R3<7SRh6L)W=H?V zDL0&tpsjl`marq1zo)IUk`uG>qXZ|$3*6E3XOV>9%rGTE+0LIPH;!l9*^$I#8;pYc zlY~3!HkfeIIlTQzV&|_>`G6o8)>z-JTxSqvcPd$*zJ~<%Mdut?KFS*Q9zCFQ$v=MH z%x+#5qKuV|<{-%^ueMCw(;5tGM>kS#?C=Scn2(e01#|sI|NXV3k2vgk~DjZRy?{hqg8pl=+wKT>1 zjTg}#Ehcj+UyJaQ#^@iK0aed4eS69gIQo4Wh!GV1>~vG z;?lXxGVc(NB*#0ND=4V@b})&9Dl^ z-Gtgs%Dwgj$yl&+)L2l)@Pene)>j`YFhxKW5)SKHhQoFZOh)DCea|PC>=7fs$uRl% z0bPrn8o3&_saYHq5;kHeku+_VZ2xcahnh`4gqg@#!=Nb&#sFkhH z?6AV+2i)CWF^?Wt=7B>ul_c4m&dPO_ybni!>W^tQ)XwXtg)f6qs&%N8;MfF%zW%`d z(A$&V@1-@m)I&fiZC)VXCl)QX}}}>`G`CI70~AqgHW+!_BzIuozM6$gM-o$fEj!-)*j) zkvv6-d^Psb$Wkh(`au3!+W^0rJQX#z1S&(+@Zt1>S}AM>Aj&LLp6qi7wFu!vK&zCO z#M|d=ftaagRRqoE_go%i5`-SF4+|+%_$Or#*7;0L1ZFXb*P_}(^V?)YuwjpSQ+Q@0LY;nor=r{+#96z^EE4L(Au zoQq|(*QVM!>2aqSw$ZuUw{hK?rys=sEp;sdVxw^24`VVdYe(CYh+5iO9P*X(ywzd8 zzVbxtkc8tciHjy)7BxkbIgBwU1jn?r;@sOLNw8<3b3vUXgBnMgl;`OqeI8PkWghHW z>S3(Up_Wf#-`T-`aF>L$&5H~BzxcF<6&|nUMv?7xAl3T>g~vIQ&@yCtV!X< zhVL2}hQ)Mq$u%+}#CfHd3BGz1g!>6554T`!`m!MqHTG>ld-?}B8OPrutA@9k2k;8? zzgZ$z$>eTP?;TL>|*wZwQBSXIuNFDgrGe#@qWw)ZD2rSALdjORH$GG0% z*;T`rc=z97?(x!;jo*bke)^%6PUScO4~Q_4C%;J>gvu~JV0FCUC3CV@t|^Voah zm6Y6{PyrijQJ~E_VkR>|n@%#0gu^G%jgOF#a$Np7NN_>&s-q?4Jr^OIN$AId=ky%e z5`ky!H^GLe?pbJ@%avT`IKl2XhYGJ%I_a`JrTutVdf)X_t7-=`^WDdTx<*fjME-5g zs*Q+*^%_-FIMjqVODKv38v|&xP$<4(rJ>436@_8TaFZKZQR7f>(#wTZNFAuP#JTgw z(Kyk2)PXG7PjM1@9sz6N=t%15Rla5NEzEr@KdA%f`GHuw+g*uJ*t$+iM#w??5k843 zRd4UIr7+SHQo*w0$2KveeC?>%VTCd!zt_5ONjeFgsR#G5rUuh5b1%>j6|~`&^#v4I zr$Q-YLLQ(A_y~wU=0tuljU76^CVf4MbwuLgB0>|vZ!forLn=`RP+$eQpE`V!Xn$9z z7z8>6H>Mu5SWp?6L`@O#(PtUkuu_{opp35!VvlT3FTy$tb!*Ph8k_bmp|kBKh$!Lx zXHX0YhJ>2HM=|!jE=?C3!DH-TF!ma^jwhjuG#^vgAut9N>9J|ht8I3`ee;_v6i;yl z%2j(r?GAWjIDaufQkR4uHflysqT#a}E&{4mZM zm_5ZOst?Z@RoFgMj$2+Mg@(&mEvrA4rqxEUc@55=(dabfS#`6rp|VA`9L2k1XJ`Mc z5yPs0n#cpg!9iv=Ub;<_eP1j@gFM1~?RYRT7WJ|zeilvp&J3!ukRl|wJe&+EW@f1FN=^rs$lb5h77EAN z@{AbecGmhi5;>F1sQV>;_7K~aU|(ezJYCWw|F$=B;xmzr+6mb&LymH>*yPWnE|V|L z;`q3AcyxDSi5A6s489YhKC{tAMx%F{j_cwml6dHE6NN}>p>G!fxhi1W3__}X z96V><-n=p90y}KH=q#boA6wU3usn|PRs6N~EI!o;BYj;**FI#~ zqjA!fW9!w3$QidmL)z*;&)H{Z{v8z$AOl6dv5W{FPQc-9^~cwIaG@;fm()rR4CwQratu(B*7?#dZ9VE%5wV55`bv8k9<;T-qKGoY&2x&#v6RWp#Ft6AJWd-KU$_ z?rwT9pvC|}V^8`TK?t%KxV~Y$<0ZkADI~c)4HaRSGW~`hT6R_3 z^Ud}#b|D>r!QR&ZV_ONA-P$Q)({xD1b^dYPztu!+6)PJmhKM1Z#IFWU#lKp#ad_m! z-}KE@M&L7~oQ)tAnf=fUmDSpuJdyX;1K<%Q=X$)BtlyREIUxWKR$Y~fL+O~UW>BB= z3TF=Ey+R(Fs7--r)fH&Gj}n{zkwIQ}C_b z3*iNpc{_N|L3pD2u8Q4e0{ei5lyldqV4^hY`&R>l@C(4|&HVTX+2jt`a@?OL8}yX{ zzTpP}D$dVBxjK*D(UoPrK!Y4r-xdEUEce*TOU_^Jj=rW5HvrP9u?(P?YG|QtF3~Jd z;ceRpK*Xp3O5Y^?S+Vz#E^7<6&3G|GW$W+6o4A^*({%SOYQJp1y_-@3RE(phdTk^- zk)+NZ$iCWq4_y_x-*{t{^fEt)M?f)eK=fIp!#*`l=S1jH=d1Tqn7>n#ctJOhqpgB$ z;;P<9bAc$NR@>%~NzrXQP>Jh9on`<4_PVhkO&>vhvZk||jQY;3=}qCB_M^q8sT&ER zU)J=L_Fjtp?WKB62K-E{&)cYcM(@A&5KUl+js3(j8inI(A z^=XQ{l$yeJR8pdw!GF*t+T-ly9xDF0abYd%qRx0rbqbxTzzq0j=#6Dg=n)PZQ4x;0I&D(4dv*v&HYZfyqxo|LM=s~^JD43C17QZ z`#17Z>~|lpJ^&a#OGan8Td`E4LD0f%0zUIG0-?O-&Fj+H&+^x29(N zE1{@48*AWjUVDQz2UaheE!llpd2zBI*zKj7Q{Zi^Vw^(7_glV})i}k;KId1_M`&N2 zCJfFt`dR-4%iF!ADTIf7ggWl9;-{hHo=kGF z4m#T5c`;?`+^JHv1SD=Rl8L@8_=7d>5fVD(Kg|OWPJt_p-3kwbf5K`VaFa;~c7Hw0 zz_dIp1)1lLK7XWXaDrR(n!ZKSju5OHw>UpgYFcbHBh3xM`*y8rJB?jFbpe-J`!Zmj zY@<}T`E2TH#LfSSvMgYI7#QNg=3`wew{}1}?%j_7FZ{ytH~aB3^FWmZ z+6nDz&As&mX2$5-a>DdRxIAKLn~1X`Zbe;;akuox!-pQVzlG=9L|r$3^pvJIo%b}@h38H-V8aY|?r0aT5KOxOaOEVXz z`6C^}?=Gg*SM;vcrp#kZ0#|ARi=nCa+cz8ETI6Xp77BmY{#Pz@0<*Nod zC1U#`r->}6HxyoWoO-{WyG-q=-!Z(Qkkq2LqZ13Qdj&3;f-&pA#$e-WwqF#xFaeAK zDF@DTdVlGBSG}iaVJ?kP=U?iSQ#re6Pp};N&^b_bLY5heOHB7Aj7`I6?0jW7yBHW` zn5TfP(-WKe!atT8w;`Xsnw99iMaDIxZ(~G1QpxRf!GQ@0@@r(TYa<~YUWVs-s>=GB z*ImQjNFVh?7^(-bmE&^Ykbh3m(EzHSq%5)Cn*S?4aow0V>O>d!AfgY5CWx~|fg+LW zUdr(4Y5Wmz`yA{}%(#-HlI#(W#1qKa>{<@nRygwE0I6Oewe7 zSvgrf1j;{4hgKlCgj!Q5e}A*PBL+gsn+&4P!1Zv&+f3?@K7oB#HhmP}MX#1Xb!@QGydU7VS)ssdF9d`K+}%3>K05VCzD(D(OF;j#*wWI3;S4N~qR`tQ zH}V1X*q_7dPxE3CVqp=lo9kB>C*qXBx(J$0Bu{<^*RHo)Zteha{Qb11F$Ro;C{}Od z(kg%Q9CPpd^YCmq8m&+!^hW%^-c=QkTEL5*!AHLMKHACA{>q^HX+e1XVzrYS3+>DS zNoDe*K8CM%jCpIIzT5*WYnOxq2OkZC_C5;>b{&Q*;Kgl%1`a3uxQbtrR1hZo#36@W z+B7Hcaj+<+tMC(LCW2kK$gvGu$V5_(%-0nbH?h~E@eG{HKI@B*sc?YHsWe&xM9se| zD*~IkQ>u_ z(8F#<5v7n>LbvpEcTOLh(ZTXtT?*LL1xyzKy|E6j)pz?yYSPW$qx8wyDelQ!4#71wmO(h=;&_#plO}7xw&2J)JwqnJ1cvu`4J*8M#v+}lN_S#Z;^RCD_f(FCL{P05FmQ8r!w*}0jASXk4E${C zg5n>q0T;aj#2AZMDt5bRcJEqNaMs)2cGhcui{qhUy>@DxcW!w0V(a`~brPf7b^*+1 zrl(thX!NRCwrc+ll>|7}Spa95x`l_etGK8~@He2HWB&frrI|A6H<*C$vo zWbvxjhoSIzR1&Z~P=)G5`o?6cBl7?$_EmdGz;cj0J9ffqdl|Wex&acrdaHIP94d1T zQd`C11&{tzj>CwOs_KZ+nUcuHyvx4tB8IlA_KTbCyIi|i8GKK9OI5+G+@0T;{^x1} z1mDX`$AfA6O%X~I<`6P(yFc>MXs^3y?kkMIh&OYP*YO=8WPAqnS&Yd9EmsUlzBT{@ zGn1b(1VaHa)W4?0C(theheu|mqMde1MRp;7mKf*7u7;?b(1pYsID*8F@Sj+0!6N-dqkgKk~BB@`2%o_ zMtLL*IgLKt`WB74{SAgbeznq&_Qp}hWA#54qpgy}Df+D!gO;LP z#r*=lh7IxcO9(W$fD&HW0h*qa_Z(S$qV`~g^lW|c5Nm7ifVOq!SEa4yz;;qs#71^i zijGQab(vP?h+B5{o+9z)H)M;4jtc&5Z1G{zobKk>94V?E?YLDulR8v)`oy`QhZN&o zeC~JR^S{Y08HXL({vt3w9yPb%ZbwqUGhTevrv(^*aUG~?(8hc56-ZE(mTBTw^WT~A z#{`8t6p)m6C`?=k@3@Sez!0l*0#o5K6z0PWMAi~Cs{qX}vZ4j`hS`X)h?|*c1q4iz z?=o(-e4>S*V5c_UCZGg)T~g)&?5yDJHOSFp2E1Kg{O#G>6t?;@^ND#KL|7R`THNye z>)Af#RWIc~jh8c9%v2W-gRU_OEvm#R+UTytj-ZXzg(s&Yg9f#O>Xx>UyfDw$S2* zN|v{JS0VMUG4a`t-}x*IttwadUKlvZya6s1C$H^WE((~}fv7x{fV7a&TJ*());D`2 zo*%H*Eoxf{kK@l|nXvb92>$DCmliYWi`VNro+I8ypkwgdP&tm^>`{$`yX%tRj~zyH z4wNA=p6OjKrJWBUkK-gpp1S&9`i{1i*nV^gI0BIU$k=Ck#Xi?8vt}MgaQ?G!@ZHwu zva^h{wyxS?wD&-9g49%z-{<+CqBPaNYcH#*ytb3{CHNqzMMskQY-u7D$f z5l@xVKQCGY=fFtk=M^6>B-ymWOFt|Dly_Mki_%aIyoJj1Fjc?5@eNE$(+9dNw`<0? zG_Tryem^o!Nl4`WQI9OCJ2>LI=kkd8RR^33`a^S$o1w@8`VP_ZLzR3KTJ#zN#8Q-u zenQc8j_AJTt6%#TfCsl2m`F|IV?l@5?HRD%WQ-ar+rlw6pJ7LPNCUU%@HK`gEfhT< z1K_fbyge=uGr!*)3UUYHkpEaOW#4XP|GilSIJc4G645D4X$Qzs`Xtw$<1UdrkKelV z924J$r{mh(L`72biL9A5$Pr`Ul$#;~xWq?B zECZdu2rZ#$+i*Y4)n)W*T@CRQbH0L%n{82g&**`PP{%?7+y;qC{`lG_?jJU-TT-`O zY<{tbVbm)Vt>BXBnI6kwQX5%M9g4q*-2j@p4}Jguwgxx(u4`J{0l%(S$nNTweu0?n zT6IeiX6#kgVQEuQQ!Z29KMX!Lf zq*3v5hcNm}OpPCxVKplu>zH45Vcr~nc9@1 z1xg1}CVkz%u~~mqB(|binggn1lD+T$S<7pBInPmbt$Jgm)w095CVi z3kUD`R;@jmd7Xmv{_Q;hqCcM*nUMC>OmEewQ-SBIkcXY#N3p2FXp@6Y` zkW_1})jx-$Gu&xk=U-w``!+DP1gtuRxG%>0KbhedM zrxg(9v)2OC-+`p-=As3p9WLilhV#*{{SL#82ltw<_I3`V{e3W8wO{IiW@A+ZO`9?^ zP~fw{0v0%5myaI6J$8Kw=i@3_u`(wd+=$X2LX{R5GVzOCIuQnkf-C=v0ps;EF5|l; z6tP~a@Hy&PSpwJW++W14&ZMAs)6CVvS@Y`mnY~YGGm~Ak2POliNeo>_0m@|}ZbQdh z#XIAbxq-|BdJp(BF)IBBb6&?v1mJF;t(WQgMVOg$h4(_oY@0k%sIT_Ri3l*Ov~|%sp=7I`N9z6e~1^u(Z#$%Y)7t>L55ec zTT~I+O-TO&&%eHDDRwpEd6Zi9K;+5Wz88CKe$FeAe5c$)P*6hj6)^z!qnQF-ib9D+ z=;;I3mXlJ4HCnK$ZiwA^o}QHZ`?lGx@ic+G$@wmia!D8@rd$F%f4NP8ui%aD0^j;^ zU2rf!py@14;J2uE$Kl*#KyH&+lcpcO&nIz`5jNUN&GUn)moA~}*j=}I$mn%MHo@R= zmU z(HRu6^EQ%W`7zC&W1sEPuNF)t6_TeNWVh_z{hC=-F$&Tb2pQ1+QwMZitZ*3tpO(lp zkTR4Gl{O{Lh5LOAqh(r)a_3e^&+6xWSSh~Z3dQ@(nFANyA)>_>jmuA7JN%ii-3Lpa z@@XKZ5BXI)L32#7l0TfPKS?{(vA_=ab!G@PQPGGEB*9^9$Z$IF%{(G4JjH zJbMrpPy# zhx`R$_+v&r^LjBIcS)-*z;{3ZPG-3q)_b?*Y zxz}IU$S=}t%eJ)a8?;{d3UXn{BXMuFFvLtFd1OZMj_S{q1)9JY+=f)8%uAI-R%-b! zuv=W#ZL`<1S-&JiS9<1nX|?8SMykRoivAdp|L$_C#UkikJ$h)f7|`Q%PgQUTr!F!i z)hU#XaWwkvkrJi1!7IlkU*yllWbx?}7e2%aZ%V3*F6THE9sVjXcodXsM1jew z2iC522}I2Y8A0l~Td^hH3n2~#n$B!(cwvqX3h&@Ea3+e6JNFYVGMOZjS5rrPEcS}O z{Lzd%v<^@V^X#7&DH6|SgqL60cJlhm4DK~RXL8@Pc}Q@i8WZZop4kh>srbrxC%it? z7~HkMPf8D64uTa*S*k<@d;8Ubhx`w6L*bIeIANpWn#3^#ZXn!taErUlzZ8tz=q!qW zQ}%0)PpZOGjJKEaGXBb=hC+_-O|mhk)ljO!a^h!kX>VKKR0_V=0hg*rW6VFa1|P&& zv&1v1DTNJk2NW`ML*baw>`XR@_&+y2v-KRvN9JFn=W+!7#N-CF6Vj%5&|kiLpu>Qk zmP>>lVz%3A(feuUo!Rc|*DtvAR8@%zkkjBYW(oejW^7kSarBa9AA7Va-A+vujg0iY zYOrv+hZ9k}{}oGAZgQx3jEU?z(Vls~=m2L&X3&6c+#li;;-%H^rdTT^i4*CWWlF@G zrSP%Wqp}mQ`t0LNHFVc7m=bJtZ0DIQqB8vOfy&6rJ8Cy0LwHj&ceNW9mo@= znm89;uS6Z(BM}`D()%A_>f>`w`m&E59b%e?Z@jik+i^Iktz|tJu+zvD=boHrzz{uO zq9qfFA4wx#QYx~wa2Bo2(S)L?zf~C&JyuM_6S1;`8r&WVl#8)2zC4R4L`TjdzE}rP zwWYoW{bJ&pZgw5A0gG5ZfS?~!AN3Zxq_6Q zrNJ4#q3h2-d{K^TApXc~0&&g=Q2AsED=NgjIvFmuETmU`IiE?X<>+wwgKOf zd-i|^uHeveWEOD)En*F%K@bp;1RxNeXR9Oanu;GpZ(}< z=5xt&FG%pW(weE*}-Yo-Y9-wo*VW_rKY8$tFHxhBY9s z&&&%e+9O)~O-;2@!&zGW`dF0`?A4|;(pgqg8CMSL=WztLx~9JA3{%xyJ+8T{SXCHH zUX?&sdf+H}v!yyBdRiH64zv@x#P4$hyLpz#sR(z?*}QdEM)ocd3t~%}N(Hx_Uux;Q z&E~yP#&H}~?ETtTa1f^IW6Gi(R%5|<`nbsV&%^DUjChQVYD#BYaInc9i~=gaNmtmD zB9^*o%IFAHPMTIUD^6TyAhWlA9EVIi)moi(QnC|FcKtGcSuGBQ=La ze4j3ZA*u+*IgFX;u+DwM7k%7hInEM}R`HiZO9F$6pQ#F@hF7(NB5cz`*vfrY`pR7% z1{{HeavuiR9g#Di z446*-%&ST{9sL|7_~q~JI={sc$TAPP$bBi~dQ=6%ob|V&^&~awtMB}#J4kuYlr}n* z|3KMksMV?Etx6m>I|e#;Q*6ZN$Q2(8(;e9v0bT#lg|YBuN}xqY{{e_J*Nw+X85!@(}Y!bgLG zU((M-_Q5uEq__L`&G2U>lKWGBL)A?twe?49be|2O#XejbuA-6f+`{d{=wo5IlW61b zW1dpa0;bFJJ`1aAb6*t6v4r=T-R80RT7R;Dl*W&CznhDt56h4H7jOE%%=DIcvD%7= zIr!Yjb8S|%(QwOBSBMUSAJ_uyD3K0>HWhgTUW%_BijYOYnPsD4A9QvNO{%MCu*hqS z8``S+6Ti;jV6C0$dh$&j&UDM-^6u8y$h@$u;xbYXUlaX}XJ{$}F?2joj=jEC^}p1l zt)NZHcT@Yi@3tq!+PLq#zip=Bvr>Yy@W}YQUC_|KR|InGuBJ)Sp#;9*U9x_Y^u|%$a&7DnU0ajx(Vrt9mkc15PpZ zpmtXUIwT454H>&lXkVOPLK}7}ba=j4ymm3?oc36WEEe`ModR!zlSm$u{lnHv(^(kX zpA6(l(Cb22^%p42Of=MC+BkA^C(PAv-CQoA~2Q zAI^YIL0h3j9h=Ot4j}*1lPGJ@SSc@g{V_#Sbus1eOQ5bBfsSCuI|$G9UJ}L>m4Dy+ zW?R=yY-Nk_TyD*dYOq2PV% zGxcX4j`I@1NXPL>dp|mxKL361MO$SwS+Ce;i7$~lo3k(-Z|^>USS1{yEob9&wwXdb zS*vlBB>g}nxrUYq$z)-T_NM#tflRoXZo33|0j0BF(q|)IBWEPE^Pnoafvnf~sd|mY zS$M$LJ9!1xl&^|^NA9jiX2OlHmot8CSG6Kc!(Nd*IyfJ8Z{|WtM`+9S(fI7E(*P>W zRiGOyyAaUO9B~Kwyrs#>P6Ce%-b8Kc&ebic48NS>ZUv|kv1+lj6=6*`0`QFBukN7= z*&)b-)R1%VS0iSRf};|z!>EJ*)m)>3vTn|Eyk@=9fc)(rzjKfT;FH7R85bXt56hv~ zXF9f{5mj%#7d`&819+Q}J67BTA-TUTcULWJH%)!HY``Dh;MN=Os-nPz{52>KjqIcF z0)L&f2r@HfCGP7$>4391FwUGPKSd+RRi4LHaJeLhS>A5Si4pUoQ}Zu?3>C6bK}KrF zAn3lrbui0n5TkMAYLY-Y+no^iMd;P!n^q!j0UO;x2`WnGUqGpoaVrp%z59hAQsKXsr$4+_52Erc^V=zz;WU6bUzdzGY?Eq8X=| z==XU?fD&agNi=XqPIwvnk4?Cebqn9n^tog<#gdwUdJqS?KU_xeh6B;rOjJLx& z=C+6o8|Y)f--nV%RiIqO=6&8lmX%GKvdEEfeH+A(I%AObma?NSA!-@9F5$;tBQ(o_ zPB(EoxcI+sp|qrt+D&&4C0+8E9*JT1@!qj4oXW>voX3E z9THL^0t1B6AdO=ph|-J(sS%<`jZPJrA~DJUq!AEMVze}ZG{Ok!l5XF9-*bNdz|PKf z&V4`E{mBsVNcMqzP(idwnS$zFYixVc6VLXdT6x&$=#$&sH@`#RYoFr3q&b~k5<#Tw z^Ro`e7QrsNiKmn9m`^rd9z<-CcKo_U@=c`Xeg~P>S!(LkgdRkOwsZFa_!+yRsvhaIFhCF?Vo! zjhvNvpHu5bi3T)WUsa3G-umrbhxHW~s%H)opnD$DCJ>`C=CoCQwhBUF9}|u{g>1_X;5qSUc2duuO1{H&O+&@|9!QZGsWwN{Wqwt zaF%`x?gjQKX`PM$3bci1&FEh4oM68zra0@e#p<2>g=vJH1L_Px^3QhDTf3_E$EvLbQp&HDz4v)w2WWjlVn8xu*XuYD>A zsrdC&ZoZ9jb=cvjHHtap<>GAUDVKt;L)@*x$~3<;AM$Vp0?WVI2A?=7x+jn-q<30C ztk|3;e268-jy);P-O8$F73u~ z^tCte$-LK}jd&xi0{^_7eAIk4+VmO4EfrGRM7sfw4T{aMYX|knN2@#^@XM|mD)k&J zuoyiKV$Z5xo01?wKKFPp?#xaf6^F7pH_hzGaLCFO@_Wv8;A!aC=)`!ffVk)@>fdJk ze2oOD8jXKXdnW;uD<`N|Gda=c39xH&z1`3b|Ett4clONFCc8!Q&1vSIW&%%GkTa~X zgK*#ghsgnwG68_AnNpx$BYh$1CMJO(laMuoilk-be40Df=+sosFKWP>I4YQihZVf% z38IuyOD-zCBD1)qiWfHG1V>?>0IYnpt!#1tvc-ts-ZqCDAR1ghbLVoEr_KBGNbzP{ z%exj*AC44bsMQncmeOuIbKwR2HYF3sT%3KMeYh)tq6317nBE>kvXD{v>bUsO%R!0G zx6{!j^qrz)u77cM3INqDBRUW8(SLkV@XNHtseazkv@S3n+^5k;?x77+Lz&{^tyg9l z&t}|h{Eh+cQ*ZK?i}OyP$Y!suOGV`Inzd#?S(0{EJ*qZ9!G5ri1}N`z5XI7Ge@TQHQ(%4oD6ztjA9 z_@cZj$hwQBXYTvE>X!cZWjn{Yf4vrWTu9M9ahSh;LnSwx%q5)udxrhiO}cCpa2+T=1|DEO_IHx?`g^sFghh=0hd&xziim^5Lpr!YIJp6a zDCm@DN_#F{EQMMVbj&(9@8y(6c|(Vp4^xL0o`HXAkeU zA(254B+=ttfTbV|XI2ZqH>7cCmY4#Xit+SEhBMdIqjEe%c^=j5F%G%dIE+!CI&NtY zEYs&we7fW~)jXtQvh|u-$a6V*1=`lG?f2&4p91(Ju$`Q&gZ_B-pYvhl4vaVC?u<6K z)k1LSnIuV6A6-+k(c>5Tvw5AtKd;`Waq$B*ct0cV{EKXotc|s(H0)XD`}xUA7W__i zN6SElU|4zobYr+Vt4a~K_l$fQDV;s6s@*h>E-90Q>mPb9<>_}-B*~b2wxMFO$Ah^Y z;ih+P$_@_!k*DkkrAOuW+cT~+e0}`6&raVBn1zq0_8Y70kkuaOpz!PDQR zkC2ge9GJKRxZoebXgOyMF~kDmSuimMKpW}@Gx*(^$l>#?i|=o}7>WB6_5u7EO7rB0 zovl<1_&P=c!+t`tda~9Lp%31xhzERAae6Va>Vbk~SPk0wMZUhs(JLw>2c8!Y!a z84g1+Bw0aGH&iYSlfZZ@Up)Z|j$Gxd9JvIM;SQVYXVNiE?0y^oq|k2vf*1NCoZ8qC zUcBBiPnx`N2GD>v*%c6(ZAeKd4Cmv;ZE?$ z3l8L91;upIVom$Z*rOyVvWzCcBGy2WQk$V}fngBM;P*_*CB*j9(fIQhox{sA#kr|~ z-{Qm-50Cq*mLx`}ePl$QFPEU{IhpaZ^J_q(;bM=V>}|Z8Iv}3tQ?87SBzwWjI>O%x z7KQ2o)e{Hdxst?ZUHx?r#?M_C>`uPBM=&ygor|wir>8)gZn%&HehA8~;gHE9sgiq; z$dg>Wh38&oKvVRk(k6LdC&d1)tanH)O8cctiaY3_`P|6Psop|Hi}$-5mo9TjJYfGw zHYOxO=DVCh)Cd!H6BL4Xv2afjWAYUcu?>wHNfAy7CU4dYA>5;;vG2XW(dR`nLrbBm z7>4s_ofs1Wb7#uhqup15B;5AWl{tt;D;>!B4^}&`vwD~>ZLT9XaUqJmu7R3x7jGhP zCDjtx9oB<<7!J6vvVA_A%mM20zhw&|%9CAURwtyf&jB1lrHSLRvPF>_oZgN*R`z%- ziSO@I~s$Y`#az1;F)}$3x8h=#NP9lOhIKh#Mjwbe>P6{ z%GkoaeQLRH=GgH~_68B&9zK?GP&yrF^Z=Q#?+X#)C>Nkq?Z&L1;vcuAqIji*TeP}o zZV*~7gMWJbl9S}JjT8S<71szn?ykUK%SAim^ykP5nx`H^zbGW?e6@2Z1D1_af988= zk_M9|Ka*tm;SbgW!dlo6VHcIp$d-RD-<8)+X7f*q_RqK_Z5BQciMXX0OaUT8%{bGZ z04eO;TjYvh!Y{Rdc*XY$w5h)HfU z4qwROAhW(}LzEa&vfnruU8iap!ym#?-N$xL+ zq~@TLm(N*`lHY)k03F6Dl}#!)huvhq{W5ATv;1SEr6m5dKq?6@R$*@4$b{YOpr4%A z`IrPZ#)xXjDR)Uz8sja4Yv&-FL_ z-;z9ojgNX~8t5oGy3xyD3*#2t3aoT`@1-^pGAavvpWq@ys=kC);cJNa88(bRL0RjA11g<7>kcS1za0)=AcjQsrptu@763g#B+XKj zEBt!NH9mW*aNa=@|I21kMD78VIKsGd&4CRNiNSL46em%VC16zVFPW+STjsqnuR@46 zC0ppfjo{0PLg&cT*#x#iGVNwzpGWTP@{W0Z z`ze8k7Ju$n&mrN1sxWw!QNDk#NiM4XQ{%>o7266&s=U&epmA}JF`VW zj`cTkpV*SsM@&I!R|ppLV^^%IXq$h-rUm}CGnH=0tmrWbhm!)EZlH7K_AQxt+7VR| z)Qt*mQDGr$oWM&^K`3Y)lhQ_#OR=f+48Y=Sr)an67`Y%^4Li(OPfMc~0 z_Ex9He_C@nzg9hH~t31fvvqGq;lSM@f z{_XqKf}>%#KT6DZ&9snma%Wr41WMGe<(r--U`Ea`qcpE~XWLXrp^?_@Mtk}%N+}`% z5h=P8JHv*N)&y;r_Ygv&+iN19Da96!QXF}xT6AG_n)|aC-X7CG!d;*=}o8-a!!MNTbiD(>8bh zZ>RBx`eUl=N(sm0c()evjJ|sJP$GVNq=Kg4$hQN4 z-?}rym-eF`!!So>w8=&K%|1sbWdCxVgwWk6aPq;Iv2v2daNYQN_cp#iN~#tVB_|dU z(w;$<%yXbXfsWY#5YA!G6Goo0fJC6dI1c>f)Ury)`ojyyOGH?8{{>CxlHuUHg?$|b z?$N``Yfp1J4=?gT_9KHgH{_3nW3SVoXqXqnl+swj9VZ8SsN$0Dj?dRyS#lo)%g?xG z1W>X+Jzx2Iy36uN)P3u}$$f6A==1xZ766L;ckyXxt+9QX43|;D`lKm{{|hn~jOwO` zA=Y=fMM5*(oNWmp(CXNa z;Q2xx6_kh>xs8YW$y}bO<=msz5xvg2T}lG~-7Ehf2AGRy*1JecKL}~NB9njxvx*5U zMiTg%%$wAl{muCkRL6;0kAC%%v1QzGX7?Rmrd5A(#DTmF`_J7}3fwRg2mAS--uX0)%RByFh`@df;xwvg z%}^}tna|Cxp+Z}nz*zHI^=6)Ny`mKls3T{sbW4L~^6qxm-Ye^YN8jflRNtr{oRfRD ztb4;q^ea2JlwN$*K@fpH$Vy1S=mCGKdorp8=cRogYu8%=6C$aIR4>TIDw;}XAjeeo zcpF3S?EpfLz%+S3e1s3}v!{mcsZaCwHau;tM6I*VOK^T;mvs!~ik)EVlJDRZ4;b#+ zJtON)(T_c_Z+geTIPe}w20h>}j!@m}T}~yavkij|*OkVwgXvbe%ciIFt z!x#!w;*MkbP*%0eMQ3H{Jl;X0Bkl34!)RL$);FWqD{AHgJIUgBnSXAmqx!gg3xpQ+ z=5Loa$sW%B|5S)8x7T+BuZy=PrkXRg?Y(|pr zOC8MMb`PL5C9_w%nVI%R3PXd5|IIkr-ylbUCNnC2#m+uh@$(RjV`pe`SNCDyo6w1y zWQ5r5w;Z{$1<*gE7}WyoGHW(IBL9XVY*<>%q5-D2n1)Bqv<-$+orB6R@~{<|=EM8i zoO|gGsYjJT<^4);;EreQMRvA;BG)Z7iF`F0$$in?YvYvx^heNVAmfU6jqO1guF;#T zu4a@9I>l)M{j>-ZWmVHzmkW^0S-SQ^r6rlt_lf?7L9!TyLlq0%ipDD%@K;j{`eznu z9^9snXCDPRKPtC_Wgc9OnOcu}%J)*S@p-xHe=7w|0H-hmg}sL`oE^MEik&$ODo)8N zK-a&L$Z>Iw$u`;>I4lYS-r$SzQPMHcHV}70a>##rVi^uVh!D+_5X~`1S;|Xz15tud zPAr{zgRC$#6;u~)%|+MKutvA}D?%Id-#5kUIcF@%@zvegdo0c`M|M* zLPvGBD*FhE9=pdh!jD3o5Zrq*_JJpdZdlT^H4}C6n30_s7YC|77NdK$k=$`LBSDd` zY&V6&Pr=&}?omx76?oZ)36rTD!;k{at1#2{7Gi%wMWUr8`+!&oV!SVwEI84Z?EK2y z?t+o1CUCiU`u4yrexCbZ!{ooVJ^Rzo`B3%&@UVD$@&v-qg(?XJC;9u0LC6VZT?sK0*szl>&o#x95C zT~j6s3MX#^*Ht>2WZCV)@0Yy-6$F5m5jEKwQvDMquU8wR;!PRor932e7Wo*8P_22^sg`q zR>yp_2%jlJ3#<5=mKvU(9nKRMfHsmVR126$LOBF!>@$xv!T{8`Kk@h|v1Z^Xgl5eHmlt`ihW zSV~|3YtB$R%7Wl3)Wwfq7qwoz2@xGhGA=)xr}N7K1gI5iX%;Zi{5x!KqWRH$>+kYf z@;aVE_k!)WV*idvk0*4`|5>Wi>*3V+DD5ZO7ISXX|C!;V!%IKM6(&aGhG)&EY>AL@ zIw%O0xskntZfl*dSUt|mK9~B&(^WG@y!s+hBtY z7FdbAPM=(n0eYg*0~DzI&J&|>$0^wMb$Lp%{VGl>)np^0kn3GPDlPaQS43mj$0`3( zM%cgRciI-r{#}gLY-pYwG-nF2wfn6BJ{>sdve>YUq`X6=JC}F)<2khG8zogucBQXC zQFV9oC(KRD#Le&Xe4kac@!jv{nmkIEz7I(9go>q3KPeCOEg$hZZwj&&X>_y_syMlI zx0kfxI%r+5804~=j3uvd^rHgNCkOTk8>0XXxm%gWYS?f6T{;jgQj-$jK-Qr94W>Xr zVE_t#BBEG%B8n5I!D%q}IgaSekAIf3m#!O+|BQ&xw-(C+2*GifJPb8ar#fL@P{`+R zH$pa(h`}Ne9=xwmV(N5!lF;0-r~?fp(?f$LC1i}rcX2OO=gkvQ=@-hl57o8fjmx=_ z>S#kzFmf)w&00@*M*%1xePgLDS*ZaRh2S}?EKf!((4NZ3_V#Bem9*N3iCl+&R*AgJ zwn44$U3~ob_Da)ji88voO{Y;`ALj%-_LiLY5>Qlw)R{-sSR1`jSP$hAM9q@5E%8FDck#UENR{9$8?tle#z~= znoid~24D6i$T%*>?L=FbXwIk4wj6lx(6%njqx1{L#DeM~WkLjcAkG4@5KL!CmBduJ zX7uer&96VhkA2h@B?@TcZjF!lz(Q%84iLQdWa+@AGK5V0btju$M1o! z8Z?Tj-bU&)&u4^ zXHqX3S+8BLxNkhT_DGs)&ITnIkqsbYb+*MCwHUIzl>=0W7*5Fknh>i}=uo&2&}Sx; z)*De5d&sx6Of%>DgFp5ULo_iTey+S;%>zGKw~YC=J(j1jE%*1l_yK2;LkjOBUYEc1 zlxS5wFFr}flDJPLaP7AWe2Zj!LO=S$$7;Q^>=V8owX2JTUXza3ZU^<%df9%t7F9ES zY|rbc^RhG~a_RvK8jK#}!XqzYjuge;!HS7EE%Bo<50UM7hHgoOkrK+wcjJ8WB!gX|8jSQ-ctZ5)}V3!Mhu z4|*2K=@s0D@~8bir{z>LP~`!NvB{J)n5p0m0GTQKm74E}rjH&Q`C?MbzX-wrJWmwu zO!dNjN&CBK6>*^oYZaFH3cnU<6GA0?Shq*ZXWsD<`H$TS)g8Pl)RrMs94z}J#qCkx zc3n9o21}XMJ())Oj%oAS5%;qszmicqxTe~Pe!68#6uq+fkUc*BZ=AJQpDri}IbP}g zIZhAcV#bts8>))Uh<**9B>DW8zf1YZkZk0;!rIVOuVfF{1G@jBR>RDHHMgfFKi*>9 zNjPxs*-uH?^riE`mx1KCzK$cEjiCF)Urxg(^Sjpl0iyBz!q9U!dTp`F7{0(w-B7xQ zdNQNEkYg}TkADL+QXAYN?sw#N^_GGp-_!Ge8?GT2$2S%)ZPKXuIiyyMaG-p(s{Ums zZi9Oy6c<81#*hOp0DiP6duQc;u%U6`AV7Znfs;WrF=bE2n@R8caeXNRlGNo88V7UX z*OKW|@1}d2nby-zuq7BT+uA(&y$K=mU$32nrp9O?pzcS)A zG}t*(=4+rMv@cCmSH5M{Pb#Cw0bT3@H0=8j9+C(-_sp`P+7wl-!nnc#jPhHlQN-7B;=Mn=KEPagpa$71g99Y8=rSQ~N z(v^Q*T3O+aCv7xra-@7e)>I_I*EuuOc9NtDerlR)P%eiJ2JCMBx%qo(=JTGo-xx@$ zx_R@OB2DPyv6L@gKAFyrZg6r1|CIE9BYJv&YWQu?3J>~7Nt6`d%@ltBz;LS9e5|gs zQVNJ!u2Ja^T_U)alPi|89No~Okdekyrgh9!Z`ApkdzVm8Je+j6Bb9V+?QJt%i&2D7 zQ#;bYUu*k(6DRZ@-xen%t$3oR=c&w?=gN=F_96FarHMSjh{kNKh11p-GJ)3#s#&3Q z6tRb@ONg&WsitRDv3Q5H0lQB!80b{Mw>&_&5_pM_AV7)+R5qyg(j<9f~CK?QX+hkZ9(|3kzb! z3?w85%SYQ@Jm?bUQLaLQ_a0ver|;hkDV zyGod8^+PY8#3ewPE#(`!xFrl+C2PZN7|TDbei&$^BT=vGXhJM7+sGA({VaJhbwA*q zbocT2k}?T$t}K!lZ^nF18v)TLNV)!YHq=N1!kWCBrhag^7pZ^=?YrjXF}IrC=XBqg z?cAx+@chzsU8Vhz8C%*r^V<#ADU1u;@NWP9+Q2`tM|B%g-}Ja7m188CnjMVAeqx@y z6MN*O{`1>(v6X15{7H|Z?2*!s;mBi>lSXmQ&dzVw4;L$jIq>m5APG-1;X+zrttxPW zlK>SRL+e+V%Hh6ln?Gs*ml6jx7oC$YF`f>-l)^w!`#+W9r`qrDSE8gejr1x9LCUFb z_hf{Tx~c(KHc@Fge*3xiLbTXQvX}+M$-bnC$s$urfKmiM;W2!0GNcKB)iG*Bcj3YJ za}|0lK5wRVTGI7LE9ea=w9Y%1hCnKE_YR_T+=%_G_^Cw1^W9 zx~Fp7O|5{7hjCY$bhJ5;C~*01j>Lu4PqowRSh|*ui$*5%lv&HNLaa~ci43Q#O17M` zpDE@+^4Ed#p(e+aFeB(b4Lqclc)w*xUP*8V@Cd+MO4uryi}T)w+-n0PgGe^msjcD3 zlYSV5b2{UAQl>}?8rH{PwBnDcn<{e_OO5LOd{qmo7LnXbW^^FCB`Wpqg{j_;FFk8) zztE80d>vF0)*%)juJN*W=STpBu>rj`n+OFB9FbKD!5AMr;UV5&Q9YiD+R*h}-$2v1 zQvDkd<_(Xy>Zsd)wte{egdI>&bR4x}9>dSlJX z{D?>FMECL2$~~5$MFNX~)!tjO%><1*b~GoydV*F$(!A7Vm(oa{r+X&c?p^$QlLW^O zEYkXSEXxoJH!Rv=Y#{Ve0P5hFUvch)aI={&>=$|e2w^Fx0^4kwQ<50%@%)bLp7 zR+=vMLU24?t{SJ)IK0sbqxjtmkr0a{QB2y4hk`^1NvNaD?9Ka+fIsd?Ef zsP5cIslV|0VGGXV*5Y?-S?%wjnZ% z|4>{U+Y*(v8MY(2u8fV~$O8T!xcjs{WhlK#KrkuqcACu@C9p-f#hrJoj zsTR6tWBMn5V=52S$Fr~ATzHgkIh8NC*9eHLpf|r@>!5+J>mi-NL3tPqQ7X$J*~$Lv z%Yh2(t%86}qAU8X=%F;;%_@l}|J!O``7Jq-%t=1H8)H{%d+2AgN6zOqz_&Gp`-g#N zk@MuLhgn?lxj(*$X?EbEP3K@QHk^M8s+D7)yV%=zhHNEoBpQ=%pU8ognlxF{rEQn& zIFO#e1)%J?czqquA)PAq$!|O*pJFv(yh=JoX4|AZm%mJNOf=tp<61tOQO)*A=$6LW z`p}k=GsrP~R00NV`>0K|bpwn{&6~)XePS3IeQ9Vhm8{i70l(GyMY>%T4scnJ>p1;QjsB8?659H? z9DW-rO0sE|04RCsVkE55cCDBx-riw>QT+>5@wWIIHRNc`_vC~iqYRxhR3lKbXb^5X z9{!Lhfua>grK_2*^5u{)H-?h{`Y>{{k|b1&E^neeHuVQ(dp<IreY$S%dyohECAu3LS~AVdU+Tz^e6IUTV@OuoxQ(5Gx#%DE}9 z=_BF_l%!DjZ9Ke+!bq2A!)}MZdun4TaP*Y`!xJ;nh#`1F*u;_H;+aIo(h4J*YNqPm z@y!gvxx<~O1czIcquVprC&uObopgp68N@E{Z}P&$3DqtZU3X|=B4vgHm=*tFZyEH9ZqAf@^kCc@Nsc<~E7@Cb67AYk zbHAroBE+z~cPaflZa`_041a;|&1>T+r9i29*^iYAktA}UW3p5ezQ}HSEFHo<_#Ox0 z;P2knu0#lhhO86Tw*Kx`0UOq2I@s)T?;KW6r?_^KOM>n|foQkmH3X!39PKoyY8-+> za{2dW4)df9D(zbfGiOCdEp6Y*RUzs4~^?{#~* z%3Jg#f-FXcIXv#1RgN@PLHzT11WG#C&p7yC+GBmap(kWfG0JQtfseTQ7bpF29sl(^ zN;hc3f2VAr%(1xxuxH*{i(_&p<$FlO={uB6D5(`&sOY1$iU=>yMlkg}!F_AwUXgL7!>Y^LVTHVo?3;{%J-E zs-L1gHK&p@{sL2EP`*DaKooOTvXl z`L?Od{voNUBxyBmCl};-(LeocVj^LoJ})T`YCeMu`Q*J>+P$sl`GK6T(rhi)AoIC3 zi3e!DEmZJBL)CaA79lWLve>@0yDVkOoFtdeyW1*^miN)47+9p!SKy<<`rf(A{2i^6?7g{=3ON~1OMn*fCZrGm-ixJ4FS;&FtlfVY8ro6q=WGOp)6W9X)v`-p#L$wSUfYh`CT9wuy z>f5HZjgOiE&Zd%V%~zHG;zL2t5k-IF`>U!a*Qk3t8mRODI-n4?D-$;2SN?6YZFOoM zV#Y=@!s_P06ga16ISi?B!ezL2)nRMK-5jL5y(cED%D**_augID^RblN(5BWLJ&&y) z0AYm~0!9;Co>2xGtO&AD=a`P_=^_IKnh7-B^0`(;RC?FhXW65u&}XZsopujS-KmW1 zA5+i>SHzBLWjd5$)IHw2!##@?HPfsVq~D!lAFjzK7xQLwu__nXZu(foFi|J?EV8wg za1Q_7<^M@6^St@(B^OZfISV)xHSQ33_GaakulF?e$E)Gmz~-kJ#H(^uHGN6GFLFKR zEm6%1Nm7YDotjcWRz5<1q7q`%4Sc7f+YlZ?^0_sFeHbL5omP>U3MGdx`v`CIl|)$e zZ^mj<+svq*Ro-K@PFkhmA1z*zl^UeKEy3Ip(s9jFXxomNr)JG2^isUz(%))qb_|5< z`!tXSkA$FJfBi&9U@l!>lrlYpWgEI`O>6VN53&lY&Lz+u~oXhU-z6p7(fC{ z?96=*r4NM|=1pjvD+enwIzA<8jw(vP8;xTo&o_nAChK~Vzk-X7ZiePN+3#oubTpI8 zhC8Lhd!lvmTX;Y7U^*i z!$;?&#?d+}(~n{9-~C^3xPMm_`PmfcP=wXL@)kao0|q7vjB^X)R@2_N?Qx+vNE97n zDhKvoGY6}uuYy|G(Fqc4lRS@Rsdk8JybkB~F}cB%lpF046WtY>PCh~8#0zk`-ev>wMJpxuM};> zb;n$i*ya09VtY66mutrdZJiJpuNH`7d}N$2cEwpK9g>b*}S%ofb}t?w2ANWc3y z%#Xv0Im(xi_C!_$96#Gb)oH4Z(2WyzN!K!lByv-W^SR}8;yX`oULq|Dl2__z&}WS* zb!;3X)M($E6$PC^PdNx$C9WowiVo0yAduW4`j>y3a+N7fLA>*wx7x!;8*iYDYOl}+ zYou`63KLAV>V+)AUws4&+KfV_*kDW`L2sGGw0Q^YKq6H@kHyD87`+P%p2Od%#pn5w zi~(RmNOlOMVK*dvp`x!G5Sn)WCiU}{htC5$0Ow!Wk77pfJER}gjKq0m2jom&UFkb>xqg>8tMR^u*o)w;XOFH9?64~Qpym6BBPfWp zRupxEB4+5@<9=_8ld)aU7!HfLWaK6+)t zG~1Z)!o%^ITr$tl>HFf(T2vYE#pg>L0VqJR@-@c4qIycwah(EqO=*d=2Oe zmyCAvXfY$RD=tnPC^OGS88b>^=!oKqGsDV}I2ft*%8WMY`-8itDfRR+@&}o3E09+U z4?##_uY-W*=e^n;@J0?i{OvtPG+DFGRje0v`H=OS4?X+2A z>Kk+PRSqh}=|!4*;Sm%2xb~j)jQ2}f+qhOhTSS5vd4h7V?sW6&5zf(1ydz(KTG-hd z8%+YkY$ymj(4eyFLi`aRfuW#oK|tivr<4F5GUa%5)mgUgz`2#JqdMw#;5V~_6T$|2 z80Y9LJa17dx!185RiX&ENbnHQdqlykUE6|6JiK( z5q*$Ho2Ii*NjMNsy;n&#(LUkP-`Jmr-$#+OuCHDD{435w*7&jo0=RP!9B(+TKS~!J zsPAqlQ#;VC1_`&Mf}4D@PI8q9Y^%43fW;HN2ztG#yAE>?w#n4}etz6GUtScA4YyhB z>7#!Z`ySqw6jltlWL4iDW=rRW-L{6{hAHf(7nasxgpX1rog&(^P5B@f$(RuVyi12$ zp~0Kyrr77oAiz>Y@e1ZIYanq4Iv2ZgppAG;9bP1h^v=Wa>v~=Ka+1Ws#*nxxGv?>% znZ%=7!!=#R>sg$q(igii1$iDbI^vVi<@>U4O_AB1M zqEn9Fc-78d^UI#%pcZSlvOT@s4Ig(qHbR$iI_Gr0Nd|q#D=h zAcSf)P=Hx4!J&>=vaPC6&{9CFVNtRQMBUh`UC{Y)4vMN)+<^!2uo!V#*|)A>(c zgR`eLmp=b%>~Tj@p{4)I*#1CBQK$5MTjcFn8juR*IS$ffL+nKYF6CE;MM8tVESZ1E zOQ$JV>Jx+B!m4LMcYF>TzI~u_wK%T~`aqHY;IU@D$H!NXU5>a?rGvhO3^#qS1v}e6 zB+3@5|FwtT&U;}`32BTGb=Qgy9-5WhpfVyCp$H(QJ5{$&0wJRe(3Dm3_7ay!u5fKu z^``t_6+0}0M<0v&kYuu)cpC>f?IiDOB@EiCDQFl21zb#1w|&gqSO_I&Ez-XgInsf= zeV;CnY!e%@M+;>H>*@c9e-6&pIJx$IC+qPqs~6A`k}^}{+v$bEra-L~1f$XPVwOcL zAJPm}{UlsvG03jB9H4IaFW7&Iy>Cc$*WOJ6rI|nmZO8$VkoegRW-D!srHDMiCKJaA z8k?Ypru}iWWx|Jh3hRQFkKC76+Wxik{1#a^8Q_OJJL?*ds^GTg=E(nRf}x}J$z zGIWnaM~T$|{pKhozSI^lcso$wzHCBr5z4Jz1i?JHueqO^;ll0k#@P6UW-&H!xf-!& z2p*6&1R-Gn?LTM6rB|->PgXZY;()89$lxRL7rz8SB1W<5#z{g0cg=}(n(p=seU3|A zD$uu?1_srGSRox6kg3n(P7Tb+1P}pE1Q3I?_~EMby~>Cm(tX7+2FV3{`(e4=c!xM;(R(ePAMO-d^Arr zzpJXcU1@N}SsPPue4(GVq&pd>`!h+MlK|Bda~Mn*Y&x29_e*9v*Q7fS?aNnZb6+cz zj+=KR>tXs@8Ba^H`3+Pc`Os7;Ww{hK6snX%g;af*(*{p=ZApo&^VjYwF%pn zN9|oOrC&b@g#S*bP-PbOxo=N)r>WbfHK|t?l>+gJ*!#KLBA#%3T6f1k=%jHa4I{7> zq(AAG-u`=Ik+!~L;ankHrV8%#_bsf&AH^4#+2Eiinhd7Yx7v+I|tVo^H=ie4b6Iwl!hMeG|3el>ppSG4()UM38-fcp+= zwh14A5vrRxx%OcnS2>>~wO&rO-Nu~T@wm|v+PxG%iOQRsrV&ozzGA{iI^mB1YsB;A zf2$B9=7MX?-ze7=p?wRHHj>aS=~DoPo!0NsVyY3d=#9^}{gwK|)stG}2C@st#2PR@ zc&-*gOn*9~h2Fwt2R}oIHfkA=Vc@GmR$b(>OSf;%_VPv|aqTDXha%(uTqjkB4YIQF zlI9Ldjzt~4&hZ;icpKB#g4xzu`g|&(UNT^pH{5$>^{bsS?3Wy3<7x?eBHu5PGw#;k z8qi!@rr&fIGeUtn+PtyAx=!(x7IpKwR7{`^N84H`NcspCM8Esv7j4wuzGH?C-Q?n? zd$1A-$bOK?ig||Yq#hjM!{O3Qt%|!)Jk@+muAV>vB5ay~lOUHwv145rknMWL;3Me@ z{}{kLlBY6ZSOz*$>$}m(Ls%*<`hE0pLOo||4iI7eCa(Iv&@$*N=6Bt2vRvjX>2%o} z#)hyJcgz1q3mFro8!8fW!ayx47#K5)Me76z%<3dd=~kj%JIoU%4^?kgYfnqX3ODLI z2oOc2&g!KU_+)sK#~qYl7L}%o*^V@0_;+boyrHtX5g%h1ZDM2&n;Kf=OEP|}${v4% z5iJ_TF}w9^W$ui@!0Gub`qud2_O3E%B?te*`#HUPPdhyeOv)I2A{=<%nmmN>~R4-`DQn<{~V1t~{9N ziq|Sm%&+UCMrr25<0#L$ijzWaKNusCKK*_7uU1-KP@~eDLVs&>2?Gq&4H5^=06ra4 zatds_^e;MJze$bS!f=vvbcN_F@x8*Jg?+VXKoE1m8h(sppLlM3me!r>yeSlFA|Ol zLZCxl5Aet7OVpRFD)2EfEXCTt2m2sMD^E_s3At=;dBM4O(y!85H9w&|(e&do)uW$j z2<_S6iP`Iipa3~Jm4gfvF`#pB_r5*iyk3AtCcW6EwSf*O!z7}BLJqQFIa)9oruC2(n+_3>-n=^hS%YI4PPc2uhKbhN#l|zJwn9BQ{g1}tQ zis-r!R1n(#19=wd#*O#0*y#B7`J9R`Z|d?d0-B3R5PkxrQ_jT4Pe))G3U=TNMCRI* zeHBuCgO(JdEP-Eh+^|O0VwokF{a^SX_j1XcIggG_D$+k~LG_(&N%q17R?LJq4BUZ&hIeMwV$8loaR5`eJcf$U>a{njdGAB(CHH<; z6rG^`wiE^stoRW-qB~BFe&2L|{6f%Z5%VF3Qt(S2jC|LdptjydTZ8NcM0Vp-IEx|?T!K}8KvL!C zmF-d+E?X{A9v-TSGezu$v(&sqT9mXfb^1{jilw~2`7#2oCw2ugRCAYDo~&z}Evp4YS1v(8t~ zyL;W|87Xneu%Tzy>fo@y~cECtV!Qc9Pi$YRyktK6;Kl{5O< zd|S#UX@AolO1S7$&K6MH;ltPaJn>@UF5ws+wY`8!kS;goqi()(g{yrM@hZvb!d<1) zdQFU+{3rO*_Fy{`K`#kHNPZgDi0u1$buU%%{7JDGX0s&&LK7ZO#&cNTo`4p2)lYRl zrgP-`Nc6AHuJb~^H#7HjMA2LqaK^IJ(5I(t$d|~!QphNAJ**LDeESmCk1P4Jbg-E= zc!z)K{p>W6DX z&9r3x%eeYv{&akbvPSwrj$VXiR<2?<^n_QbjPe(Ca<30_`&~dOh!j6g}Pa&-c8O!uVkk&LE0m z3G1Yx#E|%%^PHrkISGAWh&3zC|1m$WNd^0{Yvw86H%$Syg0RF#UrY6=sb9)%c)6Iw zXV=%6t=tbi>hpHU;%GOT=nZ1^o%pN`hok8tat}-*2=B9QnKnZrwRh+z_Ow;XX!J4G zGITZcRQ!qjyuqq{15shR>KVD;Nr(O=fcAkcZcdjPJ!a(Q=~vC+L4KdF3A6v)jn-lF zCCbBygX1%Hd-D8Y3)pCn#O2A~Hw2OJNndlcn4V|oL%?S~kIJL`_Reh8;a%+eLsu)U z^XG2@9N=OwCFCLb(hK_fSN!MUSr5>q7Y6A*fs*XXq}h-wo2nxWq{hLOTAd`%wy^EU zhl|T+0!;5O%8i=a2$g>~bv6$y(<%iT8)B?rB3q8Q(Z){=yQSZzlV|;u?@RlE_0mwT znUR2)RoNPb@h7ffnNOg&7T?v1^P$W=4m0@(?X46k1QbrQ^zhG{hR^I?_cd%nB(f7Z#3oHdT~6!*neJN%ZP z1wyV2aqRVbHEZXsb}X^`)h?S-gNeQymqKU-!Uj7XeD^NC$W0UFeyv$lLdrxia-7|q zRL9^*OxVpjwB}- zg6OKP&-5TIW6|CDLsSgTUlD33c`o#Z*QEF<&oDU_*^t0v-cehQMt`Z+O3eBMit>`s zeYto~QWm2=cSBqw7I>vmCB}Y-hQJC&anPUztTOKE<5wX%_dve_#s?%vR~qM2fBMOHF!>~>A=_$OEh@hD zY+4(??Q1BHV3^1t&F*#@i;3!sJy48riV=GrZ!Ma5f0V7%GtOF=u>}1v;|ask=H-1o zYjN3)!bauOdR2^3G^7sIvC zdb+j9o7)-HEQuLE@EQ##pow94vfB8GU?>uCzOHe>94%~xrM*ol*67hIKOP}FaxxF4eRU1FntjzI1)F_Mpc2mmGTuIsu2&FD0-H4B?E0`YfB2=Sxt*)&4@qL1N6N^7BL|*=$=8SBHSL}F?Oa^#b z`&OU5!#=INF^HnOE14isK=04f2m{0#ILF^HEHyH9p$$1k zmc%f!vIe$ZC|fIH^gMR~TK~RPDH0IN;Ztnwp*kKWz;>2%+#*;uVCQaxGb;|Dmg&#lOFirddO7OZ4bw83}LwIXmKwZb) zNfP$0*a7;%wZW9PaU*+6^m;L|3==uS8k`@^!DA%uxj#avjA4`n^sV*I1L95vmHmbI zeiAlHw`g#u>JjFio61zX8wy_U17SJeyADO?ah@g^_m5GCxWR3S_q7zRD#vK9#fNDu zc9!IXKjb)vo2zq`XL1m=a`iS`I;skj(6qs8FLnc=!^XL+_zxLCb#au;ije&q_h{tY z+&3i$^a2g`L-s;O`6fca+|3z73<^=-Uot=(ne}_E8irk{^E5nn?R_pnC=;h-@T)~n zKA^G${rOJU`nZa6ClepBLTniw8NwO%GW+d^;>cpmDAmp>*JQDctWeIj>~T13fGzeF zD@q#mnXoHLBTsu1Oh~&->=EqwR(@sf;tKHO%k2;1X7k6-R2+I97WbHwIfxxR1B&2- zC4YsvxvDugI7HQNw%cwV@qUuxY10G6{|SveWE0Pdin{Q`T9W-=^Xu(aM$}TfZngU_ z9A-2-GBGr>C}ZdeGy08oXGz(_dD{cd!7gp`Sg{|q(qTjWf-WQJoq)4n;Y4|Jtmp-P zOCc#}Rry#N&#WgFlyTef#7;Twit)!4WiN)SFb1A~5NB=r+eK6Jgh;wpr{07}?AQtz zqWzV6E5#Tl%COCB4ZEy&q(vXMTybd9MK4s@p z`Noa_YEPgyknR4>xX*C=m*G&c#1Pw-L!b%(4Xpvl%ffjgXwM@vef^}Xvw;F*!>1x} z*WvIeauJBMANT_;y*)Mm(02O!6Lj8F4{qnd|I<+jl4+|*?j%{9n45MSnHY?6c?u(z zU^X<0^s#esm4RCzR4yIdg-zoa6S(n&p+{u;MZB8E^2;P6)?ik?AfR)&ev2ZzRHJB> z1&uQuuJ(*(bHTUQ(?C35!u1s>QiC0TLae0loXHYi0b#F!&`_2q9PaMaN;F5m zJwGl81%X#?uKbW{VgQ6?c7ku#gY5~SB(Bb%r>&>U>K7Wb_}&+bUhcOA0}=lERpHft zfFdYL#z{hf)I(7RkDovU2q2B50?GN_{rWvwf%ew)6VRyi_ZY1W$iGHgrvcc29Uut# z6L76^)y&)&QKHWM=mo)olQ?e#v5{!|fDD#qfIx}lADnQi_6&|7pS_y}TJ$wQO7o!! zAb0^QKNs?;rIQ|~^n~oZ?|b`ulOq=ZsT^1UBH(ue^w`h}%so>PJ4=Ach6QBtk zNGuKp-yC`@c2(Z=8c?UyGc92rq?`j*-s+VR+DqPcJVPeF9e$w5s~&U>z?Z4lK$^Ax zS_EW~7##ulv~PR9=?z*$3hZH$-Y&WrV)&2$d(NaJqhP%p#n1nZek2Dq?dA*Ti9u1OyeP<;v;AS|{9j@DhHA z(j+w(&A=TqdYP!_{&{FIn{*}J)b z>3$_T&xlU^WMWhM#r<{;tYMU1EY{cWS6t)nI30%VfHZ(EcOSO&jxSL5AU3)$kV8+( z6xhc#ynt>(H4%qqSUkm%JU5uzI;Nw#DhK7{4qF!}B4Dzr1J}4y=dr}i+`ivC2S63n z9zc-Cwmd6=hYo*9)_8p~YeV3=6}2H$d*HkNQ54TC-=`Le0p$OQs$GH()6W@V-|@cs zO5k4e#H!JRPfR!+U|P~5RO{<>FzjS*oebezU!|`o6=Q)2Je2Y006K5b#}tjU8} zsZtCz%_-U6(Vqt0$iE?jU!o-FYf@&Se*6hSmqB|-kaqdSiQlYk=Ou6N=>uQ+b3Pd$ z&nixXpX*#ZtUy58R>HjBiju3uX_mU|Cedqot}9DR`7 zOUe8l%Bx>BxfT(23(%w6pC9XNOM(v%8%95t4!vq8oI+74I8EnoP)YcC73wkQgXm8GG8;VZ@s*2QqjgZd|3OIc6r*%tndx1Vha>qE>}Lz zURlTY)cu@HwQ@5*9S{>Twgb_!ZKO~-(bvYib~mzIOEtM-$N#Pc$eoRWk)Ht~gNFyU zPa3P&MOlZv4~6R%qmALOGtqfZn7L9AtvgB_n;|-0Gw!b~lqE+=)cVaI#S2^l++Zrk z(Vu)j9qqEVunAq?kh8v|uKcQuh(lX9>cchkO$r z;-rhO({7IqB=aD!#5oms$#$KZa4+VbjyVk8k_^~HTM6jxo)I6G2Pbp0!g-tjbj{9wXpGV7i3)g?nC!7uh6Xpe0fwn3p-N1=qF&=8@j0Ijv+kqqw7eYg zZKsk56Oje%bC27IAgYTJ_JnA5i9kR->B;$Z_EKrCM_W|6hB`)|8F60Qxjl=%{SoRACscus2Af{9y2x2u)ZKsgR(4{^MrwtshEVMI zgHcj6*5NkrEj}7h-B(Ci3uKp}4nBK^sa>O6sbYfTmlE`O)%d3?9jUIfl0YzZVWXTX zLpGP0EQx&aQ!`+k09eshK!*M$>)!>Sm&aX^mSe0DcRmU?&4=Wh11ZZvnWa0@3C?gl zS{R4i4q4_|XY<%Skur9s@0H0ASi+DLuy?9a1OI7Tth48v-bvGWx_3o03`X

      H?$+4^cHDB7(7y(v!B*+}!Lo-9t&wsZT8!?06{WKwnJFnL-V^eH&z(NMUdVBuwhOn^P!ubR zZs07Rxlv}!!FR;zU;84o^3zjOJG@^!daF!nQnu+gW;_{LW@M6msU?+7X}PaiU(aXa zMHTC+4l^@zM*+41;1D-Z%^MuA=YvkKQW|%4sUWsL>mr71C8&AwoECo9sBe@&bY` z@V0h;hONw7Y2@Z7Z`hRAlxg$ILMI-c^r)H~Rw5NaT9SmlP9G{;A5eD_o`2Th(?xzA zEleSk{Fd7709wwFaM8q?4=4NLTt(#-@ZWpp;}4E2PXU=< zHM&KXvL=KoQ%3c&%2zMh*bH8yqhBiT8v=ih2eJTRozh{Sg_0ORaJkvH+m*@f`&lSs z$XGVp+|g&JQv%FzqzGfnBFJo-BI~<+NZlwYQArnyJU$gD4_LfFAWv6R!1*1pD3)>? zJnZy=dF$4oZCnzUKRu^X$QtiV&Yt~@auUe~g⩰P>ueq}2nbo*dgvATLaIlE#7X zDrL3la&U0KuO2`u0n4vQNQEAz7PnV`*U-^#j`akd5bxQ4gVS=^ineIQNbT4mYP2?@ zp`7Y5T4&+WZFuU`EI7b2zn~;6xJg%j5@nCGmE3-$l3pNn)BalzBtyOo2^Cv^_qB;5 zaOOAJ_$*CLqs`Tt5_Mv3X1?BhVJ8RC_eAUwXW-`+#cnIF*nAJ#);(;q^78>gI7Q0I zK86Id5>nQUk)G_^X^kBDooDE3i-p0q1iC z?EArk2SZl`U;4sg-67iS7Zx6APHBR(S&7KHYJ-mvvnpTXtXNiOdIXHj9UDaNlzb}m zZqyOy{!(y=x+vEgk))@LU6$VnEGjseI*|^?<>di>@03&l44luLV=Rvw? z&vH#!Rr$&UATC?KntsF%!*R>ygo)xkay}~r%QwLzi-UW-Stfkhu|HW`04sS#31XAd zfA;K|GGUR&MO{T&xF};%e!5g2s}P}egPm1*ZAOsxHg5cZ!|JP<^Ww{vq^chKIT=Re z!an9~6^O5sodvz6Uan=ca1q3g1J;+z7fC#y#HVz{I_tU)xgJ4uYV$tb9qp~~b9Y~a zTyvZib&9|bKzjt&5)5qKJs=AbG>`<7lc9HINnNgLOgXmiwL(g1RPt8N0~3wUv@3im z(K7)`!X=rLp<9W2kPrKh-0FUaQM(y?rQ;%q49mcim11Wsy!l z(uE7JYUvQJ>cDt!jFG5IO$2UkUak&%;SzQf!<}|qXrw#!+Qj>b#)d`#bzX$ z)3}j%rlpt@-E8xQO$figf8Ddm3h&&>zOA>koV-Z5E^Dldm4=3Q_MKIgOg{DJ>6MBJ&v<~#?x8|PoQuMIA|j;h$k%=6P- z8`4#=jo@xX*6&2LTt%)aB=G0FKXn;NDAEr#85EXALK!)%^G)7^`A>0JFP*7jL!qe*8-nh}%A*@Qt@lNwW@Nk{6EZ!;gAh1DY(1JE5gCc{e~^8gft*5C zFMqM|DJUXR*%a_uyZ6y~q-6@Jw->@la>x|{7I>?vk%}$^lAcm8@V>I4XnV+h73}I` z@9oi0TKaSzqM-eV!2EE7RsQAnQyRMsv89l*z6oc5n2?v?Z=QFl1yFnQwqIxZ0@wui z*u{Gd0WlTSiIxIs;HD)+yHjV*6oNS7@4plGmJdmY-)W!@K{iW`X`m`ZF9eu077{X; zZAYQ=d9^IuV1%)jwzjgjQewVQq0ssaPpW_x_YdjZ)V#os9XncDS^z8CAMznbB|n~K z&W3mvz@{WDB9c-d^P;fuh?IFK2$x$iJfMZjbh|aBB*k}tmI~VH2&6Cae{3mC!yutD zrZrxnpg5$aq^$IFR-D0>g7{mVL%!Mq+NBy3@X?XRXSJYTgPdJ{b!9Hn%GV3_1~l07 z1Nbx8hQaj4iYqQ+(ukBpEjJY3W@qAUV&N1Lo zPNUBv>tkhI389#zSQo85_(Di&ie7GTj(g8X5OR&edr$G2&Lo0y(@OcsMRc_7Z^*9Vhd5m`_G5WB*AWHe3 zrl((qy~YHBSdi3H2{K}!6R5i~3y$vdhnzuj3t~qVj>L!ik)!kBK@6yjE25v+%q=1; ze4)3T0t)50Ln)Yvb->M@jQsrk9^M*abM>*uIhZK`1!54)6(mTuh2v0s#($A8%l5!02O?d~k+_E$?M|V|xT6v;+=EnPU%wkASuJxYUY) zISIwNHNqln>tlg|VS{-Ui}mnIvgB|CAsTGmM_^YqF==-w=w1Rck0$V#K!{+yt__N4 zTEg8?4jB!w>>0>fK>Y~22U)8Rjoiy8X?tQqgL55QID-VX3gipRUzzZPJSkXl=@Y$G zhccxv{aaIPDmOqsz5E+o@o2uTF3xNA|Xmi?OFnTa^fJApuu( z>U)_S$>Umg=!G~PLNFE^(TeWcw}132gls{M@-RfP0Q3T*ftY_x#r9A87j!5HtwYiV zE@ARoELiBStpPGUO@8YI`xk#Q6hYpe3T+Qfb>rYh2z<;E+UY|8bu0|)Lw*_8XdZC! zPyf2G*I~Lv@tcsNO<%wFyu?L6GYLUHSaVoEI0Mj3;Qp@gB*DEt7(@X9b%Aw|3)}#? z4TLQ;coq)GU;3Co=hZowjnb)&*{n~A%kI!|gumenYF`%qx>&A_nMFDOd$A}3WYf`TLyuNJ7dPRU^g(qLY`BC!QJV0gYmlbThD>Bs$g-BzLy$IsWVJ*E56nY!K zZQQCXLJ`XD>jNBUgacv_edjL=`Wkf-pMH7UEA-uenbY5=6Cw4(bk^q-6_M(OftZKo zu&yk^e0%rCbZpme`F)vA<$AzHrxUg@ojWJZ%*^!l!^cg)m>>GzIq29`IDK_k{*-vT zMUjYFIM~n*2Csyv!hv9@bP#b+9xXC{IKD-S9*p%N@N>u{S*HfqqaGKTpLCwOwt`lX z;C4OmQ}YG}q%SmqpEU3nH71Wj=qp;THG5v!O31H~BU4ANp#^ z>hI!QQLggUYQDMb#%Q8>d4HQ6v&6tHt##tE<2zUV&S_Orv~I&>y^eo3V_TzBFW&t) z*^PGy=e1l?LXPsD-f+PvLzG$mup!^JhFK!uim~H{2gHBjE$NT@>({|yyZ(1>yl%_i zesJCIFuk|VdlbK{-b7uX73EZSzjLH@c-X**1cPVmT=0uSNv0)#Lg!ijJyiT<_)CZR zfb5B^lf4bbSNCtA{IcWU@6x|fMDM$Of-_DU+-VJEQb-6Bm7gUSx+W?^DpAYqs(3w? zOUBV0k|}yNg%8WNpcu!2gw`{FhOwA;68GB>WVU`=?0SsM2d#AVFN$tOr zqep5%0iJ0WffvQei}%3N^i6s1$@K%pD&9^9s$Hd(ID%x8&K^fyH}34`DP0 z5Gh`Hcm#@!YE0M)%F?Sc406;p@;e43JK~X+k&)psr~_P5jR`I9UN7y!0LIXWXH`Iz zbY7nMjHmdVPB8%47KCX_5Rr=qsxfm=`t@Ccqqq=k+KLNhJoi5nncwRZnUGL@uR^xD zoa4_p}2*&+x0F(!4@jO!Uqma zLFFl5kO^`6<5Cd=*WknR!CatjX4=sqPRE@DbP}KL6J1ZD?))WK8W>F6gplJ;484;zJrs0YFLrb{|Ye@s^9sh?a5Ql!)SJ$F#4U7e{=UA zt_UX}OJC37|1!!3V>o&0PcoW=evgqq;=5LABO1fkz5viSY?e$;{SZqX$#IL!c_4n-Q3p{=W-KPHlx{Rrb=pR8;DIk=+HrMMr zN%|bbr;Asjj3(i!3r%`zOu)H$p?w278J5VeLBFVLU`6;L-#!mn$Q3968XFn0@+x@F z^u0oxE&(jmmad4BGkf4%Yt>$7rxw`26)o)85W=mNq7Ld%bDg}C@WXFbT5+1eQ) z*Q3q^L9fO|l~=T}iPx`Rv#ailsQLI5-gg4t2RV*68wlaym_U79S%Goj3rF?}6OPOe zy*Gm_7W#M&Lgji5#_UA)8`9b65wyyUnQ=uTTWa71|_p{jxY0 z9Y1!0lGhU!b6Vvl`)g>|G0HSI@gNez^`@Z4+IfDjAA$}}%Hm+An}ZCkyUM6Fh81R~ADZhOH=JoLZ( z;noh()U-4;CRB#)Y1qlhDMOume6G!&9$C>;kshg&Y{*U6gS_lvUaHuFAkE)r6h9jJ zW3`X*sB7};|7gzEiC-D>-`(&BkNe?Q+ut?EJN|jlFYdvy!=oPM;{UfJZ(zXhL6853 z;UnDsSHq(dS6nAVi5p4GH)JB55ZN~si_)OKyYx5i^WR?8{Snrh_s=75FrE`4U$Ui* z;dOz5fHDq$P(d`oWMAFlPuXKoBZ`Gk(gckvfC4w!59*a^YtRb^Wpg%Og=J_%!a>In+C~Hsy$fUoma~R1=`rNE$japcHWT*F%pX`6gdA1j+)% z8L&xaX48?M{g7)o(~~>I&OA>5k;d5ESsh0t> z$L&?f{C>-C_@K2bY0>og^XCTxL$#hQt%N}%3$z(PqJ6oJ4M~W)pu!*v$wL_<6uhh1 zUjy^VZiq}bD>xA71dV7Q9O>NNDVCaHE%tzR?>-3C{KntJ~K)hmY4*J;~OJ^&O^%Q|)XdfuIes5*g zvfI4E*JryfFG3Kk+B*(`w+LEapgLFJ(76QdF7_8CmskQr40StVuV{6k7gxM_V&GmuCmi`WY0OpUwgYCm4I3356mW+BMXD4?1It8Dwp0 z?g#PWMu01N_g!ZU2F<^+H^Wg$P*<65Qci(^g$lih3^8*IRd?HK1%K&4IVJb zwgz~Ic9Gs`#Vz{(#I~dXsQNdv1%s$$FLvP(+Ajgw>^5G7La3MCy9y0>CT zf^rTgbr_chZFqNiWal23Ii@5h9|7Y4Hh2h*MW*+vD}*nWZib%`{^`8?{^l>vp~Rc% zQ4ePHcWByFvTFJ7d77&}D6DUH=i4>Jn>Re-`UDQfjV(Q8Zr%lqmcHG0R7P$QzoL5T zPkjtTr-%m+@;`On$i43qivM`1ibv8ZVel0lqI%&JDjR>;`y=pfVC1p;xtZ_RF;e|I zD)kL%ZEwW@4_eXs#}B_f_QREQnSqTus{Vwk{3Dl>*t0KS!@5pa(9arZ`Zmtxh(S%>VWV=5{v;?h7bWz`QDt!=Mh+@OdYFmlQR}*d=xeV-}C|<36 zW#pqD8-~aG70E^MXXM%j33ujQKhgi~kE7cm&w%EyqIia*4>*Q!o4hWJHa-L0q{Dj+ z+C{LCPc048TN`+Jt$?(?45i@$XlVc;9IAC=AD?Js3NcuRimxW{OP>86;@g^=iPlO- zhtNXxf2c3iE;e5~F{=*67eUBuICk#LJoDml!b{Cfp$$eEriZaTrBGK_fU-t40Tq@Y z8iC8bkCT@vaHW^1;`DLiwH7R$s}Y4NfY=Jn@Pq0aRJ&O*cleZ*6dR$l6OzJAC|pC1 zTNJ|?YEnKD;D6cW--Uysc&1S2jk5CR$nu3}#Q_1ES(q`Ut7B=h(H!XG+nKZf1gHBJ z2hbjIypj*vumiR918wu0qR%)uOfvFaJ$d@{X{<6hxAV!+(2A=cg<=`o-?nC2aI2!t zz>xwB?R<1nO z6D9~QL7(Og_RI?ED$q5-J>1fl3!&2*sx-3DLx6JJq#XKaXjSu8W%9-|Mcm0v)|Pmr zKC6y*;vn}qv}X?%(HtQ1!a16O;J!*T!~{ZrgMd(nGBgku#q9s^mQ{Y@xlgdr_^pB& z{RvD6y!I2L*Ub0q75WE-_w9rB8Q=xHs-EP@f*ffX{-!YZfL^cRINZS3a>DSxbdMS> zKW1Z|%Nc3U8NM%~KXx~e9fkKv-{bYS=k9J=c?!)dunQ0~GiA!*Vn*4I8}X{<^f?)d zSFZ}*9R&Rj9(yCS7NK<;%~5S0LLb344BFKa(?l-;oqAMgtDis%*Oq!Y&~wJ_&&{oB z3IF~823u6;gI&*s=nkoczMx>7(P|A?ep~0+Em9tS z&^btJ)D{mD(C|}u+Ro!e7j=@o!Bk$)wgG>{W+u_ZM0XdKo7Q_ z?`6muK>4V;!xi3XLKpQMmeA=~G6}n^>kB<#6v+GQVX;9gp`Y-?g0*(Uc!vFvP literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N128type2.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N128type2.png" new file mode 100755 index 0000000000000000000000000000000000000000..7bf0db9ccfe1db0386eca1daa378bd2ee5a5ae70 GIT binary patch literal 15511 zcmcJ02Rv8%|Nm*Cu5s(Cq(X@n$}HJUS%nB8*%6VwD`|=l$to4eDkGbwk(rrYS=oD! z&;NPo{%-g79gpAR@&EOB+?zhmIiGXh@7L?~9Iw~8aa{fg?TYm)2!f!MK6+4*AeNXA z#A0vi#rWjTsC5+nqPcKX?IJ;}T}A%4$S|xNM-fz3(sGBWT9&L>M89MEMEe?i#BO!y zl$DaXft9U}r9L4isVplgwTo5RNS{?s$I3|6+|uOYE>>wXU2c(6jq7myX7cz0mijtY z#^z?M%EssQi9Nfy%(ByG+4b2hZ(`m&a_Dlf0DNRaJG&g!a&_FR@P_P6Wjsm+01t&a7@b$9S3R z%P7^!=s#}xt*lB&-@I&c>k1W#taE;6pBeprL+@y+Yf)bVzqj|5z+m=a7m9#=doW+~ zhP#sk!5w*Qj^ll_1W{(R&tfe>NbKAqxtL(^TuMVo@ULPgh)+ko3F6@Y_YcoE+}XIl zIz~+?@?}JXK})Wu>r_S9xpU{vMy()>s@U@d`>Hj}vxYwIG)dWY>cvA>%G_FQr@^fO zr!B{74S6)v`Qz#cH%n`;M%DYlRDWRbmaCri+8j_PIHqT zxOhfidUvSn+~i{~G2vX{f*oybZ8?NOj36JS>xmp3{sMkZ_+wKr}f2* zN`m*f&a|)`pr#iYcA3>)LQ!Nh$Hno6EG!f=&}( zuNj8gw=)?A4_3vyj28M;4s9F=C1xVCl1}tzPn(5_IlBy8VHxSET>L40;3K-*>Coy7%;In#~(<*pRjP?5BfjN$fTnJnwCSJ%1(Dnvbd_PsJn$=S7# zOXF3{ix)3Sv^|zk(ZxJ_c9doKr$R;*Z|5_einQE}A~PX?@6 zTuPHebOBGs#f}mgo~#jH1+m)xjQ-5w68nyj6#LnUuNdlP6E+%#Fq?MV8**quWsuLi@)Hv&Qu1xv>JW(EM{Bfmuz3-(ftH_ju2q@E$He@`CL1P()t+s>M$2sT>Cjry(MqK)hi{j?k+`j8 z_x0+M<$lkXNY64gy*giCrK;`R6%}dr`NgA4(W1jed{Jx18!nax8iv-s)XlrQ<%qw( zf5dvF$GdCdwZorJKx^Xi=R%jYzq~2 zT)($H7MnBK77+dX`IvL`-ITaJ<4Ye3(j>G!kBW+li!=M;rpKFRJ`o)glZnO3yUkP) zsSqY?{xsX&rswlutFHpSw+Z1)2Db+-bErdCR7C8C&1szxLF@Zg_FrJ77aciH{T164 zyziocwY7DIO>bZJbl+5*4RU3!$51?@>v?p^zK&q4mgd>U<|?uF&$?c6S%WK2Fp>MZ%8gy{Z`&t=eM4ROKo%FQCzj40{}3{3_>8?_c&i_v>F+xnMro8ec8pGZX25yvZFhq* ztmBqDdpEnku*fk|9iMD`NyoSC7)mb}sj0?{^{vpeH6eH;dp zvm>yoo?x#HWSO6L4Z$#5y*me)PjZ-5P3X$z6vXL-hxDPLvk;iqBGXvMeGh2OR4tuU= zl=@!w=s<6Z;(?HdlN*s~@2=73Am9#Ak2kwgPO55FW!U!5Zc@w8HO-OV`_-M{?G4V* zRMXmoc-Pr(Hg}I}JE{k7Y0qrFN4NUMdt1~#hPP3Xp;PZyE1Y&7ts-kpXJlBYSb{>B zn1aaQ!6gCfQMR-xQ#F)f*Et31N17QnTaMiMjcsO}GS{48)j9NriiNEDE7$BgYO7ch zvR`QQ?8LxV>$pU$uzZNH9LlOjikXc6t~5N-0cr}laMoRw8fIx*-3MMTp%=l*v$^BQ zM(6Ry#&l~(#Nk{AWhN{tItynYg7u6>VogxJCYUW0mPf{6uS|PBzvLvBhciqBn=ITP zrI~#+}1q+_9yVQSTWC1e@6O2BE50~sWAN=+K_*>vY zf7DY=)>XlsVQQq4&u-vLvPn&Z$|2*LIL&bXhK2?Psm*tfjtXcw_9mB#jyH^SR`?dQ z`g3WRp$^T=%vgC*^XDKLBeh~QQsT0o<}mNu*vcw0H_>vy<2UInv5w%29C8o*9I}wL zK0ZE`x((5B>0Ky1GEWPPDoblFQOU4CtzmOVQ6F_1b?VsP zoYwoQ-T-KW@*;UE{=f-H9c_(KdPY?{BPD8a5#7t6HLgCNdB_<~tC9S_cJUbZg`CU_@7x6z%nexs?0I`QhJm1GTx>L}cPZKKVpJc?zle!$c9g@uJmJmF30%lnY(tMm+tLq#)U zVoaOIP_r~$XGc4uLX00D@tT|McMa=1`s^`CSa)^o$y#8Ju+52aj$MOZiY_K0baa-M6i z>oKm=sk=wNT@#^x#^HtsYQ`wxHoRQgLHNnBb z%G@(wuF%tkzx5z%ltH;(wcmCnv$QsUl<`lGk3=%p#A*nkrXhg(GnlQtHi@oJt;3#0 zM@NqrJ4J9PWsu1kCiI z;Goh}&Z*V{Q+*2bx<iq?dHz7tg$*h-pL}q(wVvO9EIXXbCIr7 zk(GV{!qu@Fs?&M4&CWuz>DbLqmilCq6qA}bVak)3*uj*zua1k4Ut1bz0kl`>Wk^G= z7Wi@^@%L9*tSdmBJrEoJGD%tH-K`^1GGo2wuG4Q<^2B86+nn!A+b;Jl#I}k2dc}Au zx()Z6OgXrOgi=7mqTGcHOM)w%x{gQdCVZvXS9CL1c5WBxdGU^f9m;GD#g;cvcKk?n z%GsLzi07H%(#T;F!4-K{tXx^2V(y9p)6vnPs;sQ6s#+Q*E-q^7kb9enB)Zc`=QFR} zDp!ekW?EBaauU`PoCX(DJ3<|sT&8=m0f+|Yq1FOE!xE9}6YHe*wt62Js}G$DFV~TX z9s^9yAZN~d(5JWgYn1aPtHzwNXl*{m<#4Y_Y;LMM-u25Rsx{oFCrJ9)Y3+ohe!e^| z%;lK3EQjmdaF{}fut1iUgF|4!&6_tFq(I1!)-zLa7ZJ~LAkLq{50N3pYd_SgtUDDo z1=6O-0{|LDo$aej?ndp+#21qUgV{XOq9Q`>gqif?KAP9f*ByE7)=Yk-ceSflGd!uR z%s({YtU3&iBsSUVTZ&Z@KEkb*(2DEK6)3or^Iw(Xs8TDAd=)m^W7wJVfH&zusY{qo zjlcR16G)6v+U?}EJ`WsGRaLEV8QUmjhmu>~U)@w{02r_?k&AC19(SdT-#8dvawNdd zkKMPR^6tHR_olf8{f1)Aop+XJoogkzZ~RFgFB zTmA0ctI|r(8EfgW64HBSxW?vVP4-ywhg)lHyQ{fIb@83#;^W_u)g3F?tUw1LpWb;2 zHJ!?68N0sa&V3R4A#<1Epu;maDcW1eM7-A1m?6}+zAl@r^?71VmW5oD-kjN#y45CK zN(d!IMMc9{&mgn(P7=aN?pil&oa@Of1jd1UKii!3;u4Q8f*&eE5sG6^-iC|0Oosd} zG5JV52o*f{MeNSg_VlN+fxK-Hf3$2P-#_VsxmlMujC?wxG+pr<6E8dCQWV}QLR(^`nT0_T(C^`UHKM0B%hXMq~b!Wg;`0z7{6cF=?}7^%Y8n*q>0_Ci@Q7YG0YO z@8P$ks1pr}kEP5^mb$v&cj-30JH(`X3yX_4f?F(Gd-_6qpyA;ahG}Uy&#?~-S82bP zS^cZ?;EYix4#hyp zMvJ_S!yTmxy{4ZKP1AiD{h*U(4{l!i?NoxEbZ>#EQlz|S>M|xmow|ipBRXWiZT485 z2{uLc#EBCP$pqB}i02rsEMXL8tSf5zWUf&5%jS0~F{cK@gj8IQRK;&vliLmF(7SxF=dM9HaXT0duwgv?p z82p2oa5G{*N)X|9Sr-x44__|2J~LKrOhwe~qFFWnE4K)p&4S*dKObZ2a3k|_stiHo zv;H{fuk)7@tn2^vhrC;c>TBL>E+!-*esMSSDhiAHSJ~1K1QR>ygvgmSEPD@bFVH;Q zG|rvY>a$%bg8#zj@~1L}#SfCrr*AXy30r;le%VD3>T$c|ydf$wf%nW8Tf-0C0_(AX zCs1P?9~W05p-B+268;?d_2xOwh5lTg`w}uUMXLOKrK6yCGBOod?5P#Db8^%miP_-p8q_6M(c+P!b*{O9`V-2__p_W7HD0?Az`I z@)>Tjt~}wnk5o%+?wu8pwvZ%Gp6KP>*$6Un3c3?A5jh?3_3R=-{n@T#-a$gc+wJr!QVyKsilmcA18g61{v?>&qKiLz{`D zt{FmCJ=w%_pb@T3Mf}lNbLj|K|Gi^*Ch43jVE$wg0ns!l$*~p?aHQvu6 zWjEev%Xf;KkXY%@MZ?QD(U(J+6Yebx;L*-@n!LJJYcEv5Z0IQ^B_+e{VPn1Z*BFFt zdhXwq!oy~oN_#`sP>`-_8T#;KNFyO0YoR>V^+v14 z)3I5h+WL+zCX!Cq`mh2yG$QqD6ZFYbSFTtAZ^OLGtU1|4mHO+8cXTrBtH4=tTBwk@ zmcwBF$B!QYxC~Op#>R<%&=FMAVqceJ9OX~y8yGH-PPbDK zIqNni09{f|jF!DJZ=P!pGH-8dV_dUl)4&(KLz6dIoU$l0^kj&!aw8hmGVH>{@(=Hu z`u-TY_*>j!;^CqEbmy0ghzXyclk=Ym$hSGiS*~F#LFnE5*Y;xV$g0U@76Am|E&0E& z9`z#FcYbW)UPQ2N`Pbi`fBV@pOWj3of-+?|=-%2GvKLX6QA^eh+R&65GBf5548h zY`ci7@$Xqn9$dEhs5fnGru{HH!kqD}e%f`rS-aBS<%rLWmeyC9)gt#Q?ky+yqr=0) zQ)P@K+4CK?{+xd^lEHKNkG(cjKYmgWy_h)r(p=%Vpy4r^1T7>^Gm4fdjH9-~fJk-H zHez@S-a=X@kaXbRdFrf$1PZ%D7QlwheY!sO<3b&oYvXa9gi8{elr(~Ja6KA9X(#PH+6BrQ;t$<4I~0(!YumPo z>Xd9o8EJyxx-|MHOx)Zdw1zNB6!~!H;gcutAKW2`^p6CIApZ=&d06@D!+cq3vz=ZU zO9{8z-V4|I>vsz{#1|gkvtMkr;FM7mQi3)tLrQlzT1%yh&31 z1Nr{_rFoTn>VJuK4w=<@yn!)MQ8zMHz!cvOQ~W^{=%fSWH|b_zmkvR_su_j|m^Gx5 zw%jEpe{M}-5G3b%!=n42KrE(8oq|j`=N)DS z^FZIqVRR(Ge9lf>!=;`CBL}8rro1BzLXYlcgwdmfZIUISqSIO9P0V}-MKDjp&N1yi z^E&_TmVVGFm@_!@V`1UNix=Vb-t&E|@jJol9xn8|AXlS^4 zPvy6BbH~NR1OpJK8h^sW;gj@4nUCJ5(>*k+IsE+n<%9RWgc+Q(3SSPn^}<$0Q+_DU5%NrX&K|U|un5lijgW9c%&u9prUVq| ztvd~TOV{UZkU^u4U1= z2j{XvcrT!9bq|l}+TIJP;SwGZ7;Ua9|DKz}=CmdHs?R zQAU<|B(3mWD8Z&Ndc0~%N~>)d31ZNfmHtbGf_TVYi?i4($VbP~E&+#;?+|mGec=T0 z&5y*wI_O`XjGlyX)GPQMEPF3}K?smZK{l=63+&pp%XFgQpqAwDA2dW+5qrKz(SNK^ zyPN88?Qh#{4xk%Wi(rh7&lYZk#7I-;56%ect0(1j~GwEj)L9%!fA4-tE41+7^TY3!Ce$4Q>qCd?b^~>?*|Va;5nbk>q<&WQtKeFX~Tl@Q^NNW zWrFrMHRG{gr%yXoo;X5wC2jsn|Fh3m608POtljsHvX_Vq7m@uz*oWuSEynvAqZ*B% zU{+}($SumLYQ6t^D)&KQePfdpd;(#B$6d`Kn+iK#iR;J)i}$ob4Z9H*b}N?<*Y7U1 zCy=jULgr17U!-$|@L0>p*w$({LQm)k&?KC=gF8W=Nvv-NMBCCv+yffi2FDY^MaZ~+ z5c)Ga4fM%RjAp~5{v6`}Y>pcow+v$Z0ET(=r<8%n{ZmQ)oS*-pr#{{6-A542MdU01 zQ&Qx&D&Ro4ry6wodtUs$<*+-0mP6;$sS@=> z1Gy6?KE3&Hgm4qw4kkg49JqjiTPK_`Gc%)R3zY2}_Zu1-dj9-5Qmy?NJ@N2fe)!UT zXmL0*huOCWGQ5S7&2Cl_H2usVwHFdQ*NX+M&QdfOV8NSwexU;kNK#j9&)tqm0aQhD zA!-`ZE(UOEjA0(Y8l8h_(KG*zM+|(pb@QeHqqEoy3ze$!tYLfyM0e0D7vf>99fz96 z7)SnA8GlR%Oy+O#a)PJYwch}40-O5}?lf4cLyx&rHta($l=d#(pPiJq^OWWclVhJLJR>uL-;Cn~Kx$1^6*X;B-JzFLu0Mibv-qpgmrD~d*&b`Ss zq```b3Fm<~RHTWqBvg^q*;6*ytOL{=_uCXH-vf?n*oc1E1lEX#=5qQ?GZ3sBcZN}K&$4xMgufT2pd-t*i-mb(P#IBt?P0q-4gF3*s*y-+llpQh{ zqW~SnK>;rC)e>T2cDWx{SFgKIFK4!sQhpW4x2tyOk7*KN#GDp)(S%m1Wb{K(aOrf_Sdm6P~Tfu!yK5ErMv3Sj5f> zw#=!n=;`dBfPj?d#D6cmi%v)3kx##3&%ao*FLKXH2XY0kk%82dX-_)!!^J|wLqo9b znJJF|shig#xxVSkT%Pf{gCzt-p3l?Y6O1@8za#f6TJxDGe4rxbblLkK!kBN{T~!8> zF~}+WRH%Mvot+3xQ{VGtj})I&s2ccC^NeiI1bovg)KN+#q00N>Wl%YU@T=M>b! zc4l+eEpXzh)= z&q%yGvWAiIDnn@RXc(RoX198>fBB<#=UQq=L; zQ(f8pFs%d}4(8OcxAU&K0G2KGhoSvTU~{YK zh#tlB|7m!~91Q(AHRdg;{M#~Se7W@&okBS>^Ix{-Z(nBiXR`bKd0=5EKOs^7 z>*yYnq3QwRp=UwUQV?w=P0fuY*N#<~H@eYtfs7_cf8Jf=(oDC)h~D%o3`jjj0i>Go zJD8pJnUK)%eM&Qgj)Mc7onx4cvHP3XUE@k3d5jF{A_VW&dHe0dEoOTsR8Dvh*e^@V zgz+2Vgv9yUdpF@ASOH78v@$1rWVnr6x5JXyzGKIf&mba>7sA3uPxbO?q~W7$o77cQ z1oc1qTjBTnYSqj^#>lcB3Fp8b^2(v#*sT4n9OwuV$a8>rD@HbWYbjM5gUf( zO~I20H9PU~@Wj7(vAc_^mdpa(1R=@8mZ&NLey7Ud2rVUL^7UhvP3a2Gx6Nan-k;&m zeb*eoSoXITPwfUDMuT!B@wEkD(#u`A>W_2gfyI<=>-G>E7y_pOZ)+4QcN%s8qGi1JT{h8&d3rQ5Td7=E&%K9*RNC7LM&o=DZL5B{< z55iyk{<0W!JT%X>h8ga^J>0^La{Nz?!ZB3QlX$KhKc0*4B?;OFKS22~85$sB44fQ8 zo+PBu(ePeIji-E}62DHGhWDktJuzl!OHwH+XNQEhdr_A>^F1@%RL+2ULu{P_vnK8B zB`L}^n6YlJ4qg^`*evF&I z<8hR~^Nf}l2xHG*f*HNMfSjXdE&)8QzY}h2q%Iec8h4~y>zb--|L4YBsl^iAC#Qop z((o!_Kq6nznh--dQIt6hX2~N1xgciV_uXUKy=)2 zY9a6N-8r$}d-i*>5UeXZub7Gzte{W8rxKS^UH*3(1KvZOMLs<~E3rE@rU_1W$ zUNVG!K5~8*yVj}b1FpB6CL!>16$4?!_aj_`?s?KebmI2KB6OjEt}LyMk&VD}5Htys zpFiVB=3kGT4}bE=A~MS7kMu`k{dJ@$Zf*XW|Kdn81)16N4fGFLKt|w+yezzdG2TyU z;C@tBR=yud%SBknY6DF8NzQ!@lY|(_B8S7!jzia~5(81o?`Wr6b)thYc0O#6?%`{6 z8#g;Akz4hOEn`)yJI(6$l8|~d*fG}I2X{Fb4k~60F(1*1iO(r{wInny^$W>?OyOQw zv{b4G62edWEhW^Gp&#KM!r(K@ahctOAx7mwwC^uMuqr&@@5JQcv;G(;JWQ#bj89_e< zamRO|y-`|REZUn?aZZR1?H2a|j3@sK5$R7NZ6Zdm&@2(ox%59Btn}Xw5^nxmG*-2e(?-wI z`hBh5v#%nn8RN~vi_l?;lnq3ixt#0j)vNe+vJLAB4k{4Lis9MR<}tmiVn+!h=doFX z(stQEJcd%VYYuz_>pI^8J?cj|Wi;f}ezA!E!Lm&UnFIxq3U1I*`}Xa_7S0X4qwh}Y z|NL@``-P9&BP(scWe2fDc*qMf2s0aOfaIuy7W$1X7{VMNZ|(1LI^D{cS|^8@c|kE& zs?Bn`zi=4sVvvHC>lFsvaaotLD})D0gRAPP@J`#k{$BrdmAhmA^aO| zc&lXYYw`!&WiIPoZSMvZGiiX^Js;K<3nQ<1ja9hUr|kKk*R8<9DX!8Jq3b>oPXFV> zQ)N{1zqQ*?*U}w&b_o&dx0H!~?$a-yC%?EqoEbNz$)10IWA$Ubyd28B#NF)8s%z8wx{xgU>T79u z4d(CX&QhkF9OhF~gYgtDQV6fd@W6tv?t5b=3m%1SX(L%**d#Zd0?k9MBq!~m_E3@_ zMP1L;b#o4_oHIMqd4#^mJpp_r6rNn{W!T5aDN+?{=eQ_f;11)+u(QT7DF|LF?aLuBX}Hn0I4eVN z*YGaH#w4gBIh4=ljsg4#jlU+0vq2W+rqyoDTyBX=8*kC31chRCuKKbvC%`~QL9dYC zA8XU%wrI(`8a(IgdV0sfzKL<|fabA{A$Osc?~!JJs+?Rg>?1GHvCs2j8>M(*n73Sp z=lqwEE1Q(UnzJKnzSf(4zF>UlWC@E{f%EKe1N!~S$^}zpEAGoK(W6029Ox8>0m9BF zzFkSE0AizNOFHPLC&PIrJH1SIHYb1e%A2z<-s(74K7LDl>(B}*YA|&v>ZqFJtwY_f zFamK05*1MB!O&$T5ux!sI+|~)6CsRU8#t8O)639A_tm}YJZI0O4E0xc(fabdC+e`K z198>?x_x>%y;0U5#^wBz8*Q4z`K|ZKxkwUjSM;njvoMUrF#k4FR}!ah0fQ8#cXXf8 z5D%Am!-)TBxNsU0L5eyu*z(whLlDynmre8GE~ufB8g}O4Z2=DkI9HpLPJo(YjVr;TLUuneYCJ8YKexFgA~&Ika4- z@p{BGP^hCQkPqRFmU=prNME^|?BF3546$wFA>m&$`P@nQJCcjb{9~b}`Wn(;@H%4Z zONk52qXZpbG2`+l@JKf|)wvbn#M@Vj+!wfn{&3ii{8b8~qsr7en04y($4gImMam8< zYo0Z#;$*!juHP3zC29U2tmSZ5qrWwrs15A|2PVB{IKjWyBf zQzQ;G{aFAT23s7quoz@iJ^k{+*SD$Niyxd?i2vc?;qH+Gt!-_~Xjav6YmaQtl@xsW z-?VT;)gn0q^lm`8&}>o+8ACTXvdQ!DHrAFO-~C^h#U>ML3E$cbTXSli=1a$;{9_*Y z(5QV_>wGivGgUu^+tepg$euIEMVxky*lgqu_v5Ed-Cu7+iPoOok$v_OhfO})wVo8w zbrEC{_J`z!sOq575Keo^S5h|mOPGw)QwHcs*MfXw zVs5%0hBE@O0fS0-7e|{is!b7yPT$NLJrS<3k3E@nnH>4igZPmzWuSjDhQY zmU@{d7X`k+g5WKb7PzW%v!9VLDCQw}gK|)1nVuiHLO}|B>1$Xgi`%v{cA{cxFl6&t=aKfZ z4km_97PdB+2Nu>QsH^-u%7zX+*Z8iAV6I&g6%Y{R7mOZQkwl>|sM|NCA3S@zFy!v> zYBJ&IsP(lEy+7xfYZ#L`OdZ{Mf2q?{DHjFQ>*CZ?2~NcNm8+|q46DdGFH`hj`}4i* zb50=)Xs%Ggp{Dc1@u4Tq43V4`AR_1L^Y-cV=gWK3#J;>Y{@11MZIhvC&fc=yq2TW_ zvsKmzp)Zv=duwGm+{kxY@aU1PoOTV#42eFOvtT!zzW)ob7T}NvIVuqjYuFoR#Sm?_S zqF_2{T$bWc&q9VO@+Tyf()W-UsXiF2KCw(ty*rn6@4ei?MlRNRHgI5Ipuf$k9ZWOq@+a0AMH;|a%V-5l9IL*dW*Yn zDygb=w6}-9crn*GlI*AQ_WBBJ!bNs=|DclX)}5wEwse&QhO3WnzE8h3xXpiBrf8)R zANA?cDJpM-f;Q*yUcOgAB~9m zRd2koZPl}tcSnJXgC&|88i`^qyw|R+77yB-k@?x%%k45XHFdaPCdtjqtMPYz$K%bL zH=rNWleVggitbZy%y_YCj!VOpFyZ#*W{Y0c+NBqgG$`{E6@91&skS3kKhl&z8GX=W z(V7okm%kB%CJn3?|NQy$m*lj(19~2b9D4b(Z?bR5;a#Ve^R}H6N zr75=r5cusXq9$YJol48(}+teqfv5Vh;|Ijw30`crWQ_hhoDH6Q0btn}JxHP|JCO>0~kZ`9rWK2+ht zUGj8sU}JS&Rq~+7!L#KRS4(tYVBnM7N{zB-+slTNW4jyk2P49gk|RgX7o^BoK_jBM zySlnsqLt%?c5GqK5*_S&KB|A)M$S)PJyEi#?U$9n)v>QW1a0AERdyF*myU|{LDMLi zZ_GH9Z7z)*wHh3%k=VoDPqxOEY&oW>Cgpr-YH!cU&83y`*d7fs>qw-Q31txcIP2ZK zz5UGO*4>rmT1xIKcN7(`=#|=mG3f4An|z@b{TK{FOUUovdZ&w$NKpbIU=E%JQZU6O zCgyzc0+pyb*c_A-E~m2nUG5s&kRw}oK&&a%1 zO5?hpCQzxZoo=O}rKP3#B*@Uv@Q%Q`WFskxRhHuvN^owtau0OG;pkwCj8!G^M*mEf z^%8?cNQ!LGrNO#b$+KG8+L>R>1)TH4n4kUefs?fBQMEPfZrhuqe}{78iq}d=aBy&% zFw1qjKdX|=V$R=>L|IwC9W`dT1W$IxwyW>i#^uG@HzropE#=H*I#pL9zy@28uZnCy5+ z9J!?);Wf$~ZkAMg!Y&??Y;O+Qa$fKgG@@nnb~{*!z<%*C6H!Q0N%*+%I!VM4nq0L7JH>Li9CWlD zakPy6{6U!F-1WPzqiZ{dVB4#<$3kLNrIW521+L0yw=eW$^_JR?>+Eu-|0r|Yn1da^ zakRhIA+eY3u+m$7_<6MkJ2S=>aihR>Z3?_aTfDF&oWl9uTmx`gy$0B!$Z8>!Q{aNB z9m@Ph+q6UV-q6y1b*`^K+ccQRva+&^QJJ&0O?yk#)zKYR=H{hSaZh-wv0HzKVn^)8 z!-RLM1#E}E$k}eGuI<2Tey>+>T(|&&npDZ{b)WxKBD5#AZ*VwiAiB?AhWJLE8U}Wh z`d-J;en($l-y>}7t5>hUV$?M@?&az^wh1c6T<$M0QRH4aNk*nyXsQewa-hjzZMGN7 zdW%fI?*#~O>37+WQ9DlT7jT6v9?Rbn#9Ve&8-?QAuyFKgE^FECys(O37Ekot?1!x@ z9$g|v;W_twU{U#T0>vigFacglKBsIdZqTOU(}%=pxw-jyM@Prnni{X&A4x)%71~uE zJ5j0il!A$zN>R~Rc-z?Z7Er_QQ(L=KIv$?ZtC}Qn0G9AluCD9rRfjg_(Pw3V zRzTYyt_Yz>guvwx_QBavlFV3LnlV3UBj|ALZY{k}H=lXM=ezH0!8ZEFO%jTuh6%iQ_j5M?Y@Dv8M2#QKBI# z41ny4C_O#?C%~J=;LZHVpDTYFKrItoW>z96`lIk9w?CR9>w@dorv-`xsJ3yDrsUw~ z&m;BmAKfkHrM;hnTvdWI)RM`?{?|4|nG@C{^rEM7; z%!Z!sHPCss_g(oy7n?duN@JpU_X4=P)RQqLGC@^&nM%%E+m5D(9%e`F9xAE)l?DwlN!Ia-!GzvIkKuG*OwbcKY8vg{<#67l zyvZHfY|2tL)5F4(Sh{3Buq;pOd`N&NHKOH5m@KXxKrK%ZyV=@A@rdJdv*}W7e!UyU zY%1+#xF>#CA1c%jOt8@n*BqaD7UqMNqoQuRp{sX-J*temsx)JXHly&Q%mA<#)#>Wx zEq(s5u{J`{Jsoegx!WlGEM$$+MxQ0s77ryV4`)WNiR2TZ;y_b7y`#9Nv6kVL+}0kP)yUp7hSOIp z36@);lCOdQKd;fydTck-*0MzMrLE}Ay^VZ&;Rc!%6@~*tt-mw2w}hQ5!AlzYK?9q; z^)}Y#5L2tKab|ak0`>70EX1;rR&~GiibmkVN@Loq!opfjrD$~&Dg#YQ~!#Sg>Je5_QceVFxw7ElI5RBb`QDmjd@t-o7B?4=SH@ z?96|U&Ac}64~+(bbtEfX^^DB3RlNc- zJk$>K{xhQ`n#;sPmHSoI!X?d%{0(^Ch_?nk!G5%rS$LgaKxXmXxKKXzdK+WXD}54_ zf*h=bx2nYmY4a+7Ke4wD;`{I4scLzLBTZ<Uc4wMER+vp z_-r#II(f&^5&-{wS{|JuiyvvGBL(1o!Sy$?U_CY$`dgxjSv>5zQ*Zb8_eWh+ei0F& z$r}?J8x$0T1#oO=DEfPa_`$oDXzi^!m40=nIvnYbN-|qX4gJPz>?ql;+n3y?6I}e! zYg27&20%jS#GI|}-RlC+73bO7o*)KjV|C_z#BQ$B{4eWVSxCtDeB)h;jFvHEvR-#^rP_|OZ;>Fd|8lh2-#B4NE5&CTEahPUEHIO8?L-N@Px zpt_)oQZqBG(hmXk0Z9^y|8(zM=!+LrJRD8=MvdVtl2u*x0N7MXR-%&jZ|)e`+vlU- zfg4m6-}o7Pnr&=+oCSa#&>`aeTohdlM~6C7Qd-vug@9VioN(3gx3Z|fcR_?ZfL#Rz z1jx@_&+FQNfB>g~_4u^0nc4L8^oYk=Tg%u7^jPba>a#N04=ddO%ig|yyEgFDU^Vv0 z_XL?2unOWFkFT9YRjABTZtE{4rGK=ZCM?LHFgMpbZ#&L*UtqoYL!LUC+uACwy{!#^ zgBh?8jU2t%YQ(RfWc6B$AGxvGB&j;f!^4vx;ki5T`N@4XwSC3tHp}uY&+X;W;s^M2 zL2|lz@z?qnI))NA@Rj?$b5oO>?;&>j%3D3r$*M0?24VL)KKWyHP_n zTL##bdfM141~%8;>}vv$oNkLRF>Zbt#wc8zh-nV_O08aYjqS4#LBWNPrI{stElkBp zl=RPa17|j~JK_tExuYtpflbTxMhDUr?wn*3iUIN1jn=>Kgp$5)) z92dwwbAe!c8sE5RlNLiwk>v_X^2b5}MU)amNKZraD@!x?42Oz9`QMdi{%Aj3`}!av#)?X0i80ZprXxKy!JHD5im;nth2&F24F zz(Ngo$m@Oj+3y_IGil8^=a|Llt3Z5YQr~ zWcE1R-vJZnb+FOPZ~A?i#hoOX0Njb3q=beuwU610f}p{L;W2zgy?2Po2?moBfX>qR z-e^nSC)YPEpN_Ow+VFl&9bco;Rla4t-h%Bg9iA zpDjN!Ha335xR8~VMad+3mYSNHX(+9#>-B58v>g=Bj@#oA-lGj+{fi2$b$}QvBZfSW z?pK@8Q+0~79XaMkO=AwqU6vAGy*j(Rqok1~$i_hs>q;C`pykhvFsHmca((04g^r^ z5J1Fef%I7-7X*Ha2z;d%t={{nyts5gkv>t}uE_AR{Ai!DcI| zozA{;LFT8g7X!*o#+ML%mbqlUv$Vb->n?43I$km(fDoVQtjkx#^+Xd+G_j0%Frdn% zq1`j}obOwEs#mw^noR8_PN6(8AgLR+_TRRPR^VkelDvFytMal_44-kV`F z|MgTu_brQYOEhqc;)P2;Z5}BVN&vWuB$rkn~-oB}DOb1{0$0dyh^ zyhe1*RZ6u#z?{I370;XjMEZ!2sGyRLoK&g;nTOw1+@i^`cFC}#c#t7b4N}hDZ~z`} z`#BjCbe@O)QOl+J>zz(ga~a>r0|}FFA=A98`xdJ?@T_0mJfhiDvPanG3NDT;EylHh!?41nnWpV40+n9#R-6>)yVc*{MD*|J zM6;uMV|thD8K9FpA%>L5ls_SZV44^RO2hPGO4*_7Ag-3f z>M5;;T~sF_NGW`IqU`+31S>;gT&<;qfK7g*GMElLWL$f@`SK_CFE;1M*rr949%_A# zt+YbTfy#8+{4HS6y%l+Z_ntGW+jw4_>IPE-9NY~yX?$~G)!``;H*??dRzu2bKZw0| zVMmJw|9IN|RMx&&andtRV$98@pB^?Y8fl*NQoQV9d;)VE#$Kx}rZjb6%mcwbPe8;2 z>C-;viu3&D28=oQJy99wKx%uh7TVqiUCbbAxakNBKJJ28HIdud=|!_?tbTAJ7_+(U zB2YLJK+uS4m%i>AcnW_3)5IyJ^6^xJ8in=V%sN`eu50JbyvQ(q0m1$k9|#{PeQE6>zWTn*~EVO--R zRDhRp1|}Kr@mV;QX8{dN#s~%!MFxDnA*J%vBW~eRD8l_=#b{Eg02n#vd(`v`1!o5u z=NZqd``<2UrXi;dxSTh)5t(kx&wGBJ(UZU*yc>=iAM<;zij!;rh`^)XWknj^EzUF1 zG}MFBimb;PY3R*kRR%Kpzx@3wYypIgDCrV1ql?Irt!5?m>$$}x^mqXOY%{~;pAh9> zx5Gu|$;Z zou6*6e*CrD&eUj`;QPHCc`z$+m{puhc6qzTJ>%z!K-2smu`znLfKe1!`t$3lm0{LN zkmyg~2aF3_ zc?qq-5E;owe1JjE5-H;(l9tBmLl)f>Wsg6&IlNSuMo9aC2L(tvl~2!C4*+P!z4ta{ zlMr7kGl3BPg$oxxjT}W__s8FuB|eXcU=c9yOqTXjyMG_y1D&r<`m04yYC6&sPhEG5 z15<>#Rl0yLGxxtGj^Q?&hyQQHk#=m%=TGycY=w`KZQ6ZtU{bQ=B0ll7r~5HW1=Dj% z(@;~Jn3+W|2wD!6KW&MI)CKRdDrcOfwfnhS9_aCP1%Wm0#ip`6mkGbLlV}hw)pAlmk>vGUg(3g6`Opx zz+MalKb>d0Cm{p1VKDpa(_kn)zdzc2bAi;ROo{~bT_4$j8Y$A%|2^xgt?^pEf0B}t zR%Y9yDp~!c|5^RMI5~MC6j$g=4CL0HtxnvIZ~Mhb0a3^2F=rg^q$yD;^6m^zF}a`ioX6U0k%Qc?{6eDw*-ahdJDwPVRB$sr~tCMkIYc?S)e zD|k$g;0>jF&QWdcazfsBuifEj`=A)>j}C*7gyz8YnXAIWTL5Rnf`hfn944u4!=FF5 zaGG2mt+oD0O_Bo0YtTKezvhzk9cVUzJ$Wig3|HaTfCs$z_%;zQG`a{b>V%myCz8`0+7v$Ps$@SO&9r&Du z9*eiFvT)M32)-Jh%SU7j2`DmJ-i06O9SvcOfauSqp7-hG?vHP*iKbwuEDeX#mSX(s z{|l4SC|+ayuUdfrVpUg51MpcN6mSTOUX0_h^31Ed&>eXSV+>mmfQIen}9|^^4bYAR9A1H5Cp~e_*=+wrAAwS)G0@z9Z8WuA)0c!*Q2sfW1$2|9e{I3uJ{3 zxdF~sxGYtT1~O7nQSH+{IsQcVKKYexUoq6&+zkJ?xA_fE93anw#`NYKe4lUs*_bf& z`3n~&f9cwSVn6ZpJlI|t2a2GXtu?ygM$4-=Q{6bd#$~Yzdm|_)2sDyL29zI2Gh3Jh z#G(;Kq{BH+jIY>V^S4pEPxSF~#eGZFzjn-4C(s^5cYrFYXK9FU{dPPK*oepk1Cg)X zUu{JqJB7kX&;$lS^L%I2l#3)7c=~H`_q1-`t{PL4ss4&Lnk?6Y8|Bol-jaM%Rs?&v z|ILq>jg8H(*PrFgnOXss487rr?Jn$ZImWQ|a|SoAcE3@E)9!w zaWyybzxB?pIa!|f^mcz!jQ$DK0smuPLc6taa(KjbzTZL|#|F-47ff_$6W}Ddblx{s zZ()bdD&V|Fjj1*2!c`2(baVTfh|u`#3SaGPh9kRpc)`1n#5iU;B9E<*$9*-wgoAp% zgIphhF>V-ZcFYg>$Y_Qm7LZ-X*U(L0?)So!b^?jvF1iUsOBPkUh8oq`; zPauB-x#2?>=Y{!ojiG#B5R%f7==U|Ggwp&&$lelQ;NK^c4VnXTnhH~G$-)2kXd7cD zrrQ??o3L1Ha9bF^*&1Sur}OuD4>tG)&s zydny(IJN3))N1$LeQmV~Fo3p1}G!rw*$3$_Lga^wH|W75j-J#}xI(r$lo8M0T_ z(3S0-&8aR~KMg=N$3y2ptUCYweHe`!mZCar3i!2?kBU8+8&qzQ1|f|Z`T!;X)gWCM zLKm9H%6EhCIX3`KiY#CUNMKqABJk_7{WWk#ioQ|BZucbW0hsB$1YNPfJQfj{ZcH$P zbj@-Mk=}>BN=ZQ;L9tQtnZz5kMwl+%|7voEWx~pgjByblgf|7UoIku-w>d}ZX@mv> zK(FmZF$Rqw>gYMz&%1&aVu;$qdc46``L zE?YSP+cE`BB!)e0D`ajmBx7WviCi#-NA7Ss+U8eA=gPTSjWzK;eFPZ%pU2kR{ZWie zXCP1l@cfgSP=RDqKQFR$*y*BA9J+loMG9ta5kpz^61OO$Pzvk$m789*MMm__k1^w@h-YH%mMN7rQ=%@B9ZFxE9&to9&3IPbI+MOMGzXS*6!?_xL^ajyzD1>vb(OljRBoUBeF5Mk7Ri*Tl`?59ZWVb%P< z*k}e5s9hqc9T)|_y3=+oq(K+l>B&j|oP^bGg6rHl4*B7E0x58VBnW7XGxX;U8Uy?# zR{z9$GvpbvI3M$|AE)2L05x3)A`8o62fCTe1Kl(r-5j%4Jn?a0fSNa9?G5A3z!$;w zxFKXSCm5FV+~H+PttCW#)|Es?mjQ(X&`y2`qbaJDw29T?v-{@Sv=kU(M=pQ>=RiA) zQj4#T)M)pSNKE?6Lc|0qqcRWV=m4dXf$+$7&+rY<*t=XHL3#*PTnUl{9iqE+vjFmv zK@jX{13otJ=f6S)-wMcl?s59DRvt6wWH}g^5u#Ax3eI3q8){&d$7=CSR}U=~C_P5P zrE;CHlM4FAOS}==Iw&cMux~yZ6E=5!!vHWj89?May@BoZb#WDBd7r)|`h#V6n-rN5 z6Y>^w$&)63fY9Ejfh&8Pf}VUfB4=kG0|_A$vjm>)9J;UCul}_ZQKb7YpB@nV-va*Q zU@4l8mg5}0Ie3E%1|v)g(XnMElEp_@(_O&^DVbA{uLA-Ca@mHyH;`QBhVmnF_EcmK zkPO_$N1k>Tfw&V288VRA{FfI>rh#E9Z`f!alS33M#5n#0!5-eZN<{VX9eLmcybCo` z$!Z`^N694clz-g8AR&`R9?K1n`68i{Afk`pb)2+#5C#;0!bQL=Ps1!#V3q`+7r>J; zq8b+&t{IjuT=H%JKjVhgh0Q^&!c==w;B_Dm%0gnWLQv_C z7d06QjzkL$M@_#3+xn))gchv;h)BD{X6OySSx(jN9Hi<2&2tI~6^AV)2tN4*vHW2m z9CE!7gx=eo&lRpbg2ItS$Pbut6U8ml(AKq^k+VPG0ra4M<6dw8C@v<R7{?e&)uMS(06cA<<1?;-4B58CZ?wipgbZhr|{A9 zM@^Tq^IjWqfZ*rAoPn~>k_my6%9OA3uJKue%7{?&a=0AQ{cNOu4`z3!ysFQxJ~1&)s#`xy*FAP`cABEJt4nD6K}S zD*1cdLdcYaD$q4lu`~8Rz6UQs@`ZBf^G#=JDcv4rZGaXC0(5|UmlqVDL1u)2n8M1b z63YHsG#SVy@4S@KpQMc@WxR7#Tvcm^{0a z4efydU$D1iPnrcJYBcqqsu%V~myIP@_`3dY@=?)W`W{%@dsUb6w9GGOctQS;irpWL z*emRTG6-o;YmBNgm*;70Po4(_oB8gQib(zs%+C4I&BMUUmEX@xB&m`Z3b}l|GP=5* zK_~83gdPJf$+RtCU{c1_^7qK71c|Ket2`i1fOsFA{+Se?sef<{pb$Z~tS>nk**sJ| zJwL<2puhF(hD@-uAJNmP+IVJM#*K&l`Hu$7I|g9)cz9=&TswmxGqv-eJ;moD*jVDF zCsTiTQM+kDgk1h~A`TOvRSaQXzbjmF(eDIrD&AZ+; zM{!85ednK?ob)56lmHq88ea{xkDlKY3ap4z9Y7VLx$n=m2VRBbLGYvjIN6!&@~UfH z$IbxK&c2XA)%iSEHl5fCWU#sUw9NWk&-`Sp0a9itw)Q4JKc7*^>KU*x%}+WX^k$)Q z69Nm6$p0ShnOstud{0Z@R=-8s)oZGWSxsJz?1w`EF%alnem%3bHF1&hHKu|F#p)1! zP3fL+dy*t}`Omkerlzk#eVUq@ETT@Fmo8lr6)or4YOJdhsOa9=sH+mT-q~E`2H$b% zI9cVxbymmGW2Me9v(Sh>oj44tNEX~TO5Pjnuz)jR?dg`>g7o13G6$7U9UHNFkCTm6 zY$v~y6EQ>!p_2vjMtEWGl8E>iDlaHRKM}q0+qiH~B?@zD&T4on*q8$x6D6d~z*CN6 zqytqH(;vBnC_cWFdMv+J;Q|{3mr}_~LERx8A;pQq$Tqr^f9dZRw=!mo`j=*r5W;;A>%gZ<)yX5bI0qP8 z)+1SyB1;4U&K$OdfI0|ip;Mi?dKwuxPrE-FW%VeHGaXF4MD|%>Cg0SAP5S@QA1S*? zj1TT#_BHdl9{^y&kXlQj{)P1)s9iZ<^2ivu9ZrA{5UEeeCN2QO(h(;i4X48n4w<`0 zsScn}-(W)e^E`r&xgofd=qEq|6)sFk5LL&N*1X>>JI+qb(2-udsbgC=C8FSWz4WQL zxBwr1RGXLPz&3M*W;4h$4~J3r@jG?u9RQGNF9`s*Qk;;7`9$mhpc|v5fMe~x!&dy~ zk*pDz3P3Qy;m-jgqEAB1+KWM|5&7PYBka#T1p-L01U2vgT|tY=r+xa6LP&#H|8<*GlnvS`bm6$>CFkFzP0CD|1|{}EAO5-WY` z3DiwPClgf(J;apW3~we{4S@~Qj@Mg@3~UJPuacFAz1P|Jnz z={b#%<2;7WW&>UCLpE*Wx~eZWxwdPbOejz$}B~*Y+li zqmEoCvhuhCx+fY!NPp7$4JR+OW`m4D8=XS3HgF!6o+Mszy-P4wf{gD2+BgfRuaMGU zN~j^co2-2?-V-qQ_XMQi8QxCfRN)V!IQP5!Z>PQ@s9Odtq>`C1(jUp%1a#8LS8gBs zYt+W$<5@J_H6Ooe|Lr|)pb^cI%=4O;!W`HW30>ee%DT?UUTPjrZD)fk1i5VNZ-X&= z)a!>S+wr^bPbrg6RZf2q0Qd#wzf&5P^a!+Q=r}d)EyUT11AZI}`WOzQg9b#ZFoeaG zW%`4RD$czrF8+tkJ5RGWB|jOzzOuBG9wir_Rw?|3YfP#FVv_BKpONZTIC|y({OqK!<$xJ0|NVjiJ z;ED6tKZgx3YBUsdY+^m`aa4<;O$5&F{W>3t!nzBahsD9OW8TF$j9<1DGBXC@dt594kC!+^T>oF1pKFr7ergm;H0D=W7i-jgrpmLQljLzVaVa_ z$22f0Z-swlBf!JJ0v3^ysy&@a?Ro(rC;nUKNRol~gIb$|+RhBPe@sEYtK4E+hqnWx zmma^kj+TPD1OEPn3+@hJ1OK!r;4F=yZjMu95?BxAl@p#!~h< z%)b%&`kN?7oq-|h@&zo5bCxHp#oG%}q=X%j7Ej>ZJOHgc?#S+P*o(4O%Z7s`2O5k| zGL*$Iwc@;6z+yW$^|`KBtzjqWWHEiu7^v=wP^B_--F~mqf^VE8!VQIbGc2mI_?P5; z$;&C;hA4A{iRPn7{i)z}$TX_IFlG2`AKODdyd|nCh0pr_vaBh!2IAKE6>yhs@rMm$ zb7#MHcjgAY{_uaA8TeAVk+oOUF1!4%RU-HKHwRBJsmS0wRH=8ml-^&WuLy59!lS~a z9Il+Yaf%cQG}S(sr`?M#bj<H|sh@`i;ng)klGxYQp*5v;3O z$>y6@G>cUDe@9&Y5G&y3ZgGU&;UvR>u7)bQGB_yz(g2GH92eQkRMrQ#w7n-t6{G+N zLsTypOybuqc&VPZ*y#?L5UjA9s};vD91Sb{DjA9Cfx_rn*oL1FdpqoGRD~$uzsE)v z6Apl8QKT8fAUw!1lIH*v30fm=>~4zAk2;|sr4Lo4Uw;4*#$kL+A@k=3F(y2!yVNE^ z>>yeO9Q18u!LCMwd4orqp}Zt=C2Y^B3?hVZNWN=o505(Li^RyqfI&CGQv(4ofX0Cb z18oqcV(+Bm>GO`uCnwFoBUP&`ufG6>*jUr>I)sBru_J9~aNd^xvl5dIDiF4P-o)RD zQLk)(FXMo-2t6BNgo0?;emYv{1N^pAx4%|H`};M^zG|YM?S_K7V^mNi%u#{MsZUa1c0O&jo1Dno3M`vT0P!MLP^mAR8Rr*xZ5}H$EmUT(UC( z`SX1v?xcxolQ6DXU#7kf=Zx+&santVn2Q1s@`Z@TecKE(JgQEbW54y!ReZHmzkeqt?d1FzR>XJrZ7Hl zP>)qXKRq9XKNU=GCY7`p-ilSP{B^}B1lHwr2ln;U@D@=kPO1q;*Mnkl37y@>VB_6f zyf)ZTAgC&z-d+J2bHa(4$hs_i>*<_=tjbODj~6P!hg}YHJ*ON-Pv?z8Ew zWRaMQf56NkD(-(hSy5>dPo`GuxE}W*)c2ke{+;)1Weh?kUK>4{Q2NHp!(-j*Zt!gM zM9Co21b#eH$UsD|1>1f(%k2}Cb%R!7IA1Ri_jT_|Doii~B-;c6K)IRsZW|(_BeUy_S++7e4r0gp5uIRkG%>7q@_E z`L;mb3ocilmiZnp+=0Eo%4!G~so;8=eE2zwS$()4Sqf1NxSZz-fs5iTv45QWX|@ib zUqv<)9E=q+Pm9!|J4=F%rz7T6f2a`K>GTx-+ce0OS)H|FXcUnrIx1GZ)@ zq^s3OdDsFgf7e4O0-+}T3g<8;F`=0Y#oj7$Qq6s?PRmuj_vKHe`2e;zDWK#Ll)@p^ z);E!RUQn6sLqIH4KKwzEb~1~n@+vQHj?u_fxVLI1?&+6np|#RN+!9?IMCr2d{*@_1 z;^2I!QO{HCz(cU(Pg2+J_kTu_M8YkB_1EIv3D>xgs~%5$nCt55ns}veA&Fo8a&~|7 zBGha(RVY^kL>4wD$}B_H%$~Y+Jg`V(m39v7#txaapY#g#w!fZjx&~PZjdt2ACe1k~ z+&Y~uG&Q~H=B()Z@7RKJl!?@~N}*lG$wNHcTPXrM~7;AjwM3UCpab?SUuStRq{7{%HS?0#9~F$U4|C{q!=|S z#4nM}Joll3n{04j5o%=hRFF?N`kiL(!z43(Q;c47*#NLe@3V{W$V z+dE9|8`-mnWPkjdNGR}4i}c$0^JA3Q?b??Y6e*Z*(9zTPJB3Cy8(W%e+#9Q5PY_rX zbiCg@YReq_9g?LasLznDhT9;LUe=l8nbRh{_~wZ|iGJ(z!Tp?E zN}`1XOgrVIr1X)Xw;UusAVtJN;{j!{`|6X zd$+(x<-D{dfo%Pt&EjT_yrMzc5rb6WvBhh4O<2K6rGrmx($r?o(0>s4q9n2+y8&Jk zi;%=O*ZyapePWUCyQ!(c(ffD?_!)6 zd&-HNRE=>awd~#fESmjETJHX8qE0!ew{G&cSTG@Af;iSY)Mi!Z?H^D)Ez%PWzevC= zf&4DORAb^_KUyo z^=Ayq`5ylY-2(?KRXfv4Bnol(PahpjppfvU=nrJ_w-2kVKm?GUBBwbH)-ivjG|qU& z2A~`(K1&(0v;f`><(9l|GMzp7#VT1D24}XlmxjzccCiw-O@i@0zGM=V^{-G$z#FDO zPOZ6pskN1Mug;^H%5cpN&*Wrx3-st7C3pwmRd3 z&!*Q}_HWIp!JI4s(#AkUL%CQ=f|$#nWWg_5#|ffDs%`naxoo!1zEDm*bN^tR@k{;A z4HpVvc$pXQB2ot&940+j>*}znUqoDSNSl~iOJ=xv#-Z76)^HtefTuRlZAyk@>Al%V zk2rd=6rlKbreiz;of~$1c+J-1$<2QBnVXG@h#s6iqX|?67eEKsC6%>N1DTWGBb;E5Yr(X*0a+^mkUn0=H2TxF} zF*p8{`&{jUyHo?vx=s%P&m z@ODwfzAkXX4lfuMwBkLw3)(vIPSbWcG7?F;(@5P?z%dx%lRl$M^&i);ro zmJk!^FpvUV4n~EHl3%?``R_jjU&XTFK9v7VZ2krCr+xHG@ljBoO89jKDuha)R)+XI zo+LuS22!>u{$I*3<2wyhV;` z1M5K<`h3bqf$j{f0-}kO-ERbT=}2dxUfaNg(A`7d?s%0SF_7Dk;hVxP!V zCwrAb2W!agxD*_LDuT3_!RK{0xY@&uI5Mq6n)y!~@vF#v8wKnOGQcAPuK>h{=kzz&Lf=jCbfJH?~g zhOryzlI~cW*-x1QFGxMVBw;RVDUq!S|Oq41S0c zeD#Kc0kC^+nr9)A1^P!w1;SUl_f4Nopnu`gktbp$;cl3~Asc_8JBscNT)M(~$TlbH zMIUt&4M3De{SwOzl+1HNjC6&Ct4{+ z!eKtQx#)QLJ{TL1c~}M!U_h10`T&CFmxgW{of3dsSZCpSwXCDf=k+kF3ldXpDj5zY zz;IDaU-={9xkqY8ZKZUd_`na+}KqOo%D{wVv!GJ(&nv3;i z1A{p}soG%b!s9*R+yFG>_+XBhyZfv!7pHC~*)wRV^ao+z>o}rP|A51raDF14@`Rgsd0AAc6CSvkXzCOjqfldgs`l z)a>CTVRx)mLh#hXf22Uzy02pYqwT~)w zi40Y$XF2vKGUVX_)L6k{!H#iS>GQ_DlX0mt;FB@dFsujPB*@6(dY%|10~dWG^w#H! zwk{SXoQlRs&-s82%&Wc+RG_Wz>3UnUUtEK{G?@^p=@9^26DugVG_KB502L-xqs1Ro z;&;4%`)j@zwqXFmXsgbLs^D>s5j>1)LlDt0}|e*ZKEkQ99*5ClpXP zu+(q`>HZmF{!3I2k(dn(hK)5oQLB#%#3FS*s_n0&gjiOdjI#(5uu*YxU>n3vD9x`# z(&JFcRCj{E9E7=BbOxSfS4aRj|BGhYWuda=`!c;`S=DSLf9yD^0_tuwgyFy&NqVk5 zWGFiA265-Sr)6|su7PtUFu}tKPs0 z&uOetFQuPgL_KIdc1Q2&kFf4rEN6PkrtSCd(FepSzG&iv{Lf^8g8oG8FfbJZ-g7`}siT1>G zhup!O3ZoP3D01!5aSsAz?XJQpCzI-j&pzH+bV&9DSg@RAz58Kd?V*8l@<-|N#q!>O z2pg+!a06RvR$J4H2y!X>ugj150x!mqRb?7z z?l#A)R!C;)QxrWX%;f42`;m?%V>w}lG&1H344jBbw6xuuna-?+SQrM_^-v2BN~a5L>RnB+fgyM4)+X%C#-*eEl_v{ zk-Pik4R^OPam7=`!A#SwONW$~v#hsNy3 zCuL+TNuI*C)I_MeA>Zgk?Kg5}v>hJ~R^!m5xy$z|P}U6l?)gK9iNznsYD*|@a%`*= zyqPwHqhqd=%=N@nsbJgOaJWpes$_QDXZ^G{Q6om5SJTWay*q+jxjS(l>$Zy}2#t^- zNlHe;q@|4ZAHP(S5Zn1XzH~>jzvdA;k<5J~9NYzm=n!1*eJ>XV1tR?MZSV^~1Rkky zzZCAIc1UR5y$e6!LT9TX`KT=Y6EUygs{_}83BSnpax#Ku?62tOXEc{qA6uimS!*7V zhc)FYl}zXlR_EotU-sJ}wbb-Cw799M89N~OtP$$?6%`f7$Ht&=CINm*3KHKLP)VJd z%6{#d117=>e((y4Yv6wD#xwXev#}4c58Z~vj`C)rM`CZ#Z439vgK&TDbC2SAb$^sM z8w$9n_)x*-_R;XC=9kl!MNzQALA|iemI#dpyK+Z{^)ZLmr8gfu_zAaIf9A+AG0^*E z=j1?O4zAyu-n$q1^JPp-vD2(tVLueF+`!2%I9EhObU+clT==-yf;{kgB}`+2{GgdSE@v2}gl95guyUM41q1A%<~M|U3h*qD4B zd6A-Kd^JI|w>;Y0W11=7>5aE#IpuSYY;H|yKj;`M2*AHnwnS^1$ z9psI9&UJN5^o(*&yZG2<64SrzPVZ|FYd)nXD7J>+ z+;r$p`JWU+63SmLCtQ#1yjm(pRmc1-qHt+Ht5M(O?HMBtVjh7&7>ZT#pI2ik1wzxO zPnU>etF-=)$uhu(xumbZYhK@9u(9H$&2~)YkgF@IXbscbXsSt=miGd(2n&m!rv<=kmB6O0{Bm zC^yO8+WxU=wi|2jARP>R1%nHQEQfh{C0rYnp_~6KNYXN2ak}GN?jS}NRb@lLM6uKR zLbW)`rEF9-j2+>aZF<*nIrK|I`6A)y{rrNiVixr{Zq8iqGCEktRr;)?lR28dkRI4% zZfrknvYq$W*VT>YXm%)Pe>K$*uV|@PC`gx?9K(4Z+9tlp$8^(cCCI9daWh{nhuL#m#5mXM*&fcd*bl)EG8I7#wzILA|(?B8S(?tfv{6OZDD7>k~9O z6%b=w3{w<6YI<9M&KGa72*Y7*^LG0Vg4^eOR_?}K`#`L_+3K`Qr>39zuG<}!PFGib zV+kjuBqbv`I>=vNf6=m(HoJ5wrqu(3eF&ql9|ak2^;bi#xU{)aDV80XE8@vv1(N}& z#o^h?73IepAwlej+ER1tI&*3ngZ}lq>6RHJ4@O5#Y)%|gjcpSH>LPvrD}Fw{_DnRy z5%-A`b8arbOz*T2Vde;wqGHda_bvx(i!Z-3B`ZrN@>-pLDR$^_QOy~8g@VG4?#A-> zGED2CU{jw^Yv@{Tj!;)gitn%Sw1{M1}-Uu#C>md6P?SB+!F zw8c-eyJK}L`Rlij?d^`iw^ve-2Y;1@{9FY-fwm2RCBC^RcEH;H7DMvK6`*WIyO9@y zLN2lxf*T*!Q2}wKXT!|Ibj!iP;nuCX>OZI??9fl$Z*5&LeZp;)aT1JQJ(T$1q`+ zv7JD{qg@2JCp#XoIdo{gzfr_I3loaqhCg;Y`6XIFDs!6x|cO!L((R8JepfChM+H~|%e^Z_sStOs)Pg3#B zO~MNurSS3amX2&z)g1m-pU#2c?8KnFjcVKe+}IAQSL=B*UY@y%?m5-;-qijZW}{n0 zYWe$?8IgGvDw9=$=-t5yN%m1~G^BWhn?z<}fB{?gM^O!Jpe6NAp2oDM*^{pew0Qw{ zikDx{y;-|DE-hs7%jM5|-BY!4V64&2UTLU0WUZ-vuN z2b{u8zvhqaf`9V>S=FGeWF&51yVWaa^DMW;aydce(`T89Ea)9021ISg`$TLVX?Xc_ zV}yFgAo?ayS8cV|+Wbegq?&nRk2mu~Km)@h_8_+3bG-n&H$A}XsuVTjpPNYzdMmUC z`4i8j+O9O-#$thO%CI1%`Ib~x4ce-BYV#6*!Cle&PmHG}$tzGS=V^@sjn)fKeC%(` zIu{nz=+kQd+-)9DUvAK04eY%_%65s?Azy)=AD%N=+uNRz`k#CRf@5ah3jCZ3H4 zM|-KIaaO%0VGYt~dW9)1$2&ShKKk<#)f_>X?-kV)ZMy08y;msK{MmHB*M`4Mqs<@h?Ogrx^j=8Si&9Eak55R2!*Ewi12*pYxlq;iD-@elVYIzv6G;dNyjK zMPzSk*~Wq@3_9G4CfV%w~xT=a*zZA+8xd2)G>gG!Aoffj`l@3K9x z!pbJgqfXw}bV@Ii1IzZf$Y(q+dA&u16{WIxBSEyo&;h2*c%>EP6}>EY4qfVx8(NHfG|AfJIrPTR!6VTkS0OHNc2)-uU9ZIk zYSRr@-VgVUO~*8txc~X)^O?~3dv^Il<^3Q{53fH9+$N4+RR^@MF$A;Mx0u%sVskcf zEj?83(vje)Z&Qq>?DeWnXQOn*_Dpj7QQ^X?PeV_R^(I)ir|=twlE(VUnDNZg2LI=y zk)~n9j_dO8G!9CDV;DhDq4g57Xw)@x@%3wEdq^|x1!iD5RT#SXV8%1m`j>kGs{ zPm?Z`1Esji<_d#uMdjuLT9mQ|EwHP3H+XR_Mu{`6DWiS6`VPUVr0)ZUF%s~rTKq4d z@&EbY`(Ekf!t!!snj~V2UwdhVV>X2izJAhN?`n8>I3%kd2t^}Do@Hg(fRv?DU0vOi!ouCs>^P$dNTf4j^(7Csw(e3Gg|*7Gk%>fqDem%dWzUN&r4DU;etN;dn*gXSC@chc zBEi}UNz~YFpS2^YA6@{#?SieLA7F`?7#TU|+E+3A1Ps@lSj+lA)4Uu+{P9z3Z1{3n zZS8NcTk?oY$+a&LkLTd$C&RM}fV^N9L}aG_5z`M?Kum*Kggh}J`YK|%tgp`;^#-;9 z0kIgLkN{v z&aRm$qUrm>2jJPDBHJAJEBX*|{oj<<;B*9O(#d)(!_(7+Sbc&1X(%o&qlr81G9DkU4@5p>kYHBL{*Say(YC}#)Na)-i3gM10RdvTl)Wd(6PgqXJ_1kH+-1|eSzp`T)tS0 HwGICdlC;0Y literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N16type2.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N16type2.png" new file mode 100755 index 0000000000000000000000000000000000000000..ca892a243b2b413dd768960816ebe792f027d555 GIT binary patch literal 22792 zcmc$`cT^V3(=Iw>5D5YTl98k!8IdGWi6ROpl0gMtBuGXwN>a%pB1up|KqM#02r4<} zEJ2W*b2>Hd{rm2B@BP+U=fCr=wbz!Jo}TXN>Z*FGo}L%CZYq(SqC15k2#JdFHBAJ; zu|g2+2tsVQ61L@>0skYiQ`U7v5E=^fKP-!+E@*`iIH{;#Cz!$^A-%*AX|{16F0ngZ zzvra&*xbq0)WHlCx3}W8)L?zZt8^5dF){2c!@>D_5q*Ny-`|de;#eG;9zFz zWck>ZMcdNG3=tIORX26u6%r5>XAu&T5D}3OzT(jnL5UzNh{`oN?I#I~qh1EuX2&OM zYPgIQa_3$bMmwK=L5|mX=c&lK`vumH%|o3@Wn(LGu4WUqZhnecvEd|jn)cPkmj#9C z1N0uL_XJ!#E3B!>#>Q^h$zn(z$saMYdoWc<8SFdK(h}>~wy@X#j!T*s{3eRv6M0O#91q(qsB-oYVS|KSB2nWgfzi{holOcjUfA;K| z9|4(aj?c-#xa)jR#<5QI!E$+B5E(J6r>7^=lV49oePT++y$^QRrsSW8(q9qX52U|h z>$y7-q^qmT$H&LY%1X(3!_NNphL1V3wC8SSPSyTQ{9}1}dFWa(gqGFyI`Vpo6KPmt zKG_Z0Votr2dH3?}yvIrrrrNY3bC@&~p1JMJ-puQitE2O3a}lA&2`5IX1f4 ziCzawC2+gBwbjOM^nTvqet&g#W@c0>H_{~k4ELA8=giEL)gYOHRh;Vm`OJ=v4h`wO zl#DCd+S-@y<;uEO+D+8HdiAQnqWyS1rkYBAdUm#Yt508j^vV9_T=mJ(is+?YL3ETy z`_8QXNlD{rN#1(8x}{zR+hL57r&w<$NguUIt~403Ab2ct*me@s!Kr%;j#Dlv0fjs| z*@qKkK7^-EHMOQrRspm=g@xmxHryqt}C^r zr6n#d&V0=A_;Bw*eb{K3&`@pC3-ZaMo$(l>3Yf!3#n7%frvmfVI1$TNPPg5ssgO7& zm}1%Iw!&qO|4g92+eSvx4}PAWbM~WOn4e$%Iz>9CRv*Sl zDPOVCCfUUt!)NF|-4OZBk6>q^|1tc_u*^xf%*pc3ojZOjU!l9PN{@rRy-=pBWsb9L z4}OM3N~hhCp=7=0>3P`9UoGXay_lYUVPWa2`zB_776&Og4TPwC%ilRV znzTsr;;f{V_IzR`L+*<&*h=6_SqidA(?~jQ5pE}B9eXkrI#^&q#wfAbSJG=NW!1y) zy++LX-OzP3Ix_MMH8rRI1%Cd=^Q|=eMz4*&*VkJ-e0VRX{>P8l)|pRLF`bwSm!%;k zW-oUCKLdGFUvvxn$+NSw$)tBps=bf+j4F10PIi2ly?5U`CkkD>sNyc@wZDna9336~ zdv31uQ7^aOw}>}ywtvz;CS;Y~n~s9n^Bb0F6Xw}Z{e(_r2`A>}_L_KdXio>SDTN*W z=J$z|UNSz}j|mD=BKF}7-A_8%ON!#sIK?_LGUB~cK7RQ`9AUxj_C&<67DSjWjWm>% zpKmHzs)nh5+EXU>4?Ql|IZ66%ROx=S>{?ww-BIbW6HLP|x)mEl%J^!muhemNzdwfG zn9ndt$n2$4YH@Mj5NGjC!l6>fw5_YO|_I8c3`-v5>FPRpGsrijA8IBZM zYQ_6py0UQeB!C>0;J%0SDPNb4ANaqViXV^jRf>7-JALe~)~~Wkxg&M;YI}nC1JQVm zL^~=Qay|$3+ zvccSSTia!@nb`)VFbFN5rvgGkn(mQr-f#}>3%kuGx-xkz3eGu$5xH^WhSfXWK(^m;HFj*-#PRGRRs+xNz+yi)u7&Q{?NkQNpnm#H0x#{bK99 zWj?wqwg!(LJu0ys9az_PoYd7GgN39OwIc_JKOu@XorkR>cZ0dp@;-d{knyohe@j?JMI~0or)qQGwfbQ6-BphsFKjU+9-X8nCD%>Vhb=J2+L(>j z-lrtHx*5@9cKpka>}7B;Y;1pW0y3u8Rdi?0boPXHiSx-n*N-78cbXG&p6_9lbkWw) z(eG!=A`=r`T`C!8lJ*>+!vZI1GZ&DQWSn+0ak=Wi_VMGD<{19|zP?W>DN_4?K6dGp zSPwcJ?yf~dLemJsqmeO05hwIIKJ~@jb zt+O+&@s^gBwzjq<$HaTPQ{h)OVvCB349i`zPL36VNPB(iz)qPRbxr;VrXR6QoY`nL zK3?xzVPax3GBo6^EXdDy0IMH*On*E)eq4MA z;tm{?(}w;88-q(gpr7NkG_(~!V>D3m*jU)L^y^o4c6O)bkwN!VImzL&(--3g0(j4X zO$A@|%_QP@An!pv*wD03pUTRPH>`<;%#(P*GEJw6`w>ze{Ibt_#|{(JsU9 zPk8z?$c3MNWVcWMvC3?>)b_vwP4dIZV19^M80hGR{shvqUNbZtjTN@2IypY@KH4W? zl6t$uk4)Fzq(gQGYo6j{XMy+Ep! zOT$Rbm~sSS@*rG#KRq+kN>6X7H&Z8oJWOY2L#yjjOT6TxKfERX8{ zbVq7t{yqdoQQB=i1$HdB0P0Kk>|ko5`^Bh2NSx)oaG@a&4_nqFFA5(GQ0t_n|690P z=NN(XT&zyvK6%tzKbxcKvzXIQ#CqC&hj9E|ejgt4NcLGFv5${WsTv~kxhz;mw>mf9 z`+cur#R&Jy(co(P%u8qFwaXW*%AVYLGP-p4_M-ioR(NpzDEG_XeAK>^zo(}g44+9U zM{Iu>JW;`NXyngOZMoz*@hgCaiB`ZR*~0&E`+dg*^0N8nB6&jAY$u}44FScO7;O&o z0o~KVjUyJ)dR4N|&>q55Te#@+ZIYZX-TwElo0s z-pTa%!kn5H^^YB0s&Nj1iu00Flk;4**|d`Uu5|%tC-5~A1t+wv=kauj36SgelOI#Uw`LK~EaeMz;iT6F>&+`WKlOLmFF1pLs5*^LGcAa;D(M8ms z$4ch7=ZBjtrRjO2yl{HiYkYVbhk!j{RiNHRsu|5W zE{xwiFb&O`{P?|@ijn=hexsFlb@dV}1tRhcmq=D6#e&(1!EWZHu5 z1hw+Q@6hb3K#TYI^;41jiNUc&vzdplxDUM8kXw8d?1-6wZChMaUeDOt?MYJ+JoSXC zqeagLwHG~n_>o)Zp#k1=^-2M*2XhLPKP6eDRV!|E%2B4t#2)O!8ZvA!V#&(ErbtNS z$m!Y1Dm_#6&gc_H$=f)bu)F8@@+g_jP^9jkh81F@EgU@bpx5Tk5wtnFzazeTpc>To zw#;Dnf|(52HEdZgSfHCWeeWC{h2D(itzIrYMSHg3cjHLE8w&+8kNO^?Hx*q6G6vI> z@zXO)Y&s;iW72iASx*{k`CZ1-KRXghzWU9FizHwZQTPfs@n>``8O^Y--R|NSo%`0_ zmj@5y!W~D6y^_mVA4BtZau$UDIK#hw%!ri|V=&d$zRWe_RCukYp-f_ZVA6Q*_T zWT>fixW1XHa(*h-fd(59vWqT9^i`FWm2cdraNl|aFa<(RI!a1Pa`KLr1AuZq_4V~W z;9CKm$P+R%CuL}*wZ&b|JoX3!d}1?PtiLjvZ>9#G)J9(>Sr@DU^YUq;J8jr0-$8#{ zhq=ra#yMLOxj=ruZq2JiK#ZWFs!Au}^hZnMsxu%rK-(Vc(~Sq)OB)*-R+~oviXrM7 z_}v`C2FUmPc?eKs4p-}RjNCY`T-o^@V_aOd1Wx1JxpUxfZR{ki-uRN7ji37b=2Mg5 zb^RWxN~?yKdFY;ep#6#kTrI?{@(K!+lsgrhJfbn+qYLewnf~V!H@GBR~>G4 zYw!cAEfWG@r4q#n15l3>xhN)fuk7BfTfgS#_xEO#%D<-PPLYY>SYip)mZXgY6b8uU z@SHmR;2ec-Upo8QB?vPOsENlxr_%-0kd>=sHb%SAkPs0IQ+?J6(0P7- zUc!1Hcb}#{vrLw2nuKexc&AuJACHYx`<$$jl%-a5NOK=dWwzOJ8Ph zK(kG(wqDw|%erdSyCE8bP;Y&8b>OT0$F0eoaUXvFC)n+m1dG zq03T>4n5D0U2?}dY{PvyW#rC)V()96f!8GI!&%jXMF|&sJAN#8yjS?xpISP}JBVBG zc)V(FV-`{?fcKZArKS587W#8eAmqtfyG=MTJIl4yKUnGE>al=dsIKRmApRj|Bw!#= zF6UsPEh68k0NuiD>8x_9QI%+>3BNy;L{K6zdvCZOK%oeXmh$q5y9gB7IHL+$9%r? z(W&vR(Ks_<%okl*i?4KyuLv6=%@K&U28l;r0J-8v*h!I%jg6NtU!JND=f8OIMR<75 zmoEl+_XD=B!mu^tK$|Y|@{U%x6_9^`l*O^)xkeC>B%of_Yd3DROgBd9WE<$-x+UZo*^gCwd&7?jG)a>p-R~# z$oEBHkv>h!A2nrhZtW7h@AtNJuZ0d>I@w#bo>PXzB^^hm&pW7^Z^@}KE3oBU&-nN}u#425~{#+mrpGejW?Nga}i3*zT`!U~_C8KS_^JmgnOxa)Y37UZaU9&8nq8>}nZE=z>EP?4ZRjOC4T_N(C-{LM zoi3D5WXoUcwzPX3MM7)yj)iP|4HFUy-+WlnLbdBIKU>at!5Eo@6*u2UlE~_U;D)j> zQ?F(#XFxuQ=RL2UJ5BV@9 zB<2hSyRYcyhBlMaDOuNc-8o$uwai%o72*HA-Xc8)!h^0@FXx9x=Ydd!(4j<@I>=J- zS`Wt_tTuol;LaCDXKM>+BAGWO>hw7rONGB;l38GoWuy1q09b)35(*YCU7&?K1^|x>>Sj9{@P8e`EcCL@ zOFrm$t6Z9SD-H0uNIsUB3=Fj|Qg0ySX<^hkwtY_5%9VdDQ7g;@!jxx1q4&ENMC%h7 zMdJk{TsRW#?s=8Ug4Ik`K_9r~mFgRHn$cHL6yXAZ*P#i!UuxxYI9y|??HA_!#Q~-% zff`m;?T$G!v&c_ncmrVhZ{3OTNE+y5$N7JBQnX_nta#!5t*=wN1wGDQdxmT0VK!&(_}e!x zL1F41=t^oN$=|+4j6j86v|$zIGG3}Ju~6y2MaM=?L{YPpN372W;<@Ce^Bc694s7q& zt6&ISOq=ijd|s90OlkrPW&wI@M-LP1sC^R*0W#6MnvVcqNT357xJ1LnfNduagDa6E z);9t~36G^Ww4tVN7ci2nV+!>)DDZE-YvrhWnQ%m<&JFEbVew!goc~elnha1e5fwW2 zo5cDq==##b3UI3UeYZ4i4g;%)zE3s^CQat@@AIJ_1D3S^)7k&;59<`g;*mRuFFCwP zT~LSg`6=R8<);pBJbLH|^0EN?*YW%$Az)N%S$w)kDyTRb!a;X^NDB z5C1-QNMjix#%rDSBg`6U^Tj3lRh>&byq5=>zX&~ea~9>!{tbvQVc)c3Mz*S~=2n^j zEiA#B?8f%givDqSpm{b!yIIEf)bxuScElMFe>=vMC!nX{P5CKg2vllSSZ_cTwrsE{ zUnEGM4!sohAyjPyrw$rohgu`+Xm2V2OyxeZ!HV;ugAsyj zL7iCq$kJD1%AX1$6l8`5O*8Px~f9tPzJ! zgJ(CCl?h2m7^R-9{`%&Zb|cndd8Bk^{A9)F!~=>*-@bi==$1|db4y#hC;oBO+*?ho zAkYI)8<<`nYTo#UR8c$`9v;3jUVQ?UREyTQtzI2t^>-;0504Gezs)z||h`43*COyz+@J%F^(7cU@< zs`0VJdaPn^{dcp5%+cmEJmM4{$SA%OvDDPm>`GCIdgkZHs4Zb)0n;Q;+XUAlYm*DY8lNP-mRo`oR6jaD7qJ#X*+ zA3{PeZaju8p@SzeHFl+$vcuN{@KBxfv*eJ2a%ebYz#;2yZC42*>(bHR6^C*Jfb^Xa z$MC`@MCk~xb*k`_d2gTkQ=s<@Dm;>wwqq3+{V} zJ^+BUwAqv6m6QG5-I491>FXEdL6`X!YwuQp2VgpgU>x-I`uljSCXeA_TcRW}E7XPl z1!$u-)FyrZUx4PqO<^bCVw#CB`H#@hRC10{C4l;#U+w^0$nSR~%c+mv)7O{uIX;A> zG(H|4U=0t~QRf&hVmyZDh6A6$;bxz>v!XqO+@(k%zu%L78%n1bf&DeWee%zJeSJSI z>*bor>FMb`d^nwLSib3AIhu*fkPdQJS;Z~Q^tEZB?Ti5{$DjzR5UIp;M&5e3xYa#1 zH+L0q>(Rju84*#Q(U)}e7h%N3&VKLGo9EA;`}^Z&kddY;D`XevUd6vhynkYJ#tCG35;3mai~cekmj=`7FPd*fPAxkznHNJ!}F>S~R@ zLd>eFrZ(Fe-~X!{io#=a-D#5f-ZjM#W-XjC{Sc5vhhLc;WUo0$5R6(wERokN0p#(b zHtwLQP!ooqKRMKFhH_2opiDvUwK1FI<3070r1N`)y(N`+vH>hq5(I$tE(M@sY)%pQ z9FJ6i>;P4Sii(QG5+W4tSW4SDlz$qP+28WX#m^8@v3hXc(hkys$W9IHS71#_(2 z0x545+7t0w-zlS$dWy_4QGAR^8%E83a$rI~KLOztC zd`x|r8%c-Qpo3g*Ut@Z$*WD}v!yB)QCbm-#{LSpcEt+OKO1{WLfO~}}lWqB~B zRwSTg17z^1KV&%zb1(mK@4-f!10=xI4lIRt)%GP58iQXlp@AnT;R#AG`z}EL=m|F* z(CcHXy#}Ihg$QFyGAiN8|9;7fsodZgAVi|fcu;(leoU6z~5kq zmk{txO9_UZ1@6~5e>&Qo#vGOtV4xT=%-tGdqI50Y{qn-xP6QRtDI$tnTt{4#~Th{Af(3&34>KmK7xsz>a10S)N}&O6CeGPM7(qqwLxd?6gAm~(eyAY z@){!j^wzMJ+Ib#4NOHqES+HNu4@wx}{LjtMW2aNR)*^n2qQ2Yc#Ji7H&GiN_f%=b` zuRLak0bs~r0Nj#7#6Gtj1E@vgz+8Ywh8>iA72f)}qgGKZ0gxT~JjBeOyN*_8K3~j1 z=WXc!SOaD_C6ck*ebGn_E-(o7^- z8-#il0!7DHta=Tt@vuFH0LZ0(FJ-gzKK5R$RcwyN)%g16I2-K-g56{ai&w7s#PnGd z0z(aS?7qdY1dmJ_dA9gkJ_Ll`1%r~@3ZtcCH>d8ZRiw8jKH&kKuz@hD=hrvN1&rxO z67!Hh&G4isOyXq;TR{Z1=+MvP{>}#c4tyBQ+Gd&-wj#Zy=|>x>^Ec9>C_%-1Yhguy zWd4O41~7hYj&GF9yV7c~KQ5exRTRw#STdbvRW6L|X^^fb3PSWi5*OloY9Zpe5Fc}~ z>|ml5lulL!MwXu_8F!PIerbBB+}QSJHb;&&1nU>@p_g3PD&f&S^61T(B>-bOv5Bm( zdQ@m<_hNqlIvVgtJA1E=b|wg&Jro3;g`4;)6`$dDpYZYuJewdJ{rYuZBbcQk;jy_9rhDFGV*vYDdm5 zHC$rQbq*`YHPwfG*3Q228ltyTAV;dX8|ydW9i@CvCtN*!R8b)y@Lnb;ROLSNE6g=@ zggu5|DGBlRS6)~#sTXkV3S2wT+HN+)Lo8r}zOLUuwQ=BulE3A>Z>;zV*cc}0<1H!z zgMlTpmw^7#qnv6dpyG*!muX&yuRgm3%A&*nNM3Rr+zE;O(FSPSBdrbs2|=C8&-;n&ejq z2=2bNIdfV7Nsv9+>C7qzLBWDsu)bn!FqYGWxf4$y{^`GD4x0bBu~34_mf`Kumf_VEEay! z$P6m9Q<%tqBnsP+4ivo2R>^!K_nCqeE|IP>7lRNjg)9!ca?N10ZcD;L!gCV5)MkUutF-&@YZ8vm57b+fBwMJsf_LN2+bl6e=0QHv&=f@Znkq}nbB z7DQYXu@gz^sU0( zeear{W=}{Ep7x55?pfDm9k zfut_Ifeet+ifuw$WQPB#SPkn7+69MeZr2OqQ?#|R)uw2uoVou2QuYy{?iAAy>PNkL z1r(kA9xV+Zi_8J_<(-tWFyR#^#Xn!^&`k_$E$bl-3LHd2JUl#*G8PdL5fr>#M)ChDOgTP) zNC)1orKJ_`t{^A(6u1!(A1zOQs11`zXsvfkZEliT6A;~z(UWxn(Ox;Ol9?R5=+KWX z%fzj69nj8M`AbF>1MVD zc;#ZAhl`b?&Ek#Zs%J#(_kTr&T8lu<;n5S)b)da|$;x6BvorBq0H)!qs-d1xC|R`n ztj8F8U-TcSaC1C4KB}v$gQCgJ1hL52*x1CxqcoYLjL`rbnS$wPQt_fuD|`jdWLmTi z-1rTYwNQnGXdNnqK!swy^E$iGqI>hd)s^D83>#Zp6M@*&m!%)7IF;kQm1(|QS+tWF z71ceXn(Y1}HlRDR&5hQLkV3WAn+|hIqo_A65O?ssLXcvPn&Xe*0wVLH{VkB7qoZSi z(b~p_e#MhDV*ZL;5X%fDyW5$pNjC`&lfv7}+`fHl&jscvqj=q>bOZgVM^Mxgt4Y%S z|5TNr1{gpNb)~D!Ml#Z>is4MW{tfNzkK609w@@!~*ABMoEry+%T-bR78aS8;I*R`h zzAWvn>XRwIX3DX)5Wt&PYq>-R4hVhpuQyYKEe_tPrV~n00p!4_EdzS7UuU>dIMZ4A z!b6ife1MraI5_k-2r1+fq}((KO$BI&w)1MTm=3KClL8=_hE;f-Y%0YRj{x$Jm{3)U zX|`~w^Zw~RzRsqm6Cj)YofT#Ziu;xK0DIrQ-C5i2x)2r?$J2peYJg$ zM11?e{|rLTIY9xZcNNsIJtY^G4#*V|K@d6lNCY@ylIb8BiGg4`Lh1YimiK%R{X*mj zsRmS8&cKYMAWUc$7rAu~-0Ki{`-kN5?oaWQ)5*ZnEP;;{8d!5PMP@r>Ls8{~zU>N= z+TNRzk@PgwVeZS2B;$d{>}+FH318hx2_PvtMQgJu^*4xG1SW*oYjhx-KiF#7U0HoA zQP+VDPU{wIK?vq1P1)t-i&FBWVS+snqKox_u_KPSbrr_)8GIrGm*`gQq*Wt>KS}SD zC!OpTjCV@lI|1Fq%o62aJ2MQ+?pq0BATWJSCIYV!`SOcA)LIse1^gh&1tAvtfuzd7 z6D#FTM@#PxmX+^#0b9j)>MtK5!{(9#_GiE{403dnkQ(w;fN~R|al4~Z7uxj_`kcvg zvcg7iK{AQ2j5RsHfm?^*5)F)owa5qV%En8DaIVyT8StY)+lNB?a>=NQC|2o?OJR-p z+CZZn=m%v&x78$0>#MNFv2RZHvW74|FAj6+(c#Jjw5jD6G8i?KvwRs<6g;0`Q_#(t zz4V?lIQ_s2xeP(P3p>f$ngB%haWg~Z7(r;Y2Zv1Dj`6mFKBM^Z?&l$rq|&Mf2$Av z^S78Q`_VtM*Wz>wh<0Sr6<80@xu!NdD6b;VPwN!PwK8qVG$LoRu6bV z-5lXN8Nu>&d#FsNd)oUc z^eYN*kN(d}y%2k{7`t+EWf}9(cghIacoI5B@eouF(A;G(QkPcKE&@7kg}B5Ua3SB)XU~OHoJ*F(9me&ry%;1 z4ThH%n_yAoit}r2LVyf=dsUEt_s6Aa-13(mkM*SBu!x*aVgk4B=Rz7?)l;_ z2AL_#f@PBB0TPvtmrxW;FMI#eON(<9XqhMZEF>B75h5`l8~8>+bjtgKGQzhG44)We zJ~}ji%zTA}g|06ygP)mlO)N~A8kk>n4i5ChXtRs-cIME@^}p-^>Lx?HWLE1Y`~)a* ze62iu^?5nyN*?r03w?J(BwfPAD@(U20WP300D7~8-i9AwQA7~O=VPJ88rzRhz{_Da zXvJn{iJ92A`*p>L6Cl?yF?1ISRWQutY1nNjTv+F8xepV7ib=BgC0Xn?U+8WSq&$-# z8P^6+OF{r(++*-Uy%H+yh&OERI4AopO0;RsKT8e>4zQkY53tK^`7gR3VN47AIQUk=YQ4 zgC$8opShMNZo6A?76O6f_rG2U2cp@Lvxss;4R2FdYkgB0UdRiSO-J$%oefkXAEb}+ zy8^E^Vzd8B0U{&WyL((xfl~^WC2=HQ5uQWqC^8vU2Di)wb=2K} zMS+YM0Y?au5})~L8Vm`OZ?h}^;*zm;4-`I)l`8PZnMqMAPy8md3xy};2_ua)qvNCK zMeGAnLvRr|m3s*WVAbF+Jy=eE@>=J*!p|42Kz*oynFTxTbpLJ!xJnFcaq>46nrdUc zL7X8m=+kK~W>}OVZ34Jd z+_fcKI6txK+2rIGKmlDZy^H}kW}~yQnGMXcf>eAv$l-`&flfiu^dF7{VgryHWam_# z1aFm9fDQ}63c~cxt_oe(F=s}%1uX@8;Q}x#NJHGg7|7y*paa|WzddBGF@^T&z;)tS z;b!}e)oYSZ_Dh*v8Ka#izUg<%QI<$jJx8u%Lh$LQbF!Ss##n z!asuF>c%|#0%aqhb}tzMP=JcUb~*v+U7%_SU3Uy*O_m0H(v@_xv9&Q8hWT40h6Q3l zAos!91+<8CQR`EJq-*x?oi<>vLoI1LlO)U@^qcwgTOrp&LQq>1fFo_qREE}JsNjAV zMzg@78YX6DASs;yl^m!z3vl2dPRh-});9Q9%Xw+2h&uR38(!@h2SnNm&2vl>R1=V)}n% z4aSu%)RD5?RXDgh5V~n5+T*%J*3EpZ_-<8) z`H|8B@<})eU}aYcL`uT<-S(m{;zsvIk|nA0p5k|$x2$=hTNcZE+1Cb&U1eXtZqE0@ zIW{$t?3n0iB37tUvMb%T^M}R#%2d?Rj#lZBhPw9g$J-ISU?)(ca;H=E8I^np-R1e8 zq4Xq*GE7(9wNXMCi`MPj9LV`V-VZ3)sAWyN!bNazJ^M{+JP70#83{*&5|i6C!aSQ{ z(S_*R+FCdYv9&TT!_NNQ9X-<>37_M;ad+C&i$YI7ZwBjw>-4S^qlK ztzI6rD?p5;V8#+57m#?h7U8Ro5tNhPdMV1Ap}US&@a-hJ-o!yr4aw|gL*is>cI9;S z&Yv8>f_?D|Q9we0Z8c|_pbd5(^tDOxng3_-{_TwF%s|Co8b2kQ3F+pfIqW}XI7@PH zbJNG!p!aprX<>4VV0 zE^Ng+T1KcdY!Y~IZT#?+s{5eqa0JVH3Ro%Bnd8$Tybn7T9=)kh=cmU2gP|vRfMI9b zHG9M2FP~f$c$ypgIW%3(_(KruUIv0m=*z*sdEA_6zo(hV33Ogs#QBQyBS{(B2zOS(R$tW>}(I0moV2`+%i7ZH1*5LF(icm(@x#>DuuW8^NXs0YF-$g zC%|XmSx|&NA-bVj3{r1D+_*wNXN-g4%7|%o8M(~*w`6%S;>Su!{_DXO;a{<6k?f4YozbW2(SSCPoBL)3f?d?{id3n8EYV1 zE(crz^YNy&ZkaA*(q^we^MA#j6$-i7zvhA*c_iw1Z5(PMpvz+E_$VfQnFqPJfof7x zABO-`6xHb+tjr^q1NEtKWA{|22k!3 zVWdG5$#lu*U`{o#wDN?1x%x=Q8<2#t%qI3h{!{%(mWf5kla`IPkD~`6Kor++zgM_< zw2lR{0BF&0hV?4|2{4Y1^Pp0uZOrYn(?TNzXyP^PO`)iHay4_f40c2$SaZhU z$#!-wxC!ajTDL^WSE7r?1cN>#vMvCwfztT%AJ$-vZUoCj3WO$3BLYk1sc0a9$P?xP zzl7;I?ib|9aCk>t#)}6If3Pcy#njceAM-Amy0jy9 zdcfM^1;!6fWQQ09d%|;$oI*@DMbZHKem{~B8*_2r^Cq8?xk57xi5i67$e$Cky2UPy zZRgO16FD1}qPwp8I~y+o_E+0|pso5W>wKRIN2tF2J+Cl&(rdr?`Kc%fnd(!e_v&Ly zGLP5~U?CAbZj&3+ZAv@2nfOE3p7|f%*W#Dj9h6}5!A%wezR=M`8@WrbteubS69s_}92V0tw-6X7 z;8u9%&j^x_GB!FlvCueUg~XY4EbRZ_6#VC2C79^Yc#We{<1=e#e1AgXq~k5`j!*8N z)wKdxodHM?xUl|EQk22=MGu@bQ!SM{{w?Y0p_JL$?RB-!@AOZ$OU^dqvnNE9QzLfN zOp4w01>-9x^I|gxvUti7xp@G}R0M~&dzL^QWpPmUp)xzloz;hfMM9hjLs}(Keilgb zZAcEM=d$`MUtlDn5REd{EOO}Q%Fxw-qe<<5ln~5ICI5@u*2*9jXadw!Q5YdtrgUs$ zDeQMCND=_hP2t=7=VmeJ$8}FQwzfc19!^g58&&}SU(JRyA<1_LBhkdekk!xv0RYqu zNb#YUi%`9Q<4@2B=;hM-$o{D2h8|dP*+>Y;6|2|C>h`R0ualtQ<*}VguuHzG9c_V-59oXZ*N+}9 zK`=1U{iAGR0*(l72z=g+Jwa zxewwHNI@3#xWj+#ZyAjk!Gxi0Bf4fDV+jl_uHqdM6zp`|Ltj%a zab3AE@f|7U!9sclvnS;qBy17w`3n-mADQeiXwVo_*i4J-FvrNt-b3g(GS6YBiQ?dSog9Pli<1dx|JY<|BmY?P$(37Ll|CmC+tnmk%v$EI^H00%W63LJT>Ak3L z_%>qrM4G{HcYLo-aJv=pl~4}9&a`4$^>yEVyRQc8&wZ<#5Yx?ueR93GvG$0`6Bjva zzp)Kf;BwuT>L)%9Uj_=?8D`~c!tVL?kXxGULb;5w&$Goo07;hCe7-3Vx9qWTduryA z#856XMao@eGU?;vu(MG{!*Xa>u(WF7*EzEcRi67NMzCCd4M77oX0u^rE2`uwf6@ezC#fx}HvI`H`KOk9m_`Ou_y(dH5OT=(@EqZ`lUCH@2oo zv_d*qGCp2CI%>4{(Nk5T2WpEp@7%X~UT+PhldU^ou`$$)HUl_@*3NK(Fi~Yp_p;YQ z8POEDM1(~+OGrJ-^FL^}JPn`?hk4S>q!nPy!L%-y%B_y-Mji zu)3RHy()>l^uCtQ1#mQcw4m~_{Y_vdK0aLIgES84k)ID8e97pm+rbFLDiT8&nLAl> zoKP<0h%mCse5g{RFmKW+fqs2DESyyZa^HbrIB*kB9625`1}}tB330;9z!oy6}X*R~~E%>}uPN@}1z(+1$sc zkXwbI@o<6!R25<#>#x@I1Se&#+;AjES63HGI;12d>Njo_8pTRDKe~0R1HOhZao1TmdMR?kNQ7XrvJQk-_WlI;9GkNZTMfxJ6KO&L z1^vjx|8TmmWcJNJXZT9Er6u5e^qsDuw;s|jw_d29m&QhhOqO@ge7s+LsqBrX>2dl< z!pO<^*l7JV=UESi6S;Gem4=w#Doz}--0$UenYcet_l12UPSxqQA$hC+abPjfrRdXH z73w+V&R3(KqH{yB%fH=KZyLIY`N&%oJ$%3E?!_%rw_AGbA#LYX=P^pPAt5zKQxA1r zoXQyz>Yj?P^!sc)Xxrt0^SUi7bLobppF%TeLpXoIc_%o-_Tt5h2lP-~4j=~xYvL*g zb+DP8od7qtYUGC%b%p-WpXwSi6CT1JKD-DBM|_E*?kc)S-Eng6=jp#8*~>@J?Z?I5 z0H3*mllt&kg7Oe5AUeMAiDIdDQ}OU9CqK{5o|2r*0@ZCkK3n)U4rt)1qw`Zca}#B` zCEZCpPuLzlh#e|I5UFokJi<|-(dL;S4^kgmBwb%}5a@NQHCog!u?4;^ungN%y?%zA z>(b!zRMFRuC;w1UA$94Jb>k}z(fBO*BmsQmsIu~^jLb<|W|`x37q1#e%Uz}JFq)** zg`_(MABjmTcgS!N*$>2|UWY$wHORO&7oXUBa}O69s<(=(6g`oD_F5EYv{d`{BluQM z+$skogQ(?!1t4Yw}G)`!^g5GS7Tq?6|@|?!mb7(QS-N+Nuq)QsKP^${OZ-Kd`qJ7>V#()8NK*p zPLq+9hul}S;#i@(F`B(wux#}yQPNx_h?b`@4DnU@1hSMW$vvJ{J^GZk6?`8jfBoJw zvKL$-a;I3~V-f@e1cqVW*4EZk@`vRF^vCsT2{$cn+z4s5myv%Cn}vwAwytjU?$PMm ztT5}Tcg9sarxn5xH|- zVq#)7Wo23$2FN49Zx$vG--c+jEn${$!fal3DX)ZV2w`MmVphk>{bGsF^Koh$C5ID1 zGW4W}p?Baty>rhLl6VI4PGWI9;yq$}0#`$Hty%qHfxp^KKvD}1dnU%lS{CU6Phio- z14`0=JQ8lEQIVupYEPAZ$B1MbRqhw{8x`EUl$V!xbaVuVqQ`wsynv=`V30sj-7q#Y z)*a13?<2LF`K7}5i=pZvC4$_zaogQre2zrlukr3?0)PDxCO$}tMxW5MU=Y5+;|+&_ z=gdq@k~=Xi4Gpwje%*7Pi+T0m-;VB{tWmIDv$9$M+Y1C`uF3>)M{_vL3akO2vbRK1 z7rL#TwHL3xU2BbxydCKnZ1vEP7^!*au&qnFvgbIN+Rksgx7et}bhn(L7qeG7@Ggpl z1IEnD%L`@cDVYzJQZe_k`ru<)$;ruZWO>c=(}@1(&)K@hN9A0e2MVHZ@}|!q0=zv* zm|mTe<=GOSx0$^`27orrr>axw%4`!pdrl1==ZF=ZMFf`KW|6}Dwto{0c?O2Qp-c%{ zOo8~7xlb`?a zLB-E_2tnRma}QMSANssu?DfCOIj^v$vMr8paFC)Dkx>YpzyN~*q!UU6h56#JV}MaJFhYdTl-{H$L`4P6NSPJq;m*r_xv%#n`OcT* z?0weSdu5%o*8lgbZE%K+iEUGyZ&zqN7IlV^aG6Ceu9w4DNpGjW^B7%ECHLJAH@6q! z4gKJ%$=r$^zC)!`9m0LaFlfe$WW~(AWIV!^9J3U^%ISV_}8B_mU28$tz+rHVTd zuYS*Vo=RiN_K^y&l9(DB8wVxrzFny4b)-ljyysok!{P87q?E^bJRLSb$Uij-=W7lOWtx*(?v3_ zuTb~WJFXG4F&sE6^-t>?4(-E@ak`7S}B4xy8lEgi-_-cHX9 zq<43c2^k`)lZ73L68c6o2>L2s@DZudYpgkt&Yq&jafIv{J_1%S=Osh1@QnV}ey4$J!H>7s!7mh#<4rOC z4iqK9r=l?7tq0$rc;&}I7qu?9#eMQytL`}`mEyFOCHv({0WD-J8h2^4`Wo1>Sb4&u zWS-z6f9h6EX8Yd3#Amv(v0u0eW+U_l{-B9=3$`z3W?_uE(Z)qZa_}er|t}}`m5IgPgP2`A~tpalql3D;RO{ z&DGJcbC*H?4xmB;YaQ7;nw!(0!>|?rSJN?@w5~4kjNd@qU*#r(xEPFm!X#nfZmcL_O%qL8GPW+~5b8H3w@zDuHO7sD%-f(7PKFjB?E^)c(m`kuX?p zXJKyc&#L9rnu8LOi0LDsK9%C21+XU%XArj73zWg3s(tbG%gbE61I#0bdH@UO=$JbO z4_qgH&ryttjut+6uuG?`tc*|gd9hKI!%ryJ+(J#(q|NES{C^7!(4n@JAOzJlFE20aydw`sgKTVU0L;c@G69|taGJX_7)0*c z&|pcNGR)s#BkTyLN|jJ*X=#3z%;YNcSDL0kin+A7cpO($R0NH9Rh5+*qWkw9I%405 zMjGMF3$&PyY*)c+-e0-g)G5;xFtPu=7${gq(Kyd* zs;Sjcnyi;n1Ea4S&HTE&tdMSE>k9wkP+7z`odqZrKqvu4srnA;C% zuxo1WkCq4CUce^`MN!=oZ=n->Y@a)xodYXG8C4i%9u>0>4z;=L(^GM+TiQ->(?1D*tj|y>X*PHC|J__0V(11 z^;tP~#;w5de7UWak&$7_BNfCGAzwZyCM|8p_W5h~zb97>jF9Hjj*dLC^5J3Qt5zG%FQtIT|519wxU#NJ8iT=ziuwfxj<;Q8qb2im za^^KWc|F_GQc^wxK=sYa3J?}agRcP%T9lu^33R`9Ad23?q#~`vO!qkjNlOmhfZ$;E zeJIcnN%^pFd+Bsw6jIwxaoAs_`;Nn|$;Mic@*$`FvGgbwYc^Sllu|R@vt(|1H#!^O z@jz&m!(xX)h*$r|moF~P&YYZ_5&dF!B{A=otss!2tYjedvESH10!4z@^8fc>X_v#U WI+Vy2DN_hmKvw3qKh&CfUi}jTMNeS> literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N32type1.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N32type1.png" new file mode 100755 index 0000000000000000000000000000000000000000..14b94694ddd623f888c7f47cbf9f5a8baface2de GIT binary patch literal 13590 zcmcJ02{e`K+xH`hC{&^nw(1mRh{`-mDl?T3Dr0P9h{_mBh3rNlV@0XRJjFgG^O($I zndg~poA!6zoAW>Czux!#-gm9<{mxpg^LUQ_qau>Hqmoi0C!~&v&_pwEVHgfOb5il#%~xX`o;T0+ z-I=7c5wLw0JR5!G8JQOE^9wUBoYgt3oweAUks(N@Z`_q>{6VlIZr)HOwo&MO@`bZT zG16Bckk0u^SiLkhbA%R8t#e)DEYLfEl^-Wjs3 z#4+c7v9tXnk^==bith8Hn=!2RiiIN!hWYsG5HRfIYa9)B|CHhej5XMIBZk{Uw;5A7 z&bS@(v1U7fVL$jzV_4k(Lc>0o5?9~YxY{pHER$VbB2t#dJMzT6=QEm2+(%PNlo*un zZ6Vhu$nCr_prN5bBv&~^-5!3Q)#bJ1ze7`^C+OJX{8(O-zTAAXnQ*~E_mSi;qW10C zW{)KM;rsp7kS8*vcITS#6ShhWS)I3S+s$a6n6W6dbKmNHye#}y(z!qV=KNQBo=Vxd zAuVsI;V8;vfczFtv5#cod}U_I-fHOy{8Z}j@bK@#fUJc%iEDLl)DNcBgj~#V>U$|- z7RUVYq`7FAhgOL!JMPS@qD^Njg2g+tulII zS!4)Z(teRNF%5*5Poj-5!M~K&Ke}uz5*5VKzN}ZZ;KDj@_bh(?u zSnpj1(cPEXqUP(vhMR=7P{m zho&%qMZWKu-tE~z5y$TD?Oyv<)Rh>7iObVtSzVpY&CRgn5%#(7SG?7I@^uLcn|F)b zx2wucKW6>b_{k_D+Pc%h!op&|>Z3|oFLGa~aNcNYdr#OgtA11G&RYY<&Q&Me+8tG4 z;%QEFN_)BY!r$&4Gwu`l`lhDQl%Bkaf(YrIt8U&Tx117?%wfis&wFLW)JinWt;;hD zcIi;GhZ93=Y%DLS{P_`muj!}Jl|0)jEz`2vohpVBqC1zzqREw3NwB=_XUMM_KIlg~ zmGf7;5Nr$KQDe9QN1_HDXyRhSio0}ekwFzbAFto0t+~H^nVfEsdUz-cPt=5VOS|Gn zLQlwF%+}{w8B{gGOOkt^e)#agIfOX-R@ieuy6f7&7-cb;lIuFw%BrNIqOw|7#(q#M zY8fuu@__fM6+^61mOVOy46$FnEC(H{-X(K$vcD>VvOFL!zdTt;?s=vbDpVz#4f7g~ z+H~aJ@LZaYJZ4q>`AXr1q)TKFM8bcvt!ve_^UE!L;~VE0em5F9tTZHh?Nl0U%bL&- z?>LTc{@k@P?napwraWnDi(Z{gfYaczY~}QQo9a1zeedNS9v0rw_MEg8H=0r7_NQNI zR;^A%x8Ih9lV7nSl6AKF&d2_zq0;HQsY6zV9qaO#sme47qhzyw+bZ5(TP>&m@#155 zgAi`naEIsTq(TQUL3tLYDH#AOiHRI%6faazy-|_BtO5$ zE^PA3yCrD%F(*zAfs3qD0aNl$B^sVwn2u!N)@QGzC%zmb{c5p_?idkm;)*`;19 z)xFKRCK4h$-wZ?UP}(K$wXCDc)zCd>Rvc8uwXKp|7h3Sl{j+0FF9d(Q}*ow+7chbiAjHG9hVj~vMZ5QD3~TC2>W z@$x9I|0F=v$}f6(>y);;OdL_}<2gAc(F@-Xh4Taj^}c)ksNA!BX@VG?r#V%yG+rV5 zJfwm>*dlR28XJGXw{-h6RvAFe@c9Q|u%q4BN{vgj!ip{X>vEoATN6uO|2F$PLHSR;0J)|G9=9es^GQp3({HnHPIbq}$G7_`?%cVP ztYnuI+2>wfUfwF@dhx=A7ixu75#kdh37Ywku;U8+!yS2~5I7dX9>1A*{oyKS-pt&e zc52U_J>y?ysxxex#@lmdK%X-Cj3%5>Cf>j+e4c~2P*fDi5&E2~mU21TdzEau)Y_EV zGOElXI~&opd{8`K(Sb7WurxdLbFm1Z7(XK~Mto46?btKzJ=*xGEy*~qbx*TbrO<|E z2Y^7GXmkTshP1?q7Oo~Pw>}nbA*Y-RFjH0HUn45m$`FBC2>oDouYie}mgwQo`*n5bZeAv)q+<)_q)E4~s^SQY>uoB%S0i>21FR{9s zH*+DSO*Ef*_WEr++bU0)kq_A=a+T8AmghE6!sEUC!F0uDM(#sm;%!)f=>SrA*RG?9 zsi~cc2TuT90;au0cP5^;Gblxo=Fu={I}8K_d=~Yt7`;cnWd&jx;6L zn2Yw5gmjJgN3bgC>HT!BktHpTB(reK+?*Z!K`+rkf0-C|hW3r=ty|>x2~mdGk>104 z(Kh*j*kiE46|!;@UuL9IKje3QOUZ%BQ_M=nXDqP0@i-c(7Qi@T6B8sBIDFFnwXan} zGA*yr9(d-vanSsTeiB|z*#aP*cGH&ojxt!Jrs4*dR5hU-^RdHaq!j6NNYsSsqYx^65mb9-=e8aQ^&r;sW79M%SVe!W3^GnCmObfRVf$bA19R*7Dy4tr~a5?=68JrzIM9R zOjbPe>ih~>{=zxtGW+C?^Lku8gsTI)4qyrqnVpNla!=#u_MC_%7yGGtekrijxTJiT z4)q-END3d$J+JmCmomrR<{ljW3%X$LQ;koI!lQ}RBgd{8DqA{FmFP*a9Z(oJx{Xu! zptx~-5qad*!*X6;|MGE-B5u7hZSedBEskXvad^D$NPP+nht=snPAiTVel$pXA!ID&I@+8JZVg~87*zLa$$?j3F}%Te zILM;J56 zvhS#St5y=i<4x*t8_0a++InpOJnGSwG!9{ty%WuY<@3U$t?zS}^9)PcSBo@M`Urx3 zD-l+vBc-+}1A=|D_qbY*r8}J)(TGg+Q*mAB6f4N>$qy03JLKno;+deISghDp1&gz& z|I;fzYbH6~FXQm}*t^T4VXi{nm9_)sD*7?FHs>x+(p^@|%9oG3P{afA!pDm#SAWK9 zsB+&j_fX!i=`NeMhkuXZM8Zmz+u7zm?%wFbF0!+M3yW9iS0Ag0kJd)HYt+7w|5L|% zD#1*u=e6_#kJe0rlS3IX<Rw{u3qA$G5z%$5bP{(`q{X z(U*car_&asczk@O?J7^GFTQ4nmW+;xi5Em|VqFs800UA&WMHB=9<47gbFKMBW0wiJ zP-g7ab^O2qGgV(C&gmd8H{>bc)TX@?J3miTiAmLfIdd=}WNjQqLVY-MuE|Ru0 z_WbR8t`SIx30{h?zG3j2{oXyTw=Aregmt7Kl`!Lb=vSOS#oe;;S|Dua@=P1&RH{@T|M6N_@$C+m{Lj zC(>^l2>p<2Za!RZIB_hQEPitJv%ylyxtI~_5r?1jQW+Q8>b_Do<3~2}+O25)qU?QS zmqD3NuCg1@Jn*>x=8fgO)+Nca9U(J`OP=4eik=@{vVxNu8|nDvmKxb-nc0~|N+J_Z zMzT@H8^8&p@+Fp6OWwz5@A=<1{SGKnL=M!pVKa~D^roy77Z*3u?*W4n<(?TyDmZ4o z+`+@%`&pS~R=D|d0oP(%!=l9)B|~P;o09y(eQ?sW=ZYswwSBT(Y5jPj!49Q&$?utY z&lRKyk?`aC7svHYihOu^y!!TbE-t?}!~ZEaHWylGB6je%(U-`bn^wCmbj}_h&Yv?J z^|(mi)nL>6Jl0jeB0|M&R(a-jz~lv0(huG7mulm?PLcz7MELw!zrC1VrA!oltUS@z zZ=X{_`z@eEc$2t2#kw%!PytD|pTbG{ld`z%mw)KqQdYpEyuR4POppP?@9+DQR^{oB zJ{A4}dLr|sWbKHF65pnzq(qXK4QBkBnmi^YD5N7l++-?N#@`ub9Ef;dWuN>h*+5U^ z^68rLub%W<-oM+cJ4I%yI6^NTsDjPM`4JDiUJ=qzlHyP@l7EelpPyv$6Af)@86%Tz z90JhxzT3O~+i&`i#hb}GJ03Cqe#Y8= zp6xgl{NZVSM(>NNuJGIs@r#ppwYUog&yX3g^cs=UdG#n6^7kED9>4C;gCmTx9bD3` zmC^FVf`Zjn+vH$(>&X2P?>m-1z3263?!?$I9ABxY;pfX=Awv2L4y8rKi@U6gqzOtP z&*~)P1cKc!MgIEqM^?RT0a6|8=5EqO%;$h4U)C`17)peIf6mlai(&SQsyCW)%`c~8_p>*{fQptCg z!4#bPue$N(*(CPu$FBckGuM9{;VQ^O<3pQ(%iDM;nZ`%+4KC0B6H@^%ysVDH{Y;)W zGJUt}=t;Q^Sfq+#{H2Z_H6?}sPdl%6S{kfQSux(gen@o$eN|J8Z)v3Kk{JCk6G_~J zNrn2Ve?m@$(%`XMhSb)@ve)YPBi?)3mI($3dx(zT!7%>bUFK4KLf#+Y;E@zCA2wYA zfBJ{`+ycws&Brl?JA9>Vw^4J?hG|($A(pQ+{k^-#8D+~f^z}FVdCRIHzuJ@*qkD_f zE224##W1j$CrV(OF}6Ft>LKlHczPU-kCGl?NQbnr9X;>UhUYAsGtp<56*gdW2{^qt zfEdq%8qPcRP)P9+0XN-HmBLil@HnUlzkpXkMYkir(O!R`KJpm<2ZT zRLQ$rF}7%5b+LrcG(O#Wgw6eIVi+qEUD##VoXBsRu!mZT2VdU(PUCZtuk=kKD9!M7 zy|3PETPBl2l}dWb3l(NT|!yUzS9`agi-VsbwD-6gCT*i zW;gju{T;U*z*wc}!j6J`Ts|AI?zgxd@!*OTVc;WuKcjdss10;X(IcDzZ*qGYXw}SL zdH|fc8;qRT{YYQ+C;0eA!){Drl+FCfMYX*cA04CUnU8-kW6w6wh3#tGPLG}S_f-!9 zKhcqe2E%Qn3loU{fnjeI6c66J1|mNIg|6(`ifQj-GZ)R~ga@hr^x@?xTI?A!UDy_C zZ)L@U3UFqTI@FhFBi_QxKa;|3(9+k%;&#NqX-jLy6o#}3WxoW(r~_|!d4DVRjE&A< zxV?rI<2%79N<$q3y;1+Z2|F9?D{$lBRT%7);z6GgSfnR$IJL+JFwA=$!XI{UNc7g9 zKk=rupslOtD}7?Q4~F+;Gk@>Q&WT1%ebdnN(ebZm*pXt{jhN31HuIr!OB-c|B)^T+ znT)AopHX~q{3jf+?Qd{up>I7fpE`I5HzQyQn{^3qD{jEUHT0k>M}7FC96glj68e}!hbqsfq$Igsg0dmr0go3L955`W z$M_5wop@|)Z6Vf*_F5cDO}!dD<+f!z#;1|w*B)RMbt^tGF>!%>(KIdoi_`VT@@!bl zel~M==;1ceX?*_t`Q;F>w>PLg7*l0WoH)VjU&t&y8g82;`P+X7gp@Us{iU|PJ_%xGxw(2h7@=#qU%buRVPtPs|&83ZBr(A zv^Q4?n6|E=cbZ#Y{5b-z|7W|lObDRGx}4e_OSbNnxDKHf1cc6zvGZD*{9R~O`OHsjkmKKIk7-K!%(2_XTCd$RjDRQmPtHnbiUQ(^+7g!3Y2A( z(AY7Ge({=-tyj&&BEZ(*RaA-==SCtNY9c4N#qbw@7xzd3_B}JGO3;9$4kWVPCntMP zex;w`92ptm5Hw^{dMW35`?p*xIwbtgTT*2qB#|3<<&2V^t*x!EL*#A`R7axqfmDIh z4;7B!-N)i%c*fAM=KQ7eLAyk{2OyjOO41p$Bed0JIdpvht-1q+h1vrQ(dIhBF?l4n zI0%W5>m`r4PzKnChV~u{kIA5x3!sN~H=B9D-rIw*I}X0wyA@;g4LkmaHQW<~FuL(L zwa4fdp$ARRDip3bhHSuMG#FFaBsan36{Rz9N^R?4gKKk*F*V)%*f_f9QgjA}!?ukV z*XB>{%|^@Xh`wh@L)c0Ep1Kx3zo@wvJG~JEfC311HriK! zw;J%^K@3jqG#IEH1Sl}WcbEZo_5kn75O)*nzOMmhtfN@%-XQSpU8z8EYa zPK3?;OsTz_n)2GCHRynzseRYGY)4SG{iNcHjakPxQXd%6Ja4oKf5ZYPLb5G0HpbKgFVE0o2k!d{?6j=Iursl^o`DzlFojgU(uJJ2ycj`%QPj)+8UuD9&{tqH zGD4fS` zqveD4W{rfFQ6!qcT7}NMlB$lXVsWJgXz^W#Fy+ie{VZxp-I~`pv;KIPqWkYDg2K?8 z=tbDtoI!eGJs5P7VHDMbsf3^mxE)3;I|la=&^RzYumAO)VDTiw44Y)FDkv+iE|Zl5m{%IJ3T{jlLB6*;5U`}WIGB@VSve93 zk|;Ay^BoV6l4esz^k<== zJWx`t64!hsA>#kpYh{sk*#)^fn;YKNTs4Ii*ncXB??{{bDe)60?4YRf>(4uaCPy#- z>BIUBAT~iWGPjE-vctp{9b;{nMKyR&Lv`8&k>X`$*7I1EyXC4o0ZtJF+Vl(5o#Aa+It}Bm1A_2#yJJ?_N#iAeF;zo+dPQjT-0h|1>4E zW!f3#x!TPLmTX~{=#Hs#eXQZZ!A=3!iWQUg2w?*^0xw(%f z-Uzk?!3lqIc!f^Vx;ADd>bPg!nM1PErTZhq>}=$sE$4TBSKehMQpO@%2!t zw>mc(SlwkEhq!6^g?Q&pv!aJP;V^KC+h6kN*?`^GTMSX;zF+qAQ1s322P`s^3d+ib zVOCK&W+jiHMA_8TWD0Q~eYVvIY(m-FAww}C3}aJ6TmT&D%2!`H@%HP5C`1x8Vpkf3 zkdMWCp-@jtb`Ep1l?7PKVe6%DH7rPhLS`3bm6S|eU4(pC5|sHOv!|b~pL?!4$OvrS zanPlkIjzlB+R*{Sip&pc;6L_L@6AS3+TZO59;pgk1tMt2>)5h|s(!d{P(Tq}iC$fTNU0?i3)B^h3)KONbJ)!PZZo&u20(Z1@@hdVWP7P| zfsaF-Yi$MAClH5 z4l!c~B7KAIpuQ(j->QpM^w?>!zZy`5Mh$irtu?Sz0rNHlqEd|o8X2t^8u>Ffpq}-* zwmKHakjwcX0T)aN-}v|nh*dnG#m>N&M1T?mNJDGfh|)MGgti+P|0S2e zVWc5{3=D!+=wIzb!4#gRp)MDDO@NQC>8?lt_+ zAVGMLMh#r6#s(&*e?k2%BjI3L3kqJS0a+u)MiU9I%bYH7+^uE)1 z-Rk}Ie-c{E>DEsqv&m@NqAc-6a-b{9uB;1X0-+%9w&)y6`ep81oh zybL=NK44bOpYUqC(oLvWNt?NVTl93*cdw*VZ+nij5q@Z)EdoLd^^Bp;e0P*&i{k2f zfE?5CH$)u$);-4|8@zJ!KZF!Jcp#*BlTUT&_88xmEuBAq?i|$R;~~9;NJ9=Elao!; zt#uf#EY1#1ZQY9DG>7Cj-NIScM0rA8;t~*WGBPsI4gf<0s`B&tCb#;ap1J3krFsbo zf*>hy=-=FfC=K?{Yjq~N2Iw9D{5gV99?M@G{(vY=@A5=Bs5gdur!i2bZ?EU<4;HzF zUyrz?O@Jl>`Rpw`a$ibmX{k}PGPCS#Ega8LQd<>xA9df=!}mFwqNC1cE^^#ltOP~X znt$J}e{i{qE+fb7;NSOb4O)Mt?ndc>b2@~g%lzP%Xt0@or2-%_mtZ^$w%9*}3--WQ zKtdd5)IFuh?uTw<_&+IPOw~5v*p>5@UcIgd!f34Hq^~m)%>qQDg7uCyu%?pC%)bJ= z1UtPO#_xOX*TcO5Q%KMu&^3WOL1M))si(dIo1DQhJpNA-8v84>r)ZjY?_3ZBbFYKI zl{FNPbXEreO+?oQqApkI zH>x9xdL2G&jDYpw`chnM2|`YED_^N^8uE4ji(chN=&Acq{bn5-FRY{fpgD|*Ec2W7 z#a$PN`YOa%Ap7CjCP3NuasMFa3B=%wk?m&W2f0uTAh)hFjRB-APX9q?aPhAN1Z}9$ z%JhymO%l~LUF7~!czuSo9aTW?xbC_KE%0$|(toX;wipVrad+9wuTZy$=byVqW55C? z{lA;k;3Ar#ZasMTFD6CyF8!aodyiGgjQZbFa+zfsumQQcti;TagP;k1C8FN|`^3KT zr3g@{(9OS=5b26A-K*t4_37zJ4k*HXj%)xPYKl{+r2Vu^J^VP3{LVP!&ovgZ$a?^< z#;!ekDz9tBe$@iG@VPESz;+?7&LxBQ*aw6Us6RT76Dg~}5G;|niTUih(cyb3`}&oG zPss`1iwPCNM47BU;6;T2!3gSxK!%ZnsvYaM+#4gPn(4K?0K6?}QaG@4#(+r@0erdc zqQJH;B08h#!?{)|p~9vBTcl)dM3`F29I2nyYXV!0kNz=)QPWFsn z54dDmKtPOR=75BSONSYuYjr-W3rJ$AsYL6+>FH@!B_MsQOg%Uh#V0H*55&m`q9t&J zpjvm4=(U0z`Bl|t`po0?ypUJ$PjcVl1Yrhbw=4sLfw!W~@Oz;rgoXDwHAu)nBn1oR zT}N@$h~jE6g4mOvI3;FW45^4+~UHR!q zXRd%z_E2#I)S6A_GssKwF0fMRa@*^lM~JS_k(Xy`YFF$V&r3*5(A}$1$e8qwYAs5ix`LF4>AG61%fTREq;*9*=n4QLm5$EjRc6XZ>ssUJ1Xt+ zS6@#1>?_n7k02B8>_itOKz{i*a6ocvLP{-dxWH%B9g1mB3`lo?^#a<+B{+Rj5)Q<~ z#9>PHg8a_s%8MF#skLUb*i{{Hc`P{G$Ky(HuMrUoXf|;LAFleKlrQ6r4#edcUB{tR z?T-kOpZf~Lpwt;;&|_x!*VxK;>(;FYqtd#sibHPs`*j#z^RwB|t7~s@Oz-0AP@KZJ z-gyl<<5J!Akblm{wvL?IDDr^W)J;+nx9uw4%k>E7U&zTGC&(m}?& zNOq&ozHL&}#s8a-KI$=!JVB#d?X;%_o9dN0dWGd`RraO>W@MKT#2f^3{_wALXnpR; zvJs2HQP(6JLe2jt?z)tsScIL8%|mxKOhuQQgy~vCMgZNck+G}}S0kW#K9uKjD%RQz!sr;ai;GMnEP>5~#`)NVfNI@6qNf7=&=iAF|Yd;tA1SxgRT4HV`Z! z17rkNX<@1dc;4gfFaF(-^l))%OF&1UGyPtRF^0=2=M^~||090{$Yj7DnF?m&6EK}s zRCGo8aJbU?Xd75#egO(RfQijQWHZUd4|W{7hjji_( zZ03jD|GRjEXJpVv6Vm-C{lpaOWRzR~Onp$-8>%hrUaTU?<@GaA#yavkGXYZzCE|wczL_~X%W*|$w!LgL|{33^;d>fWeHsT zd>PVaP=M4kLTJU22wIIc=;*D%~g%@LREZ_fS zG8keZHCgmKp#6qUfeuasxR+2ICqfE{y=`Q8_*(#RtIs)fhl!{p|b#*YSIth2#gG2Huk zush)1wPX@1#YSWNPkE|QEB)32Y(nIJK@JmnXR_=upIyh>$?$`axsj%t z;Odx>!EV!8s=thKE&tzsVNJ&V834(M9LkXfZW6~jw zbT_>F!tZ@Q_iz2~XT5*EvzANdI&tl@&pvy9cAR;vuBt$Boc=fpg`!YWymkkLBCtWB z@S{oa;YsBB!*}o>siUHf3kpR`jr_y2%=iYqP{giEx2_Y95gf;(Wbs#K7llWhuGh6) zH5@El-A$a$QMaz%Rk*Wy z)yv}ImHCR{dxnSob^CgCe*3z9>s!lXyBqVT+yN=CL&5HeBg2T&pItJzml!qpYIBDDAZtAwJZz&vIS)>BcJlQkF7`wcqGq zg?6|u?p~hm&p){ZH5Q!8f@r|PfbP9U3!ZRZuyy#L5mVJsJceWfT@yN|DmA}$++C6kS6J3@#n|8 zFOf_(p351Bds8)=Ln$dKv)Gl*y2JfndJcKn*;Ws+Z4*@!33|yXDOYy(N-VpEoW3x< zd-pEGZ|9xS@e`5Eht;SN`9Q)l5Nr?^#k&!4~IzAzx< zw@fyneE#11rJDJ7S?N2lq97_KC#S{QU3*{O{R9EMosIePK@zUhIF`e$FOf2bxEJ#N zJKr<*?mQ4)-wSkzwJ=9r?s_p>-C9~?IRMNE8`y@5i>9_T=DFR;ZPXz zoJtD`3Axve-EwFDv9^kj6NFcZdM$kBIG*?B%y++nEH`g>frk^g>KudP^02d$uf z;uZJ&l^w0R9nH2)adB}!&;TYeGB+*jv+_^{i6mVv?h`z`V7 z*RDye;pAzB3~kq$y7LVfn3$MoX~msJKOOAvswImkZKvArr>y~pFbO^36zJ>Jr%pvN zO0N9WGD?&2^=f)@26jVVNLHAW(`>vsrgc_LcHi}5;IYOnpIAoxy$rW@~ z#%HTTe3(DT%GUPoLTgu-|3c+dwPC@EYC(5OOpMdg$j87y{NefUZ*T3cW*iO_V;UM7 z9-rblm{IdzIo2!qr?-m?B@n}km)%V1xAaKTWs-M!0TDis#4B!dJ>?EIl^(zH&lgk| z|BO#@sIAP-R`m28_T7|T{&XsB-~f>~E-o%PlGv7UZ`b1ZxVX60sjj;1$rQP;THoEy z6md0@ll1gn{dN^ipFgKdIE^A=&frk}JKSATQnEE1-dMVm{q5T~5QqZZvIlUgwzs!| zfW=^{!`-?=tl$1lD6^L$Ns&n-5tqyfW~r^2tPEyYHgMm6w}1Ft#zvI?UZbtp=E&k} zJy{`?Pv~672x@6wgvH!Y&D8Yeih}t~Rfo6RTjY!q>f6!q9wEK*IXE6nHQzVqgQ%ip z4?K7>D%}?iC+zljHyu3pi@q>xpy26XWB5cGNv(K7g~>=n{>(YuT0{&>Aiudcv-!@WnhsRjtjn zuLA>nYv0utdy2-UWDh;{4o}RulU3xa9`nz+0 zu&Ah}XG})M@T0m@vOp4f7$GV8;pa%El=SrcYQrMaCR!t(uYV{EhDBeJkdo?ra5T}? z?E~pcPfg_y0)^o{nPjQ!JNG$)!Ll>;UG>4&D8C=hPWgKIm6P-nSfBm%Zck57xiBpw zU(M}jLi*)i{%x{`-t%R(-5?A%{dG>lXTzWIM5uF7aq%73!^KZgurmbgSMk+f4ew2g z%Wi$745ET1E$$^XEvyKPm8n?Bh22UK6VNRM`I^nESm-UWtjFL<9|+dI{&4?G{ZJv|@b#65fVjEq*WdZqPztxy?5^UBJKPk*WZa=6i4Pf=q9X_ci# zS9%Scz=H1G9pK3RMt`gEDx%0lQ#$O1eWr0Q68vpF!&E`C7NdN3H}Z0GXR{0K=U*@H zc9GRvfsMTTU}tSccDJ`nmpN&EtiR#gV=zOA4AVW2O-PvRKefNoCUjoNZ>y2zoY29+ zW?fghw4SG3MSq&ACGGjUpX0+f?pR;D_UXM&iJ{jFS3&ozUeucONKfnV;lU=nahp9; zXz_DX)B0@pA_zl~HovB(=B-<|U`yNwoI+QA0w2%N((-U~t0s%gz#;+4|W%|YX6WW%Y_jT zpGd5;IoR7~@(n-9af4T=+HldOOS%HiW&+j)G!FAb@I@l$=4iHxK{q`GmI+4*G5din zFkpz9$i0_$NATc_|6b^d>FUPro4?HKN?exa;+LJD2z3Os! z@Zf=Gc?6Z|;!usi@QB{zX*qtK;@3atYprDtSA-5tFDB{w4v&wU6?ErTm(W!{5ymL2YO9jYiQPWRS;6!G%%YL{BwZu6mGVL5zWx7Sor-MwO5 zzi^zCw579iVYset@n)`DxdYuD*FrKqCpZ?1Ahw{wT3G;ycrVs$f*A9Ntfde~fZ9FS z>8=yhD}O)nWUfAdKr2r-J|(3;FWGx_6(6I9fUcH&JQRLzQPFam`_ML`B05C7w{*Mw z_Llbc_M)SsS@x$D_IK88w%(0ye#Ha0kZHFNzaRvZZTh$YCFf|Zq(pP!$dT^`j-|HA{O z`353X1RQQm#>CXruGkeawqFHCEKE!SMa-zBD`3mFdcmIP-MK>z9|i`j5Ou;n0EN?o<1OSMF(gb=~`g4Ud;iewim1 zrmk!oB%t%a0F_D1*^CK`ih6E*l+*P&56wZA-aL%D7;CnP%RptmCDMHE%Tx5Z~~ zj8?PHC+E=19q2MtEh=kh65KkAs?jtw6vPrrDn=~QdRGe0lsOEM|H!fx9# zdHRc}oQmygdTx-Sqon^#yKq0#?MTdwi-MZ zOr>QfMO7m4J$2M-(~dj*r&-Yr$!`UH9`$BL>Ds>TWtTWU#N%U_qgnB+QYvzao!1T0 z@h#_)4GfGl?mC;nA97(`v`;thX%c-1s|1?)d!PT0Sp+UGkgvs${FFS?__594E^4Bq=q9`)6f%r>!;(*5bL* z+toz=U(nChz@Dox_b^c?o z*2!7Q@M-Gbg~uD+B~eVvWhJu%*8_G1 zQJL02U$WC>o0+eYDUTcr%UVpCsw7t1L(JPB6Jp!|cUZ|!{J{oM$)<^kN(>7M$}HsS z(I9MctYt!3kpUS*jGcq$f(r9nNGHXaq60Nhu`6(vFkD+d{!imLRcE8=Tp&-=h zb9rmnYi&(Zq4m7fvCa{H8Hd&{B+_ zq0TvW?p#odX@pMP44>n-HX_t9Aor%`l}mC0Mbx|90(>=d-*x8TIUAgtAMZL1?#{P| z>BRA2qaC(+9igB2&{kQ+_^s53B>|;+V0A5Gg^GqMTGRW84BgDJ=WV? z3|4&?b>4%>diA2nBLM|mKN9fOo$Yf5n7cv!&mz0^&FH8xF-H(^=KUM!s%e*Lt*jFw zRbrAfCx=4QBTUS8q5X*sf5kXcOjcPPr1b7Zf;RUqN|+yMQ}0JLcN(N_i>S%tA3f1r zIxWGFtD306SWGsP^I_|Qx-x&PFY0XUiBqq;XYK6m7k&wVy@C&34l!CQ)qTENVwJB~ z(^akgWxJRAg-~+>;Y{`3V_O<-ZX3Vy^lWTwK%F9RH2%C6Hz%j-%7M#Nr@4j2!FHEF zpk?q39+Y(^i`sybAuz0=t-aMiCRAGE4xkqR-KN7n#)OaZG`Gslcmu^vH~@}%cixMqDE+Ul%k`gH?&n%J9~=mvv`aI#PX=s0z9~6(cV*LqouE}k6kQy_pWla^Ga?` z&YT-|(7qr)-)}5JJl$_+2E4u_165uz)XjqQG;H zxc~WSlC<~6!XS44fCClsX8oArv9@T`(;JjsSN*{Bf;e&9cxv^q#;DG(RSUZX?uF#_ z>({#tz4MAa_=2{!#-f6$7{u)v#cZ{mor}MWNji?ygEuf}zu8DBJFuNqW>Cp5B(!MC zg}Lf+Je5a2RR7HcyaS~A23oC{OPaG835>Ay$>e0~&y2pE0i&#$doQVDl7c~OOVDq* ziB>L*n8n8ta8heL$OSmy_CwW@TwF~RcY6g_*d2AI46Z1sWt_(zKU5bpZ_CF=qssO> z?8jB!g$lZ5$oTfvPFd4PK()thvuW79?OM+X;2Z0q}GiMH`l^Leas9cq@$+3 zXx1DJ2co=cVc8>yibElCZu&Eb(lIg`TkuNProTd5q>s{ntFe61+8h0H#bd(!5g$es zYzI5##Eb>rofoNbd8q{isGYZ=gnU6~W&M0Wf^xq`1qTPqg{htp(+B4Ru*8E0f7*oV z80qMG|Ku7LbX$~^B~x(*@$&JVlp|-684!vm_zdcEYpwieaqdzbnFh^;U&NS`)0|g_ z{C4N%!V-wJGcG@T0O+qVgaQIOYXr=TXZCIeC6gx37VdS?x0j^Up75rh|7IEZm?7O z@?bW!DqO@DcLL>_A9YdXwzwc{58&DCY~I62tDJZ5w!wjY_3G8w=xEi-!Pb_?B6 zAoy;amWp|H!1!@itD^DCL>M%9b@t;tIHfzs34d` z!be&4*3mqyddDlc5P>^-mYP*h_u#SU2%P|TxF{H8KYG*-KvgP7INrp)-_5kxi0}KN zrm0D((Z+NS!qoJ*!{du&P-_q|Wi6CCrdl!-bzyFR)w|ELTeVjcg^@*Gl<;L@?+*<@ zM1bx2frPt@f)=tAC}{|;lCY`;td`-$j*gC*(Xz+3n2Po@i4@~alfvw%H1~Ox#Kd#h zftAso&NP0?>C=gEp39M@;Bbz;OyWQ(>%IM5jVt8zJNPO!dCOsh*$`E zX{NrTa1>zw4*{LhZ!;!G^6pw&nGxXdkY@n8s>O_-?f>~$Om;i%>PG1U%aFNqsLTr3 zzr83n8K&Ppz%_Ir;fQ5A{?rvC3gc)GY}~$x6a^`I7U`qXE8lpwIr3?`Oej+?suZA0 zeb=ACrr+gey_(rtK>|tpB7dJ|0NCp$QZXero9a;`_&fL=g5R@R^5y11s3SCa%s`(> zE5OUdA1<&j_O=Eo@c~&^Vw>n6Je~lo)d#?KMEoXM%7thHFxFWy6lg3$#OE>R$do!c zw=;dlPs+jlD9#|l+<@KM3eBqDHq@5W_eq_TE4@lbuEfFcw}JRRE7k@;=u;Zv%-g`> zgO6vM7jkwEKDgJ?qoETGG8*qbtGBU-nRdgcyBoknc3_xnwwr|`l^Z2sCUQ6g*I{VQ zo2LOHSrTTnFQuK9o&NoF0wmgapA@Ee>(*Whn^BzmHw-_W=jFbfhzRxzP0~{uvx7~@ zej*M+4V(gmdNS-66&jll0*^r&OM?kXGqwHAzWCGn#7-?j)|lU4b8j~*o8s39kf&I0=gND+~`*lz2NYbMGhg#}9AUKGqX$NM%+ z&Hd)vKhFl=rkXVi+SY}KN0F6%x_Fivvje<$)dNs(>)xC%mmyPopamxuv31W8V(iuz zMhon9II+illP{Xwt-&UOh}{Lj%k++;5xdVy@X4$wr2m-&$UrMHlUf>3r(dt4eT zY<@CI4qsgow9vxxhg2y-I(3fGv1-fn)@B5V6N0e^MBHenbOi9dEQ+;lKC8R}A?pB^ zRlVpm5NS^5#vb2iFgse21*~Xr#7Pnc6zAgV9Q7{pFybFglfQCW0vQ_2MA*pD2(ryD zkFPEO^XFe)?2~k6pTZHgfNJwRO^tbgkf;fZpmD<`zRUxakxc`8_8gE6a1b7nN0BQh zCf)>@yo_{h@$Bv-(JP)i_p~W>G!tk`Luh+FWT1b^A{m}?f%EZ$S{b?{zL9?Vw+3cr zI&Lgg9`wW2*)U4)QPVR_o6`k{Buqmkmr?5Pcu`KaZ}G>FvK{oMBWYKtpl_vzYBp zt04K!Tq~dxb{7r{%&iD6?UKNoV7p>%bK1a8SRyMEDRq5?m5I$USf4X&k54#F`8}k8 z78=B%f#hEek7&xvkp_qu)Qd|A@(9>cAWT4X6I;+<=`Af34FakklP9y*7&^GPxK!9% zL)h)XgX8Mb7*_}jT-^}q)y#xFA&72rB)xd$ig!a0DFk5$A><_PGTB~ST--VAzc5gF z=KQ^PR!7ytSvk4d)r!;f^yh+>ylU`r zPm`R}?MVj3(&0vYr5KMe={B{Qi_7ZF_bdS#OGP|J^4au^H8XDijPIBlPEOr-Uto#)8Q-#m%+h5DN4RiSo z@h_vv^k!j`Nn~ElHPyP#JfnK~6aV$%OeU~a%WpZ5(^6pI~|O$Zu2V> zZTC%0%N>Sg9{k8gogpP;5wP_Iea71S^ckzXoLmSwoihOCd)?B^QBc8KHYbtwt1}4*GQ0Pgp@rEG+xQC4?y=zHwI{hd4t-zcG|j;rjJs_NV-Oe5AhnWIAUI?mx;E zV>YI^V|h+%_{@ajR2>CoM0lmZ`+nZlb4284qf^`K3{9+HsplFJ=J*_+0Yps>aQlW@ z+I}sZ5&c3Lw1CA9iUgmU)A(9U=t@s;p7P1^afl-!(oIiFEer>G=dDOFSa0-{Vl?JA zuHlzBz=S~1y=PCrmV;SfC4q(_T!R=sG~h=-LmMD&-JU81Sa~LB!~5^tX}>r8lKxjS ziGb}GA}X0ME&#@zC>gv8T}QxZ5WEPsV+0%z8&eOc{Ki$Xek7XLvR76i%nLGj= zUB_`1|FQxZ11OU%umLzp-5z!NUh~~mb6R|~EG#emYeR@>w6tA)EkD?49GJ>X%b%U( zx;<2KVC!IIOB~1}Ff65oY{*w}_^Ribl93fm!2&&gO1|%qHuy;G31Z_)2o72S-0nxJnB{C3K zv^IHl2ALEv>2!2fy%HIN9V}=>2o@9y5Vf@MYd)_+RaQM8tU4KRJeY8?%cf7tbyeek z9YsCS{V>xIuHgpH5x{*nWWWzzd*2OUPzZEIHhd8_yoT?5dzABQh`jtUKLYds7IEMR zp|!w?IM2|P#DA|h7+nMFotB36o(G$jcs&WWq7TTyDIawdwEQ5T<`0p-VAbCmZd8E6 z#)0#SrnEA7Wr>hX2P6~2VS(?&?QRI9kp>4#Wbw_TEdH#U9egKXZQc+m`d5R{ih6pl zs?Rk_isCE?Rsw`W--lOBMx5)FBV&*Tp#I>mpwWY%mFC&{*KXQ!3D~YBerrbPvj_V6 z#1d)5yzHW-=c{e9K*p`WEya}=H~7%7euXpqnq%V3nTaz1kuvFk(#F4BUZ&#oYB4K{ z42ueviUY3zl??D(WDY`5x;;zbyb4~Byg-DK9M)s9zVH&Ze(qc*@ms6hiMxD{*zl07 z2JW0%fXg)>MjpBbAr+e3-UZ%%BUWU~Va26?VjK33un1bVo$myAH8}96(76zh3hsXf z%iI^f?$W@9$%l+p@;IMk^85*SF$Z{qCdx9Y*jpgQA|S<9-+m9|kuL`fC(TE`Fp6u< z$Hx%C;hcA3$}3Af_a>O4PD53~>^&u>4d&^SCu0aWWunYnD5UIm2K58n2CFVO9;JmR zSuL<*ik`u|4-HiIF9|vbw6k!&)S(BXdgtvkOB!5ur-D`$HeXw_>0YJ0Q$#OFyd3-BC zmbj1ymPGPCRAv^~MoHlrEkTj;!Fm%k-XQBePD-tQ zJ95qGum^&xe;*63s59SZWsBkK11BF~cqSJx?ZMN4AXkXmG$gbG?Ygk095*;&YG}F3 zC4(2%!tcNemO!rmlvQkTw7t9IW+H$2C`yp`cawC1b?fw+EBN^BA?N6X>lK; z)A6%Ft`tGl-JPm#YdNc!{p;VMrD%wEWvkX;!;UFt-}@i{YO&pqzJA0t@gD&1zWBi2 z-W~w_HEDDOc!uD#f;{B_PzD?sVOu`}rFJ7~y}iA974~^~c@T1j;+6Ya`T9xG(YPjW zT7GRD4!1NKE>vD+_)pfw_wenll%37R;r=H9Vkf5TA!U~ji|vHK1|-d54UVCb)?!&% zyyuEiQ&WrXf00?LC*V;__nC;-vS?2%vgywM@Zm$-(C!ZnwMzZ`@o~3%zC&KKc`skS zJfHvJ<fF%gfuO1hNca#@taa0zkonTOG}clNdx4K;?HZm zRY?-H`2|qkeXi$gO9T{vdCV1kLGmA88P1(Q525H`|HJ)gDkitR7h<40&k~T{I%}o| z;j3%!K@N!C#NJ(ls)OTf3H&;v*k_4}iOI>jy1HHf93vNit_u5_^=9dJF^hxhhmS?s-XfS z*@;}HL93G9%ZKBTh0rD`avJ+=(ip*jh*)}gwv(-39F{~91Yu;>8+kXzO|5xrE^d$fROb5$h-1{wP@B|NG2^j zG|%^N5c~KZvOkcD;*M=`=MogOZ+XU5x830Y{=krDAg9nvy&Lhik28ZQ@-Fl*Z(UMGsPO;GV~>i#o^)L?D}ywsN3*b{Vg!|%Jkp)lF9o439TDb-bh4^ zA^Fu@7Q`~^F+j(Eb1!PPm&%Db&qZl%Tuj6lNOPC$a2w?i;cJbN$uSEDZWE?3Q@^G% z-P>A*?asR)OG86*oUO{Q(B%C8KMPWA$wF3o2DE`e4~|!(^rv@u@!#~s)i<&KcpAFQ z#=cNdTI0QWfN=N}PM z`i;jZK-Od`^a&mtY=oX_e6q#?JC-VQ3L?mFj;T7W`}CHfNS?{G3SS@m04bK=jiIkz zzTCrptbO}-^1GUBL}X-Sc=&AV?%3E^(&VFji5io4RO}W`Z|CGNhLA=`>n)tivAr?c zsEy<=WNo6K;1LjU^6dJT+nJe}vC7aaeMr@^8-Fe+(p8ZWvTBWbglkcyM~C;w6AT*5 zQW%SX*-eI!6Mqj{9D-pr*yg|BI1q%k`Sz4xjytW(2@2OoFj5}0jPp@w3w7{mTLj|- zMnV$eFH<H4%^HyD%#;@)757EZb~^FMHk!*) zAh{COY>7~!D$8PR0IU#Z1@%IOMxOpvWUfL-iY(Av5lO+Imylibag^}3%JhaPvn$vn z!l*0^->C2tz;88JZNNbIfaVEXhDM_Rd|I0{vVxvQx`Ig}Y^nFvvHckG0+xZKZm7sx zsaSM-gySGF4-rdv$8&gxu<$2hBC}#`C^|sKfmtPN{5uX05E;a}i;}~E$`MPTa^x?v zED9w;M#u{kK^|e6l9Za{!=NYjK8-nmw`h*m7-mmiHK1${q2ZS@v06l~&y|4$NbsRg zn7>y;U}rFDi3bSM?yfBhkrok__`GwJ+rd|)8*MxlE&Rbz?-_tlNbexI89V@w5E1SP zrg)_Bc?Vd692!5c-}qJ|wft|x2u4$iWUlAGDnrO*0W#I&*kt(8_)snTA6oox3z$mt zFv^ivPuESVBr++XGwTM8znP?FD>Hq)0^RJY#gIKh9RW~BMX+g}H2+CqO9~Aiyc^w-*f5*eky;=_5Yp2rVQsAs0lC%&(|};e-X81UHb58b?LViIWd?t~kkp zCu{o8_fy{Cvh-Tp<-MJ!fLFY2(SJSrkrh~o8u`F;^YSa;6ag$Wpfgh=>p#c_L!-}3 zN!?TF7LDNs>g=%_d7>bf0metC--Di7T^8Od4U&sIJ!P+41?z>* ztud?t3-8=43Q?qlku9d?8e*A#uxJK-1sjEUTN6BL5?)9St%Ga~*5h+h5rS0w0e8LX zrVeKOv@I*iUqla2b71LJ4}fnLSdNAS@YT_Wz{>WQDlq&f?4E)1`^ix_?C|=ljTbpH zAtjQj9LK||gCPtI0sR1pllqAw6sh7zXw>CY99e-)eQiufjbuX{Jz-dJAvtOGDN^bW zPoLegfnjDQ&*Y z9ubndPOpW$Ne!gP4k#v}wwcthLRwHMA;pgKnw zQVUCYjg|d>3ztxWLKe3zYOpgU0>DmDYQoXVh-4J zh##dnlr!$6hiM_Cf)k$`amMXp0OQcUJJKg{7q$RQy-L zeV2u*S&Pn8oqP9wts{PyBb5Cg%NHXghIcUChY1-!-#jW~v#^*fG-rd`n z7cN{76)h_*U4t|a)8IN(gAano1l~vGSmc$!+g$i94@)=tDt_VWh+MmD-JjNJZ~QfK zRp$R&{sVk2sJm-uY=mHWcb*>Y67@-AlYSPciGWjGm1X;`a-&1^%LBpI{9`ztSDejI zc>g_1O^-hzI(l)h`RmuOFTWF3LaZMygG3=32qf+RjmE*u8`LT6QD;u13oAj>s5HM8TnKHy_>~Ab=VfkSw*O0yq&w4pBP7v zq#dapMD+EEaRQ>is(1sEOmRr_Kv}nZ2)U%^idpU~bCd$f1?O=^ zy6bFbi;Y?#x@As4VXWPnK(G1^Z?BD)^bW}dzr8Kwiq<#yv zo^kgoo;A0&dhIQLS%v!^D=R@% zuy#+lZvc@8eyrfuFhEJm;)F<-*C`MIE`upRaU1NlCsDLT_8y2yJsXTgJFMR(;-YVb zgwF{U8AfncKU`1+_x!zS>-xZAdJTY`f>sMWNVlpwXnVci7$y8H-=GHW!w~v^%AcAw z&=1wCl+0g3ZPe+!Wev4|xM@K%Uy=y3m5^?eV9`{1RvAHZ(Z})&&VB z_icRS-Y_DVJ_GYo!uw1l)$-2L(A|Ky3GrR}3~JjzAu#A({CpS7W>gKVcPsef{c5xC zx*!M;3l8FD%B}RcY{NHYM6g8r=J~wS{CgML7SIlmvln@{SW-gG{q|cO(TKufcbg%= zcQk=wG%j6y)lK|fT9{7zo+HZ7Le(iq*A(6aq#WE{hQvrzaU zG6|~z5Qj8Cp$L@xciC!x_UuKXvN&pUA2lQd3+WMllRG((&b%D{TLukC7xxrm!LM$X zf8rlqRzTrdmS4%YmGYKwN5ES=`&BsxP%HeNes*vz04W|3K&>sNJbJKUKb}dSX~3yy zB|mIwc`Hfy$rlzp!iW{Lnbo8%a~NSCj3hWaOn^S_@`Pg`VQt~mCWM&PnW#B`L@rCC zIG;Gbj{3K@g&=BBA9%bXZXJR^{cB;J_eC~t!FK*;4$BI#Yj?p~YUb+ub{94ak8+?M zgMdPfiGnRQikKY_?u7oxg_8#L9<#}ez;xj%Z~(Kp#HQXMVgDZx+>D2-8ymiEVo|dA z>W@LDz6;HD`SEFiq(VUS#U0}G4;esxcIXOvyv$)g1SSRPzO0!KH|Bg8mrr7HKon=E z3Pu7H(a>KG5D=}L@cv26@gxmKxCL>F9SY8Vyy-M>o!7IG*rUfh0PirR!zkWX7oY%| zo0{|P>zCDXo_jHk1$fyB&SvFb%3?2!315U!quL!!1Zo4aAkWPHgqhB*1To#Um2aWD zn*at(c6JjO6>do6EM?3Z(d}I4Yiz``QUp~D^ip1HNK^!4 z(@{NGcg`#E_ivO4&yn9*aD%8n9GpCEmgk9tA z{@Mo=v6S$}Zjqp00k5GV7dEFIfnYSO9x!|oh{m<|0$J&jO>9^i)N~~jELj{8_IKBgbUB>n<*^ct4Ipxjrg+V9d*@s8~N~gW7qO zs(H$jchPYHQGR#|f!7L)w&^j3e0n9hX>^PpB!e7R*|Xzbk-@;VRCB4VE!N*;g_%X+F==m5)c<5r#Y**PmAPLMBG%{|3s>(`DW=fV! z*_Oebr{)a2Cb=Ho(TyT!Z;eS8=35`+S>U-Q2fjZ^2%L&^d>+{S7rd*!&gr@c4m*T~ z4}WiaM9HopK%6x@Zd)cYtc#ACbRXVR2)RNKC;#bD%Q-E|1OqaNu2Hkk4qk7KmBQFZ zvz|nQ9^$z-EbTYJEoMX@%nyE~-^5#Z7y09$bZF;=_U8#|7Hb?RUbdA!@$NZ9r3zsD zp^XaKR8A11SSCIspX^`AOdAR8g(RNTsNUTQBj>VTSgOw>{MEYzZN1FT^Fv1#x| z_U2%}8i@VG=CxLl99$U+Qw70rqru{(Pk*pLIk?gd8F0++b_#IH;G?ln31_3~q^~QJ zL`8ZF+8pAxtRC;~tDsP)J&@l8cv9|c&xUdZo~ecf{fc4zEq>GI>0c*7{R-DLxI9D4 zMoka8%KvVDZTJ=m%HYe#UYrO}k_mT>c;sKfF5(2h^yodiagzN7q{h|~s9AX44=NN`n zC^&SD`g^ZwF_^2}W0DJ5ay~Dy@_1CvYepR)^QfZ=<&SW2m*K_bFe1o9U{QhMk5BR);$U3^W6m3_uxX`qxs; zh0Z_sbBYDQ3m7HEl|KZSrxU(}HQQrhfWGVIPf# zQ)CNCowal*Tq;~}`!sdn3>$SSC=BxSg2Y4(^k(S0qCrrU@S~dioohaD-FGqj)@(ut z!jT)>Z}-Nf%0OKUQ&F2`g1-l4uTbOL8(iAhUFpZR?Vcf|c2uayjzV|wY50L&IPm%C zp^CfV7|lv>-LqXMxa%#r$Z*l^u#Un&=2OfOCB(x+$#9#^!hr$`O6br~jlvd$~J2M8z2a@x@$#aBm)Hdn~%xxP}!&lB> z|Li^n@$L5hjMP3&n%C&XK}A9R~Shr60_jd zljzFOY$Kl31cER=Qo-#o7usWz*X~`pBx59DNU~hvZN#!&#ru~MV_r}NAfrSJ9iv5x zP%U!tY#cHBBO3O2ov6PT6B&qZ8Le(iNr5W){8}X(0Mr@5ZMvxI4N;2GSE*Bx6DU<< zG6UuZ3hQ>?@Ar2d&`e&|ZG&YRo3#pMf^|ZaDvlEBJLshFC}L{otctY@T4f4NaBI20 zXqHDfQ0Tqk`!S3!DP;e+fQ!^CI<4;G;{z9(u|}W}(mQp}1;NcZ>tb#1!!cvp{ZSJAhUBAD78i$ zu`4@0`Fk91_Ck&C|9osep*V54-Fle(vX1UorjK<;dY6&c;c4Y46s9eQw?LxA#Jb`2 z4`v}VKse^zBP{=OAI<+@H6ugHb0uEOu+nwrTfPAneq+STrR~X?^M=0bKaX;vHE@*% z?%Ol@I(Hw&fcogdx{2LOBPsg?v8P~N(_3GEC8QlQKl}d6#A!Jw4}e0}w^lZ}IM4T! zrZ+c;8;L`ge{i5O!+pYIB9x6H!xxv_xh`J~{80^=At=)P=Z;$Kc_=)jho4D_WV!yKgnjb~jCSa;{%wb$ewTu5RGvQNhsy7+x}noH+=Kh6;9? zU7$929cwLv{L+b))$|9a;hEoXGhT^g8HznOwh~%fTfw#6+SB;Xa?ZGoXr{v-JcKgnb5SyWi4PV)8Z$B^eWO|$ELw&nN6{DP>E zUpNVaB;sz~&IZIcJ%0ARd_E+5y`LV%8sm`ShTxK8W9K26phc*OQwpqxAB_m65}+)U zfg;VJ8t+gFhJ?h#X{@#u^675UQ+azhJhcD$p24s;>hOv5@k{S!b`&^mF#1@c=(35N z>;T0|dlQR=kG98?EaDUfCq?8Jit&URc|X5?U)-z`t?Ig#{}L-S;Y&b z=Bxvla*~M<=Xv@_FWcTnC8A{u=VYa`>NfTa3oNIU$uhXJe1@lXcl~6C@4`*V%V|S! z)xWv>_4k?b@^Y#MD4ShfD@mO>G#krM?XGwzGU!%f7==rkRj-E_O) z{Po#qym>#~MDHSb5&{B(6fwI#th>X8IV6kWE^M)R+l^Y**p>l^DVqGS-YeKoppvt+ zoB|PyV!D#xt8?ehw}FA`2S0NP1Sc8v<0PF;Cn?WQ_N7g5Cb8+Ui#xEi2zXi?$57a9w?NU+XZT?@;#nz4@KC_s`z>YhMsgs`Eps z81^zsE)CB&J@&~KKzgkvIDa8W1+%%l$f_t~3v&EJ+wbquz4xJwPF6`Z`j|6~w{uH+ zd3w#ZG0D{uW)wHpw!e9F=krT{f5*+mjCTF$$5{3i)j&pvVh&XB)FMyW;n+{E!#gkc zJ*ZDBif1VOjtcFx+gqKX3KWb&oqipIr4lN4klfD=ZW&-qqM{!6z`Y~Jj4Nv1RXyzZ z70aV)IJAuoFe>w3Ssm`usTNsy*?fWYV%?46S7R4ZoHsQeYEJ&nn^_PSTJRY~d-nz! zlGWL1L^|7tRe05B2xqZg0N|a%UCdtlA#G-n$=Vuy>&5 zNKwd;fCJ+9;QC^ys&^<6z4^-XlWPXH7Th6>kQk<81mm?p;kA0+u_nkFmTjp@i4F_p1B-*Sp)Oz=qoBp^_y z8WbZ{H18sBKS&xjT#z~IetXXau0RL}JYCyYLR!S;bO$*VRM89YOBLLywvqgX-?Xdh zY8I1zvIW8JH?2oFbvF&q5GTDs2?Grq!f*+ACJz-PCZD;1LmebCXb2#5?~?Z!j`Yluav&P)m*K(qIVqixno-3+4spoP5t4mG*9=a z{<`$>nIR2ZlyNbA&2?vEe{TmH`JC#N0@=NFO26`p3*U~XiXvk}fje!}TrCHC8RbeV zt_~E`C|;^p&pETo9O$&&y8=>X{gz7N>6Iq`-93@@Grv-&j($eJ!84#B54ad?z4pv( zS=LQSH9LJg_W8diPE5QZq_okIjdfBQOKnoF>o7_jpD_$<59h3VgE9_mV;-m-@LkfA z8B|?yUE~u(+jDvf2DET#-qz{+@K)qK-Ks0rL+O6b%Zm$txFPz2Qp~oE1MVjRcbzvs zey=l>up2TE7t~pp+vtXRa?CXbNk@&o58T+>E2g^%wR8_%Xa&x29YSUkg(fkQ!Wx{r z>Bj~0jF4SxDVX5@Kh>P=Pg_+0#xLkfSy^d>hOs$pLnM_%5z5>Ei{)(yBU{wgItqJH z2oeI!WfTT&X&4jBP@%lFabsDtZo*s(^_UQB{^SbwL3~&B%r1M>N z{m)$&T3LRC-tNAv%3w}keP~GXG|nQQBGNlPS1Dz9sQn3srOiMih@&bDL*g@};sguS zC!zQl)gFQzoBwf;6%ePH@OqCw6cSCXS_6&42VhmTvG#cQO(RMQkP^xNTJZDrT)S2Z z0aYioa;x{DNGK8K=DH>{A(ij&BQ);Q&ufBvL2;qrUT&EAH1-itx4vJVb55cTPRhf? z;&t@uyMV5p2|N4&j8OYyh6F+(v$B|PRR41|kHvlkm-Ta1$Fmtb-F@W(Vp5V&M%e8_X0G*@T1vmwk)|QC3O%H61zS#;#5JwCFp*B zyQ9M_n@}+?kXHDu6>5WDD7d|{ye!2(@wnoXFn{N-;_@M(KtT2}tf$emp|eS;TP$;n zvs0P8ziy(>r=COnyC*69sFY`{xltG;Kam$YY%-b zMAji|PO#f-%+xB7NQlu+!DA2{6hzL`4fI{}9*-Q+z#~1~q#@mGSR;0b%fh*G^p*-Y z40 zsZ3M_DnHat`a;*gT3A@9d2$x#kcyU(=mD!74y30{n)BZ7sl26wB^A6{pDTkxKdS%`kN%Bx+`6F9*OFE~`IGajEN*8|PzCvnV-L`=>-L0mg zI1OVI8ikL(4%{9V^(QMuzVlz8Zb{w381;I+>bZtRBZs>+R0ZEeTYLL?UX5hp+0C2$ zXXBd`eoCA*Akg;!_4IvdX+ODJa-z715Cze@UE5t)`=n9H4Zx&NAr%9uy4q{31`1|< zTugs)FC+DMA3O9?PSks1afvo~HO2LQbUVu8Sy%2N?F$djxpKF(w3JddJXbCGT0P}!j9yVLoYLL0A!(|gu}s?mjMeUH*WFqC)a#)xNp}>rbyja zcX#nb-OH~#EM2^HbiW+fjG?!s&ekO+CfdI_v;-&8Td*uDa~l=uvDTve*3!3A=oJzP z+(sK9SRI{M(OE1On5i0XM6+I^P$*bj{D9|T(G188o(zb>#>C8K5qTS32Wx9LQT{QT z%@Bah>bIDBM@B~AEW&v|x`R}aGa*BeVe;b-+#v`CdM5aYmkeRW)I+2LhWMW!v`iD_ XF|?eheV5B%Wg@aN4y5Z-kJkMS@qFKn literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N4type1.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N4type1.png" new file mode 100755 index 0000000000000000000000000000000000000000..70850ef111f03205df22dad0f200b46e64f9c95d GIT binary patch literal 26014 zcmcG$cRbbc`#*fFP~niuc8-ix_Rby|A*(2|XHp`29ihlxAtM!`6p>M8%9g#$D0|E1 z;GFw9`hLFO`|-HHzx#3jbN|t!hjZTV*SucW^}L?f^L3u;UQ?qw&U74sKu~F@tLP&T zBzF-A;#dk|_#}GE{Vn`Q`9S^FLj>Y19pN95eP$0lg&=p+(7r-GNkT<^3H67Dd>KCC zbh~oX&A{2t&C}A=7NMDXe4@rC9f3e0G*lF?d!;XpV*C=P zGgk4MS{6YbHgt5S(pb1vv(XnqxRhSM7h%yETKL(Y#Hzm}q|v$ZJ;E^Zzk;$mVgh4_68 zepST2gXM5EMh-i-HE4cT$;Q_9^x3mIv%wy#V~5W8ZD*yhQ1*b`MrP;q4wL!u69QEa zrkZKdD(>#?*@MKW3c`e^)eDIb#MRh^e9v+J@&lh=W%sB=wFOE-ohI)%){080?==_6xYObLY<89V~dZCd6Rh`J#Gf%-5*k_8xA3^QrIaom4rG%&*@DnsgF&YL&W zJ#RD)AyNwc4gP9oImu?49rl_59Ozpu0 z<{$8Rv zn$Rath~-vb%IezMuqL?W@^QJl1Mg2?G;H3X+?w^rAMRxOZx8?Z^XFn=)p`q0=E)fz zvOo(k!a3uB0Gv_H`f0R^r{|7!jBHM`@BLq8+5=OLj*j~J`axf89UL4sPbFjqVCQo) z11fyB9QPUuOe<9fCC&Y}`d_?wp(B4N%EdKZ_UryBrP~#58a3;`>w@uHwKyZpm9E*1 z8-|7j#-)y%%Y^x|`7Rad$nDi*rn_D#myZYR;|}+~?|UZ;m>hmYRhrT!YvrpUZ|CHooY7Fk>v`xS?RGX zQM=cknwn~nWLaw5nIXMXh{qNxg~5!AtEz5OtZfxyWJN?i<>xPc>Xh@Her9xVrM233 zr&WN>>-VF;ojdpLi9Oc>YZggsC#PXM*z3gbhwLspWA-a_e@kTgScQ_#CHGadjgH;aQuiKIZYBzf{vuc^EI_374u#1Ifm9lelF|bXrEZb^D zB;?du0jqF)rY_pIr%u;?_TI4bc3J*ey59Qt?_aUO+vfycTz0=|b^sGx#+&T?{qr67 z%U1Y|(vM7ebF=62n3}4duCCvh;l?QD=>}G5kC4rc=xx}{bqRUIn~xDxsN)B{@`nir z6B(XkjGjy$u^C+WqZ6|mgGVYIz(OB)#rwFvdGlsHg0Ns^DTC&i!;vo!mBOaml4Dr) zY8<*bZqX*(r?2ic)CH3(W4(zKxWE_c zS-`0{efsqJViQ=!WQ;tum!e<>S79v<8_g;mf*jxL@C=WLAc-G%qnZ4T!c1b!%UVw_ z4mq*WDWBZQyE0a7641uZPhuvIjWOT{?;cm z-{n%>@qtWwW+o<8RaLWqJzKPjmDR-0(z{JHUh!+PB6dGg&ewLN2q_no<>z1Qn9qPD8&p_MoxpoE+|9<-=smUUKm? z*H`dRBG?#KR#sf$#>U1WrV6~L!0pe1){PTe0#2h`jSXA7l`M(Sc@8wcFd<+1Z@v=c<4>f=n-%6scVR2J*qA9BV)4FrROJxNyVBbPJxR zMAzUCS5Khtf0;hRsIdBZGJ3JF*385E1iI#M*;%KA=+GS3hFSBHA^Kh&5gsmn?&}f-UKI}I?>@Tl9y!Qrk2|+=gA&PkY10Ks78M(bI@7Wm1 zC?+QM$*z6foUuB?)X;DS?=!;hG~bC^>SSVOZnyh%F!{{LY!3oOc~OxN`cDl0g#^P% z{3@J%H8yV>x3^mt&G%Z%?)?H=%>AK5jOg`ffKzm?`WPaNDVg8&ve)0=k&Gg^gHF8P z%#Y`|MIG6#z8K7bHCn~Y4ECk^?1Mph{Dyqn#+{YQRXIk|7Cc~g_i9UM_uL>s{IovHj8KY=jn%zs8m4clEJbP z08+dE(SQGqlfo2P{gtCtJ=Ml_zcy5yiOKgz>E{y%rqn%*eRuPtg<^IxqW;|Y61}sX zYsV>GXSVi zDUYr}t0nyEA2Tl#{a$lHKE>om%Q1Me66wyzrj}5-l9yX%^NPzp`llw@(MS=mZ<_7c(mrz&z=6s~S)h$KUjS4PXO_5gSYm!W(j_;8OD*{xwzA(pJyyE08`Xy~p;CY95 z$$K^NLKBXV=75O@3L8`ii`z?9Bw=ze?txV6Bo>1W?8~wbxttHPk>89TYSr7NKeDM#>oPxJl1R&3ndz;ay>t4T?qYF|vc zc{iF8t@J^qCyWXepbJfi^+m_TpNzZCL1aM(-nm;bZTCDa{NYM))e3F@{vdqglk4x7 zND+q+qy_M5x;V!iuI`t3fbQv+dwG~k5_q40!;HPZw=6dd)5^GZr8|8*Yo9EUZZRZ@ z*d=_I>~5b=;r}jrqV>3NYm!|vtvmvu7)1KgWPM)w&9#i@+_1Etc;8n2vB^G5jHpn6 zuha^*A1!OIgc-4`<@2?;m+tg}NrOvN$b|J&dFN}#;nt4`WT&JHT)F94ma45$5grp? zo6p^If+&#UoFZ{oa>W@PHT=tKY#5gO_o(mj))SA^5iZftg4$*56KG^0=~CpT61TNL zhezqor(`PB3&K#<>vQFgeNT7FGQ_P7yxHHsAFnPFA+y0w zyXrQZEN;5ad?dWerQ$<$_1)XIZwm`oS62y8_^g0gmHXnr$jFF>86M!*^&2-10Z1@1 zG0_n)_$UT{2!Jrl{NY^JtJxR6_m`?`cN+xYz`a?*KJwz;DFpL)x~UXoE6`Ckz>>F@LO-`&DFtwmp=%`+ZI-D|NQekw6J2~UEr^`w}@NA!w(m`4}6DV z$6d~=(%Ia-yEs$}*ecWoks2tWbP7zxdq&b&R2ZxBfDqF9ugs%k}LC1EiGB~wyUFN6@fTjXYU_ae9z(}?fPo@ft917m9e3|*()SRZ;}1$LEp5D(f&04jOF-0!n}nKJj~*{ z9Y0N_ENG8LtNLe5P$AxhKPKG+h(kj|6T>IkkuGHrAkn-t3`PT6Ub|gd_~B-el9~5v z^-c&90(H8Cvl$?*!A1YY59P+j#y@}lWb@zDn+_mG1xPWCrpl_!EzWONq$`D)+1pw< zCUrZeaSBA+mi&w~OvA^F+08yK`)-!J;i@yMQ`m-op8GQEcfiA9#XWbDKwQ02P?g_a zG16)&D}4QGtadLz?UAy3Y26O*3ktT)4|XSG9wX%;gJSdhtLQxq2ohi?;NtiFj$6qW zn#4R-_xs)&)V(JSV=sQB8f<3woVB|BT2_CORla)B4K2K^8hNV|`oeO)zX`6^EMGfL zg8ZejOuxACCC=uH1)r@(7F`Rx1hoa>a10mQMzq*q`wIgAt4!D80OreY^%*>U`V@C@ zW43erv&$sJXh_}~T266#)276Wlb}WylPJ-}cgOU_ewku%8-wyLUOK)T@$PN$>&Khq zgeXxzqYm5II-d)lF^-(JS%pOV{O<~GVq3b>qPkG9mhT~tnzyYWs>^0FiD=Yh( zU(U6r6y4q3LQz~KNQh52?!BCMHw^fQt$^jtvDWcuH@V;+o+&k~YZ7nb-!Ad#?pSJu zQJ<|jU&2L1efG^fMS!8!D&(b+>6ROJ;Y5kjaljtUjNA%bb`;qO_(bk<3k8uqV2lb;9q7TpcUKF?Y&XR2-L zY{y}=wA!wY>pq(wnsk?Xt416qBovQY{W8mjuAd`$FU!^msnAS&T5@LQYOU9wZ;xTJ z&4qUz9dGj|H&}t!W!wo_tgo-X5^;u#nz{y3D_lfya4?`Yr7*F(19_XD5JEcF{_1&b zM-}FNYOATJ^hx;HDSfQ~0)x}lcvdBSI9#yo;U8YN)fh*olLj&%PgD*mQRMggz z6zH=1qsIFB>qFKt?j9b5?Vs(;#B2}S%kTaUE-n_v7lk5}-t3ZQn%Tc8AAVc+J-Y5= zVJn;O&9ZJs&DM*F4eA;1Pa$&7snTU5t(u})W8`tWkUj6L0&$W8r&gp>=3x`7XXO4w zmRbw|IXw!OgWa{F@n>1hkC9)#eCd?O9{}w1SQKjKB2oUSrSTgV9UU2HJ4EEyVEOk!ljlAu~m(V@JJk#In2Ar;}} zEsg1{$&J3ye zE8+emVl}D}-%fSbu2}OaE~v=hdC0V07c>}*_l#D_VHT3FwGQ^~WlFQrCa@_n+=7Gg z7@6QS(8jJdA>=OJKJu-d-igm%xqWp+>7;Ru4*P7N`n@b2tj`cs>VZOQ)OpEZv}2{ zxm64bh!{ITJV`Wt_VbcdPBgBLw=H%eqHa=&LWwglkAQ(H)}JKUk}>|tuspwScYkEV zMU;(?uT$z|&Pm>ygPYl{i^PHR9E!xcrT{&wh_lOwhfS;J8)exq)^N6ynmnzeFWI z<#4@c>RX0nh+YCx;}{NNbtEdT^f9=^iao2!s811hFpW>kz-pT_W?QGVs@ zVol<}j{x2BzI9Jge?)4;HV6oPCvbK zD?Nh>H31W*Kci2y9^5}@D+SAV`d#&fj96QBHG^jD;Q-#UaOef56n6!t^bwo1f;tgv zy4~+`n*Gh4B=lqC^Jv-iW;HS+G6b41Cw3b9SHe`&W>MEUOd#PiC>(Za00 z;44p-vFbVAKp^R0gSh(3-N+vvFJsZNF8SGK9>>-qJmofq{x5?!t$vD*LvRp4vJjwW zu&CnSIblr)OD{Aa0kAXBH~p+V44&?cG6#{$ao7wiuv?4cv1@`@m#f=1hI>bvlTubm zP#iG5#6L$kM8}L^tAx0;`+QV{QnQ2Q6EE-5`~+c+n)a1*?}%Os!MJSu%Du{TZUjbl z&MZDnJd-WWbo#uq*?w2&|2$CYb(M!t!DHBn`NP_fpDe9r^xG`V1FWrjg(Jct28K)o zDc~)|CsMz;a%jUYG~Xo9Xc zlV6UBMuoWR7$x1;lIEhJQ>RgY{V3l)uW!;gFKjvzL(W0u5KKyGVazSx_~7F*#r~jy zzGKB_c6dpb8S=SZ?&lo*p`pEgtS3LUyli%Jsiil0T$q~x@8yL8h2yuy_u?t_t3PW^ zzn>>iUDZCj11I9aPc&i+PGd+R_>`41Wg|*la>sPu!oq@yf#ocKT~PFL8q@>O$&r7e z61}BoQ}Nkc-|WwuPo`sbxk0Ox2tqQM!kx-7W^1m!qs5TOx(GI>)z<2^1W-bFgglU7 zrr-1iCxp`C8>!d8#1j*ZnsM%gCnuAw@^?E~W6tltK!B$~QbV#^bKx%~;dsCa?#8f+ zH#A`>(17lCCt9u}birH{nhBkOku|aBevcPX8!nRTDWy4#Y>`7Gf}taeU2?-bhmG1? z&*mtv70D@u)t6k#YM3!A*BUM-Lw3SolzO`*L_NYkUveqJ$oeO-B;;3{uL)-##X1`S z=0JVIGm{RkF&P|VckpM{^k`>nzHd)B**0O-!BbYXU&9s?ohR^OqSi-!SX2+!)4tq9ElAi^TVFnpsy5YTcI?7wP$6p|9<1nnrkm0noe>4Njm z=p?N~q<;^{07z(=Y}L^!;U6Y?hWTMMAz)$_jzCI$;%ymM?p=JZHLiPln5%(gs}ZZN zdcsy6VF?i;#n@ld^NwQ0LB-&$=dr0RxKi<@hT($kfM;VP4y9ZjqcDNii`kF3IW9?Y8~N7y*fwWZDH%H=LPB7kO2v=B@R|mDTSzl0gn5{O zP3U7@JXhoJR&N#hW`Mpa!9%w3pzsfy&dt-%vY*rFFOr*xNMeGeB7I6|A}e&aOX1Wl z$CxeJbm*8KI-b1fEXg~0j!N{hrTH_{@tzM0Y7Bf@+eAouXmIVz&wZdZM7!ofhi!CM zQ=BvmQ+bt%Q{eP{m4slH#L{adkTFflbO_W^Ytp-4I&cb$Zu}L6b%5DMX4Smek4~4u zf0>Mbzr1;Da^inm%2eOm)Q7Jc6#*S(um{+|-w?i{ETg-C#-j_l-FR&9|DWY3l7l zcTK`q(5vq-f?Fww^eBZSqN}wB@as(pLK~yD-d3ef%C#& z!dkO@ah6wMAyfQNR4ME(JNCKnsBoz~nL-~pwB2)R3BHqG{Og+>UTMo~1LTHSq@zqs zfz171IF?O?7dFj}wk02@Qf@CFG9{u#SbbBdC{YR{T+LAN0seH{4XDmhw_YIVbzaCw z?JdghO(j5K!KwT86-W?ne}3=>svEaVO!C|HiTL11Q@`*IA-16xB3RCKd2W-dtrw@# zl@VDDMnNN0-VXuTS9xzNdH8yJ?>#-mbM@-gYngJg-HZEJEYPksqm`ZlP{P^YUS^iK zucfZ;1=Sj$Vct&{6H$4YcI=&)5vk*;7>fARF9%JJ>s~Ps5A2>euUJ-AwgT@$6&31L z#_%sIM$Xv8WN~8;vV+Ure1PMZ=I8ftJGJ;WbKLr`aoqM3DrQJSJ%OT!H+NtX-L7ka z?||@=s6EsH^blu8p_J7wG#uaEYQv7e(5X?n?yQU|g+(z-U<;>aXA5tCDK0JDg6bv9 zPEuoI<8u-FfK}h|4fE%+z8<%3Jx5MNNsQ@wehGY1)n4i9T(0VKnj-XAlZ~coL{yX$ zBETgPH4e#2NJt2mW>RF$XRC(e==!zErl#tKKM!OeVHUCTo5#F?Lx~RQoHe-jCUBr; zJJs4@IU&xB?3<-HV+rG8LQ2vztNfR5js?;SP`cXKWLH*7^YQVasB?01!k?a+0-|TN zVzD4FLZJ2lxPkeeHw*9SyNnAzt@lc^!{O$rMuq?ncb-pu@O|bCcsp~Q+=~fbQ~%Kd z9LpqAn4REK48ZNpe*gYmV&sAH*DaW6>*}#RIp3WXS-;)CgEiY?x2j036bP;2w{^3P z9{p`8l4J7I1F`t?q=0ql&F5UWMM~Q|)epcXOF}l$rWrFe}l)SCllK6mB z5~$goXqOm&-@`!^wRQO-CZJuS?YJ;3qgJwAlg$bA)Y~iR4=L)ucxl8EDp_E5uv)|g zzSU;vddR4GDqOt0yhbbBYjInJ&R?dJlFfYPp)zUY`6|#5aA*~7X0`Co$?rE`f#LNm zRlR=LURknt34w*})u$+O8ZHJl>sVxfjN4o+l0!nm^L6Dwp*aR>2G1@U$>9$+T3TA( z71DKuUsCuPQ985}a_lJUQM}h0yS~KH8mk}^kwxm=DZ4{~e&M?!g+CqB^zirBN8TGV zP(9xlFoV+!qg-E1O=U`Mvn{#_C5S0GNu&rkhff>f3=^kB{yD6)B00cN02j_H6R%w| zyL=}EX_&t?VB$Pl?mP}<#T78Rnwpw-@7~qb)$y5>?V3++?AfHsxG(g5{ra^dL;5k2 zgoK1fZr9>#<*3K6do(hC1CtiX=^|c1#L`$zQ>@=vwuvL4ly-u+h`^<8MT7}oh7hh|h zwtq%ewCGComP;wkO;N^9&devAio|R4$ED5-6{G`~JL);Et)Zb;NQtQnBGcM4!3{`_;lbUv3>)Rx5M65Austfmp(`ahweab(SSckb#$9+b5a1Tt~(8HwmeyO6t0gk4fNjxL!mQBY|P zNWJ`_HTK67(g^@dVP}gGd#g`wzX*)_ieuejLMz1oVNr!g){ZPvwP5GU3E>(rC&RMnTnx4n=S(#P%hR(lRUa!cW2t zhI->Yrmc!YYxOYA>6@8=HP{}LPJlur%moHrsZ%@QxH^k&{``9FW@dfKdwP>AfZ+(; z*g!W7JSHC(@6<(W!mJ=NruwtM*aKkf49T&n&l8Pq4o+B}v|$lWJ88V#%b8=#_(6sW zCP2rDY^zwxKNLYpQAkrmP5?Ph$x^OH(G9P(3}_@!9b%=BCYw@1qS2g#t4KZ1{X2eI zWk?R*!dN6_y$rnnsfyno`{aVrzJl5~QrT}JX(_kcwZaQN5-)y~q83DIl|a}G01%~I zU*gQDIa+EiK+Vd7c{oUz6G;vW?-b8Bq!f0PEYZ(TqvA{CxuqKn6&dNm?s2N4jXcq-~?$slk79oH}0EGy-L6~+iqD65i_D9Q; zVq)zkEa&v;aDmo82rC-+P7FZf(bo*xlh(yY=`YyKO~@In!ts>NP->(8*66^*p0KP2 z{cAw~Ih&U+Lbwk>MsrK7(Go4?7qe>r-0FpVE(8_`~U}Z`)!$dGIL>({!-6y0+D?)}N=2Z4W zo?PC)0{~bLK7|5nm=7d&PIYHat|>l%?J%K;OG&z-Nre=FRqq436zDVm*8Y)( z)Ka8YM1)?~hl{aiXx3;g!b-g;q$kdLeknla1KoJbtIlaSuHCK zEvFj}CUfZK(uhTLN5DI$!1G`(D6qXjP;vo$hU|&2EjFk~PN9!5!SBD8HqW9MCrBCy zCz_0rN#|^*+tRC(lq%<7WMbe~#RkOI7qLnA_b>Q3y!;rZb@puJ7SU@YtQmDyj8LMo z-+2Wk7ux6i9XHugOyFMT{=Tt3$vjFp!KO4;H|}W^Z1*<2g|5K3RLJr4E@)DN`d4hX zp7g=dw=#y348gD~z_8B?Vi~>zcUZ5McXfY$0zh3|tb!ZZvz~<;QE@?o^(+fho(7w1 z-rB#}Jtn1`8=bR0xo9k-MH_moB=cwt&=OJgk=^Z1o+-;}X{UE_KymaokP%i2I6*h< zYqZJcZ8OJU$w~et>QT87A_L@M9GvP3gT%REa3)Ihjbr~fuCC58_bi=?GGrE0M;SxA zhfK0ps4`5Q5g&tNSUvR8Axc)L)Bjx1Kf|Z+A97w1*`>)Zgy}i2zWV|aim75ammxlM_ zJ`~X?Sk>ho$cKw=G#4(KR6Hl5H0?eb_n9Ue5<;79_43D{1pnJ{H5UQjfejyu9@XNj zW0n1&VhQe3)$^Xl{cIyr(w-v=NBBddK7ZV$rs-Xd$9C~8=SJ9H-UhGa76vBpZSI05 z;h^X!C?!w1xqx-Y193d5Ln`=%K`T}+)7i#`v#%1QQBOic`)|@9BfFLTAZ28+rWoz1 zISB!8&b?cQWKL5l`|QhXNYSuZEDnbQEuJf=zD&yRx3^PmYZOCG9O_3!Wo6>Ou~0z* ztoNm;5D&T|Ay6;Q7clGwVNO&+P4|ckM9!Zkn%rP_%^QC2o7FI2Yrf2GfKZ^Z;!(Um zR^`1qRt=aF3gDrg=~5ftP6#9>CW6ex9(S;3Ft*>DiR+to1+`h!;lSO(YLDH&fAR|| zF8sbsrg=UOh-|F#jrB!{fWB>#cwS;Gx-%Cbn8PWK!$VQr#srbd?MTk%fq?-~zww*b zRMpg&nSI&lkY26D<3NfeE-I?!I=Qzo3mM?Z(2!*JiObT`P*sj*lf7)MdU9n~nFla) zGZttbZ6Z1>4~{2x-b^tl4if!^zXcOxn)3IsW;Duq%| z!GT01EJpVkCaiOtTS7;H<3h7CwU{rH@R4;Jq;(P_BN-@F|GWCFscL?^$m%h2Vs~xw z)aX|0#X?RluKvD0LiRRU^YVYn-X5i29l&P;3Zp>7Zi4GdJ?$Pi|2G+3y7w6{&#?Cu zz?3C>k_ZDRDN6|p_l%BaBqg2E<<-*GKAphb3>ylCwhyou=2J zIGivZBss-CVQ@rto>$-qcQ_E`JQNL++~!n^cueXInr(FbklN|#XY-=k0MMJ1!q^Xf zlLN|sS>+1i#h#uX`%M1<$5MXN3b%OQmI#U`5Hhn`OihXfd)kPUKqLYp3=qEb(KbpS~{<_<=Or4ivp^U1TibD@XZuAQ zj|rn8Q&-`=^~6o|j*kkJ?{FX+6vq=2s^yPzIuImKSPE(_nm0m0n#kyW?V$%+MNO^A zZR`wEX?JIG!K6a-M9;Zu78J|+9RhB;C)eRn1TpkYyFF2g3Y5D+(DegUus@!Q5DiwF z6(X(ve7m!JGFld;Cub(rZgCtCrfZnaQN7_~BpZ_oPgGm%W-sD0?TP;-huaONBH8t; zft?7XR8$HB>8=TdrFhzRUlWD(igaklU$eYgQX1ChTdpl*&$v!j9bp)7Y~W0*Q3zoX zcmLNMPO-)wWRwb0H}{$6!Z$!B25B9-K2_{);;qXQHv!Cme5rp-hloy3dr~-C zCQ}s*TrlqDT*Dcqn;#&Vt9Bo+@dxP~By*MEXCRw{#>$#z63VW%m|CP2l-)aJHh!>rjtFJ!{Ah3Y2L=KtgEH$TYVQUc;lte~ zd42%_Ba4PGYuB)tOA6wJotfBU3gb46#Z`Z@#qk^jioReBawd+s<5F5ecK^3D&qKw) z+xD-IZ^-Rx<`4`mS8L1b1F9*Uwp0i@e|v8EA|a5$d; zPlm;u?`+)qsxq-R=pQLVKJU5JZ*=zbX7POoag<6&`pr$ZBfFOW&?#Y4Whe9L{f&iF9 zILf4)Wa{+{1Z#HmRH1_82MGMY0gy%9sZ)Lbj<4l|^g{Q{CrM}&1+kh{sy>u4Hq5g4 zDeE42Ju|>*RqpI92Ae<`S)Fi*s8Fx6FrL78Q$s9At6+#@;Vk> zS1NtTV9w0YFGOjm5+$OE_@HNZ@3ahfy4+FIRVE2FX+}byTh#2U*@iE@!qK*5~q#LkdIy zz#cv@pMdmri~owMrHDA2YvRCFvPLZ=p-=S|M;bEQsw*%Po&?zcCf`UmkTeAOuj262 zwi!rd=uI4YyHM5Mkz9a#csw?cvn>`X^nExKARkD_h;9H2>TjeMNQ$eEx9+j{`nlUm zdaz2fKL&w<7q0?Qo)7Bg$pNq546!@tKZY)r>vzkU{#oE79P)UB2vM-e`S zEWgly&K{V^m*!0A4;@N|D)J@X?%)tGhq6^pXS>pjV zLcNeUjQVH#Lv=z5b=d*lfyx~yq!y~>35BpgVmP;D5?`Xu zOq@d!mv%~t8aqXvA-@hBJ-zPOgZ1Cf)rP(uQ+mBE6$;Lo&{6NSBm3tr4=-HM9*|pc zW+#xgeR8vckg>~Cy*x(8DQFt4x+5X)8_ef~l6m*yVM=T&kdfEt+aGxWai4Q#;M$5b z-o(ct@NYBGdAUg z1t4qwsSq@AUG-{w{RrFxFC>ON#0ASeu<7=Sy&J z$e{Hnr%Jg_JVwr@dKNvV0)a4R`AVMJTJ@4v6C0e6E0CC3fRygY;Y1^Y*q9VY%coIJe&u`I4V)hC50a7 zpc7J(!d64SVjbXp3L!()I!j5Hl6n+41x~~&QBZ$7R$|%m+`2{RnMC^agN*rHq8ymA zR(to{eY0AXfKglXcEzDUJD@V%`Hq+OG4PcEVM6bxjG~70lef9);}ov+y~zWl_)A9b zzE{PQ=!Es-kbw3=%DjM!2{$PAL6;l!d$k8xa1CPiI` z4epf}*avQiV0EMsq)$JKcjHQt6H31SZedW(ug1uHAhN>!#wlm9rUo zUV&IonRK{JCCyG;F#4G(9WsA{UvZ$X?~-}VK~5Us#$4J!fvNAh z4TX+bp-K6QGh9~cGhr6B9vyZR=Zb<&k)&V_GsgXqvs|?WDFKkO&rDAv6DBSavdb^; z-Z?_n;Za##RdrDR^c1M8b9FMQXT~ydo4NeSn$u)TCyM2|LADk@`0+873a`{ilkvk# zRliQ@i}=fCwDMDiX+Rwf#LSTARl-#b(@KvIrj^^>YV03AeAwFagN!)ipb^w_1hF&R zwoJWrYQeRX#>p9I1zVj>0)3dSOrj#D8QtP^^|-Tuv=Cne@Hm1{QY2i2q9}5iRK-7o zn>tWmff^$r4TePT=iR~&#-;Cyiq;@=?6-QRd&~R#)0r(z?w_@1!2VQf@337@sCgA$ z7yC64TB_NbNu#8s)J(d>=}e@W)3ff``G5gcHqgMmN>mtnTUQ36kMRMssYOfq_9oHl-`nk+ik7FB%nG_kXJU ze55DU25(H!z4(5Lg$X4NN_G%P{9(OrT`~knOW#jJ;gUw!Cb1|%KMI27@dw|jhz3F0 z%3CYl9p&xq{l|aezw2;S<=-}2n%GB8!nwVpSSGTD;}LnP(4U=VPrF2`2{OU^0v#`= z*3{$!Ob|kiP^~mcrEN6x09Pc;DfrY&;~MDU>6H8+RV5nqm&-(cv!M7ho)gAjCxbf= z9A~!cE^NLv(hO|&CIAe=;_A!9k{&yUPuB!&MF1-Sw}sWwK$GSizAwDN_J=DT!`1o zm)Qd&ng5a2;&Yk$3BuajpOKHY6z|l@ zX>|hq-Vn60V-?QhHJZu%qwa&I{{H?qZrlLjtx1{FT5aR(MvJHhk9*0d6{pjRFyR`8 z2`CD`!M#SP=o2J6{x5;%xu@}Q|C*~Hr>w`6PG4=p>Lr!?ke7vSz2cJxwAe zG7{1)5zi49wd_H%$58INj3p2DKG358CJSJNp05Uimi6 z2eRMcpb2x(wEV05zm%)iO_E&P+}HH|vjrJXAM~;Zdlwbv_73)HJR%A^g`29 zVPmKt_uV*`AM@i0DRC@{R$9r2Fvxoz!u8}~I#4A7JRNJ_4+zA^6ueta2(AiN0 zV6y;PAv+-ugK5^e^)v8^t45Fzf%ByW`~-J+6y@TM57bs~Dklu)_|3u&Ft)Aj7@t>Vv{j|l+8@wF4`L~zOzO~ssSNFb%6Z8#Ve0iCS61We(p12|j* zkA6W0I)*6Hrw-HdzTDYO>}1M*h)8s$<3h9#@JFYrxp@l(kN8$VgzL{@`Xg;i zhTv%mI?mS-S>4NEAp&y8(cp9-2iNn^Kv?}aYu~>a^DC7Qa;fN$oa!pHQdptvghCQ8 zQ<<-%7LLXFIS?nE!Uzg=(2Lwr4p-vYfp9& z6u|_+fAPnvKlO7+LW--WUN1$*>2~jO7CQ-qdIc{)GL6@m>;*X|SSabRVj0kxyOHzj z&|_cSz^Ot$_d%@b01bsraJ7+3?*b_}6qRxbYG(V*Ne8p!UI#7^gPrld9VM>K#xd_eIj!vwLCu;BTH(ywtTUeDz1;Q@Cb!Nui4 zL1sCR^deguuNgc*7Tq_5lFF~siPdG;shIV0suHu zeG~t_g^4paOjQ?-df_J2ASG) zplGQO>?a#s7xBq>MsyamClE_rM88Ot91}VRze}Ou1j0yIK_|1?dXSF>$4oLPBQ8Uk zA2L-I-_dj@2ea~exZ+3wD!dPS6^O@r!6EA`QTNpnOg{bFiztGkv|qdo$2A|N^KdPbPSp=f}x%5k)0 z$uYesQc7F5O)Bs^eL2gQ5lsxlU1@=Ju|%ru#@`d!Re0$*=~2H5_@o||+^J*(6+I&v zT!a+y5S+S+ILFi-5j78h?WQMNZ?SV@=dYz~1YA!9`m4I#Ky(j+CV(7Kcuo%% z4{m@0SHeNZ{uueMthy|J7Lnr?;g)M< z<%kv{eW|nVoXfjY{MheOamuL@THB!pRY{xi$gZkoA5r6vM04p&xs)^P6~*PM6qX;P z49i4=S?|rUi>ZhF7?;TM|K*N=z23Y8o;ign8|tRwy&SZBd5RpO5MD<0bh6p}HWv%V z2q)_@54rLaw+Q@SWk~Mp@z1EWf|xjixX7QV{jF1zH`kd3YbFc`1GESK{zB~iICM|E zNaz^T93v&2;@ax%%5r+BfX10>fxx12=0kG<5h4gS8Dyu7J!WOQ>&-46C9iZi)wSB? zi`95&Jjq2+B#2Fg`!?nd(kzYDGG{1d&na37V~4+N!;lhjx;KFPLDa#m3$L{A2cCr^ z-38JMMJrCWYRnZ%LG>YSr4N^9-L+jBNf2`cO<^AMZ~(4}W4QYX$Vi0b)Ur%o+{jDF zxcih5ZpG@s4WGeVjN8lWMd~n&i=67D>qkSLx0(G3R2+(l>&PwU?r|40@P@opWA;%9 zs!wjtxC`=JBk4i9HCly4P(uhC-|OX7zPjn4EUzBBdkWv-PN=gD3R8n(bPht!x0PJV z-{ajm3{MFjn8JVcwr0H=LQgw-i?TA4J8n1;Uo0Yy>id?2b?AL_ovO##%{MCFUtw5+oLey{(p*o^ClUA}Br}-a zGasg&&T%tf8H21iMU{gh)w=b45^act;Z6_XYV14+6U7drmt0y{?@8$d!!rs&kfCz|h{MyS8XMPZVdm->XOyWHxrieo(k1Kc6%Iy(3kodA7Z-H-5lEvtUB(uFnH zcUR@r|Jryg&9R5~Xvm&g+j4`8D3)O~fs(K4paX&c{J5}c3_FjS)zzY|h{OL!Hp{%t z2N!N%Ag$oC;Koe*#S0hKeX5;-n&La{?p#qUuQWt6M|uPRe;8i zKGwsb+G9wggW2A>Kjt0929+Scu4%CTAl3Li&U*f#j6mb@n%j8yHXJE#=glVCgZo?BD%F62N-T$t9LSyu|JR|p(=6Iw}5upm^US-qC#A^0;B z)qL%ay8c|Ktbq0d7If76{d-ha3F_STi*ITSR+9>pjfWKIv4H)1=#XJ2L#HkCnL_DQ?I&J&(#O$oSw)eEPJt!iP&Cf0A!O=% z+zQ_ny97n*!&huip{%$w@-PNzwMjI<7BlJRDuPZ$0( z9z~D;zi3`X6RWS+jSvJG;0-`G{~kmEGilCpP2T;?zJe} z_Iovu1A(woANI5J;7}BcZ+o!Wl?lYR(*M=lnYcswet-P2WEUSwKi zB}TT$5?Qij=VKX^C2Po1h{@X6N-`pAma#^%jHM_d;diFb@B8@&e&6eNU9QVD&pdNK z&vVbY&pG#fpZ9C10zG{}ISSiSdix)%JpFmx#hzU@VyezKVN*x#ujbML?-Wu!{=;w&274 z`)m(VQ}CXFsey2#_iw>89u_1aovf=OX&W5^rDu-S_p3#0Op=-WP-|l!Xt&XzgEktQ zKh*7Lzx~Y?L^#VeAuFJ*)P~#0r`l8H;P1cgi8Q4OG^?s_qR1UvB zzW7JUH`J#~hcH?WT#q_&w$clwD2O}0Tr?nm7*#8eXUsEL1+yl3%PHRX{nqjN-v=s)K$1cQ0F0esm8;AAx zw5yHH_P9FP#^_H<7fReM%{Fl2A8Yf7V5-*Vf6{*LJl8Sn%G3ujvERIOk2H0}GT3>D z#u^rzq>lXJ+1Si~U0wQmX*kr`Q2m-P4f*k!P-jnyq9;UrI%C*1-0h8$E`0 z0AI>KF=8=Z_gz_ssfbs3zwgV6ipm)*O)5BZM8Az=(fKr*J>tgx*y{aOhlyV5lpu7J zkj~a~K~0HE!sE$tz7T(H72_uCH(fC$POED%J~KYuVl- zP8Z%am@Sq+%sps#&ibv+Feo%)9gZ_7C`;RNMKb6deIy1fM6hv_?D&*b_6AM8!QVP) zEzctwBN@w2(=Xarh#bfCF^nJ*EJt7TMeQx?Ei$`b+g;M;WPOf3HT>W`-0RO9SOKhb zyjGa>r3Dufd}PKEN+-m2lexZgnPI%{*v8ki`EC~I^8gC>GO4b^#W5DV&n%?WWCKw> zG0|irS#A_al-CiB)hOW{(^A;uP~66~*i-xXWC=M_z-eV7bv3-h$3Og%L7Y0SrCqX` zx_Uk3=}THEqT z>M$lH^PH+HYj5w0p8F=%^RcL`FBO>GyPhQ~LRfMHXWmQ5|6s#+%(M{olhf>vRb}eA zTYQq`pdR!v2>Ir2qd3KxY86AiNcvqqgdQ=y*;lP>iM!a9BrCei-tZ;lP%br;PBX0M zh-2+ZLCLr6BowNoZOoPjk8e+zschq*lN}3C_=&Z<0tu>cR`lq-dT}vqT((JwT`>tY zVrt%0@jA*a<_z>(Mr;Z}>AZ`1%CX6SSa^UoCf1~mZQQU`UVK5KDqc=l zvinHAE|a8$asEm^2$XPPqXjb7jLec&hesZ7B(`cVLaI~P6bm={?-DFVjA z)k1w({cazG8#7IZi-Yo!uU0c^xVF_e?7|ZMVx6X+;x04PR1nQhB2g8SQNvsoa{OqS zlG%RVuBZ0A?=+=unDkq68lj z`wFO00eU4J4gJZHx@m@FR_n)<$jjx*vYJ88Q!h^Llq~KZ)_$(&2y<385pqu&;?|cQ zc`tU6o6vFs`f)yLZd+oc^VGq?r~FlLD{Aj&X`P`TM25(Jriqe! z=tj{sg8NApw<-*$U4lcR{Za9ed5(L~N=o|*(Z*F7_-3Z!>+%j-DN*LU^wcIC(WB{K z+nYZwCzacfsV08dylQo4|B5e*Z+e_Bnuj-9#$>3eRQK^oY{JBqN+) zh_DH@A%wyC}(26f5LzsB5KHP%S&pYL+>;1DznE2{)$hP$3H?4NAQ(V)8vl7Isi* zo)6tDbkLBW9J-tMkR9z0uGAEkH%d8%oIz~kvJZF!G2T3QR?lC{YBXwL(vA8tJs+CQ zT<(jOxy}x%BRB>ByUmulcGQ8Vn2PX?VNX(CrJ=HBsWB=h+zgaXN~Ef}1@z9+X;s>M zY6~Rr6!ktykH5w5e79_*KwQCzG+MsI2>$anWycysmZL9TV2e#=ng?AZ9KLWMqM^%k zQGc38DI1&Dm<}YaKYoAaz<7DuBBaQA-y1FwDkJ31ASF$g;#BTHybn~a@f~Hjzu0Ip z%SBvIn4hJ$VE7hE+og{RXHe!h)ykYGm+u#m;*aofx+LoVe8-efOBnqjdT01;mefa| zgae&slX+vow8du@i0XQ&8xAF+u>IrV?F6|d<;r$0=W*D$UF8rhg;GKQC#Hwk!x!$& z`x+;{archs6q~6j|C$z36}$fU(uE@zOwy}5qc$g7*FS`F6=^cxaYb8^B5k6~_0eYL zC!ZFaY;x4T$qfwMt5SDXxQhQ?(X@J#W)-;%1xQF0N5`7+1R6tIO-d0v1KJ}5p)H^< zW7{U1{PzW|!ObMt&PPIJ9(}CskILpttLh}ec-t^e8U}yqjeUk4dBoPThPNa}V0Gh9 ztCCxB9C)*Nf%P}Ju*Q!dBHl+d2px6(!uWSz(4V2o3$b;`%)&UZr8uTk{N*UK;duk} zyNGC_EQ<9%wrsrw9#{O&N5pS&uLbnm$k-8Wjnm=h z(5Xkq-sDx?S&a!{?Xr$hM<9DFxAp$#`(f~MRT)=J&#~G zxqrxq4vL540>w<4P*hy}D}nG{HvukKh%LsHH=6pgEO?1Y9B!m_rylw#w5~6! z8O+1{x>Jpf-M-$=Mm|?(WuBs$RPaW+gA*)PlNx zie-&Q{_+CX zJ{cyB)V$m4Q(6ANID4cKeH3VH`2_{))>hE5U3IBJFc6|g!Ea({yHv6}a${z`h7ih1 zh&oVM&{Brk?`Wl!tCN$?QqJ*A*u)!B_X|Cn1L1pBst@i01C)0elAk%98Y>;5ZDML9MUKY!tuaP=TshPLB5ipKZd3Nr8|eMyfUKHp^iZgWAR#7w4mNJ%yjVsuytjMu zpW*jOT(mXKt)72Ti)cEb1ETkbZ(?NL{Iyy}9Iv5)RfKE4n6sNzAM4w=@ZrmAX&2G3{^x23kY-qVJ1V=!KnitXU+whH5>)yi$mtbmv^DMJ zu=7P}9v{%nAV{j2$tW=V<_F3iU$l3#^oDd)6zbRzL>gCUDg#O1kQ4>cqayV11dwUm zyX-);(6l}K{c#?m%gaxIjLLOAKAH?en@PoXoFk6-Y0T^o%F7Bff?P-a2uD2*#^s2o zqUp^gP`$Ja!wW(i14e(22$)M0H7FI+Boof$!E^;5X>sGhDqKyK%k(G5X%5%F>oey@ zmQk(|8%px34A9^DkLI>@R4h{Ce4%^K>!NExPf7F{jz0afTe^xCsSwcL^mzxIcKp+k z&zS4Al`QxLE*1ycPl=l|aeK!GK?kdH6LN77Rd1|cyz=?;=dngnEruED;@PswDeY?QiDS8Bvh#QaB zuhX2H4Q*dBO&Uj?o#Mof*YHnqejcm6OY9O(xAPBA&0ymx&=7NLG=XfJtovLV1%A9GQU~6S}Gmld=2=Rz>osodz13H4R--Dn5J`S%*BEcvg0BPDA&^Js>PanXC5(AXw8hI=dV1X;U_hl)vYYGpD{B2CjJynMA?gc@$D5m8Fd3Ja94OSLrJ=c6 zZgFmN7Lb#XjDm)_53evI_8SG}$vi%+30jei9UUD+z&7v}($8Ms1`J{F_YdbWE2Q}^ zpFDYTk#E))tG&ewmjjYnm6-Jp;cYd-DhNo_V~8Ya(40d_PZtUUYlELfA+?B+lZ527f)Ft-nw*!x!O(3dB~16Zg{9Dy0is@?>pGf)X+oJZ6*z#`_aZ|w~G z!H21a%4cdXAg|cDxLDQWr>LGPR}Uxaw_Wuccy7_rJ3ai|ymj|EL~0-!W1$AD>pnV0a%|sOwr~w;@9UT3~_ODd*V(~0AIv$ z4i1jtV56U!8(JjgC=drh&}oKrsC2OlW%7Gt3JDe1C}2B>zI!Ui03ZsQ z##$%ae*;e_K~EnApS> zh+eM~eRL^kqk}Coy6g%VswGu*@P2^*Qy60FEfV+F8gz>8FyiI7&YSpRtDOi-m+S^@$m=*Sj8NjGfM3)~9eOF*M( z*I5w$Q>k6RG6?})2|*mLfCY3Z2&b;Pn;~=^e_Nw@61-tt0rB){X({ZRXkuJRY|8hSp I-8Srh06`2kivR!s literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N4type2.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N4type2.png" new file mode 100755 index 0000000000000000000000000000000000000000..7d7b4d0003075df0683ec434f66702fcd89ae69c GIT binary patch literal 26266 zcmcG$cT`l{_BB`t64a6+2nYxT6iE;yBNB?7lZb!_D57LgNs^-k70D_&N)Q3bN>n5x zIfIB2B!lD(y^8zZ@4fE+`WxMUwBx;d$E|bDj%%+q=UQ_cqNSmTJVSd1fj}UYmE>+9 z5ct*z#Hn!NQ}D~HO{X0A7s(?f0|x|xnjH6kJoDrpcnd+~sH`qeG>MNq&&~9jSi~KE z!Z^z7JL=d!bac7*Y`zO{8+-POH`#j?MV>IwSGwyxa zClBs9TH4#8Z(7AW8NOU z)78hvsy0m0ddjNSmAzhSdCe-i9O*KTUqw%*mVcgnWykG2TbO4)BZ|6nY5lt|&HT0R zk4JNIP0ns6%*DASaFO+W6Ysc18mdTGfzCFMVKU1ri_0HjylKOgI=$cYj!(5$&~m(G zKhcF@TdE_kDn5gH=FhJdC!>PFg@j`BPTXptZ3Oy^;opdfb0n}ZhzKTWSTZy}MhX5y zE|7o(f$(V#N8=$7sEgzn_>EcCj{t$dnkj|De+2*k^HD6Olp2AMc64?wD=BF%I6hiA z_WJWZ_GsL5Ceg*%V^y9>PD7*Py>4#ix_^?#TFV<_H)Z0-O_yBmxXr!m-Q~FAzS=-N z?zWIuKJHcd@#Ej;T=^WAFW+#NM@-O>ko!;_jvpV6yVUL*?QT}C)EF8WEgg@sD86c5 z@j6^EN!IZ5*k3AdYikP%3VMbbH1YNnB`GQ`-R!u&;&He+m~|j~fAQB>|LN)JrZC2B zZ;L{G`tyozZWXt4PoY*s8wgXJw#U7XLnzoOkB15i3x%u)ns;bkkxK6T+FK|v`TS&> z@pwnEftrHVd#^WHMO77lA{|vX=XlgUe$YNN^!9S@sT6!z{@XK~ZpW*P$J(o5V`a9Z z)&p@C zs|zN_x0g9)Fp0419Gxi=E=*_|~6zd%}d5O+)T0)iX~%cO+b+m=I#uNZ1)$ z@p9SQm|Gn`v%bCmU7)jh@OZTLaJ1*0Dw0t4!Gq~gk)Irlt(6&wtEZvK)!#%?J70hO z`Xx7>C=?qQNI3pNGg-3wVS8M6clXPeFYD^+9(lRJXCgJL$lXo$WRJ*`KTmqqMOt`| zels3@J#M&v|30w3*vOu_d!p@sR}g4f9ub1UU#Wn1i0yDfR;Ki%4e26ukC ze?3hdux?1`g93vU%mZUMYqH~qN~^5gPjX+@o)TE8-u_uuCd?$~>FIg<_H9x7ix)4h z*7EIkD>8b*;^yiVyf#@JDQ)u(TmL+hv@kcfJDVQX)6+$0s3JOTgFS4?-vTG(CEZKW=1&D6{aNy$NdWJID z9~mqvF0QPokUZL%G*9*%C|CY$-pR1raHaeQ`QK}buju(=)wX}S7Yy6{EVmz*C6&Mg z?BLD{5yR78u==T}wEX;Kk=or*RGk_B;YOB}P<%UXpK6a5YFjnkx5r#`ZrotrJ0#o* zJKiC6-50oaZFhA1$XT@31wlN)jj-tSKHm0jlS;2S+AK(xa363PsCx9f4t$a1;YRP# zIC8v+(c1$K?^z~(0RgFlzu(}|UfR%E-YV2yHE-2lKac4T2E`tvBDNzK#(aZf1<8w- zE^S_-V7%_ECh4(Q*yQ0o&mj8f%eHgu%V((dKkZSPf7;{OlLdu@c8$yKeIwj`)b#2S z*`XKy&e1Vjz^9d({mgZ31UY@y5MtsBulKI%ZUdYgZ9P4aqto|py*^l%I$lpc+F)m@ z=k;2BakaBRmW!A7jmhG0vEu87s-1}!u*{S)+=gW~9{w%Q&QeoRQ}27tEx5WK?5y@? zX?p+tN>tNv{fI7rOD{j#WO1~dH*LJ2*5r6^P~^uW|AW0a>-^!O2W^ht>j-ih5^^lP zeu+hQV<_G9AnaP_{z@(5U%y9}Rl(^sT)OT|%w$)y`$sqT)@e+hfO!W2(m2%!TwdE7 zUTPWegY0Wb6H%IyoVC+u-{2K&A5Xuj*N<1Yz(IMLFlf=La_?G7iXYdHc$UDu{+587(gep5Ivjj+}} zJy>n>RBkKJ-7YQgv>o_h&?$B7k-@m2`W%cNPI8g2#*-&SyoI}GyKBrFUtXk`9=s^< zu({va$w|IKoT>gBsnm&YEl^cejgOCquMapq&LeiTl=0D$3EoG$q!Jrl?JX&#C`B`l}m>*-}y+1l`XJvI}wGe?~BggoBT5Y%YS_l7FQPA9& zT=1PWz-8QXyDvuvk2Fyu>w7xY3swOE9Xkg?R>jZ6OsAaBn0F?5g4-Hia)#hJ8n$8d z=-l5dsl&MjYLkuWT9dYz~_6*6#oPM#?Du z^dmSRoUgj_v~KsXmCP(xJ&` zCNB<`D_8csmiuU{)~1wquVnSk9nZ}F`0SvlsCYQOVDiG2Kh}A1XcdmzR?YtM zy2Qb7rx%;aXQzc*yBHRh^qicz$uKEc1F@B=^{H>{Nl%&6#uE!16^QQ%($@Zcb2OKH z#F?gvk6_$F+0&REifL=Dnd~D0X}X&DJiL_i2wM_ z;n?`$m`lxi;$P~68L8vpTGPf_mI^^Hs`H3|kkPA%iSJ=v8|eoJ2Sdj{z=Zk+2Rq}0 z+P3SDMJvb9M_F5AqtnH)WFa3~(Od0i_Gr^UW{bKuXPKN%UC#}f8eX^v*Rk7mGi zZj4(U)=}3y0!J^o*{4HxMf5I;8No4&LqPAV2@%0`<{b&c;O<^t6sXzjFMw#+yX^rk z6)d70m6nq7i`08Z_DkgvxB{Jytk1s#>C8|#AmHxi_WFt;Rmm>}Vm@JETuiMwKH481 z9)4rwd~uo=A^1$k1`&+Caia&2$|(jfa0R5|e|--2GUM&e%sBXUJlqhu-}qAg1(o_9 zKSJv?;IGVOfC!o@DkJ6gCf?q)C{s9M6uS5DeUboz-JRcpKm!qD*K79+K50f)R_-ST zL@*Lync5fxyuA_Yg7&w3EZ48Qk5xG789C{8y}@~hqN6HwBRTH7`#@t$Ue?a#$7Z0Do+8?#83Dzyy@!Lt}MR~14S+tY}-wt-ycNrzawa8t+ z1rv~ZCvtB1PTvJ<$e-lJO)Sg3VXlduFeJj09=Z5zuQ{~rrf+BcrC zTSe>j0HGEDjT*ybqZh@Q11!d?#5W3^ zi2vM_l{N5ci2M+__s#i@V)yA&K6Vjs3RpiswrGj(t;l~A$H*$eLf1-lv%Ex4q@1fr z9ML7R46agYZQ}kyMT5>yH~P*sEMPBRgs&Fnm3^%vB z9zkh}uSAc-P8hdU?YM`*1U>}Pegr6yv`dVvGO&@)VSJK~8=>`RNfmxMu`&Fc=J;4G z+y|*ea~3ela}(*9$^#gOfFd2Dkp=pI1q#z&`*V}>LBm>5oDQ-~_j8Q8eYXug@EKyO^{<44=s(jRsf9LDM(qx%p_ zW@2jL#sw}mHr#3DFJIyQC|F7$>bko{ib~%ZO+rVlFN(zWf;e;V!rw;r8T&-eFD%f} z(e3Z=<9ryLR@>nsRw*f|`UM<585tQFKlmfU!ongGoRE+ZZ=RMc}Uw7T_3DS9eP8FKPmZm~09=o?@3;tzO)n zNtSx_>x=75BK2SAEpEKiaC$Ucj)$GN`i+&$xLqB-v!#zs=Ww*%!1b#mrW_8C4`4wj zAP`zQy6GtE{Lg>DJB<`QP}R}V=_+6R@wqrFYi57^_^85ZVJgZHd~73~{SWvAsKBR3 zzh!nm78eU}a?W+7$_hN3{aer26+HiEE3in5oiOeJS9_j~8*Br*dlqv+Vs|P^CKyR4 zypu#pMU{W&^ICHR3y==tz1QHi0Z4nTxR0+(#7ek3%gaAQO-#Qr*^&_V*!c@CAtVgD z(R^O=F>T$HFosnKK_05%*UeQ>6&W2}@^sboI7rXLvlKM}k(PAt_5A$&(r7u6+_#Jj z3{$}1LQL)A;-a|c-Uc+3USxrg3Er9eB@hu<dIiQ3M@C$Fgr6U80vjS`dd+RX>^(d%z!uQ_{rkacnD@cN3*ID2&%L!a zUX$X7?WKdpxjQSTl+?hBuw3#WG`#eaRIFMe(Ohzt*6KPsKuM!>)!TR5Ut?VMzH}jq z*}*dpRhMA(aVqw`;lw7I0g;I%C0PRq2hgESav~Rc$`Gg?w5qtj@hzi zXwE*55_n?9kL=guGmI6x-&_FD}csZS6h;# z*urhR#uJRuUPVR4$S85;H{em;fSs?TUXMm?%Ujri|MK$kdQU|t!V4aTG18$@`;yL! z`l4KTA& zV*f1=32@bOB7|LrU1^Q^YEElrI{1IhBe7}6L0x3|B{j~%hk7fwwxSdV4mKuiiIVo$ zl z?&^93yoAVOP)bt8WVcl>0CnlorCxo0g!b4EhGMFT>Q}U`%Lxz1Xx`m5*ANnnp1%GA z-^9=a*3!nwarlL)#S&!9{4t}IP=ul(v=$hI`8yh$8kcx+{Nn5&I+ zcr6~)JH|Uh-)h_OV^1$=*^O0r?r-J6 z8C-2*)KphT?&J?HT;bp#o<(5-o-UOR2B01m8$`t5hbVVE4w)yMdNe9+;+#;Y-ozO$ zKOD*vcKq%8X6bN$W#z*AkwKoA{S-tBKDd)XBbP)}owrYE)1>R~XVg4kn{{=SuXsH#aw>+3&y}^4|IM=;_m)xvb*G{DJ~%nIC}awfCwKAt_>U zbm3qr;fBOyg?>P1Amj8J20%Zz# z_rZe)fFw1|&1b;tt3i(_4StGpS|0|MSP7i z+kw$cMV?DH4DcEDr}2DlI7XtMp{_eG;@IUDIB=I0W2So8Zbpy4UoUXoBJf;Il?~EJ zmb}9DR-eT%;w;nJ`Z}Zc!75mT3$W9*kQTFw{pCvF?CERn2y)qIH|+WHON%9*T=QsN%460Dm+*Y^~@=SbVBW*LZN>VNRpP~0DRH=j>|$eg4fR?`;INEF)ySep6n z9jD>l@xj6ECaEJn2?@`iRj$9Qyp9fb9oO;viYmoJ^Opylo<-FP+Q4c{t$gYo?ahf$ zV{0-CV$c@&;rww>JN>B+6QVG>*?Vl^inNs+^D+DBfY+5vSlQuIr^7x@4@|zR9M_x2 z&nkeZpKEgGzr-qjKbq7L3_r1Q8Q{6DR&?%t<%ydDukpX!sL${(z~M z^ut#oouv>H=Yjt&3RYCKz2LvF%VDo+{>F9_4A0i?S4-OBBjebIV{eVB4XMHu-E|Qu zl)&O>0IFU({B}V_aP^|y^`RO&OXp3y_Ma?*S+w8T?mIcSi}Gr8G)L5u3A5nUpT&J( z>F6|iYg*&j0bDb!_-BTKRPJ%FW`W&>091kney|9@nZjR=q@rVoz2iQMPJQ*NB*i}h zODgM-5fjCQV*yHt5k-J&XZzc~#@i^?yR7nEV^NIIm5#|*!ytxSwl;yRBrqbEdR=CMFZ8I1YVou~VqM2453(KvZ%)G3swbHMV4 zPxOPqM!yL-UuW_!3uk^rat0`MG`Hk=$Dl~C#Q=xWI+oX>HJ$Kpbi}vh|GbptpV7|d zKWye$L6rIT=i>a>H(R0$Cgcb;Mp#bAVWT8K94WGC?9)qgHYVkQ4W_ytx_tLFgrcb* z1P%|d`-peEHtM}#LW58v!hN7yUZQD>f1|PK`>3^!%7iF55N_AZS4Y2dY-QQZr!w?E ztlqdrfPM_nQZ9mXttUPI0ppH=Qu7l1$?4zR=5;yQBn5ix_71ECcmVWui$ z4Na=Wb{kucdOHz^t4<&n<9e1H{>+_nQ!lnfae zhee~l4kJuo!uR)n4|dJk{8f7khLt%!&}8zw!R?Pf&)z;Fm=&-!D?q?|16>e-=*~W; zxFV^dQo0#orrQqZN~IXD9`g4-k+eohk0#Q8T#YJDq6qi1^~4NYCiK`K_#o4tf~jny z&_JqBP?`|2j_y@C%EYGGFuu1!oP24z$fGIh|M9`5n$7DBgK2q#E!pR~W~#7#oUFHi zHU#6r2pZr->!}GhUggU*Z`7$uQcx+>|BNC*6T%uslR?`qM!I#kI$vhlNQC0pLm>|2 zzr-=cX1Z{&Tn2-GelPzv>79RY?RtPy<4xb;oc5OyBYmAojR2|On8HR0fN+vfl zQZwVpU4ZAO*z!^%1{neJn0~&zq~k0ZS}VAqfEyD7jOjG+r=TI+0e^JJ$5GsZ4$y*u zRs7vbc3>jMMuTmuy&0RHo24sM(|UHrrS8)aP4u;XtwKyLpBT|_%f)M-Hw%tjn-aTs z9wA6x5s=)iFn&S`F<*0I3I6nZxJ|sNHxaD z&bnZk<`{k@p!tqT+rOW`R<^bX4vTuOa9_zA))3re_r3>$1bFb>+idSsPh6a{B{OO5 z`DE74DaUO^d%7Ndt%MtGfV3Y5=(~boU9};a8;m}iL?lMWC2!}f`a3pLws8nPz|^_-I&c;|2)A# zFOCY58@R7X;43nR3ZllruI4@K($^WJudA-{WoM=G(0$nenlAUDd-|e@tn6VaGn|1m z&+sc3&pwZRgS^`c7RLP0#T6!Zm<%U?g7Jd3arA}$#&F5+ZG=~G+%@8&6mk5~?=z8` zf?IEGWFd&!$ewyj3j=D#neEO=w6{d$a6{H1J@-1H6vw;z%Q#3^|H~Y&3o2qh%>;d2 z2Ve@<`rU8d2|IeLPMB3G1*_Hbto%5^7Uqiu*N(SuGSJn$#MMy{^FTw$#wdm^-YpJX z(g<{R3K}5>d))6#o%^XRfBe@X*gzr_#oS&I5lM@P076durdOh?TVx_$w%1561{Ryp zOt9H4ZiwCt(&U4KMMx4fGtA}p84LUt#JB9rysaPX?84eR`Kc(`&8HrLMeo2$)J;2X z?~h=65d0(mIP|x+vc~^JAc*=y2cn2ouw*p1^P46c{#N1eC&ka#7qa=YXyNTQ8uhcn zW=|9}0Bphn5mSIK)~7WZo0gBAC?*UCAlPplaRf<(VbX>)=FuP+_&wafU-XXIw+N6h z9aT+gE*$ z<^`8v#moMCNW~%7(9|uw9lnl&0lf{d!RKV}g4ml~oe*$e_+kL6@IzK=F{zI-7*YW9 zDAsuP8vm@L7B>Bi!~0ZIHdMlKP zb$GaGwD;m<@>%R8?5ba`o#nCRiZ{e%$G_dg|Cni`l;AZM_ILHI2EslFSeCDsq?``| zb&lK4$u3=uKL-(Kalz8!>iN6kFe&sRPv`b4DJ3FCb-pax%g>99#mE-QBxQoZUzRc9 z?chc+!MgoL*F;pFh%t|ox3!4zMr!F(l@K9LiFmMZN$5fPV6%APTI~QDvN*c5vS*lh zI6g=G>zOZLt=Hldmpn>ZB1IHqNP-Tw5npiNBt<%FvZ2;fX-O9?s>?>N0zMBS*7=Q{i%REOAQ{+=vw~L29$V zO=%g^+&}H^Y=~LS^X&YA93?!9DvtT{zK7-paYRDIH(?9{mP>O}%!(myz5R)m#f0y$ z+3YNx_PZp7k4O?92*&gIxo%!_VX%nba|lZZ{aRW`g5C=TQo@-5+eOJdbr%a7Jum5* zN8d0ERrV7tz)wBBNBI0+L${{i_v3o{T=Uvi2^|6U7;LPl9_N(aJElq3EShF|UnvCl zfYrip!>t;MEo9XX9C3dHRTl92gnl^x%~>yClWe>MTTptr==5kf)>QQqfq{uht<7*z z8&BmT{KF8E6y52Hvb9H0im94Oa;M_C^Xcwaztd-_?l`myA(6;JgUcv7*oN2z>s-T; zoYau(VC9#EPv$_vT}VEasUB}-Z4GG$=J@to1_rwWhW0TrF_)ygJkkcYH#f%~eM4$W z?oL5UsSIf5y>EdT85vMP%Yqcw^XVdA3-|u;@oo_ry5>*md(y)4!`M2N6av?&<_HP* zEnFRKFy9DjQlA&{D`{zItBhA5$Ij-~2AK}Xx7QpWt{m^z9`EBS2b&9nQjpMx;{RNd zg!@lmjru$c!I6N+1Q!|=-UP8MioTl&kd@XJ4*x>VAq`{t|C)b#wfw|)md@@vN5EAo z%Ijl?!n7ex?5asEbIay*c6|eE)F|M#fB~x{@w;(-!!^o%_81_Yh zqlsfxWo2a<;(|fC(wI|x%&H%kc)}oSi8M?2w{OiJ7zl!$K99Zevz57xpDk1B$RH$QwRWrmCxRFjmKrti*w zXNy^|B!I1$P`=@g$M%Q#Dt2R67@ZqG z8WL8KY6pH9jOi{p>;FBgGBq=!xsmU*FaWtJ`{~x0FsY-bR#sMhdL(kO1q6n~_oSxo zMW)*6uc^&zh~oS~2*#Iu%4yJuJ>V(ii9I#N89JfL{is`>`T`Zz9>aM!ib!Nj!NS4< z6eJr=a|#OH%>2Sut@D0;7@3*`KC4o-y-X6<5R3L@2&qu<*E4pV*3p!30;vpGOj$ue zL0Ose)2C0NELk)7wAwh9E$q^D;j33aI?QM=)qnZ&#kPEm>aQhKiu!Q^fVNHi-kDmw z-AMPlTn-i+4Y%TQ_u2`b7x@xIU`cKeL+0caWF_pOr0TxCd_m@W;`QyXM2vR|P360& z8O0oDH|Bax{k$fNE?h&Ic+iE*5LdSK*0_GISnw_S&gP%+D0_L}$rFVRU>>`q7Z7ev zuWX{KkVoNG90;88SEP~zz@Zy1b*Y$grheno zJ{&6b1SA;l@vqFb=*?D$NB^=7yl}K{z^__7PWFrMD;ea%aKokjL_jjjKtkRnbxdx< zB}>80KwVM#!E0*E?D6De3kB5=xhP>jGp~himmeAxUO| zjdWeJ*UT!<>Mh3`ZYFa+rR70Bq#V@v`y{UY{+MnrxAZJH zW5WibuMvP2F_9n6T_WiE<+Zo!3TzkWlf;{ERRiqitGv70GGJs?aLQ**DcmQ?X;639 z9$PcTz`@&k^RYzmMMKMcDq2}MhCrc!{oizFLQq1XWW%Ow?r$>g+;Y3JT^RI#%NKu6 zhPWSx%Q&gH%9-#EK?7FN4^Y$9J#Xbr5i;Xif67E8N&w1a4Uybe=*@siem+Ao3q_%> zJRhVI+eJ3oP{Ba8j%Ge8O42g1K4W48D@@Gu498b0HGVa5TFY~sG*5_U$>4)5NSr;N z=C#9;Gg5>r(8>aOJ!vTQ>#d-|6wzxeP1_k2?-GT>NtA>GZ@OQQ`By~5YQh}Phb}Nn z0u+YmL4YAGTB6O)BEw};v~J+f5<=tgt90Sep;=kak9n$1(xTHa8&8;x8%0TAW7%cB zyiKgp!>CkP;j^29PeiZ74oCu+FuHiM@jZn6_f9BNoW(gvx)kbPgfZhovoX?HEI_}H zDcCO7K`UoNnVz8_MFW&7r}_-y421SQvJH=L<-jhD`^{4?6DVJlR_3cLJwv?ZA;+XJ zPTFJnTTqcO*)xIkr`!OeILcFT?OcW5oM~lyjOe?F;57>1dq=Vs7`BTtJvZ+wRlL9# z1M1*t+BK)dx%+~^VAwHwhnVpM;2AFIdOTmAz*Ye^bL%?UDBCZxP|Z*d{0p0@;ZjRA zZ%HuL;JX7kgpIoggc)Pg_ad1NS{lQ76T4Il8Kqqwqmv@gzMn*f=4rf7B8jX)&E*B( zhbI``a^iBvT{`k$2aFPW8Su`jir}*&SY{eP7O>BdyLxBN{?5D@Nm9>AjzNu2(R}j> zD)FtC1NtS{L&JZK#oYPp6PW5_sAkre1IqJ@q{CQdzqg#f;=4P>{ety#X9LNrACNlS$$t+!b9j zhYRGvys$P$1WU|30oitzS%HN2z|TCXD1CAPrXN%$iS+=pTV~ z=v>BRxqx2}WCZ2iXyTUuq|_X)I}1a1EWPU*NUaF$LdrL-{|w^*P-2&SWOA}g@7WS2B;73`;pgyB|! zfj_?gv7c;%cyw+V=BkqkTjA$Mi`D?IxpP&Uf)O$s!WS*^LpY;01)Z6~VoP;_h%0SgEuRRJtp0>l~ zp}~o0Lk&PhIh?=}m*8XplV$yL^BZuPxc6Dg#dc4NRelX+o?K<6E9cY!nFkT zHNU;J!CJ$Rso=CjN`dh3_1JXbRIF(g1BTK-GriP*F|`aIBF&c>c?(;{dx!`r!8WZ$#!1pQ%Gp4m)Ll>F+OV|Y}t|Cu0!ruU%)5*GdnnE!3Ev=CAu zK`jUy2tU|}xL-?ike3d$z<{aUl$JpHq`;s-P>83DME1P{%epVk2c|cF@~g$fH+tG^ zRoG-m9Rex^*EkQ2%%2^rS0w%lkCX;_A+;)7&Ll86cpmC#K5_8fo(%oH+9`%#gqWM= zhB4Ms8L7{0LW*s$@-RD3-29V`{9yll5PiJ}Vh9yC2f#Qg@49x`>xz_9Fs72h-0n#t zQ*be;NX!2tscUwsuL2-YD4E2K1ZE@=YC%BO(wSwGd>9o$OKTBut^aO|faUw7Kj5!( zvhey~JNpEO=85@;E2}sJ8W-?n09y3iJXw_sa3=bjrH7sCVuua0+Q_X%KXdq^zgE5yxIL`g*SnA zvi!+}I2Du@k^e`sESq<*Eh$X|bDM5Zn`ibM92y#$owX1gz$btX>pABw5#S>JH~Nh4 z+xbS7TL4M{N8@#FuZ$mqaQBt>ArN12AeeLcG$HRjmwKRJ^3N2KKV%ht-~y18tio9c zDIPe*;rbgiCldW^pO+DP0i2wZYGtoPN@DvO00pRyQ^aKAX(eco zPergOZh@>6xO!uw!tN9ZLqE@D#+TU)_w@BqPK0J@B#x9=>Nq&8%kj=GE~=@h0Oam^ zc~MM!HRv*7B84+qfsL371*1jsKZ)w@>23`_wPHKSajTV-l42i=Da!*d&p??%#k_|7 z*|TS$NELrF)eJ&)Hn+j`PAQYyw?}^bz$x1_H{!kpk#;6aCGQZQMwVWB`zhk7l#pQX z*^`7}ZxBpKXjO1A((58Iowg4?M2ngJ}s6ojODhW4$_pSL9g;fuySP}UR2q9H3V+S5}6WH`jQ>%RmT zcW&M+0R=H=?{-0+tz);OB+8U;#YarH+EAuqXzK~)Rv!s1g7}Id zgJn5;ZYX$riXVcoS(>=eVWwly*xeR1i!Z2oB|&xqg2&zBMZ{|0cw%{)`8|%0}&?G+!}F85n~rTGW+qQgoH(Rejv{vrLh^S*bHG$B2GlNydXxGE6ALyvm2++ z0JYtNhf&hb)PsG8Mk9M@mB!=tq~_Qgq*+7%Ryh1v*gV5@F3=K5y#;kCy_&TPOmd2f zO^%XOB(r7<`5|QraBM{(S>3!mVZ}S;0C4x82+$=ZfoTRsCXW8WSvdPtQdE>9K>y?2 zua1%)2R?G3REYaZNn+78_jcCsT%E!}(NrwRsY(T=uC`Y^{^(S9oQJ3NoPit+ajxfG zmS*yKi^EUe$6icwJN`)gr`13G{QP{*OUneqZm1LA6OPZ?DpXSQ&pQvE;*H?YKoGzV zLa4A8R4$7#1so%+!SdKpWIBO#UB4I!gt(lNsX1O^a_}<4Xnxn)J2QfX1i*hNM&%Gzvv3%|_ZR zq$iGs3kDLT1rdBG@VgWo+DWh!?23OQc%g0aCHeJN&-Oe?ZDCVv}d3D zd$ldET_vWgFl&hi7z>flF$_MJ52mFZmj97p6@2*Khox0 zhce{0H6E^BmGTjF{~RQ2hkfMyKIZkDbfs|n1%4~wj5C0J2@+-RpI}0|a3xexIpAh;AT)-3{66`OY$ zB@BnUtt`m7B!??hmvj+s0DGdl9~fS8L^(rxW@b>y43G`wxhyeamC7IkO|7bi$jixH{Z4AWxAV84k?g!(8>m zDBZnXvGCq+u&bn`7oQv)JJX3-5N`^8aRkO}Ng_r(y1YirG~`2Ys@b*~QXTHHZ12qB zfI^B3)ua^ur3ANXv-6n*bi^twqv8L+PCMCCI=F%H%+k|lxqpEy_IV^K8Ym^8&L`nW zoK)j2vn$fu1E~($8Au4wa-Ev+|8bIqxtdJ)mXI8CRi53@B~+?zd6w=TjI2Gu>T3K; zC`vvSBusIz{s6s;?XNOqreJZ!f+0k&21Z<&wbAT4>@Bya0jkCw> zR6I7;a;Bw`S)_6>K_-+?)Z`1&A9~O=gNpAZA3?Wa5K)WM4aRhf+6<>qk4!>9vltMYSUI}m?jcZ>Y%_tn@(C=0QQ8nVt&vPpZ?6Y znes)9bzp|S?pnZG!ij8a!4S6swC-DVH-Bn^kjjv@fk!WAe$kA|+(O0znLem8k z;~r~``ua!@seL8P?1b|*yz#oiI)x8|!g2Zh<%;*9w>eCPJ{xIH^_mG^yg42ieJl!$ z4OeU>9)zqZjMCz27H#Vb{@i%ontxl{GOf>3V4-#VHGz$D470P0un1ZKteuzuEf>1T z#&BHi7po7obCAyT%Ft^)hyC0ZHtVu{cS2;M*0&tp+{F&VK0eqN17kj|OZgz@Cmnx- z=~8~~jmLN=R06w!WnS@4>inRs>3F&p(&oH0Ub60$=V-Vn@2E+TVG zmDK?wK{<0Y{0pq}7Yw9O@ccgx`w*mMohYt)yG7ClP65-zv7Ij%+$*Q7EMSk)T2D^X z&146V6rgBf>hecdCQM|%!KT~(6Q`didV-!IDzCC{Zw`A0$XP0I#cA&Vqp=@$Edqsj zByur8WDH|+Cv27GEpe4yUoB|7tgPfs?^mK=N!6qr(2wVx*a(Uw09*(grIxJD*bigv z=mzKp|Gm9zrhy2K0_@HCY{BOwr%QZ)jMSlM(awE|4sWBAmLQutt%(2FmwR7wBHLNg*LP5x}~7r&i{-!caiu=QN0qz45pswJ>F<_8LB} z%zA2v@E%-+h*wv5NOOvoshmmI@@kT4Vb5)P92b?G;ra?bVtAzIC!FA|=LnCENb?)- zzKX&$R$;ei+%5?{Ij;^=6Wc-DW|^UXe6Z@po)(tH`G;pL5KC4ZZF&!Iz8qId-iiK@8sSa{@5iyyy=?O8U?Q0=Z;ljo z+~-U$PdC)=&z^OD6Lek@dq?ZRNz07n7<7eAE-%OD!ireJaO@AQlbPYc@8pRGZFdL5 z4T!b1qu;GrAp-K!INEIZ8=vYsKW|XEuY{{z57!5sqD3yj zq?x-T&=Fm*uB7d|Y%CvrcW~gHQ;5%ww8cP}oSuZ7q@6Ee-?-!9~jHXaCDDgpTOOB_>cRe?AjJpy($z0kZY0m3Ob57W6q^Un7ADWs3Nv z6t1KNxoVfJF@>{_u_9*fIA>kB`xp{9Acq?|;KusnUIFb;!C|E}XA0g%Mk=?|L-S$f zxnWS6&>Wz#@#KQiZq+~GcC^yCkg%|@m>6y7Cb|(<@E4i#-X38tr9OpKF0>(4zORJ& z6rdUof?-hSo|LfRA8^%`UV`?kYtu-nb?yGxih{juaBOwh(Y{EHdpy9Alq&tQpeZz6 z$p5xpEuQc%<}T2BR*w5%)|Vad70B{O&f=Xk0QHR2BtjA{sMGz2n;TU+=NT0L0foJl zg~eaDYQX*^hm8REamfMaN(r_$IY*G(<=8K)7wY#L6Z=@;L=~^i8Zsr!K$!

      YNuU zMTjICo$Rru%v2wUJf*Mj=-*lZIuFe=XV2>8-@(FTn!6r zxJ;&g$&&@HM2$b1_tpXM>yrd2`-aR6HjsNTV90e&u{J{;kd>3ua&@BNh_s+)&x)yj zp`cc>yE4gh%OJg59|QqVn$G4W)4nzA==kl64s0A~9k7lKMG_dIVI?)F)&6rGLV%zD z;rE>v<4^vt931yC2&;hCtt8A%5Ys6U@L7{8OCZU0B1g^!M?jvz%+YZpQk93~siean zwcW9hpZZ!_S4)#cp~UCI4kG9H5yg9Z5SAg39_bxDo;=+E7{!X02+IvJx;K4{&J{#y zVs5Ph&!`tG=b}X%F}`s;*r!s?~3MTA;~=a#m@p$wMS z5Me>idaXT9NR@aMZV?iQZ@X2?5c~UfJ6EuF7Qj_72s#aL47=+yB{FQzU99dT66DY( zpMqP2INk;~cutEm($mA;VEvESP{M~5=O2L~Q8RL^E374@K+Wvqx)_wWh0;J*c+-_&gnor=-&t6HuA z-Ex@ZUiM1J|59*&VSwme%epnx0a2zO`@fP2hkrbQxToQMnEVR&btty!Ykjv>!n`6- z3IDGGGRXK#%gUCAi<_)U|4Tk^sINcE$U#;sDOGC+ILKlGA0r4A5x7>SZA%*axyHFm z*-tJ_Ddk^O7K+G`FfOCM15PghHUocy zFkNJcPl64G>xG~fKt4E|)_33IV?Pf2J9yd(O48HfWb5n$3>l6`yED8dHR2JKKJ!T{ z2e*kI7dcU{lKD_BxelM8(+D&<$?+g}D=!|kcgrn7uOgjnKk z>t(nc12MHi(Jw#)5S&Q#bi59v?;Od9P=0W)Q0uk5)ReZz$h{{DkbmuxAjfQAmzm)z z;BFEK9((2Ku@?Wdkz_OWZl~$gh_s*F4?1tJE5~z?{<>wajhK*)j@$2|A%`C1e&pJ? z8iC@oW<6dtUK*L&7e zw2tuVgXbc+)r;8^#OlEBQg9J6`0*ekE8ti)MF3<)z2_m6LDB;E#&vi@Kw}%Lc>HLg zqHc9O?S-h%+&`o{rUC`dYvVaV$kPc&(&mM*$y#~p$B5_Q?VglXsDIJAW<@;Q>WN3R ze`_-Fri2|xp&x&yBrhfhU=g-xPZk!CW7rj{GK8UFemoNhN>*OE%l%Baf}p?6EJ&?a zrGF})aXZw;UB80jx~+{K0d@vzVk)8EHU7!e9y=hG(9+E3MaS?B4M&@XoVa0>Og-p7PAnEo+8>f$WBxqr{F8Q5pQc$&c*gwE7 z9Gxm(Gari@WPFD5*C4uo4zE7&!~57jUjTRUl{2N1Vw5a26vyF`Zg*hOLDy;oUm(KN zW)GhfDCms{q$f=Phx6@rs2Xpl7KmOSl`HKb>;S(ucIATKPGxrA)`#VtB!hI3U3HpAonMdA$+<>BV>yslmniH(TC#_%? zH1-c>HoDY@d;a@Bp1e#f> z)&^h4D9M4~IUH^m!Odzir}HNpy>sDVFs%FIAc7E%xQ;Q!9Jp@<$GpDukql;2@Z_Vm zjY$>}G~VpD3?^Xm^_R#oX{LLt+FkhMKCgCUKmtLscdCqu%mmgciFq}GAspeT5ZDZb z$}IQ02QE=P#c)xx(BuN{`Ui(v#103?SHcuU(7ebF`?Y44H!_-om^vd`e>hMM3gR-# zDh-M-tu8KzfFa9rpd7zx#$pWiPxEdf{Vw#GuYOZsRsuNz+`kpd+YoX&$r(>ezyQbO znD{H*M2Loi&q7yUme)Z2EfRf23nSSB>&N_-Lk?0KpsrYAkv6S}4h{R^Ll7=%77Q1B zU{m%OI(YOu-r86I?deUk@t~A(T**!&!kq9WE+^8uNxIn{{zcae#TfC6z>ng0s>6A=$+u@glxf6g$e%#)Vq8+|wF z>=FfIkHy93M8yD9Ya{seN26asAzhSAf>;HenYCez;SKMdM;+sEWizLm{;tdc3lSMe zYdLeIY+3|>C~@t#0Od$9!S_L>K~PSxs@gqXaN89Gcf|vZ^JKZBT}JUn-`{DNoP@SP znFDzML?i$%uTc>%uZr2ypz@q*Dzqp$rf!1nnhub&ogf`7J^~K_5#zz)IAP+e)yZPK zE59h|qTtHTyyG_4&_;LiqW(PylLt|F=zLfQ<@K4d>g{Vx`7!dsKF%MBLX_dJ5dc8Y zQ{(C?c(UsVw$~5G^aUob?K6dO-ydnwR0=1%)}qb7f*?D?d0qB@y*Pz%#D( z@BGkH1kH?D?c-8C0uMMXzs$y7f<}G={zj-Ycg23VLLlyzOo~|LX)qP4E*u=RPq2>Y zB3_nOkk@tgI2P!hV}OA3$tlrv@{B)dkKtwwTzE;_WAt{4Xgu`E#pnQ05 z)nMf@R(?@hp<#L^^nGnIf$tv@VhGU$&||=iec! z8h$i+radPx`{)Bu`JYJ|w}bJqZ$SqTI4XHb8*ZOHxROjQu8D?DVG3+A&O4)t{7q9%gu+Pk0^CGOUDo&k<5x~cRfWY1Re$Xo!3IAiZs5WQ=!?w5Pd3(MRA($^Xp1gAw-htlXW))u0`8e{)DC-<3Lc$e`^O6Wu zPKYquCn^$Mm@P+xX}^tp*=6=Ypsyg+=KqEQ^s&)MFvv`HAJfdC($TzHkkm`8tC7zN zS%|x0GWKfC6ics;$TUjG@G;G^t@%Ima{O&@&v&yJ;Iv(R5M`$ZWewzm-2@93xb#$* z0>XHB?n&c|MD$X3GzP55RhrHGALX5CJe1Mf$8TjRYf9E+r$n}pT}o88tf5dQMWO7* zGKx^Pv6Hnd*#{XylQtn_$(~3QVPqTISe|S2d7gLAoB!MYykO>I-1oW9ea>~xb>D9@M6?Zwv$rcq=9#YJX=g;}Fn-!J*RLFfI z)*qLyd6tR^IVRW^wcj%5yHRz};?t?x&DWRl$=TcoQ4(z@uaud4-}f{u%Y#Km@Xhva z{6VtiSe^BN8BtYsO(G=wwJ5i&0|nO6i{-a}cg4v6cW@c!j!`YC;AacIXQtp8nifeo z-1Ui?Nhk+Z-n*c&)#8NHk{52i0>;FDl9wAx(F-HJVre4T0=~Sncv}}uIv94?6E{~!!gO&Cxh6twWNVAjpuWHIXyS6_5G=lMv>hO zEFoSifz~?%k*1%s<({qb$N&G^{g|h&gAJISoEUZG?I;!?GXN&)y{$D&gur#ax-&Ym z_351Fq~DPpM=p+U!?oHdw&eW>@^U**YM~1A^Yy;^q>U`M5K+S4L^bF18SB2=)dm<{ zQ#Lrn=UgyyH8J4F`IdHtS+;MY`7XU9DtB*WpJexAu%BsQ{LV!qlbu15?PGn-5=iu* z7rN*0yG_ac3z^iGLx*vGyOjEOJ)ogsZ|Ss1QEW?n`*+)@;U{a={kJoD^U@y*+5p>y zd-0mHK+V_bd&lc8z3Fl(k@WCjrWZIhESN=nua3iyh=gvJkhD(Or*ltG6b*kzZLeOP z^{NMc61b;3!Iuu3Zfvfg`ZnCy*x2@t{^Qt4v@j35M36<5j9Ibd=?I+rILddsFbCO> zh+p_MAZHoLrj;;9%;8K;KaLsANldGNBr7BcJ;Y_5yjhxifu#raTng$0Zp$JnCX%}SPia-V6j3|@}g z%YR&RPf*bwNzX#Ydd}3>Cn93sBt4BgT>Hm5d4a}i`iZKVp>v?X*!Tz|qj9i5pzIzU zz%^{6XD@nsl0b|=kphE2A=j<-#}7MS-`eMEr(>#;1!9;Zr;C=4>HDboV3-gttOu9z zja#Vh=Ze9i8loTg_btdC+dBE!^-J~+>dU&!d`OVq1;+7fiqv)+9IQ(2Jco| zCcc08aL)pky0+PRGZ4@`r0HIuVVFBRJDZvHg5KKe=f}sU=4nZT*vxn;-lU@<8#pR{ z`-yLvJ)gi zv9ap{AHgF2Bq9RDCv41Nz+_O7mj1Cy1`<~Lx`lj=+wq!<&4XBhnwQOAUpDW&^-;e2 zDw@MhCE3DdVoM%DMdC+DrGE__*J0GFE)R6J>3>_0<6iD~_SuNdJ;#$PD2?sq(JXR@ z218dF5nXjNg!*{egWoP@2^I$x^raS)X8Lgq0u)Du^SA1j6UkDxE_d$&ilh9)*g*O9 z530paZ`~m-evTTmas4=oo1zOuExPo-oys(Mpk{sX`%dmc`h3VlnBA8K+918Sjs%YY z{MvmDosOvP>xT^02XC6pHfC-KUdA`8M$WVQwZw_+$F&NK?N75~_iY6pql&8Pk%$IMq}f+jmpyKus7aVTAS-JJRs~|G8GTBL{ zrmCh!@zkl!m6`@7+F(PCt>p|AZ)QGqf6#^G=jTI#;N%s9OV^w$3c>ps~k)zH?_VY?Zg-#9D_AVi?kaImo@BqmD!z9YVow3yCVvW3Ht$qXu1Pq%Nz z)=Zu2cJHD>oWi5&pdtF>5~+mZz5Q?_i|O<|xOR8fy&P6tTaYb+HqkYA74NJ0Nt7G26B{(8UxosU~x$HuM9_xU7x z^RS$lZt!eyn{sCSgPh6pj}BirXE%vZ$h|u=-epem#U)K=n7&DcX#_IlC z=kL1bR>Yjm4Rc@*UcPaQRVjaRsF|Qd(Na>`l#et~=z8w~kB0ZNN0Cmkh<2BX zLAjk%GX5_Wbh_H!Ti-PkmblY29X%(Rr;mT+{x^e(fEWGcOy++&}k z9cdbqPNug`AxkRAH|tFi(AIst->on1tydI@jM3T$VPMEtVZzJ7$2WqFmxb19Iy?r! z9zNw?XjUoMUqcuo!9Up+JuJ>_HMihG$%U1NK0-b2y+xlx)^JyP1$Md~@S})aR>z#gLwAX9LK{sk#Kc1bJ zW#sfLcT)7$M;)Y@elhEg+H7euN!RvLdvj_1pmhx6YLVxF;mUK`)IhjxSpxx^liC`# z{@?Gjrw){m%G&fEUArgqfavM2h(VBLJ&l{qDKH;^kXfRlZa>Gr!0Ck5eF5X+da{PO zsdswgjhDEg3aR0l-VaSW>x))1W(bndWymF)kJ6rt4HX-oiG|bPZF=5My*CJ_E~LLB z-J5k~H%xM=bQX=VU_Oo-PQp-t6Eut|TRC^3;>hukdmhZw8aknV1hkJx0kAHv#S6&J zezhZO4@~l^bYAqQ4!ZlISQe$Xxv8r&Y3IIbL9lSWLnxr0dLPJnFt@B_T^(wW5fgqy zrBmE6LqL6xruo-fT(Y7`LJ*61!)STKzVPvK_M$Iqu+EXTbL@-ziaUi4qS%iuE~91- z<)%9Y?l^>>WuSU1y=)m88LK2)?q_08oGyDHa}9sjaGiWCU-+CkS8Es7J{zCM!dieMtdN6Bl2YhKFW zwzU=Qxra+$+lSERe8`_&@5KdNjmENBxyC}HP%Su9q(xv6aq>?{pZ5w0u)#B=BS>kp z>=^|YL+;eq-{hsy%fkgmZgtjm!m11^N9A=o zjuBNa!BjN|qC0z~7FR9lXDhspAVEr8*^FFja2iiq1uHDatI9{kYEHQ9a zD0Th5p%@c_IK=*S`ntp_e<30RzcoD6WG~ke@A7I)_(LP?CnI+3>}lVp??v(6?nMg} z9kY!6W@^1QF41x}IM0t9cUm-71{(oe%gEbv&||_IGobjvj@q>*wa~Yr2n|ixxl2zu;OxJNZjdnlbSq$tMw+g+p!g`*o8NIm);PD z`i)KEl4q2-Qf|rC9>FHBYdgT&5Q5~}mnzP>H2X?$iu~f#jc6|;D!<%wsF4bh<}Lnk zc#h;i4qC`Q6qRUHm2GyVBmZ za!6VDFY_l}(EPo78IckSWSAy}=oUh!#kpdzf1OmijRZo%2|o;OaAGfXMwHUaDc+(& zDz!aSIo(tuRMloT54nGNmfHI+W4?e#PGjx@wn%!Zh7IA52%$`}vwTI#s%@$}d@y@i zu_5%zODnjY0FdF3(b{mGsuD|cTa2HwBZ5dv4H|#gmj+=x_J!D(E6hKgtu7CkS|7`q zuq_)Ialc)T(sqIC(cM18W%DAioCVn5CavE1)O(d35)oWKg$(soyXR4MtD?2n+!Si`-+K_yuI)h_s5*pqTQ} z9<%^A_SRC$rbysHu&N^|8I2A#%2$7<&o05_v=1#8ZDt4xbOhOVhtO&ocC#tOPaiF9 z_=NIZ%`10TAaV^_IelWTDjT`Ja^ZXnC~%OVzp2CoZhGtm@NB?`(rwaqc*Cgh-%7F9 zywJHyEn-V4|NcX#5B;*L2g`3lxe?hJ6SjTVc)F_szG#C&0n$JAq1X%)9tl`|_o(?RW>u~*KN?)-wtXe|do4qNP z>@I?c#vEEGXZLxpTQR)1+poB`M+K|+x@Y*p z?b3}|Ty!fJZ-9dY zK{{y$0T|LoPifG|v9pwDk0elTKBD>W$pHW92TksCIbEr#T%{IN2v;23G{z~gtz*_c z@cp&{zdvRNRQM80GgR3{z^#Tg3CNWx>T1YQU0q!upx&RvN?ox{ z@6Fa<+;`dBTvTzW0eF%_lI)sKCBY3b=BZ>cJYhXcwRilC)a78k5m?Rw(!)58LbdNL zGGmXp*_Y+NG6)6>Agpp(^C0+^+IN&ykdm3M+rU3eODlf&6hTq5fU8zd8^rdo%5&=) zt5zGl#5I}&E~{Qfge>bk{(Me6fxA|(FoQmQgk59v4)PZ3)5&lUB@Te)aS!Ej95lht zpD#u<$`&&%!SWM_7Wg$#ZoWv5xrN0lKoa4(4I*!{z*#S+wU1eWn(;VyRGDot*w-2CIBqE8lEl@ zdhhfmNLr3)1YX?+Lro%)NR)cB_MGx3aZ39v%xr0CfyKDG?Ck8461^EbV$=_*`J2tf zRuH8yEAMUlFu{VR!~`VaSv)QL`b-(XknDd?1Nm?Lu&JTe_=~;Z)ixzSA{Vp`&XsA| GJ^UY~+*?in literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N64type1.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N64type1.png" new file mode 100755 index 0000000000000000000000000000000000000000..18745c8efc60a8ef4a1a7e1de46433e2f342bc1c GIT binary patch literal 14945 zcmdUWc|4W-*Y+(sXyO=>nUY2n88QzkGG)%3h-8Y$Y&#N_utQ|7Ovy~&a3#}xR7{H~nNJp`enLjNN&k86Un5K;$uC0Wuz;(bI1X*x3_B;XK- zgY0z&bz3tBCu93th?10sqLlPmHjUf2*p!SNZfo1xTi!d%CU0ZHEqcA@2%LWuJzvKD zma&6{tqq%oh4n4u{5dWqV|y;%bLTIzox3P}o==$f+|?gihY^Ggk-sFR;T%2HjD=Rm2@<1R3yX%Q-{dj+!$87!XSC@$&(`-Q9kokv1UyO`~KYJ&hUm&inti3 z%)Gg;p64c_oXWzFElqXNA;`C1KY7asgKthg4UZCPYkZ*EW1iAG8{Gf}? zU}b>F{mI*H*Y)()2mE=aJM+$j1tVViC!|Jlt-JCKT*neqwbJic;d9L!0t9=V=W0#_ zF^epE1txY&hchj3ZL?pRS2bLgBx0;ib2CISyKjMQT1(c%%rpA)v%7?@BxQlf;l!D|NP>)Y66HZ&TM6C!CJ- zo%gGa5m{cw5j@C@M`|PN+V>0vdJM%B7Z;aI7h8UR{}-K+yB*GRGuCaoNMjwAe0_03 z(4_Kdb$Q-0;`%DveVc1x8zQ;Q_eNB&Ddf$(|E&?!1d_tD|w4BXn^R7|$RU zvB<67GiT1|!L2?78sR)Wl9glBt_W<+Gk&q*q{LLn^5edvo+v zki^!?U!*LBOP!W8MWeAvQxk3J``Hh$h!$oirlqA77OoWFHm#Esd>)ic<#!2KceZG0 zyA3=(QNGriS-w79qQod@)9qY;akQnibqeDyBlGdad6WAyy*-iswteNB-ut0 z%I51u=+h~5Llrr3t97>dHHmjDEl(&#hPrO1+j>}51u|!rufc9D8u?N7y3g0eZBCl6 z$JtM$7nCnFDWsQfedWPz_#17!R=QeO+HUA({iE^KmKVvPAd(0_s>hcG`3jSK4>5O^ zd#oqNy1vaGro*ksdU$NY!UlgB|K1pj*;>8A;*#)?c>K`u#qg$ML88Iq9!$KZ)wL0P zC5{sUcfY@%$S9eHCk$xg)>=1t*1w#|-1tr^A=gaN$^eUOkbU!WZ<(8(kQvw@ZoOw_ zX-3j|*qlnb1D+`ebD~xeJ-?{G=leN1EI#$st5@aalP2&h#lohXK{ne`CVBi6x zhK{Y8=(3H}MJ)#rR)Ul=b+okw&1zq)t*tdRHL=V1GUZgv4pw1?<&<_2!zZw8Qq? zpDMO&&p4A&cyzHCzsShI5GcO(qeTxLyfj&m=$zd6SJ=$0hjfNVgznzRG%QmVTWqP1 z5i!DSF0Kz3^{G?pN$y`#({*w=7Y9C3t89Qf z@_BBoeB~~gY}2z9`(7Pj(VUdHHUtkVcAD|5_QwEzDETRPE_}O^l1RZ_w$RivQN`kx zHrD41?rx=|RCk5dqovGkxmiU*%qPofrnlvpLfF~WF5G5UCCdj>UYF4rr`UV-7nM{9 zU$o14Z+!_(A{GwkHgK(^H$Qtli|xymISDYe;ybNxZ9OLR{G5cZeNP(+Nwfi{0tYV7 z$+0ICaH_jW=z3ottq@b)YZVq2xm7~ zsTzMd@7CDQQ%v`2&zn>QmW@PON|QPDIJURrwic7_;TU2Z+jO{$MmtP`4zgR}bB-?# zKc(jjf=19qRjt)+tIWEC8svkV!ZdA=e~Gu0>ZZ2b{E>! z^%~^e(FEi}hw&~EL)ePH9MDNno52x0n_JxhR0Z8vexk+`U?e{Ki5f0kZ;sn)X_Fwi z)La;;a;Ge|(yuy2)Bcbfe_Rr7!gb-tECt~wMS!;WT=lU6D}1o<BuJ`~y1DE0FDU~|8X0mJ7BkvTOP8u7LoRo~cX&(M? zKOJgV{)f4WzkBaL z;0NJ`t`;-BWnFLFZ!^MhjmAF%AiBT5m7ynL$&m;KmI+G;lPU+Z#H{#X$k^Rc4^Xb6 ztRQUl5!NBHlCgK+YY*0+-#|BP;R^UcFNh8z8UtiF_}Q4^?!X=!OwSU!YS z_Md@pkd~U-1^8AOz^KlZGN67rkSW47+q5S5NG=9Z!@V&EHgb@&mxs3T~^NaJ9^4gV?IS;vu`w zXMeheNd7ZEcUOnQ<2;t|bro#@(Gs+ONp3a7ilx{+Nx^MOhup!Fr*d>h#|lhHC4zStLcPfNoA^j( zi5u^|4rk<>H^c&t!!8S0s#qT_#RQFfZ~&Km{rdHDQkk>j^AQcG-=}CY_JJLm&E(s+ zRl_E!H(;Uymr_$w9wuFW77i&2;C~> zG7&%t%8FCT(K!V7A%JFaT0K7sR)~%b8n!>S7)pLF&u~t57tP-aH&_DvxDcTzuylpR z$|D0RObIG;&XQ_F`Q+R zXaVJexWzWTy2HyEX=xkvPURu4n>{n0%Xss+%?83oLu6#+xA-f9Vv{q3tr;E&_A09n zkW05Xm3)EEuYs3}4~MD)YjWzKJ9YZ>__z3~wsgIyykr54NWTxYxaMQ*^YU#j*GI@w zVLgBI(|(@PMu+dVFg%;`)e)6>%*}Xk1Yj2}araNvv6u%j3TDhM5}6w(yKl|M8NIvx z&8~_$d@Tpq7Mg0%ap`L4Z}ee?oF+SFCAJ17GKxkblf-g>dk#Sy^@M9MYpf(}r#-L{ zS>XGX*+J_nX^)5AH7lQQnduSf zA9ugg!m=$55BG!Yq1a)}9s)3H8c&w$L=}zal%-J#Fy`>HI%;smXCBXN{A6?`59xs; zKUW(tWcBUZ^H_;&q zd%3vPTJu9oHN@{ESxr0Bb@Rpn$!wy9?^Q87mCjZ&lIn95@t1E5^Y~Ftmo4{Jh86U> zPCyu)0G2SwaQyw&+R_xHL!*Zn1ty!5Gt8d&`vVo5%&iwr)p73z;8_@JR?p-qnapX^ zzw`6^2Xnx3qEX9a8d}yEaIPZi{(f$MWolzv$VPIo3yCRH_o+`f){K zZQ2R9ZcA9Q)i!X{Y}t>}9Y`NH(fIA>pxM8T33lF+5{K{Y6rRG#}3uIuxbU}_FuvO)!Ymg zeta9O0uPKZkHrhn632B0A6JO1w~K{^G;W9^u2#NsgeW8)81f>lsRM-X(o)fBT`%0v zm>Kuc#BjsYV3Mt=?FsTB$`YFk#4JmYA8$b<+0P#BJn)#Xph5`6GZ2Z>l2ajGw-0>! z17Ty>{>UMRAg|BUl}wc_uf*`p6i@U=9`X~XL8@;}v}XpD_&Cd9$JDY22`_Iv4-a2w zW!-m`oyTwB)P3F1e8G>*NVVSY34easCHbL%eME@8++Up4ro8yZMoK4I1i6@!HF6X4 z^8+c004TK9oQR{Lqr=Sl8qRvL*jfOHBOk(P08uz44ERF;tHc&i(Ulq%Py4Lgx2dWA{{Gq-`Z^jk{f{vgZb8*V zUZt9ngKXMq*S|s3vGtsf3gCJU`HQflZn?Y5esi$hx3C9l?j%UH?cNsB)!ewvvk{7% zRF5meOnKph#5%r#WfBz*AftbCrk*Z*NqFNl5t3tQ?3m6U5R{jfr=FyU2l1dI+l1o& zMzQ0BG)f^+H&D4a913w5O;iD%>Mo@t2>z|t8XIF7ght@W`Y;3t9j)3%V#XCd515O< zFeob~@ws6C>N+|_Ku1vV;PVq&$lFU`iFvQ{B9ezseIi-?K*|b!Y791tCT}BFj^F|LNlj7S#cG6sw>}meUO#V5q~A9&DOaL zwy)g?QqZY%7Bi+%=Kog$f8FxBnOFRKI(ZGXG%@;-b(< z^baU{#@2kis7K!lVUv*mO+}12Ji`(6sDuRZa(Ko@ggm_q6F;zRr+X&Jz zBGoE8Yhj_PKKfuUG8gue_`g$0Be>lx^FJBvK_qp57f=7ww9!Xo2BJ&y4*P3K6#XNG zS4NjD4^gOf{Jz&E;g?(%^X9paSKu`(#zyUq9@@qO$}u=eL$VS)HOu%yrBIFv=E z-Yr8?g6febgRx?6Y9-(Fo%n&Ko18{=|dB;Fc2~4MEX|iKRu?_ySLUG$z?1lJVA^+ zdO+erwz>%Cc+{BYTy+t)80%UW8OM@Ug=ak8C0@_e7C{ zKryy?1vvA`qFhcam(lB_(>ce4??ZOcRd-%WN&gEUV>I(S{HvYStZi9+q{Ls=iPTh9fxz~RfsbLL6 zGdkg&LPx~q{K`L&x!`}y^OlJW!baF}=_FMn>Ad= z(g^CH7cA7?4o^Uq1Liu?5GQe`kx(K&j%2j6Lb!r z<>o%b&Jj{W919*{pslTqp4XRM_^!P_h77^h!Keb6F4_|+L1#Gaa~G_f^=GK#MB773 z*QH4pz(;Pw(!z`k8vslwyj+CrxJmakA}Mg@B}ox(b$*mbFMsl0+@CK3NS6+ zYka_ZPlqOVu!7DC^jMvT;e?i;@a94@WO0nra-1PDz6Z!Lv4UctBtrHNn$`|qgJ2c; zi?4xT>6B$a8I`^5di#z`W#|{>WMpvrWSP}PZuW7VI(6~84vLW=QoyDPKt8RZP+-$j zzFal~S%$br;`Rg#>Q1}`_bCMx?fCKIpaZ2}EVpwd`1%Kp3EJvKrV=AJZ}77o>VFd- z_%PFHva{qSQi({`1P7pX%We@9Mv*!GBXKKMlORo15`+6PXIer zy~0fEB#R*D`9el0Ih7*c!EeuwT@hbsl<}iur9STGIRwTpvmlk902MDNq(hu@2Jq?5 zLlD#b*i1}2c?cPzhHLAE zC(fP`+Jj6sC`P_h2#rMD<(DSIQGGzZ?Z`fIWQd%cm-;L@e4yTO#{=0fDG;pSj$QJ- z+Vvy)-~EWsbk~mz?l^#%^y?NO_>TEUqQU;l(nQ&%%)HSQ z$NlI|io@Ra06fc=B!YYwQ0o49;Vy6K!m{ywxFe28ivOOW@yeu;!c-3KOhr-d*PJPK zzSr)|nV6hcW_!-NC)RGynRR#03A=ON`TbW?#%I1eXJRT--|aaw?#wyD1f8>l9F{)# zSQ&9!ImoPA`%O z_HEev?e~3xQUVt)fK+ZiC$gOcQ$SKh83nbTw$|1j3}KUtHQ!;Z3HXu|VX0)MyhO(D zP&(oMW3@q8Y*E#h+FW~rH?+l-6jqj14FP!8)0x;u25Cf(ZMIL zCe<*Ywic~jNX{GlOUs#4H{?)l(gQ1>^MafK?b$L5ZFL70!6s#gH{3BTUX4U;7ynG_M`CDoF?3Ku0Rm%XoAufFK8~tbhbvAm?pH zxt4v*&WQ)MzeSQnSO^G^{C4>7v(eka+4RKdXreh`*eDZ&uC&VcD1}gl`PZR{+b)ki zf9?d=4EMdN%&oVN+2n1u#o)a^62U zn?YAs@_y>~3X4ci2eX;n(1T=2D?05mLP`_9kluXb9`eGe#OMVX7jkO(pkxas*1*5J^sXtlv2(6Fca) zp^E|I7*jJe>`l7`Jr^l-Kq$PTa|tnJSUBGFf)4gS3;o8I7;)jeTo4VV;eM9wcCDh zb~Xo4qj-*L=Obuvg5?wl^MS1mC84LdcN_8QJ}y;R=?d!_7z`Jo+!vnJv3)L-bXd@c zXdg~O&o}`w^5Rw41G`^)ux))$ue^R&fSMzEu_;PD>EyB5@}WO`;i_P`YX2JS+|?c9 zRNj8@GdPSoClfrFQ}qry%oFlhyPQaf`~L9g4wUVy!Zd+h(W65?x)VQzwvAX8etC)x z3MOy@y^lVu!`~AKJvnBL4r5df?uar8|D9ztF_VJOhaLv`dkGDS7pY966|2CSVL*JS z6Gk7Cs;p55--S8Yo!*Iy&)_p$A%Gg^#a#>LLk%0fUM+R?MIcBvhsk-(lE9%aUh#e! zF$R8I|BP+WTo~X%kE8m9%M&<-2~I&p6Z=3CdI|J#-AAP=)0(|_oY7z52Ah|qNB~J)us7dKP8RTYb28(8awok}NGW24 z9q#}H>j`;|H6@}F+}0Gz@u7I#nUHWv-WGKtCbjG2_H#ou^P>%{qRu}xwGHwh5r;|) z2>Y(Rr7n>1k&4`cUX!n3ToX-+3b{*=G+dzDk6;r)g~%{0bKTll1ybPg{V!5AE^}zn zLQ@7vOQCkLaKl_vTbquKE)%%ro0A4A8`OzqAzi5<{H;kXU`(&PDy7iwC3c@PnWZ_| zx1jU%*p)#2GS@{&NDtj_`xXA1=~&gz{s8#ELN@(1oQH>-TO3Wc1)!LEb~~H>O(uz* z?%akwIjE#SXe{XSEP40tosR8Iv`NL;TOYa@$zFjp0R0Vv&=#Sls%i(V77|d39edMg z3gDql^F^o{%2@zK&=6Ii;|3KP^%<6*X&`#|Qkpfy_9UysLAw*ABhdQk4l|u0ToC^3g^A9s$>(fMcw)yVS4CPC`l0%iYP0#KGLgmkw#rF1KotOBCp+0 z1gc;fUm;V^EF5@p@!kkpmxE!BBMss)t*2_D5VuAXxrPJCKjRSi>esT zS=1m^=Z5{OMrHjF%?FEKU!yVw7647R`8GYBX&%ss$nJx-DBUnFi=+ed!Z!thUUrb3>8{B~C|vfnX&?O^>*Jpe(uw~ zzU?H4TnKC++Vm?!rX*P83B8qQi!c<~pm!u8JNtjvMLP3E{UMu$(T?2oqrCj|h~0Qf z^47+vL?0Bh&^7@m2+{MIP4^6bAi@LtlzQ+7@GVQI zoihqp9r;zW>IySQ!AqOwn)d3uT^4dQ+-V-ZBU0w}gqGR_!b)d>3v5Yqwt>i~o8JK8XCs4?5}dUSDDeGqdPmR`yewYE4}D zz8@tz_{-k4cVLA$3$(XqO-s((<;d*$7`=HaG)ZSnJW@avpqwWp5mQvh%fzZ-rrVzr z%SsNlA0$Ui|0L%nb-)b?%K%N7WS1I26#QXXAXps4@VmFT1@+ORoeJ!7*rM04eUs_< zZ5Htdm8p$f=+d-jjU&o0tk|SR4)nlohGE(6U4}T{`uW$v;Fd#v=n2m9*dh*ohPjxC z_D=?6$hAg}YNlq#Psbs5R!kv6ZgNPC#K!hTX3rDErga1mN;-1hWsa+EteaDWbQ!;1rGmo)UKpk?F2Gi$afltshqbo-xS4{q1Lh}dKx4|)N`BIx>ETNs<)oWWo= zR%SCne};?%8uyPl84%LZC*X#Px%zM&lRyAWKnH?GsNEKVP%XoEK%+bs^cFPYf$z_@w(7rr z=QP7wI|FMxBj!1ZP6-23(t^vvc#I77_1&A~Pw-naLCZLqG2}nzX&tYn)K^c3>xYjA zrEP6#b1{-52{Nekmia4Gp?$#Ir`P?G${arDb)Hs57?ag5+OVHi|pYIJdaC2pYR} zTQ77NwUls0i;VOgPRh>FN+s`?ke0K_RBqEaQDA#0`MBB{rIYe;bFvf5%f)>o4=KD(a5_!nNyWWtLdNdXf(c6R zixp;j4n1*I(>>*cv4EL9NP--e)bZ1wSD3QI@-kMoYI0I$;^qY{Qc?njFFivf+s+@E zehFRjl+qogF86YmOnK?0e}FP|fS(94C4x*AN-WU7oDHmCl$S|YQk|F!;}?J6zb}jLwn_yyYG3dEzm>v zg1aM}Qll6dhKAh#)G0;d1X6bP#iMy<2T60GII3q;Gz{e@We+?wAwd5F8p3ALa_}+G z_5Ri8)ZdMQ3VfPV{s;I zE_dZw3OfJ%4o~XL-8UD-=z(QxHvTs{c72?v$^1WaIJ@va68yI>eg%q2VWIQzm*=vw ze~?Rx>jB+@HfSJ)Yww9E5_Cc8OM`a{tiUmX#Mf?@<`InzUS@szK+#V#RSTM_=;m;z zPf~&?d5Nqa?US>D<*crLb_|;3${^8S8Q;_NH9%YrYn`zz&D6K?UnBb;{9$iGIHSiC z5_~0eB+^O$z~_#lZ$EuGl??TMeW;G3Z!2^=5?QDHtKGPbLKS?2L$2D$X5mz&RDSmV zM$0Ij93`Vb_EdptVqdvOR35Yqi7(nP$r4$NUXD8oclb|5EL4w3YbCNagGTS9o6{NhPivw!adi$?gMTy+v{zZ6hte`Io@`UDJ2| ziz3@kbmVf}Yl^v_WozT9?fUnWn!}(^o*7z8A76Tne`X$ScbTjoI#X+}TT2!vwjBfp z{!f>n@4pOMpUNPxvqESKjmq<(WME*3bd*S_lr4&v6#u=)bEdn9Z)3H92piu0;{nO4 z1=Q|@;@yDp-f@M;A2OMPQ zIUZ62uX2=pBOPSx(mML=&6_u9DdZ3}}2yPlvQ+YN#dz+KeTjP-?~;CYiAwgR{rl$lsQ`FC3p ztF5M_Fxu)2%+3Y6Mb(X#eta_lBOi@*vnkaivKU6!bpfAkQU!1J7^E=gD5 ziW3k3#(K+20_tDhrCA9u+|Gd^dHQGX%x?`h|K8-YonZg}ad3n{oEn#AL6(>02k&+w N^0La8GNf;K{~yU|_dNgr literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N64type2.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N64type2.png" new file mode 100755 index 0000000000000000000000000000000000000000..0d7dbaa9b0407995bbd03d9aedbe8188b0ceceab GIT binary patch literal 15831 zcmdUWcRZJU`~KBL11TxWC=x=ch-?j8g`|vzl7x_z)g7fYkfhAgAR{7s-3?`g%FZe? zSs{D0d zuVi!b*m*N6OKu%Ai<8ur&B7YTY=p%&Z;|BQEGe~RtCZMg)$a#aQWQ6(vP(h7F{HcR z*+pkCRN^NW&$p+EQOsvO^Ty^L5V2Xd%sb+E+~GKf6}$EF>|#DftotInPVjTY;C}ts zJ!cnW9u{>}FjUZcdpLH*i~Q_E%g#KR;L(e`j!>Z zy1^1w&kxiko-E388b~=?!=k1}$v^eyPO2Fj>=e!T9KGVId@+ybGoG%^ojl^dK|?S%^HgzAm9e{w=f@n^aepmYC()8z z+xC+CTTMlbvUc$EM>_O2n1(r>($ut@c5@r5F>Q1iC}w`Wy~?00-L^e@=s#IRAMX6V7^2I-N_de04Nuy!qetkdHN9UAz?1fRY1`&9oY^QWD(dq@h&xHySO0YG z;6{uY0&sWyq+^dHeN(e5F1gPZ)6b*3Cjaz^@# zMEBlU<>xZ_hHL8FKwEK9py+g!`(zdK>%CmAzk(YlP7V90-`jlb+w058($k-xs7;UO zPG@Q!j?;2za5A-VQN!Z3m4@dckoIyqJ+^Ls$K&}#El_r_T-#cL-h}$Zv$(ajRae?$ z{IL7T8?LdTZs+mtRJIi>LTpPU2P;>Ve-4qfjV|4?)BJXp-%z=>7GJOIP*45%Uv!*1 ze*Qf#)1g=P!msbmw|4eq4V2VQzAU;coH;pEtNqI>`lelHrSPGI2QOc~3_5|ho%E8M zI5x}?l{^vZ$!z4(m)COLxhFl+IM=N>Xgi1a*=k=NrQ3NezSNKC zW4ZRWLB>j|>FWBs!bgUhu8Z~{zS_f_Ev>El8iwef*-Q>r=_T!xFAJI&tIB2M`4F^S z$Z4V@GTf-I#{7ADTd_o=OHX?H)MVpycE6-UPn{&5VPaz9#KZ)mx2pPE!m&3c(gPn_ zIuQ;p&(0Kc+`Wo zbzrs9n!JTHr8*va`%D+*PN>~&uBE+N+V1<-F+y}7a!MWFtDlCXXv z?`XtcA5N>B(Kg(sNX|$T!}1Mh>(Z=;J*=_^D_MmOdNOa&3Um4SimuABKR#zHy8m1O zip#pu(<2erMO1U##yTQ}ra5|XQ33)2qgZ}M*^#d&Zb@$N+;{kJkaRz?aHPZ`$A4mo zS#h0T8VZA!Wb2(mF1djZTi@SWd&Eh;y)NC>M`oxx(CC6dVLY!VGr5dl%M3GQES4>5 z<5ZuIyL!&|vPiA+<#U(%R=9jFNB&8gmW0fix3Fuf^4^vcPqo4uke+y+r|L88NYY(q zGa9M0E$x;~O7t$39q+1lXp}*Wd`Yngj$L#9qXJ^8_NAG2{q&rp@heuWFu2h1FnGfH^J5hxS&SoYqt~_VzNuh%OLuBx zPUrJXMS3MKMw#I{TYjxDgA*|x3zv>f43ti{yHD|ZeoV7&Zpm-1tE>k9&OEVS((wM&uXG^Hqm+4*|;3P{)KYadCY6=&^rz*ay>krjnh-Z%lS34 z5JHOdOwvF9zOj0%B0U|arf%1uvrZ{<4o_Z-};1}E+|v0)Eu{Xyp?X@oih3hq_vh zX#|VM8E|bqrJhJisL2zgcGDK?JVixB#-ZKLWYI?)#pJ!1rR;v(iPf1HKlkOO8LQXL zHIicbn*;^Df0;jvXsY5+TqWl=krT4$^bYAfO;N<_4-{N6^UByH#DF=IBLGW@o>lJ_ zvm&yFgb5bBDveTAP0D;80<@noINT9wvwyOGY>c`*feWY)@yg1+S z8EbxYc-|Q~bKZ`>=P?-or?-|$C!PKj(IS&V62qx%i|5Z~uIUln?Ghg8iJxzho!kr` z6^UeS8a)ooDlpp;pF1_y9`4Sm_`NZAx<~EKa97O$o|=8059=GfgK>wG@ldcHy0KZ> zqYJ-X;}5&%(uYXs%IoFrcorEJeNWTjvkHH`O_A{Um=_)ULI$y98vdoq;%q2VIszpj zl1acke}1j!X(_r}+@~fGd$@%}8|$xs|Ml>*Z~PhLh75n0V-u6xPmkBHUzcggW$%Bq zhZKu|yt_R1*%t=cWiFiWAFO_HqAK3lxb@=U682|@?tDevAAKj0t$w<^p@M84C$)Xg2KY}+C$NM0E_-g`#@Rz)_% z#hgw@*f8T4SPK&9NWj?7Z*Pp9yOX_-EY`gf7-&_Uz#}J@Te#>JiYkL~Aor2vGs!ls zg@unE9e#P*x>RO((~*>}7w)uF)GP_>H`mnEOsw_(7Un1Y>!Xs~#5X4Ycz+++p+@)V>>W%WH{VzB zKkQI%SG824ZnIN=%eOaPdMg4&45hGW~g% zad>Bt^XHdlWp0yW75zuA=1qsiz#98(H#FqbrctQT)o(4HG0aaB}6KqV(C zaooN>qvf`m+pkAld@R@4j9vPYtBll29!^aS=QaY_>Y0o(&YQJz$&Gz~3-$@cgJ{< z;){5?rr2B)4@@@s`C6BtJd!x;zgxp9_%uPM*ST@rsK2idwM=&MS6R!d_fnnu`R!on@Ppk5> zunw;uekoh!1)7D3)Z6tp!h79lgm$E+1~3(+&dtqDMMXtlzXnB4XN&UKLodz?hy&;0 z9+`7r|CV(fEAMx>J&~a%lK!|U@aKoErRDt|)U9`M!tH0zU%~Wsi~@- z8StxbKkh!-8W_6MVd@o=+rHL(l-gM?0L&ck$@K5kxZ)mGWw2Yg{WyQP2R|Unl>iHBgHc}(c$MJv$=VFNPLPp8 zu6Sv-aTFZXy0P%-(eS?J_j)UObH;mG{1Cos2m1#GA~k|Zl|>{1lH3ZKkcz82st!2u zN4FIRbNwu}pXOM2)S*YA(jT;{Jv!z&;R&b$sca5h{`kzUcqr+VM!l)dR!H5vUZ z$G$5|IlxKB3DOU$*x1+{1~UU#2@bZjSpjJ4BUkbWPqy&Ob$otOWaRGh@qq$(&B4+z z86iPD`bGfrQ0w&DMUs+|D3+R2Wg^cCo4blcbF-QTt4dyL{wRwqjnz*Az9zKz+~knR z0WCv^6c9Y_JYX6kzk{r%-4(aEWw%Z^kff|21qE*uQtbGdjVneZn)OD z9@pTV92;~-z#u6Non(s#TV#C64SY`S7``gx8zt_DVs@->jl!->+bGS+O$xdVR zF$WGD_?+uLUC&?F1yT$Gq5k|y0_p`wsanC`stFlXQ70A=2Y=L~@_ zfo4d0UG>m(jb6wV=UB!R*DNSqaCzUa)8X`mB~aRuOL>E@fL~=z#nZPWRuX zvwQb$(-7--C?w|xEA)I;Z+)7THGyp0IW^Ke+@vEfm<7ZJVFi7f4x2z9Yo z+1grKUYb`~zP-Ba{Tka3Rh&a5J9{V46EcugYo?PMQJ5T}PtfAc|ABgX3M)Y9&HlY> zb@xwv@^RY+mPLr(aP~2ZVxOz^B4+D9Si!eW@rMhk)7-rIGJ>L_jero;%K!$Sf=Cv@`U$qJXDDY_ml!xQ^kC2Cj|M>J!0(LXe-d8(u) zC;9Tc_HL0)HKRUqU*GL|#QZwiU8Lu^iRq*49uo`8VEary9V*`-RmE55Q`D!by-!*) znkwR3oqy7a#v7!I@mW-8Mm%;b3Nclr50|yIqUVX%7_XbK7tUBp|1G#U({-nQL6H@g z;`cQt0(}h^EneI_$;B0AdinC@)Vz8n1KhZ3j~x*}1P6DmTc&urGFE?yOvUTFjWPqH zA(5%^jyrL@yB;E^9mZ{O%&VCK6nBiWuk#&$|1y+mw6nU;tZEYZJU=FzSM3OjE{QCy z%WQQh^<+NGnDJn;yR6xgj>tp@5Q+G!f?-8W8>+TGSePI=i0Bh zu#vVFhqrsF2b^hi`l0_$3l}r94&+%17@>;)28+g=$+lptTbar38*{p|m|siq=Pq|x zPsz_auJ?wW^tTfueOpg`P@byBO&zgJZ$(!hH>Ewel`m-|WuX7dOSzW4{s$&^Q-Alc z_b*z)ViI>2Js0*P4d=0A$1HBedrd#abzId`()0~GUR4NYB6n1ted(6EpMTr&%oOqNl>Y4a#E#Y-P}Ik;9;V5L?&uu<|#{0gUT2fucG9;c=K=c zb!;8J;I@={JdZ(aYuX$J>M;{OxX8A5xJw>Wt}~=4Pi}=%o-Hg*!gPkrSOtnl*?0A^ zqTaAAb6F^5E*6u8)ne`U@AA|3UCba>Z!ucGRah&HTQt|T?j}V|c+9`wBO5;OQlOfW zKkUa{RzJjQCDA+7ot8;aBh$S3FWZ)hQ@&U5VF;Jcd2AllvFDPy`H?p1X7Yn2Z~m`j zT8v1)UPyhuIsbkRmZen8my&0{&0SW7qj5lde9Xfj=E^6|TDzEoQdVLy2~vIDyc{=8 z87o~<*EW8B&y;Z~7pwSgzb9#X3cVkC zY8O=BiZ@jOcVh?Xu?Dt?tD_@7zZqtnFDQ5x88tb(xMrG{VikacLp>hIYh6Jhz2~vT zbTWAhFK&A|9+1uB&#nFBuG0(eZlMO*kxQTK8kSHAKK8kZ5-sWi3Rjq4zq`pn+LH9o zky_zkQ9?@y1t9lZ+9YJZT9$#2efSPp^Nz zolHtvj|fGb!9!iFHcCOAJpe7N!Z!=~1Gl*u9nF=1X+5^iEw z=HFk*66`HQK4XuSs%n*oHP=x2D_BepZzfmAjStFq?%`pXEMX8cbo5?2v;SG+K6^{I zOcLZ#tmJs&teJESwBHO@&3Qfvtj{m_8}Hr>fp zw^nUgr=Jccd1c9JG1swQJW5x7b=BB%m4fd@cA6y)Wn%)671`dmx@)- z4YA3-C%0WdK!zT1O@7BUp~ZNQ{;J4>Q7VctR|3moK$b<>m&bG8zI~e=e}0X3H3wlr zDU$4ayPRJms2u%r#-x$$i#Zq7d9ljIT0;x+yY@dQ{}I9;I?G0Bb` z?a$NIGt_OZ2mfw^NLN2>wS{c51Dj-{iQDPWvxH}pexk{+1JM(E*Uq6HFJQ3oA>!?w z=X1g~z7Rl}_p>=8>sh{;Jl%aPCTB^W6@m-wVlfG!g}mR)fXU=6OYm8eW4sJv;@4QV zlIZ1Ccvr9k<#4%*?~4~fOqB0K-u&uA#n>B1`n~sR4lUf$5)Ys)uzdMI{8{V6?2>$iPBfJk6W$NQzIs0oj}{ZzYKnY|cR* z1ddJ{g{@{He|^BYQ0U@V^JdQbj$EUX%FJ}^-5c^3Ir$4t6aZZDDqhvPi#XW5`Ky@- z{E*%MCRP5Citm<$RoLhg&P}@#5r)JRmTSA5oSX!b8zV0FGy#}O0L+C~3)s-FwSlt8;Gsf__J|C-aOUUNS6XtD=P~OTYW+aF zsX(L#^c54x!0Y$iNE`ZM?jP_M`WFuIt}y4G(NLdV$d`?(B9j8zxXlgZJ@Jn#b~jWo4+zIU{X-<+OBne$}i7UoH5ok zUqkM$&LdW#b!4P9&=}29%FCDND@5zxmmMax&;Wg6A!ai~`o%E=0|E@8Y3Ui->E7fL z*t9A3fPT8o$^PAD1z$3(mQl)2>f^hX-k+~8gqB9X?5PH6BrrQ6O5ykF7tICy;Rcg? z1^b(-#IQDEMI?zs1o-lq6|2$izP`LzNDtjX8PLs}3wj?qaXDGf1oWAtn`z1S6V3j0 zKxwKMy+A%Mb~=>fGDoNzsk^0VR{vjhVey<+6{Y`{O)nI{3FbN;I9k^GDD*RJtJX*hrC z^V`2k0wA_&!{SN_lF91)2>B}juRZ?Uoxvn;Xy2#<#r|u<%2Xui5DBcH`K#yA%HW2X z?9*?gp?N627V@4)t=70SpWsA8X=UGg$3HQn;-z0_XpnN;P38@G_ z?oM6AQQ8rTs@5YLlUjCucCtNKNb2WeW#7T#yH{wl=Q-3>V3(f#_W4xe#rgV;9|%5& z&K9d|F*club^=i>X$Noqg_C+pvj-rzRW^J7dlH~1Kx7V|XyJEuT}T#V6SqQTCGil~F z?Wagj!OwJ11Y&en%1w7>bS|c%^fHZWIK%+(WRAAOx@k9?;WmhaQQ?M2!GJ<=zM9X> zlvw%yoK&_S73fInLRkE+AyKcx-*{XN3$l|SQi989KNNP|=POuQF?eesz?JW}zP&U& zd{Yi&KOWDe9O7A{ZNa0XqhPhy#&*BM6W5pjGpSnh*o>FZMpU|tVRC5TM+Z>XeqCG6 zUuk@uqRf>3O*Zuej4;w_oa}KBA~S_SAnC4TicKo{a(($1LX#LPJ!195@x<}yiT@$&_}^Tm+Z9 z5E(+5?6g~_h4u_CUAR3%j#yYsCSTkE46a4E9Ve;0{dZt%5k`u@j+)0}A|oKI&*89` zqU@Ar6J+Y%bpR{f?=v4KXEy(R=I|^Rl4NIb?&aek{QCdei-xp}zZ2vwLDx!O?#@5X zHRB2yeEj?7meNjP(`?CIUkq&+Q_RdNt_$BEKGg``BNb2qGEZ*tYlWV1sDxF6+jzI$ z6C=1C)L^K2fxz8oU=R`<3}(^W*3wnB+?}kX{+k{7?3*wPO-MM$)r?+UeA$g?Z3BZW zsLjYLDaj*7Io5a_;=bH5NyqcNnP2mGt+6T7kQjs+!L&3i(7>huT^fsnF-2L6F(yi( zc!y(<2zmn{0ce(hp*ArDyt=eN=wNIb#H*O;vjIB;x871d7EZ}WS5#~*z*QeKMIGkx zgu!D9`myRk1eRUR$v}_<_>vjQL=Umai_2im(%~*kqjJQXaKGKnTJcG`OWaI=6gOFvdAc z{|!YwI=+XZK3|`|T9F=_Z|LS@iUF$qyMY=3Ph5hwnX%BMobFPqEvU)Cf3QQryj|-) zVcLfgKA+lMo#*`F4qW!t$NX~EN#xe&3?fBDX5j}enmQO_pbGBWPd>C1_$$$4M0zcL zJ9TkKI(Rq{N?tD(U9;2vEQ|-s_O5vWJqSgHjLwPh2FcmnJq%U-nK=vfAWX%#f=JT9 zUboJ;)RnBPd_rWJJ3T5lZHhjlPkbT8yq+O(;oddYZ;2KO?S|M$Fcu7zvip)bKnqJO zO&pnJ&s(_UYUX0}^hY-=rCF2)UtJ*4fMTyQ6Bu`Wxo1i_(=`^t6uWq4(L^hj^h`4$ z`#X<321zQc!=QjPrC^EsB!2&-G>aWkTmO|2QC%v-NpKdFYLUfWh$=4aY3YB!7 z*rR6G>>eoyqoTsXrdjYPHbNy%Dv^r8G#g>D7PSjK*eZv;$bs7s9?))JeB6)LD6J=> z(-J~4`iSokaXIh|yGxI1;UbF&W*i=eOzVbuhxU8A3)tL3Ixv6nC2#F>zVKv(4hmFGw9z@IsK$tfTWh>gsin zMyG*d2{O<}7-!h@K`zE5ZhA89@LcL@==}7(Fy9a@KX<&o_X_hVjKbi0AY*Ng#*ue? zfd-#3CH)f4zyClN=`u9fF}D=z;rv_7cwpv_VEc5+= zhg;uu<6k}XJ3WbVbBj|Z0~`N#bL5X&_DKtmtUcKZvM$azDR+sT)UBy&yI3Q zw>mAI$H?Oev=%W)OR#yO6)uan(q)(QbrLM4m- z%Wi3Mrlx|K%9o{WM$1kP63A9Wa7mU_0R_nDq^FTbJ2DM*wuZ^*$&)98jY}x`AOeq| zqJjb2%`4G-j9i?@ID&zrLGac)cVjga2s+;8UcXUPG#92y>@I$?@D4C8ubz>Tm;Uhh z+E?NU!HiurvnvtJXyueP;pmAs=Ib9JBQZkhz-fJ8O6f5|P_zixTgYI12900>6XK6> zaDG{1eCW_B#e0X-xi+%vTj!5r5aGp4VtlM;3B^50@R1BKUo3#}wxMS_cCe`}ve_PHdZY5_(uK=5=w9+kNwR^MhOg z=RBSwh8b_`=^>K)a+{}^6x?Q3Y`wNU`Od~Iq+L;br%-toU#Ju-_3g z!vI$#K0%idz?)xQSZu{c<96yZ5k>obHeREC$D<5vF|j1qx&DmTnRw)JhAOA8G8>P{ zv+?*JE?)s$8R?A_XXEi1t+g5d?|589i$~Spn*{_niuAy}{NvuA(Ji2JI8mJTb=WL6 z{u#!~w9zX{%kREtX^K^&+i<h4TppF40@fz*b#QD)+3E$l_P!4 z1QJMtiuQQC*KIg6Z5;TaVOh8IaWW)0TQmwFOI?`V`UjM0?(BxQVGTO12MQi%CemEN z2Vz@Lzu*JqQrs~;F(4#JhI=qzV7iVg;WVB}jF^F&Rxay3fA+oS(i0)ZKZIOKaa=`r zZI%m-?-QAGIA=H;?lUqqhW4(05TxcW6lXHx1|jPk07Kyoa+m z4g8ZPnHa!nf*#y(kRcJ{PtVQGS4B$>xykQ-vF-|VV16g-_=s0Vb;XaLX~M@r%tjbk z5ifLSr3ID;$k~f*al%9#s}Vm|3R`f$$EyWPhvYU<`C^&IWx3+o;>?6m5|blLu>nF5 zV9jb%x~C^|r-z{i?C8xJa2rYrZ*6JuWQGW9TL72^zLUS4ekaG>`O!z$Pzn(FcP@`Cs8d#!GQgF{8xwe$^2#juUUj#9+L8+yUcMOGEmHnCA_aaZvIZFM>)r!4(G4 z;z81i&mKD5dcnp$2$I&ja?Hj)5JCdKgRDuuFRU!a)_JUO7Qi$^+D8}>AR)P<1iY}I>JkvW0=?HOW9!#lCd{aANauHFDKN{S?<)=wG`l^U*cLEWfoPG@$klMz3t&7Xv4#$! z3r1Zu1`yA#`pAxL?0E`^xWnNv&&&cc74a(sZ8wKm+8VAGS7aA?G%#T7gmWE6WW8zq z*-MNq5d{YWf`wq+VI9*;6hoka=yt*+=z{rzjZNLF1r+#>dhG&kpRK zO&MJn1AItd_B)UNO)+PXc_uHfr8re$sE~MfHd~@wT8|J3Tyqvb|5+YXTi_8PSpRI@ zOvid((8(f}L?!=!CGo${n13hV>Hz0|?lvn#ar6CICnmgRhT3NsF*a*$ZF`Tx;yZ1Q z)UocT8+|5cgx=i{muP z9yxTQ|2Zko9lA)yBA%OVTJpVB|7~X`rjjxL_GB|-ueiC?W1oeg+(>uwUQB^MepLh4b&Z`smmyLx}izVE|nCpyUIzB0Qc@ zN{DVRn=v1;yi`iT9%k<#2M3xns1j%{IEdLPZ)4n43%^_Y+uNbjD`fCqPP(4OT&hoK z)217lm$!X>u-s&jyk`X$C*w()JCw&$!fkwyQO-kO%9qbRcX_$*){{g0`Tr!feIB$z zbiu~3Pex{3v(V~zw>4zElkS%c{uoo7@#n_tH~;W6#`av8Pv!I0gmaTujcB9#FD{$$ zMiX=z+(OI&_+Qm5G-<OA9GDbgY5AxVe0FHVP^_n5vW0jXs7Q}DoN{1&yM-~$45fS2678j9h3h3wRP@T` z>HTGN9*d>qQe`U)=TKV&H*GqbPtyl`v7g)Aj56 z0_6FFd4Ie)XZHxC4rSxVZ-uB{ZPpO6;@?0WgcsTJHTiaOtkz~)>O0-{*TRTTXy}`I zcxqk7K4kNFdWf!CORPGxbqT`f|K%HbcHDl-aF4&QUm!0-@^jNRltz@#9wT?W`vGh0 z;ps_AfYbtl6>wQQ6OnT8hh33yDylqR&(n)5Y#uVPm*I=#)cA zQ3#jKhWW9|z_u7A7q*D4-Yf|Lm&DYr|B2rRZ40of0t<4gai)T~t z&K_`MxVLfo(_Su(gJh@-y=vs{5zG6ys;ule&zcpvZg{9)hS0&fa;137bo7J2X5MmG zzxP&b6vA-)?Q$&xIAzgFGKb#NwJL@~W_v+<4~S8+#TT#TJhN)d0h!5yjhyIS2+JRboSa4hDDWW1 z)Onpo(emZyaZdlpznV_}{nW2Wxy#JN(2uNGvTE~D1TH9c$)yF00es|D#&`8=_nCql zW3c~6{kZu^fVqoy1ZmLZttgyU+wr=u0=A)=}>zH~=p z+HAfu>cqc_%0k(BS7$DEoq4>*zML zgiCpR`5fixBbu(k4CFuj;CmA2=MvN)$w#EneY8iPj8RgBXyqMweZndc= zv!IpFINA;9(wV?Dz}u~OQEpv>??T%+B`gi4);H>xR>-I}|eD;~Y{e*ig>`ThU^ literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N8type1.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N8type1.png" new file mode 100755 index 0000000000000000000000000000000000000000..b8db4ed4e8216601945fae1f268696fa97888c84 GIT binary patch literal 25647 zcmce;c{tVW*EhTkX$#qgG7mcn$vh=9g^WeWOvp@8<~gLyMPy8-%9MGYMP?C_c~0he z=2_cy{qFlX-uHf=_mAf|9!FQM_IEhXbDis4>$BEpt^MkriX71e>I(=2g6OXNZ8Zb} z#~OjajzD6=Ct=&qKf^ElC-T~k2m}Qw=07a+&Z!kWvFk@6UerBO%?_lkCgYmAN38(18QA)V~3g&(p z2Q%YmmiBgx4=ioX5IlSw%Ek^Hyxcs(jJ&+J`1x<~@lTD`!z>sPcW+BQa7kDib=Mo- z9z8uRz4SXx)8<3^*ZR1iOKb!5H*BRu-Wt%^+)BLl&OzN=YBY*ok8UMyk*%|;qPW(Y zRpo+RAHkD>9}mB1&6mb}V1KXqHI?IUTCwJ3+6Q0hUOqZ6f8qFeJy-kk#o36Nw~f;$ zT6+YK=}MXOM%FYp2


      7b({kMu$8x>|jQlOxykK?EXq zXL;DUoul;oV7!pMV0>rSxaVxN)=Js*MRXHK>Bz?4th}(lZ>_DYdi(lLPj+iX46Ejo z++V+bEiKJ?68^WXtxb;Jb!OCgoUWhYFM&$3I2{AS_}Cby|Af64V!DY_5Mj96Zg{dW z9WLS2E>~PqGUl<_8Ma4BO?}<4YOR4_aUh50WUMb!b=>Q4x&0l-;aVf{XaS}DE%zN8 zH@AwAa0Is(vpk}v%dYfv;=II@cDdu7(%Rz|i4(NHypqz<;rQv;@UV6M!Xul+x%;WgU>x`PJpwn|DJAMXTTQ%yR84|le<%8s7umf9u4 zjIy;0?W)$B@4mgppnqcGxNM^c9v-6G+A5_tE|) zSM8Al`nIBCdvhpF%f99N_wP5pb$g#|Hohd@-P$_(t5ti;WA7_UI&ZK$LD=yVjXUg7 zWhI@V*UA2TviFHA{L>@fdXQ6l^DRcP{{H;jEpaM(<;qkbjU7rlLBv_5#_{yz_;j7& zbn+AO_wvrj$VgEU|NO9h<#I_VjZoXD(?Xpuj?kFP^jNif%Z@sicEQZ|NTw{UJo@2f z1UIVB1F=_>=P}E^JLbO16fSzj-{oK-a~0&}x;aPWF}yL`{_->-&ucqNI%qMsGPkH` z3$rofCp)D91QfSwM7&RqdV70~?Sv=^&ASrzK}{skP3bWPanaFN{r3h+Yc7$I_5J+0 zg;{mKmf>@9w)VERnP5<C`n3_WjG3FEcZy=%zJQSM0h! z3Fi^xo2Mt^r*FOGMjhHY=DU-VCA@2n99Blk;s3q&XJZm;MXrNlkHK#1om|tac6+X? zJG>@Tb8@h_(fRh8vV)#ptyguG%b>noWYi*n0LGorqJ&&iwPeqs{L9cm|)!ufarh#7EtyN8ujp zAZwyqMD8<*{A_G&-uvx_{-|9z^XjT%SNmqd=tOGPL+uHF7xGp`vum|cn3{S&X!2-!t>@(q{Ry04Va(|her zzBo_Jsak|*J(!*;(`W?C8&U9&euu%9rc$W_f;JBy6rTy;L_-M^6l>fFx4 zn+``htHzX{diGm$bMyVj<=bP8whowE#(fK_-e%i*KheS)u$gEZ@=K9*xKlbSM$0rNCPOB2jEj8DW zk&(fZjY5ossZhh~+oIT1C62e8YY+7?XDzthS*}+bHd?rHl(V*Ksi2*9JdS&^p*Hj%a( zMat1*tfuTSAC3nPzx#EU2I=cbn^YWD)B?Z5s|*|fB_R#Z}=-Qoh*u=cWXe0Xqh zzG|1l-vw4dH~w6_`|<_ zAAjYHox`aM{RHaECvm)>N=8cB?35>)>bjUys+ni7OgjzBD6t(b89$m6Y8)3aZt!nt zXaF-UoO{#WT%;}HQ4pui!ogx57Z=x{Rq;kUsP7hthMkLx7{7c?DN-T*pfCAk>pN5U z%@`dp*&ChlLaRfC=C~495H5{Z!Cq*&5g{gQ!Rx!jm|&rqu3UNZ=1t&&HkHdX7%mp2 z*frP;PdJ2Oi^HY%-b?u{{-{^4UNLyA`L^q04AtyDzw^k`mxO*8b@;5F`R;}`uSH`h z>SHZj-5o#O>Qgm&^e7kPADM?jRQZ5r*RwJ+HyLqFwXAG z$$Wo?Lb|Rg#d6z^RRz}&A;_itaDDPCk9US;q@{OO$InnhEWc&%J^fz@lstGOZl}ZD zRp*s^uJD#X27FwEuP=Zy6X$WyT{>|Omj-`)9v+@7&76wKKpH&HVNl3ww{0tQ6L{hI z(R0{7ay@r(Fc>bSoEXqss*E2l0#WxTf)NY%LtqKLV|h+WPRp#@Q{}?q8kxweSdxsB z!t&uk;o(|t_>$ZOs$qXZ?%Gu!yz9x;e7-2B#b2Fjcl>?7GN;f^SV*`bH;i&mA3^zI zx+R>w8rQ5Rc$rP=Fq!;A5a!hZojT(+PR3hpX%@C+R(nD*Klv#bc(*PXEA*RPyHjaK zw7$N6#K3^OUa!ZE!3p+;&GmB~0Y6HJ*qF-i(B$%_FKAx7qq{iVc}dVQAcxnzel3Lz zF%dG)h_%OK?fKHqIvUkbg8mkjLDOIT`-#S5wP$8Ke(-|82BtZOc=G`y0xJj?zs~S| zyRCM*9J>|=rLEJmYm1T5LjD$(9z2KWuY)Tay z3#XW1$k>Ec^on8lUjyoVEL>s$%eBTitK8(YpY@6*8HD;ByXX{tb_lM6P6>K_pC)&N? z`uVE$zV!y)G0L{=3oBFoJ(rn`EPjLU*@y{4430@$s^V;uFSB@KT|DEYspF3_#xn_V z-Mu4GUfA3FrWQbfTMz5PuP)BESmhvGgn2^nG6}66URh+y2Te`fmu@d^+=9m%2%C{6 zU6dz5T?&dY2WS25I#t$O%efwE#ET1ahP$HY@#`|FE?5Pi8k<@)746=#=_6CvMQ@hu zvHBzVC{qV!S_{%S-19d}dEb7V4NA`Ut@6a*$Zf!q2T3A z>f*`k>R&jswmxN^>LJ!V!Apv_=#2CHnGVl+Hv+2qR5RTLO$TYT|HU2p)dHX3f zJxCX`;49rpRC{|SZ`rD0!CEfd{JaD0im$onZs)YV)t%3HkXT%kSZ#xl3ImNTHI1ep ze)Gj~sMd}*{iRYkRcpi(;`=PuT4J4r-uH5Oo59TEzc3)9rV=sPemnZS|Df#idxF%?OIv0*NCQkH@i8UGTrr^2%%v<_ z{CY7n1@CRa_r0~Y?mu+K4fOi5w_YP8sjy-hmz~_by-z>cEi7VwHhbIjs|BuF({k^ABF`Sm4(B^{X8Zl^`8u;3IPB^5u6?QAMSthHeY#fL?}+EY+nO zB3M%J(Etp+XJ%)Q;n&i_0*Q1}bMu(z?x?o5cGs%#lZiUVZZV;?G4mwX$GvHHSM()N z9j0R4uBSSh42^`cvzGyb4C3K4G&+!3WM|uqRk>o&JzyH= zm67+6k@@bsE82yRi4IOmN=if^qWk$--L2XnZ?Lqaq~_pn7N92)Kw(^7>jW*s2JXwn z07%<8D?4VLtls(12o!C@PGsp7*FC+X(!KuqZ)z}AR`StY&@CQ8^anBXZ&sPFZxSQ{S~1i3|;?KB*_FJ?$I_<@$6n)=u3` z7%`!N_2#vK+rm|J6TntFt-0>xTAQJQ-@ku{OPsj$=(e@Dv#2EO^~ewl=PD(M3iI)) zBi8|g3v<#7J1~ojC+#6!!V(x(e$}qzX1(hiY|FJ`ZXlGES#S?$7;bVMLij2B;HEl{ zxw0@bo7VfC>!JW4bKTzs@b3BQZ#IJV<29pKsRRA}k!bMzM+N!$`K6^o;^JdW!FY`R zs4H+rOuSsca4DWtfW#g+-A#2EP24rujC=o%8XK#dPa#Zd zY-rfn+@zxbw5yJ83im#mKF{EB&p;UTt}U89*>gwxMg0V;#zm`%vEB9Q7P$gaMiiyi95jGom@ciUf?Fk!#&}71X$EYjHca1hJVd=P) zCAmy5hKT2Sv{i(Ag3V~f^Tt4;`}gm2L7V~cuwKm~Ekinuebs&BkDeaxu&X$zxebEz zl)qI41mkKJDLMxmgSqFb*1HT{8A&C%k^Z>Cfc-4{G7?2yduQFu%`;Jtc9w=@Mt=lLnvnGJo+4i@BsS@uZiIh%SVN48SuwqQwAnXynRp3;lF3o!jwP9o31s32d1t& z{pjy6-NVYoFVO}B2p3I8W_fhe)VE-FcXxeR3HqB)B#}HF^??AIe!~udI1F4S1J>4_ z#H>Or-qdtE246}#2%;kbbdgSuuI5Et7RU0=s4ryFMLG`0yX!5!uX#z6{^(HN zfbcOtC+}AUd58d1*ZGG+LPE6=i-IC0K;%082mrX2R*Z-K!-p2dI@@lZ#>SH$SoB^7 z20{*{w6gMWHpb9NZ)s(P^TrKw>FkI34Kfl0sI?iAUj>LEeJwiUj{9zR>{5xeio2_q zTgRAp3b1fXB>$w5NK+C9-U+_EJXAOj_Csjg!x1cyfq?;F@IWFO+SUBwWnN`^39qWu z)YK0jB#}RU{FwYx=u`$Maix0K0Nn((iI>ev}27Z9A-%u*N7PD{VmA&cX&3Ym)C zl}pk}@q){rGm|P7GUD+(H&bL@y1Bc5Lv1$CvO-ElTDpONtLiHxDIm`N&Q2XVm)}AU znT3t--3VOYskq0&(pBJC9&_UvGy?|PdKx!B<+a^^q!f6FKt721Zu}Z+dTFR|wf5BO z_HBQ6nx*02zr~N%8W;L9yW<3`nODQZ!_DJ}xmiaCz5qxFb`LAoalrX->UIx2C7{CL zll9^FsSG@W$V)yl+@}@93Djx)W^GYXQRJoGHZCq@EaI1uf??P*atq@`At#GcmW>+V zH&0!Ta%ogfH5ZBzK61G7EJTRf2o1N6s0?e~bU8{#Z2QySLv3k)5|jOpwhs;gD`3>F zi~)d0Ol-St7#|_dXP5#Y)cdjxr-S4t-B|-HsUGJ)IPE4n!h4=9n zIeYKra3n@wKKv}39)p8exedOZNISg+(1Zq;_~nS>!51JJrO&q<%Q+fiH;2OHq6{t~ z%E(}}7VuZ(gZ!uSTJbnGUVC>Irun6Vm<$y!Nk93C_L0N@oTqaabRVm2&DxXW4qMOw zEH_h&x*_B6UzfiLbXwoq@mC7Re{*bYUO?!2FIrNO=$~h`H}Jo=kN+Zha5#9e%S>L! z3V}-q$fo;xu4T>XvK&*0Fd#UEygO6{Y=_I;T!WYB(+KeyxgpeWi6|d=oM3bKx7-`o z981lC-;4m=m1y@d9ZwR0!F3;|WI9WK8xWS@#rbYMrMC90EUtM0jsg?v)TzI=j+;L{ ze(srY4M}66XVIvktM>e=K^t9%&rgv~qy^%E*T9_rHkr0TLX;XrC|7m=cu=IPrj;zB z3uJ8V=`N$I>d*6%7ht?B$j=J|G=9{+^Qph7#ha-;0>o)ydV@pYpQjJ$+_N?U+q(m) zLKL`~=D+i%p{{foi8@!j!kR`Lk;D7rwHHO^XkEjO&vZ*CRHrMDu#aY_9d9BN<~Xu+ zdg9DL<`H;5D)OGkC+&MM_ioJRcP}%(pWq|Z4!n_Tc{vJ=wZ{R1cfS7F(xArl;<@jW z?|YxkU5H2dou(l6*x*tr#46>x(S#QwDAL2@zx02~*`|Yqz9mz)Xv3Ru#=LUwSnMjk z5VbUuNwh%_!^8LFR}U>ssR{s`E?mN@V})HS>jX8~8Yxy@(AYcgnIKE=z}g;Re%+a^ z`U-;b9E`I2C%cGw#G)rKE6O0q8-A6f{Z+8ygx$r6^8zf_y=dv-?qq2RYxM>10$w&9 z6$%Pz%%U(M%E*WQH;03ZtAj1d8gA&5nemKZb-1MUpG*528VY$0r?I`y94g8_5aQS6 z!JI<0vaSl9z82wo`w^yn`ZQ#lgx?HjjU6!UbiY-r8Qx5+aPxt`Y_n`mYbrd$g?T3U zhGcFULRd2_UwlJR1q46=V>GA3@cTlVd`;#Z2`vXm%;zka&%?0ObZ>@l>g@6NNBi*&JBO!zN8~;{YNJ~z$n!H`HpS+SdCx3X|&5M`(ITa2cyD$YXe_CBgj3d9Uj0ngIES) zWg~J^EM9)zK(sjhl<0sQW~;I=Bc$|M36mZYCHZWz&gYAx`%I)WT39RT5tL`oz&{>V zJR@9RXN8G@j_GU+vQ@Jp_Jm;OO#P+`-UZGi>p%MRnh&0QMyY6vn8c=7Of4YBZ@}1cK+dO6>yrn$fwG&2pPN@I{>E?}9<-&grVo=+U1%Zew&KarvUS*bB2Rf?3hLF*QcnGDe#YhJ9e0r(!ok!EEehPC~5!h8EBOH z^}6rOeM)$Hq_Bx}7^UIiOJelsMTC0Osopr4mk(Py0Ms)c=&z?dZiz9*V^WpDzJ~&R zVZ6o8VeDoC2_bQnRJf@r#>6v0r~oD`iP@vvgqILjOp7EOTFyLVFdM{SjEw|SVO2bX zIdLzW2g_*R0&213O=kyDN(Fvb_%k1cJ^`MxJgf`~gH@*~B}e$vO>I*Y?_yE)NPrZG1&VwDLLsg4InE$cpC zQ;T`|R^KPGx93{m=-vYTA(*)=D8^sYU7jq9dCOIJ3vzuHm0T)On?O%J&T?q^I4YJucg_>yF02-4}Ws)jbst^}s?Tk=+*f9%JBk7n$$0tkV(= z1Objt+8Z43tmO=bX5t|6Bu*ft$q<#W6pE zoa8qCMxyf=K0dzoS0mreZ|RCL7tol*g_J!{d?%!jles6yPqZ%JfbOP9v5 zR=FOqNFR?@kP?~M+1X#d{Ef5Djr^uq=iQ1Hmkt7S4L*Ef)@TWIQ8RVUgBmeLej||| z^5hiKW#o)>TCz9)JOx@3DDaB}1nu43wSVHLr>FIEX(hZoZd;$L`q*cc^70xtoM4Et z!n~+oc~RFb6GVRat52pxM?mP+tBhe0&+fkGVRd-urziiXBUu^NTCOz6%fA+KS z+}Jp!->QFcJ0Kvyfq@o@*kH7AEDznIG=glnuwa2J5@6~%MV3%hgAw-)ogxc3%fN9| z?$5{o zT(PZuq+uIwYnb2!y8dWUJSgeKvshj;1^D6aej?rDuw>aQL-tAU!17T?uYNl13RIJyxxEJn<_(y zSBJI^K$Wl0mF|?t^ASBVI232w&5s!?9LBmRN6q@#lIQ6~B0Lj?e&Mjzi+wcR4_4G5 zj=%(&NLm20U>PCjLF*rya+Be0CuTT^L9kygrSNF|3JRwT1S6-`cLhQS?u)QK$(wE# zAlIRWX6_Cbvz`B_&xFNx~}@$jj{W1E4vU-;1w2 z4zR;?#~9@Yr`eW!-e(-1gyZKu3K%YM&&VI(;*}6#!a!VZ9T*Pvot_##oxx!EZvmT@ ze=@N!pVzGlyID|9y@+%azZPE)VF#Rvy=ov<05@g#nl#CUyq=D=!IQzJgz$G_9DpiV zDYQQjCmc2*um7{Njj-6%JASxFx|#hB0mgUEkZdE0A>{Q^Cfj~Nav=2l#P z{>b63a=UVok;#^lWyO>Xh00BG6}c>&x;6O-9|r4ILyF>C-8$p2L(R>A3=?BJ;O$JX zb4L%)^sPKCqZ|{^71tRGA6QZn;v3Ci9(+6B^Zg|wq=Am2mog*Gq-d)=EG zVH)#i0RRQW`mi(zdM8vNUj`-&bLnRD0hm-*YSOxUAjEOVF2Fy>PmUY{_v##JO+0hC zv;P#mfq)9Ld!Z^>vlkj)V~iD(s6yCwwVDebRp&=TOaQ7gA z_2Cq}d_2#BhRHg^Iv{$wtLz9u1edktfY_sjvCr2mrNCLKl2f6uzwrLCME|EPoqw(@ zn({4~|JbCO!mn2t{T=eW)g2`pLw?aNx(JdogSu2u@qtj zLzc{2d`;)a=3_bOsj1pQ1-sxO)y3>0h+5|yXkp8NXGuEFWg1HI)q$U_GelI~#?`mdnVI)x<*r+vqhoJEChQ|ui`0}i> zVcYwQ+q*x&l|c?}j}yXgeSMt^5%=B+>P5dNuP#L2hSc}jIC8}^d63ZOzC&9Bn&*DF z$@Wh^4QSqpRB)q^fHZr3)5Di`ansbEH1!*nJWP&4IB<{LjG49ivLq4>Cl7L`J%EqF zp45DTYzCx^?)mty_OvMXTm6)KR9g>A_Jesa3OAi;?8~0Im~5rjZje|I`0p!afoxN2 z90xa*W!!fSAK;4;;rqZd3E=fKyc64a0@nnz)a7ug30xwo7p$$kImbR##UU zmh~YrgG#fchs4|t4neBx=p>jrZ~EVpj|v~~@Nvt1Zl!;Ldd9T0wCd_(h?NqB?8hMc zPD(0!OOZ=N1nMVCKYjW>djQBX3F@4DSH0)nn_p9o;~%?(bD9g%$kg2|CPLGiAd}(1 zb-qsCcIuD%RAB|R0HDqvLh9~ff;296c0lf9jl>cj8#Ap?qx9I+bP3QJkUkXEyD_y# z>HSv9ckfc|K8cS7`%0qdXQQ6qcmoNoa(m}=6gST=!pwJJ( zpyJ|U2JfTwd(N2P)zZ=uUJ4bThxb;69cJV`JVe(?lHg2Pz@LTQ9HrN4ZX9*rAo*$U ztg`SWOU(_9h$3OE|MNe_X(=miP!QK|1^9Xr$}-P;%9xm#*xTECcvQJ-5fDP=?ie)w zX$bl#K-})HVP0+Wk15HX3;wu6z@2M=!Ome_m}`q|%) zkN)`aqt{Mh^3|(XI}=5AVH<}aAUqtnsMmu@KUIEWHA$;B^qB%pFO^7^Ru@DiV56nH z*1u6;N)+{pXe5zL;EMi+@XLlOvMor~{{zd1y09&Fzv;%-VzaLP`0E7(Iv94}-IM>+AgW zqU%j$5cm>95ttqnQVswWU1~G50etJlWX9HDO5x{^HdJ(jmg!;Z`BM5!h`l)kIxu;+ z`)(XQEksA1^d0YcQBL`@rmL#r`%SYIqjnV1pB_x#qV5tFSAfz5wBM849OLF&>^D zX3`O;DUiK1GDlOSB>Y?gIN)Ni8?X|?-%t8!#=Q=z#jXO!?4$Mn%l-`_CHVOHpF!Sb zbaWJG%!27h&d$yd<)I#Zdwpp|`<5Rdl?_w-UIm@6ligr}Rw}HZya@`mC&YYv?lSpv zQxXPW=J*XOD|ei?tJ$A}T865ss+E-$A{xP)VLxFRgV|cORxB0G^GCVv^6{mXe%!K2wXP*U->32nA%?qr#D)uh2*jQU6h&R z_BSKmj=J0Y8Z$GdY8_*4@=ab=ef(iT@4l3uoqakWD-h8gD zqb5hmK;BHUjATUF?ThM!l#qP#gz7n{#v2(n8Xp+gnS99rHS?ZeshC{7;gI)va92UW zk#TF%;N{~YhyJ7BTADRifKHitWsZm8t8mVJc5yfJ4iT;wdohKi@MD+UO-@gr^yUq3 z!yYo^cGlJ1@QTWRLbEzCh`r8*UIKrB`$|$V!l(A@vqhCarD;kTTta{arjE9dGs1|Q zh*@3|3&s(P;V{Ev#=Z;r`-V`wPIq@;0_wq+xaa~tD6a8zcy!^MJZ>!18swBv{MR)Y zbAf$@KmESX?GN~&A&HkHva)i&q~yq9Jn{|jh8j@P#1fVqn-JU3Lxu}=EGy?i^WxcU zFluBD$SUt6;+0S7r}^088vO;0f1iN@u)~pIR>>@8g2^)s+0nBxfWcgsi?rW4}&lMeI<7qz`!JF-C$2QLI;tBxlrw zf#YLh6HgyWK-yJ64_H=)u`rU{#z@*b57-*q>#*RbKzV>?_gEAWVy{NkxkKU`QltcP zML_gmLt)lh8Kg#(?9&jhjL1yJJojnN>4e6?Xc%gg-aN-%^2H zu@2Bsw-s-?ca4VtY(XY>_5IB;1J8uO>VK{g|IN+HfY)7q%m4m+0O*Y9Zu>iNByfwa@yTU)ihS3LJteT%}CF=B{H>!>X7oqCrzhhTdo1gi!=@3V;r3u*7V!iJa}PNq)=S_uAx?)V z4k${H2zW~b;Jw59KDc_{F=IxvVLT`jK8pv+_nr?fo*K(FXH6YIy2aGbdER;=Bp~b^ zQQDp|A!qdUg+x8tpG~=iM8KyOpx?@EEK=JQFWrsB$cyOuh< zY?f8#vKccu!y_h|H4~7UEhL#ay-ixSiEZzJ)`Y`g?GTbeS_D%vIkb(S zXoyYSx{gS`oFP;)lSd|fmW0@WV$gIgT%#K>Uw24Z&(V4TfG1QVlu5;Nfqh7{CPG>^FrEZS81wHJq}xG(NOf@1;?aN6YRG7%poq!1_0Lr4is zIoe-P&rG5JM-g|y+-?AVqju>83&4v4zMUcx!)cpbyw`M

      9_>W>yn+W8}F$`{ zfg(?EMD79a%6%D@F_1LWNET6dGk_{&*I#m6++H=7Z`fy6=Fb_e+f#qi` z4@k^V(m9@kE1k4`->w4fKMu+zTd!wVxoyvNZN%aO0>3O$kxH%PCnW0Boiq@E5Rl4( z;e!f8TOxQ4=i|VPa12@tCh<^kq8%n7Zw3X90|&!!NGwdVd#$ms@4@d)(`2aHDEf&I zhrmB1sJJFIEB9|$p}m?9t|)VJRpnLh#A!uW1tRw$AA7JzOjlU;Zs*Jq%GA9`Xpg`M z3Ab4Wy9TySPY;5A%-#cu3qd&QJCthmmJ_nI$nXxG$54$EQyrH|4zuXZPN~<501?FS zrirUC)f{QjBF16zoDF(*@u$0kROpK?EsSR0`RQJo;nW0z(#ol<4GkQ`V`|#mI3({; zW)t3pZF7VrJ&B#baYbIGes-HR+%^GcsX!rI%*#eS zyIcu8gH+3`rMwip!~}B{EBv^(O)o1hAt-YJq9#c=y0|?8Sgf(g{6?8|>ikLDVoc#LB>WFsnTW34p1%If<>9 z+mw#1lGrs&9Y#yJStZF<&uZiqi9Uc=eBxU^QnH$n1fU0|pGQ@cp22D&2=i70bAgin z-q$-vd%|##hsBVlq6F27PW0TI{ty^;>QQzY2!244GBajx)9GHfsg3)}W$H$FIOs!s zAvA>UVIb5~DZMU%D&QC*7f@omOS_cMPRr%j9A_%YN|zE zBRyn3)HitS=Sd;Pr2KB*Yrig5V(cZqN}Pu&LV-YPVhWVd0XFr_Wk>_<3Pnu@GJdz$ z-dqZPsd98{Ko5%ivLKJ3r-!KidJ!DZT))pU=-}yP%Yk(BIcE2-$7v69~2{iSx|g;qx_5?-1%L( zQnC0e|9;V`nYp>dS{+W3E=`rJCfiEh0X_&*;sPIK018Lf3dqT-Yh|P}!0c^qY&`Bt zu;hJTP*4y?FBTUWiRomD965w0$6l*qHy7VEJYfls&i7(|$JTZBUJOL;)G62h$0~%>vT7d<9KVU(H)G~HJL-WuE4ylOw+B1@rvIV)Bt-t3?i;l$B|ty89*u47rv*3xY~dxd4!hzR-kS5s z2Yi|m!cXeTCO%NtnZVG{QfB7cA6Z%IL$ z>(#Ufdgkz3v_)LH44J@XIV4daN|7ING2KfS&=B7Xw?xm)%?%oQd(L&d-<&^PL@(xI zemKt!oOga3F0oSiSq@3>jq4{J;_3{26DYoBGH5y>y8@o~oeDMDzuxF!k;Mlf%0A*3%(f2ymFKI>Cf$Rk)` zVen3H-1BxhB0&lo<4u2X9}-33m;JcvaPQGQVfUS-!_`^_5|YXCYe#!~E?;6Vyn&MP zlfoQuFFSd@MkW&dzpPs6{u+iuiw#ui;YU6RHadCEpeG=2`A;NPFWSiV6%Z2j@5w`(O;B&&9e%Mb@4zFYNMXbHT(ewHfDGU z1GAC18_??*ZcF;C8}Wpc=jF+0b zW&}w@-|;v40zj=nw6RNpw(p#%_2>r=}pIU%iYNH485o;#kO2?P8_B&5>A9b3+ zpQ+|nD_7zzt#{_tkkmy|3#N_<#=Dil9zF66^)fH#bt zh<$#%)_E)R$AbaWb7Ue23z3I~c*8*jKB8j&DsFd2 z+{=b+oun?d6&?9P^UA^(EXcm%dq3hVecrDztMW?@=HP`<$7p$&VDJ^G27538aAIP1 zB=XorFZeuqxN;M2Z7-i!z*$FF+ zZkU!xi68G^7RO#=b$t{HElur1Luc7!a7c!>cc^`wzbmAn8qDNG_}Fv;<*$X}0K>MIYrfk*Vz|w^PU15N<%w;0wz@ly=BEpx zka0HcsWdYqNGcU5IH>X68BIQ>fcs(~ItQEuY5YXzB4x{4VOdzTu%J7DE77W>G9I`e z$RWX2M`ufQ<+*NFlrF^tJ6smFe{d==^V!|5@>FVy31KGeR7CgfJE(AiD-ytxN9U_) z79FSTV4fHV9e^%E-1g^bDW_!9Efn5FVJdR!Y7=gHO-)P_k2;;cFn+2I02-mkIBYGQ z*#bR6fGu@QRPM|Ac`)`yWvIJ7_u+*>FF{)4X6Ob*!oWZ){1n1+i4_h zZd>a2m&fIE%AX`N^*DNVcwY=wm-ptIy#AyVx@n(CNaHcr*8%6RiJ=p>{ropfJwgOx zNEop8&h0-*`}?g$u=c(1Y$zSA)(b|s+(5e?*=3FJ!fX*VeWYwvcclG!U#s9KOhAGJ z=8E+*l(5&^%jpXyc%j1M4`UX?E4<2HD_hq9d+)7n1_Y?Ca@$4v7vbmCOpH~E^Ot}#x#{gF<;=Yent6vo&{^a{XsGOUM9bN2{sB$ zYDC;?YNR3&=2>rJG|Sm8rTX`vDp~Vzx1S{9yf(C(W2i6Y^gR9e(iTlj*WuXuQw{DHj zD$a4+V=<_?-)ANDTp`hi*{F*bYn3)(7Igx(~%2Jmf!5>rEz+(m_CtwM9^}kTT1rLAgh1aU1ZSkk#R?j@&~c=*<-RTx@!UQ{W@tv)ceNz2W_w15~R@M*Q-^57dxV>($$jY+%GNkF|Vg#V$e5ewk-r1dS4E zMX~v>^?V2C&>VvM3Jn_cOc#1$)Y-KsG$J@bv=7jey~Dm!QH5$9+}* zUmeff=?kVFGe4;i_g+uu%gadR3`^+2LR+I$f|@WOL}5iwcTvQKMh)HzmV1I=Cagr< z?hCY57uPgaGjPt|uGr-x`6VB*C)6b}C>;bgNE!)lWe>YN)fW1=qv@ZohB?L7Q(|<2 z$AMY?D0s8081)ejJp`#6{2B0qYTcU42VwR79&^>;nyu?=iM_`se3X;m8(I7*Q zcOyTX4(q^;)I;{5!s^L==Ip?@uq8F!WH?Lxw*n*vkh;Jc2YOnE&&xt-G4z)X-Nh#iz@-W~+5R~lqs(^^EX1EGJVfpEuOCi| zJiJQw(98kl+*9w0(crOPs6d`6b?c8s9MpWO3V21GL__ceNcmjMc?2a*dFt}JM`@y2 z8U1hCaUv-%;PVE3?@cXl5d6A@VK8-zZw~0mf?}P{TI4-#&rXIT2*~&8;BSssRD5y4Qw4s-jqiT$&;jx zGSBuZDC})85X8G8g;zGI7%m-jO})aVa`WUj0zofuqK<+?1V(SDy;+j^yU+6`wX%W~- zn^=N@e!qV>{c4JJE{q|fKr3+7C0-yPfR4@I!^7~s4ZYCv1r!n+8f~0VN_+FkMEQIY zjmtD&wH z>rhOmJ<(0Qf4;kEg8sj$Z_M{jKoKwSY@5)A2{oumz)8B;4V68ehVPDeHe?o4KH@%6 z=L^lj#M`Y9_qLp#?yN{$`@%Oqy~kvBqlji@!@3C%JB{>;2?bis|7X-w8k4x#5z}&} zQbDcn$Bn=K$|~-J|5a;hk`N5l7rZ|d|Ep*u-uBnJoRICn(ii8aj@2Ks{7B9hKdPg< z5k&I)Rg6^F#PRfqn6uNK!1=EC^BoDhixT0qd%u<3#6{=#z2LiG-kpT^ni)O&n7ces z_i(K+?qm4?oxrh3yRZu$V#(6zS;J`WRsWzF*Ayz7Y?a-geNI#gZPQ~{rkJIioSg@M z{>+BH=i*|G$^!T%4Tj@Ca<;a%isfeTMJ-zJ9UBV^cjbH5nl0-PvU3di`$7B(-|JiHp8y0OegitR+WLIRThbMS{=*L2YL;J zXac0Aq2aii-NN6$*KgeTd5IxaNo-69`J=Yhp?>dE$lh_N1jZtDeimUm+|`;(zjo)Q zVDDtdT_=qv27(U;h-54DDxR_N@Z`gH9c@iPm!dyvFwf9%i^Hqd+rgpW>(`#Bk1;kz z&#s)_YsqfW?KbNdPc)**|GNhcVK>rc!wq- z?(_Kh_``eMOa1KdRb|07vyg~_Z`oR_KQH36bnkzacjn<#c3lJC8j$g*Br;}-L_{7k zN0~+GkPMkgWGwSgp%Ixfmrf*Q&e(B~DRZWfp(q&+GIxyMI-d9WzU#fN@2~gY*X6pn z?{nY#-h1DBueJ8tYyD2{Al~;Dytal2u3HsD00c|Qe3LL&8DH67JQ=YG?`^-z(p>H% z>o0|&&?iBAf4<`H$$0nHN6K^SzT#x++IL=Gr#=I#e$yKUwP!=F7CZI7{nEqpz3_Ck z>g{GSChej5M$Pw|_U0EZT*w38z-}rAKL|fq6jZlLDij@D&&hd*rT$zhL@0K8mE)Vh z&Va&`%Vper`@GCUoWtk2j>ObGe4;)?{1EQ>FZ zVqbI+Oy{@6`sp3q=Oej+69ajvoN~7@Uh)`fY964h#kn=NbTRbcpB7SHw929~`SO1G z&5Xpu<|i;uK=K9EebUl$49vy3UJU5!-|7%JBCyWQxgUo~|M0=j%}v5-X$%}b_b~1p zPNm;YJV-CS3h6V7D&dfW1tS?3H(sIxc&a1Db6Tb19HkCcc}YHOf)zF|m{T zyqrfTHP4{)>U1t4U~Op!;Tf{znELJ*Dyquw_n?X=MPbl#7!zXMuwcEcZhG9x& zM7x5zwvXvpQ z#Lm|C(7}VM3-Y`h>a0l|hIbzK2pMu#=olfS{^HS}+|92q0(JkesE82EH?tuHcqQuC~>Vnt`QYt%7Z6zKBv*_t$OO&zlWmzV|Tgj4qO^ndBSdn2PCGHIJyZWzUpWv*G4rn6}e6 zi9=XRXIWU$AQAq4!+GN8Z*u05@o|?-iVHUJPwRC^EVKUcfv;bJP}=?SfPOXxj10E+ z?=2)tYm(L6wZ(v13oB2rE)94IRkG}D$uYuue1bOFG6nv2Sr|}ehF`pDvYw3Ot&^%{d0G{@kKO7Wbc;GDj({cVoX!9r{#WZ04!a*}Y)F-G&oa zgW#>!ZCS-Wqm&W1{=T_ELZRHy#rD3NC`fAW0Di2J0l#~^`(iO9q*u_c)?2>5o^;B= zLN4r-VBO5*h_Q3GG~JpkAuE9YI`$M;kQT;5&h1Cdsva0Xyx)IB%AdwI$ z^^12m4bpRXg?R%^36bLD8(xM~wlxfY3{|XAIpVxv5M2^)li=~gNhIe&jsf&DL&u_t#p0u!kv_ljK<3B}W$=C|dJI4A`T!~Npuv2wJ zjI8MKiRnw6B#s0bmGB+qQ8*EL1fjRKe;QK*Z@N5gTQBNJO?s`Sv7e95_E*F~gx=KY zu$Ui}(hLajRHwe?wO*XUwaTY{v5&;N7X@Vm+LgV1XAHv*rjH^)A|^i0+90NAaV&L@ z1I?L096}v*T>XnrvkgWa9O0_nR#UXI;yicr#Y$&3N(Ge@YudPk5R#Km%0 z(PFIul50B~A3`Ui%Gd0pY#L9(1b**u{Ce}{)6PxUmp_87OyP`(wrDuKq&`wSz-4RH zS*Hu_Uwq|XG>FbN+x&i&Hrgv-FdFEwJMBKD!44k}oS6kP%{a-0xKaA+#A$edDGbDp z+1S5&?U;&g4IagjGID0())IXy@FT8;l+fyJgI!>3Sh}*h33yihNL#N5RX$7bU8|HS z;ukxi;ibPnj}mI1UM*|oA9qyjgpk;Ytf?NZ(=?wK#sXWk)&={SKSgm@02$1J7OV(( zr3KkR;*h+ExY_ofDzu5W$opcJ`qVT;64DY8hMvJr7pjyR$CJ{WX%o*)zYp4`s-YPx z2(>ibO9!bP2wLqA_((a#@t~kR;{FPc z7aGZ`FGocHa@kQNuUC7f{<)1O+T^1kI&mKbosKUKi?-Z5p9(0nW#;qz{qMPTc%}xq zshzd4%S$Cj?fy9ve!n^rL}4#CB+ejYr22NLtG1WO6TMRhLnA_3 z{ThX|Ecy9W!XRo7Mc6mJG?G08W5x$B^oXxs}eQoc~%|DIY zS)Uq@vXR@Hcc^)#>lkmqc>xHe+&RJ4oT_(V$y1<0*cy8c97Ir`-y)Q))4Zm zt0SBPVs4;hLGe>x9ZlOMEyEy|1EFSpso>IU+9^ow z@|m>IbHocf2l{cc|94;t-F(P6R6A>-QM8(z6O5|LLG!qphY^MMoRRn#$<6!fSkux{ z5m6W_9q*xsXYM@mxbK>JBrSv(8xsOHfgJ+Dhl{|794z!cTyAK$bU^>1r%&y51Gq1e5 z`IY1%CL%&t;r6SIV|VjzRCT!^NtfaDWjpDVM_Un>IZ1U+VDR%Qw@t#{u}XN}UiFzK zvh7(C%|d}rUR}zZvcDmDDvjvX7W1JlwF~yPZSgs6%xMWC@FuhV9>u4d6k~KE3y)H^ zPS&xQA5U&fzbW@E zD{*t*Jti#tR3Fqtaop&Ns(1OH(%iFaN}9AsmD@7^-P8wCZrmlfa3$8@=lyZ<{+9h| zw9tzXug#?52dLbE*cUHexc_SVIX(`Uvc_zHUndzftTpEBTC!|!p7A0he8}WR;1Izo zO>BO=~ZkC%%6Q6;=3cMPux&Lx3 z1~Podu!OZ9Ama*=M~ahXk|^iBnft-C!*5MnZMS2LinLRF!b$Sp3qRo9PXY@~Hvksa z)|@c6#_zXz%)^>)Tq6?nL?I<>6xYT#s@PZ&%iSLNCD(WTo--Rv5Klz~vNOid&K?vdt!&~EWTjmGu-&ZoC=BdH;~?^`D%`>RPVCGA zeH5%ij4O8xAFK`7)BHlCFj; z?~b{FGA^87T$&SUcPW#bW&uUHRiE}lGUb7@>AXchz}RiRV8Z$?Frpx}4`az2u5T7{ zZ<#&$T`mexJL9IAdLx_~pCfRh z3`fY^xN(C662Boax;Mx4Yez>OKuP=6C{8jl`Y4A;?!aXs9XZ}SK!&*1-5nF-gPz%q zRQhM?rd)w|Aicn~E-FS&Aj1-}va)2n7p#?)gNdE!**z*ew{l|j+^V2}fEnILiYHiS zmy+D{?5u+4R5wH>0ZgFhIOJIO0)l{zjSYZ!KYA&vEF&JzQAZR$lh)7 z43hn6d2F5U8szr9;Xjba%()~yY$-Mjth4qFd>A8Fz``d%s8hFsp-Om2$S%*9n}8SK z$u&ZhAUeq&rLxh}BbJP{;&5XxH%o42rX7I4USJ^ztlYAWChQ~AO03I=`B03dg$1|c zdy11uveJJ5wR`Ogvl^2MA3wjw>wQX>em`g7YkUR5T-IaaLLW0v?b4D*-@=`8u?K=Q z7`^@f<^T#OY>ptMtE0*g8ml!AV8BaQY?zt;!MdMrGVD+CPPhEy#Ys2jM1`^O@nk;# z-1Ic+_5IeP4VuaT~TIh{(kcy3k%hqYRJSes5neV2{4Ac7=Xpc zV%gBxjs5~PY9=4K*^Z75NVafucLyIfDC8;;xd|yT@LVf-(YwBS{dxkp)kB~QGcz*k z8yW!STLwr(fD?k_CG>>Ru5eEhi9|+(!*1~Q7s6K0_nRJ`o;_c_K*IYfBsk^2i#v); zzANWm$zZ?*1^f8;C;&JeQc;#8V7!Ar@O5@}vt43Dw&V$N1wESv?>11v)%Em#JwH{Y;I#EzkZfHkamhNs#DC66 lmSbq`Uy|X!^Pqiu7ekf9$;2VGH_#VILrqsTN5vxKe*hyyh=c$D literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N8type2.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/N8type2.png" new file mode 100755 index 0000000000000000000000000000000000000000..29cac76085c9a9a606d91e9413f56bcd95f0bd6f GIT binary patch literal 25621 zcmcG$cRba9_&W0XCLlAY|Gkv)zP8aQ^6QC5YBtjr{oy~!#o zviIh`jy~Vteg7W!eLwEM?(^tVALsRczsB{tuIu%@p4avAL_x!flMqX=!O0v~5y{ zdp}~Y`1ox+Oh2tr|qI7ImT9KaI^YwKRKC6=k9Ur zal-7AnkIL)+x(bf@^d!kSnt-%Qcu}f9=IqUzSnlR zr>+r4B?R(ViZ}A*2vSg2_uHK>NmBd6e6SR9lBYrg={V6CDRrPvEy3I zR~g+}Ddc`S?EVTooxZzRzE~9#a~4yl?z<9g^P%h}vSWDIKvVO~j?ttIn|gB2yLX~q z8#xhPYOh3=d-WWWM4dAi^?VPi@+wxIx*R(A?GB#jH=lO-Tw7av{Nyb;Dwzn3-^Lj7$A3T_~;`R=*T9E^0#Gaqh+tc^t}b1!e~KYskU&&aQGZ6`ag z>S-9^Q@K5rm_aMGJJ9AiI@Hz0Pk1Z0WW-Y$x!fRiaBF9Ip?q&4b@(h= zFKk-KM=;IL_mLo^Kr(Wla&mHD)9xWVhK4Hahd*O{^`xc!*T(!$Fp0QKYiMit5!Naj za*7P|{N{KN*_jHT{Os(e8JEv~dn-*m)$rH$>K|pEV!OfK-Go|$a@V3ypS-sxS13Okd$Hs-eD{kG$O{?wgOi*LH4um37OzHfINWU&AF(QLYPx#?(?4_Lr@ zYmzwezK=uI_S7ycc)%isQPe4YcT9Y-;$r32$YvGoH0?25kfAMtYF>PFyLIf_Gwod6 zOF`}{-`V1?>NritYHw8LZZhdrAIzj2C?Xxd(P+oS#~<$fkviNOkH}I@obnaEawWT> zVyK}(^>Wuc&8!1xJBi`0Sc1Kj!PK4h+xj{&F;QP%@3%M3oL9B;-YdG`%^MldkM7G4 zA{IrxxAG11st*r#DM`O3OL!%FuP9>bj1E@f8<@Q2V9MuYVq#+UX4L(tWv1763y0X0XRNen1xfK@`Z6Exa&8y}fzW$&N zx%o}drdNTMmP?n_U}j;#HU3EiqxfY5?}f5ILwI5;?FyaErC z1j@?FZl8Di9(76m`f^arW$-L(V8GR*$uy%YtE(BbG&D4wg|QA5%k?1QAQZnvYsFka z)AfZEJ~JgXweVf}&E_WXGby6ZQ=oqj)kkJ3rA53pY-hfKP>=2Sc}?+%KBozVVGr{{ozMO4?Wp#}*UEZXn4L`{h zW*+wnrev71tJ{Qb()IiM`$a-&B*D?Sjd*0OiSh8{6%;sizr7W>#e{g67EOxddrmL- z_d8q4-g1QB)gViI`wjQLl-8(1i?+}D+%pZ&xIZ`U_vRZL9XeQzcAc**10Ns9W3=E@ zX6P{)J6!IjEYW?qlXu8jRN*cH3tVKLot+)dD=a7&k}`Dv{WvKpY2oquq#s)g*k3%i z0cYjGcXi*}hK38{Hbgb*u->PRU8=(S)ACYI!UJnl_Rh<8bNwH0*LwYaOq=d&-C<+W zxhdMwd5c>H8Ie>=@J!yTEug#H@lBP?Grlz)UmKYH_UaiNN>@k0N24pjZ8J-HZ<)m# z#NqMnot>S-!WN?x&{lL%C*}w zkKwR^=QsOJBFflal{csqfBJ;P)Q2g$CaB}I(l%g7PN1Nr9ZG}1?A3Scb81C{u*iJbV6%+e89?{y;@~BL|Gf6G0a4{eAy?TGJ`uJMY zM((=Ty$W|ntPv7nKL@7q!f5}m_WASY19x)_^G4ycNOBt)5U&qo6yG2i#?DNZkDqIQ zzHvrI#>Jb@9~#XVw7NRTlJgk!M=km+dd0@Zk_JleEZ3go(JwGng#FX%?rS>>-u`g6 z`Vf|TXEC=I%ysKvcX9NiNeFZGnDF&eG9)kIjW%<-go8Z&T3snaIW=6agD`i5Po0~z}HRGCx`=f_kN$So7o0ndy z+#Ip7vPuwjHm{}>mI(!Xv^nZ`SlA}1tfp24r;e2$5$e`-I#KG4Teogqj<$Np{7T&I zL&GufiBZkfSO@AIjvz*^YimEp;Q-d zy3f{yBg#^_p!k{g5((D5t{HdmrlV1xCmGUOu-Nk)E#Yuo<%$G zDhV!}%{1EjR3pcaVHMgHi3tF-edxshjzekQJIr#v&&hU}T`4uZ+htnl)>y!b&^VJE zNb%&!8MYslB-k`vo?UJE6%~w}%B*}E!)UnG{uJ7(uW_q)jahV@=Zs99P!;20M|MFj zHBR?}xU0&-rN%(RIgO6FLuDc-j`ul(`Q@#stljyc?tnQ~X1CswM>O+QL*|E$Up}!a z8(Qa|<8>U9J9u{5@@bs#a{w!6^Qv;Q^Y<)$$_uRrv`l`!AX*fzn<>89{1)c=(;$9F zV?FM1^xpPaL`~Qqz)^ZSs+xagd+I-3dt~EGOdfDM{8O_k*VV)Pw*}lTS4a@+#;2)q z0W^1dV;OB%oM%589UoAv7pQ!;U{c#N8M zk<0sQb}G=b&tM4=!iNCaqO4YpF>?8?l$4HQFm+gf=1h4I>>Y}X8n;3sEcu!8np|fn zC03lUj>~e(x5iFIzTR>|Xix&^zr=A>ic{ts^QGINDn4(;T>MB7?2Pc@gR^&f2j=FD zr(d+Tsqsylq<CJc4_E zF4C^IVfIX+B#6_XdsOp#;}PebxX@DKim%i^LL5g%jg`9sGK3wFeWmu{_!5>Cv33Kx zC?ju*pkKRY?7R1oNA}f+`?}PFc*L)p(DE8)TktZISH*8FM5~EA+bYTngFsOc0JYXF zGlQOjcTRa@Hn+LTE!`M>1`udML$nrOT&3^uU|-SPaCZR_R_=Qx04xWJ1};%Y_j<-$8J(RW^lga$28` zS2FQIA=DBrPnlEI8Uv0Xkp%5KDMZ^_@{BW9}){a)Dn=7fqnrov}n*Ow+G9`kGFlV%xpsMV7#7xexQHkx+z(?VT3-JGozD=ks z{>&MxcSNaXS|5qz@U<&xfi|g*MFQScR$qp-|JEfc$Sue2P`_jA^Lu46EzKJ&A)>cF)ZDx;&NPvikQ^M7bqi`ta-O<)Ia_P9i#B9+^ym%tMtl$yrh zm_tc~yh2(=42zmasm5-5KmXoLHuK&)TE;ZH$h`nXx^GL^voNLG9m+hFtU>qS6yH?> z3NE$ZhZzPs~p-@bjPSuJ?e9#E1@=;nx5 z-e|;G8XAe6=`^MeUQSLWPXv9%@KmWK6|Ni z=~j{apTi9|m!E+_IPsi7)XGzm6=Y>)m6eHNFkdd73TF^jb63X$(o7b1NN)J1ZRi0p zt=&(7nFfgFFmewbj6o0@pOhqF_9I5mzRaxegMsuR4WfnIlDMFQPwFy1o6K06o>Rxh zp@RK_*A@ox_62=%;NIWtywBz31Y8y|`!(cr{K)#M7s&p7c6Rmd@S;*;yi6$I0xa?) zz;CiMmnWvC#4dybr0<4LZ|{h6n?zN0?qciYN0Wv+4A_*dnM}|#?6$^b^;>;<_ zzZk%13XR#oD+=q;#@n@+9nOIe%B0>6b-lz$z51 z3O3nNyvH03tV26yNMG=7w#%N*H7FOlb`82PdUf5SprO2@qk~ENL)DHoM$X4)9~DP| zwO#i*rsn+p2QnfeYHzNtq0LlScdDrpg+PjeWFV3uAVgrEnEDt`g`ggErYnv}_5&h^ ziT_FVT6lWpgFD3ZJY^G6Rhw%P=v$rurtXv1loY(Y+=RVktF8Q1eziKf)Z4^G=INsN zMgME6hgr(!DtFtQXWkQ~dRi;sWkP{4c?Fb?$=Z08&u$P(@|2K1fGCLe2yD^bYFop% zZypu1PGx-j{1TT*$S|iE#aM%Q=_sUiL{n97#6CKB6|fIJhT{f}l1D*1Dyy(r)n`wo zxX`s4{tPt{;ZU~~11c6i&jz6?Pv_fPTYK)W_pzzGz{jquCR!YQverI-`qXV+cs{Hi zA`V~m((v%`WInT}T{8sAVwg5b&BDs+9qPfCfa91Q0|Xblcz=wP2AST^@0v>9F?3yP zY-#n$_x31G%N>KwSbK01qjI@xZKlg@uHSKEZU8j3sHn(psI+6o<%2`};oo9FO7EpnKK6`?Zfx_T}pJwYT$ntW0!esY|Uk^E3Ob z-2xtF7k1sBGusM~%5y6d@1cv2+S22gkc007YLAYol3oEj4vBWlguKuOVc?&%qR@{~ zi9&Xszx+?QE&w9`qlT&L|7eopKK8^Wud;YF@ifzQ_o?Rdzs*KU1)l07BO@c1LG*yE z_u;ju55QOeC@;y+=Vh%XPNlp-KtyXhxPtUAE8{V5o-XCEm0oq$RLxi<$GoY(RHFOr zqBHIif}-PiC-03O5#XeD7ps}!Geo6oXJ-e@(P(`bLws`bLfbz0Hd7|n_B_BXZ-yTM ze)JhSb4#A~Q~&@yK%Rm+w^AH*zX`FXKF~e*V|b}e`g0h)Ag8df@bnqU@v$){XXpLr z5eV;o-LARvi~8LaHh53!ks7tI$=feBoOGs$?&>#2L1S(Vt|K6^mLEhF4^vh|7DnvJAK&A)$n zJuU6_%MITtN(7i>Z2!0Sj0JHDgV+&b?T zqSG>F#%@c6p;#QZZ`Q-wiD0aDP|y{jx~v}E3(*N_1*9*lJR{brWa{W!0A>}ozFBNvb;56bAKtE(`u3$^w#wLmB>g8DVrI~nW`?sJSga$h-NaN$c z;I1UefPMjAuVpxRcQZx4mFcWVfRlxA{62v_ka?3+%|x%Q9Qf6|rt;3IOd@f9j&^JH2w7UfTGU{S#r!+OSUKn z12%}T_2J-pD!nY-k2zuYPX(WqsWY7d8K7$k9s9S8+#2m&&t;-djMdCPVXDF~?pXU8 z#Uh5ebeX>aPGmDh#IMsJ7Bu*vZZ8T}JxkvoZE6Jr63maGr$p^8bz*eXe?8eJB>p{! zJdgK{B9-0XG6lUk8iXQNfV6X1_A5q!Xl64=79%&d5(d%^y$4c|Eh@?^+azoPapxjg zNEAe1$tMt~1Q2)d4-mJ=$wa_z>t405gR(G0a#vv{7>-@n)2eW;-%Hb}UuTajIddul z-73V2L&^n^@Um7O>DQ~GT@zK-#u|6=-x^3N8-bMfKhGUpBEmVqn1R}NkCvj2Jrxci zgG$$?1mU6R1m}%s^-DZt2yc)YU4`&GBZDX9vSWXaEj)OMr)U%g`Y3rFR@DinNnxm? z8eJaLLOP*AxAu9bnA_p8Eh(%@fG`5zaic&638byFIO+%1N_No37~ZM{e!AVz2YF#8_8CnzLxkD452dd^9Xre}f$3s*q_p4ByPTHGGF9_V1BIii| zC@Ek@jHdy+Knz{7%?u5Rxn}n~;tT$j`o94WoZ|BnSeQs9)kI?IcQl$v(HDU{@dI}q zt<<0OzPLm7j5V~KUF$;N8Gt^i{yX@&YN6IVRDGJ#y%ytzN0@@5{i1avOT{Orf(^be3d|_&#}8CW{<2Rk zW?$f_N8bG01?u7!`1aun*kEc3Db5=NKx$rCqD(-W#1W&9yAW&_oFpj`2?Pa9&B;{c zG!~A}nILQA<*%E0Zsq9!L$+oSq0fMw5LH+$-Vcp<4ktV;=YpN?uY9o6AXrij!gj;8 zX-F%0tMOZE9~s7*BKYhdb+Ti)e9)$)8`dO3bu)Bh7io|9m#7FCA(&xp1PNJ9JZMQ= z**oA&I_K!h;?r*R8NT1V)i~u%kN7PQGI`cAVz1KWj4G}mAh%Z!8}BRpYq$fpBFzl$ zt3&ppH~^nq!<)}eVxpcE1Dg6;#)P0Jyxo(y5xzGk=V{3z?duxVvao(jfxyOKY@ZP! zV2ARKPGwJM_IIg$T_RIVAYIHEsImPZjJ3R+%y($<@2ISC^c?bcIiAtMu*K35VGPGk zdo@hEFEh-FTSt_SUwfeli6Gd=)OuJsa(>JAV_UdvPs+m(|qL`7=NK*6}+p&UdZ-Z!MtC&DS11X%hZXiE^K8J3jy%I+6pH?NC~t zKWT_0#bOw66)Bw|K~gNLbvXcN2-!*CqCz29K}7hRTCjW-G&7i z4eEBeT>R6{9+-wh){P7hFcA^C>)@ z0}taM{IC{-YwxfOa4FN5kdPqqNiQdS#N}p*Oxa>#nYZG=H35(r83_ZZ^u75vDBkPT zG7=qKT`}jW=6|VK9-~i|9UW=%KBI)py3g?EN1B-`2?ACS-$hSBR?Vy_Zs{rwk}a{) z=i58RwRU!x)*PJS2`wb(v!7xK#7&{&cs+#Q_pZi4dK8lG4}aG_ZirysboJBI8w?X3 z+3Hm0X@R&7Xz?p|b3&g!^_+;52SVaZs~PcYaJ4Z@1Hadv%>3)ymJG}%Kuwlx1u7IE zLkL;HA;=uRx$z9rlgV6q#ijZm)@S>?b{ioP18k7*@-w6B`)gf?2aAVQ-rFmT;;#Cx z17`3hq$0`wjQQg|yG9(lq}YwGL|x+Pk;mu}&aN&UJ;vg7L_3o5Qe(WKry}y>gKy-U zv5@H=D6}9Xo$8*P+4oI{3>y$cZbObCyFVHuFPoc>qSk4%&M&DJr@)8DuDxdunh4%9Fe3_VdhMM~N*e|HH z2-+lpx`R0gBt7)KfiDX}b!DoaY*F%m=ykNv13oy`79QWn6Fk`l`Zz(kh;msQ32Ex` z@?F@A^-@^i!x;mq>Z~_!-hBSNQ$D)?;gbj1TU^VCWaX?S!KzMvL7yiaF-d1m5d~>N zl?pQYh#a{tgdW!3yRcRYTn_|tQbIz->FK65iBR(~?KlZ>q;D<_G7SPa@$f_L<<>AK z9+E(d&@Qklhh$6P%&?hF(sy{qsXIh}yEctnxw@1BDt-l=+^OVlp;=74y89F2{+~rQ z>z@05`+a^H=@ffz*F316E@f7lTw}ohAP|=Ca|+$7F};=$q9v5RI(&zqFdX$8D0;uW4sY>$9M=guy0-mpg5Np4fGa{q8!#Fva%5{{r3*G%exRJ?vuYGJTMoe)F+p4V)O z;~E5x47k1&zk}`4(o%dLH8Ksn?Q?%EN=RS~NE?N8vC`T(6rBsPlR$KiYQ#-PLIR&n-+&(_Oh-+t>$q4Xgv5#Ar?dD3*KzVH|=0+B2smBct8 zgM5cq9Y2eE4F+&l#`fo@87L9y2ZjL>#*a}Z4dFgxPuM4SE1z~41gIA=QVLw`0 zdR8dd017;Dw(M>=LYqDE@^CgD!FS5RD8$>?b7xp_r5D9Ph)RS5dpJ_!=cgKD0?6g0 zW=*|uD)G@LEB~Qv?9%zJdb+i1l41pE^_L(*=XGIKz&f)VXD42>Ywo4b8^iV2BMZpB1|L^h~g9(bvwB{jfB9@sFb98a59gRk`EwA0k2u!$S&Fn zT-;Y#xP$fvN&pV!2nKdjVmbksDvg7WsI^+FX_>bF(rY{=+65+bSs*?qJt$Wix(z}? zwmNiGKd2EXa1hn1VcFU|tOtXtE6WGY0L;_wGrHg|3O9PDl+oz+~f_7|y zC~F94pMO*FCn0;A^3^;{5by&H{si>%8v4;{y=CU((Jct6NwCeuFk#U?3wQz~f;r3jNHhsny^wfOt$)pw`7W0CAq z;!i;QufZg&yYvfIYC9A*a;RZ1?L1%!YO63Fz)yY%9fFIu$ zv<{ZPiln@c1z}47{F5Z3Ccbt=6G_^qUSuG|s#po#+;8gPRo@$B@pO%VQ`}drA@bQG zY<{gy`C%@PyidwC{8Gd}o2UUmn@SBa%zSAmwb0%T48xJh#Xjs^@RN_1CS&l8A|Egt zIUyU~+-wF}Yhf7SQci2P(I}tt!X2z49I$|KD=QhI6D|@Y3YPbli0%9X6on@vL3aU6 zcjKZdt|4z@2IZwF;j0l4?YD7OS&EkcMH*C60MUMk3x4?F1nxGWC0dOyJt$0?clwc# zS9uBQd=j2II^io>2x12$_mnNkRDp~k@c#c|H3liuR8L+IBH(41*#M;ZMi~6WFWFM) zf)5>#&g1uiSxo~0rXgfSVM-KOckLeNK14Uq0S>AuyoQkJ1W4dMWMq31ekcahu@GX7 z5&3735ha{K&Jst2iAFx?5Aj7lk?$zkn3N>bLNA}Qw~^8SagW|UmAF26tB}yhN*TO% ztrr|FA&ZUuV#xG}QXR{}zEXI`=lQt^;k-;dWvVa?s1+8sEzbSYEFqC|Qzn%Zc+QA3 zr%d>q39|(+!hQ#keUn=O9r2S?x}_jla4w#pYEM~{OdCmA0~({^|AJA1G%LZc-moq@ z=NyFzXd+;+M;^0T#-BuRBVZ0IA~u`V>yzwid~y%ZkGU{RWqLADaD<&Zy&8oe5F@GA zk2Zdq9v*1lW}-N6BLJ}>1w@|zT00ekWAdq7s!qs4lD1ui7z^CO*?ONS%q82P0785m zNl6G%*`I$kA9n1xs??998V$slgiUGQ8LWjEE%FI8s#w^qi+`pFe=EL0CX_m^|0>#7Ixhqu=fGV5O}m=IJz1G3A)^!=&ML$Cx6Q}_4y z(O!UT`9y4c_uqo5oWAS0A&2Gbw{yYy2s zs8b9^^3Mc)-6{UyJDP!~v^-Bn9qIz{F`O(8qBpNKoG`vjQ&73y)gJj@8|+c#a-KRh zGv=f+9GzeA5F~5!tmfyW3GYN>vp%>70=rBVb`6hDf^EH)Ao|2aY#q=^F)$_@SiY~i2&|OQA35|V zlG{7>pC(Q$JJwJ2j!tfsiPpJ+{^c z8uz{-bC673N9LvcDjG0bDwD@INgJa>F{Ru)^k`uf_Mi z0}0&Wkc0rJWF3JP9aM)CsXd&%j25K=Kv%EC-p;|Hda3p#6j}d(Fwnx(bZdJ65IR(X zn*+XP>f4+j+?*?H+o(K*3PJj759&0uDZCR2MjAPD%hM7y@Gm*FQK<$UpIT>agj!fF zEiHYhfpi;w)b~A_%?OGeAD^VPAFYC@+NY*X5=z>I61jwhOBVI=DmQc=JzBp(YcS!M zM5#=Y1mzxEAIBH>y7-{)-$VNXOo!EfxlJ2Pry7fd5Wiplf0P(VO1kf?%@C$=_pWF8 z>({TL;3VZT|gNw@t;?~_t znBwo|1b!HWV!_(KD*YOMtsTqZy>?`>{`AzQP>AB}>?|V_(4h945UsY6ltG3V%1a@e zJ~&7T$xoTky1F{3WZ)4O_xcgTQ8MJz1ewr)64zfgfUO&D6n>Z_Q(zAPVmiu>Mp=zyDS>gi}Oz3;|4W8iV=l6-vlG^%3jHv@o;54IHOk$!q zEW~Zp$F1l6okOUQJpcSL@#u6;uCILj7oC0$lmqnqvKgqH_gTSeFaM?e&8264XuQmn z+MVOa)baRjH-ShDK0EDgEyH~Wi)4>_Q$E#1p_T@V-QFrFm=>Cj#SRadpaIvR=p6P1 zTufzS-QVXD$_V}^x4-;a;LG(5C?%ELv2=0yw5#OlxdYn;wT4R&i|(l5CvI%z_2}+R zO!w?g*9Lb&_87?P-SG8D@&Q(bw<`bQ+8&K>ahJXPpn9FD&wx7~C6mQ)+el_{i@j{; zZ}wx<`ue);wr;9$Hou_H12G8!jSI4`?7t3mSM3XcGXwj4q$wM40mg*jTs`^vjN|a{ zhe*t2)t3S>Jd0k~H*4;bs6dWiy+=UqKLe(?2?&CaEBaJ=b(RRl^#7EcKVY_<{xa!g zW^V4Of~1zYAm*ZgH0Grv-7LbL_-FFhNyr{Y?O6TTv6Zc-Z)v%7#Y~49$E)%GmVs}% zI07}s@bUGvaB*3S;m~}g_6H*Fxhe?cm6eqrqfVZ?ifrwCZ3ilM{GTV4;J)2R+O0~N zBp`!~{w@I7W#E4ZwWK2z9*|vzk|h_hB%8huk4DF#&P8CxFQRE@eU=vLilE*nI5-&0 zJw?)IC&40l8>&so$;pBHqK+CRp@$kXU(WqyaJ=jZ(s|hqZk}sh4{r*k+H)#GodW2A z#8M5hxEmpXo`reP4!nIkyes)8W4(@`Slq2&Dv>weC@JsXOP+H2K92D`gwX{7mL<#kPEBDHXROS zPlzg%+)BGSIWAKU&&d$cp1`mwH*!5tBX2 zpU5~j|6#S1?uaYnYVt$`oh75jha91u9Pht<8Ycs*QcMT|qkQb050{9LjMS{?)4&p% znsb8^QXa)1_2b_3Zt}(Y*~d^U;Iv3V2i){sDF3u$&2}V?j%z~nOZrNd_|D&w|M?j` zBoac(*$Y4O7ZBZn!}<5+_4a#5Q4e}+XFdyz%3; zEMnm6`ry`NNil}Ql=v?|@Y=sxJ;jW)247LkZ(m$fH5y%*^LY%?_JQN?9>h!=9DV$C zCpa&!ce&fjc1BXZh1*|9=64~%7)cEY0xR>y;gM;>Q4YQv!nvS`ZdqAcA+5F-LYWQ( zY=%{Zj$VM+CcqJ#^4YZl7;6n+v33H+O$j$@LNQwgLHcvFcd|-GUWky#N2^>AvXOSB zh6z*MmQr0bDVPB*CUpLFn~6Rd7ZeOBRyc^?lEPF%?%FIHWpNvao)*aXH=rO4*eLow z=f8-IhXg4c;Y;7zNU9YGUFiP6AH4dMxgr%#Pbg=iV`CtoLP=+nK0I|x2N zMsO5*IsAuj-y&eF+<)=l^lN`}kAK2|_z}q^A1esC1DL?S%15t=^(TTa)$iCvJ1QcA zA*~by0Q%(>SU;K#@+6R#+BboGD-&xfFe2!n3qrFtU4Gv{46h)~md25YB4ekAZfR!F zbN}!{W1Gom5s>5zEbQ&8_dkor-`LQN5r?jJeQRo!qErN_5qi>s@7BzL0{prE2$Kj~ zNO)f1Axh}im(;#>$q76_3SchKtbjI+T6OAC%rz~jtXZE%0(j{lJj+{mV!G)?X34-{ zhMfZW0PYRIvtKr!njOl=JcG9oOaQB)UU0bU8$eoMo+b^babE!4NKaW?iTKXB*I$hW z48wAZkZ&0=9PPcd4=HNAn*@RsvXLY@Kz@Ah5WWUu#Je;aFJ{`p2-GkF+POccZ`3n! zC>z0|y(oKXyd@iSQwh>y0gCiv|Ev)0q!@BRsq5RnFzBf52}Tkpbv^SLVC%Dl{=*14 zFytKm;UUX}ht(d@y*uOq+;3@JQ!B4Tuv; z1o@mV+_?+)0?`O~N(3UtVpU^e>Lnz}Mp^*Dg1x64k8rsFBufg_@$2AW{M%|6=O>xq?L%^lia2Q5$s#iieaU0%mTay z6_^sq+&-foI91rWxA;$#I$Aoku&s6qgsK7m8ptIX?A4nKq5V4_^^rMUlZG*sO@7*dX2nEhzAC5+B6l z<`nQXB{4k$ABo81a@<${LYmgLz2R&ebF3E^E)#_8!12>S*kZ~Qw4;%A-)(jCkPqre z;{T@=u0lw-yX;$b9Rq3BWJ8HR5aUq2GXXSdm7{5cGw2Q#_YrAOaFZXY4H-~T0s5nN&irhgAN!^*f(ulr)_ig6i+BXz zmlGQJd(makg-RFy{R?>T3n)-K;p78Q4Glc7f%36EP!&3nK@gcQtAeG0j%pWdi&{nW zj$kS;&=5dI07nK>jP}9tUX+%ZJ*aT*yF;52E|>r&j;r~Xl6Z`QygtO#T!L7UNc3wf z&?G{#nV2$F?qBRL10i}VpK#IJC|x0xBns6)pt4_@S(b|d z3AIK7*2AlQI=x>7mba?dZ8=x<4MpvE+=Mn1Eytoj-oYwjU zpD3+DyBzNcp_u4Z|L-w4vQX-ZyzT&V<9>(3$Y~OJzI+;)J`J|6T5d zdyk9~^fjoT5QUF;&gQ<>W2jBb$+TK2ZzF6-*u7|-pMhs(9ui*usf4%b%|W~rgZoea zY5@iaF(TQStLK!BjemzQ`<6oT7z+A#R|nvSe)Gb|?*7O!;ER&l_L9jYaX(6Tt%6V& z;wXdsgQ+Kee+{|9$!XsDig4i$<}nNv-*9i;u}puqFK=`I3nA47w+Y~mnz7Dh8MwtX zeKA*Evzk<^-bXSFe|eWMrw8;(c&IRsLOuBQlxE?Ij*bqb=paA31Q)I#`~^tg)YO!n zlT!hA)@wg11;NR`9O%XbmrcxJN6tqa-X@5}8hUZ4-gkwo0Nw92y^LN7+Qgy80QRqL zyn`#tf|oC6e)NnhE}IL(Ft1aDx52JNk1=R`gtF1iGIcVbgd3Ds?9f=(=Ao zR?q3S#^}C#VD#|I@qNSisi&K&aT?KZ3nS&us45J2ys}{jd8&z2=H`{}R&W7bCRAN= zyKek*(%%6{gTho7-TgiTBI%GZJxvs?Z@r)Q%~ZXrY9^3CD?wyZ!q zf*un~e_hvFZdu>sUnU6F zR5PZoZihIKz0sL5YU_a- z6!=so5Y#&(;^~&b!{qchN(#sjaRS`hYszkdua{5`hQpQ#qnlzyw)fc;@ET9<+Fbhs zk6*FYcj_*ks8W|DCv*hdk)@&q^L#(co%qinF=TT z@$%{b4?R$~KBI47NJw-(rCqP%lB)1!TDL(+0vEL}WOfqzO@)4KpE%swP0&%Qo z@xB7te_ggMn=~BmSATHg_Pj?JGZ_whAPPrDGU6z=AQLh9#ACS%s`CNZ0Qrqu*|Pj` zuDgJ!8U)P?*_ab9=V7?}?cM@}<>CB5EKv{&`XsJ4Y)Y`h`q*D|$IOqDzKb&eKw5l> z2t;X@AKaJgF{<7yWHyw7N&V__Q2cetm4Gh@H47&Ef>8UGn8&QdZ}p=fQ_Er@Z4h zv2DpWH*e#Uw0OCmoM7>Xq*ACp)M~GHE!)JO+dC3-E+8T(X9QHSX$Q5$GDx_>IRwvX zz@!iJt~tVmC9o6ZJGlF{uxq8@c;K&)SQ&VNg$QnRMk-iW9n5a}LO=^>457t+R77l1 zqgzb4m^bbZ2#Qrf?iJKEw~SOtj(>S?rbC$3Wmaw=GKUw-Gj1ZmXKV6ueb?HtcfWgF zjt|xeiT^`D8oM)FMSADdqM%;^){zQN=oG~QS%K7u*V&|KX_f#&a%}$>W8vY<@_RE) zk3A}^$hVix4^qENjwPO88EmqCSzEx3mWf1DNz-4IsuDgZ<~qqA8iA;7OZhHI05>13 zXS$(K8g>${O0uw&>eBy>Yu$oj!MsiuL<0y!a7A6--GZW*`sb@yR>%%L30;D_Ih)~? zc0dsg^CL_J4q6)+@7#r(K{&T_rfd*1KzESZk?{rb4T@1NPV>Q#6EsQqF$?7|f_?Ou zJ6JS}d;*0|5Y(Njo}_!^)^`169+6zYz3A;Z+A!kc?$FiKgd4aInneUcNytP6<8yKx zoVhO)znHQ?L|!bjESKUE9NQy~e11NJG!l(T1S^4?9QrBCvk>_|i9oPCmCEW?ATNlf z<3B|Sb72bSoCTfp6Z6eQ1*DxMl6wg-Qp#pPCKRd;1FRHXdI)(Dynot{zxg^TE&J*i z5?C9H#?hUOIX^CODRHQre}*EP2iHLQFLvP&@0ar?L4ACnIt7Qg)NaABMNj)n$}rfO z9N-7xT-};jQ0kg;v#KIR{f66QF1-tzg>m!tEtlnFPAcG$%y5GfCQf^OEfek?3m6e= zkQ~|!1Z+#TQ&Y3nW|f(mSx$w+8yTgs5x&2$TcdqNnYk2-8!T{bG)Sw5pz@QZ~LKc@qGnW0UXJb_NZhZ75%DZ9CsiH zl$<6y;fxJASQ#b=O1|&w-i8a>uk~mM#l14wUsg;wBs;w+u^s8>$05ml$V|8xJZ(Zp zO$M|cixwcF1mKzXR5pAkcChJQ3Z`%$efk_}5TqKV zr|grquX9{kfBpBLHg~fEN3eUtBz1D`eTL>U*S>337vQLp<5gU~1{|umBxvRLN_GS? z6C4v<d)-2ybU$8phW*02v5-Y-Ku$gQ2izn`_JL&F zH9JWaZ<16&qDMVQ8CETSk|!8PEgiUN1Q(ctP?;?uk5MrE%P2Ua3tgo!)Vi_(b-&ib zA})AQVgo^%?pU7zY8lqEVI)taStO6)SP3^0lOo_^--(wOVK8oLR?@T{T^Iu)Y^4Bq zR9~RT2wHd_IK!l(T`-4V7%-VNVN+}hi=508*bWt7o(qixE)yzy&lViMJi?>segb-Lq%?f!VS~YYk@%u1X{;8 z@_;jDEX`{5H|+e_MTGnKLaVtNYxh%G9);9v`5JSr!;X0Gp zC;S!?ae)6ZFt%ruesEE4?6Ab`Q2N%W8&iW6r%mrfFga3*oXty#E`vkrrqZvmn4v8SC0I`V*az1Wi+Z;hPHym?dM4!U>lFq zn#2sM(i*T5zhIoD)?PZ;N*|rvnw;XB$FQJDoQV&FDEdM%|^qe)nG~0890`n|vK~mtk^jIsr zO7`x&Oz6fJo8aKq;+Ds-8!w(wC}&rk7TS_;j$mkJpuA}VztBo>ot#((8&JpCxK;X~ zX#}3H->WBZV-6pxsw6%(vj)Aj7ai>$ckPaa zr?8heGJnDv(lXuMM~m+p^2h=*Ri(`e}bQQnz`L;b!B z{EM>utVxuFDNB(hM79(%r6^gmMv^6D$sR(IvLzHD+1DY<*p)`slCfrN*)xqb`!fG~ zI=^#W=f!z--kvvHT;G{zyPtb`p8NiMiB57qTI$YfIAGWscPcIG887+WVUp6`)G&?w z+Vt#hR;t;f0oS&RFr$}aZDsBn8Sl>QF}-P5cC4_&#Gvr<=ReUpc1X`R8C(jncy(%G zVw}9wFc-fP^dt&lW3F8*x=z?5I4mrWI!?^+B-ze;xCO86JYSqQ*B7{~ufBnvOJAm= z3veB4Rr%%VEF-x@g>*GJEL2-A{28qAJ5eN9B`8|)JB}0|8=1u`CwH+Un|@(lze%BG z<2ag+yhwVKM9#Q3&5gY8dO7qG9$s#Mj{ST@P$J2i*-vK;v6sx>>lLHXC*qGB3miNg ztar)U|5VIUiT_uLk#5Y-t0D;Q@bx58Xx&Pmuo{yUzqwdOAjskZA#KEh&i+ZpwJbmCd`vNOGGk7N)GatRtj{iR>pQ=_%0S z^>e^+KxDHvUvuC0AtEPs8M!=jfJo*o9}sw>eD?9XMMchUN>X zt(}iM)+y=6j4XYYm$fWg8*#an5zuDh)NEO=D0859xKo-vL*)3(i7AV1Bi&UR&_TGQ z+bmlstJQYO6-J?Cyn z9+f+Pej}D_paC`Vedx6Gpyz~LsrR6xdh0E9RZq+zXsT@YvV5~pfmZWg?uvz! z7<%_!C>1hp+G5zhkv=)>G#hCm(DHhGWs_6Pxa>h%kZ6ONm$e7Cf5spkW|x?v)=)jT zc!PLI3jmPnAI9#L-QzAl>q9d8J+bzDX5SIr>`kM>zUk>{0PMiQ$kfbCe}6ybR;f*7 zl)Jk-kCNAe>0k?+F@P$?503m!^Q7r$H% z`b{L}=j3=np#bE*?}~LQt#lYRZ1qBtbCnVR5`J`dcaM%@Y$JVBRBnO<4`=hJke4ML z_^6OiN$oExCOc!6)r-!|cpCJY z^yxy_rK$?kM z>bwQYSWWHTkGxEpQImHnwbJJs$W+L*s`+E1a`9nXQu$l=kToHYcF=&Id~AC9&e=OK zIX?-zRuavhos|{(^l3L9pB2H8m6a7gtHG> zdHE`z)j2!Tb1sSa2IkF}zvn<}3!?#0ybcBNP~kB$GD0F?8zA|>l5Dc?`W|DaW}o7m zCf|AA2R(hSE$!|ub0d;mw_3L7VKwnHdfBqUS-dD2AOyw(V^R>nS3rFT*8=x^mE%C8@bZ>n(Zbt6nSe$c;) zc8o}oJsR7O1U`tlx2uPJ>uf)(=d)OuDlX;*8Xh(o7&4=|jN=~qNkUeri{eJbW053X zUEOi*GiNr?FpQNpQ4E|mkr_XfmSUj|sOSK?L}v>B=X&}4M~lV}+bWTC}lO>KG^zQ1)!2th*3j0`l|j>!ha z#gv?c#ekZBz>0ZnE>GE!c%#ttB_5=~Dy8FSL7LX`m!3>n_Qh}ATbTPJtMM=?k4_Y! z+`LuoX+bx=%PTH;vEwI+w>_@@q_k0;4FYb$P*q^GOVyI`L)FKmW&&a{91 zn(Q$Y^%uaX8V1EL#rgqU-%1!jK6RrAI)-zp>W8HggyY`~crzof`5p(ykb?VFeGgXE zg|Z#FY9(-yf}>Ln`^Z=@?6eN}#Zp+ypdjjii3rG77^*xlc|svs9KfE$)%w*wlf8bg zI`jNqkq|FnjP-64&ov9}Fy7#KnCXft?H^gy*$f7I6hUZDN07utRt*Cz6D%(4=IGEK&1H8jZ{}FkRANar@jbv z6}&GQ)zjZ^sHay8wIAXML^xos2TI^$8oKiUK*`I?tF2Xv+Y?_7ZyY|#?ArW70Bh!U z2ZdY^bxrbc!zxZ5lZAbVlZs_K{$8EU->*-A|pqYjl(hr8dk=Of7t|FJFRe>zSD;`<_WNd-aPa3EZ6po^|b- z8FPQLJ3mh#{OlXPqAMC|`Z_-J3;a(nNu4f)mWvbQQpY#Ve-B)V#zU?fWH$f9)pSa+x9eAs?I^6U3G+~Eun|5qL>kre-B ze|f-tzFJPN%av@6a^qkK73{-db22GYBky%uVh5|st_80$b^qvkk}Q*VZtH7Dz)l}4 zwcL$%l9Xzgo$x9>Ds-sC%uvy60Na8!7~slI7&-wqE=`_C&garz``z zrI5-^*i`MO(0KCR+Kh#VFUf3gbPg|-KAQ>vI5*VwNDj}$CrMX$LwLmM1mFW}tot+L z$o{s|3_lSBhl17E9`lNpmkQ4+y%J4d3d0ppq(<0U0;s)z0KXWR^+RbWOqy!@@l>cT#6SL`1-Tu|jTKYt_` zzA#2m^p=JGtN9$!v(7SQtme`(?#9{*A#AW!z%MN;Vo{~^`2sFaMAMmIN-^4Qll2mh z$oMDE(W2afrHF3Vg!0cn-Xa7kM|#yk-c9{7AYdv zlfAcCr>Xunl*ys%*f?^}^N>JUFGr#rcVf-csUlL1Sy_an73WdtNv3-G75X#Q;&Miv zdV<-8hcXqLg%WFqH5?>#3HB4}F8a8Y1E35$q`}MhTNN^ zk20Luc&ohL%)8%|1U}sF#Y1!kU9=JWwVN+Mpu;?wVqG1qXseB!t*KgRG8R>|y5RqC07<^U4MlBEL4d{Dt;>awcchMp>?>>A)E$f>^~X2}}LmNZT6I?lfuHU*j$9;+|?M z%xO+-PP)JWIXq(;p6k&RUk6TWrmuqlrmh{dE@^~RVEw2KO+J`Koz6;;T3kI$i>qM- z{)i){&cSO`78K_;hQ{UnIRpvga=ogxxZVdVPl-{}qu72o889%;Q6XVQcF(hUO?-$) zuhgr&AhJZAt|zp!Oz&ipC7!Rb{JQ6zUpf3-i=q@fKn05Y1p(Obfo+Bz0oq^m4tsD6Ji!u!4?!W*0KmK6}b{bsgvLb3^`btpW ze%w3YI|b9Re!CA|iyZjx^_v*FK*+6gaC2LM6ilc)239eUaG}mQ{hhA;6-|hEB;Euwkp@sR2U*ReYOi=jYG|2OF9gPWCM7+6wt^bJTt z9jNr*^+DmFco(CigY;ZNOT_Sh?r_2~qJZ#R0`5NaxVE+yVu3X%f;R5o&))$P_TO=b zT1HkDl!LL=%+3n-T@3vJ)tw=1+v^J!Rjzk|i`6^E|0_Qu^uvkiBUl7Eb+6LBZ$Qz9Tjn>PiXl>H;asI|2-gOb@6+D(xL8X#Ow-*lu9W z(y{PJaz_yR3cJe`5KFi1HAfV#Tupx|>c= zAPIT#q9HA9ZHb-BNKRp8FxsM9h#t>4H*e5 zK6TD@tMLt8#8u-$0~D_Nx=Nv$9n!b}RS)c{`^=+=9yO!hGs$tHhMXK6wuW3t`S-4E zvB5fDY~NYjE0EMZU?$2#!Zd))!Ci+ET`WZP(04t3eXXO#``AcKw4VX=b9)M29TfAG}a{QDbo8XCx%3Fh2~4@avA2?{z6mgQDg8x!)7 zoKF)=luQxe0VJrvycE>e?>!Sv`CcJ{~k%M`}vGSU?bb{%=gq-X~U;N5?{ouQ3l>;K>W(Ltu!_en_zO)IhpQ-WN% Lq^(}0dOPSpt1nB~ literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/report2.md" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/report2.md" new file mode 100755 index 0000000..f42e6e8 --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/report2.md" @@ -0,0 +1,73 @@ +# Lab02 Lagrange插值 + +**古宜民 PB17000002** + +**2019.3.10** + +### 1. 计算结果 + +选取插值节点为均匀节点和Chebyshev点对函数 $ f(x)=\frac {1}{4+x+x^2} $ 进行插值,去插值函数和原函数的最大偏差作为近似误差,取不同插值点数N=4,8,16,计算结果如下: + +第一组节点: +N = 4 Err = 6.475332068311e-02 +N = 8 Err = 5.156056628632e-02 +N = 16 Err = 1.071904436126e-01 + +第二组节点: +N = 4 Err = 5.437602650073e-02 +N = 8 Err = 1.426092606546e-02 +N = 16 Err = 8.367272096925e-04 + +#### 函数图像: + +其中蓝色为原函数f,橙色为插值函数。 +第一组节点: + +![N4type1](.\N4type1.png) + +![N8type1](.\N8type1.png) + +![N16type1](.\N16type1.png) + +第二组节点: + +![N4type2](.\N4type2.png) + +![N8type2](.\N8type2.png) + +![N16type2](.\N16type2.png) + +### 2. 程序算法 + +使用MATLAB。对于给定的N和节点类型,使用两层循环,内层计算插值函数$l_i(x)$,外层计算插值多项式$p(x)=\sum_{i=0}^{n}f(x_i)l_i(x)$。之后在一系列值上计算误差$max\{p(x)-f(x)\}$。 + +关键代码如下: + +```matlab + p = 0; + for i = 0:N(k) + l = 1; + for j = 0:i-1 + l = conv(l, [1, -xi(j+1)] / (xi(i+1) - xi(j+1))); + end + for j = (i+1):N(k) + l = conv(l, [1, -xi(j+1)] / (xi(i+1) - xi(j+1))); + end + p = polyadd(p, f(xi(i+1)) * l); + end + % p is the result Lagrange polynomial + % calculate the max err + err = max(abs(arrayfun(f, y) - polyval(p, y))); +``` + +### 3. 结果分析 + +对于不同次数的插值函数,可见均匀取插值节点时,在N=8时误差最小,N=4、16时误差较大。N=4时误差来自于次数太低,插值函数不能很好地反应原函数性质,偏差较大;而N=16时误差来自于在定义域边缘处出现了Runge现象,误差很大,而中间区域于原函数符合得很好。取Chebyshev节点时,N=4,8,16误差依次减小,N=16时几乎于原函数完全相同。而若取更大的N值,如N=32,则也出现了误差增大的现象。当N更大时,两种插值函数在定义域边缘处都出现了几个数量级的误差。 + +![N32type2](.\N32type2.png) + +相比之下,取Chebyshev节点的插值性质明显好于取均匀节点。N=16时其与原函数几乎完全符合。并且当N过大时产生的偏差也小于均匀节点。 + +### 4. 小结 + +由实验结果可见,插值函数的好坏不仅由插值函数的的次数决定,次数过低拟合不好,过高又会在区间端点附近出现较大误差,必须根据作图结果合理选择。另外插值节点的选择也影响结果,Chebyshev节点在端点附近取点较密,中间取点较为稀疏,能得到比均匀取点更稳定的结果、更小的误差。 \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/report2.pdf" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/2/report2.pdf" new file mode 100755 index 0000000000000000000000000000000000000000..3c00a8f4e3e2ab6784f177dd3039ccb7f93f3d91 GIT binary patch literal 298557 zcmb@uXINCt(l!bxs00xuC^-sB&L~kb0+K}}DN(XW&L~NdjDm`iGXjF-D2^nNoFoi5 zfFOB@GcdrMo;B`g@BM!7`F@=1JeNNn)><8^y1M$VyQ+svS6P)`SU`-FYj|}TK`P8D z#Oh|{NGc;EsPx44p{LsuRvx8CPuyG_yj-r43hF*_v+=UF1rH6J93BdSwyZ7^65s{& zv4fkd@B0|D9ghYjfghWJdh)MDa32}pe;5%(MoB#VKa&n}$t~R(Si2Qph zhJKH2Sq1OuSUK8Sdy)zocv*QuPc&Q}+S`%}8avo{K4O)U6ekr_w{@_8vtG}imwUJS6w5!qRRB_rm zyjtv4Xm+($FFP5x%A?JOJI?nFta?;v<_^o;epu;_^U)}l+N%6?v93r^maMFuvJ#D` zbGD|yOk{9A>YO<0(5j)1rR4x%r~ zZ(Z8TYS}Awqwq*ADk^%-YaF+eYkPp83_)+jhsd0KvdthPQ1FKnFQ~~M4t}=p_r^LU z5p7RaJ7E`RkJ8pmX!%){2=^!YgC(Z7tywTXyL9fS^rQ;TdQZ7bP@3*96AwMuNpBk4l6=q6mixYmRRysg{3 zvzQr_fMv`3oGAN$@_AYs9xJ7GH1 z!Qr};_Gef^j<$Szjxi{ENivtN6< z(sSZU@RIcGxut|4OohONZF24OlOCkJTmJzsFk`z_K~|;Xoqp_reEb=lJ-dbziSVwI zob7KMxW;d&5WH7n8QZDkewp-Zo&U{OffIYtAIgZf%NjRUTHJgH55_}|$Kg)>@)8+H zd7-2JDUTCq5e~_^eyv(!{dL|}<*JC?ph@`r`Llbt(9s79wtebo6T$<{)?H0_XDjx2 z(_ZHocpjd#3)n6-Igtl^o?RKWOZcT+*VI172KPgWzQ>b?h9 z7#J9ArDW-@9TYfE?De0l_4k=R_ZG}vZuu!PMZs;VoGkk_1ACBRFNxVsZ4Ja8qp*W4 z$Ae%S%#vew_H`AA2zqOD2@j4pLs}cNP*SE>RAGYIZ{NP{PDgcfXB>seqr;q&4)ani zRs@_v7VU%c?Td)_AlAd8jKiW0F9EW3qf*@8lQk|= zz_qEJFtqVGsS5AjXD4oFFr}7y4)~!E+)BbAxt&w5!Tc(!jC`&?BUGMf= zInQ}`eoE7om&qbmxS2m}u=u`5bs+2})(t*{Ny=5l`p7!G;Nj6KB$1R4@UPNGE~oZK zNk__SELJyfWw|u1YG^9o5>_Pkg~7NxuBgQ1Bx*#m|NG5M<&9O&uqcx&^?!Cz1T*LX ziJ84zu54E03jgV&wdE>G=|$b#e}?Jmf9cjLzgV?LkR`@cAGzBRRkKyb%}+&qjm2|38oFl&qPfmIM zEc|v6^l7N4&V6=L{c~JqCZpEgL`4nSL=+s*7lvcZzSX;gU+U%&QnDRSRg<70E@t_Y zhkTcNiM_UeSrEe)>IjY8hF0voo46u838udgFiTL4A4K?d-D5j8+7SZT+<>8rdP4b* zWu_IGB!BR4-3^z9I)mMBX;0V_8a{*Op?de2w6{G$ffJg+;H#NE_sdr+pQ<zEUv<%T*xE#aw-xme%OKKAE(!jqp0xe@nUTY#c$uf|Y9_l)H@V zVkb>0rcEA)&nbKJ`1i3g2U5YgW7OaY1dD>1pJ$XxU^*v`VDg!!I^VKC=`_)XeAAP{ zZ@Tin?)fvWqVWYU$x9Ohc0)n%c0{MKgREo6y?fUrbnvYaQ`@^% zFMV_a^@SfE@)h8NX}+VkLGu|}rpB%ATnU$!TgDXOQo_O&VBON^vy{op*EZmdQ6YuB zX_8Tmftab;xLI&M$pm8Z1cR=PBuLH%?nKk@ZZxB2PAWfVJb%X4E?Z6(Jftfe$u49V zlXW-H2^I^wu?%;EW!z(llt)Nx=^RO<#8rGY2 z@3(Cu)#9<#$Hv0lXF2P)^kE{glO;q(V8Ei*6|O`nOZ~k^wcJRU1MQ}4UC#Bwumw*p?F)hs6dc6FH0 zh!xDW+G+eNZyhkw^m%X^!pu$`{K<)rOoIc6Jc~U+Mfo+dE9=OlGeJ?;ClV^>V9(m#?bE4>f|B#0xYbzJi z@RE*qzbbIL-oswXcV(m~Vx!t?b?kANu2Ns4AYyuYy3)4iZkgGH#}Pn0#|&e;7xaYg z-RVjBtk?NWvr{WXGecLk(`)T|Pu^BzSeKbhTw-&1-8l>ClYy$%XT;K4(lkl5%^VYoTIU3+~94#vubIaZXWHxbCF)P!7UWC z(I_afey)81?5cUWalz(YeebaP`g-TK5&0vSJfCHY%*Kc2>V6jN7E5R2d$}Ng;Ap_E z4cPAHhQWg88D{Ht`lMma%mHf^Z8&!jx=Q;@&#dm682+dT1^`8|SrgvMx z(LUc9?=zd(7x62KG~mE4ux8!>p99`pQJ1fQX0>{UbC ziUObFGg?+(P6x>#d{Az@PDxDa>J4r&ApX(O(P=$cOmkq_pKE`Kc-a5`hUmh&3N;@m z0%^@Sz#G)pU}>iPd7#x!De?ZX0vtLx9#|CJjOyfNE|Ea~bQ!#=;?6VVDKYX6^4E)_ z@ZWYepWU=N1!OhuT;rDI4_bUpq4KUT@*V!TXyt^(zy46OQHzT3fO+0FR#FUtI?bF# zGtk`)oNQ2YPLcZ&&uG8EE0<5Yn+%;X@oZ7^v==o$g2`+8-X^{rJTS_YsH--FJ9PgD z_jN>M)%k;M?`8H`=Ev)Vw@B+q(t2+dk*c@QoErEWIQ>HFZ1QR8Wc!>njDziZ9G}Q? zvC=??q9=nNT6iSZ%S7aJ0vCm%YRagBxjJ+{if$w4^NPCdV%lRr9hp&_t7e4`p&yT6 z@v%kB(i{|u-oZ!mnUUew@v{`0oLkkE9xXKQ7gAk)S`--`{r9=zX6K?&{D-{V@U^Ue zzFs=~G^HQK!eAn+%Hj4cCo=pyUP1QD(w35S{%bM*TsFCp;f~PLHvKcx@2VW_*yf!( zbL2S<6ClA-#3pGmvWxz5MnZk8Hfj{-Mxlc``*(`i(dClPlppy>gS?(Oz0DS3(g;GdF-kyvE4 zS?Zim;OA@2(uWTFs^x* zU!XzgKsl|XlgDr}z*0(bF{~=f@2VlRn$h0$cIXN&&|KW6qM7GqWtKA3@;;BDvZPF) z71Cw0e$Af^RxUyRCEJ-N5t5A-2SZerY)UbIYDVD9bXK=0Ua8<9gbEoPiG0yk>OlT# z@3MzI%$0UT;TnFny#O;(Y-m~|el!6ParEXmPz1c(`up-%q8OPytw^xJmh0xmtlcdN zY7MBr5^`qM&yVdsZKXxq&$TC{=3h;gq5s5#*N=!Vdhjr=ElG@~zwYwIXb96}%aUxG z{~79hNM0Y*pI}CQE&{J>=2OuusdDG985wNFykyI|j2F^MVdpV;y7}RyaWd%T+Y4vx zL4qWHWrZIQJ}-;cOe!w&?PyQXCBQ$e(8aY7F#4K5ccq+YK^vMS1K)^D;C}3Kt;F=b zqk|FiufcMOE|qL<_%(QtMI~xH8++A7KBG%nV&OK_WS-x%cjiZWV=0!&WDk@mlT|RmXws=0U97P|xpNX5QX~nQ3rtE?s)t z6)6L4vqztN1T5Cwn3-2DFkyuz3kWIegy^#mdBw@J3N?Q1hC z$B9>Yk$(f~O;eu+vvxa2X*(MmUXER0y#Xoxm;1i!;9l^i|8)?tRRn530-d*z+y-8llVka*BMZxhr>kZ64trOYiWo=^`A2=zbq+-@l7i zv$%CR1G2`&WeYj$?S{+l*R;#R5;_tdGD6y+&$s7sw(EzJ##`;yy6C8zs$WiqzK>kC zpbC7rea^|3EZGvQ$xZ*uQP7L4ep~CP&l|E8TR8&HRKAyI=%|54@%VSk=_=w6jGB%P z50nwa)$d4D@xDQ$azmD0HYG&EatQ=3Z-ukz<%M11%yOM?EEGrVcm zRIKs9YoS5hTMQL;C6)IJ66$Nje2u|+_HFS5=4-uK>X2ud;G3bLGm-e!-I)9BeZSFA zn^4o?=KaGj1NavAr;jGp4aI*qzsk?aqa?@ggHo5^{avun0Ysged`tR0z-digljU+G zG`0JU2*jmX%wb41eQo6vIK4D(2sTK-mbt}oHMF#h1jX)kSs5qz4leZo!}HrgJfF$HUj)>$MvVi z*o7G#Cxm`bJ73h2eL#3*)gGNzhWTMHw=-g(!+*%f9zE%I z_DexXcVy|d6FcqRDb2dkQnji1vOXj$R4 zZAAtJU&(?1@#4es_RX80b?)dbi?H9LFz&AR!x$H?k&3kIYad$d1p zcX*vIFCwbxh;w#5dz_1rcn*s%Z`!_%YCFRcD8wiO*ybi55Eb=q|LzGWOOf&4eN@*2 zCUZt6^?A0%$zo4@F@{*WS9T%Y2iWQ=*gY?omxhJUo(sywbdY(?gU}N|?SQzbi^5HZ zjXs;qCs`71GmIPC>uw$@wz-NiAHxUZdU&U-rxn7OluYh4nqEF^DBRE_Ud`|oPf6W9hW1U zho|mR=X@%ykS1Y6++1$q+5pt*&nj-}WVLwaSd3Zg?vUmL1&GKhRgADmO6(^P8P)mh zkI97`b%x0N0`^Wrr;p{wB3kds4GXF4?*LO_T5b^+FmI>=NfGDecg>4XR zo6a7NHIeP-w5_@-QyY0ZIBx4~9Pi@xG7zC0s2;2s*e*YQ_oNmS6o6p4_b6RaS|5*uCWd>(CPajX9C zr!{!rD(;RR+y^TcT_?w0x_9+u>N8_aqZmCIwEXli|i?rMgw54PrO`uSo7XxO}O zh)#CnMSWso)Xw0gBwBn&Oz!9{05fX?^CL)4MvxmPE?{WHWfH9nJZ8_5n(rhs+ zL-0s*K`*Jr?MvZ>qGvTUA;44kOzP;-0Xf)U4Il=Jko^FJQG%wsT!~Oivot`C{rs>R zmcT~zGEkK#0#fXdEz<#CMwY?a*w0(fWx<|&3O#;e3yV+bzd+MUnFu<;%UlmS>9K!~ zi}C@hc{w~B4~kwD2A~HQ$8DnBuCmMx^hJu{SL~v#L6k&mzUkI+U}e9^fFU&T?-%vG z-%G|Aq}XPhAJs?NNB`^xJBk7#>9YAIkU72O%f^B7EMWVB78g+$uK|OfEo}C zS8h8FTnrYvWHrRfsg1`H)S%UdEMG1<&j^Q??Uml)*KeyKip6X5AsfI#i8;etxA6+c zV_>}|5P(>|3C#8gdS3Kvb-FMWn2-Q|`QK?m8f%+-ZMWqF54ijh9W3X5p|Z<9+zL`M z&pnB+IRTU~9^Y%)oj}@GkiMQZS0g%nW8ZGblINiF#JnYP6Crd!U4$VL1-+B^7!NWOydhPayV$k|*OJF)YYkaacWMgvB2q*1!2Ry&)x5^9~ zY>slLp6xHlDU#BLzzVyuEG)hOC6s?b5x0pKL|2xSM4@SPjRJPg{0(6HO9Lq9hx^aq zAtJl+#7sm3D&h84hH`_X-ZOmQo|v&A;h&ZvYmWTEp=~tAYIDSMS{zzicKHdUwHk_f z=-kuXA}PMtkl615|M%nK2EbO!GfIl@qG9@wO1m^r4X5A%>bO%8V9N0PIUyNn8~Od& z2+R(k^cyf_Ou-Az+|cP5-D(yq!P8QNo~E=DqbX>C7K@YacBgZuDvEg<$VjdOY3T`& z@m*AMvOWo$I88x5^j+>~v(LjNe^mNKoyZQ}lS|RTawB*%sPcaowK}6z_TBNi#e%5f zMM$YbLo)B5(X`&ylxJCi=w%f}xG9PJ@wOis=*K*z+teKb#9k?&c|g(DKSB4X&NHJJAb(5A1?kDZ{4d)9yo45{}y#aX=?t=Lx=`FVEt5 z3#az>F2&_HUYo*4>R!~-FFXNx0Ca>GP<2f9=+UNPaSl3v?%xkDF}(R!uC#pbaZ6+y z>4~znlXEe&e(jGM(xMoGa~-4IuDd!pUx(tm_D7YA2sVRR5TIA;objib#wq;otFz$f zr4P=Xi?;k}dyT>fKkw5fJC9-UhIfH4ImoLc$D}ShMdHZ*0f{9$^j`C|I3)>Sy~dWUHK4^cEBd zyPf#IW)Kwnr%D0IKhso?MgK?2;1PQ?*V`cLo2xShj~neS-)fU)n50hwBoD&_Fet*S zX(>J6Jk!l8x7ii|@5=5~%>8&P3Y$iO6dxJtLv84EbAS)JKxgmmhYw81P|(>j^8=vi zJ(>`%+|r7otAJ*`^Wi3q#W&1mYb&M;pvsO|hBLEU0LogTztXujxXuuX$SeY0H036@ zK=!?)j{*H)J6;LSr6py)Ui&H%FiWcf=jB_rzw^QY97eW1My^eKCWEh{x8^}2@qF#U zhuMP)^+DPdmnGOzWA?KtTH?sQYyn`vuhs7lw1_DcE#3rv)u%63Z78qS zmAs>+_0hbsX34jZ9LC!ifZB-IKzA^>*@!QJr0v#xCu~bFXjwBWvI#Jj#vkvwZ#JWt zrM;a84KC`D7X?_A{dx&HZKqVce_Lr(9aZi9`}=Dosp~{#-V$zMU|S!gea`Q&?$9R0 z%b)l*$>2a8rR=V5-K|1HSS@CM-E?>GkOF&Yka=P#bWRc-xfqew~AYD2JZ|+2L zcu}8WBU@#70Bjv6r4-SGr9uZ)7n8I%`3@@?e>RBGR&Q+o5bfu?pBl{*nF#HtAetLz zuh>_By?-+ob06fi?)wsh2x-9R^& zSVGE>?lq7DD*YFF&ImaG|l5+P%WiwM~M9nSjj*2Diy72V@S#PHvUSSTpyl zI5uob&`qI+xif4p{RHm40?DW?tM>Gicxj&v@-sRgZi@fl*riJVy9SqYs()hJ&mYeu zP3zhOie}p4C8l2tTTE!ZN2slo;ceqQu#GuqFCSP30MSvCZhtYf>x|kPpVLnO>5o8r z^vk9))q}LzKPfF-B0%`wSo0D!J{Vr%oycG~EDkW&BdDkLc> z`Jnw6n91^R0Wb|*aKoJuC?c~a*|HT2q9oDjZLqNz0!7%P+bY?pVew*00IDt414jWg zOj75$JOo0x$`&nP`EtQWz9>o0u!MFQ zI&z3ewhH};vya}-`(H{TC~!tg1G)5j>R>T)?}HJv@FNgIM+WUh!4t_Nbpx9H?k=!n zAh6fo1pHu!@THgK4#n(e*nqisLf_QH`sAZ5(vfh>?HWZMbbe8_YkqbGhy$T^pBIqZ ztvkWlNDI-I+HFv$%4|6AeA6%nohXRzr`j+lVQwG;2V2Z>s%?hvE zZ*?_wB7mlSp1m#<*w+~C$|s%KV*nP8Uq4n50!HV+P>&NBpu-_Z+800|M(+e&z_ z36PQ4(OEbyGfl`J1Po9e>ZwomaStvCyc`^^JVoqSpYSzbBKQelF~7@E z6{ZkLNXAgG!Dj2_$RO^!FePom17&WCN(rLXRUsxRw%K?Tmu((&&8vW6q1)fL@J^6)fG|RR1Sm z0bnYy%`!+BeosIY=+p3N%%m{@ZO`B(yp;3{#Q*R3!t%Zccqmb@bwen$*hrD2$!T>a zp#Z11JhL-wg4){tZb=YvZCDb%i{eHF--lhetX&3mh96;l3lPEB^_kXz<})3MPL^9B z3UP{8xC4!}?hYzA(vRa?Z4by}IL3P^#KSq|p*g2Khh1$zeL(|J#9nGM}y1#oXvgO25LB2@Eek5IzjIvy0pQhJfL% zf?9G956C%FXC2=mBlps|pfKAotQ6F;0LK&|2u$zgAbFS4oe~GI1UI0W88oB!F~a2& z59pq}4${}uR8k*;qF`|&iO+T_+zZzWUO2NHt3zSfs5*!&YJnU3z+xF|xxcIclLdt~ z=r~QDJ}ZWBPAIfC-1$~5>Mrvw53L*eep0b-t=<&HuMY)I;yNfAlLnN6aAir{rJ)N+ z;gIeqF4Z>2Sz1DyC1L_s1>?d7E0EW8P=_)%qe38nerNt81Z1H2GM;|*w3JPXzFL+G zG0*_o@E*7XQ?zT&(CRCx{~bmH^(U&gJd3(}N^#|z3WWL#JI*?kU=DeE>@%q=_-)YA zqo*9tYT#el{V^e2X}HFtH}+io#n0YRefkcFV0Mg5j}_WwiM+@)o7O>dEe1lcqXe?a z<5!z9JsqYL9nW^7ArZXBGYZU}P#O*Fbh~QND~eo&Gz;JR@oM6T{yO`*a?M*}e4oYD zCv4}_ES0=Coym&WIISU7kB&FdnJmwo8r|w^FF_bs@Ngu{yel!llmEpnhxVP$!4yWx zpZIh0#A2Y`Yh za}?Vg>Pxt5#zH(wEx#KppXhRpf&+4WqkW3KzkzD6YgV^rMJB{Re#1zR%*E~Dj#FV> z9lP|aL~FUY|9G>b@Mu=|&VY_bFyNBYp_ zK_Ansi=gR`N6$A>~z6Q}G zPzd?1MkmPqczCNcc6gM#&kA$4I{}c;QeG>DzFC{C*pm&8OP4M&NV+lxc7j}d2!hPA zZuus$4IH=kaLLRs`r7b-%#DusU1JxL5E>|#3sEeVe!pLDfT|w!11aDAwTXxguOsvp zDBFyhI_ldziX*BdB#}IP9wZYj!@^2A@3}XKZ}}LrUMpx?^U;3&2LcuaD+yWXN{rZ` zx&8x`JBf1NdH=^O{t~fGDgRBLtN==}j;>1+h%jJR`g-$PNg(vtvMFL~t(- zY!Sik<_N&#!%CV!c}M#E@bEAK4Jxam{4Q`Lh|?r>{fZFP>!Jg`4i+;#+Q}yYOW0r# zj8oXBOK?B^q%)2QCi9%AGC$HJ-eJe-QSn0o%jE(#PTWWTgNJS#SMWAa7#mEep9d*A za(P9L*s)RWeH)>(-4BL>82%xiA?Uoq0zqe8g|WV06y=R3a;GRH?i;gEu$jF2KS^eo z%rl}&i^!(k3SgF13TrE$b6>InBh~}mew$bUQ4pu}lar$ZT+nA)TZXawJl=~rOWNgs zC3xt2pX~q%g6^xnw9@_CFfb9#X`k7k3I`pYtMSaT4R*cnkn5U)&9C4OTwPti6bBO9 z#Dc`jZbE8rqapKsb_??Q+`a^$MZkSuOcgMn1jWB&jShP&qo90xv*jsK<(0_R-3n`< znq*($1tgiHSh$VQa*Gitz?PAfJzh+6TSQT_7c0Xo4}T6epP95TB6AGvuz^y^hA&b^ z%5lp2SQ%bpGW3kzxLydV6)fh<@;B4(d;l!Fg<4%D zfriYEDq{S{?Ux@Sv~mL|2VC9|i>C~ML>0h7Mxk8mQp4IP=1j}!tVNtDdY+$;f<_NQ+Zq|)wdX@A+3Us}V(Z;?Ex*()3+ zNtgyDwxC1=qz_;OfrtCvWZd+9cejb?jP?4;-{n zbAoz{OjX?wP5W5^U;$ZC4C;Co(- zG7nVr>g0qU)rl8}py6~vDGj0)~fWW2J4yU-S+%hWrBj%uG zA?d01A4#F$u~=i+$DQyfQyvZw!jnRKfu41Ir2?o_Hxd+6ev3?S&v<7R(xb-&NfEJN zF6+pA{uS5Jc0J$?3rZr%EnfxcFFnB5KL|Wt z0Z`@>5^1C)kq*JcPEeIY`&cCbOtSuvZmWnb4R7D)S|ybB?tOWu3zaui?cqD;hc-vFwiA!9e6|I?%=+Rlj+d4{J%#K}G9XuMiDc55PztWna?menG zo5{B$kk|dQIA3`3?MAl_8OQaz@$Gzd8z2$|J3v<)w&s-CtaGYL2%cP0qhe_G*!+7; zOf%V2(s#9NHmyHrIz7FEs`=r`wRcqvKQe0`TyWV`%&h$CRuGXg6&Iem>ea^#n%&NccgdhU}Aq^W-{2cKTCsw$~Azi2`itA%=1 z=tpG~;jsE6p;wsTwj?U-ZK6-l6Aha^aY492S)_`gN`5H^Sia^aVAfp>cJ-WhjiTpv%7%IQr8!bps80ki$^Pv-uKIc5eRAlnU6&j8 z-QkJ6LY0OB)$7c9?!PJoF!W%0^c?H@@0cfYld`(L8p^;8q>bVV=_#N#S2Y@6o%O6#9ebAU`Q|bXH7*S<}J)UZ(jQ$NYeml4n##jZZtfkQ@U-H~90GQ6OTB zqJIK(;3W1)SDHo(HF7_Xy*Tbvd}0a9Q`PTo*R&~>68%aH8wv=oU)1x$jsk;tlnbh0 zc1tunYi<^+HxvjLU(j>!yLmJOa>A-WBac2cf!Bo?UqxFZkQ4fj2glnjJr91X8j9Y$ zffMCpXr9<{rN}lo{^*WIwDUrpBSAfE+5PJ4P456mO_OlB8FaXd_POD=y|8!y*y1y% zm}@>hcl=?m1r+|c&p=iXX1^4q&_UHJsBpfr zBLHe10J)4We~&l2tvu@a!K)P+FbY)Q3n~|u$KMP_4qani-2f?jgh~_k1k)I=rKROO zUFZIzF`R)9TudsuG)=5#xHhWW={2?^a&)%p7D&n-+Kx+K<50^F{n#j#g8t51?ulcy z&%oNit(7>B)PHE~jIZp)S5l?D!QQM=1ML=RhSXr&>WS0|UOoUNqv9_y%LPg`RjS1? zUO5l|McRldJa=uNpxl1$x!n&FA71x6XEGn;fqg-DF`A4+EhqG2weIPE@z-cj5b_lw zuoE|*0CbLAPi@)F(^Z-Q*?15o)PbsJK%Cb6x%Qh$ufmgjbJr{*&li!520RlVhL3<$ z|7V#P>`#l3{*@b?0F#^7Kj8x0bB*)zjo|Ht?#F>keIGbxe{XfNv@SOQm@K?}YTDya7f6TNet zwCny$*o))Q2aI>7E37-Ed~kGjeXnT0%x7KmcNz?Ov4TuE4FSrf~LoubZU*L0x0I6f}6 zH&i4HjKUS5Sr992-asGi^n-lEK$g<{bYAPJg@DawI@iyjY>9A5G+4R1z%xd1?_MwE zF9N@k5&d_5wn6fWR{E+q&~M&!ucF}heBTFYSerq?omlTwP^}HpB)~{3m*PMWK~_5f zg2&LEE8N+WcW-)M$1XFlx*3_?7A@xi^tVO1!9IY1#KnY05zJpxBfA|lOcyIy#mvdJy=LaNX();BsZFN`W~lsG6y&# zyZOYkC#NOY0{_&wvnkl74*e);jB(z%$Utm!%B;cD0Z3zFnGeE47Wv>N49PL^LblI2 zi;&~J{-6zy375O2_d&6^4!^$$OwBM&v$Lxsg5ZO{#gAl{hr^R1`h*jgQw1&4)6;`@ zdL@Tve&cGyC2*T~TR7@PVg80n9VafEkEY7>2)d??Rxr=G)uqM9F*V>?mO+$MMWSY? zd3aOc_R`}}S1+s<{43~D<35>+p?AA_z>L|cKaA%G`1g0NVfZ>mi=lV2RIhvQ*6v?V z489q4YS-xp_v%J{4bp3(AeoMs)4`T%G$_kDZ1xt%K*O0#OQzHz@&WW%BB}ZiDb?s? zejKb0fT84IC8AvY**vjRo~R>-dv^>Kl5~9waJRdhW~Ml^7LWZ;tLGKL-2-TyB(3k> zA=FR=#7KceaOK+P!ZJYhNpAaaC|vBlcUx2;d9j)%fs=X$!yU4|6#J=HbCo$%McnRM zFG25{@w*C1V>9B|udGu|lSosl2U_Bj^Z{D%ok=go1Tf~0dfK#qv#q?^Zp2zeF6K5Dg2{v~1Z;Dz*g^$zB%)t7N??>;Zw*HR$wp1cx@ z0FJfvc!22)XGyD#DbSv9w%KN?-kno?M)2B>JM2!yj3;Hk?z#dUL{sHCey>`$Vh-IB zZ^`IK`j1T-79`=iiz!6T7)yDrNuLtBE@~p%P*79yI58J2P~~&SC8GiOT#XrR?x~nB zT4RdW^);@uYVf`+OtB<}*Pm=nIC_Q-s7+Zq2}rcgRs}B>oz7RkgdLQPL>thYnac=L%HY%b>^qcGRUi{+uqSw828I{j-1~ zXoicig%W1xCW@cl4P`&@O)shYlMmhvTK%=i`PmaW)>8|)6gd}Y23t4mvP!tX#Hw|b z#RJZNO&=$yAL=i7kd?G%;WI4XSqjuZNHsb_mX&tsL1-8iEXVadlB;p4E(9?q{asFw z-WI8rw8*dTz09E?yt`BM?Bg3iLtE6xhm+7HY;oA28$a!Io9^7U3Uw5L8(hO#*XRys z)gKiq?S{Daym$f?M`ZPG^$x_D6Vuxc5>*PnTn?lq;kh@@;6I|`%XU8akPgu4^tNwo zP1ukt7XJ0SRGGf9SDqC%f;$oz`MbwGmHp3DuRJjifeSK;#S-a~h9wwX_>LCu!5U52 z-^w93Q5ZWkuz{CYh8`As9X^WGg|${ARD)PGoY2pUm&LzOxKta2U-E;nRsTH>ey8xEd@BPW4@Y>2a4$r&=OiZb#uLWOPK`Xf)x~bRGtNZJ zSrp5nR}rc8L?q|MsjOnP&=pZr!#5wF>f{0`IH|lIZojDPV4F98AOq4^sxn`Y~N(N-miTq2n5MODN1Jp%bU&PT@v6Be%s$U&v8n=F2? zyx2l3|CqEIl0xyY1iv1M%x<6(UO(k_dXa)z@-3e@2dB^m(X($$(hpYSh>}LOpBG-J za!(LK=-T-HK$dg}kP!4p=TI}<9JGYm=zKG4FtxTxP9+q&9Un~R;qJG?;0$~Y7 zKN?SNLQFCKPriS@y&0A;&mi>A$N%F`ViLAau?Hs&5HmrPNlLC5XFYRV-UvnW1chhA z5;#nETOkI4SV83{Q-DnvP{xpK(F-1eVrvkwgcVpV*Zw*3f>;b<1+UBDjBP>&SX_k7 zLvZ~!ju%usFZgO2WW?MD)Bbk*3f!APN6U#QjZNV{Ebd@kKq^+qVmfxSB_ZORP*>dj z!jm@*g}*^|_Pk$rAui4)4JD|kH^;$5{!B}I6eWhvdvKO z;&({6+hDJUuTNpv?f|VF-(lLccvvYE1#C1ps)l4wG0ONp9xXOvQ@?uks)Wm==i%D3A7Iquj976oa;_^JbRR2%Fq zK&5~M$ou!VEoFqro&EvtIg(840h{IkVcsJb%?$To@8xtqm)Vx))_;RdiaMMcRVSO? z*}Z2m1HHJ{944wUcgMY#4+#d0IjB!&2vnmYR%ovB2wM|oxT1mLTWG;-ywna*6!zQw zGbrvf7Vc*0zns^Gx(MXD!;?2Dktn-ZSK`^P__t#CBYYTNG2HT}S(%!kdbsAr+7_lz z6GiR~im8{Em%$xckFKegK)kiRLKa9KbQe63M-0eAn!p8hNHr&oe zP|l1flklBnB2)1DaH9%*Pb7~loVGxY{|&MeVgLyT$h95$Pe6jx0p<7#SAR@6a2cn` zFU%7l8ww7mj;i=;xIzY^OyPHfqufVl!Ra$Bmyhr6YEgi3#{0XkG@-E{y}55m{?>b4 z3nJl&6?R5;t-1iVTk@R53-+!cj!vnsMfk()mm+)zs?p*$+oL=O-FrjYc;U2-ayI4; zv@P)03<>D@`pAp}^}NfH$Dtc4q@i{t=BXw;2B?^pG_<1;08m>e+)#8v-2(X8 zQWQ`8N)?~ zhOpnrYVRlHaBq?M%`06UOF-z94NtgNeg0-%-wgdU0q%KiotQMWuOGRJ9kJuKn#ILU ze_#{?is07>1P%SOBDEST7l%d`a51V~bfC~bZ-vU8dA5P?=-}g+|6*M~JO;=A+5zYi zMgX^6IQ!eOE}+i(&ZRkyoigsyG=fk$rxa{Sv(rg?w}GLCR#Y2jJq zOwC=3K1GnpKNo8AKK^{jS2_@3(8hg}N31?x07WDnY@N|s_f8S$5@#im?;*hbOe8li zD^2V_Klt#!|BpgiZ*MQSk^%}B2r|p=q|4O%$pLoY)XRW|pYe8ZDH)i7+rYV$BV|M{@g4wjJaemv)_oxYkml2#7 zXSU&LI4|uweQ(Z$&@cHmC0^G#4)sLZOxbZ-}9$Oh87z85*8vd&??&I1H z(Z&C`agrhB&VF?9CnzkuW>37J4Wt8waA@suP` zbzA@M8}j;nq+MysI?Oe0v&`9lcr6cZdoO^x#o8HvCv1eiLTPVbUnFYp-=qS<_!fRF zdo56{H&YREopIT0lY82u$3ERpRq<)$i+_7!HSi_1Lbg34xZ+UjdRXu^(a-IQU|qEp z*}DbYEs~(-f89+ldm3Jo_~*(D7R`d4?~boZu#2m;*l#1upz|&20XyQ^P$3W-1Sg&fce|%XutnO>h$}Yyi0t z$0s14mjW!uo%7#6hw{Jgj{hH@vesi+E(Lcw;(l%I?=PGE{oOUufB){<|Lted#D#<; z|La%L%zEOuB%i>?jTa`rG;5R0e)*yRQlb1ggaPFhok9&RKhRjhj7v7BKR1jR)!O>~ zPBOfmlp6m)hO*nHgX7n%<~OW=Txa?0#)&swoPNB>_rY9C&7y~lA=vT8kdvr+`K|ft z*9lgM*%bXZ#1waYk+UI(JHnSju&eL_`KbAFY*6QlOD;!&#l&o@!>GiZ@X8zF@x-AD zY}B0=-dk?sEJ2LbwBBX03vaL$4S9N7h#q*s(&MhWXAOdkF1^&URi1$d-l&2L3pc{w zZy75-KNsQ~>3O}CGV4`{Ov_QHIo~?Hp44f0;6cw;fl1Cc6JDRvy@MV`sTT21>W$tp zG{!nxdQ-vvDR9yk0(wH@CIv6{;z@tkrMzhidVHtgID4VATPZi-{~_)#!>Wq9w^3Y0 z1f)b7q?PV&5Jb8JL0SoEkZuqq6eLtaT98iZ6cnT-Bn1SdM7pHwjJ>zd^E>bRp8xg# za6X*t;tOl-wbslr$Gpdw_q|8ACOQ4kRMhmypPt8k?WVtRre#44w>d?6w%ozsV7{im z_J?fJLTo8&PFxl4Dq+LRL^>ItnWbMaOmW@zKi8e2Vc2htw;KP9N3(@N-d>l=?jyHZ z(|Fx&wWqGT+}d-juyI(0UZsFUw@P3>XR*rQwygJ`)^}S!bz{$3SUdAy(dZnC`-i4} zO2iztJjS)G%c4F29ZPTDW7&b3d}g<4x;5p2F7&Q4Bk^M`6z^W8C}{vAl%w-pNpu9i@pB zp?{7J{?w+ad&)k!eA~!b=FU~cwD(LEdi2V@-^hn=(UQj6e2;n6n{!$$k`kLez^YD1 zjk(~NA(Z0wtg`=6){n@tWx4q_P2M~ON>^j-Akev1|slBx6lDac}xqWH}m z?dCANoW5`9f#=MknwI(a+nZH}VLR79Wctd9sFu4_)n=;Mf8%miT*;5qr)hCbIZB-I%D$o% zUSYnU{7kcdqAWyJnPkqFy7k^b1CC)#AxB;Hi$xQ&t-~3v+y<+$B+2TjJK~ZtOk5Q= zPM%yXh`(RSBB@y|u!zysy$RmPsC>yKNr4?9EN|M+ehOcx+izUn(!Fb3#91IRLeGDy ztb>s=C0WxgC+-}YFsX>f!7%;CyYP3Ur@2ca*@4Vrm@`JayMAweh}}( z+x5m>`@nCZk@Hqi_nAhGc6tT_)|1VISGq)5q8BnFSxCACu(99FyuYhSMS@NDT?X^w z1gq??n32sJ6o1*TRegQx5HiIpn{?xWtF0AVVg?Vcve##e)C&Fw{l0JX5}zG0c`)Oe zD!(SOO8bISO7QYC#}I)XXMdgOMU2M=<$d&G9?}FCgp)sptUvsC@x~SW2r(S%QcGN& zuPP(@beL(Tcqt;^YkASh9O72(b9a?{SMRlSP- zWu{&kQ>bfgT2WeGr^dzzz7#0bit*(6i)!XwGBv|zWRmTd@x{ z>+R`?i^w&Rp+4mo{^qm%8{aD!?r)E3hKqLRXOq-qJFU}^`cicjw%IX~%qz0H_s|{Z zeHeeskn_Z@iQO>EX}67a_-oI-zgQEOKgx|JQ+h8M_L`6SV_qO*Ty74=2_K7po6!65 zfd1XXxUJOYNEI)M_LZCqX-i(piPto=F6^0QD|IYJ3M7&DKW5#ad9}q;FT@gv$He6; z7Qo1C<^0olQOw6ZGp|LToN03DZn|&gd%_C#$!$f40f^7QC-pnegM`gkKN2MmAe7 zG?S__z_d^7x*PElKkfQXT}>MQGVi4nmXoX<9{-RP0} zE6I#P?|IzkWs6o0VJkLEQwWp7IVwxQE+xu4;aS&NCn#Ne0sA;($8BdJD zuZN6E!rbu;t#L#HJ;E1X{v0nz67`@MF#P;ZjZk4pO*K{h{(aS2O{@CU`{{$x!S|fs zJ0vL$PCMZZ;JpK%hstRL!C z_#%p#Iq=Q3-ptuL(m0shJF@s?iN~!KdUb-&c)nLL9D;A)SbU1w){6enT+Bx&--9ir zYhubho$TGSfL`NcRQX8g9d6+0NRz((^V?smmbyN!QH7ORy^o{X{%Y{Vt1&SA1E;D; z@6QYTBe~C>ezA&*T&QLEGkL7Ty33MJad>6c;tM7o#>6M{eTn8zI!0)U8l*KBtLB!& zYiT4(-s>7`Oucgw;kfNKMwL`)vH2_Gr!S|@TI9l{$b}I`u3OwAe*JF;FJ}uzM1{Su zH;e7Ux}ka`w#7H%;kQ8+UR(QITb+?DELExBLvFIlpwImN7kSmS{yys3lraxIgq05E0R784b73NzHI)mg+{&jy^43fl>F7AFGQK{lvwCbWDP$q zIpbd7=EX6l)4YDTuFyPp;cKd67(v*!Fy4eJd!s!~l7U4L=f%dkXvP}n$Hb2Gc$msg zdFVQtjxqdOu`elV0^6o_Y^*7Suw=Jq%t_tZ=Iik_Z!7S>xYt^Bc#E9-;+&sH_Ko|G z5{VpN7fA)aEohw(s-r?@@2MWO{Z8f}!g(!Rl00peoxIUW-E6*L`KM}P_yY$6jRk?y zK8~Lb86^&boNR=xIaX$rI_VroWsY`pb6YRy9Zb^JanVh-9&=uuyIf<~^f)XC>&gXo zrbR;6L9vIL-MHyy4rO(xw=OL{S4hy$3k)f$ku1ih`WAFhtemad`bl(d+4j1V=x=i; z2K#l2YyCUofoNs6f|SMTIqzKd%f4hK%G{Q%Z#BYkovcVm5Ecm!8aB^E|M{j+J+(hJ z&vvBo$_5`r9QUY_M$x^q;hxn7<&zK&Yz>05UY{S=z^7#jc%~Gt9{Z+ES+!=@Jmb{0_b&_krQFV2aU@b2PI4b4@<@~>a#VVG zO?*h#vo8rV=lOew=ibrQHSGVHoL|*%bcJ!sz)^nRO~i#~`7WQuM0@?kn z2bVd>+(}+=7M@!i-~@2*XDXWqM=sOsuOi6mhKl8n0{o{UwI$v1UY?k-hrlvNyk2)U)Ri zcf`x?y~ZY$^12XqacqU0q?qpMhKgd)UrN)iG5+tO53jeSL2dW&eyrbJMSFS zeXG9Ajg`%PzcG$S%RWx?L!Z%*Nb{^-BA?z%!l=>W4TB8DH65zk2MyZ`nMW>4+ok1a z|F^%!_*xpVm8z|MxOVPud8*p+y;y|J_55>IcsEVWU!Pkqedm%@4nJqXKWOp(zXtFP zj{=pYo-AQ-4ktFz#K#%l`Zo6tOGJs2fyb1VVo%@mT=DZve#ur-zykX<@$1eSF0ZW| zyl|dSIQ~6pe8+1hoSI|4FZ<1^V)ihgY^Qwrif-bk9)jIM<=~3tty`OR7X;j;gwy8- zB~^A@?1xF36z58E2+?$Qjr48|6zitfmTX{HnED(vc(i=var`wuUpnZqu`{c3FSlVM z^4jjIRkB*ONk(c9PmD=H{0$;uIAShaQki%Zxn&jNBJ8&3A5ho59#;r$`3#HaO)Cx+hZR1>qev@ zTq2ol+&ea+qDvFJf2W{vO+wGRZkr&SMrbhaZX;g5wn_b=j`3Oehm{F=WvgZX-!sPj zeEYX*{?J#<^~!2@996xgdYoU+-@a757mSu%EOT;|T;i)zrSCICR|OUojkwb)>HBWV z{&LrTQQzfPPfwp3`@+kGcGO9wztZ(`V@qEktZJw3m zF2f=h@y9Be`9be|oTevq{&E@o0i}WQw^ndQi_NnR*syMvba=CtnX=Z4{oHp^O2zQC zpb(AcV^=&Ye$Y9F74f8eXN^hs=d7cshNUupF>ky;kX09{m=lMSRT-yq*+cK+cawN! z%KTwkZ!M+;6O9Kz4HXY@DUJ3tQLh)#zDDutf3Sn(X z=-*n2@tz~vD2Z2n_^BrQ{ayu4v0bWhy`^HECZ{kTOMDeBwfz0+^Kp`r?yo3!vDdNz{{i;J>@<1mr0*gqvcHB**szn!}AR|8tJ;8bv7(# zXZ#hP;owRZ`Q0xkZ@Q1e66XtZ0WB@bEeMs;(TpghGtY0~QnK318 zCrMzS79{B(FO)H%w;oUfmo{6L(4@_rN|ICWo(QXEOc&>}G-(WN&=XDLpG9maYz?C2 z7g+f9Gi7VFdUI4}ab}0&bl0f+GsNkT z2k#si!*u&A_oojo_19-QosQJK(A+cr%!v7$V{yvBfKtG4z4h~?L*oFB#?*1utQ+#>#e(%p(zMcAj-rBS% zc7B)NsbZGCO&*PKetzdP^&Zy$3w(9_dq+oyhyM(M51zI02p<@Pw%`cJ-vOM|6F{xG z8aA7O4tu0fZ)Y*!Y!PUJ1JY)rU4HohuYTf+z8~#{3rkd@u75&IegL}Ps*7Og=Jj8a zI?XxfpR|;8U;U9Ixtm9MRtf2I`-@WNUJ~{AI5_MNK0d6QuAn+uwUj#k$|Ok;Fr`C- z&{tSM=_>$>S4@Cg8~S`OHmN^?e)}Y$JSxX99vl4!Y12XLW=E9Ys@dR~m;M?6(bbdg z274`4M^7$X7+~X~eGchl+a9M?BtOF15%_vz3OKoN-1%{N!e`yQJVanhb!utIDb8y~^Re#7HrYQHgF z!k~&@h?`quE_#3@8lk-437o~_i#@<>x+8VIcwX3exk7ut?-=;T?EqL$7c4$V6FHv4 zxL_qTj6M(Cv?WoIk!>Y9q|rhu3u4oUYMwtJ$8t7j`gGl+d}CLvX-CXy4#DfH;x7K_ z(UXxZ-PDF447 z9(6-H9$Vui1WCHpE4lP5m?hP-d((t`OAf4a>1cdbdC+fO(0B-}_6W5KP-2)X0owen z0zOXKfbF+wU)7~fmyo2b>CQeUTkUgkVdeA0K!bkPH{5p!T-2@j{-DJmQhXqHe%f@t zUvml+3a<>#PWsNpkDa=>8eSo&x3(vnHiNlT4j5qkV2_zCBlQ^Sp{-{yntTtP_lEho zu3wNA>%7{bK@Z9ZXVyB0CkO3PoT}Q=XC_)AKnv|V4@7C@Vf=*4br5zbY1{H$0dA_O z^DU`1jDUFt8a+3oT&aK^p@8zbx|OxH2+H!at-_}`AP=D)l*W95nNHm+7$PbtSyocQ z<@4Ym8!@I45)(Bbaj>9UCh$WvU<$#I<$Jn2I)^0i|Ir0n4A#t>vpG&dy>@K}C-Y2F zwF_|ukc0|RamaSe+lwSLMmwB7n+OP}Y&u!sYUe-PuQCf8}Q2H}hgCW`Yb z(O7DYK%{)y+!!!7`Y|~<>2$o`bbh+I1VnwaIqH&!=6mme{~sYQu2)~uhauWrW`iS~|SoLnbr*~0rivwDp=Jb#J!ly-=B!vSh?*G%ijniQe}2Hwp>_u+)UaC6OoaPw!N**)QIA&C+-^C)z(!5-=o1a&grwk zw6ltn=rY1t5bu!B@4Fpe&}7Yw>;wDeOT3tAY7eAGSSX7R{tl{JQkD})5YOFU!@D!}@G~E@A0HhxdmNUW?>0T|)8Q$sZ|>+&*y{k^ z5ClzghuXcSqve7H3wvfQwh?@`wgVu5U)h{#(cATu9V1JFNU3?{AD9(T+vX;{IrYOe z&unE7RUw)HRg=6`{}DcBS}vnHZ71D7-ylQ7c7xKUxiGrGSos8GI8yBUuB2L)UE0=4 zJJQ~z-CAE?Urh~x9Fw9{ULwD(aFgT_e1qGFw;4oS;w5r6GBSb=R35cO4E`8hCs3AY z(w~YklFdbv+AEE+5Nky6&c%1d6BelR#|wRjhWqI`7qK-6!VV1h;+xXUYh5%qB#j_@ zxiy6l4!jy3=XbEM&|bXocn;Br%=0n{Mb|ptrIH8*3X-tSoUm%1Z;`eJ++)Hf0{9X7CYa1ztzUzd2wI4{36!W0}K?wUK zt{^mk1a>z6yP`hz_1d2u=HN=jJ=d|Dc3J(&wqa<~Nq14&Ug%=crUACYsnoimM+<|z zxtcxpA94^Dq= ze%$=EIh|^@c#jG+9$YCyhc+uPo+c1S};Qw$cYZMBH<6lEcC zXY;x&vs&`ewBi4`#rPuFip$-R4Rp=xtRh$J$Kn>tZg202V8B#N9bFJDC-Uqp|%X7D(X z8w(h!hmfbh(K}l!+pX_M&22;l1;}F@asA!EJa5Bqo1(^{2@1$LahIr%ET9XdKxXYk zk5uHhYY2tNIGOKASkg4yIw6%5o~{I~IjpW<-+BJ`0lB{Za3j+lE#)P?}oj z)I2G>OQy@-!l&&kFjd6ZS!DC{88Z3jHf!agL|lAi`ML4T7$YQ-*rkn0NojB+Q(Y7? z+!qA*_8u}*_3am_9;>ztwskX@;j8g4url`tA}hh|BM`C|G{89&k^U{|3)YJF*WjM* zEQRNOPi>H|c=xHZPFKcFXr?u+^S&fwl#Xc3Oi& z^)gSGz`V()Cmk>C{yZ7*<5>kE3s5GS8D3pp)-N?}?e8aIdWT%W;e0#r@W7D~MCv~{ z5w5Lfk<=37Vy_|bpv28yu?-x`Y44RHJ2TJTT9-w&eT3?Tb)K-7UwVLil5W)ZKhgoY zbODat*hP}p-V0F8g-+IBEYjS9~E?iQ7xSyC1p&`3|+;Z$T zD2?%E-GyT)0_?Yhgaq+~>`OA^F_0V>KGz0+@=-jT7vb08Rw(fVoEN94a{Tcz zy?62DVhJMG$gX=XN{X7Y0#ZeQ>|A2N{TTK1o z`GjEQ+ApQ|1ewQ%FhGDFE1q5NUa8;1hwoEuX+gDvf*NEis!w5IEn1vt?M)mn8l%6O zJygEp*+BdYIyfi3w^MiSTTqBPu2lJUq+o*^UO(-C-;|q&M;{CiQ!~4~DaidY_whTJ z2}Q6icz#1LAtPj6n%lM2pZdanshJZ_bLBdT(_gh=qnUg=txQu;^z(3F48xJ-TNK(5 z*&OD(-jkD$n4nic3=cNfL%Ea=Wx1d1l^5*r)qtY&{#yz0S?#A z@bpRS8&)BhD26=>@)$E9Bv>`-v}{&@&s4hkLBjpKjQ)P>XJ7=rggAjV*Pp7PLM`se zJ+*i=tZULk%`GXJDxh)-yQG~4ubtUX<+{3uy0_=3L< z1M1@_>^yB~Kxl$uc78Ki%`bVNp0vb&d*&3aTS}{e1~->>lJxVRKYxyPSIVo7z@dTM zHH547)c0^9p2@B)s_WPRQt=KE$}YzP&B54d{zpqJ_+Ti^%NE+fxDr)PXL?Ncmz4zM zc!n2%(Qf2MP!$LxB|s|FeYR#>H!E6NTHGX!;hEWOGoIgjD!(Vgbz7opH}E$+=qbaH zhndj{!@8s7B)p6qy2CAX*M0L8aDPh+AkDD~^Hj~p&rBtJ8^#S3{y#_?Ph$wjG#(;N za&FZ^Yx$?+kTAdPIzvN;wICaE(KzJ#mp{4L@!EsSnB$~#MWx~Tc4$c&zy6IdB&R7l zvG+c1@cC6iL0=^&f-)Bpqx3=KdGGgz4YW`GR5GNv-+p-TMfT!h%cy$DCEl3VMUppY zd61=v>5iij+f;Ed3}T;`@Idk|5XIEbyk>BetgYlzpKFurK+J?jM|=5{#!xjRG0-y= za9U!;OhiAq_8uHF6iLR7bYz<>X9xaUTYrauq4N8)lOo>5SY<|fb|&_3)x5SQ;@)eN zu1rFg{vNrEUYo3O+f0b{U`{Zl@iq+7R+D{%D!8lP*xLHAL2^aJY=mbs#@!?7|K2z( zby*kV%UV@ZVDNj-jeO0=#UHip>n4w%sMZSOm%I8Al)bGF`**K@=7SF-5h-0uQ)yD$ z`Hc=SWVNXr`bu5;3L9GJ4Jz#j1`M5;QB|i}x1Byn?LI+1f%xo2WO@FWmo&I6K|!tf zQ&swk=M0R+DJ5(An_B2^&LdCchpAP$924H%AJS;V%u}XvMzOuOdxLuhL-PZ3ei2wD zSnPuFfBwAo-@7vt>Ul4+iMs4`Wn}(+NCifP&0(n;cub+ZW#$Cew^4k?mfo;B*U2nb zKvgwl<^A>60`LOA{MzLPwW|Y(Ce-9Y5Riqo_0Gf++fcvh-QF6ZP-Tpz`9$=I+}f$*_~o--j{q zT|CrxnHP^oBw>cb+l(!CeBs7As;O>l`TI^w{uL^cjBe;cJu>z0j?>{5Ocet^TCe+( zeeIK_bS9{;L~3l>dH)WmIAY%BNLtjYYs9psxIT{}BE}<#r#WdFs+8=H7}2er@eW-8cl<`6S&YG)U|l{Rjy;x(H0-;9nyUaQ+wjQlnkqX6FhJO z@qnB{2NrT91e3z`BrwNbl|NY-<4u@SrsvFkPP>8IR@aT{**$Lz!6-{kefncb9XlSOZ zg_|=0p1E`eKH^|k5lQq!!(jdO_I5YkO$}-g@A#^5rI-<1A4dr}$b=OdRQB=TZWX8T5DeNw z2_1Oe6`U@IaXwb*#jk&0l44}L+#AxLiYmu9)EdXaWo=cl>?H~1uSdGtn%po_8V~1n z*7#Hrov7htC5vpttH1vah_HDyX8L7!vi?3KW5ruTX3xvL{)!h#Ni71B2dX+e$OnW_ ztv(MAAcj^PjX{QKYE=4czYgl#ZGWWC4;w4TE%l+iR+gyO&#gYMJ{iUit>~+W_LA(c zLj6>>;ZHHR)jOjc=BfAoc=feAS%(61@A!^mF+bG2>Oov?_uh8hqT zzb?oNH926E#ivKvL|Dz2V%bM1PUU}E&b^1t{eO^ z!ociblfE`7WzT!CnxPtl@8)4^XN4qCBRV8je^qzr=96!gRomYr%Z6Kl`dBiBkIyEw z+zi^F&v={;g%xV1I4}E7kHe_`c~X~RofWu;;%?5F{hJE=(Zs32Dpq~#pA?k(NY)lP z>Q?M!Xvn589g6C<5R};8Yk4Q{sJ(l1B%JNx zGOXclm<8t-TqiP$#Mz)p&?c3#2PRVRaY23$RIJvQa?(<_>t8TC-&EUJniQ&lRk%o! zB%3MbbRj$%zTcU7j92P3*S4t-j8=A_O#uX4CLbT(&cz804Q28<0eLaN4v5?l8Q|r8 zk`tq&)#jaVHmyBV$EOwUye1v%|ZwzihV(x*T?n)lqlA53ARm*zK7=Z zCtEbPmNRmcNfmf7}JLtc4Y5crFC+7WCa2vz1SBxB_#=p z4q-M=&d*L~hNYhT`W#e$L&SL=&bAX%A{eMXcBh?mrVSwQ>9M)~ z*S*B)|GJkbYBRe-RC_IF|L;1b^UjLlAan)&B886D`jUBk8a0b=t??a_8aJjIwZrJyQQQv}5)>p!%44g-$HNO*gY!xSi;AE=#|t$; z>{QInGxr!A6FYG5sVErKHoi-0;XsdJ-Q33`(xolH?x?v`3t9XuX)~V#(Pki^&nXUs z5;6EBniaL*1J7y{vi!2i@(rwKw|V(;kZ36J|Nf= z{s1D5?5y1(vgb^i(lU07wuM#N^20AEri;RU%KQ3ypQ#2fVoEdRUD&iTO{}!wOCk9n z%={rrat=PUn?H1AQuv@xMIVu(8=L9@WqNQsT8ebt#$`mks1Iu%wS;`!`udXa?OG-5 zZa1H5$od1L#BKmQCkD?cjZRqV`>|(c#$HKOfv2|Yc0WW(CfbWYV?0C0CgCzRJo{L> zGTRP2iPX)mP8MiZJ-aj($NKkVdpE>LZ8{FNz-5EI$af_)T$mv*APggfhY*wd@*HE% zuh_(IcX;*U+}xa=)-Xa88@47~Q(``DvEY|W6>LR7<=N^FEB6v+Ul#F?X)qS?_gfA4 zg_2QG83C?5;ypb%=?7cMZHySwXaMlGgK&>E*BU7ac=uL-qL_%0{^gQtWcn zk|}K+{%uF#3=o#SH7&~i+TXHGD?Rz4*+rTRBjVrhX~uRP$1O!wlNSl41g~BHSBc{n zYkc5QLhUeE&kN}4-&{m-Ov)v_SW(Lg@DqI7OK|oC$`OHtwZPC%BPJk5ehs1y(Wr%5 zkRS(U^^!R_qe-8RuE@wB38$FH1NX?4U!0Urm99Z%zQ&imAI;*J#dGcY z8>EH*E(1P6n+V6aWo~rk0F+G;)w*=YNl?WS@-u03^&RD{u_;(d$lv z%HpbH%Vz0*76B&k)pC?ubIbJj6{uiL0Fc|IZ;cZa^zZk+W2Z|h8|hV^Bx1H%P9&6% zQLgxo#Ts86O~L@IPHn};s8wQl4#eqwxA!xp(Bsl1kYcir_ zMtk$yJLD?)ILwkxIeVX*Y5`Ol;1wvxhtDF1R(0c%ewl%pWxVu-L6o>vg389D$8d=f z6N?Wu9IU%0;B?a?eN#4797;60M?i4|o*=*Kh@{!!Yw|y1Zoc4!DC=84yos)*Dsm8-qSp$*_g~ z1iM?hivPJD?dg=bB*Cu+Q?$=CG{C@5xPJuIZVGm3(4%dz@qvP9p?VW@t1tt8t$%{u z3XO@{UEGD~JVqt^{`RbRnkR_?8}1OwajRYZJ(|7Wf7vOuFZhfKW+*Ola=qyuw`7+Z zxLm5)Ev=A?+A2D4A%A+KG?wvlQed0^I1J@m#e+J>DaEOO_t1q2(20x%T6VzEN4^m#7LRn~x; zWW|N5)eDx1ZH6LZrV9<5YdO%oSCN+=b4{I$Z!@gD2Uw*mg)8{!kP;e-sqfnqxa=@N z$*9%DUhMdqD(eh|v=cax_EQEs_`ANyt#AQ0>ORSnn9>c|5EGKbqQ z*`tId?7+WTH&UpFRSou9b28_Vkbh8t0`2)oP|nPT%y>TpXX5-|D`*W;Q*dOM$IR&- zqAXgN-LShn|0A0=s)TlHDRt-$5uZD3IUQ+^D7&L7imoN&OMHac$E>*D`VUuMS|@ft z1Xxsy>iG-P$FqN__c=3DTICchOVe*olD2{-F)61ZnQ>2XaWOHQ4i2uW8LlNz5dma7 zjKEs|1EZI}WPZ$eb79h_abqgDqV`$_6yMDCebX?;B@RYF!$6~IhX z(hspAcuW9K8v6UrMF@2XA()5k#DTrm+=oiix2C>cO#HX4$?>>3`aEEP>u>;li8|_q zX&tg%ZgL^QB4+zsgG#cYZ`XGaTG1uOOh20f0z577ZwPab8K*a&{9KgunD03EsII}n z?s)zB^~clyz~b3#H)jFAt@WT2DRW)~!-xo66bILp78DeKW|gTAQvLy$oI2fo0d_A> zbZNe{4Pn+t$NxJZE)LRiF`+jz-W4N&k*PRRcBwZE|F9w9a8-z0xU2yjIzwz&X>-4I z4=9#G)+hz-au*dD*=cD4PPRO~=D`mHZQ>d5?eap@A+#a6P(B=R@}$vmtx>9BdYhpZ zvBicmnnM{QA|irypFp=0PhenRwCn--77(`(l0-k6{&)(a;aycY`1ptr#qg+sj+8;= zkw1m#_kVx@%&T>rG>Q==*~RFe*o?)}kYeJ5?tFT*3y8Y8DnB@R#0IH;dt)ps_Xy-p zQ7s)M(|@*0a~h9;JN#(-DWD8UkNDYtJ>p}E4rhqj51U%5u8r8igU|%FvL#gCm1NuB ztnU>6#|uVjK)^7rPPK%Lfx=Xj1_VaQgVKz>^5GrTN3QRsZklvQ&Ff-L0`v;aX9>@9 z8W%p`*>-9P!F@CbyQF2WkmXCxzP`TeKWni`*mFJSf%c&Jxe%OeJ|0^Zdm?IdgWBQn zwPAStBY=Yf5a(#BZnqfc@Qq9|2R3Rr_N;sV-+qlnb)vUo;gdXZQ{MhNuk&rjar_bINj1nmQ+ z-kuWs=NfWVU?dC;HSo{Oo!$KeE&cDB3rqo7{KC=`1jU9?X{m;Gpm# z3Y36XrRRoBca-ZhPhE=eXd)ydX_yV{hn#XAir#7XIa=Y13UbQ@e-HGBAI5+Kvwu2s zN`-d>f=sTjj0%j^gWqL<=LQ8gNSZsnm#X|*4feWy4P{0VYEDbdlbDkTy?a`hcjqD9`K-_kk ztJ7?DHKlD0|4b81U4rlcyUab5ghK}S^6CKi9lnkvCZ!8i$DOWm>!%EyMFMXGdj3J1 zoPbiBfj7t@b59)E@=8q){9TtwsW__!f`poJqi&R9DtCo}xs1al);JIdvLNiW%dA`aFN|cg0{m6X8?agujUq+5)4$Z zp%D7vK#0{D_y@7TkV6p(Q*~@J46)Q}|LDQ(LiwEOEjh2T5j~~K|d=W8=ZRF-{m=3Wx!jydx?|gCao@C!5VC*m~ z@h!{?@ULbg737BL5Karcu?^mswGt4i7j)AD*^4O;ArJyL1ZO9u=IG7)%U2FyUsJUg z{ZJou{}+T|%Ghe2CIF_$ko0-ucgTDs!bQv9grJiI1TyUwF276gyk#yJ6aXDC`7`W^_RaBS z*6}QU z;nC}=vd72{AXe|NsPOyO81>O1G7Tj+I52%?BqQHA} zLizm~Cpv!pU3zoR{iW=5dt~Qd{oPjdoH10^MVm_o8Fb?izln0o^A%?QP`_v-cpF^;4?&gXu$9{9V!IA};!)(7cy61TuWgNt#w$cvQ! zF2Y>qsl(7WZALUw1tqfSE(U44?Jsk9TfV=K`j`W<2fRpkhQLesE{0Zg?-M3DAaw&! zedJ7An1uI??T7BKqy&!SBR^n(?3@LC2z+%no>6_`>vx7sAE*}CiQboX<4E9_wQ06< zW!Vk?{jKJt(pkf&P<#|Yd6UfU0a&mBF*JL6PMMH^ZlUGubXBCqan6S=9lUiN?kxZs zy^Q?^+5-iJd;RSai+AvxSJ*w|eP20CZ{C{bR%dO6ft=jp%VTaQ;O%SswMzCogQ3wr zw^+O*$bn)|PeCMSp=W-H>Q7~axTaCSqUdW?Y-Fcw-%4%phe#SUh96cM>ArWOTAkocZ?@%G=-bg~Qo>$h zu7qXTa8x^d>9pdJOYJ%UZ0D1@7#%7*H@sLgky33HC!3Eja0FFbPdv~=S9GQPvLO05|l8ps3zg7abhi=m28RQrQksg7&&Ne*&9|NV?aWNpNIxH|bT~-}sp~e0M zvmju%nGT-afM=cPld=H-zy1GbACL$igwEKQ6yl#bWq<0MP?(hMdV1$M;TV6Q>ogWZ z01gszbpH0PBJyL75cx57B^rtcfK)@)GZ;3@c(mfHiq;UVun3f^e)f1m|4vJQw^PzZs-A@AbcX z^MkCk1**sI6?0(86|S~yj=f4dYLnK1w{glygD-ch*5m#EEpKIX=cW#=3u|vMcGd@F zn)FRZMA6x!4xf5<;7=YVVtgO3lt{L2)X4C;IT^c!isq)bl2_MIw< zHx&>;k3lynoYq}W`v{Y{Qrn5 zGt**!fM%j;^dHEI>JJ3pI%Si3>=vo)o5cSEQy$jm^b1ncnY-iOX|C`FX(TKAVNr61 zkJLPnRr5Ry3!%7)?rdd8VXeoK&_>Rj?dsNo-;v2+_0`u~9oCpi$?~GjBdx zTB9n60xR|DwWFG<7tka4q>0qcqwxN!AKb?k_=e)RKb*~qqOg4GEWjDUZseE?F{Pn- z*$k8up-RH#=L-uw+`n4MBx{rVNDyfrR7viA2KQG};u2v#PaNGybapr&AJW`CzS?x+ z2>yDd5!zb>Y;jjswc)~DSzJCO%w&^@uy?~Bs%+m^X~>S$+!Z}x{I1c0gETFPbFm(r zevl!PFaMspG$eU-RBoe@4p%@0DaMwMC9_LLX{jA0onrHILF2z~tSDR?@27sKZ!^8H z3GOIe>+zL(#;D-0RZkWE3>Jz^8AJrqM?@*M{%#1yk@6MGwc{X`>i;>com{sy7FF=}k@rw(k#3arL&k znefPTW$z&^zJQOE?`h^TfmJLP*Gt#86sX@nR@}v`_!*Q_J{*k#p?X5#Cp4uY-{R<} zKY0#E;Gx7Y9@`xZeS|w~TdwZz_cJM}-XPc0o>y?qVCvlefmyrl=o z8XmzzCtW%WElvJWZnVfQN`XpWL}YRR4#jvPh#fl*xr+t(p}&!38DSdO??lQ zEP+Qy>})z-jlDqy`HAzWFDgNjyM}acS;#BXTjYHXq498|4l50cc?i%eyhaFsn90`Wxr&oe_qZ*IV@TmK90w`35B-1_KF*&th~WE)6+8HfvjX z#8PH0$~`%#kwT(5L;5h68ZxsX_vV7v_4eaT`+`n$i_EN!wxtSJ^$%{^cOWag*c&|g zE0x3M>~ezI@>xEK?`7#(r;Km!Vsb0v+7!HOo2Jo}6;ue#^hwMnq*vO-jMkVNw^35F z6jEV=Yk8Xl#QxtQPmN%hL0Trlw&3MZbSJUof!ix>!N3J+6}aeU3~V`9{20u*j9{&e!4e5jq~HH(d*W1wUN2o zgCkihpjA>*8G2bdZ`IZPWJNMvn1*-F#r>IKj?cJ;KSTaWg&QD#MevRy$TBG=$SFt6 z1MGmh?WA>Ub&-hNFe$tHQ5V)&>WgHXdlR?z<(o_Vdfp7a8FW>j=rX!<|D1C>hgn*z zTg{TmFzgksKwIT7mj{$RzU0N#Cf_b~gZN?-oDbfYtpYkRXrKIA``Suo`$4 zl3gY!khkQ#u+5y>t5!xtzM(N^+QCxkRmbEpE`n%%U3k3iY-JWQO`r=-lz!+Da)t04 zHB`B)?ayoa+#NEA3Cw$F9}E`v>3aQgt4+WlPWi(xlA@0oYt zG0Kkghb*NU+7`S>+*a2lt-C-pu*c=OGH*kX9Yy(V!^=x??}Kqeq5hY)9ozdNrCOt$m@$I ze^*5%Cq<-0%a}eqWe@pJvPj~8l0_&IPdOo1VJ)Qt)cFixFVVe9Hb5_-aE&oyN*6K&fmJNMIor|GO_uN?csL+X(0ZiKut}q3gA`2=1T% zyi%QX@sIgf$JSAj?JJVB=u5o+5BcbpwaT8Kk%;=U`c49Yq9*1^hbvFt3D1%ji1t|- z_~vFm{Z?NShY&1|aWn*J+8>^v0Xw$J>p0a=ztQMZ*FN~|MdD`M$Xg!n8&AKv_F1KE z7_3l_Ef7WjpV{SGoD2*MGlTLpey;Fb@{7cE5zM)U3%Mj^ieNdtyA-@KpCQ~^f*-@f z!-IobrKg~~JQF)vVLkZD193X<;O|SOR7`<+kfaq4I6DD+6(RrIC2Qk)r)QPb3M%}N z*Uea=Bq=)?-UC_%*NqWMc=zq3y#HGLXoS26@{R3-xu|K^$$tR()YO!QI}#x`K8~iK z&>R%COyOz8emX9LOP&)sTEjgs>DbKzZoZuzd&4uJCoKLUDJ)D@RRRecZS_I;%{hn^ z@x7-rGcyK`pDK@LJFg0y!OT{nF^HoIvJM1hO`dwoY)Ib`r+bWJgl>ce|`7p&ee|pJs-SH zAbN1}7NMA}P4#1@SGi*`k+(<-E^uRy1-~X1VRj#^Sk4^}g)XnFuV3s*(kH zaU2|s|BJczj;Hc(1IG`Q%t}@$kr_gEi1Q&kWJIzmG9skxSs5kcSlNV7gp!e63P(1{ zJY;9jjI7^vpVQ~_JkR%ee&0WTuU~)V-1q$+*ZX>3d$5)T3wNG*30m3$6xoz~$gLL$ z5l6;F*4mqEf5b_j`02q-8hfWIjfk{FTp7v?@ok53OmZ|{-w10{EJy@f=cykZ>w zQJpYD?N{G;{5$vQq2ZD2a$3wGAt|YHh}(ISS6xxD4ZfI(qpt*5vj;iH4L7}rRHjI;|9AzCWDe8pF2tNf8$j(E@^4w@K0r#K`F{Befanwv4zNf@_ zd?&nE-)0FhQSQ8U6f;x z-VZs{+OPX9asp=FeHOGN0dY}!9bzB*l8;Gehaim@{HbHA@*-h1-R!75U7xPtFkW`3 zT7Q*VyRZGR5@17VImwI6LPe~dIzPLWT-S$|vrvv*NzWzCTw$MF_abq-QxxukM1>>Y zj!~uMU0BWT_eVzWS>l(5Yz8HyhD-H-k)oTrS^i4Odj%BC^yO+lxyo^Jhja+uw|Ovq zt#F+bEgf%1Ev#EfcyegGL(r?RqxA4q7+Zg)=4d|>>hKk-Bv>LE4YlJ-_nOsB{-(NtP#C_ z$;C_4mG|I_yUB}2(vR<3EU#b(f7$XOoB9Th@3bNHUr8fJ%cTm=5&7vqwLK*=bkU3= z5(fWz=8mQBldI2)U%~mW$BxVILa_O_y}zHW$MV-(wL4OwO`Dyl3yqC2&DTo?^6(ud z+%L6c1yXuKtgUhrC2A|OGD>u)gKKCo&pU7*>eJ_*Ne3zs{Bg-XrzYESZvNBK)IgC7 zk)JsA`)e!N=N5}?f)e|Y_oUKqfmK^WSAe@dXu5=iwFeCDT_4mpnjG%X%rxp>MOK-> zpFTQ0cjaUXShHt7FSU{zjryk9hj71{Ju6J~qD8~q>N5u~ZzGo(2hy&hpE@SF<4EzX zHz&sWX5My?*`k8e_N1A)w1@1wiqw$q4`;|xShE~ zD$mVtj=AAaMz5fW(E;FiDRSp)c`#o+raLd5_QY5h`SptJ#H*Mrmc#p>9iZcf3M7`& zfkb(s>VakiJzKcXNL1M@WqLB?X1?eLZ6~iv%;kC-3p8B7K<08zad+N3tFr>HN`CY3 z&_cfE3aNVYGR-Aw(S8BY9JHIf> z5<(MD-vldigcwFCQz3rk4zeIltMv|XmnFYRxU#W!LJ`w|iX=VD_kb!lR7t|(#YLL&2nYPv&@Oh8434h~>^c;7qU z_wT=i1f0q9N-OY=F8ya1^Sc9Ty7vkQQG}>wCC`%1e|yRdhgmX!#?CCT{8?!|At=%& zS3e<`e(n!cEYIrM-tAV??f7SI{$e9O4avCRCgDG8^Zd`}bHEe4uiNlmf2&S7g}AD9 zo6PvP(J}b?^62AtJJm!+TJF8{%z}I~Byw5mG=DmZ^{Kc`=IaknZ*t&tKmQ5*c=R$i z*A`>|;Gen+&}D%&#>G1G^}>s@svDQ>Avuyw=K24y*A^o+`Y~$KgE3j_k@bl-IyJ@rK8oLnR z{&&3aQ2g%pMv&hH#~Q;M`Gj&J??31rgNfO0fs&gKRN23lHLb?cC)=$>%JsKhix0+=s?TwLBY= zyL;qs@EaDsytV!DBXs{F0=CVk5e0ccu1RzK>eDG?f9K)hvnz=$!7M7~0-Yn;Nn2{f z)*;=5YYq?lTmOeav>t&bQ(EJy7kEgyD-UY`(9_&Xenad@OG%Qnt33qVKomlL6#`Qd zn@O3}{sYaKo?Q3;r!90I>7O8~A~Lo0O+X*s5+O)Ic7wWv7=i3TXj6b56TO?F6-vPh zNTyo`CifpdklNuhUX?IKy-h1j!SFYsXqRk>pAxy;lWXPfoX{O7NH|2Oxq>Jmta1`_*UtB93qGy8Mp+eK{{*kGr4e{ldB6ePy|rM&*2z$b*zVBqjdeb73!bm8pDscYrcJCcw2xCzY+ zkOw7Bu(4$5@vy1+Wat<4qri_)@j5#&sbx)tkjeiL((|e6n4Ajl_kn|fF?@HK(4S!a z?d^`5i@yW30)4p$tJmJ|PuZnZcGDe3p{S_03)^Jfnt&lL*8o)f&;4@kL!sIq%9lw> zwfn-9n_APPsQ>%FqCA5BTl|{`h0TSSq;<`4=;v+yD~)J+9<&a~-j={U(1k4MU^>3Q zz`*O?m(b>_YofJT8j0Y~u#16g1pU)Ym|i57Y}@;PXt{$kW5i4<>Dkm!(xVz2Gg9$~ z0$fMGJjGn9HLCtQzuL%651oMw`zOE)T;J`EkP;RuF~l?c0a{JJU53K`c~ZPDIygKc znHd?$%EsUp-Tn0tMMcPlmPfojJI5t~{^W*{Tk||kQIZ7J^GPmuirX3g@Bg8CzUpo` z#6dq(520#M{|9mo!cLOa90XnbTVw_?lLoAn1;Vis^NlLqmLX1maA1I0=kn%*?e+OQ zSy9$ZB(b@49crHNmO!<9QM=){Hx<-jPEnZi8dR`&lAfL7!8;%`x7c1oUlN_)Mw9P04ml!xY3NU1=&(@A zBp;2mCFE2-B>M1Dn_cD3N|VH{?ae0t@DPTWnPp9YAKFF*-wKO%w|m*)`B!;&!swA0^Mo`wChi zBrFUdCF@z^>gswLf(E+}9}<0jNo&j)QluRn9U<-sf*wT&AtLJY_Aa#YM3VB||37X; zz>wSTvhm+V%f$YFQ?yJ-2y&)a|1?T)OPYtGW#6W}x%X7GX|G<@GYrmgeClMsc+2K2 z$rkrM>tqoB+|Fj%)cvFr*Cij_&cN0V_MOjqfjtT8m_PsJ>qaNzurc@AKCRbd5|T*o z@z>K26w}NdOYEfMKO&pA@bM+OSe~Nw`;%ea>e%TAmaZ-?>+xHAo>dlxbFqufvU6T8 zDH}3v8}8Kx`Tuts_J4hf{tZ-XZ>H2|HF5ac?)?5v>b}TUu7R1iGw<-k_VE7R0fSIi z`OWX1>vLPJuGR0s*i^HXSc9-my=oKF%bVszDC`U9LgGDID({xNJ%E{rtie~7D%m~f zvpZ{McE3feaW%wU{z+KsE|e(K9#c2_-MKv0!0k1umMIJwSS8HQ^T~YqQK&vWW>&dh zueBgtHhtY8n}rqf&m5s}fkBPp7#WhtE_?ntbn+;diVq zF6F(Mg1tMHRz6VjEAsxz30Cf%cPNyFp@|=*soO}v%&<5KcK9+!nb$H>?#g=uw*ggi z3Ktq@t397QXlKuVtsK^2;4ZYN5SJ)XrAo@Q&sD7F*5k-r~N`iUAu@AUhiew;2*2ELtqZ zviVxeoA+edgJ~U{E41S^pTC*_&TI7)D;>G0?+CmN^{FrtgN_QMdX1?yP^yR1SGo?h zH6iG`1tf-|!@lw*5EAdR7xoYu1gwU%afx|&Q%S`ZVsLLpiSK9cucb28IBW`RZ!Bu< z?I0D&if2FUH|%eoZd`_g62GuAyua;Ru$QY~{l+r~GS14@Mj%EMI(>-+8aav#?jjL8+Lb*SF5T)}XuzLvsBLatW-7v~nSp33!p+p*#; zq;#I|=Fxq&3#(D7JLBF4oSy^DHftGXI&m?%?9UrN%?PjF@w;4Yuo)EOYxAU~`>~eH z@+GH9sOOfO9k94PlUv|3B|WSfwllQ2YbvZaFxvL=7g9a%c{d4mX@W{(5aShZ7fy4V zITaQlF6y%mrIL`NxpP2vHNMUNgV@mhFjuN^grkUE*b8;=V!z-77BkFRN&#N)=U7B zI{#|w_ci#{PzWKn>pom9go9>aeGFw)IFx-BH+&qxeCX`E{q(7*K(hU9@(Pzeb>~b~ z=M!(WqptRL_bpxYT=y4T1ZiZvDLd7t+(T0g9r*V8b}wcvN5dPgV=lv0uHjcX9)06; zeeVah<3;!JSH|3r^3&w-PNHwrNhF@!V59V|f2KZt$G4%@0uP_4a~=r?v5Ve|p52Zo zh;J5pNL{L)>0IFxBU%COmg8-A*KY?ZujyA@+U+tYlY8~g(!ZZzEikSsycM`Cnt8%_Ukv$}if68`S*b z&eyzY!2LyTt5Hk5?4m2PT+}4~7BY*VNk*E^AFyCf;K+n(IN}$40JD-VdWv5_qKCN; z*Zl4-2-`@CQRBS8{qjqFO`br--tO@J?)cG>R6|es`7;Uq6GPz_*RMIfmGU(8##6@E zDfHJ*9A89g&{eOtN=NP7+@T;kj>5iPCLTv>1NOI+OVhIY0=d=8Zu0c-kk9uVeaf9P zp#5FID_=xZRL{E=#G{#t;a&TA%3sV5mD-6ua@&1v-$PG-zdQCGkOmYC|YD?VZpJp@9MkZ8uhr?b^a-yC>JghTfBwX9L>X6K7)qi%%v-PUmO@D!?_RE z-U(L!HqX2j6liUr_N~rqVHm9|G%YVND?oqt%U>iik%$;D% z(BXg36R0bfnGY01+?kZ9VPrDy*@Lo*JvbR8R$U`M*e(D?h7wW)$*H%-cOS$WBk&rp zY3|!Au!m6d`orS+wR=FN7*ldwSSmHGuS#-{&x4fc5ZnR9%-(GM zD)2Qi*(rpr*VEJw)E`Fq6>jzSRF}You+1;yY79au$tlFy$!I_YK~`;(Pst}1O}mMq zkl9mEuc6-1BJ^U~tA{2~NWIIkWaP@vJ@BR-rML!QsVf1ilyKm)L%CR3H zcl4yp((mo5E_()4$h;IQ=c?t-w(`{Oz}Lvx?dsoKOT+6%H7BYm_mA$+?~MtbG!s2{ z(s+M=*UW6MX6hwQ=LKn}=1JP%;71G07eyX;%;rS#S*6b7gq@0fEAQ8u1$4>RdM%H5 z9uB8cvhy?Z;$g1&^(8a?MU%X>Ottm(P2XozK^%;lN6xP#XG{1vF6cyyZ`K7BE*ohX z^OBcyUHX(pMe*79uH5?g*FNc^$q63nJEIDHn|a&#-R*g`oJw5P zu^3&iNIswCG_RfSG_k>t1Wx3y1^$p2nh1*$_ON`l_-Vi>mFRTTzn0M@-%Al8I9ejZ zrVuFkyS0mXz=xz}^NP67>{E5czm$`Q%6VTlTzh7gla<2uP^SZj4BE;FgRb?aamTVf z{64*ayciU6a=w7StU5y?`l-`Jjw>YPo})V2?PMk@;ZHdf_P~Fr>C4XA^I!wE&RTwK zCQLAa{&tHqU#)~xnUl+)Q8(&PMg_8^4ywD?dMDUEStP#rsqXAo+m4^{Lw|KoGc7FU z&PLWR+hVWYOxKDsh>1gUF~ zH^WKOS3-aIIN=kz?9zOX*>~Gr_I17T1GT<3rNr2S_)n|{upN8wRn^|!RuQvsNr#9+ z3};XF2SHBEDFPfz00}l^-?Pu_i<%p$u%_WbHBZ*}rf~dskFea4*GJiO;uspCfDq^q+`lm4 z1;M=}bcdpxOI(|t9UV?|;p1v}E_=nPeEU`}IC+yU+^@~;>*{(Bl;+{Xhm%wLpP^1G z)ER$nC$EMH^EzL5IjzB(BY^yb>T(EtWhq&)21QXG9XWt*<& zb(Of0A5>IIN=gZ$N{XLeTDVUwO;XCs$4^6=6u8Ys6f37vJnw|Bt*wFe%c3%9XDfBz z-3<$clya2ixhnA;oGLyd{&5|o`KJ&gDqClw}v)|l6alv{iN*Y^?zn7@9w|9zxdQ)7v;;$O2X(mQcN zq-2?y6>Swp_GOv(l9n=KP00)9ngnZPCKZmHlza=--O3*$on76qW;=Ce9A-zTr~(8} zyN^fw*AT@RW?(nqS~qi*x%6e}E2267%7*$0uq7b5QGy$b!>6mgRvNh#0xOs6nc*bL zAos1lw@*d!uV*W=__a*DtY|9+n_Qs55(KX1N)Pq)FoYGDRFu?BsZJIwLR!#F1Y%Jz zd{G6ZvVvGVc)m1Y^ghSJvDokF@seMU-WX}{|4W638wVL$At4;kUeAVYY-|LLfskZB zp$dBv^8URBZ10}+PcxTs(r~J_x6t)(CIWnriT35Mmpz)KdO~jt?{o14yst7M7s4+q zAp5>xoW;Sy^Jp%145$?GON^#ITN93xfM-zeKxe}sBO}9E84>Bp?!OLxq(6Rdv0=HF z=D3=QYpQLmpxKdvAAlG7u9Z5ki=3#&5o?VNbY5LWIJSPZNnv5uR;L}^AsVLqHG0d< z^VEA6NZ8W!9&ETvfJDkDV)^aV<>z{fU@EOt_J%sYU;?3>f+`R@jbPEKm&v|&7z;7S zLNXT9otYZ_8R<9Vx5`(Y`AEX4%B97lgBN5MBcIXDmUw|X{H~-M6aiRfL4uDR<*^v^ zp;$-Ac7mF?2)C84h7+%6{{Br{yM53xb7SjvX%;ScGfHg*4(a|yU=rvO8H|e~&lGEZG9o63Nf_*7>?8lEE z!3dSDmAdy$S1TZUw$V1|1vBqLJ^rmUI5-vBfy-dD5k-;dhrw=D=QJ0YiCu`mf?6K4Crdvbln05?Hi zbE&O=#bbTu(wnNbxwy?rFD6O1kC4^szW|lTj6bvw5Bown=?_|-Km?YG9zV{rcYTw7 zLxx>>J6te9A3M@;X)_z4zO{B*2So|AaO~{ozyX8}T7RxBG9r4Agr|lf*(Wvx?CjT? zuSBe?9IhvD7;~wCiix_QMU4tN+*{AC-?c}JhzS%rYxFLQEDMzW5hidQB}JT!my7~4 zJ(Iuxtu8zxQmPxO%I?5+_-t>X-w{|}a_!x=3BP_V^UC^ucuD}kq_1xC!i~W3 z;26&}wiF}|Y&Rc)wJZ~uXnJ##)txdiaoR{c(2Td{SK@VW-dSY-eE1`HLS#Q7Q}l`q z9#D=GGb{0_)$Sbg`W6BI{3>KT?Y%QpXH$B{h(Gh;BZ8;^vXH}Y8E_ekXBD03PxuM7 zod_qnju*OACaBfY-OgwbHh;Wz=cdaj9>fjm~S_f#McGxbRsC7E)&RC1>#0x zO-e8>@%zBB<0~roAy!Bm8`i^h*SaLa-G@B3ae~~%dC#k(2;>=?(sa`1TM8_MEs%VUF&&UoTKhK1-EvEznt&A~ zZ1eumPMR;6J-$vno#FxkB^W<=-!vWx>f87+Z*NQb=f{zNB=g1DpRkSIRU6LY>ja>3&OC1dxRB) zZ_Vmq=P|ble}!z#7Qs346EOEi$m7i0Yt0x#J1jqbY2@`g&cQET|NIsFWyiUEZum$% z0cRpk5UKb4j86j@6|hs7fFEbbra9-iqD`<%phvyLk-tbG?C9p66a~o<7NKWUj4$yR zcXREcTgBGwH$XW%0u~81n_2n8)T{)keA;n#0sR4x$RZR!M%}UF&$ebm{xt-Vm*Ya@ z*6ii;&!`OXR9um0&f7BRKYxjj+=KHl^3 z(}BqXk=B1c*mCxm*_P^Tpp)}9cLCefd|tIP3GY6fhq)K1#VheZP4o&GG`J}LA_l%io^h3xIgJ^;61@- zhK~Z=5#S9TJnx&V3^SROZ$~12Ah$dQ$hwB$QV@gN62$m8K7)+6+$skW6}Y)-74+X+ zTdt)Lw8NGEDjBe+FbkZr*$dU9-4aGFSF&zk7@K1GwlLzwa!Xq*n@Z_thZ?<*YtOjI zhIH3pVJQS-$qZP9BBU2Su%Gk-aVF7AkWR6hlx^!aJ+scs3c<$~o6F##&%cNmhW#Id z0pi3e8yHCwLA1ejM?xj2BS-tnoo%BQGt;pQt36x4K9#Ar308DB9e&-8i~V%2bWj+? z)pF6zPIbWmgZ+tEGnQ30NU7?DB4@Zy2Bz+uGUI}Kqk;*@#9@G16|N~m)+k1uv z{}jk)3jR|dPfW^4$5O*V0~U0q!|Qmld+Yy2?4Hbi&Eo{ujfnE@wV#Uq3tdn)4C)&f z#+5I)`TF`wRzo;|e#$r$^6JXgssZsnC@?S~)g5=O-%jcQ+=*L~0~K{b!VeN!tNbIW zvGu6<5HuK)Eo-gZp#JOUcsOV%a)$K>99XH{aXt?;K=0=Q#Uj$Y`f4>)_?ihb;EmDG ze~4I`CFc{rC7p4B|Hn^hcE5^<6!_GP`LvBVY9HW2?;!uCVYvIDLD&lkgn){J}#!dF`{KzJCuH7hI)h&$u?^BA592xo$As>Lk!xuM<9lZ0RD zcAOi*8YJnsgznGtBgV6iGtxr?t4Uk4ks>fNK|*ketEq?86Bsz-G6AguJWIjrZ|lC@F0)exrsr_7bjx%z&7zKmrdS%t0Sl zlLae#qDeR@$ug&sE!!Lb;RF13UbgOtg@P*Q1x7`XMuQ1w_6?C{cf_3G1iX(9rMU3D zki^fef@_R*^aFeL<>^(wYwI{@Ct+{eh*L>?UEn%7Dt35@)4=*RL6fQPe&ql|XCN58Ut2eRK+8;6s2*_In9Wl)~0QR_7uJGXigS+3x{&ZzMpl zYhCvJ=N+*Q0QAat^9?{WEERrwsd+FDDg{3gh_BoGF_>AzOuR)P9Lk9LxR-F`o{@qz z;pk3HtOq=31z>@?Lyte(4sF1Pl3n&wqa}guQiSmu<|(F&uG|=KT~Gx?Ie!!*x)FS!W55#tzv*$GCgbUsRvLt2Fp2zuewd?u@h}z2HdwlUP82Za)L zapplEn*yk_n^tg01Z(xBo7>8Tph1t+qR5n|LI89B50^$l26zkX0K*Ks% zgzEw^1jBdm*}`3R#%Mdz>HAcAc(9#U1dDb5c5@ie!C!WyWX1SN@%C+CI%*YkR|KOo z4eeeLrs2gSEXL3!_7N|BKUt%IYf{$2e6&_r3^Fl6c`peCN#84wADkt$YTtC2K7fSu z9Bflfv4UFb+9PaGFzVhL@mD4Up}D*!e(61bbWpNVz|5 zsGnMfaIaeP21*P_zDIqX6&{1o$))uw-8y`LDvAO4z!AJ9pY?Y6cBM2Tuu}iKz-qJi z5sB4-mR#ivIpB_TYn=um%|+8#v4dsSsF6b5<_DMv_ajFdN{4+a)_)v0Ds$`zWR%~k zRGuHML2TS3Ne;f-17nA^lzQ|M@krPrY zcN3aBF$#}E9DyVVlee3mgDmDy%O&>u)y&1P%tJFAsr+y^ioWVF9b>&e4~a}8bd?Z$ zI}^6?>sP=Ss0nu`RO=}*c>lfoIAn>jc>GLrlvl|aX#xd8YtwFQ_o|pgSPG-lA?m9m zQFW_L_F$V6B5O>H%M^`{N5$JBX4zNCwN_dLQb(W4HLcA9VrzelALh zPB{Y2K{Div=CVZE*NH8LINx^{GmEE4IrCc7{1LOe-#@mdFw9WtYqUa!&_ye?*iPG?tj~j#{9Eb`S`;W<)iy{lo z{=k6)(9JMO*88`v)GhMCmB2!2Mleg!`yko)I*ppXO9F{d+ zdpMZkbhX61{zpASHH8agkPZbApMX5zlBo0U2VEv0`_xH0=Q!)i;5(Jy?v7j6pbRYq zSTat)yh#UDMw#BHM{b+-oVuJZx2TqZO|sZ(2HRXF?pPeJx(yFEQRIjEH?J`C^(XC$ z9zU=#lvRkhrlvqfhWR2kL~1e5B72qoR_yZ$5!$DK!WfjQvAMn;jtg_u-ujv*9Bsp* zNfPioE*OfLFx0Zk9NFPR*yB#0PSly7rMk_IKHdWuWlw|k59sBjR-?IN(8o zo?qn|&FE&grq?e{{EH=&|K-P#f1WR=Q79wXORa8&F}UUSh3Ftybtql8SBghv6Z_ee zC3!i#!;>ia_E{=UHuP}=b49Uz6?^W~m8F{xh2KU8Mjm5*YTJzJ8=n__sq9d48$)@i zVoNL_HoBbeK93A+lZlVli^ZYPzE&T@Nqy(z3Zt5Qu`UVhLqi-Ls5P5^LGbYsFLP8H zM`fNi^0Sz}-fHg2yN3)(^2syojF;6%0KVPVw8wA_Z@>2HjZ9xY%e=jH#SLC9re=lr z>_+Ouu$TKd-uDjm@d)8(yi@CC?UvKa&+{V5xa_ZYgaK%LC`;)q-jx|~PDE46my3xj zass&Hhzi|(9DT=$Jwc2&HCD5={w)7XOB?w_$*+jc;$azOi17R9Kw=u!r@8Oaxs1^x z7fW6N+cPy(YZV)!g_2ChcMqy0F3`v1(|CoXzXFKoWP*5;{7+~$T3kii~QU=Q6_^`7-e^s868h43nz**T;4+}J_xG`SKSPCcsctMVdZ ztR^p1flrES3qBL9yR2NW%^BW1A36urFmGesK7HUKy2Ht^T8Z~16L*lp>RtREgkHJn z&wyKDd_^-f3c?{clF_1nrgV*e7wZ<$TXmSJZ|V6XYS`O(bcwLUd|t5-B8ZPDTZ?*F z@_3bilZF-Ko0ES&%Qc_lG(F+6_;4A-l5LjCi!dbeOpF9eZzV!yME4lyc|)c#lN(GqwXvvem^8>7A0*wT^#G?(^qx)y492sIhp{=(F0W(lL@dso!Y4hf|UQl8`+n>Ug5Oiv!g}u zN!99_(&uoYv*L8zi^xGzVDhYLRWmh&GYiK(DmFg8;g&u zgBxA{jbo9<2#S=Xb9owOw2is1?Gzf#4;{GcXr+4$qX4XNRz==USab61=vi6O}3DYH9lvVv>%- zl?x{7WVQ*b++37|Da+xQ$mU>^5$~r0kS(q)8#>BXy@Q!t(3=%Yv_RD(w8Jtrwomk)1ZhNID zhTPNcgG=m}EcOBh5o8g7c|?kvW(pm^$Fg8T+QI10VTRC}TYdn>^^3(@s&C5LaIyutLHbUk2yR2p(MRld0L$KdRD`|PgI3WI%W zfIhdk?8#73jw%P|Br|bSvte%8#~6zwWKyESstF;O^lad1 zwsRzGjlzIcIdt9YfilRCM}1a_K8It1$IUmxHx|?@*n|+QhY&?iqem5t8ZI24!}EFk zica`*yeDk$fk?uf&<^Re+PeQ>^?j{=s)ru1q^m%F9qpx&bx}~t$&S zVd-m&b>R>2?;;OiphOrb_&6&rgv%M0Bn_MT3qQggUfY{<%u)FORfkRL!q2Q6jK~nt zC`MUZ=54i(ox3$Kas240V)N_y>}iP|FK+`v!Q}5@@>B3W#C4V^Q^ShR_1MpqJ|YutV&&*V_)s)z z+EVm&ulvt$V;chWIJTx*&oOB&hszaoaAt;YP}90D8{VUBN8o@$)a{Fxz!GdD;a`ZH z-F58|bEg5K&KB$P#g5b@(H+vVV?J8DIgwHX01xoF<3h5wW%t|FVI>Ta;k`aasJnQm-5rH6045)QF9@hwzv$-KpZB5?K@%#BZv0~xk%R@e-Q87~I6RUM zY||_%6>QPbF!?*;mx&z@l!bSAaurwthK-r^G4y|Cz6w~>bqM0b%5Cd1*6o6l$QR$) z+pAnhj*||KGm9c0*Sjd?g)JU0p&(c+u1FDp`&uR(Mokz|<_TOfs>FLW|C$E&LC1%N z>z8d(@lLK%2^O;$dCnV{8EEle72$}V5OsF`XuUTk&l9;+u>)2@&`0qPUZ$sikpmc= zlo0Sgqe{YdcUnOLcz?ug;us9*Buv>TD$b#jufXXgX2&?9I;i2#SJF_gl@ zjQmiP-Sm6!sgxpSc|%gnZO9DsfEe9ISzeiOus+*QG{Yos(qJ&nxSDx}l7)xzg_%cj z9O&l_>+=Is&G9s*%PA=-nd-4eC@G8fdZDH?KO_Ujh_I8WNMt;<2LwazmeB@UyRS1S zpPE%2$#fejhKQtP5Y5FMhGdYK6cMWpZf4f(6rI5kE-7297rl1V3O%qe zN2HUW{4f*{C{~6r%jf)tojVVaTDIRytDSLIaYtcKG_l1ZS4c|M(xeY!g;fGi5BqG- z_diB@#7eqB+7JYe`0nq4l|Ar&_3pfbmN@!|fk(zc72Auq+yM)|x1t$2Y)|-Bx|;P< zrTu^*K0s6EEUi@2QkO}5aM2zTTr`v+U%T_wpxWujM>z-n?@E42zvtd3c3iTUr;Jv1 zJAA|;1s0HT5L-)8_AWcy3i4H)8x({o3-*5h8Z))`hPrNdCNJX;oHl%K{3WcIrSZ9} zXKG)Bivo`@QQ;CqYn?EiKw3yHxS5%mNmhe#Uq8h{he&v{?f%}4?L4!}g;Flu&tdY@ z>3N%R>EHw}bRqf5WvF4Ue)?9KJ->}aa4Qq!ITfrx;uYwK{|X`Ek|t)fB`U7^l5M|N zVs=gX0H=i*)hSLiy{Ry9-wDiOXefh_ z?+Vzl#5)X437s4GV$@b`S#2}vSU+=HD_H3+@5_{LfC*DxGFrDVfoop>%4K2;#%4&? zsSjavK5XVb5wkP{7J}RuD-{F+EqcA1)Nd04k5IEV-2ja<)JPV=iIXy52HO(Qw zjthPDiJY()Q~vSQVMF14VAxOOXf1(5jz`QyKo}3`m$r}#S)3heEH)`pM9r$Oo+uyX zJHR24Vbg=qN_Q&bGO3tVf{`v*$&ddjgl|jt6>y&g}icg zC>zQ=D!AXm?o=F+f2ri!JW+>_pxg(*MsLGD;crI<*3u8SfH=Zv8f{-Z_v|{Bj56c} zl>E84DCaVFZK_&PM;q)LN@SRar`~eg_URTRRFcg2mrmdkulKtgxQ|(NPxIY;@UtjV)XRB-2D9H{Ybz@ zb9HLs^5J@#p_8@JGrEbXmn+z49|8gEIPkLSi&6AtQ|7!w2`XHLzP~3U;EFF0$iut( zPx2lzRnAwVvw4xgM-9^hG~^CBT!rxneN8{C;`y}n){h@V+v})2_!u{gISWh5r6JN0 zy(6&mYJT8AQR^jfU2fQbjy83yQ?I(0JnYmSABTc+hcH1u+sY_smdm9X|l$Zqjq>b^OeB})=w;KH8E5OJ#GGkj6vcV8phOj?wV%Sdu ztq<48)DFlZUAJo<|mEr04Z9BJhUu8H7H}bDG8& zZ^-%%2*OgX9OEjqL1b!GzmSUi8C73$BiA;!f=mHbE=6CqILg8d@E;F^7m`Ua>&LY? z6e`~DH~GGwCpm@~NV5uyG=ZL9(e5}*InSALqW|sE!0>K0U=K~?(>d^d##T^n@X zrH8ohqnA}+iq=Ee&IL{%2v^>mY8zgsfP*w~1jl*BZy`2hd|Fn8C%jXpqm92%1WbRR zTxh>sb+HZ9Z(&4$zM`GnTkz*~2M>emd5$p15!FqKfwc+Lw!#VX&BxxJ zejzIM_kP||nL+N+L9FIn-wAn}ccqWs2+*ntc#FDIS@=I=vq4a93MA=`;{{m_Kw!&V z%xl(2Ez}A{#znS$Qs9`#2_s9ttFln?UyHNba+{dR40rK9D9ls&E~u?cb_h|{`SkRH zCKH~O$GSOxUg9(8$}lOmpL@<^z2-(0leKIO1Lr1X3M)Tj6@kE=205pxvCo!{ zEZ$NyKa;?l3-&kT$cg-3GjfNE@rTyj7G~eE{}EgxrveFfpJYY1()*{ z@yLK3D8rCE)~MX}Tnej~%BMUZci9|8h>I9|8)$|PL9kx6N54kF*f=WL?@Dx}F%Mm>a zGB=X!4;faib?lNqly{2#T!;v^T|~m+01QFzB1aOQw@at_*zOC+kVY^tq8Q?}dNa}dT%#IOMtn*{PBwh_n$DcD($ ztPS&d#uM2%3(VBeg(@1;!uAZgVgd)T#&hFQmrc1{E8vKD(k$+)z-)+(1Idqo$8(G0 zG(MzqLltd>wlA6^DdTrt?fMbji-Fj}P4MgHfVPt|$uu=<-wl~DhyEhO#&nETx=KxI zOnS?I5*)9BK`G=7)uo2RGq4(*DKMGbM`c8DTXQZ)+#OD;OVqhwY-zcExbpN=!V8kxhXfp`tj@Pc8;h z_DwH#mTwLCEW-fr#d*jv8p0Mta6P+|QSq;nz2rTNcd=uAHb>Fx;B7mjFquI3w6V1) zc~2mZbo%^GZ0x}O0~SG)@wTwj-Kh({?a3%cVWSxbX;qKrelIDsDF@>UKD3rJ3bth6 zN<1Dz$^S*O(_AT?AFbJlxd7HF15yl*F^qypxGtbq)uYnM`QnEWWxv-LwpE0p<{!c? z1xD%n8SjmvBU!+=Y_*;uiMlZAfyL|SwnV~t0lnSt8u)C&9}&|&rHmIufPN00Rd}pI z{34Uj!6)j0&D4MtEXf-V74)nXNyB%##$s|mhXdiZ7e~EVnmeDuK={UVdQ^T6s1A9@ zJ)mXX>?7Nj7VK)!W1j8)AE>$*-f$K8i*kCoKXkWvdN~*tsu{`lUJDj#Pl6Sg`*Ess zjC*hHBXH0=M+1LaZ&JU=fHPZN(wyZoi$^vqalj&*;Z_aUuuZ5_5scN)3QwEqW^0~>NB&pwZ zNRM&-LAc_3M*&dfCt1hxGeCtwtAvm3=D`+e11Q}IqQy3%VU`{uip0WO?D-k5D>^Q- z8^{sA2n#Get~M|lk48r^x|IU= znweWdwoEAHh%GKfvZVr?ikNjwVXa6u(t``u0i_WoJslhazmNsDXo>8QV#NML%!*2% zLv}2OE~yI3+Ilp59Y7(BrH?@5CBB1L0fz@&LsAKV+|-@se6cr~eGX#Zyrk$YbkXRi zI(rJ)}tDO+uSy}aOfkp=eVPW}541k4N%tQy1M0GtmxWSG1V8ZOuPcs=A4FoKPR z3FmBk;#wC(;iPO-U2~f5aEaaeXaT4YqvlsByyr3+ zRrT}TSHKd@tFhf5I12B>%~#_?hq*IaFf&}#XM}APk4Iu5fB-b;I)r;(Cn~%rf&IQg z=3ud?>__aVYB7ayTzx;voY=p(N=xm>(i?bT(RIhlUxL*&;6z`2@num&Bv!d+a|ml8 zfnB2S7*Y(s3Of(xDB~yun_%oEC1mJeA}26wO~vB+N2$mYRE(ePS0IC zh&{u2>Qt52mQ(iWPGeFGb!wh7B4(@}t)d+|nYAec*G+$&<1njhWbTB9l`k(TxS2cetFaaQ5xzRm6hqq`Nt!O}vM(CXr&~p!}6hQm-e(=n^ zfyl7dqzjUgl666EpsqmDeS*ugZEyl*SZZn+JQg%!g3!c>1QN6oxN5cZtp@BW?}Eb! zS{6w{FQmcyTJsUG6i)T$mzUW_{P7;oM-_Wla zdy$mGXG^K584NBuwu9?yYt5;0H4B>$4;-%LtANUSC8rBP$zGcHZ;z(LC(efb%Bg(= zx#5MHEKZssGcK}sQ6{A3^OR_ttJ&}Gu5?Ke3HRXD-%tOk{+66x`9h;*?ArauwF!At zLA{LC5DR%fYMp~RDbt2df*ph9d<1KTh%YigKZwy6Zf}n)N_vBRw$P9^9-)~cpI>s8s#}Zp3 zx!n4^7~-M3M+0TJ3W#1!aJ3h0HX_(XwhuxVrHX zGGPMlgF9cs)LhjBM_olF(zgiOjKBYjqCf}g{Y(9&Qae+ zUaXO#XLCgQLHz9qagm2>g;&xaa&vtCFC{(C=$_n&0tpETa?K#Z6see!w3=~x_h+m0 zBIT4FWYnmtgTum=MXJ00Ry-MJ&99Nq=A@yGE*BdZH16ZrQBk**DxRYZQGbFH7n(#N zt`<^gjR_!kr)RFk2V@ub~!568}RaJ^}IzoUfP$~ezQ%%QEp zi>?N?d+ph6)QhH^Se2Oj_Ue8d>Bm@0hkw_RX;C~ym_9W_6AI0n!=O}MI#pIQ(0P@Z zgIWVDG|t~A-w^Rcj@M#!aQ&Czvq>VI2M1rgf;Uqjk#y(6{Nw|$cVB{d2M{;Q2C4mO zb8*u7z2|k{-6bPk2G*#7uMZwPV?kk~kDWq-g5DIIy%R#q`t+4JTHn=G)QSpCwhNMg z(f9nbGs1U?BADZhV|Q)y&1#$NVKe>;_eIrsup467WWj zx*pqg;x!V~eh3v6Q}OqI=gH2mfOa}@Mrj7gN+tTJ$8o+8!WrM6WOqgqUQst@y8 zuUU%!c>&Ge*m5jLod5DI3m5xtLf#Lm16;chze1mM}drQRF;Ef5M8A583b1jYwf zK5$Q<8AAwlzom|k#X-Huh&ry$I0k~5KA2#Ao zg%4M3f)Rr2rZCwLF%rhE3>Y!;RP8CGXDB_L#Xz~Eo2JhI5~UM^A01zLc62M|@?BqW z6ckAoCsWG*#-~_wjx(HI5s2@%pE%PDN$6t{xIr#;iL zVS>${*ag!8%V*l$@E7P4m6L>~wh~GgC-N$V;3kYat>d3~&5*c+`&@ z{qw|9D#}{igJ9T(5EBWc!WCG$+n@TIZT%gzulnvPI(z!(wEv$7CW!R<`Qg8!^Hp;3 zZ#}gK&b@XD!je?_sfeT&o*UWQoD6WA&Wx>1{0R2zB>h~!u+EMyGAK-P)cMzVlvZG8 z`M*+Hf&6FU1Xv6I6@R~jG`QBd0WIZT7m@!IJ#{g8>A!ff0GAh%OI(yl^5p?YLQAJcALhP1oXV)}cOxZ|Aw$TVLWVMD4k478%oUO;l%dQrlk8+jLYZQlsfY}vB4eh^ zQwTfrJUjQ^Pw)4>-}j#HT-W*IyUy{S{XEaT*1Ffd*1E^v@;NY;xHpOQ{p@B_4f#Wo zG~EAM&w3?OU!Hz?Ix(Wh+-t7FkN*_IO7p}clXLH1slsK@r+f>Y;F9f%@i?`wqG&BK zNl)XAnuCo4-z^I=l>*Z_18gg_K%r>HZ&o1^b(=65cmFrOXcd3TF6@9qTE~|92eG8* zFGgnOWXP`p1rPgV0j{&@>V}eO9b4KT?B6rB-2TOPZJbXisiLA88l=hkI>>jkjujx! zA>Q#sYM$f>FlLB`>9A5lvq8_@BOchUoFAop*NfP`8W1q!L$s~mK^uaCaBzN3m%|wrG_nEn18%@qUaqVE`&IEj@vFS+ zft*&Yf8oDlT#5Y?<4W1q!PWX6o1n78O;>9rYfC39Yf?cC>$^6tci4n30QBWQ0jQ$T zO?E^Vj$Pi^Ce0^Xn!fp6ELPx5p=!Qii#FOQ#hI7(sh z1K>cLjjQf&6p`hrU3nM(tCZz?%5xE#V!O~165cC<*hIhA!LEtB3#a ze3>0(Qu5F__;=oj|C2X*51p;q1g~q}va_~yB^A_lyXA`i<%;7?8{m?mtrajyLR^$o zP}SPj=8h|yn5Y=3prVt5(>-11o0jmclC`_7rL~TV0;!;at?PX)>wAh$j?PYZt?#e`P=5e>v#)B#*jeWJh2yCi*7&*2Zo^$yZNTvY52LdT`6=>6OD5 z(qEq*J^q-8!yx$MOj;0u3G+$i20N;Vus7t(3e;DL2W-j9(Xkl{XCfSWwQNeyOYq#{ zJOAZz9s^O%Rcm&|WyU{qIc*d3Ej|loBOAjD!}sSuj6_p@?pbIPUD(*&sk%SEmF&&( zKOwAmnoL(UG&FkRmS<;Xp%kn7V1I|+$5>sx3GNNJvE2o9PH&Mlw0Zv)HY_k*@-xivf0>lD0}xEQ z3r2K&Z2Di<0Qo-G1V93-Xyt4_5BnYLwSgrZ8KG@mw9R_n=Mhl2wM(4Aa$yDc@$UXc z&4OP9F`23xkxvs1Et*OEZr7Ce!QMs zGMIQmh3x3#_j}ttHS1VzPT~n1$XKe3*Sbf|j#+~vVDm4-^<9`n>L1<{sT+WF79%?a zdv;6Z0@#W<6z|K*3=aaKN7vJe{BZ$RAz|V48k6htbjg`(J4?}ivohtF!s@LSCrqFP zU_!BaFMi@C&cM9;n9CK{)}|Zxe7_zb!I%%C^p~<&jQrH~{jp&f-?fC~eA9e=vSOb?2pD&`LthZlRH?eMPXSJ;~iqbqH4g-$sUk_)%7S8zpK0C42@ zL#28#Snz>mQM=#pXI3S-7Z^*Y!E)^-GU&|>CO?9l>eVwZ+{lt6=-ZoHTYblwMy`v< zvCE2z#zmXT|5i68+S>3t*x0`(A}8mU&nx5e;}8FgAe%n%pCO;7c>e9ty^WE==m-2B zfHU!HsQH}e#@vA6&o-vfy&9g8gZ+)%hukpV1BXZ(04k&>*W1&}>zuh#scq*OpL*OB zZ$HteLt~Uyk7H>sK)P#)?6QsDy3KIQM?#?=ipv%UYZg_h>d6zZ8b*C)QoGiDhkyS3 zS>kJ60|1l{DGGeCLgvR%D1Q+W8nl{?%^TU}U_tMja9MT0f`D;DwBN2}A)#rFZ{)qN(r-*~QY!YBE@x%blL1u=7itscL7Y z8+@49wI@(*`q8;v97aS$N~Q`<0P~}eCYO^l7Z5@n#qfcEFl9I~Z-v{)x zHx%1ttDe^&l=_3G8(ln`j#XZm$09qkJ2kO^z)L**{%)8h}s;H3FUM2JH5@@4qz1)IXl z%fwc`)yF>qhE^5fEF|bJR!_2C<9m5$T`-M-k+A}@@K+PSW7md5BdmRKXKfPjvk9fn zLg7K_U=ux=AOj%3qfn~D3%(mt`r;V76~OCRX7!u@Fy*Sh-s0q2x@aDpTLfE$*_yld zfiP~%LftWcb}GNiyc=-q0nrx{Yz7AhcfpY-y*2R+2im4KGz)p*eIg4`kb7Zf z6{bnm13vdm_n0Mo7iTAOa?2jSq(-5}SWq`Uvx-XZS{OQPHFwGx`t3JaENu7Jog*C4 zKO(o&6^X6H-o~oyP93df$U1jiA~=kYIxF-Py(6=OoOH$L$@J*PCky5>t~RQ!l{MHdemhbU;vr*2r7eF;ZzwyWHlol$&pN|5 z2ph`raGc1fu9BrBjGK+1VqMn{<9AAi=HcS3m1uO`KZ&|@cK*~UZQCZuecAduio%L~ z!LxxQ&HwrgR{JA++q;>{?k@DlrGUIKGYTUm8p_n?7?u1+Mr!#i^gMfH7~w5y`?YLs zMZ&N!SN!wPLl~7PcubSw1!Pbzm~8bd&()Ly>ya(q9%~|JrWss^$k&s)dB9xDVl=5cVkJRdu9jivDA~HOsSDu*LrPyC}>viea=$TT~4J!Pn z@^O5LAJ;88nB-B&YijEn<~s})?L@V_`1btmdc_{jy=gQ)Q$lbD`6a?g;^ADqk{F5t z`Q(=SHS?Wf4kmwPeB0R?#&*Ixxu&S2Y(t84RK$8`_)F_NIg(^b0v>ZBzp$BAUVHP$ zf#6Gk>q3mFfVjB0;ZHN!l(P?xE752PH1)L7+!7^6byP8}FN}=z_GX*6ym;~A{rmUr z?Zn28IM};Bs4(&I*&|wE@tQ3rI&%EbU7Y7_{T9ypL#v!g^S}3QRw}h(UE*!6%?uhD%t&s>9++$|2Qd z_AaWOoposVPN^+#q{sFC&YxE^rP)CkFN&085g$5KGuzOcp@xPqPb#>Ya#T)7SGN?r z`Z^w4pD27^&6hZh$S#CojzV43>*Lk1>V_|^J%9J^-DGR{382?u5^{m$s1?JE?A+?& zIOLh_9uar!&o~Yx+?ms6plkfWVhQ8MiY@EA%;2c2;>3!4!qAF zTwzc1pUyLjh^f3twW+&$`|T=$P^4?B9p-LcPL8du?a@<)Txp)x9<#kvd$0Qk2D;6L zJ^%3UO#){9_A+39X^Bq6aH(Ve{OQRzF}&_h2dgEFuW#L$HdI(~Pj^q|gp^^l+}@AL zpI@=j+o1>12cv7lE!gOarrEC}(aG;wS*$6k8`wI0Fhd{it5iJ#z46#7x%Dk@azyXfHx@gRuF4}YfvTnJq zgo-nKqP^Mm?A_#DEqVkqLmLO)2Q^N8>w~?SF}dp~iEtQRX=$nF1-H@7#l<4YYN(px zrVx^lxNc%nY4trOJp3S4qRXe7^W$oV`tVc08V_alEnoC(@;?&6Gi5_4^r>Mny?|*= zK23m8Jub4HRjd1%`Gp)7Evz2F_27y5lQM)x*X6NP4Xv%M#H?e}?djNfxM&s9yGXpx zB{UH~0qMH)sUkLvFio}me!1cAnWxHqM{2};Hgelo9?qqjR}5DgwYmK1b3`5I9D36~ zIQY_&7;2hWBxFTIXeJpO%qrb;l4O<}I2URTd}H5qp0od)aQlRI?V}3KCui>uy<)ki zaRcr%?YpvfV(Z972K1p*$`zWGSy@>X6&1CYsxm4H3k$odWcN460g2Rj==Z?LK15?& z+Xrae{Xe>lhg7JWn3yzdQ3Ayn2H#b+`a|D{h?1B*bq8Xf`sb={*uD`oIQG_i7K_z6 zqN1#fX)pXo5Uq?qrtQg$Mit(5L z&vjJS+`5Qfpt3==@HV9!-Ay$cU#doNVA ztw9K^ifQ8BMW;_)PEgHPQ6X97;jR(@u(oHn1)w@>ZEX!Y3|L(H6g%603JXm?=nUC| zPCRy2QHg;4mx*`2op4pi*U{@1s+y_`{i?U$5{RScna>LgclPzo@aH<&*)g6xxj0g5 zAzuw}#h2;F?f2cpVX_|p0O?03Q86(V3FjN1p20e!yg)98Nz*PYqVt<5;VZuoWvE=D z!K5nHQ6+qLq*?}$+h;ns`a+XaQVb}h#OU6spQj>@rLJF0=l#BVIV3*TN?RoI=i6ivE<3heJWcp^ix8 zlUNLkJ~_{_mS?~59@Rq;7pf!dqHCpEiiEbviNT$rRzEGOFG2PupDf4j!_LHmFHFM8 zxg067f&bq$^P`z5dMjc4S3!MwWt)9eR7t0^&H zJmQW;3mGAYW>xUV#Nr7CUp>)o*cUjao@F%h+QfIGa^#Um z_HxpUJ{PaN){Ab?7b8j3+|nesI)v{?tyBBYPw4!;&oh#Zqr*S-b>tp2Rj~qA|Xf z>c4_8&-iOgU2+b~yJ;yVx=j0q)z)#M0uKD4bq8aIaUd^I@3yQ?%lbvoY)wS>_W>eno3f0??rd$g99y%Q zsySXniKlI4x*Be*FJpe!>}5_)FYdXu%_7}D9vZD?a(qZSVU)|Vq5ysBou)h=j2Ea9 zzHO4EXXJJ1lrVzV#S}M45o+<6NDhJ2@Aq0byW8r=vFkGalX%Xum*RfjjPrW5=Pk|G zcsK@;f<#*Dl|LH%l>(RJ`i9QdTeIrMP43ncUcs|A*g^7v`@K(N^$r3rc}`cyDpw9y zCK8Lv-$E!RrSYYX>BvOS>M&l_v?^_4M<2uMG!3t~n{4#fo=>W?5`9VNxMOQrvc_D;W;OB%kE9d$|ZYz(>rSV&;Yy^1mMvIaOq>gpe zr`Q~mvU%#2)rt>MmfZ5NTCRp$$*DaDbdV*_o@D#o|{Si4}l@qLLqzvo=t zSG0T{(ul6!o-S5FwnA2byE;?EIKJ%hp+gsw#{4V^I{0kysLTP%evwy0R5Z&6WjT^>oVSjR8*@-!S9|v6 zodjDDey#=$%DqFr+w*`_SUQr-B=&xI@rX4WQH#s-omcGpL)6>VZK)q{04yvP->FA+ zq~bNV+w6@p`%5E1r~Ui31fSM$v-v69gEs}k5tZy2S>~epTe(6)0aJu8kzOAs<4b)_ zNaf+UU9hcr?FP@){b%70Sie_7`1u~i)b76HWlsF~MDdoo?eeS>iCzG{lcu!2KELn% zxn*$k3wv><$U}opls=;Mo+a*=yEbbo(>-Fj=sg&(p{N?wEh2A?XX&Ra`|HsnzK>1X zTR6t+>Iq&EBCTZXxRZO$u_mrQPD=TD&4Droz92@vkl81=z$kYneQ)s&$kmsWC-CUQ z-$$6|?Q^X6#n0Z^ef}7BW3KnHXWHx-UwI=$S)6th1me|oVxZ%BSp<#9c%Llw%aypF zxp`DD^Lr-)3-=NH>XrCr((;MM<}*MidOm}91TK@1Domf=)7jpb6dbp<82hx-%sfrnRnOD9YpqNj8oqw z$yxeDK!WTbcYn;W8fo4PoM2M;Z97B6_g8ve@y4eVT!Yi+h83NZraCJd4KhM`eZI)| z$4lnk$;3F3BpyJ8(@9hWvXLKo%gW+2pCkHMwr{+v+vI!Ottp0}LuyF3j^u5HvUXO~ z^LlDXK-X4jkEr40H~6j81NY@Oe^`>S3m1GiHe+GQfyh4dGvAINx{R!q{yDi#(k3VV z*xmObjDcu*ZDbR1mowYo?tYZ~SR|;q)9@5GvPbDeZK)-3)#Sha_B-USeW&_v=?yER zkNuBTqgN8T9dBTeKwdlh~bEq1hZhn)s4~id{|>PebeZlOv2xu3%7OKj$eO-Urrs?>%SJkXyD&z zWtEn)-8Q9+gY=wZ3WR@&)B9W*NXr+Y&}0aNZmrO_2$6(?O=)vgAR#F!>D(Uy*0#t8 zmK>x!X`!rOpjqupy1@yYWQU(yQn7u=nFUy zc|bT#uddz?cnC1`y^x?p=S_#BHLyKYX8(LWI>*wTPO6RVv{1BbDizLYSGWeXBmkZ9J_3BQ*x_nLz3sW$jj=HQj)3EEN zmYDqYHYGKKN=4hFr%$QY6r6gCKKW&2W!;8Eo6LFKT{ZGU*5G^`e%ja92W3*(fpArq zdBc5RGMUWo9d{W)%uQz##i&cFI17^OzqcR_)mfz6HHI?F%ETu=gmGs);$>b_=!dS2Mr_0te79bo*;5Tq3HbUxW*s+D<-7aYkKoOc2B7W=@^(C|xr`$`WE z`nQIGig;&cOl2+lvdNLenrotK%ZX-c&ul2EjV@Ps4A0KynQJgh$VyA+J#p$Q;c5&# zcKkSaxa`qr%gihc?j-LV{^3yUGFwg=N8^Uua_Td0U-U7|w|3aduT)6t0af98d4>2G?m@}2YE0* zYh^`6*C#+k(b>RGPiu*TAr5Bh$vv3Y>rHaI4eP-I#W(4aKqkm>GU2cW0NWoqpFMj9 zwiysu8F6q=+1~(MwMg@eAJ{lZe=dh0E2aN}m}xtP&;e6ZKYI}s&OT)G1rWsDI$88J zaMH7I9}=f*7Y=_gZ8gzb1Jm(+LBX|!(u)@mt=<)1q(|fKA!#SxG2X0T;vjWj_LTb#v%@hC!nA*$ zXi&MLEfy9S1qFpgg)2|>8@99?><5dSbF5~W<^~`*yruM^AUn#)*$})tt{`U07bsQf!}!{deKe?JHiIPE~TF=kXh2QAFc797|pn!?4n~ z>_hv0PzqU`pC$*d0nVkD_lwn6+HH+)rKh#@CY_YW{YRHnC8F_y@`rA=lcp?65hChIAnBHv6+Os^2 z8|=Y%whZtJ0z@p>43As_##DY6mVQrh+X!&i@n=Hlvpk?;Bh!M zHjvhh0>1G$SUk60H{EGuJ3Xj~H%t!aoMJxdYqj&4uQY?*D&>-DIpQHb#ycq88e%qg zBf@hA9KLVI2tx*2!o!nuZF!F;AoO^GrRw`i9}{Nv3Lk1|4RJn(KfRypNZ>@g$cBep zis|zOWE5YTwfx3JZ#!6oeH4Y^eKkIWnz@W`Uzw)hUgjRBh*yEt4I9OBL^FsH_j1|1 z_vcsbB`@RhT0R)a%B%xPD85;R{ ztF-swi-)n(wzOvw0z*!Ee%I&!gtG7%zrvmkguq(l& zqhKO-+7Rd=QxK#5Hj!nHm8umJf?i#@gh6x(!iagZ=Wq5n>2B#OHc0PzqY z8cgbb;qpWFM%|P;!50G2syz61!c5w$$Z$OtF%S*vQn!WOiV-0;7$HW{*11phw6Bv= zNK@A(gAPB3U-Iv)IQPqORKQlet`x}<#COcL_8y*@l({-{2}ZJk(|K@W3(904?|qD4 zW4H8IA6?Lw?AWKWF1Djf%KD|siL|YmllwK#*f3JB$g#z@{Trcw3&IoG%ox5V8!Z8u zNJluYuYP?hiO@fxFG)8yT(``imj$Yg*WrShslYBoje8!;==;{r!!jdGAE#Q7eVLvL zq(L4@#M-eGcx0*XdygI_OX&E~cElFhVO+Sor!w{yxmS9!pX0x(n+3}W6tOTw)P8Bb zefS_{Jv#e|BRN3f{iR3j0zT5qp{$O7zC02snPcn+!H0)>h(7I-Y48U8qwjdvIHMd) z)arirs*>Rci>8gyv^@yJ_Wh*PDWr#OZXHofjWR1Xe(Y1}z{|RJbsm5H3v74Re>u0$ z%RG#4lYio=Q>Di;iN(4>987jvCoDE;F2t&J>ZCK;G48IF{@vMZ-x30PKi8 z6igcAx^Q#rmMVJ?p^AA zIQ|9?xfZhPaF8zGR$s4T+bt7XG(ghB4a5*4qtxE~-D5z$iQ>y7AVgMh8hT#F!Iyjh zr%7NkMYgssslv>&;YS*F>vncc*CJ<!ssG)hDheEA1fMnDh8X`>8$!#%LQYJKZn7HC zk_|TajpgTiixqwTd?JL%1v`bR68oVf3(mRO!MLoh%U|Wa!H+I3B$Q_U1uo0njPB~{ z%7be7-6v&EBfh^AZCIWgzV+9OHUhW){7VU{U`k-d;{0GIY1J3F1St>KMoLyUi3Zm!8YJN*w+ib}6(#A-sA9R5tD+Z4lQ74-Dq zV@~Qr>iW-dmec6yg3Yl=I`oMXC$O8Ke>Tdna*$>gZHd0TIq6e$#5$VMK(`cY+>k?n>Mdb;_iC?@g4PXIAnnRx+=jaX*8Ox7@!>Su1j zg1dkP7e*SA*T-O+AkBzx^Gwr@v6~Q{ zPm@+{C)61-WcGus*pHl+mX>eNRX&F9AA|0Lkae9a z2l&L=rPr@tFWR&1snMc?R~ug}X7(x3kU|?Ttp&?(pfKiKHd^rxn2+t#w`g z_q`AO{rzxtWi3D4rStkO?sAhwl}Ptr4H0N+odZJvI^}~4e#HJx$SlvTC6?6Vd?>{G{IND$x)s!2J;KpU`qA zIPQ6W_8=oZ^mLxN$al|k=&cIvGnjaLFqthCk^C4!HpAQ*W zg`T}V7Jfb;rPz2JlDHfL2dOW}^OVur#V|YrhY5s*g&}ooxMUk*UXTKHKo03Magd=Z zz4Yb8aGlscLo%cE9Z9Y4KghijdcU@Ev~T$+xGp`uL5MgsG*r;6;`B~YXJ;q&X8pHs z9K$JLk5ni^Vz&$Qb1D4ou6MVEhM-juulxgGEyGO{>C)K4e?#3AusS&lu8x?!b^8J8 zE=(2TMt&8XaURx>S6)Syp?HuLC3P`kB=B2p9jl9Wjs~7|F*$?jwQ5vrjo-Dey~S*qD|D1rCUUGe!9%{IFq194^Jf&2 z7LKM;ppWHlZ)vP;6vZB1LJqLR*Zb%(6)!qQ)UQ13RC+=O_<1Cd5RpQ>pU^Hz*-WO$ z`Z0x&JZmBh{!HK<*L%r2(Cq!cjS`W%dOX@ILzhGRj zA3*TR+9+pI*ns~kG4+jZcXg2LqRJai(Y#Va6jMwyHu*&XdE z8lycvi7-emBga1lvfywsjX_E)SkbB{^NcdqCGPe(QFAYlYx( zDJDk(Ztr-4ACy>}v8<)O+zGau@5vPanE4X^6QS%bu5EC&3+;ySmD*9>!_5hVh|AsjYEDbx9D^G{bIFvNDx-n|{=~}Gz*zy3yLZ~qWH*Ss z3XTYfTBBm|bElUTTanQivqV=*ZGi7I5~e6g2MO|uPbOS10ofkbJJ0C+(2K#9YUbW;UyB)F}3GV+* zDv~!x*srF3UBI6se+fSVHIJ-vW14^9@k4&sBJbjH$3msvl;zB?(7l?w(1` z2p9V_5aIYb5KMe+W7ZzvyDs36m_K4`1?OPusS{_O0=W!8SsS zXR}T7v9%-LIACsmWgTHBuWrxU_PRq7&W#t0i=02I-oWnoDS(Pis`>a@CnYr%URdub z-`HwS%MXMWkDiw$0k4P>Uiur!1AJP5R*aQ9ZYsm@Ds?OqA$^A+2$HikH(K z%ksgB=a*CwC4ADqb~CWg`n9}R;@r7wimHDFgNU%n21q?VU;creoV^&)PHpLXaWha$ zGaiVB0}~&%&VIWhmxvQCHf3~82cI4W|_?d&8A$wX^d$Q#6Z{uK8SgWc9=ufZy{=6hs zWB%3+j;h*w%3|WMkB}nFVjik`1E#y~KqdjVIz+-IM)HTxUIn;r$TW)+sPLi~Fel=< zl~D-l4kg}q!fUqrZYgWKi6Hy&)9PQaH?!h|Dx0Pq-+$Hg36uApd)5BZGb|mrUn>Uz z8Vi0sTLRGSe_#DDSn*(JSRBx=GW3=^k3bM3S2OvFEN32mVe#_o(Mjx#~9y%49{9_s4DcQjK47TT`~~oi7OjuWu?9auwo#R zQ`gT>%XMX5S?!+>z{lk$x#V{>l^Av9$~CL0yVtTUIFBjZJ~bn2fA0e%d76IMfJ8OO zXH6fB2`d?F);bug@o9vVL39FON--)ta!qB1qAw>02fe}v;Mq4Z8JNDq@C;OA=DE2! z?Ymc$jPBl?W?II{wLj1 zPifv3B`0SWe&U)+?76uF3g>=cl9;2;5nL({)*R4{p~v7>-x=QEX6u~qUmYrx^_qZC zZRc4+HuLcOtk>*T-=2b79Wv)26DZ)FFg|&1ACl+(#gMt-D|?NbO*bD>H*rjMCO{L1 zzYBf|vFIyN%^X8gn%z-g^6~TY2aIEc^a}T%FiWWoK|x!jdCL`oL_5hOQ%I|*ym)il z6m^lbK!{$}E*QpL0Sl`oTB{6dL z(!hz7OJE3M_2;LjNlvk{uo%=s?iBUprIP^~g|HP=YCeIiJIb1g0F5iRIZS+nChKg) zAinZOg^i3%RfZ7aNDPygU_5FzXfTQk+>Vr-flfjAk*}j_1!sTc;%-O(5Bmccr>be5 zTHzT+a^369};3+AINtLT(?;Ts|lqZ74Zp&^aA~7J1mf2;q_GJ}g`_ z^ibu@*|SWHjPTj-n!eeOY0>foi2}nD@3zH<0FFtwhV)lTLrU)lPfX3(>iW=N)eVN? z|K5^_(}a&bmnG%o-hEl*M6Hos(!BB|C{u(%siG|`F)^{V^;)5auC8t;d;#8Gp z4=W@CrgS&(nCk+;D80SCiwp@vXJDNIe)m1LtZCk6ek#k0!rvd4mf^NGrf8335DR^k zdzkzN9^6`0a@QE>bnesm`+&SGX^a0zPiDJqWaq2tpbJ%RVtDu#g*fH7$9U&?O(gP zRrWYuU>BbHX!PP$9lcwmg#kS>A{xmQ{vsWs84iYEoLCXyH(e_l^X=zv0uK8}gn6uK zv)(pR8<)l_Ye%`ek39c9hT^4EF=VZ1m&Auw^acq{=sZDh+;Ss-CG^Y8XH|zWMpm%T!~z{h&K={)LJ z#{2KL!&4!761x1oBSAK#nF~IUKb27tSsMFIA1@e(;;;k)T{f>y zp2jog5#8=)+ajm`^>Dt%EcOftJ_4aDM~x)Xi#^!=4~6kH-sXw&!v7jhGQ%)`b3p<1 zJ=<3sv?nA6gYjgSsgv0iJB&VA^(yPd<-Q8`)2R>5@P|7_jZENW`=08i-@^n+_IdiQ#9Y!QxgXw+L zR3N%8aOj4XD4AXPg8_sN?}=j1X3*gwa|?S&v8F3sGCf}6E0y&AF0_KU!68w*I4-p&70vnIhX4SEPkSlNA-c@jv|Ku$T0Xjr@zVdT>HmO8!XSYIcli~($yv!7_MQj>V;%>_nc->aZ zfc(48i?@itq?Pd3f>MYk1#3}xBqQV>uVZM27SbL`L7rW+5{19sk8_7O&Bt4GUg+UJ z)7Sd|LkATdWKH$ywCHJdTOGutdL5Cga(T}kaCYP?lt%c#Z;eE^cwapV8Djykn+&}# zEhTW#jyZnnc3s!58`L?=;T{;qGU^Csj?tST5t(H@;rq!`ffxN(BXtzv;LXI3&sN_c z`XRh6aq|;nOr{7AqSOd#%YZYWmVxXiu;&UAZs|6}zgT{`2c0QEGR1ixNA}z}6_<|8 zawUzwmn*r^YL6vMlGK@uYu`F$zF1#OQ^M3s!{eq z85kA!>cgW&Iz5V5Us>gAN8`RbRH$f_Flg%lXOjyF$_wvvqF$?(W%nh{E;NAM$ryvQvHk?Hch^rwsLPXC+$m1%8FS zl#hcI*Viu)utlxDo5Y>{t1HE+bu%o=<&4_F%x5#4KT)6_Tr;a$gZ!ML^xQqus~hLP zeh=$lYRQiN_A9!%TNXw6O14ODql@t;t#RvjSBk*FNbV(f8DHp<{A-A@zJBMYQ$EB# zJ7!)HYV_oCthqC@?pi~&8A>Z+d#xOkp2XN;{3O%sV-m}!uHp>r zO)8Ya1J<{0w`O|D0I8_!K`5Q?QK3xT5kPw0ngPU7j_h%Dun_TKoJB*?Nq(A ztNo?HzbEYDRD6FB4_cE-J6UH0xD#u#-D{!0W$jq3M*L55(Q=7RR~ zT5B-}QxX&v@ry}}MY}1r?NL(I20Nd{Xx$zLsQ@lv7RgW|c_Y%D$$M8a$hq0r)i1|- zmcF_3P*q6%wltVH{w3Mi;>G*o2}+DAK$$m9r;Al8F_J}wXFHVur4E}{Fl*I^(;a+3 zR)!Zo#I>gq z>stzWZ@;Kh-v8`csDj+AXWq&v=PR4#hZ2;|L=#e%d<^3?6}Nf$)YhKgj4TpWD@OK2 zJ$qykBrCD?+A-qORu8GHO==i9JAX3T+n`=~YJcN;{*MQlvi$wRv$w7KjXQT*uP+~< zP&2eHrk_ocTePh5p!p_NZL!B68D75s+3Rr_m7#PhVbQ=wn1&&B{Qs_Bp~aL@0ZP%{7B+u42x=@#NXbk1sH`tPp% z)E*AKT1piCkP+lkdbM2X!?QP@*5ry$bzp+`?~b!3%94eBpS*Yf{(YAbF4LO?iM?zY z)xU}%`*Bc{CRpa&4}#hYaZ&0;CCa!OlNPswmQ^G9T1abQVq(%?G5~Gv=T9-o3TLW! zqhD)Pby^kER`l-T*K6B^4bsF`jX4}e;5^UFUzF9xlq~dedFz} zV%qix9Mvl^L$i+=<|xe-ddR&Azcc3uLv5$|rzcOI)Gm#UjaUp-Krv6mLfZc8YHwVK zhUsxslnj)D1=DLD4pPyxW<9x<)ZZUaFa4|sbwlBU6qE9&UoR+!cimE`8e%)^!h^eC zv0R&lRI=X!A4~C7L@f-NW=xxRXwd(qK!>+dT_7PhKi~1r9g@K%s0G7{ z;c}`jriM|`F8h}4-cyki@PUL#Okdx5(%Wy}$^Xjo*N~D~n|11yxOg$gt_FCzF0 zxNQdEp)N;rpEdo32EgWqyJV4SHuk9#7t$gMvWgG>(o#SD<4B;85c(Yt8xq_JP|*+h zx8P1*xy9mtuE8mLxVP2=kOVn*OQ9rZ@mV;F_I|gB?T5kkXmwVCT51;x1<#KTaqon5 zCZ!Hw)(AU}BEcT>Xb@K<*h5OzK)jGq$a^pTaYT>!Y!43%eh*%NNW&Ce%+e1WD5&j3BTkw{y}fi zVZ40I?;6*|HBzdBdiPfj`8TWiQg)0xGZ?M<#X&0(%CUx$EYea&tL96NTx`KvGN>DJ z<10$n1Udy?*0k!%D;Tq-fto;TF6r4g#3^+}FB*lW6I;Em%hQ%9R5FcYAa%#bun2e# zzEr-CCw26r#dakLQA#(xp`mYgta5S*@kgW{ab|j!x@hk9IUN~7dF=HyFR3|et9H28 znE13(PT6;xl>LYBiVD3$mO#MqSDuIbMM@T5aL2KBmfI`m;9tw|nQVW9@jj&9C|2Ng z$UnB^bTrL!!qc2-CI+DlML20WsH{I0Q!sm>*t+?^DY?nCf8AU;{sn#@FZ7fc^4Ql3 znK3FTRYChB>@xdi4zq*0Qjpq<KGG4&mJ$`nP59NQluk(aZ z8l=%bw9e>j;;fr0Tmd3z5txfU+J~C z?dU#TQZP%v>G-vrsB&I6XsqyYURLEjYu9rzivyt7801k+l3zA3TGo~%ly}c?0e;s= z3l$T52hTdaDV01hRFQ%EVRoW4y%?&5HYA}Og%ayz8h;^6W~rth)Bew2 zAapnZ&q}(OL7}(|4Si~_gyHN8Ub5X|X5b%(y6hZm7@e>vTuN~_nG*w8xkl0<|; zkpAzdjMjD>mD_fSIPVY*iY-&9gRW$ItRC+Q2g;t-ulX|?tYrSa?Rin|DZkL}^Rq*W zj8w!ZRnanVqx?kN^3Z5RE>YV+(PW0*Dl{tZ=GonTh z*BeI~mae!VK?4*@yj+XI_6y&V+H<6XwOrt4E3x$Wt}FLw z(-eA%mpb)(;%9Y+x>btYqVeu}m{3bs^2Clvc1w)!-{B8FgMYvFv?6|a0@TacidHnZ z1hWEk|IV`E*J4I4*<$mGE67BSVrclY!=09m@y0nZ%K14I(=Rg${rql^x5{ZywT|n8 zjn_t3@isJ&v{?}d1<%FVA6qZ~@{?@VVK&{a-zq)=CI)IDQC2~BXDv0!b1?Pgf**EuS)K?4G2!si zwCJClIueowC`Pk@r z!i<_siWjmL09DbepdVB5T+h#SE&JfPnK?Q9^nderLknLtA`C_R{%0o~kO1E+M!2At+OlQvs6H*FN%ls;`tUn&7#=>`AO3EG(l}HAveJVX`3XMv$3Jaau zQe+^{9%_prMJTI{O#ZRzYFHh>oY>jhCpHtl#N}$mgn2gY{7u#RIch`@#uU!tl8x8x z;~)W>QVNP^<_9kxe7c$>mJk&R89+=I&!H}~Cnyjb|3|zc3wrGh6B)j}`dVT7-_o0G7Qdjv za4Avl&X5wtTgqqhz8N>Kv@ox5Lkwgv8M11w&kyCsH2o>8sRmn)Ru3|m#C+^f6ZsZ3 z=HheO3pfNlQ2?P3)J&Ci-2RCA608*c{2!{U!A}u<>Qe3M6@sv@&CMEsOtj&P6ri8? z(Lw#XoTlQP_2vyEFG0qV2873YhpK%{szxA{$qHoUbf^-=|INbqfAFsS-~rLYaMSPq z4p=Ag{|#WBn25xG1+3HSNuI_5)*0wq=sW6n4`8ByJ96~7Ip|-0Fn;;z6}qMO>Z-M7 z*N-Ui1(_N@E5G4%=nshzkHXXOx7Q1J^f_L}pA;s$!hWttYa$@Zqa%;!+UF8;hp-&w zO0kUh=g7!guia{qYMYv@9`Ld$SZIE^bFf1dv1onU`_#d=n$)(1l>0&q|6`N2%bloE zy_|Efzi=S7`v(U`w518@qUT1x($mp_OXdFJ=%;VrzFF-4qCZ$-J$QYqq1qj;u7YUV z(ptoUEdOubt95FBjbF`}h2K__MWf$#5+I;?TNuS^TI}@q#P1d7o0R$P zF4eoIute9NJ9lnnFN4K%XRZtfb5Yl0qN}?y7Ru@=BLYK>TP2>bkzGjyu!lbf??wsl zd3tW+Fw6Gi-qnbUi5bfo>X}B%?Uz58sM;7#+UOa7N+G*B3K(mHCI5@L_YTMUegDUA zBvL|=qRc`OMfS|d%4*mnA!S68>{}``nI$PJA~SnrhU`f8h|G}fwz%}bNa;h!sF)%&w!aCN zhhF8VeTChw!$#-;)=~^0?deLa!6VE|p+x6c00@P(?jK;g<>h6?U?w_~Po?X9)>$r+ zGB7iXL!^oJ+v^WzOsc_T6%U}^QXGtG2Xkaox7aiX`;tJhc4Hj6?<(jMOH`%3v=Q-4Q1}kwojKW zHFA%bg7|pNT)sJ-);GBcubG|U+nsw{(Im*EWxdl7yY0Vf6>D(%+{KMZkY_W@JOPD# z9#g7IvGSx`nSRqco;?8X1oe0x0L~VYwH(#ze#I~3>#?$&%0)jR-jBSmZp z4sMIJ^y74Lkpsi?yL0o+S;%Wy^%d@`xv`x?8tWSP6^*4$D4rXCg)mQF z;54v*v+Hs^4{YkmN1NDb>sJkQcD`B@2ArKaZ-z;Xp#UCu1`-R0QsiCeSSvI#!<^0z5w85QQB znA`%!8IjW(ZlD@JCRS@Rx(q9ae1mpP8OSaL+!uZ6i!+iT_ysCF3!fD1|5D@Euihyu zW3}1Y7hSXfDr9H1zwc-M>rE&VvMTWI?(ER+EUYfweGdGSa7*UjUNRWk)b1KT_con0k&7lj&_SUY zP=v-_RjdbAv@w083-OUWjo%r<8*P=;SmFV;HlGToW;;8Rk&)3dGt(&!xx=d?Sey>_ z6@CrD6YK^qZW|cjA*_FXZVpaz2&cg7K-@0%fha2^`KU4{z3V z%&s`^*JpA7Ci811O-ymw{oA^G)fi!RLtUazH*atH;L@_n&+*-dlGxiy#S}`jnX+0Z z1H3geV~)iteKEQCft!UgRu%{fIFpUex2(!8!FUCZI6!*_n9rhJ~TdKSnnwSxnhAtQY{ zgVhs|5_Or6nqg^L;Jv{-4OUgdH2gfA%qOq%y=ZHE^$sdU=e5v*k2Te(qu|d)o5{P> z!FA)+^6_`qIcx9AHs~*U?vShm-j!!fHNx(0OpYtq(gdwiRBVs)<@@feBufv1 zN(az_qsZBD@hIE;a42K#EbKpdi6M~2Z-voy(m=*4Ek;2PDo4*qH4VXrT4&wqN$+Qg zx@r{+UQ5K~na6KoknP`}H~k>$K4UB-W@j9egfiZ9ua4k5 zkL~^m9?dob#k4P(8b2hpR>#1=u;eNTE0b=zDRakhQjcC!2H4pux$-!Vo|^w#P42Pg zhyH7Wk7J#T`WFm8(1jqPyP44+IMdpa;d^Uq^y3p@2*zA#d5=NieG89MEwHR5*ULzs zxm+v?tUCJo`np6>dg-j-qQ~7F01Q)wodlbM2?VITd`=L!>RX&UjtRv-(oN)#2G;@f z5wCP9fDl4P(S6;c=cNY9+zNk>)$DAoO}cF*Y`oS&fldX(GL9}O5(i_VcgY4eKS{Ogyfz1u*{*l!oME&7NFbz8*&Gj~b(A4};oDpBJ zJ`U1uf^4ks8(do$Ed=MUyQ|Cd_d$~o+;6x}xC4}zs{uw1`08Htm{Rfg)Q?_pX1t*4 zBG0Nb72*r)0JfReL;45M^6nX~z)5Xx`3;}{$3L%I#i9a3PENAh-S%ac&P!i|^fY|- zfy09g<20xWh z`Fi$*p(o1^0f~D%_0a;$IFAn_p(AH0$SN6`{QxUZ6AaFQ(-dFd&NU^lG^a^syb4Wy z+Xo5X7)>AdY(h3w#+%qn+Wwvu?v2yN~JI_X8|Mu&&)^&5rhJVlC z4873@Kd{FpK zKPQpAUj|?d2!9;!G>?-=_EG4?wMpWRK&+HL1S$-9Pb$(&(uD1YaBMwq;Vg6h`skRTz2f1eC79$OD@$bUl z1=f8md7DQz`8JBS^Mm;01Cj+mcYsau@;>{iEwBASgR;W%(b`dkGiMu>7KhR;Al;5Q z1f3d%wt2Ab>z5A4vfr5MmL5*KhfzYO^?d3?49}g}7eX^BRucLrMCpU4vbxuTa^CKT z6bUPUSTv7<<5#{G-8l`femT>6nLx zCt+kEV;MFu4ui0py;*$v%)2+v&A4Loq;stwO^+P$<8SwPllXJ$`Sa(cF-r|>&&^m8 zyxi%Wbm9jFfA}wsvAK;ey;dq%A!2*!$b8tBia9ei^~g|5dwV+ooBjB4ChW&N7QY20 z{{aC3WXBL>R?yA_CGOn4n<5pBV404*^55U3()mrW)>mH0P4^Y4S)+2@!SSjg6_V2Yj{oI(F)a3 zx{pQcYT-A|SzJ8MMp3UyB+hMh<{jtQ`Op!n@DQ1Z(7C5b^w0fhp>6-iifj7%C4hHt zsDS|SamBO`4rUn92>u2*_?lXP1=RS$Wt^Ly&NCDvLPOfanan&ZZ9F8u8lo-7f8c=p zu_>uPV=JRcs*x-7AUtbpLH)J#Xawlj#-=99SHC}9Pp-KRw8-Ngxd^ew`o|LTw6{#k ze+GM|FrCqpixKN-Jbjv4EpaQ(pv*PjB?iF-91(Y08f!?`0CgYJ08F)m? z-4ZIyW-D%Zc$7Ie8rYSckv@6+I5jhqn4?lh2)A~6N=iykjzwVc-%IQXnCPXqo-3>6 zb%yek8_|;${Xg3O;$$#IL5|FKAwQIEs0sqC$1|xYDg9?4ty0KDaW$(u%OuOl1yL%A zXCbjvV!2r$p_KMj>iXxhvcb(Hrh1MnJ_skzH7_4(2kg4P zpQU&H?0N=oFjNT5^?6QU+`VCj4*S~;Wg1V^PfR3jsc>q<&<3Zcrj|I22mFq0pUV5) z2pAcWNQu`i<<(?ng_|5!0?BpPOD#=Y)v#TxUTy4yWPP9zt{;Xs0=nP{uHgP+vL$#e zVZ;>b$rccd?#Z7GuLQePbCE8Df@4A_4apMD&76oC$S=D{ozIIoqqKHe2f~HM#`lZ3 zB5$m%u1>giamKpmJe*Wtd^7JpDGn^F$87eCXbGO=8957Cn#V=~gYHbPsOy!Epaj=L zDki$_qD@q%H$4|RZs>$}n-LA~Z}(_xh^C`7kSfT_^9zI&&ElBOwl)?f7OVR7$s-?s zPUYm}G&ZVK<92sFm!Amk2lmRC{rl|wlp>b*OF^4}#73m8WzmTve&k3`_wzlgzcn-{ zYi(ud440Qb-}@ML-L`&&BBY`en# z+f{Yh-OX9@Cp=42I|fWXCfZHUXWYRQqMn>jw}u5M65#*w-d;)$ z1VDZKIy}9>eePUiW22b3cx@8`%LfOAzE#Y5Ar5y7t~}Y+1#`7Eina zWjgq0ov23+X*7zs=1roO>4+A4CUp zCU_aT`fx@{h>HD5iX0fa7UOWdjsLjUDOBnf`;9bi_WWV_wRzVbD8Uo;@lVbQ+6A1Bk)WCL!$G4k;GjNWwhjjqcJ z)R(dZN1D>7%6Ymee$?;(3TgYuwciXswZTuDg8_HVN#dcx3p%=Wr_4>`?%VFSph$p_ zZsd!)B1El%PHle|jPc1OBRSU5!ukP=J9>W{;`zutUhv!0%Gi4Bw{cfe)#Ce5@mB0_3zJT0yw=#?^Hp z&t`>+2#)0`D+UhMC)5xrf%`Iq=WxZ#jxh5bNJ6&!i7`cW3zl)QZ8588Hpr?s|60C! z5?Gd?*PQKMiX!Jd{R7~zJ||I8Q=p5F8fdco>N@vAa9K}+Mo(_vGbsE)w&c!(@8@S$ zgU#~KXhnNAzk$A_-amWsm7k3z9bE>0;EwfjEtkfZ6YyKY4wi%*%5#vbIelk_n%T|P z*X1nbRshKpNLxiV^3j&!YbHkcv`6P8IX~e`>Ia};Ozh?lhz^7=uEY01?_OCPIYQ31 z_bVuT6XOD*eWDWd%dkSYa&8e+l;?f|cKG^UZieM+40F%+5u^Jquh%s$a8=r>88V1L zhF76GkPC1SSLRsnPcJC87d$#!_jrZbi=JX6hk`924YisN(oG)6v~9Id$cfixBu^kq6{y2j53_t8 zlAq2fOL5&u4W|DD!bpT4KD+x&DT+5U%H9F`)8cXFN|?V8v4P#!VoznMUJbq~-S@-E z>8rbyhL5rm3kzX$WIK)*V9hswe1r~>c8*vH%n~|O!j&7BHxWYhMdk;-|Gi?*LXI{` zgeJ5WRJoR`7Lr|>)N~?vMxt1Bq|njwIk@*!eMl98^96H7dtPH^L8phrnIc8)7J-MSi^~?ZP<>WviU}mM~n5{Q?c%70! zI`YEJaxGgJM{@&IY=F9{7(p~FYKW>%_)acD{^UFDSSvtqVUCHYxcSH+#p zAE$sy#SuP|5g0p^X%>ELcK`h~%79E4KoZ>dzHVJ2IUvgTZB8;Xdk!fsS~!W4?}V0E z?6e;R0i7hqZ-1phLQO3j#2>Zy=8@9nF9it+vL&8@X& zFzExu$D%1&iJ14eBp#R5TUI9rCM-`$&(G|`R&JF?QKDR$-nybd2`0x6PF%<%ddPJ4 z0!$0I6=d%sv|4lij;yAin}`X$6@JH^N~)WajIkCMXN}~ysPzf)+M~M&eD7cmDt?SH0C&v-G4!g}aPwgr4m) zM1{O}=Etp^6jssgKD)n;*~Q}+`#oMSv2fcDUu(eE<ETliPw0=wqPfi19R@x2!3`vvNkPDtkhC$OF#Wlau8?C7o~5J*m`64g;y zHmdvC0(TR(F)mM3T978}Kr>qEjN)^6-gmfp4M^wDON zM3W(NA4V5`SKqvOb41)2+)z3?!eU}pJL|}cBH#(7qobqZab5WVR-xr-wpM444$VMV7Pq>Jygj3>9nfg+?k>?iYI*05c830$nD(|d zhyg5uTNL!a0IJ#KL&6M0+blaX!wG?u z&d(j0T?q!?`2W@5v)j1(A+b#$eU-W9f-0N2l$t{j}!tv1!9YYrFlf4j1UQg=fa zwv!6`$;g@-8zC<-^eXRzv>uXPS`o)eWl*5_B=Y^IKE-_qq%86pW4ior`)%Tk$?vg- z@R3m93J9|6Emg_WdMA|IMT`ruA}Tn}C*}d4G%NCH#2d3`A)2k7YkxjX|j8{D&0%G6} z?7Q17AaMfrO|s=e_Rrdhm!tqn>LK6U(gFc%Yt{L*v^4NDuTZtohfKD{wwBy7$uWK` zCtrpCUh4jA_fb7hZ|$)PzP8%^v}}WljsLQa-fhv!({2aDwCdF94Ys=~^916ceh!rD zh2ogs$_3a%Nd>t_Rh_cIL6#XrMh@`Gpd$`Hv^19bx?;xFJB3_#nt){l*Z=y-erX?F zuys4(=7ZP?B4{@``J@4Gq>R$s!4SLmPabB84GMw{KNSLhk{B=CX&3@7>T#&#{W zW-D28b`89%U~d`O#5ofrepR98^7dmrsiUsIj#IZucu_l}ymmF1<}!2!HEziClZ%~S zj0^nNU&eNWR;YQVl4@1UeESv z0-`mtZaGMYd08&_2gfzc1QY#95c%FipLfhI={?RC2rR4x=Al3@n(aHOX;X#LlEd(^ z5PbY$@8cJpuV0ujuDR0MGaA`c0tPMU<5fBnBiZyZePi%#5U7-JWR6h*57VMb<9a{} zHSA-}j8-M1V>Tj55#OrGiL~?C#>!8q;aGtbB5XmOWBSwGM>QbeKpY^-`L@~Z$;N8Q zEH_CC=PB@W!$gjv6Va%jWu7uo9B7H!fSd39SJ)fP&R9i`o{V!@ZHl z%dWHwk-&^#aS>=UhH{;=Y6k{%pDy2E7Vkf|NvzN|b`8kls$T%VL4F~^53f^*3LImQ zPS}A4Pz#EXUCv=kakIOAdZJkZCPjx%iZyfxCi|n}3NO(5CQ2;do?V)*^e;W2#?Z*Z zpZEA%XKXciCCk*5z@k4L)2s6rF;%Enp!DnF^UqIVd_W#R#(!obrJt2e3Zh0?OKxIQ z2PT1PFjYrk5`j@?WI!Bqq1Lg)#q5XUjq`ZeaF*k+s9My-nTrmr`(siqRnjA~h)!z@ zJkL(Hc8OTZXt?${*X)3gb@x7IOgq2PD8O0DMCzRgDo^c84ae*!XHfD)X78|5fPCeF zojs0{!UAUTl26_khEqT_0ba9>LloB`@-X{XJ`lmf0MCal?B4YY?{!2nk8x{|>2R!YKkB>TwGUxFmcLv{rzoIu3aUSj}S zkfOAI3*PtMT^fZPsjd)Sp%8d82WV$sXDH!h)5N}#rIiQ_a7;^{p0OU?{UWo}w0m-L zjCJ%0N?nYyjl2cXqeR|NoD1w!^mO!Kd}El=-(u(HmPO2-wQ9(MOqcQus8Q#Ip3zo; z{JDTqjCXilmMhPkKAQa=MgX18rQ)3rxXa&Uj6od-((Xkcl7V^=xxc8xc!h4EXZ_u` z#pjyeH+AJ;C8*VD-(e^Lf3)|88w|K#G;BY(9TAK8Wmvy-dxeif7vTEcCfSb?UyHzp z_THd719Jf)A0li{7GR%!NEdzv1OGv{ZIWi;!0yFv1~QogGnA|#*+=M4MEdSq z2OK_x+TH`Zp}T^Hn&2LqA*=|s?nF$1)ZMFBHoNT+g&yXKnxP_asr}{%q-2E?3$XCe zgQog@{N3z4BF&}|LVHvjk1*R(ENTWb3)mNpQ*d!cwzgIHL<1eVP=3~D)63mqAFzCo zZVIf!D}>s09Vp3~%<09uONEVWP^*ipW;J+0Q*CYBkWp6sk)T?gm?0C@FgJmqmswx( zJ@e%eLTHBy%ja-XPnwWx)bl!ZEvOEu2Mi|$263TVl8Ta5?iizNd+n7Pl-4)l#K+|J zAe#&U4}_E{Utz$fFxtOAIs+d`nP#(^JK(RB^!NeZ5NurBzJBSioUkZ8LQl`3UW(m4 z>Eo6|*O0}Z+w6^mrOGb;>*&T7p?iZaHh{Ab3vg8Kvd>1qQ=k{w4pA$4%BkHDOe@+Q z8;G_j&u3YFUd^uQtVT8vmq3Wlbp%HB1^X7(br(IrwIR!*Jh4xx#`};}B%s?x3n#{Z zS8)3*_$5XPnS_=v0|Xd|+2^-`3c25xF~4$psQHVrqlOX_OV0lozTW<{?r;K&S5=85 zBGUrT%SJ+nC|}UpK!@W33%Q1M3_zK^-n@}Te0CvzYF2A3jIY3Fc0DLybriFJ_d{X5T6$EmR0+h%4Tik#u)Wy4m0 z@=M9gJQnP-+H3icE(Da(e?mhPm9PdSbPZ?!FF_&0)+2Ni@aUYF$U0WsNAF<0Ise(r z`Mr2hJ$;iUwMg;n_O{w9jv;d-Y8^pINlAc#;WLxKPdn5LVK_sLQdeB6_QN~pSwJ(a z!9g*VKZ66H!NEVki<_FCH*rvsr(HVZz}qj)rVFcxdie;tlZWnRA?`FHUIaO|)wuY0 z)(2%tNwwbt&Cj!#z@v&TZexIz7~?W=ViBYDDS!%Mb&>9ZTcc#{h2cE0m#Hm`5TyVi zXWor-XUd;KDz49rzr3vB5M&O#r*F`g378C+ft1-FnJ3*HapvY#B-bhV0-aB zAgkmrQ$Whg^5GDuvO7YRnUmN|S1c#o2 z&Wm*P1Z>qtH8=T-F7Pz<%`|O)s(&mB1D-dH`Z7?Yr+wo_#H&}2oSXt8J(gR9A)d#_ zbuvtkDxn#Qs`JQ>vH2sfx!4>C4i#!MmFNxpfGq`#4 z5rEU5Ap<+(C4>>mH!j1p_T}&*oOJb(pbI|}crCWtiXbY+7Fy&q`?fFgeN+?;pSYCN z{pCe@+P~Dr;6NewO-JrRcF5reCzJOn(B@2BCP0X?orJF7XxXD;TJ>#Uovzf=3&r5~ zlQ^JE&-fd?d|%frop{#V66Lb|qX*={ee_E0Gn5e0HVAvPWx-N}c0-MJ(*=EKHkL&A z@CwPI>a}iolO?E0aWUY4H?r9aE_{aL`AoNqAY#xggeq@Csp>gN@+5S+Xox|U*J0z( z&tURw(Cexf0c};ZSj*GS(2(XH*OI%C#!t1MRxa1Qw`?%4>)_>NtG+Df1&3JvA^}p5@c0f%E|A2&-%R>_!FJN9vGlEbI|# zmMJYQSUb&Mu4zzKnguP3oRZKrOST&o3wYO)fk{v^FsgQ{F1zoD(>xLXN3~keZ4!J| z>?OR85Z20?3vbx~j*A)?sk3Wmp&NMK2X5>TJwvHw2YiJq5Qh(91VA--3I>St1U@EN zbZU#W5dn8-?Ugok8$n5>S}UD{wPJtRol~c0WF_3)H)}R!EA-`WM4+weRqmhTwWIp@uO^MChOpvF>xG@t z5V|@y1e0(PXNtQ;n43bcu5ClsQ27Y-iEod!QKDx=<5)M$fhxCr3qFY$+3aH=P&Bl5 zPSWetrdnvLA- zR4=C#S@`;pE#3$3zrYr233PULtUXNz=AxG9`#3V92J-L;f$?s1e0CAhJZ^ja0qoD- zfEHq1RV(b8pTRT+hc$no@R6UjSI`I--PwQHM{QU}Sg`EQu7qH-sYv)RsA3A5ICJbJE%mLtxZ%Z1NwE z3MK+IzRKK3Hm-_bTi>7s)eH_avW6q5Ypj%@$q@tWc)ni>*LutHkj0Rh46En?#G77w zMU$Y5(z%Xye~a?m*Ace0u?;bHpgTNK3f%Vm7q}i6yNDValZ$;`^O zUUCo|AEJr@6BCc}V-4aLf;L*vhPwiPw4`S*Ye^On;~$xc6bIi$WlfbMT3>1R@I!Q@ z&Zx-X&vK`Ry}@B-)Xx|->x(L-blO*?iRTcuPg*`{WV4ROKjLdv*oQxYV1&*AlpGG4 ztUnB?2DB3Ir&=^%+$PB1lm!<&PDk0?t7$CT$EJ^J2xS}hm$okEyi8!R%Z%Blv+jKx zf_z&X#k?R72Et=zcS2TLN{Hzu&4S9NlS6ue`AWuRpprSkIKA=4uRZhtb*0?T?3dsk zAIEWd@t`CPk2e~+1C2B{o%qW)s$i1P=s4kc(=mLoqvYQqcjg5x_~WGUA{;;fH3wqG zR}BVxG5Zz9I}1QUPzA~yC-*2xWlq1v*ZvqL|Gq@Ay59EE}i z=xYK}u|`Q?Fo*>xH{SoV@y0}BW9yCB5 zrmVCV3VQ;(dtFEQnpZ`=ay=Z5tFm9w&xz^{_h{4;SC0uv(Y!L2{X^S^JSJ^&xjbX9CsK9aoyj5AgPye9YU=P=2aOdkpJI*pD za<3#9=2MzK?3(t^N;J4h+Vq?;m*8hsWRV%WhU8HE?kEpPvp!v0e>lP+z8#&< zBnW@*E1xlvtj@rGIEkJy(XMWZ`4b5l3(ysKcK534;JjHkZd`4OtJT706>HVX-Q)@ zUF>{y_dQ9@Z!rI8U%YtXXSV{m$SXQ3s;a_vzif7Dzz;70Z|+d>BzPSX3)NmJR3#!O zo4sq}4{Z)ZoBF9bz|ffDgPi_=Ku}g&ap_Nw66S$vz)^_pve&`3boFqz^O@_1ZH` zNv+KND&sD10;G-$hRh5MN4mix_*<%EOq!_o>#2_zgy8_ul3Ws5pR!Vlf5qi7i&^t4EOq&DGVLCC zhyObYlA)ik;*Q|X&k{{J_T9cIMQZ-JK8X3AF$vA3Q!^K0MQ{G}fRv~Xgc|`i^WnqX zg2&e%J;DKE;4TquNc`nx20#Ad*x>lB%7PH^DKnpiIuhm6N5B?*ICq^|E2h8Q<>iDR zwZ+JqBIuhzHYo&GZA9VNx8QgBi9uYe7#&Ir`GG@PS7$$^LLS`TsF-sIJ))?23?uPX6kV`r7s0%no zWMEm0@OLuVOl2j8UM?oWtuprov#EDP*I&7ae*P2u`0Ep7$c*9o0DUcNCV5|w&0YjS7z~4V zFCRHeQeeu3=!0RTh`Z(=P(`wM0&a)#E=7!LPSH_*Sn6|>0H;7rIp22;6DQo+8DV|o zTFN|QQfQDnN{7R%vqZOIaR?P@QGXo$X>dQgP_poKA!`nW2MgiCfA_6i5<_uI@<3F4 zXpjWjl*#)JoiAkXXyQmIxZ*urWb`M!Qes$hU+d3OMQ1M`V4J$iI?)9N2_$`nHX9fwMsH5?w#3H)~IA}c$N$lSms^yMV zLlHn$9_n{aRdVPRq-4j;y_vSkks8oE(#b9?Crco8%I&LlVlegHGK`^_r7H8M*}waw z-=pd7ska<@ao~r9SFDN}zL$?gX)yNwpALO4fEnN{T*($VaQ3m!-xD#8 zrZ@Ig*Dl!mp^eIN8BY=jB3_!HVnn8Sd|bG&ma&U-rMxaxM> zFSu?2ET!}eHi{0PzdQ_CNcVkSz}qaqQA=#8buVAv@r)!JCWCYd@w9vVu|he$nL?;v zHAiDRZf>jUI?tE=!$((jews^Vux>2U0n{rf*uAGcRj6h+g*w?WCfOBlAycs>geS$H zgV6C`pv)1{({)Y63#`$WKb8LSGNG-`EB~?p{B!`DS%`OM>`m}7zpRFOIW5#;)>%A% z0Vd1B`Ni-?Pxk1eR(o#NgG&=gj=adGff0Lp?ulXqWJ66w$l0|pP%G|Q2hf{^Xto&^{ zNI%p(E*bT&Q&`#2n1^JBt9h@Wmsew9=zG(L^>+!nqsj*u^2>heyFjlkgFw}eka&XS z8IhR9q$fhc(q_)?#>Owotc6t$QQz5^w2+U}f!!@Adj%w>+Cqk3`6at?(U1EG=Hvg zR#FfhtUeGL#0Bfr(yaU*astNuQ2)_QrpJ~>j+%X22IoI0r`>ChqQm2%cb%gKj+-BcL155zgOu)3(b~p0DXF4a<#PxBbj*xBY)ntG; zUsq{k516LGykoKRZ(hq|(31l>W`F+{*VVS4lG_|@G)c4KRas@2;eGJ>w>aHdJNaav zm1vn{w{=Z>b`^2S%fFoEU}5TQAK7LjLpwsGXa^jruoSoDr&@oF#GSy{KPlzDw*5E1 zSjM0uWU0_CnQVWw_nW!jmQMn?JaF~ezcIrMJ2w*F^IuD2)q6Wj_C$4Uq4<^pva`}Pl1I^C5@>zE8Aw398;cfD&hQAU-3C*D_iiCEWS_pJAeJ-;15fnog+8}-7@v+aWD z0pE3jLG~i|<1mOJ7@YP$*)h+e*Pr0i1a=r1`jAu$C|ts8YKT?&PBA^1C`4$s$$+7z zfnuOVlTc#$!IX-JVXoKcDw5pxz0X1ja?gN7CeeKG%rz5@#%NB3oA1O{)Sl58f5{^ao%k2RAyKiJlHg2L`~?M~ zYNlje$JNJ$Vv~+r(1w_XsV76t{5#J8K`Qp?uR*<85)ZwBO+#L_I2A-Woh#(xxM!nxCntyNb%*SI%Sum&>YL-t%zR5{A=7Q-;?O1Kq-)2r{(8zc#O?=j zV8rvBoT}U${rIoVSf`yb@Y0moKUiPyx6X0Dzd#L}l$YnYJkg>cr63W9lqc;kY=V`N z^Yja>gKg(8%nuw~`O&+-_wCB8c|?;Em}21%{Q)7n-NeSmhL%1H@GY9JLzRZGO;5u5Y)*%QDvc76}9lxtKo07x|jsItTl6PzvROS0Zc2mn^i8=WWu(Xl% zP2qCq>MIMj2Qiuo4L8Od)7h=K^Ut!7sL?+8Cl>Ye>C+K$cmqj);X1rHTI1_`_|y@> zu>d-#4>?z0)7w5&vGP4YT`@vvk;_U6s426U*LGk8zMqOU>D{=jeWrUFyOf# z>V`L7Yj9BSaEm_!}q-75d7KXPdwequwh(RXzvaANFx zri$?~495Rcz2Q6H+-L99FX=pW5X**ol{d+WKkrqWy=0b-N#pGlq0vgXOKWGj`0+J! zpRHProFQT~T2oaR**EJ~pa#L~F_Z#7>rH4t?c; zM8JZIVl*Wsw-Ap8L)&~tuflY6Y%DQlrz>6W16J26bSJq3B2;yyi{BXgUcoM9{wr1T z+jVpKd;KNT*ils@HT$cqm`d&(cI_cyeS1ZNg4}AygU3kC$&u{0xk~nP)sX%6-*(^U zTUHIpFa9%HRad%KLli7Zs38jQ=aOsH4-3a5Cx^=7{ZPGX{e6Gf*?-E1^gRBt3MYsi z8j{H&v(RP4pEIxS#?70jBwZaFs%VdC6}#@^i6X+R`@YVAT#$oq&)Ygez=(VR;a+*R z2eW;G`5FLAPZNBCnYnP|EvfvCE`LRrI&#%J7>p--s-l+Mv4J0l>n>W+hG=qU`SIH< zq{Q1&Vit1Q#QPjfLS^{U;=P{f3$dTmY1J=J{(7;D3PWCayRkm58%EKzZtx4U6Mon# zmMgL-qHSFJJ~mnnuxAKZ^#TqMVkCwM2bJvETKCL^0M zf73&9=8>LQ7laYFy+7j8pRTV0z~Ob>6DQh?g-B1+9+~%!uPeVT!ha>Yzk6sd#nxA0 z3c5Ht%YogpFsHUwqEJf7Pu*@+z;SWX!KDX|#fd$> zP|hs~_A}hyMEchOT`-^P6cOe^TUR%d1{fK7Im$N?sAH1lUO&T%!3-<*sn>Vau{1JV zt2o)|%f*7EePO&vcWlfv`LA__cuKVc%obQu7|iR$p-cSMC(h3MuFP)il`dm$h2zRX zKcyWQ>Ixy~*hHZH?39K2@H>G2*pd>!#2`xsX4-a#ow7f;>1n^jN!`85VvOd6o$}DW z0_P=aVLP%rXbuJjQ~UFumovsxes#+Ao~|7 zy24ayErq;Yv>w$f+`&%C}y1zdvDsb3a-!QSBoE5WvY(NU?YR6!T zs@;`>c-V1_N@C_WH)6%tM*LWi%6!9c>!*ugiGX4{{w(q6W2M8AtFOQXx)iBkt|dc^ z8HPI}v6fZP=YaCfj9JLw>%i}(hD8#eMj8*Cwn8EiU5qcRA1j^Hvu2kXTuUuCZsx|o z3k@)4vpOXrTHSm&18r=RGdUn+c$$#qgu$%!rn8>enULPd>^l}~!OKK2+$k^^jj@|@ zDn4DSVXqS3c{YRTgP_=X=}@Gwo&kW!wIE1VziXr%Kpz>HrMyQKInwTss9OGObNKN5 zFetaK;clu3C!_{qyb1$8v7UK&M%2^RJ-tA_e=Bs4Pb4hMq!T?7!Xnm`!LzFbJS)VB z@&Wbb(nTUf;f5gB2%kcEg!6k?;wGIiw&Z?c*k$4)F8lmgeyw}i(V56uac2o8gdwat zM#JI_uxp+i=PJGJ{ApV|hc@?0_z8cP;*9JZ16_8Ksio5lYV>cgCwP$q*xPz6q8w*| zE-EErje7${|D8+#MBs^>zkcnVRye2*>!Delp3B&A*GdTJeZueDoQYg28G*07-12&r z7CFHw0YZIXS#jGa;^ncUAoDPlh7ug9iW|NqH1MDQH~*Zu->dWS@F0F58BOp#c3L7n zQ5QhR}D_5>ukv~FX=d$w(D#cr(h22EXVbs}wR3AW7VHR9z zF(;wY8bGoVD|&i*xOj~#JO|UXi0m2snHtZ8D~E~$Xx9w*O+@bFraLF}{NU+HKW1X6 z`dzWQfjNoH4pa+y!&6Y^{H*IgREwc`ToHzgWBMD6`}QqEHLj}a@}gW^etu^-+Pk_l z)G{;c<^jI6WsJ+m@^a(E@<3mTiuETqjO;C_d4Vs`W)kiR-Ofl{1%#?2q{~eTSKU(?y>)K;(8st71^n*?UcB({72a^Mw_xGZ)wpeCVdhA|cm2_AN5Wr}ZA~p< ztvdJ2VW}d*f)sp87WXXgIthgSPS(PWQ|#t|`h=@X6kDe5h&R zAZKT5Z+G9~z9WkW1>gT83E?TVOaDp4*+I$|uO?ks{witFW~AC!cxc^pO5-x{$iGDU zG(A7=PN+pVTe<5gP8kx_&xdk&u9955bNSd`UG_13a?=5Cj>MFTNZfJrMFXouj7U zxcJq&m)O@mJg2ILE2r<$Uu`U6h$phNs#)WiUv+ey@ZE6v?t;%$tzRl#juB{WE^ZxI zlUUo5n8(fhe_-5@a+Rc|YV1<<(DuiPT>LV2w{`4#R@rKwd3hrsL*{MzgsPL9Y_`SA zX-F)}tEx5)ZMO^=0vm%y9CzzvUw?naf`;s(_HwUg z)0x=KVEm%A`nu}&W_LyT_-li($rG#3G{rn|X(l^gS+EOaSo_VDsc5N(ii&kFP6%*F ze7;c%Xj{L(Y<;b81mq&$*lT@qB$(sjRitA8%#;LhYln9KjvcITisT!5)NRzscX8zz z^UfbdljGmJD|T`50tq+c2M~BQI`u6Cg)RF@(uhvQW~Wa1(ks)7A$%}PEciJ9Jfi~mFEVLt%K^y1>nyJ8Ow++`P9@_ws6Q;64Kqif0-+>{*j;%1e58;Z<;^_*)KrG zlz!>(9U!cBSMBgle@g!x$8ucG*Ozi%x5xb++L?PC{uEF;{Fcfvn2ydi;-$yfwa4(F za9Hc%^DMr$6^CEn@PO+`RqBx77=TJBo@1$4em{S@F4lR(KRZ~IRv$lYvXeIb&~w}e zXeqru{Img&Bv(FdrNZ^kZ-1XZ9ZNxXOXNtv*jP1`sQJcgCo5O1B=J3G*U2)@*a*;& z#B~g(U7Bo;3YTat^5p2Y`OXVY>yerKLD z_PsgLh9Y(aQgc_dxMr`MV@YdL_FVbET!!m1i1*$ENIb^Wd=04$^N5T1Wc*63kLTdR z&6g)d!?y8uJ6(2^2>b4+ zr{(&YZAZNr8^Uj4W8Zc4^@+WXJcMB}>Llim@WXCIV)ZgyJOSF!m^ssHdo=pM%>f+a zso#KoChk(tMQZ+t?ZN8b-lyD|2KS4{0sG?ky=DY*4abRH;%taQFz}emS)+uu%Z9ef z-cHYL2AyacyY(o_CEx36x%T?E>cMik=htqJ+5GMpp#E4rg0;qZ zJs+9gO4;ewW79o9n(kn{UK}@+@u9M-*ja^GjN3b|{`D8vWDfH(0Q+WnPKpnGIy}s6 zvZ0b9tLXYYH1o+eAAY>zbX~>P;5>&SV3Qm>R|Ye%jsw=tZ=-}gk36~kRC@jCn9`${ zE3b5nKgDRD7mW2-?LC0k&7|5~+z(V9GmoLb#F<)A)SK)sMRtaL%+G)HHSq3!MpYu0 zrt^jGq_I+>x@8w~`jnZi5)s06jy43m={9ydFS>;6V&~6PQu7v}FyK9`&Z%qb#-2Mf zCOXn5w>@rRc><4}f7{bKwiz^YAx&eq4p17|J(4jPz3bOy_5;R;#>;Ks?A6>>DTC!+ zzJcbpnVoO#Uztk(CY4~4#VAEOxY9nS4K6?;vJrFM!|#Cbrz4rHFXMCj(Sn&=lFq5= ze!B{zvK0Oe*_)kB9F~A?nH{T)Bink;7-{Ik{6lHzEJmj5(0LZQi_3PqEfva#0oHK$ zev2iiCQpzBP0R3?liID!7J)HZ6!p_LpT~g3Z{V(}4&w;I zREouF&2X9!yd+Qm#F|r6_lPh#_j-u=$(auu$f#Fxk&c0^2{~B-!j#vjB;sVcdYi{` z^w7yEQE@S`u!pj*L{@S;ExId~#Vf+MHP(g5VlOzuV#j|WXUgGopJbL)H6q4uXdGle zL^p4;J8t5+P(?PnsdcFQm1&C_7K2&3jcm2pQ{kD+>qHyK<Q27hWu8=cSI z&*z@0_31`xE1(vB2VMA%z3*m5;V%Zm9Yn5YMEyzY{WjTa87t{9rj+lx{WZ?kUC8d2 zVTMAr^XAr8H5NNl=*cZAvYshvmVK+rdpeR_`d8MrDz{GN$?jYtOd>KoKo6;w9ju=b zo;c!re|+aTR)1I`Op{tWttkGef7Ltyoa#smIto6{_uB63E*Dx!0}$sgt{T;8I#~$J z>7NB}JC7NI(M?fzC?Keo(&!wPlETQ`3A!fZ!rKr>2o}Pob2r}uRN273SED|o+>z$1D_}|RCzuU+T8$I7? zGTG&qfT=kLT}ZW8Pbrk%{KL)-DA^PfaG5=NwAcg>t@y-TXcv+K_|ulca$JT&;ITo6 zrt``k>*IV_QQB}hjq6~QhoocCtwQ$qtH^3` zl!y%Ltp5*yyQoK*Yts|4?^T&%EUuP5-ks5S^c?%8f2gdZKyo`Rk4K{H zuFCpjr_SaDK6mH%N46%4{l&l1xK|(h3JBx>+SCv^kIMBrcOQ-P^S(ks`g^c-cTJPJ ze0SAflZ}JUjEiMU!-?|$u=bW=S#?j}FrYMuAWEnpjfjY(iU`uBbV#Q(3et^IB1(g_ zARQvzEiEA3D1y={jletSKCl0E-}mv{@AH0nkHZhL&%M{0HEY(ancvKsrJbj8>eqie zo2}Wj*?g+)9dk&~(BN)sK+)zW77kKu;tQSixBkw5>~`}?Qt?j_t63BkxlQ$$(BUa7 zL-QGX^Xz`AQl7v65=ff+~dnLF8K}LEG1?S>+0XJ%6W39^Z=VAL--k$dt*T z&*h!_*6r2WewntjwK}OnjC@cvi-sa^(jA6>5)l!{eTDtLs&f-BNq*AYeMSYEex#w~ zi$~QFOSccXSyruh8961;Pb0d81TN>ab!czjsZtPi{8Y(57#g9ce1<&9US6A?U}>g1 zL?|Ed0V#|24Zoi@+~>$ckRMV7M%)~J?|CYO^z0}|6I0bqChhg`|LDTJ%QS^z@&b+5 z@AQh?rxFwv?J%n2fbIaJsZ1P5EI|?crPONPGLzzwxyH`>g=I5B-ZX691}HH1lT7h^ zA2hVC!&lxoWb{*MVs|6`W)`MDx>1du9bIB3?OKYS;Iyj35D7lcNQ4+em%p(w{W&xj zI$p-L$p7VRxs5T#h>*eRSYAJ|FH0Lha7N0;{{0%KcV5^UaK3Slse8HO`_jE2S?h^% z4!yiEVj^bbiw=Q`^t)5Pcl0}2LYMh$9=Tl;_LtiG!j!5XekkvlsLR6?X>Cq2m&_y} zYGHgv72)Fhv>x8Qr)e&Nqv>U<2Kv-v!Xi~DiHX?kOQ9$psG(suH(sACV_5aUh`omN zf=?)}c}Yz-gz-t(D$Q7f!(!5?7`dEAy2%W*U>;(~L!mjc=4ItF{t=^}1^MFjqR^NF zOmK;$?S&4DxMUg|c_6J5;Th-csA#`fYh9Azk-p)|-_s?Mm}znd1sBfe6j^;rq+)yW z=!Ns%A9IqkQV|Htn6GtNn)=IBt(I;3a7C=WG1k}r0j29^UEAmR#jd;xe7RZmt!g)s zg;v^)d{)`~iSu*W7eI^>!xTj`7yy7wT-5DGm5P^*f!eeoo+qfPqx}5*;MyM#Uq;o` ztl4NHi!-se(FY}#$W3Pt+;V6QFS-2E; z4+;{){jOBpPklQ-XPSP|TLF;Z#qY29Bu}8gR@bfiNwBTFPX2BfI43n``VnwnsqqMe z`q7Fw45|9sY&QvooY%5TByW?tB;3I^3|srNw!b+$BMw_6E-o#UdDkp5?vNrm_#<@s zXCoAdDsyEV^aa~r?+%m~rd1>HpHH~wu;lFalb)cJqjGfzR0xntA1>W~MW+g1jB#pS zhwFGBYWk8qK$+stH$Ve7KQM-E0J0Brvx(qH7bQ(UM3n@|dY*jNZ**=0x>mLXj^9@d zmQ67-Mn>2tJMBRQ;cqTY>bfpWHAT4Ru2AmML1hW+X9ZKZ`%pZdnB?FMl;@pmmPsdj zFj-+ci!>4(zSyQ+VA8W+OW|`Ew)W!Y;9IzP!0Ee(Q}?Cl_quetgNSH=chT@HpaMCRr-Y0dQ5=<>PEI@?ddD z7{0(vmy(cnq`kbpE`LdRYh>gO6@_DEICgMSjo-r`>Q4J| zn|8-J{QUAdPM(;EXz+^5!LOKSnlVAF71>R4Ldlr--Bp@<7x2@P6CqD8ayH<#r}9> zNhi(8X2KSBELdICaN}@wnwFq*Gpays!1%N28kbk{%k^{zr#jV+#BRUl^daw*c>eEx zo6sj3SP`Ays)vYRLdiSira*0G3Wn~xKHf>t;T-^3^M#d#x}l=TT%MH~B=h~`Jj!;; zN#f+>RM6qvLHVH|wz6x9wRVB^C(HGZX`t++pvU1hU|@h-ao=B+_uPsADG<7E0mAc< zL_(~Qm80a-RF%8!_{XdmrzH0;|McVG;Q?lL0Y`2!+=ds%Ac>RAS>f#t2%W!9a581h z+Ha^RiK<_mn+yl^hQX|SKF}D*O4+g~t_&JFewTHLDc1K&g+}E;ws4R1o}cZ()-R}% z0wK5-k8y}AZj=ZL3L4$l6Kj-$h47+QeSk))*7q6I4TE8#HYy1_*S^T#wLF14i5Ox$Iq2C~WH5wa~J4fc{!CdbYPJwo*LD;ZzHJit7 zG@jN%5<@zm(%ze>4;HpN#o6KxI!Kd?!zv3 z%@+7*BA_ShM!pCIGzg>-vcTg`9)nrWUVFeDZhXBPwMmhj4gAlKE7QtbzqnsP9UQ0! z0J}d{m#Ia~!DgmgOm}G{PLLSVM#olczs>2LLC~qdI0s8BRx)IK3A#MLzCSpW8UNTG z4NW(+$c&%C{27XxH$}USEvn;X)CKpA2z9KLb8Fm8-%o5c;1Oh_0>P}@U8WKS*NMqr zqZk^*B^%v43ct_07JPCsD^2G?703WPs`KksY+vOKVsd}vwf?1Lvx#b}PbWL9?CCyD z=-xD;w+W$lJxbK9I0$L!4!{hQ$!8uyzpU$ZBnA@-uh$?Vp!hguylmpcmL2ZIh21(s z@Vvef!rI7zF;#9;&vo1~uPbjrBu85O|M^11WDD@Obvi=y@_b zM1aJ{VDN@~rz?5sJpX(m!!&ksdr-ujgwgB2+E#(Ksk$8NGTsoQ4Yt(#@I=~eTA<*+ zo}ia{;VLc5iuU>t_6`1t^JH66sL2#}LFt&?kcde8LR=2Dei}T^2MB#Ksp$1`I1&*# zIsDB(G*kfn{HMsWCX{%ahS}$?-#a5~e$5HZEZ+%=)*`S5Z7lIB5#dQC3ZJ0Rd~l&9 zp4N-n^F5!hFC_M&gaM~xmC*W>Qhfh5hv(0Ls?ktLQ5^bkIX3-Dj76A2`fQ5A6c1otlCMD=CHxYa&+w@?=oFt{Hwpm5r5gU9Tr2yUG%Tf+XdFA8Z1B_ z+=&e25v)KX)w@?@+{nd$L(`Cx3r~Y&POC7d|LzaecKz11m`K_c_Krsjp~~S1@p;dh zf0%THCT$4xWZd4#{Z&PBICwbs5*ew;e`MI<%z~jP2U?)gK%l1TKHr96QJ}vKKXG92 zqb=p&GfqH0`?(0BU*%qS;?Rs*qcgaksLkgLrUYU!r#f#JTwdQa)$MQbXGhEV{lk&B zP#IcAeo~plHplk~g8yBFqY$*B^Q8cXYWx7O;msNn8X!HZhbSm-QOx zJR0eozOVjq82lCc?_VQDi3N*>qI~EN$c~ro;je$%3r_Po{@s?GAsD(PQ#A_e4fvde z?w@nJpcra~6(K4}++ab^v=QVb=M6CxdKi@31>7S4{(c*N4}fk%k!}s|)S7h$9Z#8u zygY^T;9O{t#)Nl*{(T4QTYV2T%sDlBRzG+p^1_rtF+7;OEsjOK8 z?TX>E0Ho=ff%={d^qOBm<}=LcaAXW>J;c(Z6eIlzkR=OUiX*G&li#87eA!i?V+IE8 zh|FMn>A;%|;FR60z3@MuLcN)TBG}7xtfXR)!QAh!?}?dO zU0*U3twQ%3cH&S6v%7@;>)nY14N&pVZ~y*vR76Ed31_OuD`>V3h>h+)5epMI9B~0A zEQYUj89^+p_-Qvmfiv8}3#G}btE(l2PEjaJe0)6Q5qT~Xf8THA*L9xpi}$=pDqgps zHxDHp(xj?xy@t|iej~1%KU?6~I+&mnU`>gbj1CNN_aFSf`w4yZp@H;z+Xa7s?@EkQ zF^y1zxtZ|z$19R^5Bi}rzi~%+7|qta*U9`09qMAM9VsubQ;)qVigTV|6MuBS`9ElQ zWqh~^cXQCXKZls_%n!iK{XNYA;IX8eicyi$Y9V@<5Y5=t^IGj{tBJTpS#f z(GQleWlkev_aFW@yZ>3i-TkH90{?)&EqZ@jSoLJZ!WdvfS<;)2gK>*j-KtUG=i}v_ z0-AW+L|;?t9{>LRyHIEdBFasokblP4c^BPRHP}TCg>)DDJ!W(&?U-shp=k8;?oGI- z;ki59eRL5VzPH#*R6lj5g| zcWnPWO@=PE3*JBt042I9ifI6fnsV=h>lQbA_3BllN}R3i%p`(Lu*-E&m~-paFER4U z4_-QqxaB;cP+m#znQ*%8u9y#G3|*0nR#}I@eww5QT#o_e)(PhSE+(ItKYO-LSsxup zPXXP)8C`1ms#~udmWPR_wrpXvGe2OSC0z%>yJ^Nx@&5~V2)CY;{?kd-=r`wn4^S0L za1oeV2w=~ifX+c8<7IcvQ{s-(b#R48Z?HU*5exG;9BF|vR>aIxF<;J*+z+)HzYx^% z3YUa=uhMflzdVNp?o(5tP(5xI`rBM$J`nC^T5#nj>UtT;0}=Y5m4SK=8XYS$&c!;$ z!^6Yj0zEw)S0NY}xMxHU4B%=UxcY{uT3_h)Vbx_)@m*jpRHx#3Z?DG&*ITSDErHLV za$M&dNIwfEtVnv;E^DAXj1{f+TH*C5cs(3huEEe38}!A9sH`U$UOHAVPEJIB6v>@d zK?#Bs17zhI$DTM8!pdz%>kszhWv*{6{;g=`@Z@mBWCJW{cpDS_wp!K=@G8;-36@|9 zQHvs~i*LuF1OLx*|uD!|G?v{@+ESI@x>z0e}PjHP&?2tfa|@~s{! zEiEBNs<`8V9!$0aT3JI`Cuz`jf@m(`9b1wCWh4k?T=auP)G^v%+8v^~hJ83fwA=VX zKK7k|1)5r)6W&)qtvz}q!l!YKu+;7${bvuy+rcig9XFpIb@>DYWRgtwzpGZhJvA< zryN>XTLo&4T&_yDw(yd0N+S(Y%b&(=!h}jAeCX3h*`i+EXQ3TqWCF}SH&-W@A2VEl z%`F1i+)^923t}mCtlkqLTz#A7)orYzdFgQZ46_8nSq5MN6;Nz|?!X)zxu>D;Kl{9i z0!R|Pl?#Y?rW!yqH2}i`y9Q{m=MaE1$bYQTI^cviV$iE7_eFQDc?i~)B+_Uzu*d~C z2zzK>i~lB?T1x5Dd#j2HXTe6Hy}ze2{?R)DnYTX5!;vrjUPWF0p`5yCDnw7?H0%ov zpo{}d36J*)udR-mjvE56dBZt0nCw0baFB-Tndx<`C~qM{+t~#(X#8(%Mfj1S6RiMP z9@qGh?*}J72?lHzyj}yO6x?yfRYhSNgj-qV$00XI>Y1xfB2cp7_GeapfCg{w6*A{l z*hs-)NHd4h zP$jV|Q}8@NZhz79kt9)Ik{x=DA77b1c5hz?1A~5f*=$++#WP^Z6=bO>3TegMay$}Q z0-0|`n_h{G<+ldwGo}H+126=1+_h!&=%m{hqkF$$z!Y9Wn73pMQ+PPS)6me;2`2I6 z@OcRY9ZjV{cC!!c&;p5#Ik>=~=I9R$rdspigWw73rbmc4W(9zNsVPyXl^T7a~jf(|^8EE$wEx6CmeTTTdtUj_Gz z7a>$`y6UZ#XdSR{qnD%9x}1&?4U8LxL)c|{H^lhu1hoh;!nTM=tJ5s6N5in@)==m+ z+(dxL-upE0wzM9I;_M`^ENQ=3M^MzWTn~{BjYm(yzJmMuPpe#3r&7^l=gD%=osWVM zZ*e49^wmF9foY1OqoJVzEQi*ktc}oKq0{@rlw#x;o*od5nTkqDN$s_Kwj6f`aO(YeF#OskE>I}SeTBG_ zQX zq+lDTE8(~C+f3e>-V9`A25)&zs)#NvohLHEKG`zG#>&E?>S(Rn@?AhDXH5}gv@r;7 zK;he=xEG^XTkT;CGy7m;iV(ZBU3HR6e&7Muzpk$Ge}AYhb{iAalwh^RQ&`%CRe*2% zhaycvPCI_Vk7g1f?H4u0#oSlEe>P~=NHT`jP*5^QNCF(Phw5R}kMW``_MZdi`8~=~ zT2HPjih#K0hC9}c$|38s5Ll_8;Y4VcYqX7ACI;2J+JTt=NlIDtx{`<196gqOaj%9`#q+a zrj{Bn^Cdw##h~YZEZXJ=&7ZkLQP?r0M$FQxhoJ5&sXJOD#D!~RniX3aQYi(1>jJ>_ zn~QZAG|oSKj0chw(QV_b*&V&q2UHnVEbBJV#f{$u-2#h62;3&gCc+?&o4wEy@IDl9KxIh;oU zK3~QwQpMn~U)B8aMN zg%amMjY`y=h>6^y;cI1iK;#DiAroSv7_ze`r%1u1`63Eu63RJ~%N0dtxUBcWisnIM zNbz=iR6%Xf24Y6EEQDA0Zab8u3!V>z(*6H=IO*Y^S`_K8gy{U+RS<10bN3hduO|du zrmUP!=_x8mN5O`$5up%_5UizNF!AW!^&4C+0_t6&2%_OAu+-fjt2oks3)v@chXV{- z>_*~e3bZ5kj-f`!njnnV83s#+eCThoM5WeD?qI5O-x>R1w**q)_{xsDJEem`B12jVO3}hLtNIiSV;gAD z@88zUR{LueUWoVf zy$vdE@^o|yiG|?FA=qAyuLzC(x(KBJjMN)tqUHF4eKK83XrMhg7K#R;qo6t#Wx-sJ zKi@@`dZbemqeD{W)=5%wGv$JM*`Nc0)AlIMA$ zlKB%eWm`MMgivM6pf%uv_WvR#MpTFy9Po*J%qsYJ^T z<3PwaDQNKlGKx(Q0~}~^7ZLZMHfS+PT8is2B2Jtg+eWbK_TR>rZbg14$NC+C5fsoK>V=_DR6!;^0ge@EvK1q@r8(3^EvA&GOh#2^V$q6MPsOEycgU`EYX37u>3Jo{KdV5C26;74QAmtJ(|2gJD4E;Md$Sa>QD** z<@~+Cr71#i@n4ES0${Zjp9$;?ei){MsB)+?>mY2qUsQ5oD;+{L{`hH#lOH8OcfomAVRBx;YiQ7tI@&9=lzOL{=c1 z9(oXVopnC}3k97L#o%}@paxQ(O43QP5!LX^FR?iV1M(`S+j)@m9kyHl`a=!I5Lx^b zB|MAnHs#b<9fDb?2m~w%x#*i7QiwytMuGCdR_{i_EZnhL6h*&YbZagSW=*pa-!IH+ z@ed@m0B4wxbkO2yVr>s0F?viwaKy;s`J1#jgUNI4M^TVru_OM)xdNy zl#|5|Q5F83nD6#s130rLFLOp}&jfV|$A$cKJ{BSM)b;v#d5 z#X2I?HQ^9TAI?hz^IW|t*Xu`vPZ-Tbwy)3JXD>^JoFuCSVsVX3?o!LN)S8>Y7aJl| zql1H}Z1j;UBvA&=JMr<7+Df)?5u-(Sw)aBWzW4cBP@MbpWc#@rDjOmHXjC!R95A!Gjc}@Va3r|SRKl&~h4)`$ab<#UIMVvE z-*vu2GA|?LaoSN+H5>(Uj+*aH)_YO&@uShU^AAFfCr%xtKAF1R`xf_8A#JfsnQjpu*1VSda{bOfDD z_LXCi71Vps{}rLE(12x59%Qda_TN(^?m%kq#tht0sVZooudg4edO*Gl28zer9CDwl_gkh)_?H^@#-(-Wzf+hTBr(u-g_gHpbosh-W_opDhowp zd@_nfn;%QL8$LG`1j6tSEpZ{ysFhUKVN{Pt1(DsSpJyVK*Y8-^5cCi#DAY{Pa#jg#IXj zRBg#H#N#6hl=my75v*zA$%RYsT|kU53nD1-6$BQ|o>1B3@Xuf*43%>mn3P|Glez#K zrloBwqECk~z$^&B;sSlzGD9==&zsXc1wIRqBRExOP%FV_gsAQuepzN8SNL`S^h>=i zB?H1_o!UQh3~MgLgB@$TPR+?#Fd_hU&;ZqtJZzg3*D-e@c#3okyEPELLaf(tL(zYH z7C$>0=V>wgPIJv+hGZ1d4y%IvQ;>cOed`Z(8qcM1WYZnrmPHU66>=fL$xqg2jm7o~ zKRWKDAh{0~TPqW1jJt7r1=CrY5Ia9h`k$Pb9La0S+Cjhzoos4?r$2 z9Y-OdVhe3Zopo%syawr}aE=A)i~LMS0>RYP(5PjfPXbsIo3eQ&2rG=pbK1Zkb6jKy zwY)SKiG-dl*x}2>gId}OcRW@MLJPEi$a|@yiOQ?^OpE@*N02}Uri+cmSkDNQFCzH; zF9wSC3N7s8y&Q*m=Y=;Jow5!PU4=)C?19wcMJwY?R3AbCB>@{b6t4SaW{g9RxF7A(3JSJ*;Sx5n&fV6#-2L z_?&0eM?n%#{!&$l6Qpz76^z3&VFcFt{X%pD>y{-WwD(XUNeM9N!|< zXXK;272KcUwv?7YdeM`Lxx5OR4mSK@C{Fx@=$G8ypgcYUTQ<#9-g$lY9R6&$v_uns zK+r+8DG=&i19`agli{f)r}1t{F*Anc-Y>kR~1j4asXfOwKSBaTPl- zOF?jah4h+0IJKSAQ8j2sFE#KfGv)+SA2_dFA7T(5X5^jo8g;+3>a*eXOK>WJxAI*U zn0^At-i1La0oFzq9fi=QsFv8%ho1%nsUimgWy*q`!D0Y8$6&ClZeD3OuVN|QqEzg7 zO9I*QVC`Z|itVWJMh~Uk2m$iDbi@Edz6a?V5avLAWJG4s4t)%TE_UivvCM8=RP0b4 zLwkQ1ttnNtA?ai09qLsqM|R|jfVRN&IfR_?PEZofV3k}<(ryoatuA(nb0!Rnj}PC@-V2C}9oC_(`bjq)HN>^Tx{6C0i`_s5Rl9 ziqNA9W~C6sij>?5Zx>uoly_qnk8ZS=|73DAReKvxflpdMATn%xY$u}BUVhqyB{jTk z2Ntvr{3OzG_T|Lju(V^pU`uFNU``n))E7xN2}nNdkgCe$EIO|UiDfedJ#EP@*MYoH zuGN)$9U@hkQc5AH8L^(lXwZk&|H6VLmt0y*dMSN7b!F&GgH-sHK1dhe5Hb%*$Ag#z z7boX)$e?u38`;~hp)MLo8EW5o!S@_cblKd@yLYJ7Bc*Fk>oWps$ zRgx_>2z$##PdZ=fqD?(&Z+B_6;Ve29Y0M}8Tb?&S%C(u9rr{*!Ha6$xC(%fI@8k~< zbzHE5w9KE3w%;NU{-l~o-+f>1Jr>tGl(V7H{Q`GkKi>$01av0ZXt^!A8DB7|-jz2_ zwFe$9&g{ZYyMKes8-L+}- zW7Sq`kk`3$B7zb55Ck5C;*t2fH(=F^kp64Q3%PEaIh(}C2UL0uP}17XwTErY{4bb# z{YQHp2DVsd)KM1a;*s@S3pJo52p?ixF?YiX%)LagQ`cn^7Zr-z0v zzAJzO@J%H6rxzZe+_PMk?d|PJm-$4|TS<9}A%S2Y47pNaQ0xCNWE!J>+)9-QBMgTl zOGElxi51`z05kRVKm1OBQ>ZR!RV8e$VzqF#2KL}#H8}H!I)qU;R|cZEQzMb;{S`tw zpZwIHgNe@D21m&xDsk*;NU~+rhJ)*P1PsN%?mPs;AlCWphfR^i_f~s!xWvE(C-AhA z_^dU%8`bqZcx#Fv2`K)nsQ((q0o>c-`y!e{=U%6?p}5Naa_<)gj72yoqvI(Q2@(_j z=Avbp`{ZW>(L2uI_`MbcTJ<5b1>A!y7kxK3w>zeg2mLYFbc=Kc;;g9bLS`Dwb}Z$v zW+ma@I{mIRH3qW>f96G3A#zdEn7Q+vb2orpr-~=x&n)d}h3g#nQU4wyc*m90A%;qF zoq1LZc7L4n*=x#>I{IaocsV_j4ci#={zk)@j&t`@xb8b|{D91%rhsR)J8C<=sEEYH zJ$?@30MW8qIGDkI_3K%BwkPZt zro%x^PKRR{$G&qE!F=-H!S;ad-Ch3|t`HB~PndnnDrkveH@{OZ&$w5{YQ3c-Og1vg zkOS5*U}#4S=e+N;xkzeZN^2Xv&N5E+iV?sHbxN;&@fIt*LLww<&SnS7_5`7FqQ~xy z6G;7}zMdNS4uf3dpTDRThx#{vME~Zh$;4pIXZ#hF@-g3=@l#x&j7;0=<>9>q>g9Vj z811E8Ry!Zzxdm!hAXrZX^A}}?OwnNq&!XfFke^V3>X56C+}Jm-UweH+Ol808hD+C9 zlt0^y={*SE+xSRdv|J{6-i1tPN9bVov{!}-BTI%Octyi*0urJ9t}|Q=6(c_xT>AUF z{$?*T4hE;h5Z4x1-vo9u#F|$j!AXd!|ueeLO{ui@04_-c>oeG?k}?zi6+z-j1sK zhf?ZmiG zFZ7r~Q;3@YK!c_DykwptVD zLAA$$C+PNg#~qH&6>9$HVV;7tBdx$8;N*}v&ZH84!Nyt^MaBo;noT+<=CScz_b71X(qy6Xr`fwh; z%dF@oW0Y~x@KZCUMICwVax4t-+ot2EN2bLmQ=cmJ2&0VW`A=7Sj%?~rg}%x?h;nR! za#tCux5DMBCT8>!ZNl-OZXBcwq**dx{(sa?U^YS@z=CLucg@nuiuUaUNJeB|O~KrR z9T~u|ClG?O{5NHCfQD2-k4$jq+vMaGrH)n6?Y+HBL7r??}nZ_a-_`-`y6uer*I5OFnXiQZBr0 z)m2qZn;W^;q7*TAnESY;Q4!jsdh&PL^@YjLyJI0PT;z*|9r0Bd3LHdGwL?*YOfI$$ zn2fH>aHM6rVn>fa(qE9f^2cz{P1bPKX12HU_uvm;Y4-LNIAom?sirF@d#5MgaZl2p z!=dyNr5C?hlHJLET0jukoajPU%viChd^}(N?;p7Zb=1@Au$9T0NC}^j+Wx`|r*j~# z3fR@)6}T9d#I_Xj6jUUz2c_+9YI1X)Lj6p0CFAsX($wKkqJ83!7;37UPlu{QM{1J>pJ5{ z(N9CJK=IERpt|p{O?$w!m*8R##)!!u!#3gwRqwX9(*)0dSmV&EyE45FyPFKazOz!> z{~zn(|Hq$&?N5b*X%c4sm&z}<|Eu!L|Ftj++{?@PKMJ#WJPElX`)Rt`Q(W+JR@^9s zzP@n&uLpW?bB)KEA*F}a{^9AF-;y{TS_|t?9#;mKTLicBZ_J+rviWrrHmgBVq!?>7X z2@&2+-_w&AuX`OWUVo3O>;Ed>IX*h|2pBp1ni(!BT6?;?mYhAFaA*=d9bdu{<@lOT z`Hf-Eq<`e&yNvh0Uf3xZKiT@;yf}OMn{CZ{j45%>Yw%9>?ylhR>XhDt0Of=j*TaeW z+Bf%v^AobdwpYrXyol-44k<+O4uq0Vc7?us9LCpB)F0>u4d$qsrs|#S-pZ^mWAQw= zl^jveXHMCa_2S~ed-X8);`aH%pvZXf{%>l!O8J?xZ~btx9#Or_W#KtrF5%s7K#R40 zm{0mEi0#n~#hLKtNX@0A-o@;9xeu+rJ2R9HF&*CLrMu`E5l0;;m6dQjy4cpsDtx-6 zy7S#ap&&-h;u}7}rYK!!e9C=~PTsGSLN)TVNu5*OZ+Z39rFdMNj10SCl$P)mzk8l; z(}iS4j%UUW9A$27E?!R~5tY0hDbu}reK=%&;O~B{*RuS@UYWm?FaCa;Jqi`Eq-~O- zogFy(kbhwM#GePFa983@ex$5im}ZlT0E42mT+Dfei5?QHDCrdP42vZ!n&3NR!*|q2 zL{f6&IKJq&UM1vgeLOk8eOYhrv0i?R+$r7C$FUHmncnZ__S82QhwpuF>-)7f`13k8 z(GA;Tr`O}O*(`?-b}(W}-dk)3s8lgWzU%gUtmcxC`!w#WvP{&e(hSeR(t%Fr7Q2Z{ zctcs5T*tC>;k|H=avq*nZyb(oVt1#w7RubrC!;CS&sg+TYyZ`e8xFm+5EHR|hyO5E z&52U&Ud#Z|WV-Fr1CGO{Y&DK?PBlMDev%bxkDr}N1oywjiCAAfU>rzmc9L+iX6v~v zlRn55XIv$2ph;8__i)0oM>9t`UeRB|%;VOR;;nDF7Wo~sJZw>!^&h^Z^v<)%E(d4a z(4RK?5P!*xjiFn*dz*=wkuLkX)Abk6o{PU){xYIeZ1{E!hk`rYT6$cT@nN#nT@3?Q z-ajLYU2oGS*;E5+W&Vh~2|HCPUaIwH&(x$$rp&q6}WL_S?xXZ&Cc(frNRr#(qoo7w8X6M9wz9p41T^N?yFS8Iw{CN(^Lg+EcYzvXkL8q3?owDQX%eEDTbr4c?j9;4)`w68MH zdtBl3;~}+Hsa+miZ&bOxZ&91rM6c?a!5AKRGNBoydN4M)p1#tX`rwB1D|O@2!wkne zLrqS(d6OyZfgzvBSREy9zOC-tciJA;)ckp9Q)(JELarQMtnQjcbZva0J54=owCRVc z@0+ZT?*ynBPLxLq5?gN`{&l(~n;0X)&Y1N5I3!&-+;~aQPuETTF8RGjcG&7YgKHvJ z3c{|i#q^))`+D`I*|$tlZ^kCoG#a_fMuuegg9>8LN56if%(P0^{8q?Rb)iaT&`O($ zxG zmgNw(tmfG@v6aoJKid|o?;@NnmPKmW&bbQ`MOiwKd$oStb&8Ag?b#l9=3a>B)0+92 z?N;|k+ph|Q)1*Fgc{=nDV<-fbPa6_%WBeGC?I~C0f1ul>+4yBbo(Y?dB)uwpIfvzG zL`M3;-s|r_-w9~!2bBa2Wf$$=;Ek>D3X^8NS84JHdoKIjBj&>lKdHAd(jRh_@>PbE z(jGEx^K(9Ayz00x`~^$xdUSvBrjW(d(yiR{p-i0xMm%MbKOJsh`>B z*TxmYp(aGvoO^@xEmIt;)^Z(*^_9|B?Yst3KUGc|&KeobXo^zGS_VoOFlX{V##Qyr zd)%j`7ST`=VPP~lmRFo4WhD{K^5f}wx9mmV6$_Qz9Fx)v-_O?#C?A@cF=Y4fz13Yv z!gDBiWTO~d5WU@O$}IMQR449-&sXZe6Vqae8$)Dme=fZn_(`PGAvCtI@GE20oARSs zdCp{I+}T<}HkCBC7^PpSNnRhY?Ktud#Mq-635(*-tFS%VCOSPj5;&|kps$TToAh?f z5yK<+ZAW>2{Rb&C9BH**89nD#Z;;bzoLc^FAk&Czqj|4Yprq4A$M05b)M(pM(WGQv z*L0?CdjHm?v&Hyk&QCrW@;I;!7(c>$y&&k5O_*pAS0u%rhcCb&F*hg>nYC1MKsA)q zM_i{DQ2!wzGu61JY9`#?AfaHw@{MjoukEYwmIoElOtqoqrYzrh3o@3recQrLBP%^` z>a2C?W_{VQ4A(RGy}@RXq$@Ao)`Y!LPV{hTr`i8nfqS5y_P3SCTDo_a%|0(Z_~M8s z(IgF}-N~47&5RAIF7>q(J@WD^6&Y5&nemE`NbpZBW|(EFHM5cG`Is*{6k5u%*#@l< zTL;6cQOu4KB`SVTuHyzWcUE(KdR&H0{xV67PC;ZrAEVhBR~ly{S@+@J*cEkc!GWQ{ z+%$3@x03V$_AWi5OL`A)%S_b?6Vjz(YiGV5d|V^Y-EOh4L@1p-T$RJs;;Lw6pCz8B z8*K6__ouLRpt59U`1Xa^c=4vJ^HfncKP616Ey>{Q8-x zH}>H50TtmZUax40OHX6EDJwRAa=(dwN4U7%-*#E>n%}hKQq9;G(sHhFzNYy|)soro zW4x~&axc8_FKXxGx^doCr(N#PFYY(V4jtCb&xM}(I{Q!6N@P=ICudR}8`^U}BYJA< zsC@aUfh@Vxm*>MWHExCFviPq?zn*zh`;r%HN3kV}l5=sNBgRfKpOW5mj3!qNwp=xEJF!j?Mq2x`L;tjMu5yH9_47X-b2lU2iJl!#5FX7F6hqIN%v)kV_^Bj-H(!Fz=?OW&zGd|BK3anET z4c~&L&$c7fMfl|+iwU;Xaj05yjA<;B~HO1 zTKI@Q!OuGSx_Z>m_1yQ*2t9!3@H<;MCXv)L^YmnJ7TSoWm zP2Q|GKS|4cWK%9*wK|8{(EH-ajI6F>Y0j1BvW<7Hm-x_+hs0&2%`O*xV!jnj!u<35 z-J+z?l7uGfN<6%nmeU3?nqVqnGIHjH`vXc!G8oo3q+fkcA?fOjefKDG07qngF*lr2 zm*Q)`&qmJovoQm)(uX}?zix3h^SytigVUk0q+g7^dm)}>x!Zv4;ORayuS_c!J4S4n zK_j&{;R7|?wV^v7-j5sx*7cbwQY>7~XHTq*b@4f}c{E>L6uH``X0FLIc#&1-v3ovM zX#Ajd{(!zpTwABo_}faTN*?}={X0eX`_>lq45O+osxeAGzvjV-MZKMQ(bX$o-Zzig4!4hgKI9aR4ZPN1|Imqf!iLAItKnXW zCQdA`8Qsen?8S##1pMQ-#4&S!bpCo^;oD++sqDw$GF45JhpB0!UY`Q<>1x2_Tg@7^ z){wqut<{gD?>=jAmeI${NuRYLB~DTwh*{NfGQX)65oe&cqMlS|Lqla@r*|{M;ltx| z>&)z3rE&I^Cx5iW!zM4}Zu$|{8Y*^w+qz_mA-_N0U$=yRsy!Vezs{0U^2MjO6|D<+os{nB?l)UnZS+wk=eWG>moRGb zb3WON+cfx$#re<0<>XcFwUYjMoZ~k6gKwCzgqoFX+;iAS=2C&8uWOcAw`1b#Qf=MT z{m=KqJCwFxV0K|XK1+2V}k=|>J6H&gJqL?r;?gw`v6Z2hKsyRqrCsXIaoT zwH3m6sfcHI7r!@Nr+zKKjQu?KH`_;dpu~%j#miv~%FmoQ_s1fQUy1PLN`Fx%rCkk` zU1}A(PIA_#;odXdeTQBpX%3y~M-uvcZDz&?PGl?_RM!Ok_k(*ILqz&RyGd}?7pvD+ z?>>91YEu^YNZexX;r1Id@g=e%^~5BabMy|k<_2+OyCVliYj6fr)qdTgHs_M@mm+3x zapNUq^W~XKP%lni?DzY4bfGskK+Iv)A^u=!?N{H+gyRWbtz46zx4q_{4wOF_Qk?kh z{JxVirI0c)b<^tFVesCTworP)z`=tcj8+F0{ovpoS~In>$}`|UMb1@3#Nho0cSVS^PtX` zGbMg2op}#7FLrkqYg6ghW4?O0ApG=J{A_G;^|eNxqKP1?x;H5pn2Gtr9PQy^?srCU z9rRPV&wVfbb-9`6x6OtQ|Aj$6f@OxE37ikj{H?eeI4)$99+d-$+6*-b8XN1NN^^wEdMb(hlI(-W*;ZqHX~4{<$b zR@AAN#8bzmpHltO`a1PzKk+aVrkl3;<~lrk%Ep9Gaa8)`bH^yDq_p}oDsVMOPohnU zMc(gywWf7%vF5jGvd7}NqxNcNj;|oeitULhm1z)`1mn}}O8$yD>!(R4VokFyxf?1&3;Q!FfLBv=F(B|^}YNw z{zpH}qaIb5k6A{MuS)NzHFGh)uIV)5Nm*s;%8!?#Ggk{*SIFtQIQ}xXhahcOEthHf zNiLg*0g+kV$_4EQF&!?73~V-Y{b^3!HOu1MGoiiyOk$_o_^fe?BbS&LRO@kG;}n}6 zA6M&(=PhKUQE?=`r8&IJQ*AMIn@la%?izFG7yLG}8R63=m2(k;eX3G-IqA=h<5Hg` zq_+rSuCRTZ!0?s%q5cSj#jYOw?Zka^gGnrl^L>kN`_sIh#t*AMEk9LUQ+$h!U5z*P zCp#vmhmPTF66>g!J7&zs;t{$ra=WnjOV@w4Jfwd(*-8DOl#`CygZtOP!;rrh4MP*B z?!9kS{1W9vt-QEclOFKcJ*s7qUFPzz zFUn`Km*lVnm)oV76Veq22t0M{^%M$jjKCb~#Ej07y14dL$~#^>TvL^y%jU&R^Hm#MkXS*V^E5-!Pc;N;$_lfspeuV(m*0x zY!X+}N47o|_&!7pdQYC*KEtBwFJNqnspqw_{QNnAQv%U-bppclJblxr$~SMm?)9Xw z?Ib3Y;9OVIJ+DJUKuGWW?qOB`-4(3&(xhILT1D-X#Si&s^Kf?7R0ucQX}ElzWbCvp z$Wc(5+C8WUkgrJ8BhP6N-!0)GR`BuD8dWf6e8=y{DrL^KT=9H*;9^E*knNa40~WI? zQ(^`4uWMo{7YPDcsMY2S2&$AL!&ZNg@IA$llzc#c1G_zB$Z-40xz_ys&*S@@4g|gV zy55*V+P*`OuJmIHgfr;7i~x8UD* z1`au;L}wobadFo5Ug>JcwkJ~+t6_a}T5MA{dhOBLcY{2#WwSw|Fg-i z^^g*G)UiyeRS|7|o^jyyuBBM>m@0Q+9^_(*vNgvv{tLDEEJN~5G#aYRD zlP$4se`Y!rd-F$(YEy*d)W(?uQi`DPhl_QuO7I-}l*<^+7->vzTL`i-Q?xw}n<_sc zNL9Pb6SJ6Tbg_T%n*R^>Xd0q|Pw~4rmzp}Y#((Tw;xqj;xuL}6BK_8jG-<<`es)hx zFaEs3V84{if?(C(!ia{#2=CibMl3%6{U0q^!z$Mn28){*2Cc>-E&h$;*6pTWe3~!0 z7sCz`KO7Mpvt2IhFUq=DG#X?7tNurNSiz6iRzu80Zke{%FkhN!DP_H$`-5NY*z_gq z2Cm4MT!zgBoTM5-k5zT`su%N6^DB>@IGSrcr7sNcMhFJRzcLuXOTxVOSfWs)Z4=L)2Ud#S zZd+vHaaxJ2776L|dKX?rVJjcpR98=?S*ew>->URm%e@`|mGsUFyvbs;9WAs5JaMAY767*K!((#Agn&)yMr_!E2u% z=HSzf%msG8|DMwC!^!@LHXDO3H8PdSF&RhYc8pxu!`0B74qg(S=ym&-)*il!FG6*3$33o#xHoTs5& zDuF`C%U2A@@)+7MG-&1{Idb0%>YjS}p=TSft2rLLo1OObo*_A?vLo|{+QZy3K? z!-xy*AD?;1lTtcHw_UJdP-xmrgs1dG>A~G`o2MDZ*`NK%qKs*YF}4NzSR>OfCCSt~ zDZHn+P`i(9G;#i0>=2J@cZS}}c040WXI2xEx<3!61c>PzTiy1W=X1kVf3i>L_`JF$ zbNJn&op?=nUmM@#t&aoqGFe!HkWi%5_epyN|AU-g+{}+W*W4bNxI)2{+RaNOG8M_T ze$JGmXA1*(nI3i3U&i#Xe$}vtO=x8=k1r6 z8-~S5Ta@a1SUc`3F4CK*ixD_rGDyfpGy9D_)$R|+!^~8R``yIz)mLBb`{P`~$gpZf zx;j6N$~Z19rOQ1Lcnu-f7cGXnLn!WWs`!FYhPC4nUDa*`7kE;7J5?L(2u# z;ypJjsQzq(aYSNA9fW+fV_c?uBP=`7{?EVGo)7#U?;20TI}_N(*F{7ej;(4yM{C`dg$$u8I|B%s*>3 z6ILeUb)OV4*+l*+q)H3;l0PP5vdQcwHKl%==GAG+RVCryv8Ss9|NWmm-c|g$3yiyx zo~^_phJ}Ho+0Vb59qkzwn!RApjyUdD_LB|hz4+1LyBXC@?SKBYh~f4^NLxhBz<%RW zp7gJ}TW$ic39;A2r=EO#xc#v`Kx(Q}$co2<_Dg?a?ZM$yx6cg0#meGhKDS)XDN|nC zt4xr*lX*0&HIcRuCW|>1z_)vuU(`9=+k*DU%J7MvWIF8($!wtMM9CKWEW^h#GN%*1 z31P3x8|y*EkJ7H<^vn12Eh>Jxn;Z1=*S=MqGVkE?kzOqZQkoTwH{nyCu?2{4ec0nZ zcbZj|k}`zIaiqrJ6;*39G36xJS?SqFY-LMA0cRWsPVTphhmD9OdK#B>cp)2CZiH2i^Cm**-Y9-&h-DfH*DcxQ77?oAA|CM^O+L=C{3mRh^`5yu z&yBS>bXOG1kkwC+Q7TZ&R8P5<5?GFXYm*als)|}z{q)Mi#oRw~*|l{0(qhKa z^q`Rr^AqkBwq=T0Lk90#nU?2uZqP5emQDofyndV4ptR^(%J2@^bu)WOh_w$;7# z5|>#kPd?mh z3$KW@}uUzC2It zktAxF;vl%lE7RUJdM?lw+mt66TcBfRwkL2W-L|;tiFi_s9_Lv`Z8dCHrv7P({EAn7 z2Yj};+JvJ==E4}1L)+I#@4Ojy+~#|j=k)aypNS>jV{M+i*8&NYvyXnqPu?@mvg;z) z!;aI-Wea$XAFdRz?<;7GGkl@A))n`aBMW7Fou=EVfV~U(dJ)CSP22aY?d)PdTh9tj zr4ZxrBw22TeByMI@^Cd+6j#T}ep>y&htC6tsBxTk-e~@SZnFX>WAAaUDwD;NSgHE> z2Gv)28cY(WKEC?1PI2N;L&h{{d*!vMzl~Y0uV2otBF_4FW9yHX)jdfP`n$u0kA@!U zTiO4qIFpxa>*jQ$KTZXw@7Ekw{(Ea1y6} zpWaAh`zca#x6YE$Agkp`WQnPf(NOc`1Dcuxo6_f9l6aU_j z!dxCSY_Jk|a+ZBesP9|+6x$i9ucTp~QnQ9*UwJOK*_?|^O}%|*LhTdP;H1uvJj*dN z)|EU*v3*<7TW+N(qz^wn=k-<5`G9c_pEOK>Trpdz;^5{%!$XPuaN`xS;^}E`?hG9M z3F`)*>&&J^=e-$c{-nR5`q_Sq#Z&!5S*}T;;#sCJ=9@SAX%z@??Td*v1*-9bweI$- z2c;TI-;JhJyPMFKhap<^ioKWl)7Q$25b20kTl6_@)vWU2I$JQH`dm)YdQn@xKeRtE z+Dqjg^S6;xm-O1%zpYKDn+bRKEn~MnEA!K;rdF|TtT1V^R|t_F`<|0n{xPCruB1}@ z!5f`a)3H0H+Vg4Cr;I}7$Zt^Kq+X4)t-XD^B;29R z`j0{#Zbfz0iw?tzZ#eLt6?R#_E5kQua|&wtzP6~Wa$UZd$&O1;DF08m`$U~QDZeh}YwNOii8A}c2Ua17z?35ijQ5PRJ$7P;D~ z-up1#dDq@7hjepFj=s3hi{wT8_xzVfpMFQZnzg+_koNMuGKZ`)ee>kEu1qqCao*{`uXOi$PBU_?)$fzoS6vEj#?i@2VK*O|M^l>P_j9M zB=Y3Jp{|-QZxuBiT^@aXpipb1edF4>UY23`+aw0#ialSrN#9BhzQLLzSYmG2O6n(N zjq|5oiq6lv^=K%4Ttxc*#rislUKyqv7cYMLur+RfE@YHrAc99E^){VUN~~m;jB2Zp ztnhv**T4sp(Qpat`S5E$nd*7gu1_btX%==94k%h~kmo-!4QucIvyotw5HmH2fz4fA zQzdh-RW<2Be4Cr;;;j5ClYn8_J*G#u<4dxMdn@BaRlj(Vkww_(Y`Yp;?J$POJ!Uj) z#-ucQ`i0{edvUSgEjwF9zl@j!!H%}b_l?V$tf9{i>9s@3=vi}wme_Pc%Tz)s9($!~ z>^-rJPU3#{{$p;pnOJGT`H%^W^=)(Fg`MGGJN-6~PYOY9n{jL01s10>`mAO&%cErR zY`1MRyT&`X*4|g!70l@*&WF|eyd^XVD8KUU9mxj^t3-o$wjG&WYl4CnEeDIlzJgB% zm~@Bh??o{@`WTI0KP%Pddl>Q2WS-!A{RFmBwx~t7tEJXUkkDn(>+_=5%Xj*J`Lt57 za;)S%E_>96x3ltXJ8oWd`+nPqtDCz9|qaoq6@V2m& zB#(f@J|8nic}^7q)%^@L488}V+(qf7A1QxD4XxF`yGUtvX7X~_MgCB4UhF`Y7b+cw zk%ZGtt376Hq-QRLO9yI9WwpM%N_o#Pl@gm!5}V6)S#4tWy4)#tNB`C`QpvkYg)ews zU&P4B&-ODKO1}1NvEh=_U0UZ;H{Vf*M7KJY;avGD7?YA1L(4P#!z#;?R7~WiPmhu`UOWPiDJ@ARUVZ1^{Zkv%y_YuU05 z8orUeZS%w^{l`w0MKtYWb$hM?o`kb2cfj;+*uo!s~=AE#t<5aU3VB%8@agMv)EA?+CeZ_ytX`=2418 z)-~AfY7x*V2)l6*?rCX%4aPlrsC+VRB#2z%xSn@`o#UlSp^I^SGL_uxdLOIpK1Oyp zVy<0R>YG5)=T3CH#gZbX|5M1UjD~<*Dap{2oAWnb#<}Ev<{GuUwu&R3tzed8jJZFm z@1f-zk;-%>Pb_{(A+L_SrnTSYq5qi-FRO z*^RntUH*?)$2DFsPQ8g`-H>W&_mIe&I+Z>-C+tLvF_^||u9uWmDsJybD(@dy zhY~m{waNV~A7s?y7d_cmxV!x9Ay?G$ik)+2qGYPc+SP{Dy*H7!J#goYy0ou{^?o>^ z8FW1I)|Tf;m-Nu=jeGX4fG6>p9`g~)IA)vV@6U@0EMZj}1x|AT(S3L~d1n4NMRH~3 zY=(QtD3M7hHT-z@o+1-VfHy(Cb+9b?iIS2{!ZSO%C+DN-f`l?YF~(R7WY!gox|AQ^ zVCL!(Dkh$|Saz>CVbx7Rb2^^)Y{yD*=hL`*+$m=>3PY6J$UC+jMCzFOjF&7KQ~yx8 zH4Aw?R@-?f?6vaKVc=AWT}R2y{MsQc?bM-ko5Zo12J*7Dk6R71y2N!Rp+74XwQokr ze{Zz6-SW;5%x`}l+;TEIwQfMN@;#3;UQvS8ZSRtNL-wK@=M|=l`j>(!GN`dst3i$%ESB?jeR-}Da7>32PuaZS0rGdS;Ev{m9M zc*IMHIvDC#&BtlvtvbuL{^Se&hH1#*TzQe(q1nV~VQt+PPcPJ;)A{NldHR{f8KIB- zd}l_tbQoN8CT@j(1XGsJrjjVv?0$Y_1G`hs$jybO(=YAP93c%OSEsDVM?Z#&phT$B zb<=GzUa{}OsNbjQbtihJy{-V7dz1Mz3twa6w%8?@%l3AMSaW9Q7wk#ikFah z-%irD%KK?f)~Ix0$FeUf3~nALH{BSQZ;E>cOiSTx)IGjNqQg|Gfqma*p-(D8Y|*|~(l z5v3eP+v1gb2NvgeI8sRN%aC?FbEF}Ec1+GZKz@&m+^(TT-LyD`>?TF8Rl=>-AJ~*Z z+jQakd2Vt%ZN+UxS#Smi(5cC-4vpER^M`I~V<$ML*hA-$FE3oQ!w(+miY za`!FkNX(BUPCnC;80w||evij)Pe>(qniThQ|G4~gFK=39Im^@2D_2Cn`f4&6%QUFP zT@>j)#q}jezbdFDC#nfI=gOz~Ah*(}g{T#ScUh+~${ODojC6yHQXRiKo8j%FM`CjQ$|(wCO{odc?i7rCTP-<>_m z*@@;JOE12_-c~uvn|{@;yw2=w#)~w(Xp^VLNmmb!sM=oU&NJxma8;E>J*}!r--s#o z^!q(=SU@z4byK%6!6LG#Y(z}XjgQ!5bk|&|#3MZ?zES&f_OYR|hnf1)<_y)rs_Evo zd+i?9TmP?aHdnA2TwV36Kbe+SP=4KA^Lu=%{8CPxcBL3ew|Ku?$_MvbCF64qI%M)u z>F3G^o2I)gboP?nX{aA5m7Zig=%UdWeZ{R962SL;dPC=i?W&Np1`r${r`Y4@o8#CFirY}%S<>1cn~m*>cR;&6{W zib<8CuVBYKDUgwy>*A0)rvXEcT^YyP!u_@JY+u?AJVVT!b1b9edzyByAJG-nrVc}5Rg%z@T=(#(y6}I9@ z<|mLovV4Riu6RmNv3MxwN1E8kpXRJ3XU6Qp_VSPl9IRQ&>uMu!nGV+8y~bvFkX5;o zeY9CHMX#Ks-ZCQY__iQQnCBz^hZ2uU+fIuEfm6I+D`{WoSpMb>zuCeRNrHDWdy(%F zMrUW`*sI(;QMrA|A5{^x4HP%r=qxjBUPR1tMHC9GIyheX6f!y z6z9}x5Ic2T50yU0VWM1?yY9Y1-U+!j)z*5Lz>Qa#Ol9Ly7tHZfysEm1t%>IA#6`}I zw2GJ5Eq9z9>3^E@AsUHP}%@o-h1_<-BN)03*ZBP3nNcf#Bj`Y0qF952l7 zD6aFXukg89Evyb<8u}Mo9UVWp_n3R3q+=wUE(hacl*zJzbEUzBM^*G6N{Xuu^`A{B zJC{lrERon>{{8l1l?rK}y%YAyIh%?|!A~U{64tG22jADi)|#^oJQXW!DU$hX*vFpI zzhieHI=SGKS~82j)_fqmH{&$jn+SiZtTK3|S%rgT<1~G{MjSI|So?r|wRy?T6QjcR zVBZZFW7kH9HYeM44n-eW^Ns{J3(p`MLM%;na%N9=Q$Zz@%Ufv~ zvMq7Bh45S8QK&({ZD-zMj1N!AXl%HC7&}Gz>=h@jc-5PB+sIH`8gWvjRyu#i&>7|& z_@%zJO}*E-bC96q!wPx$3(sq^}ph4IK@38AuYPE+29SQZI0-qtgRc0wSxA-I6 zQ5Un>oFc52t_||0uilGL~vCk>)M5}TbT61`b=y$LAfwPM%j;c}RsB!7DZ&F9i-zt;eymo%i z`E{a0%fjtU#8>CBr$x`g>l8e;x!oH2C00%_|5(=F`rmw7#Py#~i~b)&rMYhM{kLzI zMhFAK`hvtl*JH8(g>2Ln|1wc6)_{{(0_y|6Zi(YJv(s!AA!;+v??cwf}4RBd2 z@|*}xlLKeW7xaSgWj@u(m$bCB@p8`%eoxSR)yI7&LOqWO9DezUAC3#pyZw=(ahZvJ zOs?#@)uSoC9uhRX_7+v;EAes$LwX8tkXSm+QR% zV8WUzhtKmYs<$m16{i4oUDO49t;EB1&XaZTG@s_xAMYr_L_Jwc#E#}v{JO+;YY3IM zWR-svdg^1mSWM@n4a>;gUr{c9ao8n()HU^z=Agg91IoD?l-bYOB_8P7retMhJs*T3 z!eL%CdyTRlyLB}BfM$q(oUr!|TP&FJaJ!^hbYP=;vs0l-aF2~P?P%i^hOw*^CfVXx zb@leh8rD>YHB0nuylKOGBu7VMC+_RtP*~B=mC{Rl76subR4mou;jDNfrEZaVk8xd~ zzQi%=T`Yj)y^(lQ(Ig1p{vDN9AC#|Y`WNpy+S;8J=fseB9>7WKImz!~WNgg1x4e7G zwsza1(#B&WwkbNveBaM=*KZIHz}n(>ly`sniKz+iF4=gx&bG%Rlv-I?3+$6AmE#oW zTUP=RPP2K=Zc7QOjstwl*_2pE)SF0idmZL#p{Ya?i!{)iUU1JAD&GQ<`T+WHG?n2o z7v*u45^9&Z)Uhx3=Y6bVs5bkRJmvgp-;t{_kpzSGzB$&EtoZ(~!D(lM{m!V+mG+bu zpLgKn{#_%Q11;Oy#G`>kUYbst!x|dK$J^W6%1*VO2R0{GhYP-^*8lwaSVUfQ>{5HO zCw}tS_OOoT_^Iub=V8!^>OqFoul`lF#NF>K^EAg9D(>dBiG_S`1uCn0krf5c$(GwI+S+H z78NH9=g z=hZdRt3vf0m%kR_mOp=IQh9W+)2F|W0@cM5y5^&x&_yUHG^ztlAwlkoMnzrbSjKgH zp>V~?T?(n)2Oj%fiM>^yPfE=_uK}|z_QzNgj|Xb`n6c7&wZ-zY$a+j`dN6f+;Ez>K zK9A8|(OfX`T(U5**wt9_S^nyPGXXsISiINmkCrNGv^?``#sgwHG-Mzq^l_u4sDZcc8ofd_2-f!q72?l*bxDb`@ z`ILT~)12IsrEB+})+vST)057`KoM)y@F)4}6qqV4Nq+y%57bRq!Q*v)7CE8eZrSI{ z-b&l)p0C^E2k|Hq>L$1KzY5rMiZ*)A0yttk?7+?Onhw0RCM zfBg7y{Dfxzr(dYomgCZsdbDnR|M|uF=gY%_OQ+UBdxGvLH=;-3izDJTmdjU^og~|! z#0QJ(@!bo(nO~)kqzzY5<5*LwHQz_v#-c50Ea8TMF87hO4=1@9tp{3wt-QZZko!$8`BJw_4y5vPr`X0H@ z*LSKp2w(?HDzm11Z2r+{VsnM=zFw@+(MzHGnze4c04@~PdDV$9{&pRxSU{K zi_b=sq_?xUQ#y zmAt^eHve0H{rsPd8U^?Au#?+t?&H-@8KF|ax9`hm?&X`cuee+NI^kZ;7!xY6)Yv^q zIPhR`BRj_P6xfxmj;B0t;M>(nef92{Cz_O({a?RorzqE|>@E=we@)`TAE>s^ESz>+ zxH|j#^lA+-oHL+iDi&2_xA*wTwO(&|GH2_N!_^ZlqyO?pqB}L_DvqpJY0un^vI7FR zuFQE6noQnD)%Xx%xa<)z?b!B|_-3zK3L)T`RZyiq{=E2g-|KN?n}2?;(BfRZZYZPis@Pv&lsUOo>eMt+j`r0yj)RfOo}Sg< zC0%@+LZo0Imer-TvWBMCiYWZQgv&v?@aIcks4X~oavxpq!#Op z?jsiyrL^#r`wMuypqEZv=Na!-UqT=X3})pj`oC1W*X-T4R9~oxY($DCC0Bm5S6>fC zDiX84W_=*Q?dI}zgELvG<6-!tS$JrY{~=fgQqU3l_et|0|N zZrDZbKTjL2_!8B7=P50^2JsE4rsf63w%rFI_&i8S+nV$0u4Q9Fo4SJ^&BBKhn4#X| z1N4|hlZx`bA5iarsUFm(1Q-O=0C~uqU+~TNxem> zgg`eyiNYs31E%O}?ifg471dd(>@GTp8Wdz; zNpzlx_O*k;xf)7Sl{=#r>#gu?#%hYmeoe||sQvmPTc^Z#XD!K(dJt#>P+fPXEtZ6> zZhU;aslD25Z#`M&ZLJg(4k_?FIUXGyg+f{0oOK)BiNtKJPgg%q1_TE3kp;Ydb+u`w zcNh@9tw1plel{WPLnA|Fyt=LsOQPi`0rSost3ZP z33gD;|NhdOx2$G*9%%>&xDZ-V_(Wsid9=0f1xQ#z><*wKVs=m#uziYh4 z=_)HLix|e^P*uD#Coii0EIU+2AihuJcbpwpDt7`CSx|MT>V#dPWG)U(=h&DhRFv@b z;;dMebZP`lngOGMMpn=2G=L<8dVp(TraFp#0ThWC&%p?atcvaocRdvee?1;QQf#HO zpaLFi=4!A}Lm-m@wWte^+a8cL{K(fq!6yhiE(5PZq-v2;Mf%p;buonLI}NyJD815G zD~w`2`%a!deR_O1@|un|ilcS}6*9U5MYj8mnocd%za{b9YcU`|;K)%>2iN>q%~9F- zj`-#98o5-PhjpEWvKRg2nUtHmtrIzX2N?yKW8YwG^#09vvNlNC1zaJgevA zz0|oN{El45mC?Zvn9CplHcaM}SkfkcxUyHarD)g0Xbfdkh3=rS7H%l4h4pB~UUA1$ z7^htF(RXjSU@xHX4L!+gO2BJF$IS0u77tp zwzUF~Q>F~-eXr2~G2#+Ig1|GweA(;=1v%up}becAA1-#sw7k}cS@E6WgA^+en zZj^RxvFB9W6MkfA`MG%F*x+Q#01Bdn4GX)r33wj$dB%$vo0*vbE*WOfW8w`zM2Bm)Nx*!KD~*yFrf|!@3>FU#~-XM)5W*+mMhDa76pIO|-v09S2Iwf(mTy3|!_? zaUAXLbmx={I)vR)g&9Js z1z;2{MOd;~U%G^gR_a9L8Jq44;|BmjW}$?c|JLVx3J0y|(nW?C2h}LiPzkKbD-Ij0 zP2p+UzMV)N+!`lP#8qGs;Qa*ouK3j=C1Y!xz&4VXYbm*2jyD3LF&a_9^R>IA-23ev zP{#mNK80L)%gffc#&MtG{hNiDFd=-od( z`pb_9PT#ht@o)i1m0Y5ZkrbMn{ClRFGtqf=eX9^V6esc@K=SWTRZE=YLcKPC91cM2 zf%T}i*oK#;3RsxWxqv%HFkx5>5mh##ZU!i-F<76NP#=1v1lG%G?nxkzVIhULlBYtS zFSXbhKq=~IqIq*YOI9?=jL9*{@grb&r@ru@NfWGhmWRvsz|4nkv*tHKU(mcLlSqz4 z!2cQt+8DTI*f@e(phv8xs%c~g55J4~&wokACZ-1sL;3J>2#!ML$vd@uj>OVy3Qs5|YGi}2tjnzZ@d?IMr~n6iia=x3mQ1?bm0;^4^O%o(Wk2uJ03g17-0 z56z+oe+SphzDs)!MB{Zd9&aE{hu`4Dh1^$#5WtWgh}XkN6#*GYI);%`RC6uk+*$(I)wghT z!$EkJ6xR}?li(hha%%LCgz&Vu>wIVyhJR@8kr4;BqqQBdgsBjjVjAD}$vgbr69&9i zVWfE3or%``2X$$FUDPrczXF>W4NT)A&DaDObF!Ck2|%dU(I7uE=-=2iHG12BoAZg{ zpe#NzlK!_f+!e`{+gZLJg%3CNp=;1SHTfbKAZ=|MEJ5 zoRGlXwRLo}I!wHOxu&~cs;Ai-?W$itU#jZRV8!M(9%z#$AH-~j(qC;M+}OBPBSl4x25YbK1M6;~G~^Z@N! zqIk#LsAr<(j}GJygcdYY^Th9VE50G`07MI@%2|hY$^(lG?RO>zZ{`Tg1H~6nPBLo& zYWwzT!iyjtyTX3|p%cfa0qz#j6Uk2P9I;#N(+l9U*-MT9lI=gZ;x5|^o09k;I<%*G zIpEkq`^R++;HCcO2Y-;mzyI~-*r9CLladQ9gCU3v3dul!5H1EQuF+=qZ!jPra038& z{7WwmfNpQ)+=tg0Kwv}3H`=pf#qB?fFR6wD`p_6Dmh5i`Xkh~3tGCXgh3hQPdx5@r z9#NyJ=K&WC;LN`iV+I70vZL&&70r!v^=i zQi#n}c2cWGV8!l=Bg=0p{@8)^P`z3=~oCN5BfrqO)QFLMTuqyNPk>k5}xA0x)6 z<~xkh|41RG5as;?jB6}p4Xm@PF@y32V1_B&!+?H?@M8ykkVay}UvIp6v`_(k{|8G9 zlwj!l14O0J2LZBI2I#TK#Qv}U1=F1B8P5RofhKB}a^IV?^QssIBBMQ^W4ZbGoV3jlx5D^g>*aHKs zUudT4)EL8SvAr@jHZ)WYfZ4QMcJDlRg!K?wydly zlG8wJK6N>T3AM+zOg306i^pB2*2dDTAWdb_L~BAG;jY zKzTYpF9^KGuhP9Gz81s%Sh=nz6q}IxJmK8ZlGQqph~0oh%n2mo5EO}c)O7{=PLWwN zo9HQ4aR4OZ_p5Z^f&!N7iNJ0x9;Y`#B9;m3yQDhx`q*Jq@6Sm3TYO-Q;Bj`p0}LCm zj8*E^?$Y}@dID}ETf8SzJqsZb_fAn>lnDf2GYC5ZE=HBcb94q$GPt{E&(^L1Rwzd1fd}a9#&wDeo1K{!OR^Dn1`w*Zc2ftv~i^{t6?lEqua(8W%U0b zu;LU+AY~vvuk-)G=VeK5#6vf&1|W&tr{lGPb~8Nx(1-J7Q8NK#WV~6o?SjNg06DzP zVM=bqI$EFyscR2gZg~h*WG749hVxAn1e~BoUK4eZX|d|PRir}fV+`$5b87)A{(mYDtXfxwop@I zeK{5Dcb2@t%O6b6L>y6=ciWXk-pRu)gA<1^l1r+_V{)lrIeq|+RS`(Qk2>Au0|Ejp zM=MH;H$A|QmkpxM7Eh{r;Wn2V#jKl~XjZj+`(NNO6#$Q$Ar=bT6d=8gR7G7-HBLNs zpaVz1Auq`YS)Su`j-fRf#0=1*$i&`qun5q|3FGhJy=~=`X1v8;LXQ_*kO5Np_s=!sX*=@<_knDT zMwvFgLU{p4K{0u6dz#nF^*s*m0P1Q2J@93Bh2{;qJ`8pp^(M^%kL5C&Y~PL20-j2z z{~NX#P}G01#a}}1Bp&k;!O*=%1|db^B&I1Kft<2Gmj^IYw~#58ivpl7S-F;Ifo0_C z3s&@n0A8Je*+m6|0P}SU8G);v)t^csvId?28jK;Q3h^DLsYCNx zJ8hF3jne60o*sah{JpIOZ1iSMDGd8-v`54?oF3QB!+m- zu&x!6138~#-uw5K=6dUWqmg0x<;)NvHYCo)1n>El>^*u<6weQ#IJgj2G&kDd*TGo& zAMkk%ejP z@x~1+L^_1#!25^0Vga9wz9o=Ms2AwSsOZ=c#hFiNuc|>8HAQXbp_xr&y#Kt1+5Pb=sQA%f;CwxPhunfCI6!sX|%=otpfOR_NRsGM@wWFH~fTo74 zf>|YL;~(&~OkcRcgl^|sE%rp&&+;{J$^cs3h?Zcgr)~$@Iyo19{mHmRAz2%aZsG+* z0OkycRL`*hqV_7HFn(FXu(mvYy$s$b5~RazMCc=zm-vvO{Z*Pxr-)t%#V&hG?E8I% z@m_ta1QN|ff{_2()rj+OlSsNdAJT; z__wDVI2i))(Oz90NKK5uVkMEIq_ z{kKm57B9N_31pupdrx&~PV8`V6jTKn@?!MYfmlln4@BSf-NAaqO?W*7mqJpK2zf7h zRDW5{U4?xE%`gg3CP9pd;OjrIe~au0riXi*kokMvxE++j?rl(%rUT?=#9zPo7J$RA zK!8SiFZ}(b1wz65eJR$9hFc)C@VaM_$0YC8WU)Y3Z^LdV0NpEG6n7XdK!<~+RUddn zMc^63uI>>!Ou)^L8fWJFFwPLGM&X5hk@t8n2siTn+p_7O>5?3P3V+Un@j@ixrW8m7 zP(R6xrR36)XBqke``R z|N50%4Jb%4cuA8%gy+wHj%fsMpl@nG`f}HQP{vrfD5p|P?7umc5DOL-5gBeaa$FuJ zqZWRISqi@CYIaZ{w~)}_6pqa0u8l>DSs2ypuwAykv$N+2UdJl)7v0kQV>yU`0){wN zd==tDsHFCCg-I<6Mhw1R+8_!>90YTR*QfnWX-@0}=!ks=o|VVHAt_Z?1EDPC?R2Qf zd|mhJjkt}T91n;5Q?>wtMHP=$YhXxzPYrC>w_rUkeBrEiXIgqG5d(4Ga&RphI*7zh z4mZZ*MIjt%9E5vi2IWN`A0Nk-7(R5ve2}eCwvrW3w{HQ=i%C2DO55XYUI1`j{8Bid)pzp zH>{t=L2S{s#4I9}hxUI6;W~%n;59Z-yKQYcq;jjD#zn(mhkbuB<5{hJ-Ps>BHw9cq*tS^vNH5fz)^4WM&Uk8 zXgjOQp>1CrkZZZZmJtr|qm~8~0Naa2InHdF><3A(k&zL|L;}B!eqA(d6L45;M#_rz z3X1ri?()MJ8PM5FVd&hE5`^e|lzr9$zom%hv0M5PF{i$+=ir}fL}GQ`+`;S`pU*W^ z{}YK_LKU3G9&_7hLj{NntwB5Phv)+R7UGF`ze8lH<@uIy>ZhRoG|4sX%T;cW;5BD~ zrdhrZ5)qjp&Z~9pLpXtEmB6KNI zm`|?aqZ5V6rI5^nVfqhc_*dKma{p#{2s)NTgjiG78$bglL~NB3Ozt*1va@9PPcw#G zuxRVy?XGz{7NrfzkcYoSp5H|2;gSPyG%AW$f2R=BvO#+ekJcnkTU z6{@5Sl}mfkqi|_xz*zX7A7RYP_Mra5ReTe)=B%KpaOqqY4fjvwxr~l1twG!;>!WN2 zNcT8mXv`i0!Jr`7>WS*3og=Oh(wOp$-y& z%W)ALVZh6xdBn}%HW|K~{DTjUsBx@X$Lb2Y5F#&Uiv<1EonS_%V9NpiHVEkDJO8?> z8i=Ulu7DX>);srWfaI+kvdFIt739PNFnZ~Nn8v>_;XHr|ZmB6Rr4+}O%a@v`}=;`@G3cn;G6XpcDv5`#%`%rl~bof0nnt8$& z+2I@ngebT!M%)L5sjTrz7cxycbGPS_t_p5t`>jCC^gXaXhd?Hmie$==KRDa(&Q-$J z3>qnHZo4fXkG#DjOi5+_Aqwft0DEDv;(yq}kcLXL+<_sbOF?+5c z%+fGj96?kd`sLkw=)XOilx*Y-3;u^C47rL9j#!gxEK_Q`s~WClNG|B*-P_2;lsFkE zPH|soEJfAfHbAr`=a6N%ZV0{yiaA_ceTHy(I1&iPNJ2zS9|*>mrSeldP%&d{NkFT? z=?vR(ShNFW*tn=;Co68#cn}%3MOMM|t81^5tqI1@$yR)Rv02t zaA>CaE39q6{;u_R`Vl~|&D7Fz5zforcUN<2Jb;76&C&F1la?c=WlR)kgyhROgCGIS zp_NNpF$ob%a6L%L$<2@QP#~2eb9MH|{^=YA4uqFuuetvLJTYUj^$7Xsf*-Yu*;ti3 zB=BQ^^aKZ={QPtVw;#bt)bTvr##5yIx!r?gtT*5-Z$kVPpi1-=zt{nxCEf`KIpD;_ z3}FSF6o{(YOcl0Km6#cUu*)FycLnqr+=KbQjoB`$fE^vTJl-kDv89P#Kxn~t`C(TwV%H&x-ymf|I(lR^#9TSN4>*{jnEQYkg9M{3 zDw-vWX|IfSCqYZfFhgt>bqt~$)w%z248l3|>XqP0CFh^tHz4CO=CUhRQt5k7o_s)~ z>%!Y9eM!_&J3W0koLIM3Q&aQQ4WgsjB5%kV4_yrVCV{Pt1NOrSF{Za3$?y0?wMqoS z5ofYSNRF4RGvYSJ zU#f}e-QwyANG}*=6jqo97;A$e@SR}?1e;;cu$A_1lxv)umDX++Qdqt182mOPu|Leo zlf#x3CjL+UYedeA)+q3c)*(eWL-`_Bqw6OeWB4~T>)2K6gBL_Cs_pseRQ`?7cQkri zXS%@(+1rpqtW9D1-*mtAn8NGyZqdAjXA9R~o-Ouayp9;SGRwnrie`(3MW89(SsEe> zEVTuAzQ&2?sU^dxVM;io^^S0W#14MvpG6-W_|6kRZ@@2@xCZI}U(2cqS=5<(Egb-Z>)J1wLQ z9a9rh*&u(P3Bdy1{AeV8rsfCfD0=_}X96gg4taS$pUWSd@fD>y8>z)aFzw2~1LaufSIoXwI6guMoDQ{avhS(>F+vd;;syC+Z+xaKP+@gpYY0q6Jf6X+Y zEwZGFj(epOkV5z;p-+NCo?%EdKN|7F)XFRE_R3uKPd{UNXIZUfhG^T&5pdYi`Rfm6 zkcQKyKp)KO(STJl!7uWYnS9{yFJfrrnc8rsunC-`B`Px{-J9uN%ejpXuH7|mA{X6h zoI#(yumXJV2ku&NHT2<(MkIx$CVZZ1K^)F_z&H+`#`NbL=$Qq5nJRjL3mMESBY$({ zx)EpW6#-Y89lNs4!-E7F{oAWVC1#n*_rH>cqGL4^`8I^Xk*g|wfAwqt63><~9;2p} zk!KJ-4|0P@**k6*OH26?DGm=O`OEb|Jk}RA6-BcWd2>o5DLC??LNI$Zj;N%Fn3)cW8{2L5_WBOIJ zh_e$c4}WqwgIh;sj@}wN=tSzB#+oGHWkO=e=I!|wAA&=%c)?+~zVz}Z9r840j`Fj( zt$G9s=mC$O^6<%&{qXJoWA7~hs$APOVNgJ6QBWio-LV$kNOyONba$sxDj+T0NOvQt zbR!_0(jC(IzYDkD-urCl%$_sf`OZHxN7Tjptf%A7>v``8oP%|e8yX-R5E~TWn_U2) zVY>zyQ^ziQ>xVXC!f-n*KY=5Y2^P7BT}fq@TZ$I9nfE{MDtsl8qwZ?wHS7EV!(kD# z8V)3BIF6+rm`D%D5}0L=U&=br>_ zcNv+**?pVubLL_Rf{$-?pN*^yj8qxB$asM0LH-akm=)z-PRvNy!k9ilVsLC$DwmL1XKZ17K6F93o3Jbrc|Ga0L)EnEFz}p6R*cifI?ik(Ol&<#hc_u4Q(4B-kOhOorZ zeMV)g_esuVz_aoMF%ZTWu>S!Y1m-LwptrK@gZpHm5;PKUEFz16S2Xp^FzoUh%C98N zC;(s1BeKr}?3DeK;zGFuu<3GwD@uUqf)7Lq2I2_|Wdf0eA(`%+xqBE`c^!M2^uV#f ze2W8dMoQUPFS#SCbb9qTuLHn=0O#B1g@WLU*vny_TuL(_TJPecIs(8F3?sb4%i=0O z;vlPm^i)30jT;~h$;Rl={NI3Z!2-X93kE2t63-n61qHzX?|OjElPlLd$bLk6EH8d^ z`fY&(n8;MEk+7$#B@VJ1Z!zTo!4x<`fQSoZ^?|r;4%(Y__EIZ+q(DY`B)>q|l;-9E z$c)fZ^`H5c1X0fp!*77t!6r(8VlIM7l1_hUbqTOXV8jY+PNg_zoq);~bIgAV-zIiq zAUaDzJyB!~aAY7lu!!GW@50yt6oP>6cN2EvAUnFmnI5rW3+yUjA*IAuh;B9Zy9+m+ zjvpy}4{+tXUTp?mZzTY&`yh^7hgb)7(so*Zq)4#Cfqqr&wKxU+O1#$4$!UpsCQ^DK zUDAJD*Y0%l-_}=t94V^1dL1#CN_SLs~%vb zlI6qcK*&g8doPB|e8z40X`M3JQ$g=zY2+eUyY6FNDj*`J>gyZ;0aDJ57*|o)bhPJ5 zI165bSomm3R-JIv*lSMSrNW0Nwe^23!P}pajWA5m17~JwE@=ewHm$0lUAvr19EhJO zE(Bm>0M-M9oJbZ=;tfe0=GFNVf{%+$Cv|HW zsbM0rr~$f1^l!FMfJVL&Q|C^U4a$jKtDg_1cz$o;LQoEcfmf*`9U|M=&H_eq{u;3S zf2bqoI+KQ!=&b|OXAO-`{8EybOAu%X6~htmr%})NO)`W5U?}Iy6EEo35)pTFxIIXL zK*G>Sm^j4Lwb*4XECw#MfN#hDhVNq16`Ctjn|MkBsAQ2OFOtRJK%iHLCr^ATXr{SQQp^p~~Wb0tWn+ znBR)0CgU25LPK|wV?+a#qe+cs5l5oK;G6suj?cc?wnct?Kd%^ry01SUUf;Mi^`(1R$zUO5&wdHjl4?gsNW)b4VbnB*G(Ew zU<&i4g#%tdwo8|y0i8f5ksV{UHJV0slyH4xL!;5-42AHaOe~<|DB(^khUDx~p?zHh z*qHd6Sfw{zgb{oclGfz)25msl-YmPV$h8ElK%@tpFT+FHM@-V~xipVzQ%hV_gV1SCmx$m;?Vi*D)E)`OrQ5Qh! z)It`1{u6y&4~;T>YrsDid`G~8)97)z-yw+V3Pj5tNa8wK2qfgSTRQF;oy33ImZ2Dr!a|;oBMJ<)Z62- zEfRGSLq5$xikzUb5Y{ArQYrJQJps_AG$2XrjW|+Sj{uF3J2DVzflh=X>bo|E;@2>u zwf3Pqs-F>S0tw!r2tfXm)cZ;TFREeJ$yJKiy36r3aDqr z5`vA%F$_ylV4g-v2?Naj2$095tVn?kzt>3;O3Jn8cMInSKu1WrjX>zn%17<(?Kmpa zbH_x2f`Wi&CJES}k7T)l%4bg+p+P16?0;aQhu`G@=2-6z?_J%aq_lM&JeWxd(YoY2 zWiTQlBCOW4T2nEmKM^W=9 zR{x{HK2WRCgCmiNdwQe8An$e{XXzQ9im>7qg`y)+-0cpn;-oAg%$f4RyDEU3X}qBf zGK8TFFsSR?o4XZ<)i|MKtdE463je48mNHmF^a4=!mM0vwfMjXnJQdCj^}BM6hMOid zx8w}!$v;N8m%1nL)D<9~PrJncTFfF8Mdt=XnE(KiyZX#;neTfid4OHerJH@o=)s4j zOaNSI;S#zzM1X*b548u`d;=Jl>TAlN0$2cstc&dmsO8@*xr+eGcXM$q{aF1jzw}l6 z;*V&>hk*KhGq&1YN5=;vmDLzb+ib-L#8`eP55pLDKI3J_Gj}VD-|<;Nk`wtYW-r!} zp}|i4BvcNhgfY=B4DKDP4FB;Io2PNxd3qJI+sL{w&)v*uDg~d$?QeH{aKEkg(a}_> zaaC{>)K3B1-1{|ta-j+6|745ltq6O^M=iqLOx68kdDZHz1c(HajvT!N(5J2!+q4Bg z{2Q?R2_q^K9;-CEF8h1on4-V+u_~{L8&dc)1~F&q^+9L9^~J6E?T(L3p5?_O>MlU& z7>j!necL+!>Q`AYr{`(6E)*mfg{XotUO-d=G{NmDen7ARE`7n|n#YC(d-?wst+_1Y z8ma5GWYv$cgs~hwiU7nue9lq67K$Gu;x{6D6v1TuGqAA79+5MS#?(R&70+}wpd(;L zg`x8aTuDQur80gxsph1IyDJ$}lfn4%!FTlWr z;fe!tFy%k)%>DWopF23Wu4xfKCk7S&4ZuU9p#$<1eQF4)+oT=yVfak?M)HDM5g!G zGC~29LF)DnVB6X+e*m>>osvN>vs8${S40Cn!~<^Yw0>O-#C?o&IO9ZW?BoIn=0S-vGe+0v3Tw7Yi<@%3yjiMMKQGMLpJpaxj$kEXFCEHuWmf%pZJroS$~KQU;^r&d7ug~1u^|t z{yJK%8XLeRk9tqnWx;>Xb*|c4ms${7KSdD$3Isf(fSsy%;WV5?R6xse<|7Lb>)0|v z3+Zdg@*;4F>GLN5dz#5Yo$3iJDCy-UiQk|z;G3Nc2j*tx=M}HGZ_Pey5mt^@PByCp zDd=&AfY}SWz%;-D1NPK-E@!LL)h;N3kLUsDd&4~f7ZBW60JJbnfb(FEB$PYCV)7C1 zE&xT<0x+?s2hplJ;_h1fbz+AVT|YgqDx-jvb{=>|fw;RIzvgfF#A8?8A9rJh2`~qB z9`bD41NVvmBO>5>1w{Oc8332qvU+v6J`5-XYj|%6etjbTGg4pg+}x9oJwN!xn?9I+ zf78dm7ettiiTRJO;b>Eq4jo}cX*f|`3a-%hrU-wakieSwjN}u(8fhjx?2Ybc@$BzJ z<1@8sG9s4xP25uh^ay*KXjcq~0kWYj1F)|&1`CK3aFkJ5F3%wzE?A8hE7(}r(G^@e zyfgAc%n#o%W20=l-LP7)H8rB0SQB|%@i14%a@?mduR(9&3N(DHF9Dux4{Q~Ia}w#C z=6C>)V8+0~c1bdMC3?Rn6wQ{VJpA*qy}(DClbppH_YUd>m9Lo47S3F$gPQ5 zj}abax8r=62udYyirz9 zVT?M1uz3WT8{&Av2eXdTKyBTe@#Uwg$M%yVlXH&pIg+e`+X|L0s8g1c$;70cEHAs^ zg3cSH6~ypzs_Z1+5F=O#KU&7pdHh~Z@%_%ezw{YULp z#s~HrS&u!pUVN8&s#MBz-h*LZX8!6cqF!DPV$XnkEk>wC!?zpLh#k0tBU-M?gt4V! zjnnz9fn>e8vg?Tl+E(ne1m=rajY6=cU7C0%$>xHKYe>Tni8x#?`0)dqcYK3r;0p2! zzb-`Cr|er6qlW6FG#oz>TujpShC#0^E&s(KVgCJ){CFLXpq_)Cg|#sfgPfkRp*;x9 z0%TC&<%Jfteqn}m`wabso15WBf!lmY41(5|Dj@NhQCyd#GqhkZ|!KO4|D}+QO?d7Wa#1mVi30k zx+?J7r{Hg&;@U_I!Y&S?iVk`XhS0L2j3DrB<3O7XYO*iP4D}tL>1vE1=sSU+V`LDq z2F3uSi2!-op@~2e7zBY*66miG5aeen6Nu?&Dl>@bXDSPb`DZFCi1}wK8;Ip+Dm#ef zXDSDX^=B%W5yW~sAJFE&AU5ct+%^pXvHc^R3B-Oom(V=SAP&Z#d00Rk|43&ACi?aZ zUf>TBgS4T6sh)tf3rG{1$O7bJX9ffF^32N08aj!q(R~thRgOsV2 zInWM>8Hqu_+Rnhx?ne!bKv@Oov}v+1v(iH#9LyXbHV6|vGaEA)0%Bo-&_kHO96%Zi zkjBo+#tLlRJ5Z z)IoRSpH3b02>fBkdn2ixRA7-&F?+EO;&WZcQCXRw=%MZ?mY!V zV^ezvJ690pGl19`QXw(OLN`BjfRsN62qY>x+SphaT0&=?5qiv2p{GNWm64I2k%NsD zO2+__!ooq%#>xWhI4IT8gs`*FgIQQ1EWjf>h=~=%!p2C?&dA6HJOE#_vVrN@*?=#Z zfd>{=W_k{0Ff;hJB7ihN*yuSR9IPDLAXav0JIwTqj7%J0fPO&e*})L#>4%FVgobL1GEFofy5vVup(3aXI91*h9F=QLYo%2C1-T3U=DgPGb3=O z=>AbF2+Rcjt<66)4-64n4v+v10rCxlq{V4xjV zcJ@EYjN5MR4pGYQcX{XXsiP$nqMh%HH%f#*M{&g<2vF^=zb}5Ds5tkP1E(-`7|1mY z&NS1~m-THL#&%W1JIlHu)Q}3d4=rX-Ax%7l5~PixT^Xb{gh_r zIF6L>%TKD8?`WJ{>v?Wj@0Jhnu5OjURgQ03o5sTSIkaKtDdk9#2NcF&`O zpW1_V)q==Cx{KZmkU9Aq0lr|S-30DnmJ{t#1ShrQH*r~4OB5WUUhyJS;nSHfGDDDZ zLeLGvORyAmGM?0AK9nW3%}KL75T7huQAj>`P%Dj`t3+ZJg;$};iQp0Zp2kwC`yx|> zI@*iB=Yv^rZnnvu84MT95du3bFF(uk(w99o+=M-=_kAzPO|!Tsb9TrTxJ(43)3!Ir z;^my~c$lQ5Z)+e(iv_a7)qO?Sa~}3HWso+hgV_!nL(vLpv@%omlHiDsPF40$Yl-)*XreaTdr$BLskl!IC$%^_oHhqU~hPMR(QY)W&* zKZ#SXSWCMG9yY~U^jKCT;%o2d7s{qiHRt14a%HD?!T>w`sCmzSPw@Ub8x+ENxG5e)ZiHCB zVx-Srv514_F{ukd>&>2@JhRUA_{Oh#nn#clA0MrfX9C`Gg5P#}(?2tJg|-!ZFvR;a6D`^6p02!%v@JfH=#_$F<=570jcO zJGeJVdzCMha6*@iSivk2t5tX?(n+`W}kO$)(6)Q#GTuzJH1kf z8g}4@qs2PCQ~ad+Q9&HOz)ngQTU5qj%^{{4SX&zI^8H%3`nTSwJD<+`pB!94%EwT!sIOUbt^cYJb#Iu=ATEa0BDv6GOyqVe||sR%%(RVGQoXg(#M zUe{hJyhOz{Gu`_lW1AJG!N0Ag()s=S8f3x`6?H0Ln_>fIt78>qN|pxxhHir;^o!pa z@fuqi!|HzJM($)iVGY&N6x*I=-{;X`-Y~ou5*JE5wkTiDnyP)Tw&Qt1NSG_G%du#%@oB?GYnK=n4&j&!Ojc~I_btF#Am`=KW&aqHzZ(pZ_(*<6*WVwWe4p9&r>(t#ro#DU?LcsCFAMf?hgOZWeeb&0pDxx@587GqbZ9J| zbVfA?kP-7ec%*oWuzDxdoxq)2R_L;~HQ*gLx-0F02L>4Tc)+xC2~>54|E|NuY|Sg+ zsv?dRW`jz&PKs<}G3t)yNCHh*F&Bof)z%r}S|!GHP9QJq(#F%oukbaGP(C%e>y;~x z^?N5TUdlY-W3r=_e+98xGvZ9*6yibEyBk{_*+b zORbCv7_XGoRq(ysIEe{ciSUy^{;to}fp<%*!koGvS`N-;S-w~B=$Xe2^u7>FndiEf zy4BGz@o@Z|yFFSnk_p1n{zc_W`(@OMFQ@&c%pBo&g}*hbXYE^O;&p>#20b@8%#>`= z_L;D%W?plhJZx6*Izfmc34MR?UGwTS+JZ$7#)&eaVQ!#le(*=mZLVD9=hK80#I5^R zM9mx|J_1f=E1<^fp&S$&`}_B9V6^UB?lymbiTt7)P*1mbf}ElKustVx2C3BP?uOn4 z{sLI9If)G2`HA^`UAlP0%UP1jh!EdPv_`6dL6I|k55dnO?QAcGVmwI>rjy+StYTpI zFB7o(Sf^hvc)G#pUg8K3JCAE`U2IYG4)7X1qf*wOO$w8dC>Gn5@O~dh+k;^jycH$7 zyxYYWt{dY`aT8=$j1$v8BsuJyeIwd##-kuRID90Y;Y4sg!7I31iGSw=!$rLNpLS!3p>@z0 z`y9`QS9V~~xFIdnGoF`sO>l74j1jy3!KLFMA@>6h(o?oi=kw{$&E}in3GQzpPh*Ya znV@@+%%d^GYegDU3`kuUcR5qY%3gaNbS{5giF^ z!C$~{`gbCCpE>v6^qjE`@VQ^dedptAI`(KgEBj8iYR%EK=W*@2KFu~znPkp8=1{aV zooC-Oz|pVXA2-YMd`B^0*}CtN0rO_STesuOnC+qW!m38CS5n8!(DX=6m7es~#>dHc z<*zjP)HK~aR*um{YK<1_5fPe?88pQhq zmJD85_ZpR7k12O>j~-KBKU7)m8>We@qd8bn=FI23{HS_zmujMa!|aot+m%}&@SgAk z8GYwd?XYQf!6B>&2WfFgLSyjzeX_)lH2Xcz1Ct3rp(3kaV`I8qIh7gWK>%{e8a}&V5RUtl#52_Npuj^l}7CxY1W8)%|?YPVaiGVmtE;`6G73U zud9cyQ`24(L8TdX%WG?%UhYMF9qJ1MwTle~TlGDB1M2eY1Iy*3tTKg)?v~;!s^u0k zo`(#6+w6Sx4m=&^HWjo}y00U>a;FDyX3(xB3tttAismP}eKqOGaVBOj`;`ookyo1&}P5q+sFza?>^b$&1H8k4U)F}(CxFj(*U)Q->50Vm@UKAO1ruv?zM z^hRCvU2}9@Ef~Ay4<}KnXbG0 zJ0=P*v{9`Z*{lc_Fj}xb^9AT86q`#kJ?<4ka|pzpj=j#C9$9ZcD#qN-H9893QC_g} z9N8+c#95By3PD7SFFtsL?mR|!tR&Co(#kHM?TGtAM5uSIrl&2#|6U;PhSoB!BWrC8 zqUlI7m*Vccd7A=Vz9oH6LLfwqb;rPc^j+O}a+c%HswMmP6Xv><$XKOW`IVC#p))N$Gh(wuf8x8(7yffPG2eG zxok=J{(V(5u@`U;i^2ljHHzqF%KER6Y4l=U^wS{Mf;FVhEfegos2vH>qpX1!KmnIg zCnzzBKJjTyCDP23uOd;Vt6x1fR*mBuQg1)|kf@9E%{apt>8-lgP&RG5&-*#Z0Q5F4dUI?hN&D&`Lk#!{5zn-LaWlg%7|9YP9;mM*#1k&s|#Z%!fg z3=f2Xx_M<0%9sD9N!xtvtdhseOuc%Rr+@rz^327tyt+oAw^!s;aki`374L(pihUvK z?}BvmYh8DDKK(7_GYsP6m{#aM>8sXn<6P)-c%Pg+}*znkw;V| zMDuzuPRNbR4l84kder1phjhggHJjZNtVZ7;JOD;y_TkNBI>f_xq+8FtN|Qp(?Y@Ss zIR4m4iraWX-E`tfa!1n=haR_T(?+=Fa`vh36gyR@?#U(ZVb1&Fl;3}Dnh3Reb(+te3F!4u_6wyai3m#TWwaFDQ_ns^chFiPyC(wVz?qBA(?)^ zxdu34sgtyhZWNpnOIPZ0O#S1Ipm#UvBZ?^4o0 zO4zGRd(}|GUX_`d!x%Ur8TYtlrR+s5uZ@I?YBu3DkvkV?Y}eDl@xZfV!s#1pUSJ{a zh+>pTkM??bM%Mz_x(z{62U(g*Tt3|dNl}{WIG@=}fk#!Lnpx422(XaH-8PH_< zBcHNL+pCJTeIHgA@L&$oLqz+qkk@*Se!Aj1Ruz2Jw?K!Ex6^pz+(P5+^3Bg-b;@F` zOWRX&rts)1#ngtKhiG73dng|h0iA>Ic2BA%YoRli0l9EnTint_`5P(utXejXq1DWm z^Dgl&aa00xNKiy%B%QsKJZq3;NXO@-7unyIhQ=daSyy=rn)E(ZlzAA+h#52{g{Y<8 z7S1u2+&@oeK@vlU={Md_+~FZC&Ux5*TH3t%@`N|uwA>vC#?uxRcE zB3aWcC2@1r6rVxHdo`#@SfH0REj%Nbpuu-&!J$-hm_oSZEks6fBU!hnkEdJ<(U-FG zBNgs0lZbkdowu)nr?@zR;AfJEr$1z{pk|R8YmRnPRx;^x(d2GYu!SFp9elB3dOBj+z6|+{Z3oMs-xnYTV7rP)~f2OwdFpe%?QzZHw);r2fE(p zt92ANBc+DE#aFXj%X$2QsVwK^en$+vGdd?RH)pWrd^qgiKRY)yoK11zRsl1(|1vU4 zb|D3OOFdgG@<-?T&fLB5$fgRjL-O!dL_1kScvZBnoQkb|a=SR||-f zmRq0pnA3e@|C4m$I3a@#qzjY9>w8smm9m@|E);wFJI(o&U60>`%r&bIotoU%zjqCc z=`^F#EV+1mdd=AO#|&i7&}XX|r&b{! zP^j%Wh72Y!^n!wAB;E#(6k^6b&>I#@s2s{5Q<#=OpUCn@q&6KVDOIHCxKyi?wlnH? zjIX)+CfybkA@?%tj`lmR^({i#Ya>wFDKSl%hBfZ^B;5?_^ekU03cL*bHQp&`Z|aJx z^0b*GI+WntVK;VhZSm&HnD650p@nZUZWPgyE45ju;ydLi%sL*r(HC(^i4SNxMqLah zFtLPLk|`6Y(z^8}664+vr`M{DM?V9L@a$vyPkNw#lp(mZwv`6*iSemXb8%!QiAv z%-VwIPza%*px~;j7>%?H8pz*o%?_ycm7{`D_W2l3`VMX>PhPWl*-SzF^~30QWU;OI zX+CN5w1$_TeKKAJ4uA7tJrWTjD=kT|(@S8_Sm;%93&qsoC}%I8-(#TAxzA1Lay55- z;=Dtdlb35}eZDsHO1t!BEteZQ!7i>_x~sXjqNI6CT8qR*=z&?2?ID(W5>xTko2ILd zIl|LtW62e#M{Py#6SrD?y>H62Yty4tit`!hv`C6|nq0r1tA^j`ch0qxXmVrKMm&{& zp(Nzj7V+T2vs$@NPDGM?CMS(j*34tzCRjWt*?!1Si7ZAp!SvEX^X0gYwJLD z40bpHC+GMj>Dk9hgQ>TPnFaoHd=Fd}LtVkh?a^tkn_YWIPNb8SCS@zo_mz!Gu@t@i zX)y8wM&I$%2uWtj#Xaj`H_u1WNHf_0n?x5>%%rlsO?O9`6bd;^eU_lt^c?|TynMav znI=_A8;%2}Nz60R;l2Xd%eid~6Vx(M)Oh&<1F*@P-XRO$_qh`B`h!S)?^$sxB)Wzg z5DLH0t|R)getBm4IknFra@uGx+#%>v+qX&~!Q*pkMvk;N>zav~N&t-;>k-Py^q1vf z&sn|V*$KW9{SsrvlagzDPcJ=rJpvu!?}yP>R|!=P>&sWZcdvYB7m}|VFInztd%8td zTjvyb2knlg^%wE#j-@7N%kDeQro~upeyYnU*>m$UADe$*^d;yZNC-xeL<;3K#Pc<>}? z4WwOC&19L*@o;mOWTrj`-iL9flTr7m;VkK@0p7dN+NFa(rr12kSds8>V3%NLMq%u3 zI8i~9bOCsJ=EEH4{5BlKZDoC-p?`oOk+(XmdzWxpsf3J_LrJbWufD7?7lIz4^s;6e z4jW2foeX|F3CNY2VGpsM%HmU+23GFl)LuHmz z?}6i+8eUv`ZDe?8+|_wPnH1l=n?YnC#n*nirZSk6J`i{UB0Yf7jT*}qu`$CnLi?hX z@wth5j(P-^TbJN8q77JMJL;fRZ z^Qf=5UZ0SIr%DEC46X=DHL~Yz82ly^N(Y`1ZMM|ecP9&ue|oZgyj-<!nh&4Y! zpX_m{%lB~@JAolbN9t;_%>&*jWAPsl;(mYFj>7h?BBrkh)zamW4}_dL@N52v)AgPkb=^|*BoGXk#WTW>GjpB|ykP*Xd5 z2SF1(JE%uhO7FMdA%J`HclRm>;Jya_f&u3$m>DSZKk%Rb!JGdRu>yEne|Hu`Yq3_o8 zrY-ZtR~$)OJkvqLSzFCvgA z!*{pR3ilUh0lJy~au$ByZ9g{f4`_m-simSLfHeBI0D>PNg+EOFA3$e6y!%iv1PH)@ z80uLf{h|+l0M-0^cmcLQhjvp|mMofLM47(8{OE(YPx6L)faWdz(1kTuCME^TgV;>6 zYopFiwZdwX>JpZx!MYc1F*#LS!44tE&gP@0rGkMX@v?RIy1?rCMD5EdG(4r%s)+d3 zD=xF#l+#1on{S)j+=hEQCdWU2uAQCglX*yPZoaZa-~SfdQ0-ZjnrKC6Ag->-J)et& z6VqhoLfOo~T_(kFkkiX*ZvBzK!{rc1`86DnGGV62yw=G1 zp6f{<$itp*!xfIdeCpqnYPVe=iy+ID>99I_+v2(gjq=g&UxlP|^-X~+3 zo(Dx)@US(uDiiyRVy`)9pb_({cIT;oEvv3H&-E93OV9l2Ve!Yr0K4URUj+1#j=OQN zVChu?QgZRPZGOC}9Rgk7Ek2^e3g(U4luZc6I~$eBOFOoTDVQMHphh`{Z_ z`>F!V^8~5$L6HeNzZIVE`NQJ!*U@4`anu<5c`wB>P`;8c*_Cj9L^@I=F}dF|pS|q3 zExE+>POck+=;|$vy{-YH4g6S&6-B0*^iWMhY+!+ zQW4qc>pC}m*PG||g|xX`$NaBKJjKD~tIAS`aUrVs@IJ>MHoWc??jYTf*~>{xjh|b^(I;z@1y9iG?cH&g6tFx2j8HE z4OqMwc!={9wLo*zCme6$Y4#jiQMU4#x_P71CKLVhy(H`7xwlyRPfsBugK9f(F-1gj zh6xcfc;5S%FA8;qxAJ!u#h>VY9*EYG$kQVylzUcb+%L&cy_u$wi+yetXlBnqe%eVsdwr(3(cT>=#Gk?;yzj87vA62GB*n^C$o_2@qBY3k1rfSpF81`U$1{Gm~P1 z@*@Ck`M=Mk{v(X-zpBjt0SxtD&A|U(X^)jv@RxGREp!k#2*yAL5Yun?%s=qTKOi*! zJ>Ca_u>8(KT@tm!o|(6T00rRsEyoVQ<8rDGPY|P`{PC*jq%ZCU%ajQ)b+_Uj?B=st zq}}shbx&!OubPn*rGMpNZ0#1z$XON~q&opZVdr?bic`R3t7^zrjwV1}Gxz?ry=cZ0 z3E0liCHinSc5Y3+dHGJZs?K-h>>ib#LsvMT+4{vWsJ}2jx0Pbkc69m5^on*o7cj@! za*?et?AOBkeO&u)jk`!k{|wha`Vo?{GHOoG2Mn>}lij!$%I)g?4Uv+C ziS18orTFX}9{7I!2@Qb1K+w0CEtiiH+`TELdOltL=7Y*2C%pN;L(-vP|8{Q|{-$l{!RA<#hYUCLD0*zua+bhJQ~o!T~JQJAT? zp_s=c@t$RN#f~}R_RNQlHddyXEh7`+888bLe7D;1dHw2?2YgDs zqI9VAKAxR-y_nCW*}UlyI3~Jp`hxD2P^k*(%Ls&z4R`5m1tByM$H|w=3H*D<53#sR ze0h+H^HcM~3~bfiJ4=d??e1a|1RI^TSD=5the+<;7?LYvN0Zbw>z0ssXQvHpAkX=5 zh1j*A{OjdF9wl3Bq@qk#8=Sq1;F6(Br&tEwD2|Y|ruYk|mwlgEs|{If@fM#)6Wl$! zwEe_o>ks!?;0tdB`@G3@MV>4DC-LUotu$oq0V8 z-8Pw(MLWca{1%vdWB5qn-17>)v-10kU+nRJm0rs9C)h7=sRX!-0RceLf0ySo{aP_) z`ll(TQ2zaY5HEcO=<~nQQ2|8ytq^1kWPl>lfrr~GB)`GJZ>RBZ(38K={st?6_WQXf zzv!v^=I1HSX+ZUnu&a$sK9L(HpwbtU!6XE^$DH8Dwwn6X^sP=I^#|EY2>r+#}i-f z*9|DfI8^)Xg*;O5lVCSJaH1s^OwLo z_+7Y*Y|_XwM~6&KJ`0QFQa6}VtVfv3@zdebG-RE;QV_{KPjr>`>OHfZm1KOS*-cVEd3 z?g$h^WNApx8VnYT6t*Iqo40ckM_d?feYS!q(g_duYmsLRpU}va;E-x%;83T-1*m zL-}0pxIOvBTK}sQ9|#1vyz>XehXuHR01!b|C=rBSX!@U8=a_!2_%Q!JsrdX?DO~@# z?fxIcg8pAov;KRW|9Ttz|KH~v3>N&g?tOdN52|}ZbAXutqI}N^pJs5@c1UE0LfHZEme&G(3OCy2S?=l3QK#ZS!d&#{vT=Ll*0 zwt;63(_5qm?w399=qI0R+m4NGYVCOZpkFw}3+g05ggOc2a`N&3CqX6DNsxps^8JH) zPL*_5&v$*rvJ2C!1A`MzBSRVK?$ZwfUeg$}-jt_dx%FN!=!aHa0-7eqXSS%K{Kiwi!RuZ=(1+?!mnnTz}FGP3uTU+raZ<=I?l`FR! zF$EA`k(%z~*_VW(>*2ou@13=%E}cGK+;>!dfV`u=>Y|+}rND>JB0h$~b2>&AsrNRV zLE5_YAv=5LgkU2N?xsNqCxxDd{6(zij@dct>UWm3*mgZnB@%T!&v~L}<@On;13|kU z@_da<&2yhgYSgR}8$#8GBtxF$ zq>wcP#>f*!5lRKWOMkbiE`TSN#nW%1w*h_t3VLTT8M=^7U+l#v_q5tC{#7m7{awO! z$ILK60j~{mE1r;1I60=eNvuqbcPn0xX55+$k%7Bv%}?N_3tjR*jp@U)rhD@U(LhR4 zSn!y~ddn#cc=6HeB4hW+0o=Uc0R?90D9cvC)3$i~i4wLKo6#{n-7n7??3;lo);U8O zkAawh1l104Zx7!~F7dKvcbHAzQNLTP!lI~MEZ4n{GgJ1$w;*0Vp&~5E{mpbvmP7T* z63E#8f!6?>LE_7iErPVS#xv%{uAW>axmPE0)nbJA?xt%tQwTYf>&P+gHa#}gS)rPe zMO6?SuP$xjm zD4`f4fEi_<2G;NIqc=H}N(ybWN z@?ah7SnhOPe|LI;4a7o9qnD*}HQoGZa55~l+(qEn+npF6wJ+&^SwrG+DYr+Y=z0Zn zgY_#D`t7;@XEX8tMOXjr>ivziVrBUQV!$QQQc1E17mPBsirL5yw`nZ7+9mi!*zLxW z%9VTi+g`#- zVzyu2SlO8Wnth^D{%p;mFj{`~rP?b;m}ib;Sun|OdHvC3@aP`U@{hHrfEhyOYM*O~!odIqP*TfTWn!es z+W4~CNJsbVPg7K34gPisaa~O1nMn|5@V*rVl90&+D57kYM&=I>Dpjr4Pc3dNmDJy@X^ zAleMlXFU`85}8K7&Jpf3>mpPH+HmkQld(LW3BAeR52x$3`| z*)oHLe$6a^8Tr91pgBMQj^W=ji~p#<^^;W#=z-9#M;pPgpkI=X9sm0wrEm`u+_vp| z&(-S0j$VzP&NrdU(L}q!n8vy?260yx)79QT=$>`J*0V8qYTQ)vY|YkzbmK0d@?~{f zkwaC!>VAyu_XtpxuX?FJTy;FDV0T}<6CLokii~?E)n(R^`4J|D5i6yeHh&AVavNUI zmIYM4i%~%3b4&qLzEzwDXu@MnmciBR_bkI$LMWA#SyJg^L_ibXvm%GSXQQ-ASBViF zF^bw{Q&5#Jl+#G>A1WWO*R9I8bLX`c_Fwn)?Y{UYGmG1``K`{s<8QrPy}!XMSRhP) zRL_+aB_HDv-Jd?8s&C$dTUvA_XJ82Gx~irs$&Rd96Tzg+6QXU$rPm-SC7bKShbffbvbRnistGFIuQ`+zMrveZ+>PsT(Rt4Uu-bB z&Xqo0^D%BrEOS+CvL|eND=N{KEAv>#@#HXE!inUCZe|s`!4fHErwM~1q<>BoPQBvl z#S|%6u`F!8N{NA?YV&gL$s~UywJV+#sTIFGhrdT(9ujhm%m;p^&+4U*F}+#@Le0L` zO2-GyBs^ivgVlb-Xprxxf18F%6NCIms5wP>wIJNPRPeYrL5PlkPb-;0y2>x zn}fcWYR`{7>7tnOZ1fK_zV2@IursA;=XdTERYU})*)XXgl!G*k)$^H@kwY!}Xi`Un zCAjZDpiH%!OUh7-2_u@Hmt&S3ck`sx81&m|cSF`bq04ewOyUkJYe>mL;gA_z?U}!4 zP^RooENq7`P0;}r957BZDQi(DZeNH^^6g=)v`PQCj zyl_|PaM7@Np5+stQqp9^HZe**3!9EGmR|smZ;#OFi)?k%PdE=t6>wyB3au=Lir_13w`NbZ8)|$te+iesj)4R(>iBjB4 z#~Vgrf8z2NyZT?HxFpKHhezp3{B zV#fQ=)cF3puK%{>|MwpF-`@jFU_rKDT25}?O$haWKyv^uNBpKY12=4AK#e)QQ55YCKANrd;GkfpZ z-<&#oe5tWY{&P8c@A4S(9^)`m8abY0?RiG4M zENLDcR0kiBucZ){Ws!W(%cIsD8U0P22&FOfRew&6_m2b^(Ic4ZlRmSlIu{$0ua0H} z8L_Q&(Jfv3dlSdOw{Y=xt-1dJZusAzK>2fU{wrwspH!gm^8KCs@SS4FbMXhWYph_e zvU@b10~+bsx}&q6mrq;b#x}$2Oe1byzQh)Sz5e+g6gTjRt3&oYJtl9gcC?|vFWQq= zShxQjsCJwa3#M5~P3~m5SNMLW38z5au)p_G-G=vKSFvJQ8aA6b(YUg^080$0ack+=TiEI`^+@W-%A8{u;fV|Z_P5{v!*ESWZ^Gc!{~4{6uAYX1*fIU~anA3U}U(F{+`~dCF6{f zgTySvsOFup^)8G4DzD_Fv}3;|dGRmmm$F@X-F2i&g?6aKv1+!5AWm0r(?*U&C+|TA z3KyAnNYdMxBCMBB7ZQbiJ8eZRjOxAYh{E`{DnINqfk6ZvV=t`Db9jFK%#Dd&VHSXdZ_hL|6U zb@453UW%$4V9N6J2xs#T;d2{O)2D>9OH03FXe$cu$XD^fJAJxb+x5q(4`5oB?nG(g zfgi8B6&XRG+B?b~w8M`*m>H@ZpKGP4aW86tq}e0c>6Bh1PA_W?zd5~fAcXR&5l2ym zO6tKwp{HD=&1ULvr9072Y-xN^Z(g(qP*-^+A{6KeL?3#yJPMT6moxn|98~rNKN2f2 z>9N=c{e1Px4!U zqxmsuAKKKBRPJo9gckY$dHN_y>k*@YmVzo8QcB09gn&U3P%%X4O1P@qJ|1 zr0mi?pYocQAy>W~-*TbFN%6~Bb|X1JCYje?59|4a;lNq92rtLX*BCQ&xGezOiySh5 z?EJ@w4@|c5hUi_v6jl|Ymf2QPFH#Ne9}X$_-|<$XYtz%POb2_LK}3_S1x=SGQ~S^D zBm2A`IhyJ(^@cZoV@$dDg`-<3;ayIbQ)^cClC{;#IL2?+hoG}3<+0zx;ZH3aMBPHX zYenN*Y9#P2lk^o`>LOw9*gxdZ_5S=;V>D5qK-_N#!?tw}4PDx^f+0vUHI8rL)p9?L zrh1;5v@zT{Z>xm)J8Xx5)ueWWpu;OY6l5zij?S?r=A|3jux@NknzEo|uLy)N{YVeW zTaSG__fb!$kx!kL$6Hb#lwtf1Ly#tUfR`j;v4t&@$(1$I!FJ+KE2#$@Vw$k}M)?0=&1kE!FBcWTN2}jRwAj z4nGCpH5{18w}iM6#^`0$(P4o4-W`Y0JD-FtFeOl&I|^X!JhB*^_ff9SUnf4t{7zO4 zEIe#qq#-bM6{$Pj;eH}6`|e%#O{7sGWAIJ=4Q`^&)6svhK>wej;eJ=u{VN*oPC9!> z!~KhN_IK6k|NC>@Kc?Y?{vXnC|9vN$|2bFuhv*rT`3h6yu(%8 zCs`d28t-t`c^sj%zt6MMnY)lw(OpPt^e!Y--TTPSGUxPnNGfTT6^)soy(JDNMMrR! zrDF(5b_s1b6D<+TWju(=u_&3$PMCK(8+>+`)lpS?m(?-Fyqa9Yf$`DkXm|Qf*{kte z+57EI*-N+yF1jBM*?*U|)nEKMm57`5{K{D@a@*~yr{8Y!Up;ZHao&Iz=4a#ZHT#4N=e2f)yB+)nJ=PMk1fu9&eI+M4|3GbPtTq9M_( zG?(nNT7eH=eK{)C=3|Ng`6g$O&g)at>8exUOyo4>$?(+1ODS%;W4uegsH`!THsF>G zj)kLu$&U|rUY>c&m0S0=bx5ythCY8vO`bn@EmU+`4aCmg@%Va(Hr<_egY;z~_>WRj z)-cG^#Zpq=gWWP?PS6oxaVDP%2h7EITJ>z7VY1UnZBWdl15cM_Z+U?ywu5-5uhjYQ0 zzQoSd0KZ(G&;i4vWhpw1d#*z{I-75+-#&SlR>E5~eVEc-CvTsG_xikZB4$k+w=bc_PoYH=Z4skRT%^BZ!Gq=MIJjcAI`p(vJ z8!i6%!LZySo2V1}!=C3lpR%88ynZl~g@I#H@twLQcFrAJs=jouxBe)^@S&i1Gx>`r z`BBtQte(&%QsI>IGF6;ADA(iqvp*54Ijzh)3UkBE@Zz;?&?)&sY*KW8y^Af6_@R5K zTUlnUP|e#bB}`{KiTFW1d{cyPBsvqWKEIK#EvH_l}4_uZQYM)#7!Ok|* zjV(j9sGLOG(pxgILCkwX7_CaP&-Vrb{x{|NZ{-&LsjXS)A5*SE|3kUn?Qj48_}qb<|3ypw2mbsA`13#1-2Z!O z?tl95zqb#0WnTSPyY%jsf21@2Uk7~ zyS%@?Br)n=y`lct(j(@a*Q!HjlQ--3&gb*)*oK!I8O5dSffoi{Ok| zUnR{bY`a={zk#d6%{#F;M5|q9CTj&bQX8(+ka+5%QKR=%wY#}NdxTx>tJXWU&6TI) zOAx(Qe+;CNM9ME@a1UZfzy;C8S9u9hY|&;TQ{|sEe41q!_1|_iY`10 z2o~63745>7iK*ArjziM*qtFtJ%LtC0H>mEiu|?IVdR+PDwxCb~i|RS8C?Y99$7Wp0hj+$OgQ{PCcX z|DIsjPpjEFaXeascO0wPazEr)E}Z3>kK#%I!zFz+?|qk_r7jhh%p;YMQb6-~`d&h=Yr{>_VX(5NCKW+s zvMShzQe{cO@j7TFS}=u7&Qa2&btH#mdk2uUfbd3ugp@Tx2^lm+{%Z{UGf8c>Ed~A4 zFa9$($-K3oGv%u)345m>0_mGd`VwqGtZ(UzQqp#C9|c;xJqQAIF?75)N8~WRPp=Dr z9v44W?n`p8v?-5#6CK;2=BodP(ZsUbIU%x(cT3{=-N&rf3kuAQ-^o# z0qpxksS3V)nTb(4e`C5d>q#Ru5PtaUoXg(uic&%Bll9RRj2*LDMJ`a(iSF_ z3ZC8!Z@7szr8GOjV*_M3=Z#p{tytHp6MFl{&0K28M_!%ydoe8(Pd+Vlb+0K%HN`1`4rwlkN9W>nAgi1fy|E0G=3z^60szPFhHVTW_^ zAGJxX!rq?YyGc(Ef4qau5bU) zpHBEsPVf;t9>KpYvbWuc_syV7iy551 zu;tO)XtOa<|6aX$_g(dN1xHWxsfbEf6)kt^vzfB=s%@DvlP;C*nsRPWOw6)azY-#X zhc}@F7&v}j?=ahYcpmbF1{YvcKB*%6MY_nM^es;5Q_w|mp58+Jf`maelXxjoBr|(t zZ~nbXl9g`q2JnwQRYW{qV5{{9id%X!Z$ag<&lq1@^nT@`Elxrj=uHJm=;xd2ubE4s>>-466 zK=NU3^*m%PshVL|13@!-+E#ZZ1aUv=z1<&?%r9mbwB5zu^Vp$dXk1N7SKBD+S39b@ zcHwB=PnHz9pfL&_Rc%ruJ64r5JpO5Ye!WQ+cF{4hj^2~BM=YThtZC2ZnBtSBvRDf!gILa;Kw!-7f3v`(g+*4ZDzCIX!I18Xv^Se zeg{!?%u-$toE|D#2SRw?P5<%eWTBKkF*h|mHS6P`J>Ywm-=RP|7q_#ymi9mf6Lr-W zo@ZnB=A1F}&Q1i|<0W00sZF^W6NA~Q+ryWFngRqhHW9$xeWDsZ2$4wP=Co8&89SDK z*0wISpZ<)V!GfHABZizy@6e>MX&;tR*2r@c)_Iw;ukxOp|uwuEh=lJA<}i zey<4;gSH{{^SMjXgw&_##iJ0pmBOGf-faGHyH%9w?Hp1R)+grA} zRWR4-7c^5hT2*LrwWT{VY(oLpz&p!_e=auGEtHA>9b$MNpKAwqR-|EW36gMm!=*jn z7ht5m(coE_Z@ij0g!h>%UetW`YGkf4%#`0Vcw1G}ZNTNY*m(k{IZpL6JxwEal8G(l zwIFg@(5lj4j(1`_h}~j8d8dg*KiM3yzF@FBzaGR%q#aWvH+vnMZvnS*I%c6&syk99 zN?zKuaR7trw(?u;ytcYfMcmALf4DUE1h>@|^^4-x>(e0Yucwd~RTEK=I(C;V_Ugsy z?^aXD^|{>(ho*WVQ@vd`f4E7<=#EHyief^M{>Xt<^;Wt9Kc8L9n`2}U+%clnB_6(F zouBSBbbL-QF_4mOG1@xv;0b>{Eiqw}!>nt92PMPO8{wu-as$+~TUPq5E*E6H(ley3 zaw>S_d(j>~(XCL7@Ed?=Lgy{%#C#-8arxY78q%|CsAiI@3{)BQVHy=@2lm>!WD240i(_zVkn9?RrMZBW0~?(;LXFJ1yr(k*bTR{wr(CRsGvF+UEXQaAh05CyK!T)R3^)Vc|5d!N9d* zBO9~$@x;$XO)&H+rQL#Okvi4v_C zQA$IGd{${N|D#?ARbLd8+S-TEuG{jET9XBmZ*tdIob{B@EzU5g;t`D5;v}A;|eY{AYm^q8mcE_;P@hZ`+~yWVDHEI^oK=o{s$ zwuAJoY2J-6_*G4G#Oqn%L=NJ*QpLoE>Kp)??3Av)3{6vGEPT^4#;hN!r$ZrVV33}j zj4W~yql&Y0;w4s|kv)w&7v9~cnM%@{63dculvd$(u_CVBn_T)*QxW?m;oa25qbsUR zk9%eu@KHLtm^yR-`+_~s#MeUod za2-t7oK2TZG*&#~`muoYEAe%a_!U7P*?wsz8tE;tx={i*dEvZa^_4sI1joQoDRj`q z|I1_s-#NDA*WIQ(K2Qj2qslQ27x6JE8*YO>vA}4D@Ca12%)r$C*Re5ikyvH$1(;C$ z*I6z7>icDksaqY?rFqKo^p{+KWNlGKz+Qe@;*l0v)EWyi^qM;@X~g1bFv@o?-?86x z@RS+@Dtg2+(tdq-+|k9&s-03S<+PawnIbw3m{A&abIa%x!dBU@%S1yyB?4}gqq6g* zKm>e*4gQw$b)W_+$t{BbsHrfCla6eSRlvkVbRx~HCq08oF<#S1m)BR} zWoo0s$@s%AUc|r*K1oMS(<&Q%6vV8+#dXd{j( zA;|AzxhIhV(lOnliY&~xydFwD_%)p?b=J~LbP&^QXP~WHkfm_GJo7n0lL+z6xr7^e|*Vja}u z#WX3H)?pcs*`DVF3cw)z3$I3t^aD%iOy-RVDMuhWRfY?f&nym zW$N)_c`ZRp2r6gZARc1fXL|M^#gi=fI+h)49$JI`;?fs|5?ZtfsolFD)v*Qwmh2Hj zynuoRNwF8ibADSNyZAGLYxl^P?$hZ{v}C|dFfOb@cV{%CK@XCH^DQBm3}-#oGSpKmCi+SwGuDQo(c;R*}p_o7h2I1n`a6g)|c4ShmmX`^(h7vR!IQ-N=2Kc^N|Nb=E0HkWIK z$N}$|2UfCcmRybfWFecM=X6l6`>6?)8%Y(ZL(FmXcJG7CgDc~t88uK6o~SR!fy4?7 zN#Brca2iKgN*WB??!61^QO|q)k^X$vTn(7Crb)pjY~^>HHMRK6JPe3WM3Ps zznGLe`%ns>(HU=BJis48O?#bo2>I;zG&qAIaJ03iqVfFHdF?^SlG9-(?B@v{}@S}zx3 z&6*%0Sy1Eq;p9dHK^xfChWWh`altJV!l+8lPT6Q=rGVv~qvHOKjXXVCOs|vDG|1}` z8CY&Fp@4-jDEMgF7d+GMqL{(E=UPw;<)i<30n|l`kv7vJbqe>ggDCh0CP$dT6x~lO zNxGcHG!5Yr2)U3~{I{`0A$E!vl-ZHEq7*tpqAJghzbAIlrbjwRS8*TeR6#D%m^RB3 z&s`Bm`>{e=yv;3Sk#gIQfo!fzfxQlvFHRQ2`Iwy^AJK1>`^h6I<5W-g=kaemqi`O|sx?Dk^-sqs8S${A4VEUs*vUXQ%&hP$E0Q7ksnr5|w4}Ni$=* zj>EJ0DYdC464##>30hS}ALv?m^^flFJnj*^sv#fa-LRh%&qJdz(`5wp0?$qH=4ai;?RCu9YCsN~qh1a%=-s4Tn)@y?6>grUY@;c8jp zk0XTvgQ==W4Wrtppw(mXEfUdNNS(s2XAi%!zU(gJ#cCvUkAvY079Zp3cAlo}II8h! zZo-PIyrJSPkD3R4IRhi;$#J4h!;0(0)gR-ce8d~!Dgmkk_lu0Qw@hh~U7&y(T$S#^ zqbL(2p)!7Okqo+b&Ed=>xvPVPyQyqFMn7=$HONSk5_eVnh{2Khv}29oymMC0cxd+H z6nEMECSD8)d!u>(1+!kMTb|4V0Q<1UH^!*!1?>kg3MN7SjpRnyQA zQ@6~W7@_ax2T5wYZtZ|_yLdR5gvv7SALQcsm^+%T%Uqo^x6$E!sQB_f~Hqufn99M zQ+RAx^o)i?)1m%460XK@Mxn=n&aR$VrXU1^yYQs26m2ZX8~wwp3`&@|NVZKnt~aTH zW1Wa>X`08b><4=_{Bl|2w*fbOKjvG-S)mgU)bf}=I2Yc3AR!t$G>I^c zUEs%TD1iM0GfuiDwuPSr96!LiG)T#1oo>}{nf>f>vCL3_uY)TO_|j{JKQ+O}Yt(+0 zt;Kv8O4Y}B#9;5$$k5(i&*pwhu-Em8J_V3mZ21tlXicU9B$g=VOR(6C(?KVu_?T0o z7dt)fZ|)nmhJIlX@(A5$EPQPxeHvR^@fvl?6KfOzKZP9tgV$}|ib+Cy*4RY2B=XU9 zWEEa6U_*@3B%&n-Es$X;6rf~!7KAn8&o8s;k?_Uk$8JKJ!M<_`*+BkB1nG5$yVNEd zH$@K1#;?hq#@$$S=)4x_y`2FIZC{)3bSsFYk704O)Z6eLBvdrRr>iAUg0NjnE&6mr zNwX0+)I&S`Jt0mmf?ATx*>~t=#zO8#^#0 zL`J`*#yZSow%wm1Td0e?{3XVc<;b91W>Aszwy_F6GH@BQ__%AI@fodf%q7?I6MW}G!840a*iR< zThDG*b$qy$iv&xXR`>umG@5doq=vqwIv<~XxLdfN zbcHIPQPQ%b_)V36;Z5tPpstVt1>c9r$G0p;-cq>TLqBNt1@*Y<`Ia-;?o&xyyPNyi~ihgLh^8iWlo>SE<5?j z7gG!&c)WXW{hhGSMDq9y5N8jeGGa(+FwT~6BO2q}Qjo?63I)=BGA+1_XLHwvjxLnKFUJ?zn_Bt1sm7&Z z*HW@~*G=oywWjLGns~dGCp)`qXwUlW5qt;_{3G8A@$Kf)ru?_{I+Eo{SXQr=<4=WJ zu&R24u#i1#CLhdViOk*AD6D)ux?gKV#yBTB0lQvL8d(ntURL{za;-+_$Fu@VAl|ek z47g$`(3fU%6+Jin$d^XXJ)-r%(42;CTiRGuAY!CjBK_m9F1yd;=iP1k(h@LYA2CX^ z?Szkgt+*?P)7dI#Q^mg|#uH~HcgglPv_{=P#`-=_i(fA=d&YNCJJncKu@I_}Blt`9 zdWE78zWW|ep^UB}K&>B$*oAwb-5+azY(FR#=HbqmRe+IQNh+K2;mo&IVc95-9`tZP z1)+~GHw#{YJ?xTfyN^YhUsb$&Tg-(ZL|rmeIZWGxZJ0QL zjqqa#gnKyEiFp30d#iIX6P$d5Ou_$X15)P=3U-Ai5(DSYRvOqg%Q){z0D+JC@5gBi z*qFxCdM1|x4O92@i`meqh7YiSYm0(0wdB(@Q_1}%Oo!lO$}WNxDyIeKqjtj{<-|P@IjVM`uB@pjyLOS9^v4<>4D#d@ z4nB;S*eKAmolA9gRmvzxg8`}`O<2WFsD=n9q2V@r&K6#-?Kqlvgp)uVzk^v0hsuvb zW~Ysw&dRt1c@rnT{#AMzfI1sY$F7q%S?kpI!;2vJ}hfwS>+YDX-eFC zaRQ=lcFo52${QIyj2i)bvNk4DhX5W{9vOjeytqGOhB}^G2I*6j27jVgyd=2VmMfu) zYH!7-H(Q1a5s}Trch=5ZV4&752cled@B2Z99Gz8RB%ZMsv zZh|-{by}FZ19pK6Z#zM#Cg&vhVe>-r07dZ?rajdl^*?M2{C zd73wWP&BUe(En)}m)I51!fon1lNWFTc5@Bgt>pwEjGsD{WdxuUZ;~-54mYUGCt`_q zi$@<1%a&U6n_qq>RGim1?-tS#$r0z@<0WeU*_R4rSRVBip(;r zXu5N7%xD6s|0rzO41KO;i3I_^=a`PU>$8!czq zVwjGoseu6vwgdSu)(Zy(cOkqsQ!ddi42FY!4d^MNrZt^h+E)x7-PB)JC)pP!WIzid z_H$WZ{7=!iISjLMc!E)qEUm^%5e(6U!2D$-;S6guLq)$m`$ld+gx42yQ)!r!>bQ}Sk!gIphSPPv>*o2&sBjEDA>p&g zfhNg&d)5qT&P(p`VpmOatIYxYN;!br&9p+cvEvSi9k9ntJTF;@u~}K|9UMlhG47u8 z45qxuuWn!XJ{t@p`YaiQz;b9hvPi+ouz9wZ$<29~>G?ojhDucSb(Qadm5J(^DDf`M zr653sQuuCOG!jKa(ur>m4m%(>w9w!TWw`iCQjwMVXC)#ng)KO1F1CfBCG-F^=1w7@Kb>!yZk*ypNnMhvM2ZL{CsOc&wFvo?4Dg zjAW0DK&W;Dv1+&nU1meTo$0U5XBn!5`;2$GDh7hHM>Uy6-Lu>ywBqt8c12Zj zF|w2|%Li#-ucT95nL}_|U4%w+8qc)gQtRw7u`NvGEe1rIb+(EboWRUujJF~jR&!UZ zlu_qvZCzzhz5-8>)sH$$mnrSYy4V@xxFvga)k+yrSn-QP9il01lgoiMOmNNF`_9_; zYzS3ribLN6+lAN(+Um8k$80`zeR`k)*l}n^z$L*nq{V{-`I$PyI@+Ojsg`TF-^mNQ z_EotsMz6E?5Ci;C^cQW61$K{F!CJgyuw5jd9)+o{^_{JjmfVO!}28tPk(#m(GnIA6UDpSqTUsR`cRy7RnOzvhw(EjXHSw{aEp{jxn^(;9Tb!Fw5N21SA_9! zdnFLX7->Fh%$ri4qgu(ydGG;hd*D?D+Y*!5@K(7Jo*zQZcn3WfR(^U$@tmO%F^Vh(&uxjaoj2>B@LbQBGwC|+1O%tj~C zUCm36mu7Ly8@)L89}VU}rfBWb^mw2rM>TIC(Tn^h7D2Xe4EoN_B}}#>q;?k_cniZ9 zw7yc*1w!5?&#V)F*~kGH0F7R6-s9?WctKtRntwABK2jQ7O>%JMk+^#>Er-heT zddb!{|18_)Z{==JjBwYO9eA>2O3jw+Xc%z@elvfYpWi@Rk{s&(Jrp#uU_}I*wP>mT z^?ujwz3IWT!~W*GBv&Mu`8^!iS-R7+Cb~MJ<`fnpCIa0#H0O~eR5QB3Cg5XM*Vwvj z5ewTesr3n7aS2Z$>RKRG^M@@RY(x?*`87NvG}WEpE41XcTcx{rsy3A@&jE+?hE2>? z(YD2BTd6P{FMg0{aW_{dwiAOEjy`L$JLzYF8AR?}Y_>1!VM39i?-#fkn%{n|NZAQq z2U4ANhM#jGRBRVAIMF@6E8i16!-Wf)Mf{i{a@NJ1wk09#)C>&JKvx#4Z;5+N62rlr z-kyjAkP%P?brGe(&GqcPiz2E|UOmv#j zOLYKi^;yi)P5Erk`SVLIt9Vtp^CO+8{li(VpdjJNogUo=_YH*_eaFc@J zqn@O`2tMw^x6qxh%BZ!+m*|>Los-8Nle+) zOtMp}YCc(g%DqoE$Kc9PAi4iO@c{wTy=F6BX_0D?_=AuS`-6j(2BrtB1$gJDlT#{8 zzW$yu_uO;w#@Y_6U72aGTOFp1HDeY|yY>uG<-A{D3ldPV2HC?6qAC>7J=t~hY*lT$ zRX4)|HQU*+=vsm33ayi$CQp{Lze(}v^+Bwq+v&e5f`FeKD0o6oi#}C)^b^tAH!EC( z3bG*@Oy~LcL{!v|7Yjh}GdR3bRx9HmmY1+JyQzKeFaly)8yvjONiEl-tsAqUgiR0Y zv!|}^;(K<;xTRd@*Tm}piGh1eVC~ud(8{_EvW|5*TDm51W%igIo60C#8$6iDTJMgA zJ5tV5{aol;JY{>?tRmKc+@)_e{_Ya1q0YeLj=hJ`xtAr7Q%FAc3ib^P?GIk@0yfNijD)u~zl3DD z#M*w7EIBatB}0qkp#j(fcwYE7_eZ9lCoi94x0lF1;IZ+`QNCv>wx8yyF-kvt*7&F` z(*NWe))nuERfbO@fb3E;TwN)#GydnU-}rpIRXix*zAaE88X1~fkGY%{37LgO_KWYl z^qCfm%csyMhJAZC9}I&@LrA`oH3a0+c~Ib9s>dQ0$>#M4A-*o)(z^o`cy+kiZ{_g* zI{p zsK9<0HQ`bQE5UtzVt7%%s@J(i6-x|!Z>$!5{(Ry}->7p;rIX?0F0==6iw~Ftf?%+rL`!D5W!&XsGqDC$-|- z@sUj=KGX;5zt6qm%G1vWkv}&-K2Bc;akEY+v-KY8!AUm{>M~iI&f^hc3N8Eub8WD{p)HVn>7zP9vshjgaA(RhN&h5i%~}nnvRa+Y(U_z3 zbXe#oMK^yR5Db(Y!F8Pvu?jdB+QK_i1gK_mlol=8d2^)GZ^=Z{oV@Zr7s`~BP$|Tv#hVr}PGZF_56fG0-_^=~XyWIN ztM-XxUSL_*L+;xI@k8(`S+BY2%{COra)=Ou=GB=yFY8t+gEl@Ce!B}H2XCBofKB?K zUH4r{+1Rg9V!`I$;frn&@R7cY5Ev`!`~hW(g~x!;#HD`7%(q$FnI@OjQd-9T>RXO; zt%~B{N!reM17X_mu4-q5oe%ij4h@!)G!j&fAY*n^K;0V-&u!q zRO7QSV_p$Yk<|-PdGjoS0nH#$TILgpT(kwr8DTk_xC(k2{b5pgeY2w5vo zs#+S+$tKQ!Z{Y#Z>XoS&c};B59Ws?H0lt=;wB}U>S(n_HUAJCIi4{Ypxgp?XB)39gN zGEO6%N&xuJyJF23D`7UujHc2T6PBva=^0c_l8bL=PhuC3Wf#;bE~Y0Rn4AZXQ#DzP z*OKDGD_1(Z=_PgGZ<{%gBnP7c3BpLHMOjANK-v zbspND$a65mMs4a`Vep=Wdlei^pkX78n&2NMdUH)o-B)XUQeUkGyaE>Ri*|M)yvrA` z=k3HUDNFE`)u5*~uKLuNn`s1Rc+G9qey1CZolMshM(*47OZ9B<&g8mT&**0xGiR0t zZ5mk*oGm8P`53|`rW)S)40OJanDE`!_(n8OVd~kGi#BGSaBCPpDa6-ho=FztMfD!L zZkx;PK5LzbKqDMOH0G+lj0l_UE7c=nerkEl1(mKiu^;+OObwr68=(NpC*T`cP_?{a zDOVI4j%?$NdFrVFZ?Gf-cY;VyvOzn*g7IGB-e;YDrzjD_)>7ImjT`dxpqP@x)THPZ zgafSijqWP1KR{75W`b|^*Tp?M$RPCWo}^C?B#hT(LH`vEpP{c^TRCIXMHzjsvf5R^ zIj6CRK28m2PVdpJx!d%K7p+A!`=Nx4=~T?|5I$8juVkbZo_<>zm*cm+#^vsq3$0z& zuCEXVQZN5r%ETXAE$@V7+!vQb#=aBx9+EY~@IfmF(O0XWvZ1rbbG^XUm{xv5^=8Xa zmBti0hMh74k4`p{Gg7q)m!u!gnFSY}k-aSUL9@{qz}`-)mra>XF>b$RiP=3Fa3prd zM=wMd6U1L5htZ2gAUH+8RkQ(v=VmfM*AK!*zn4}@d$4%Wua+DW`j{R`G#0aCoP4l% z$VWFAv8^Gm`zv<*^}HhZEQq#=aCsq>c zQ4XE=nkj;gc@f4NNaCL-58F(Qq=(zBD*o6nD~#eZ6v%3Fhj}1N_YV?N<@YY&&VQhP zUNNnf7l({$_sN|kO*K<S zDN!V1smG}~$m{$Q@&|&)a}oc8C-nR&@|_ZU^2REEG#}0j(`QeM{BZ%B#ZlY>S7hTU~MVxC5L0>orxOAN+Jmu9;hQO8xwl)83%U zq3L1}Ps!K^ZhIv&VC=#5UxoE*eohvJMG>pMj)Y~2gV zYeBd-2ueM>=8~B*1|g3Ks?W!YjYHM&zz*6%yAAKxirav5ItjTv4KbZh~XXU z@`xB!1XQ(1Dw*yR;Y3=Hs#IND`ox*pTc59{(b~juna-R7s?K-aHqXxnJSK9xRi5r! z$z&%60-Wad((N9dt`!CB;jjgkbGQz?lF?-`VqbM zV$>S@|3%z)e>D|7`>Lp@G!+Hu3M$g2_n;ymARxW>UP9`=8k`$<+jHHfnRT z@gUqrzp&}K%m>r_0{|28K{Zv3>6dI5ydkS0ZZ0;d{$u@Ieqe2+gy{a7=||DJrQm=!7nG75DEq3Hc zbL27G2e8ody)V`!zhN3|GYV#9ht3Yg6#lG($GHHDAwp(Rl>?cS{AyzD+uOgyD)b+3 zT!;Bu{x#z%L9O){$4n}o29azQ1F8d}dw=zUB@Nkj*hlRL!K5zP)2EP@+qO0t*PX9L zB%H+NU;Qy*@8)N+qZ5Y82a&#DpLOWw;hyiMeMo2ovn1_zgUr3iqkR)vaV5fubZHa8rt^I z*08k~J6<^BUz}S!r+_>mh8|+_YwX`mD}vSKcNB{Y>keceXtJ^PPeR+m?cj*<3GzLG zq?<4Phd1`ily(`&f0Q~1H%zspZBKdup-2NNu^$%5y@Ze(p5<55)FtE(1}FgO2sveP zL8ti@iNQck$ta#3p%|tQZHn76Blt{F3*_e=~5oQzwbRBNdKYzyv(g+~cb$+R~cofUXMRAMw&xya@taRvZC zlP7G0H|8}qAA7wb#`CIbnOn5=!0k7c<6~BjbCCn;oI zJ895R4?4q+e+6ZlC*mCtysPeu+KTnPju@T;#)7?K{pU0aBnw%+F6V4n#!ov$PKb3V zNnpf!ar_ejpZ>aX>}92(AGrS_4(q~5Bxi;z!lv|vT-zO6;iJu2wlMDPD|bB^CCT8C zFTiU@c^?`MPwNx_RxBPQZd>u3GuQztm=1dRex^85Y~#r_`*#vHlIqA0X8vlt{_KuP z&h=@B^A8+Dz<{Ttmf5nEcV!fWI*!kd{o?m{CYWS={a}MW;uCTwHx>Zko{O4{$^~)) zD`!5@B`ydwc5S81&uzem=f>=1@~0Q)SB9t)wqAvNGp73|L)c17K)UD^G94G!&OLGZ zlJz41@*UOn0~4WLSNDwrb?dFWG0LlW#rs&{lLME#^N+J=9cZphs696eEafNy=-Ohb zvpRwgnrQckFOyQ32mmLuzc2u^0 z5)=5P?L14SUQ+E#I6m5c$>o_@)cw7;niVC72h=lPwD2mX+tQ;$?vye#-7vv{4n^xl z^dwwpiEEHOdRJs#F-GY%kU1|I*e9$xQSldhjUi?_0QOhl6FtGj^9{wkPhrxA{TrKO2)P)VGGW&Se9@T)#QzRhJ1H=YE7fg>L`rme@$;9D{H-cZ+ZSTuL}F zJM`fo_16OP*?wtYw5Pi3YSo66<~R*gc0MfiIXB@B2)qEZzQ&R7hoe_s{)2!ctr2mg z)Ms=e*cuVJ`qreE9={ye#MW>9f@E!;9dy1~_j2+dk&CI{J6`S8;aq-YH$g!}2#}3A zwQ0K(yDofO0@{16QD7p-DhXp6HkH)-$(mhu_GSQ)*ZmCm17t?1&`=nCbns6c64X2+ z-e#Mw;FVoA3iDE)w65Bz`ZIXg?%bE_6S>O8bajL`)ht^bKP+~L0*j3{6usQ(KcYJ{ z-c~K1yk2D_Ks?_~k5p*>v74e{{(;5tIa1+FVZc3}Fsi&=`68DSwoe;x%~U_9MbA*df4w#kZE&^Jx{=FR#fY zMCJ7i@C<({F*5e}^{TS5eWx?oo50m;kz5NJj!&LS^na?35zd;Y{vu=ERY?96W zXnJlBR>TFIDPS@K9ZYRpZPJ+&Tj3MO$WF@vP_9(zo`b2#Rrs20{_>NkW7k>z{gjIVkwN|hrmT2i@ z9d+1q_f7B1_!ya1?ZCu=kCKS7%eyIZZ%@ZrgMFDLP8(+43Ln{iJtW}Ul!{^4K-wgR z7eT+>P4aW7E_MlOdjUVsL|zW`Aw5tc7v;X@j7K-yBzxO5Ux8@>H4$Dh9A{aJwx(Rx z?SR1E1BjW1=TP9c{V&wP*n7kp6oNWa zKO8gJUprumTE4rK^X;Il7(>bt?T8glddMMj~7wf(Xv>}9@CU@O^t zPyZHmv=L*VtmjvxY0Rj#n_>pAg3G35zZvlI-0AeQ0$*C)hD@>)P*1+fAfHgcj--ob zQJaSt?28+-Y$yYAZ2VE(Xi+?jas4`J8Gp@<*^{H~$LLiCzUtd6QL;}V0)r@hVW`X{ zW3J33=18yrLc=PbY(T!B7Uvy$-02_nu+F(JU+{>sP<$q zR*>u~US~>K@#Xv_GJ6{*2_D@M%6_```|gpG$p%52Bj_i@Lsrv^=85i3FYD0=r?JN_ zzyBbX4CNp0|I8Zra2>(0dyrLKE4ZAB;oKb1`v)QH-9Rp7$cV2R)7QuxV!Z`N{YRqx zC*H&+>h{&i406E3e|ZkkX3MyEVMB6%eb>-9JKX0xsVnOo^?ZcpR4x_B?(I_oX-466 zzU=P*HPQ$f4Ld#c7GeyNh#bfn5^r9T(?$GfZ-Dny2UUY@@3u?@fCY%V321fzT|ZF~ z&D1}kxI5@WkR){_KuWBiZBU>rPbr^vejXSM16At6IC|;zxqi%_^_akzzK;@K0~LV# zM3r;(Ib~h#A4=NTYsj-m@g){JK-A%0`fvD(ivWB6xv1-?*t`(&AFMP5Fa@+TmAA4{fbYs+VCMhGv zm)EmR@yfTi^Bf>D<30iTxn^_NtCK|?%&Iw%4btecw~yTVR;FxjGO=~EJEy-UR+>B1 zf1H;{zs6>{IDq}q{cB%Zx~d}X^X#?Cy98dgO8f@VfdC%A(m!IozkGb2Xr3ohMk}#& zt*J8*Phe(lBiCQbiwR;Auo4Tqh_5a)30AeJv!P?(x3_68Y2sAcf3y3=^*h@Ln-Zrl139@V2>k09$uH|EzqtA{>z`JNj zfIpT)^nN%d;>=>m<{z^<7uOKxGT4nry?g$QeC9-=B0YFV!&8WQ4;r7lX1o3jm_*UI zw`gtJW=FbYCQ@DC`nwf_;;Z=_kLSMS2KHPx9)@wISO@%~nV>%&kFp;Fqt?OhbjtSe zE>*x)FZBGuT;Nm!P_^Zi`w><{1$Jun(q$)Kwx?!eVzb7Tn{kpo^Vx~eXL$dFPhRWj z<)0rx=IOAe&7<)GbDmz^Z7#APTo6Y0XRr;{7OZViWS~+6fQht zrn!-Mp1vexrkz)P4)8p^+s>v9i&CxD=xM8aI-2rXtP4$<{gN5H&m~z8P!_pHa}*0! z2ZRh`GeaPcN?SGVW;1z)f@=&mknZ8lv$QL90=-evQ)0xBiHakWb>RkP?Ic0_;|IWZ zy$2bazR>AEyI}(}Hb<+UFx}aPQILxTjM?&ev=-Q@@Vk(S;VP`LkISEpPz5^ zKvl(>uheU{Q(xFhq{rniIhb$Wk>3q*-0HIDYUcApuzbXT*Q-&M(~h#<1L?=S^A7aY zUuC&YD_dW#H;Unuo4}iF=QhdkEB7{!+(WG13Y2Lk^A9=0vhZvx?hV7(2zGs0mK}Ni z`TQ9R$@O6{8vmK?6*)`Kfm*UNYj*PhPTWt%AX_*)C?Lrjq`DmDx`E2u)}d*&mW;#g ziX=ggo?v%7n(vSLytVJGq1 z5`J-%*yHRqV>=tDaTCBr25VxZ2%2!c5$^Bf_0ASc){zD_ux)d`&JYF&m3@-yRWG+J z08bgs@@Ufx_im~s12Ak#4hi*(DGo3b`v7nJXUDp<6}NBUtPNA%h)Lku4?9IvWjmzG zUhe0zt^)G7(>c!h&4O?L0#XM_)@xGkch1ULw{!*ELB`!Z{rbCe%PB)nzKzP%zWBHS z)XSo_85lm`QkmnPV8q7M(gh;H8hjykpcDkly%QJ|?zsv0hEJiPkt+*JSYVVB7V1#o@Zl!&fW^j0d%LlT-o-*Rqmix)9IV z0mVg?FUml}?H#G|+#^L1QTej~olBt6AITx%vxF^49q(UE&;zv>?VoG|rmM?!>bl?y zzY3~t|2*-k)}208D#xVZhP;&;Wb>JcoZX+$RJ==jE}=)g6@9cBAz(*nq| z)UY&_%C6s&!o{_eQiL+YxzHdu&{%hnXs00{V&Y8Z6a4Py8)MTH=2{Ndv-pwl!d-=u zep+b?TF!ViyrZO4!cvhdSA;2c$A`5@wycpsw_#&-Np}&)?%rHB!=u|Ew6rxH0SxX= zc0dHL(4w~YIdj^om>AZVOH2(~Tv4J(TkB*GF(3Tghnb9?VoAQNjp?$?;--T?xZ0qe z__vY5(-CUDN5>VmqBfm#DL;0Utu~5R6knnb{-B=^pR2uScJ)rHa#^d_s9PxF-wVnv zYy`b$2#*?ZFgBg95(d>we`VQy>{W{ztIEEMU#zkVa}E`ARh?6%vvU>nH6) zDo|nKWc4odPA6}rvC?94#99_gM0JSzjvil6*O^f4Uc#|RS209Lz`9G>%t}(<^Kje? zVYA@)Vymt&GkT2KyJ+X3bZ*sjHJ1R7&&(9d=zQ{ycch#TOm|_@Kv{#SJ@QXWMsqrq zqT)6}9BAb7q#?g(xLRXkhhm=-Iw!WgXD!|GnoO7^?%+IwF>yHAwCmk?nHv;dK`ZT3 ze3>xYY`7yYsq#?VDk33!_M+Sv?TTwe)XRcS_NdfS!;?lz6)Tf4WcMbdip6g?Yr)dm zIPxWh7X`;A9o2aLwVQg*+)At3#uy@EYZse;lj-sMB$w=!sZ7*7(qgq#rcKE<8tdve zUbHNcZlN4__Ch()(0n#3;3o2QUTxN3>0KfjmC^3Xdd6)3HJK0f+I8M1lcMk6ffE8G z*WPWX+U-ObCC;&LPQ`U<*Fn3C*sG3seMG~Ui%Mf22Em!dv_W0l3T1EN$g*=c?M&-9 zuk$G>Ob+uV$hiRJ%2Ycohn_pN)S1dZkO_;KdCr2$D_F9bEYvNtPcxty9+Sm*ecY}q z%&Ph)V&xv&cy^jzaXq~J>LBYZk}*2;f*|a0IrHj86_9~h4MoEgRSf%@+@;&>v2}+k z%_ADxYoswzkXf`o|Wd4 zHhKXA$KEp&C&OPBft5^7Px^DIPbZRUAJTA$G}D=(B9PDC#h4ls0J=OWXxD zPdg7Iei?ye)BP15>s!wzS*Z88^8csKxY5)Zi}Xt z*`!tP<=!3pxhzp@KcPC@xa2OuJ#&L!1-~@S=IW2&g=AylUL0s}7*Ti9eDi1&UY zV%w0~kC4jOd5=9hEnkx>StSem*M`a$o-3rRVK&>*-`rS=+vfm;jH`0FC>jvNIA*^c zhrIeQT>hE~O1RPo?rImJtQvmWM9+Q~Qk%vM=QZ}`rIlwNd%TVmqI?NmY!UOK9{Z3V zJob0Teey&g!F%X_XHCwl$a{8KI+z)dGRQ|Pph>h>c*xcb_V8a(G)LJ)`h%cn`+#Td zjr)y91_fM6fB27W`FwSKOSO&|-1OQuH@z}CGv@B$bhV0sC+xqgHuzfq2Hb3;krJJb zbHV=8-_#yfcvwXjj5xNb1Wx|>N@9e)!^1h9+Ce+<@4txwwjxK%bS=4h;r+CCo>1X ztl*Z7p>Av@#c&FX*-2LTOP02U@BR>sMn1!dEZ0^RFILKV^Gqh)sTXvw5uRp=&Z7Ii zXd)Uv;m$;_miNt?A197xFX0d?5wxpJm&I1Cv4ls+AUKfy!snWA~G)HRj!Ir_2iCe`_+)FjOZtWWbyi zzVBa!h52XJHSU0{&lKll%6vQW`{-pfbbA&i$`{(lR$aGUf%)BSb;v!lhJKI!AyT#E zVg;ROEK*Aw$&Lmt7N%QMp$e#)vQmlTX3PS63RzmzHM%TlLOa)V6-hl$sCd(9&Ts1T zn*HQqsqIFsqioQSPnY4WUVSd_M5c=N($AT|>aK1{LhjmK?@dKPd)e~!II^Y?#NW${QZv@4F< zT`V*!7a9Ga*A3o=mgN>D5#v$J`j7`mC8pZtnUOJd^^VlH+5F6p9R~ zpF}V=)Ph*UGsZF_SjvW`SNI`j2Xs=`vM=K-ov=wuHT z#uuA;)Kw^2zNdzHQgHObJz(lna)3XYnlg6|4bYgjQZ#|B;MgbnR-bmmCl`~OBju;c z#ngPG=aXTA^oAgu7x7Oi+|BPnlZbwvCWcpY)$90ojhJJ9*!+%DOGe@Rix0jzia?r4 zQeMokTEIfs?g#vB+8sw~qp1DU^pQi6#k1O7r~DRedFU(EW;RyoZK?V;u9#-%s_b-^ z)6Lnhjhup_@SWz}(YS=fS*NEMAxeDiqmT#ebhE@aCJaK%^uf0S3#?Fbwo8xB(=_QH z#|I{e+MB~GU5%g<=mj`|Ry-YVp%IH&F)B`l@cES_Ed z%`z#>!#dTloOk3|@3R&$PGEIaNAL}t{Y2)}F=ay2PGgb=V}i2%Tb~3B}9NwYwC)sx-X z=W{HEo~(V`bGxUc@-tDe>s!#nB%|@6wP7zhe71Y$k99E)*Bn+`peTEpvoKA=J2Rk^ z;_Q6CQFlO+MsGVd5Y?hZT-jZ;= z%069m#AmE&9UXlt8WeY~J244S1}RRlZ?#0r8FbnR>0;gE;p~J)r}Bn+!|aq;b)CGf zXj6n)?=JOBURq0ug2YuaY72B3tD%ykY|3rfxJ;o=#+UPp1Dg@4;7ytNm&DY+uXC{C zEwN2mZjz2%8Don!YnE$bwjE}%%^)&kuWcLb&4Wbs^a;mJvJBcRZEu2x%3H*qU8MU$ zouDOJnS+X!f9W+wU2P`Qu3Pz=%Zs?IFP~i%rl2b`rj91R(LsaF#wSJke1S8s>NgOY zEe#qOXC`4pG`jm(WIXPuA*?LLR%SqLByuR|w@db(j+{SqJ!SR8>^dM7L-juBwuH1h zuUxU|XHkba$zP2bLrnh|t0yMQUVS-4@7cTX5#2y`w(iXm9cgy$F^bcfhRhhW1STw> z!aX+Y+~2k=?yvnIba>{Ifxa!zdF^|S4l_}!TXW3jl}LBfoShSA zKy6kgW@sld?MZr+`V?Y)#MoA_eaiE2uEd4qm-2&F>U!l=q~>GED;tjX*r-urY_*-> zR~P#S8$4e6Q)bEO{c0Q?5ui0sOY+9W8frA-Bfy(gg!-S}R@L-=PsGo0L12THi&FH$ zA6vnk66t5j3SX-|g;Q@@==j$cNIw!{{giWl&J~!kKFlk-&cP=dx2977UwV_cd()EI z1~7kZv5Hq;L8SnW`eNop2Y!Mv?ewtM$~yc#UYc-NFqvST;iW$6Ye%fp+7kxZ$DRF3 zcKWHemMO3wm-kubQUbc_7eDIUlH|=1E^W?~#dUU%tB+S^Z$}pJuVwQ=2=z)I`{3gq zzt69GVnR~Y`gj4Wj%Au|)$EJiqd^v@Wn8|gw~rqmnqi}bZ3Ksv-V3Bp+UeEidf3cl zDA)3-Xe{_FxO8#7J{?Rl`&P@UplP1Zegu->PUWdn?tHWU@Wj_Vn=9e6NLY2_;)_b1 zUTlJ5%`_{9k}5VTCR)QKZK2h6N5?n7&S!q%95<;BL3G3j`|KgWn}&fS0Mx?KNZWEp zk@;~{WOmbOnJPcj1-mf{TOBB^2p$oI1ALL*aOB_1p7bnV3-o44!@}7)J+o9)vYA&3 zshQY~e~*W~H*fVay!7uL%+ptFP#S-rzx9XU8QvnvO1PALsK7a6mb}>Z_qWLz7WPMG zKe9P5xtwL3-T=$z_TmO&q6xH_MfU1D#Gr<$(6UP&!?bzw;5;<*1 z4(lg#Y)b{!4H-_n_=_DSvc7`W91j*CdBC-01EYNAaGHcJ8lS2ryqG$d+LRiankkGLma*Rak%}5J zk@NK13>C(YoOcarjGlK4VMl!G7wdL`p8BKEpuKQZu+?6sCpMLc^<>K;b~b4YlZMaR zgMh*A7e6zRHAm6X*m**T^yS)!IfB3=4FRG8ePF&Q$ULFS^s>ICHqZq>vsi?M`Qc-+ z!Nk4FJ%IZr+CDRQCe@yFp2fCwgpqRXI;`f@6 zS;V-_6;2dP0-3$GLUW%6GI+Sp(~`GHYH7e^&Bz4J>^1BLqxO_WFmk2N{-^SR!7~T@ z!hsj-m@UK>DtHYADDyv^5dfd6nxC~iShdXcm&o^*IN8T^&TG_aU;tI9t|U%ewt+TZnRJctvJQy**GL$w>q>&Y+3YFgCfWfK|B0IU?dRU{zn^ zJcYK+V>JbD?y;Idd!(0E`AJ{y@I(oQ>ywi^Oj26H_d)#E^)I&D3al>RMr1)NHRh*z z0>LkSj1VAgpDtF9=^KJC%yYMn+RnS30hfhs=T)OL!GMb(l0Yw@QncN?hlVsSPa}6+ z7k+V)0}0rwWIG!akU1UW%-hOAUUr~Z1Lo!B4ntGqYIna}652Ug8+ZP-1?+3Eony#2 zXpZGh&E@cw*~9_V1^OTMa)HR&y5`vnz%S0rHIq8$ArK;Y1G0^j(r`I}^94eBaSb5I zJg#9x4kgSMl{>n0I>)rRlTC8ujlR&hKZukEzGN@ai13{ez8>X=_ zY0($O^3YPavqb&de)?jf`gJ7!2T|M^df8hb$3=2PLlhg5F3OHkMz`4bND64r0&*3a zWL9o4?D$rB3icJr79Cm8#!d1@gL3JUFY1pACXh)Nuw$Bn%gW=}0)do^;^Q_Ib1sr6 z+Fa$*=nPqKseR^zzD$Pcoduv*Cfbr%xk!OmVX4ZQ(f&*N{7Hl3nHv{}Z<@3!lASjK zB>6eVO~)e#qCILBCekeaE<~6yFS0CZv;Hu9xMtJkC)tw}s539XBF~Jm%5`Kx@@bl15))b;yj& zv0BDcGZPF>D!Y32$v!C~I&9qF%|f#6&TWqEylv}kn(5wY&RVY^6>#&h)(Jy76i|8s z&rSqrp4p&dRkHcOt;fX^MJchoY^E?UA!dV~AK4THKa5NUnE@Ju1`o>zN6mx(9p-Fw z4hopT0ts0gDZI#*p!vhYL)8@X`t!pLecmUi|1#EkOCksq) z)ZPsMhD))+1u~(>xHAUn+_e(}^B#N5r&6+%3O$$AZBP2<(nvVVFWA``S)f3AMwVGx zMy8pm3E$|hsN3n*zyljz$4AY{6{VUc;7-gmd@<;Ei|ibMx~S`9@yrgFV1GL%i3&>zGo`6oo-xr32Ky+ zvd4*{PjaUB;$3~cEnIQ+U~;`e{pp-JWnT#C`mmt4pNDOLPjgGH*ChfA6f3YV60Lx3 z{amZrhV8@%ln`AT3GGA#!f2`Cvb}|s4mB=nl>`5}kd1Kq)4$d{b0S6v_Qv=D-G%tv zKc*OboJ6Vn*;#jzKgNCs&fE4IbQQY@i&huWbYD_c=vDq&+=Ez;0X zTHx+|5JpzGo}S*m zK@(5xkXdkF;#f!drFn5e%)*pxZNPlaE$|%B9Olu)(9&7xB@)nDDdKCAgsQb|GQfkM z8kUbP1@}A21S$)lQpbfSGp;9Sr$TLXqYE?UrCS=LgW&`5bMv(synE979sSbD)Xoc~ zku~A^gEc81Sp}3*9Ew@>n`r}MmEinaOD5$nHkv4i)Z4{20ZXQaO=W>WRWgv%K1)x? zTO+^}m|W$P{s29lBCEfy-iiP{x&waJ{GQ%|`w(_{6p< zoyV)XRc)g8B-pc1y0jPPO~dC+!!l7EQ1Vg3N1u4&i;wA4Y>e_)NWs}>&y1bz><>^i zyVmA2Wtx;b$bx%U=Ix8>)TbR)Rk`p~(Y9))UY%d29XxDpeQb3dAENl-p`vGm=`RGH z;gpNE*4KfjKC<5np?fRyz{Nq)rex{c^Xp4<=ohB>ppio@VRh%c`JrqM9wSD5&~!~X z#MP~!W&&!l(N4@Wt~25tWotsm=`96Nx%tDXPb~TR!|v**wY-Ra#b=N%e9Ie5gan^t zg|0Q|ZB{=bUM_{!x=mY6Cz?VmyxD%}U?AXwiO^|S)sc50y0zJC{&O|UtIpPoCLYm1 z`Z^u8G{oXGj6}Y$KYOO1R+8}KZ*7`-RmtR&O2;v#qT|A7>eMiF*9r(s<46}#$M$X;(*j(L=*S=5G$H$A*A))jibF#IJmt+6I@=cVD;wz7Ez4C7PH z!6#o6R*$izbOD4)G0*B7>UwHRDgrgg+(2(`rlY;9hLR;Co@s68vTa4rI=iDNv9wCp z1syJy-=z~P%_RceLAoWJUfbvKNssdoF-U}nut+M~6q-d1aVxElf;lNoyb_*|5mwU& z{(w$TmRt2HhXeU%7=WfYyw-S(x1F)}QDA~27wHo0>^xpd_esk3Yheo@WuR%$r%oFk zbkgw?*1XXE%yiv@%=KlrYx2u?JJQGKUo(fPHL^B6W23m&X)SBq<5^Dr)#eQnNT9Qi zsRKc>Qh6HBL(i(8#m)9b*oFA^B^aR=RYviIue|TuwD{J+nDyd5O2^iz z^JR;s>oH6%H=X)KRew!2`qIipd-zVfx-1NIN>=1qCjB<5O4*LvW4mz$e2aT!>DJUO ztJ2YiJUDlBnU(MOg4G#;lOH4UdRmbIa0T0^8XFZnehG_rxd(+cAX=%2PT<+p38 zuACPd9S*;~*d-y`aQO5TE#2v&=+-b2&!TJKC;M_Q=>W;UXDGV@P_nN;O$%i@*-)mIS!3(IjTv^r>5N>QZ0iZ_oZC|R}HB+736Z%CmI}(}vHs388xV1@T%F*mIJmWl%di040Oc6Sl zqJlxzUc@aXI2iJx)9*3jbS{2D#ZHL1$CN&&^cSI!74!cp&~1?-=Z2rJUB8k4n?ERO z-x{%-O>_V0o6px`nM@!*_OtW;==lEj_9WEaIGqMjo+0^qPjS+H!>=Ypi52o4vSv)yztbi<)`%;n7zS!kJG?+LJLt1<1ReI1Wx_yI zl(+8e^L<@WL&`j9;z!^WTB5{=?(r!gS=bed+62F0YTeIqB?>CtH^Db~Hiz>#y;9Aj(a1 zUys?P;H#i0hi-_fN9strW$B0NH~kRs8#nL#*D9~WNEW?Y4R_Didfa-DfZ)GJY+_-i zY-b~17ccKGr{A@gm3#9U`*HS2<9b$<8?F~7={ADjTv=#gBRdD)Mv*K&gXl6Yg?CoI zT3`R4IfEPb1v@6qlfxr}as5>E*~FaRo9SQZgV-;C<8T!GwmBRGQs;8xnPW&Eh?Q{p9Q?SybZpdMY*40{69plCh^;D z7ac6R4ToK^C?kV^|Cy84q~Xbbu)BUk#@&s?as5VFI1_CDwBsTc9M~D%#`v^do5uBQ zIWL4vnoMTSqL}a~tn&7q{jQFG2mi&@e?-Db=St|kx_k7m9Kv)pfGq2h?f)VAf5rBn zCA{yTSYrKea{nz&kgvpXh^Cj{i}tna4Pf5?##O=t-@mfuSagkzX21Nibj8)~YS+GN z)+lgw-D{QjPp2O%74!c?f-{7kPtJR}n=?zwZ4`OMTqYTw6};VX$VOPPRU!*&X&+>x zzM3N8mRXZo>A}-C*Y3)>n|}t~3_g^* zV*bD79YpMe1S#E~hKJcB0(cKbTN2oW}+Q4grjIEsfuXS*9P%8rqtp#oTd=i5tZUoRU!3k$p8iv>@7hNzUBoV4(3nPyZO6Zt^- zN_~kakvvW1(y?}ctc85AKo2TAwVlmYI;B_|zXzW}=;zrh(JO?EV^-t%&0NK&tR9-? z(S?WQp6BCJk1!NZg>lnikxKt@PwO0?*~;iH*OZZ(<5#1u z*YP!pMqb5wn$rc_oit6GS0ZYRa?JV=BY zJ=OdEz+4Y=NkpBqTdb?YsK0fMaMERVm3VA5Mq=kCXrU3W*cHv>YrX{AH1-@#5isXZ zN~)}`#z9q7mAMUn+BQ8mtX|gz%q9cdDW3GqS_rG93)YecyjBxmRAZ_U9&Wdwob?;Y zkUZ*jQ>OtkSwF#q`HY?y5LRI?%HGsujNI@Wvg z(|=CBaH{e<(z#;AYKKB~hcfz9KhpZj%dfdUhp)5~AW8(rz5w-NYM{d8Fa2_%LGKz~ zX|pKt;B?l1J&JPA-UCvw-^(BVUGk%v`Cvqb%40wM)2;vM-L!AS^5p5_ls$K}jE=l} z_xs9EDHRdd+5}MUdC$Jus*d$M9s5?iBkftJ@JLP2oT#+HmL$N#zh$e)^(r$5wEpdT zjUh(qfnt`u)zR$sOzW}R&!zQ7B~IDz0brqEX*UpUwv^eSZQOLs(Vw96s{UJqpD7kN z3b925BIMH7x4`Dq8TnQ8Ji_B1hB_G1Bdo5UIO*(M-vSaWL~I}bX&omXq{c^|=uPZs z#PF!VX@A<4vZ?Y3_T_B$g#Zh(CC~A1`2D)y_Mv$@xG%>dT)m5Xt?GUr(BH2>-|k?% z`(D*lk3KHV!NSzQT2Br5G;k#(JAcEM=w--yQq2{Gzd2Oc9ENFl5o7Ntg{pw}uZ8C9 zB3OliOZ_V|5f_Km3snS!_`l=}@%@oop%*(}Z!nn{s#%QxeKz|}=yT}H__t|)C*sv% zUq6MhXKwf&@^g9T*??JxL8(pkEm-@|gO>uQa#89^lW`mTA_yKBohdKRJw2zV^Ot{u zShAAdUNXry{HT6)CrYUFwL(O+AG-9M@i2&1$!ytx#*MBOILcTK)iGS~1$6e6;Wi9baf|+R8 zdYkcZm+>*?8ble0)MOq1-cZ(1r_!_jhlbC#`v@v(EJ22POmQ27&i^ zL*mB1RCd2?;iKMmvQF4-uaa{$PAjDW5#YB!6f7r|c3_(iOqEtV15qp) zO|@SQNha%0n)?@-GjgxZU4$IcyZsQ|&$hfx>41@=Nr~@!5j*0M@AWrQVs5sP=^LeU z3G2c@T9X!NSe#C})PCHpDH+bFAbv#8{&cyI)VhO)8}o#P@j=`fV!VNbuL01Exlkks zij&kF2b_kBxTO>rXGmJB5pA91l7S$fSOW72jFpp7I{MX2}4`}0ygj8Kp3qt_wyUG@)6;gtW9j)MyLAXGE! zT!SjkTau<`9Hu&hq6u~lEe6Ii0qtvz?u`@2QN~Qq&6uKinKC@sW-_0wjXnHIfm!2D zE-HmSOx65e1M0uE^|0(T^lWpvs%$M=2K!#XMsqEDmAUtuM}wpD^c&!L+=ze$?x~(P z#_+lj+wF9GYUhh;w|3fK8a?Vp6jDrYYhayMTmDH~N~G{QHiiFJRLJd!sOvYE-wH(0 z=9yLgv;W{sk#We_y88s6Ye@I_M)r#7damet+x+j6iqt96xP<(vF{)<409Q|z;L`JT zGFo9VH;tQmmF(Fc0rkLG|2|-H5ayf4r^Ptu@~?@PT7-MAGKg3&UGMM0$t70-6~3iE zKM8Yeb@*aU=);vh&B~n8xSJGCV^l}1r#-CjzoXjLWZ@pFufl*)qR^j?I3#a~x<(g^>Js4g) zAHSLC?5N_-H{=DrB>vMU+Faa`Lb-9*hNTHX_os{sCeDLQ(*2_**Sn(aziwQVb9HIio^lInStUlH9}oELmR^nZ3ClBO$%k)5do?YO%5<)vz!- z;Wrbp=`+yi)zq=+VHVX?@PPXPo!+aAZTvM$hUH@M=X!>|Nh-yUe2dfrFjNUstWkkq zZ_1Pw{p84l494~ws3FzKp1td=Vz+GY$f8S9c%7~9$pSy|4jHHaMO4j+4Jmn`X(@U8 z&vx6E%eNIDd8EO~;*EB@$$>!ke^X^w&YWhSxZYG+{n;Gd3^n`k=in#$@ZBAv#Zw4+ zEpj=<=WQU}$!#v0J1*}6yzYAmZ85k%ggdJ`QMf2nNMGmV8s>>H4ljB`Z8@i`8*puQ z#QdIGr$xKd*hoCJu&<7;qN2C%H}R;ZJEC`&1*3!S%w$JYeR%kkI!cpCVCr4TPC*^* z=WzFWI)nVj7At6JlKJE}sxO%l8}tjGZ5A8EbZG7WVgarVpOTi<$m1U+!!Pu5>Gf9q@#AHvGo5w0St@*?T(R%}F+lrS2~4yqNE z^L^R7{J{d7x2vO?oC6Y#CSp6wB~7GPT89r0DDDJ4qqZ(IWEAx-3~vM}Pri0XiAN88 z%_+_pf%AYRHiXsMgDH=9<>b#FE-UFJf+KeHJ0Axco3G6CCST!aX)u*G+(+{Bjb#d^XVRJ9MF^hmQK%6L&bbAwiXY z;hR==z(ZlQbAa%)WG@clELgy8wAsDO4#|F1z9&1K#%@^XJLlt-xg3j6!$ z`*3KmlOeed7z(l4?Q%0{HESiGh>_WTo*AA~7&Po-yklZWnep-qetwFTAJG_iL+rPN|UpV_M&2q}zRgpBC( zCxN=GUo0~8Tdqk{n2HMUW~R`tu>Um>7I>Fi6=h35O-b{A07F2$zjC_awtQLyyEXyR z<}AO+EcKHl_TFAh)Z}bS=yjJWDLVW{8cn3e=ZAc0e|>&)zTMv1-U|b4c#zH)H7O?RkNUnXb~JV=a&h?-xHT_P zOl?;PaYg}8Em6St!MpiNtmKpuVDtm!%5ctVtW~zQHZ~fC za=DSo=Nn;?G!{>u41&f%`&4^j0XOhb1@aDUV`?KK$sIBI)Cy)fuzUm7Im_Q?*fJBv-feIqT`7uNKdj z1+aT!;8JOB^8cq3mm9GNioi@iDgw-2vWik^0M$vk{HK%=5``-c$YxQwtURIY4hs)? zJ3?otFR^pyJd3Vtnuoewuc9bdyer3Y0JB_t!Wrd;d*ip+D1K6HB{NJCF45< z$pJzIbDO-~t61-N!U^`o&V|d?$Up4RfG`CbhooEp43z(dqyZbiT14kqq7A5q!pY)FvjNaMqgB zJB!-tj=ktSQuNf?OyaGeaOR8RwuYjjoLFBpYmAa`wS zZ@obZc*b65#;?x6-}HSdh4+_gFYsy^VA=M9qs580*R}lfXYA-VKo8#3fOlsr!X$AP zwbp-UEpO&`Ej?Z%8s^k@S1o_bi7?y3Y!wsN#K|ztiAk0wnd_#qI*b#-(P~q8b&=1n zF7~^9&P%JMV{UYe2G)+?_ktqr3Kf>FLKIu zR#0z&oPoXERV6Eb8vwS9<%xAW{8P+*s#|uroGUm{ZpFgLvX?uJKFFe*uDd>?>IF!@RgE439aJw|hh#dgYV*~iyLHY=3E?-r{l~Z_| zYXJE8FNXoAp&hnAn}xDP!jKE{k%YNKQ~g&Xx8&-8#6{7{*4es@>i*b6 zx4{E#DCd-|VJ;k=P}e$3s#%-QROaER+Imy(bnDIoQ}}ejM;=n+ZaN|A1)aTqCGaMi&~W)S^wB?qXlib-eibnwq9d zJnoxjU7JIyYBRua{4)y<2T~&R6C!A}3`v=mI?WYFbC&BWt&~-2l&X8ner%W`dcTg4 z^@#dR)AKCOGZg%>nP$iS0=#ryxu!g%yjgi(d6#l-Snbr`zVbpWcJ&9)?z7K4v-{ZH zySvvPcwl$9eXzZ3AUs(x67vOcf zm;(ljpN|(~84`-jBc?c5U40ieO{^1Qra7W-fX4nIpy}e!vY1yWFcUPHX|vHW&1H-f zZz36^*NZm>JU-)J%|fhIuCP0uv)0fhBvj%)h%aSk7w2laDn`(6rHq)-Tgh4ylJ*)Y5X?>KB3<9Ile-XyV1q!A3p zSoX;C!w+9o-JLTMd^n#WHi0Lnw<&zgupODtLdoY^rf*nJE!ZC54&T>Z!b!{VEwcR- z;YP=@Eu+8hdz{@^v|J82BM(~r4doA%Rpo?oLuP`u&i9NP=g)Iy7-#v}BeF|ZEmtw& z$;JeWlG%LzVVZxGpP7kB_uJ|ZAanU;aJiop4$oEL447-S*)r3RMy*1Kav5`l*;Z!` zCnl9d^gXa$7~p1`(^ zb(2`{csR~*`nVTTA3VyVUY3(rWG=x0X?#cdbD5}x$ONZox4Lsi5Ugp++}vPoaDm5emrCL5FDLHcpz(BppmoCx+Qcs0*7lWs>{SQZPqk;59WQC3!MPxh69rWx5Jj>XJcI6EaRW7U(Pdn({o>) zvrPJLv(y7Gj|3ng2e8Grm9M49vY{-&nS-q~JJozHfGD|q!;D?cYR`RMd#G&tQCRpyFv~+Pz2V*kK@|AQ`B247A61DmexbKgB zjAZYYm}!wO7uI@xlXP2qnbR3pGnnP&_3UGCP7ejVty(`Dgq(aShWG`i=E1K1A7E2` z<)m^|V!iEM+Hdq4oz8iOQ+nn6m19_M6m5@H6jNj{$_h>DP!misB3px0X;bzsH`$MS zYa6ZUey`0DW|vqFH2E13ob@eXd)JwbyPBzjFE!%GrFj5+Tj=eKdy7qr{yeY?l(-Ir zv{V*}dS+QSeAEmvFbb~Oj-%QRE`}zc4ppV3V)-i^oL{Jto=P8n-1LmrHCv;+QH}eb@C2cOEU$Ip);)1@t z?P<2q4dk4<*okm%Q(~jyYk#Nwjq;T=9}NB{Y3a3kt5qr0YVAt8O6i%@>NFwu3MJ6Wjli;TY&=!m?krT(ut5d|l_J zo!Ci*VW*l@@=ZJZEjwhE@e3>q7}kC5Z^1|W1=!FkXxx^v4>||vX!*kFz3qiT6zKq} z^;$l^wx(l3xXzF6Van0grs!ytNgpS(!7WTJZew~J<5(f>s&x;Posq;6az5rn{{qYC=F`A=v$f2L$jANm;stc3 z+v2+5Up%|KeAWQ?aA_rzLz>2e`K1^a7YFBYniKqM<-Y)iXe#rv%8*Z5R<$~3%*|FA zHpWTMCK=V;W~-R}bP1_4rEADp)_nDqqj2b4n3}Q-{FS}YUUtifgnZ?PwdU0u9=5NGn-v*BQr{HU{DVN)X zXbD_(wW28S9p!MVHW-9)&UanlFh@HCri}^`0S)>|nx~n%*Ri{mIvz=bf21*O-=d+%g>k4Ba4fRp{yr6ac)kKVwkV1budZ7BGV7dM;2JUz38(-$LFDn ze?p~}{~<&BK)1Uxf8Ml>z4lfZchzsFT_LvCnrZ%J%Dwfjz+QV9vy5d) zd}BdmkXr4f{2TC1y;4zQVB4DFI7B7K6VB5%pAleb^(R>77^krQ(1}(cfK{BL`lEv9 z5poBH2V2XU>c=$lpn89bVeyGB4Lv$<=CJ zJDc_VIG*$7jtN&v_MY<0xb&C6a+0I46FFD4-JjoB{P;21rzDWenaL%5zk@KW% zj(CG<|A8JYa*TOq)$MeJ7H)JQjN{hkroNaMfTpuc8EV?F+B)d|x0OGUSxi7ttIF~) zTJE+eNXH_irD$5KU8&SswPR~O%-wa%@qfF)R@A%yNZy0`imM$Q+$VpPLdaDrzmd)`D_N8aQxv2eUW;joB&@9G~#F0v|tnv_V5 z(M`KiTX5~Xo8fM+X=n&*#!JZQNp)E@?F+r9??klpSkiKEwx6hUQ3cp8Odo5@+>0$o zleF~K+loe%kcFpfz!%l`zh40io`AN0NBO#vSGs_}PXc}z471sVYSkY0`*v%7-u5|w zaeHTP4=CP!!NbG`#;MukSV8K{efHP7&x!p@KS6I62#GAmq0X%{piXLB^^skZz@bW< zLG3AISL8p+#9puH$oY|9Hp(9^uzX};A=5~oNQh&+un>bYG^{<~`j*!3ch5Rm;)SGs zqsSQ7o_b1F&cTcR4d8SSV#a!koGvN-VMaS=obI1Aw)-W+a2x%;TLnD?2Y7Gw>oChX z-O8b*$j~tH1pWG?8)+tZq)}tqWkyPB`th%j^LcruKTq5*E$4*k`q+)t{^wut3n~zPMov+g{Ctva!HS{H3A_2+vvm?OzOC8ba)he%gzeDe!y4te<2(6&%WM z{T9PaXSSXR6HP;0Bn9zg)^?LCIt_HwuIel{EL-AW27X26{>!7hZ+CTdX~`Ni)`7-Y zE(?K=XROS9%V(1e=p=?rYl6mMDeQGKqgYuB>f?9t4p%odCam*5V2fS~(nLT1vjGfovuKBP`l9x9nKv!i9e zf0;4n034LXWsZSvGU_}A0NZS$h?G&ns-Rtrq0Gx|be>sOPD`sd2{pOb+{LPK!QRi4 z`U&ePODzOpsCmg7C|Km2@VT*Mt@y4ir1jCN3laqc$% zz;Im%*6(OI04Q_=Z{MkgYT-n9LwLGwnNDUyc)kdMF5%+0a~LbsFV}8;mnuq@Wy`H7RBy%;N9uOuJntzynz**&n z@|={?LxsWB`uRdO_H4VLxo+X{n|JRP&Xo7d_4pl0upkz%tgF`-Fo=pX1%l7IdS4j^UCW)oa2>@No<~E*hiV*Lwg;GbXs~J;T3We{tm|VX>tq} z-|t+cw|`#|8PbV~kWdxGZ(ViG1lz+)mo7acc09u~Gj*9I7l3fA1#9W9eId<&T(Z%A zOey!~=FnM)z8PTUtoc>fGnnP>SQdsO5g`_OLCqZGa+Q4kQxNTJTz~^xrJimYdNR=W z;ql-IZv*tPj7;xmOw!}tgIp_eAJa!LtGa16?r*^cz5>?J1+)j8es0}wD@ta463o|T zIz4)6)+3GwM|%fbhb4Cq#JB-ylzAM%nFCETeUIhA-xDErE_>?9&A_bZ)uhTJ&55*3 z1|=Gy^SlQh64bF$H86b7k6BhbH@9I#nQYz(RBQkY46U4rt)kti*Rr^I$1`<1$CJyB zM}qJLk+I04mBiE?%}snu`7R*30PtM+>mkcD8Z!l#Ns;5&T3cgy(j*h0ezf<0<5%Sp zv*uhu$Cy|@lA)B&)6le?^*MD3&XLlJCJ)~5eHVw-w>^?~NRa(h!RGX=tOqvtI2d5w!MO)zT#CU){u*qgqHHLqWDb0H&{$pdBSl&87mlfeps&K-Q#2J>Or4C~}&V+yKSz5Aebo+XY*G0-;6Q zF?5T&@n>l!=f>TuLKE(#ovjOboIA6FS;q5CW!#VaebC@4u#pQAqt!@8&lC#F3%zdd z{P|@E&TP4SFV~#n)+yo|0~O=2Y#@2qC}lOSat~%$$wc8>X*-+oYQaKFjP4;TvnSYt zEU>`PXjKHKP*QyxZhLtlSZLA~QDdsEF)3igMhc+u)NXP7&N(%{TV`lnl z;%KBSf?J_!0Wr;%EUmMu(q_aBFUy2+a*heduvlpW8*{s>fLZM{Gw?NFXc0t>lM=B7 zx*qp)Yq{OsSonT7?!F%HK1#=0sh7WZyHk0j=SfDJ{|2(RB*Pp~ho0T~=?v41rs08a z%}}r!jrzqkbu}sl)FN=Y9b%hBi?vDJN+76{lKDnIkd7F?`sxlh+##=d zbrD@o@I?X0Ew-H!Sp z0BaJU+Z!N2gRAfp3}0BLrzT38%^Wckx%;y++vWs8#|8`PoI1Yo$)3|J_wnBU4H>1D z)QPu9j%`_vKgul+dQm#ma!pgsoV5uj_*pxASa#19Heyd(@0A6MV>Ji~%D@McNl9E3 zpF;ve#4W?+PHRI8a31uvjBn^O9vnB*GjX0+7e=r1 zcd_d-3<`0{`R6JPAY5zc7kJ<$pJeHYJI*7FIDXCyve}%W(OLzwU@ZiY0?IPrB7Kej ztV(RdfM+KQt}lPC9a}s`xfHRFDmO*Yj*H5J$`i^f%Bf-L&i=#x&Hc-MeZS>D^U5nP zy`&HJ_jMB?-+xhm@#w_HOsZzeYLeOR)Fu%6dT31bBeB)-lQa~KdRPDljchASZL3yG zeaD_UBh8XJg6^XLCf`pw3)0VY(RIYtP&00tpg&mrvStEUG?*46!Z|Tn4gpqJ@EkL) zXOO#UfC*w|WCnz*#V(qwL!iWI$H8Sau~FJ6^9X#)wk+1#A0UFDg#9LWUDagRFw8*B zsu{<`D#FB!2YIe(s%p?E6S*d-v3l&QLDo`{@Pw^dh?oYZ&P5f7xWP<2!W=A=*>7C~ zsIuC&IVlI4MJ&DInMNa>bCA7re+HOkOF59!d8c;PJ1fnV_4P%iR2nP}?nxw!d=)ty z065-6T?0nF(Z8pMIs}Q%l-=BG)H7`nQbc59l`tz=MD6ZW;Jk36r8~id(5(4Qa#@w z0-%em>dTqjuci|ajdVJgWYtinLk`CuCg>#`&3*OLgviJnmDFib^t~w0v#1(}%P}=b zIfq5gd%Rglr__vm{6Ca^i~VY1T0+p=zFw>UA(wxhj@8NgDQud3 zKW2@`G96^7=BO??!Lo)=iQtVId4n5&q! z)52eqGLroO!%V|e^cby{+DoNcEe^6p7qsUPHr>-Cxi+5Z9ZZZg>QA${hr1k&_)hae zcLxvP495llJ{^J_dnr*vR_=@7WZjJ~0DT%5SJl&c8@}}44TmSO<2nF;Ul_^^rLA>E zFm&VntWi9;n8C$;%w&w5;B|SoLLK(9BXN;aH9NSfbc$hEt*$jXoyE1qqa}$$OH7Z^ zXf+SF(jvV{4rY8*X|F>#ZooVIj*AtFxu%Ex*uxY^y%t<}XXNS$aon)(CBGoJ=X~0Y ze@jkegyzGst}|7&KC~cHbVfF_V3x{}5oS9-+#lp^+-NL#3H}Y!jq9hj3+mj#$)UwC z5L43(CS6|NPwS0&*ya2%SV(IAwkJ$;dr(@3V_Vu@x>tE8Ge|Qj2-wTaUPl8+B~{Y+ z=?L9;G9$UYjduH*UCDcJCO1T|`bgl(jbg(M(UKcKpat0wz&z45Rnr=^7~h5$z6W@4 zBD5ed0>ii>ZS^kUwm~gNPdqp74N5dDw6X>kjr~4m#bP?=n}d`5Yw(;zag`ORlcG+$ zdR^(}lB8QH7Q2hhX1yMDqt}hwqq%NloVQ6&Ydny1tO@=wY;=o0<`8N=6LH72F;5!E z{WNUhi$4hOKK}`LcN^vSdFK75@Lj`o0^;iOq6#s0{z@h>0?c3oYnUw-U5lOJHu##6 zMt(&pK+Ky1HgZn6sXPRT5Pm|+yFZYA_-KA%-{4c|4Rcr8JdlfTh$3`V#v>lD<{jj#*+(ZW%b4}zal zkvXsHL0%BXjmX!{ejH;TIIilY>Dp1@4uaazS-(js zb38>WKSYPqZm*sf$X+D8${aJyW|nL+S}K2YU~pw*E9ugHuK;+BkV5qbl-YE$;GFG7$@b5 zC#QAB{qhCS)OFC+E6NQ(&w!kBwPG@wuI|g}>i(_Em;2Xl-t3>gdbQDSydF+C?4Caa zXh+$XY_e^QjdXSn*C469MrxJZr~d@rK3$?0LCxNB65hR&dv(Y17b8@vCni8-%|3y= zM0M-F7BI`9zRAprt0u)oP{9ifu!M6F9>~xLm}?#ol9#vqfe#FPU^_Mz!n>4>&Uubz zcY%cy+kfw0f%35e!{OZRWIZIzHr4{djmw2XtyG%KgN>r-C|7-6xh+vfw|VV?eP(lL zqjuZgRTO*c#0k5!w6wWt2ia9H?SVZw>ZtGAV!t-lF91{hW`8m-8ugCNe;uigOJS#7 z4wHoS)MSsS+^2>gXP%Di^#*Zyd$*qshE*+p4}l{h$QeqzjZl^|pbUlc!{P9gzUg|P zcSRAH!UX#6t7cgay7b+Z%f+HAo9qIeRU_Lp0?V%eue%yMK;QMu(>ASOI=E7QU$Iy( z6h7fdEFNXq~-MW%ya{dv}^Pea+Wl7mo_GOpHZee?+U8t{kg+gVe za_IYKJG)6V8X7f7c-R&%GaKn8Exq`Vv`vF|GJSRp2!P)zYBBSBHET$i3gL{hcvwhls}FFlkch|L!r-B*!gGtGTZqXf`S zH^s|p7eFKAld}VxZ$@c-Bw!!kw$yqt|%%a^n` z@XYY_>KRA)#F2s8BQbB90B#+XMQVpL$?nggtXZ_fy_^WPTXy(EU};w(c(>6JF1Em& znE4<;608ysQ$@l{P3JQ2onb$^;c@~Hb0C7V5@?>qY)}c+%uc|KA}KjKV1CLIVVJJL zIsdR1l4A1XUWSkE4EhEjbvZX)2bOqUW_Q*vpV4{qptIjuTuhqDk>u-m_GE-=Mk`Oo zo00mB)6ay)k!mZ6M%Qe>+hJSuNC#3N^y&f@B?vOGmCJddQQZi#XHl+^Q`NkQenwVc zx!0+wa|nD+?4km;t!hTl4+j9&_i^L>AvBHIMK^R{wF*&7y5)z>TQ zo0|`ImYX1o2XEfKcKgnq2Qx|>7auGhuSwsN^_{Tl-hJ8<$;Pa^KB`S&(mE7h-0#mo z$>|I4KFK^5Mqv@~VKv`qqi#N629HG$i+NN(98d%OvizNn2ri(f>S_ZpLCHzVx-A+G ze0>F^?{aV9cTGpjhnAc;WMR(I{7eSUpzMh0B^^y&1Xb?`fSW%OFk$Lg z6&HQ#VQ&Sqmd{Cxd+D_PH`BT0Wu*t6`nGauSYKfMrKKAUV881(aurgUo4axKfy)ov zzI|ggleyuntlW?{C>`yLyr+^VwJ;eEbWg^bCQ&OSN2NH9Ws^4O8HsN6XBtVT-}8R( zz=dV9gyRGu=O%>!W=x0_4b+AM*lojAmlddC*lZoK`Mg z4QTt^5-whNDguV6mu$ldGJ$Uz5%=0m810xw-dE@5eq?!hCD3v=AR0=AB=tbR{wrIaO2O8$`!36_j27we1C=PXCR0>_+}tj8;_?c2 zFDAkP*|VJbojq`<{{90XzVRV$O7zeo&=#6=|BS zdq@XE{=sFHwpGd;>_SBFsGypr8rMP^V1HGm)hwqi)0Jq!O3}jC;hCpo!JeN|UX@)1 zZ_FiCKPRdrcX{=~s-ol`Ek0bVR&&q4`SHi!m3!CgSoN&$AJt%6N2}Iplrij`bw{(c=nk{diBa{BVg9;WKtApy_31{1KlT#Kl23rdjUw6RxVN zP94kEX_+|8q%M~)v+FBpDHjHsC8XU$gw2CH2z+_D+YLQ%wN^qY-?IFo5hmpT<-F>W zx|9!)8Q{#Wu&`#vAGIv3o2KpRmP)Csma-;U;vUl(!9W|#GBs4egq|>s*%~zf%Q+b! z9toBmo1L?@Jtuy3gC2@pHv(i)s{DNiP{E!5Cfk~A zKOPLTrIie8udJwZt(KbTAp~l5qh7aE>)u+^%p}Nc!g6x2oJ=vyGzpD;#Ib&0@@wEc z9T6S`W#=bH^!}Up7vQ}&r1y2B@W%3pPx@MWh zo)%mBllv}=!#f(%v;X2-WaH*@%w@=V3p{>06N2SvM&_LftNMZIx`hQN`dv8Rs}K!e zq=tK5PNEunE?F&?+qK&BPDC}Ed*w?{SY)@_^i)5HsT|3_99qUz$!8_Dl#>n{ps6R7 z)4)v53@gQ2%{n{G4EufS#OA@~-kzm717~N)l0~$()&8#nh;c>jKKCO|Z#)@Y{nk8| zua~!;^d~Jc(~DA8Wyke-*yC^6;hX!W1G3oCL}n#$Ll3n#VtciUAV^y-1`1)Qo7?u_ z16#;On5oDy7hav zzIyBLZ)smWgQ&LGymjW(sWZ2ldm1Ih%Ub}wddq&YwLE$}4v&d@o+eBW@3W8%q2n93 zT2pLF`qOT};kiLqU6R`42{jxWgs(w ziXT&5)7EQ=V*%DRbx(xA;WBp7S6__dLL7G~x5%nVEUE{K=|s@zK1w;EyE=>uyk6g2 zrY$c?*6e%>{tFj0fLLp5%UfIWTD;y^T)p&msAOX%2;GB84_k#t{T3|=Q4dY;71Z8G zyY*9`T`z9IyGK#Z+_l3e{Denl7_2(c)3tqH+s^9fT3!z4YKE6Jc)JqM4i!e*W_+c;|zyXZt4wuX3h`K&`*>-~aOUBj%%QfBt8 zv=bTwPhC*1Dci$h2DKpq4u_iuPUou%YAXkw&Vka_=(}d5=HjkYC;W>Zs{P~tzvrUYgbEab=XPHYZ~D{)@=T`uf?uItyjxcaImDdPFcw>I=D@0p|NKjHwc1lJJ$1Z$;ogMM4C0gWnHH5m3#QjF z3}%K%2FVmaq_S?M-w;bdgE#4oZfGL$$dk`bMo%UGx}yJq)9-nsK%oirEBv_NLXEVv zQ*4R0O1NCoC4^?qBC=1*AimG+C?Pg4Clw@&Ttn9kBcL{^=xelNI!i^>qw>xLeNWz2 z7Gn|V0i(dP3E^%nWNA0*gVpMt8Zf+y9pc|ng#dgPmA4{X*vhHYbnfPvVE|027))$N z!coH<*AVpwotS%$lkgTb-3Kwy8y#N#+^ZqYK3Of`WKdP+}DFte+*RKS3NreZaE zv2GVbT)Y?qW6ttGwIX(U&%()tJxlMw6U2jqAL71YSi%oJgy_@in>8&P#VV*KcRd6A zcJsCGE8kWA3^>Y)?0-|k+R~DQwsvSAzmej2hiiW@ZG=csPuQE@VVaIabio4KmVb6% z-El1K2|%+^)d(#=D2om#fKI3`_=T4p2Se-|O0uLxv6mI)|jn|G=0JmoD3z+)b z`%!k%o2JZW@87xq(@SrC6_AZ&;HQNDWG@9aZ;doV=$L{O=haA;jY4Wy4H!I8@w^&3 z>CG?i91N~SD;at^WHcj!w&{>%&u}c>g73u|u>^JnCd>q&XS=|AEHA)zsLLm5N8q;s z+x)STS9&0Y{xG91ZLhOf58$^htt@mpOIbzJDod54acG)Sn_kj>znwW^3Kjg*;D$>bbu(L#A=z>F0IQRxyt75sz3@wxv&+40 zN2?28XM31!^UYH-6WMQLtKMx{Rn1fKvKJ0s7$*lruc zmED!~bz^z{^l3wrcaw(4-RdzUJ3ZvXzF3)9IJ|tf1v_iqE0&2=UIzDeG40O2T*xrg z-3A8ehJ+`ErUFc&qT!;?ofK}z;%=*n_PG_yG*=ZQ)AL^n7;u!QUGlyUyvd~3PA0f` z$~4KgK>0+}R2v1qX8C*EJ8f%aJ1~rM7^E|rWBx~oIRA@MQ1+Fxpz&8B-aIi}tXEby zH%~6?EUc_lu3w(NeCkw%_?jQbmGcMJ4lZ4)$XapbT=n$nex-k3HMno)a5CXBxNkv6 zN`xFAY`AX@dX@#GA4Q3$E$p&8a?kQwpvbKbYBuS^2#H&AWK_%*EpNLkd^yMUK$<{o zG_1J?;Vq%sY0P#pv;19SewAyZQ`WezPoABcnW)i65B?dr+o8NsNM6>_m6e;q^KP#1@85j*%Ec>>J$6%9)i>RI)9a*krmLOaEp+tul|et9|4WkeM(>Tm z`pB!0x&g8B^>2x2&R&W+x(0IUozjb3PWx3*rc=bR5=Ja;H|pq?Zh9e&J`@sULTG`I zLUhLq)!mE4QvFEwTwz>pbCUuNhHu_f4O0aS(G>o5&kU20;7mm=dlmQrHu{k=hM14b zno;E53913&)t)iQ4ejkcW}M?-pqAsrs)f{WxT;zlGvClGjSy8Q0V?|N-HwT=r5Qv7 zmKt>6Bx)XxmgbqUpVgC7@)XLi{f+XsV?JI0+&q-Guoh$IgxFZog@^&C$CZ_pI2%B) zZ^!L>8$ZSv?8tqQM{-URkUVL?8jmHe-DlomaeaMVAbVY=jZG89rdKtcR!qGO?l6@I zTQ6i|UEKzR7KH*3nktJvKMgu{_U!Oob2Rek^5aXa=39E*bT)-P#~t#nvZcFJ*K02q zL$$JY!S^&`+F>y6T>4JRtVZ{V?#kUe8uGkiMp23((DB{Mc4cm^NP}Rlxc2%gxOT)| z?x~x%?nj=EvdEtrUV~kqO#k+RG?P!EgoT#38akTH-hw+oVHLM+8N7y@n-AsxSz>}= zeGY!E|GR5zn=31scFvTyuVxI3XqM^lH)hGn&BeO9a{{xp2J;=jCtrr$R+R(glFWQB z`>0a!&s@0RueDnH`+lM*e&`C}FLt}z{`P$t(af{Sp6~q=D>Gqz+>bW?BANY@CnI_@ zW>72T1!Ov^8yW^|@w{-CO{V2!=ZYv_)o{efI^Te=JVYJFeTYqjngUf(gXr`@Vud#> z?~35>xOwxvbLV{*XSYidw$!pJv-F#;?iJtIY?{XKaV&}EBTVQQe5N_3MzDFFijL*u zG1vaPu|3p)MFNT|A-$^S^Um_l!p`2FWBH1&X?3Umx)&19WD<^g&!c~HV^(Odd)ojg z$;T?9{ssU!6*+$_BZ6HAU8r0{VOb6HhD_J@q9k0goFK3)uan`rypzX4AK!z=?P%Yj zD=sHX5GXn|-M(lWjV*C=>1;bE?;n8BjAcVS^HjWO`3W__i9ig#>!zKPye#OSemWTq~`Hy5jW|$mK(d=o%e-o^3(-V!H#mOpV2H3+;Y*ni;_r^bU?TK?3`N2`pLi1IdkppT(e2}%<11X zi7f>3DfbMEdp5QM(@Z<;LPvF@4?r9Z&$w9=I3zz^@J(9kgq+NWIg{jt!5KeQ zlpSSy28iti#x%>&;Ed0o(=T5&9(artHVgE9HrI5gMZG`L*=#rj17u zr#d`NQ6)#lL1$lZ>@N;T+&NpWG>+9PjZu^6UP!G~(_MEBisY4nM#LNshvBo#AH*|^m%(tr>*XtEUWt;upP2?d0!1f+Z?>i{An=kYzdlU-6C00;q_UKDc*(>FzYPBW z_TD_ajqAJ@o(-6N1wexP00aq;6jzZVwU``IkVI0lL@l=Downs&wpB0nrbw{NB=nM+ zR_w%0+Za~m#LjZ#FNxbet#6H_O6{hJ&Z;C356e4O0RD?&hHv|4}AuXZSARghQKE2e|X zHG;#+@S31#Y`{3;_vsr31zCIopR>@0CL~SdIDEXQR`zj|Fh-$G&2-&>)yM1C4jt!w zV_y|yaPC>ZE(+kgs4e&ahGMm-3~YIk1>W}i!TiW<$eyukrAEBT?t{onZjv;AjVbyV zRpxkFZs=81U!cyekIFtNS|cjk8yjPC5aL3f@c(<%--9pIMfH)pm;0$B)J0DFfz4sr zW&NlcY(b5*D1#OkPqw)Lo zs0Srhj5aH@;+IW9_lvT~^Maqz+wxBvyU$n*QU@`bPx|X6SJPE%5I!%!QhDz1i0q@I^WWN$KyUWBoGPL?gnJHU#2{5~xhZp0aU>bgKYBCukl8K|u* zzAne_E-l%} z_*?n>V_0$W;x#zswT%qV1?Z|;j`s!WrT~Xag#~Co zD*+q%SXDJ>K}-nXo3N5@YK9RszyYyr-U1JkRlOO#Z&UNstDs|TY8$l=XY_8e>zmI% zU)?@7GSa8^t&msZAuQ9O&*hRq?~BJrcj3EejjYz9$w=;8@`4vZo)sijUGK<>R25e1 zc`)I=kQk`#QvCs`zIE*4y5aT)|DOiPD3f zG&Obi4~5wJfo)x&7>&k;4dL2OL1Pk@i|D>jeE{Bd16kXylj^0$a862#6Y1(69qs7q zGUM&-CfJf;bNJjjDFuYKdL<7Pb53EY++r10cr?L-F%zv8t#*Q=6AJ3pAOnr8C5n>O zCizXDu5VNZLyR^g+<*vyb3Uz&2!_b(=BE(mKMy?~owwLpn-w{e*=j1HWi@aR7W%5g z7cm;|^VRS#4Gx|+G&FqPc~fnsD67J^ai%btk@FVy4)HqiDaGv|Fl_fsZI!qpPJEoD zlNG;RwJ9Wun!l||^aqVS8#l@vtBV$^#X#Fw8yjU`qKOU|s;}kd03#|~1Ldtv^lPw? zxauU{k>3tpdaqN}vTLHPFTTZWkH^i1wl-XVvc_Ef4%i~f@P(x+R<1+2*3$d@GHF&* zhAdw6oM^Rz;M7E9tvSqun#HDJ$=D*uIt{^(gv8ml?J39XkR;v=M-@@5_Xov5s8a?% zqHa(fr?>I1`0ZwymCW|qNSDP1H^r|58XXJwiMy$#Q>0s0+SR3nA ze`^C{1yx_-vVgBfbXPBXn_vb$>Jat25Tk;vI(~266*p>!sxQDdR53trlcLsZ>(5>I zwBR}W@!mS0Oub{2WKGa5JZ;;yZQHhO+qSJ~+qT`)wr$&**4%pT``-0^e{yA>6OplF z?_5=Clw01H7-|OktTeke_U;;g^EA~n zgynCLUI4|6v0Ag!WB6_ZD+ev3ECRW`j_nv@H9y2w1)nu~S!JTF;~LJSU1ixU2jo=) zy;!IX#pM>VX}2cgXI`uirf<;uOTXLN6*8IZd=$L4E!RH!@4uLer&DiH@b%w;16awE zZI;#pV>ng#tX3l!ZGJVh;y9V3tJL$MknrIlLEiU&u|qoQi02+(2rn2HYfL914f|1Og&)!0;2RzjV7xTuNNN zRXyI8KW0h#es9JV@pN1Da?sUy(#QHRR5sZ1L?IszOI>db)OUy-9m;}{u5}N}i#nVH zhxqg7hfPs{_xix?ZV|a_HW^8Fiv}^#X$uMt*jgfzQEh^XYB8JxtB9?EwgA0fl~s?B z>tWzjy;KZ4vQm6hZ;8<2+OfkiDOZn~Wt}>jtH^1bk8#^*6PrX6cJ6~1ehoeby#~PJ ztvD%Pv;swjbBWvUI`;rRdkc+r+#wgToPzV|K~3jvr32M2Y&>X~9gbRDOGgRc(58PC zFHp{W7hL@yea(JtwG9wIw#}8VaIje!C7R3puCCUK(|hV0xZEh8qKQNIc?gif@%W<_ zCyvO-G;o6kyjXrWDxjVGMfArak9|1H8cR042x_~_&CkzIWM^ldy$9lx{{>PxuiG!^5!mKxT|X@Y30fpb#LbpUv6YX^TScSq}%a$U(m_*wvAJs(a!d^ z99&JCM<|V1RIPE)o*1O{qRoQY69QzY1_z4SBO2Hvi(zdv7Qyh&lluuXGN zd$p=dCq>I9q_!~{x1ct$#9bXr*GD0s{}bHG7yMDJ;DxXbZD((vMYYwaVa@)k$%H8f zuI(~R{3?B~vFi)_<-O?~jlue?wz-)%<*r$7)7^2_32KgEvg_AEZ|%HM;{>!_`AZ!4 zj?`(tIt*P-!ws_xMzu(KLs8Ac7U_t?hk%+H)em(sG_Y^Ce1oid4ZA77sQUhlzyub= zoX>C&{Qdsv>nLSadn30t9_%ZilEdaEP*Th`P5nYCasMPvx$u<+IDzki$-jrgx>|#k zyBLNQ%Wvh}Iy<8wyda|aK8#7+b>Dv{-uop*dZXDMOSO)!uw6W%F*z55wJrQDR)4_V zLk1z>^vAMCy?Hp**@WU$*!WPH&D@kD=4W9geFvIE`76ML^j6mPV_Cf}^qd2-%U!GR z&-eLrJJPyvElL0fk>Oa^{Ele(zD^7Xm^=5vfq=-R!xItN0$EwvqM+Y%xliW%e0y`a zGmyj4_S@Z3PN2MV+8osXGZ%){ zrJs6Di_>G@eFwM9rnnc~A*(fZW8liw4Gkq)rmQ0RF~0)nl}o%6eP&J0jbF}F&IEYy zmrV;^pH>Yw?&^kOfaV*Rsc(LxxT1cJfXZ20SEF9K-%a8&by~}2ZmLb)9hU{Xz+my= zAKRD9zj~xibMqfYn6yvOS^}CR3%-_m!B){4QEjVZp94_)eocujfm8i%VO0Ky^osVBap$mdd(Ss>M6N z-&v#je7>1(*ruL1WG_=so@0)#`YZPGBq!TiG#ReI8|lIK-MvGp5A4Qyn%ygFtxXu^w@7KpTyhF__;xQSv}g5woI2e)i@XEr;5~fjF{Abmo@^# zEJ*4SFHtUWX5@T6f{7K!KofES1>f&u3uF968ZRGyr;prm?d`4Op`z9U&V>N(pP-X}6b;2J*ABh6#c>Y!75!;>U%VjQMb8vVp0J_+-=PK=SY z{1cXyXsQdMkyTnnA;4!2sn0~!zeh1rZQN<h$hxCA*FrODkw_! z{%kDcO1kq6U-IYHvTMTGCZ8UG>aUj8#aEFhnTho_YMs_ticsLyFOV3eZEplbOlLR* zdpht~KdI9!asqyuXpV(qO_ z)cE`7_;#wUg)ZDv zwic;K4pg?!Isi!8=M12Vn z=|`CS4&V8QLYTKXelu!)MpB2c?EOOUF5Xl*>Qc^|kg=jho2Y0mJF-*wDc{Fs)`j-> z8Z)qQK@zyLE7O_c5hDt-m9Eh?f_<`LsWu34N21##yW;0gtXU$jfu#L8l;zBU;n`_L zQKXPivoP@NRw^6Oh&520Ms2)X7cJ@X0Fu@LA{Tlc2_%N17E>Rg)q5Vfe4&=s?`l@L zmAB4iL3vM%iidVYEe;j<(VuU3x7-G^Bk@7i>gqKpn!GHk>9g^xqKQ+(U%C5+KlHf>ab%G zJRr!`&_LRz-l#SfbOzmXRzK*Lx@f*Zdl(>yI)5rys)`!a$T=@Dj3p*MN5e}01?Kfh zAC3rJ{^C#Iu&4TF+nFTqYGz;6-&(Jb%?;=)iXoaLsH${AwXlQO)@_8w!v0_rNoZZ& zmfj>I*w^UE@BHYUq=p4o-nUo)IXouQ_SuyyO+awj#SGjw8M` zui6X^Mh1V(9ahIev${g%>*7~S%SyAFXl%FmzJGd)-PRX}=3q*S*IU65NnV$6nT{!? zVntG78BW0%D)B?%1#AV=EBT!Y^1Za2%$^ijAaT6ap64;p$M11Nc=@^sXF1-pn%SgYBUqHwufl7DSRjq!kQVHLTaXr zRCGl!8ygcG$10pICdk3YrYX91Ybe_8_pEB`zaZO2|C}`7=?KkmYe^N_zLa}HI*>Rr z--M!5z94;wR9?uIoHFv<_mgb;u9~;Sc)ji}idQjWpI4XGi>bW+*bUc>Qj|{{n>Phr z?+x;RBXrQ_t|tXfdJ`=%B10h6F)u|?ETWo)gk6;iMvz8Jtybc6n!9+kUbx|ri-i;C zJ!_(R_&a4g-^XJC2=QU9Il(~v>-=0%lvq`xxJ{4qoVEBW9OFHU&#iPE3L?G0fm{U z5m=JyqXX~TazsnRP+z-)=SnJ}<3v72n z>Z_2FR7i^t=@}F|U8FB(53u|#|JbU08s}RURZvu`juR}%T6(#B;p5A$)?heki=FzN zA*9E8Li>++<%G==Ch#omm`sNnl;!*0L#{QjuU)}p2P`>$V+fVro60J<0&0cGrv!=u zB!LYo+}DqHcVpK-p)L?S{be8~{9rAA1??Y(FYP~C`H}~LV&dDVriG4Yhk}s+QK^D4 zr;`dQ-)OScUHroCaPlrfwHK?ecuzE=yO%3IN(zDrxVrBG3Zd-Z-7S-iwtDI}w?T*q z+IVQbHeb!Mt+DlN_iRhpc9MX{aZpe(^-F8eCTG+N3#r9yun~eU(pm{oxf-Yk<9Q3~ z-WlpS8rywFnreP|q{4-;HhQxgA zYoVZp(#u~`KX(?KMCLx0+q_y3eKDJoc7GN;*xlGgBDXd|=lIzUaz=j2eq72SPx!7@ zu1wW^+Tqprw45o@p9a6SreloTOoOrDnWMKO^^eq7EboBWv}P9GueX$DT)(6l=)Vi( z;GoTIVlV1Wu!?sEFj}Do_Y)^qD*FgY@J1lA@hf&#DVL`>Q*?nFpYtuWhve4ep>Z}Z z$=FeGA`LW4qxm5@tK{|rFk*b;kPH4r9vtE5L*!;f)#6mun#;+)SHN9mWwF~zwzRWz zlQL$ncI- zw5pxdpqMzE5ya8gSJ*}6WTU*Kb1EyJ9mBL6WP_r^@|CSyH_6hzWoi$&qK#UTEsEipz0S@r(x@&sU z`5+G3?7MvG1_%H6Xw!52`MX7ky~XZ&KF@w!ejBY|u7OdX*i00lvXj=S{wAfAP8p^% zY5%VMw$)K<|n3GUk?xg^x~JH;x#th5l( zL6a@ZjiryU_O;YV2!m|59)=%^vp%m5!ut7C088fWn3S^V}6buV(5S^3ZW zZ*g|s9+<-q>#~LWzi69Hhq5L3Up0|cjdWM@sdwFInvaCzFJ7(8AZ#BO;XpzM?AlEM zQzO^qSWzrsIZGDamU)s`sx)42_nfUT`EF1O@v-w;waW=9i2#SOZHNytUubtN*JOm z80vn^d+G)OQk?s1qPwwKJr&GJkYE9|&()}ModHhKkFQou+U?zQzrc8U{o2ksHCpb9 zQ5!&S`})s06>38&vPv!GLk+qsNp|_+PX29gSqQ@aY;IY^#Jh-n@>9#+x*q;tOT40= zi1zxXX4l27wF*C6{%%PaT-~>l`h~4>s@e3{SFCk`qnl>2vCg9JAvdt=o5zPCHzR|B zaKAb4PveC?S-8Lc2RAf-{X%rgLX?*Ai!1oVm3(50UNOaPn4&jLJa4>acfGF`eC)dq zx~}dT81VO+ea6I4UfW34bp6Kc+IOA*tR!xjy}dj?-}WDzetYaT-DO?f@;aZ`Vd%ST z-EeGs$MDUsbRP`ZY2f#rp3*pUPxQTPBBRwbkJWV^jN;gL#(T#%Rn{)oE-PK)U$S3< zUvgi1U&3>`GmWp}pC7D_pV`x4GWU>J#j{x3rSm z;!u7LkxCv({5-(Me+YeoEvL|D$hpb(DZV3j;l{05E@!(!ge&O&C%0O$+~VUmBTfjb zp7?o~t53bVt}Ey@t(_2@@yK1Vb5}OowXzL8eTBy7mHBL*i3$S!lvmGLq^9)1N4xvD zFQ+t#^j2$+t^0q!+ooCxUf;*aO?-F`+HfGnbZ7OdA^uk9Z`I9+@dolaeL6#Q?kWk- z&`5pT8zB5i>Xw{yAY)dFf2-3SR9j1Q>Rj3C>UDm*3pJ3KSS7EWNigrjr}YArPCZh> zEmT_XwotqAg6bSBW`0Cyd!rV%^02L^U=@(IE9xTJ5mUy%ib?xg6RY!LN$muw3u)<$ zS3IL!c0|CPdHeVJFM;j1v{X9ocJsfiVvRj#i@Tr89fPeMFFQkzvzM=2kaXD_FTan~ zYUMRI0}6k8>${}y&T50LCkVc2GK9O0fWPx078+K#wu3Ln(rZF6_LK|3TQGK-+01X~ zmmOnA-CHm%gLgUueTul8SkjZ3ZCvpWR7)-{OSpd=rOA&5X(r#3bsou9(^)?H#NydDS`(`8Ulr-?h6uU>Z6B@!bzgbj^s46Gg+ZlE{5yJ znd03e$(tG;(H_+ODI519p~6vqSd~YsGZ&6+O!b@gpZ<~lxPGQRl}n*+w1;9gscc@l zP3yUea_xhWsnklo-{KRVHLaioy`^Z%nb}CD+bpx&iuVf`z2ZG1#e<+X5q`NPyH+*V zPAbp_sVXSY&U#k)#jzA#@UHYG%kBaTPoAq89fE$At)k#n8>iGLyS;JPb1@ z9lsHA!y>;NRYt6e3Ql8`B1>b&r9_?8o5l^t8m)}9CBL=QcH=f!I?^xS4Hq<9?#Q|W z{kLSb^qM8_Ou_Z+HN;2d~jrZ2{4;=%o0iRoIh65nYdPGl3inc_9F zk%GnGTjw}|5j5@OqwtDmt>Dh==k%ezE?+iQuJO#m!j~*u)z$I}nP4k~29MSlksTF# z#ry!dQBJtz1WkLve0)@?Qn^I+b{CX7mJIu%YCdg57#E0XSIiIfxN@W}Q(Cz!vvHxS zF)0tI(8$7J{v*T9%xB&`BqF9x?z1d!)*DZXCu?tGVJkaNntn|YcqG4Rv8XUGNU`rT z>JOTA_mbR%aSd7WbZz&_;tpv#EQmE>LBC0{R35Di4E}NKO0*hrWiQ`_J z3-&N!6BK(S%hg=Kr77VQ-=!@mOf+6n;d;q*Nn{PqpD^ejtv#8@pEpikNyDrX|A|#h zuJW0~umMKbz$*%j1!pS#)&o1O#5~k0UfrYCZRH)^RUdWxO!Hemrs61r^v&C zGRqhLxsf(mDk{+w1StL|5U z@L#o8txv7b>Wg~8kGJRMHbWTuxAcPO^6P(7KYX9L*Cek}pHiPHjIWixt-weQDC-x} zms42jja^SgD23W(I*SDBx$ZG=KC1nGtLDvl^Mzl17VRK+fxD7#n*nh%v!3jP@J&dC zyM|W^QG+6QhW{DX^^c+S(0*`b3teW zKk>?MXK5Aix+tYTK&j^+*IMQ5VN6%NYQt_`aDgN`C1C32TDNQ}c`HNcoAUH*K=k8z z*CxyQ9jE%22WB)IIp5=Xq!+2ZbMc#>%?Kx4co30Ai2;h=hioM73+Vhw#KAM8EFPxbt))saIt#e$7{;WG9ozh34GW@1zIV2Wfi0gi{`V_%UhrAhD zLZGVMj_~J6#5kbtW8zybV&Rflkc`v@34@AJ#w_xty5cxMnfy?+eZqgTQf)run5B!o zhFFG6$SRpE=Si8^iv?Y*I!1=)#cfpYz{_7xD2?_EDZv7XP+7#kx1o z4`0wF3Wj&}9rD5vH|BNoyZp?n<;!+Zgsu7DF2aDfXK}XwnfioD`gLQ~xAzM~z*GR%Hf}58Ize#9u`Th1wWS)|se_>Tjk`CL zh1V<^Hn>KTe_+|@QZD`1)T>)gSAylGUL}H($k_(6n*=Rda22Ar8=vT%_h0CwyC$6a4-A|8fA~)mEZO57ed31t(8>s7G zYlhQ;r?D(4-uWM>w@L{r7CFtcAYMp^&GtSMA@=azKA$-ddQ(3@3%`*r1vD&WIAG}a zfG_--;>tdhEtc}k*P0!+IPFnhw;J%k$Xv*B)>0j)JPQf!r7R&OI&a~Sg@5yIb}Uag zq*#Wjf6&*l-1wI(=M`ousDUJijka>vQN}A^No}7>DZmQoYJ?} zu=^B$wR)E=+gjS2Q*n>&V)*og-m&I!vtfs89X71zY9&U&jB&h*vPI-}S+%>NbW?au zO*zv}cbW0EdCG~NKIi1buHHt4WKkyJyxST~B>OGRo#1JmdKqryz3oMUHbs78u*Gjd z`N9#OHW!;R%@Otb@hfWu!MB`2hrfltUW6#ZnZ+R7j>v`frQ_*$uef>gW>ThGU(#ft zP+p<`#k(>dkSQ49U`cZhZaS!v1@j9|bmJXRQg3>e>#f`kD2j*DstJQ*X(UgN z^A#8^$v(o4!U-12HPpLnUgLN+WP=YDavZmC8RA)HXjPp-q_{bBsxpeFZC<93!cNhv z1wu&~?xaoYnImQ>y~}{%Qe_K9(xD=TtNNl$3cRLg!{XkAHwa$g{6~*`sy!%d00WmW zGXa459hu}LvXY$9nPXLa7rPqIH_Tkx6mI!8%RC6U%Yp8G zLG%OQ7-ZLoE^4>Yzm#!Z`)-OqSC70nBkl7R0pNDAo0JB2nUy^T`@e{&45Mfzu|k9I zX_Qklqfk!n$uVI#$dtpTNxzm^bfGyJ*pl_qxS**M=ORNP7f?mhFNOi%b!FO39>Mee zus!qEzv3>$TBYu@)0?KayT+k#OY3I8_*-7>ECNo6FEuiuE~BsEmIrAU zniS0D*JeNGycjm03bD@g5JM@N3|wXdZ@hUykdFeTXFWe2-3o5-At5Lvy9W6)4|5tU zWQBtAKp)8nkA&u7?Orvl$@8QB;DQwi*aUE~C}_=!pAqB|T80Pfg!N%Z^N4-bmtT)v zmzO>G9aqInH~6oJnQP`>60^|OpC)0cE5eM!-l!yjx77R=H@B3c3!6@?X-&eaXkujs zIZG2ZmrS!EVNo=*G7X>bFrqUBpQVkPPMl2_HZRy*9EZ)(!pVX`<%$(PT+j$y(5v z56l3oM{PqnQ}D0?P-YN6+OTO)Z9_X#@Hv{GY0sf9_Wwx(lT?SwG~BYfH7Qd~wiP+k zsk@K-zdPdQkp+Nc?x&N-VNC%H@P7BRGmD6pqcV$x)&Uyven9hHhWh`(^sLpx`Jb?m zNYX?sV0Ah$nN+5AVbdL=lz@njjR5~D03)8%0d}Tkj;Gq=vFV-c%pt|f$eC2cL!INW zRq4s&vE`xw;L)ttfN`fel_@w^A1SlQvIC&^AH#y?R;J+0G9)bPX#iUuQ?kU&p48f? z=>pDFQFF-RsapgkupRqp>lw0|M5zM?QLfoPPz2I8Xh&CYyu+e0-79+ z|7E??7!c*X4j{`cfGt5CfP01-aApuSAf6Y4tDNa*@r?HPH1wVs8?y+k9Dp}QXDZXO zfp~zp>q!8jjK-A5VZBoUu@CM4SD*h>%q*IA`5!4eOq78$AGT8gAcXsr$73xlR{_+% zG_f-unE_z`m)$7JMr$J0GpsZ*OK2|uJEGlj}UtX8A6s}sQ*mzs{z`qHvyV!NdQRA5CAG?At}>v#KQk~qi7Rwt+EXuAFe8P z=HPx6faGU0z*KQo0K4;E0Jbyr+PG=Pn$wxg16EOUUr$r-P4f9z1D8UqAhR#WMB*{4 zv_~5mF1b=vI1n+8#;2*zjNi^x(i4iaPg4aLkdCy)Ao}c&6d?!+A!U)+CPPw#eEF&g zLUJmzgaf0J@I)!4?Yv**5jhA>kbiZ)^*XwK@%kJ4`@%1Qsc5{A!a*!82w(Xju4BtD z|JZw)eX?b$v##NG7FOZZ&7yi2P@$qnDhbiD&S3=;VGrdnSzxkfY^jFp29xB(rw{*r zhsJ2RkzJ7jr!GTA}&k_CTO-gbLMm)44@vNwLWjGf&feVAgyl2E-ZaA-HObQ6* zFj24$40Qx66$Lu zbs{0w2a1)W@+*+;#J5@tuJeAF*M8x8f6Vc>v*rL3>xhZR@d=j6sOPxnwB(#wnKHOk z-N3vd>fsYo2ze!-1daIMih$J|X0VJk^}+g1Cn#fn4mvX4T$_Nv->+Eg#+$CRy*b>aS$tHytUlIXu7@S}K7_hqz^RV9 zzl?Ala1(?#Iex}DIp_zFbR5HbfGI+ij9h(-D8YiX#KS%##x)g3i;OTEI~zMkxL`=V zlO)}WnH&@)VxE)fVqsW-LpCjcqoEc;+*31<-wi1SwP4kmnhVVd3NwVzSpLqla%iahi-b@q z705_5B|*fBC&S0QgF=4BpvZGZ5$h8RCFxNrsy4N zl{KFcd6ct6;tVVVRk5NtHIpSQ0Zio_jU5^T(kEP zFlzWSiemQ=R8l02eHuGqC@r6b-|XvWn<0MJffvf>4QH1T$!olDDrVt+@GqAF?mT4@ za7CTrabCc`*1FD&?7TaL+%TDjI@PW&QtBQGQBX*BM8<5rJMXYM-4Kf$5nV4ImI7UxR)odS@HITkqUKBXiIV5)aqW_1C~h$$_`;%wW~5&V(@Z9f`m6$mwz9gTuEM8ltAf%1EtU zQsmB^1+D}IeQf01nu_fZy@D=(%g$SdU@-z0&c7mmy^|9J(x+j?u z=c7n3C+&HY=y9$G*NEPxL)TLK)he?5K0a_`H!Am3K31%v$hl+dsZSWYp*rj@fCPqd z)z@BE-Y0ua|6U^R!T)>kz6FaG@BLA9FD}^Uh>OFL4zNqfG~bn9ns5JBrMzNk)FbXQ zy%tR2`lP9~xB`lVi-$#KeE7`y7(Oxg!!fPQqxo!)MVI6ee?vS+|E&AG7xtuiqQivU z8`j<0kssvj^6zVL6?P^;F=C%NFPb`POx!UsF!|foPA~y!;29Z%Pr$~ZAw~b0SHM%H z^|Q|P(rEta;?M8HHwN2&b`ussnd69dO%f%~K z0V@TnE5*oVpeP@M$-JgW*%;9>|K0V8nU=%Ho<5B)LuQfE+n%hH!H_<1)eMs)u*9+{)UnkGP zBye%v1ZG0I75u9{H(@exK5_?Z|5T30%e)n;ORYqwI4txmIu)uZ)5*qBvR+Z@i#mPm z2YEkk(+k200t&|q@=tSWH3lQ|6$lU2G01*WY>GDVM`p40O@Pa#@){@G>y?p!8YH@+ zr-bBuOdUIRL8ENbnG})0`m6(EpMrp$FR5UQJ#1-nd~W=4D~))#C;4bty$Jj07vd4g z;;9$xycv!ytC3EE6VIYV*{i(5UXGX39RJURvP;H<;tqF^whzI>;P~=p)BoQKJ zJ_ckZu{@})hb=FYDy5;~>dsp{1QDGa);==~XY`cw}VpNfl zwtH(X+>?n4!trf}b#4Op-B3B-+MO$>czlH*gry+{aaOsyINNY!Ae2Au;@Vk1+!n?4 z*iu6Ip5u${YBSQ4zUg^ZFwhYXya`E9DuikvjCkeT97Lg@v%NXJsVE_2{sczBKoQ}P z^XU7fj@2dJpNy;g&qlkTDG!@Q1Q{iI$iUb;OdrAP*TDS)7S}Egm8tS>PeR;>d%^g% zCr`qut0_;ynJY;G?Ukvcpq8TaKOj=>R=kK+3<(fJe^2fOmHn)E=WPH@qSDKwCt)1e zT0WH3yHDv^ZaL}V?!CK6sC*gAuFTUiIHx(};r6xSWv$g>?0x1cg7YcmxAh_7; z>`AC!M2mm!tc1mj2%S0x(iq<4Nq8Z~#oJI3-ufU|AHL|h4{fdogD@Bt-ffi=>FK>!{YLLiJ00Fx*d00OW9yn5MFM?tLS zex(kB6bgF%|4<%`0)nn8{x5`jjM9gJLtvZ#@zgw~s)>WBQ!*sOmxNRUBwo_Qihr(E z4alYn`0Ox93~Y52M2Z2x8E2N+!vHZi!217^W&=67A0#;eAi+umkcE#DI>Pg^CxH0> zdaisC%>SDw900ZhD*$$#Y1$wN6xq~4P@QY~AV@DTfE-!?DAGTAfUuf%toV`Uy|nm| zqyK3WD+CaYryl@?=|AO`6#*tKB7k1385i%o*#=f%exk@y_;zNegCqQ&*m%`$oN67#XHNOL9sTTzFUVH%o@)jw>Ad(X+aq-6s z=9B;saf25<3oww)A#rx+y?kgmldjv-&rc2&RoQZ$9 zPB3OaSW`4CIZR}pYSeIGN|V0CM)7zUSS(MXglhF+qX;N@AkO(MC90N{Gr^JQP)b=2 zCLHJBR9DjR0_~9xES_Dz%4(M|pt>KfcpiOr(AAho>W6$zmcNgKGdvCFI(jBqZqP4d{N!SfjIj(zbTWZID$>0>AM++;YyAM5@3(pyRTfRV%MGo8dcdazTzb$KMsu5;LQ|Y#RiC!PC<$Ako?Ik+ejP(I85dyM~x4EhKvqk1GCu7TS&D{ z8cY0`5B1~`+s3tELaPuKe@0Z=z%-g@Wt#AzTeQ)sNR>0D#b{R2FBRlYc+U+7?+HQQ zoFPZ)iqrvB9~>WLnfn5PA|R4qYnHaBk|=VkF_41K-CoHBc@~=={&*Y(ka>V$5&$n2527?%BzX-?1I5~1%!lD^i@-6w7nH1u+`B@Z2_hnsl z?>T4oS?%I=qtJ%{Wd=GCNzc+DFr{dltG0^`{GmX1thPvRmx)0wy7y6J;wdC6s1|9hJfqg_TsEgi*IoOboH45j%8QC<2=TvgBth${6#J$Y?Z# z2&$Iqb{JMvv9T-zD@Je=!v_+IAmlu_S!vKSOt3R)nMA@2rq4BM7f@&v#fNdMs+W zFo%IqQU^|LopEIH&&oAecB6M9{AalRI&7291S;Uc%JqceX)+}&`4C{;o;UN%tInWzM zuj2lUW`>{76-g;Hr^FPR26_r>bDNA5>9TTCZ{ImBFA`Ud!EcIY*hS)H2jK2NTnIi1 zz(Q>p+*X)r3-LFKDLIM~0jh403GgHOkc3a7486$>&AFHWzY_=x{lS(mHj$GkFFN{6 zPM`=m7RoJHlI4n_vhH+996-v!&&I|PNP#79OgsoO4j1lr*Pp|lT}aJ<;EHST!}QE9 zy&Qa@PmVWhKC>3n$lMGb`zVE$@}!_OfRuzfw1tEL?<{S_B=H!5#mRTi7i!ac4UIL4 z5fv_)Xf%b*hR;85Y&5MJpQRV(=`8bFyY7rfV8n?%eEzK#_GrB`Y?#Z--(%vWCfCfO z8x2xN0Xa`B_qEi(I8#gM%1q83wUImMeI(a_?{yB;O5)666alu?o(LfvXGYkfNx35$ zi+4T@$uhY;hx(U^@E^=M{{XrJa^lUnacDHQl+oM}?3otv^P_I-33u^1V28B?GQ!RS^FcT)*ZgSaFiJaJ zI)LhyBA!tL#o-<@9&nMV&#-bJK~lxQ&91+ZLRz zZL}B@vLB;h`0`VJd(CHiAHdGAt zr@=J3G2MTu6@)5MhP3_YG&j=LPx%)JMQ!-$MLOYxA;@) z5STN}5I6Wb1v9~$BD;Rm#@GW|ah{*M~zm#Q2&k1Teq zMK^(KSMjnVJvR?8M($mMkNUe5Slsc|XjS(4e>QjF3*h;HwDX-oK3=xm-R$r=mi@ zY_^;mtiVu^WW$_63u@peAp_N;(hV;q_h1JWL*HCQA3dBdK*r8=0dKRvd%1Rij0olWeC-lXo!1;FsxA_qCUpjd{289`*T-Y_uk*NJiA z=9cGL=2}ELH-x#;xjO+B4$W^i=$a!i5LhfSFqa+|(APJG=4Q|Wk)J}zB};eLN7un% zFosXBd#1Y^=ViZNp7=&-fb0jMXyHpCuD=z}nGQ`+p`qmcZt)aBPKSUy#&Iw{IzhJe zUDb_Ot*#39cj_p&IAICK&cTAbJ)KtZJ;>2t@z;F{4hF6u#1ZDD2Tv1l3GzQlN7uv+ z8^_jdrjvZ$#h~Y2qX#shTK0(zNMLBsj;xXEaqzdcW*JeX5BMyN{vKt4v%=)XW|B_x zHwFruU@rkbM5piQ;i7u~bof9Bge`fYc0>4bh&PPG%|;AJ&B<&4!@ga=+uF~*i};wc z{xx!D6{4_?%O^{g`Y5@o4$>3f%|zs%&J2y`U%$t6!}U+VM0B(@-lA1s07Hfg7+MK1RzBu;ct_ z>f?Z!$Z9^F4?~uJ&6&pa5W|th5h^0`Yv|zRAwLhDP*R>#Z-`WKw1-;m4qQc~($igz{vaFDCg}fi;qhji4q5#j}Pr+m0aSG0KL^o zftB!=sqVvbp|H%H;0PTHw)d+1w7d-R9r^xhY6hORV2LF^bife25t{~y6?vbdOAK+5 zxe8;!vrncgA7l80xIlcGcF5%UkjD#Kh`P>f791%YW=6^7$z>&maMs1X@q}1@xiLpD zUIdvwV;EtOkwh~=jQ0{U!0W38GsRfOfvAH8xtICF)wM(*IWn=6Hf&)C!5GaFVJ9Ib zI7gE(eQ0pUmlhdUuZP3)Lv#E6UNdH)36pIz4v4x@l5}@43U8lCfcxr)F2H9VoEx>} zfrt~SJXQt9fVs~wC(FkYI{Crms0C=$;j+Y{1btA=eE`@i99l($ndfAB5%5&$K<^1yd^7}O?$KM5}Xc_0m@O7Lyatp3v5{G;& z<5o&)gv|npYe%15!Mwev@Zx=0rKPY)qcNTB1Qxx>jF)VD(dkEXAmTDGlpSns4jQ51 z7IXFB$U;f7NKATFEaGtQNs-|ax)UPf4n?!bjFp*5M5fA0WKmg2O(wbikRG48H!juh#m&1cv(F#lJAug0m+09jqorynHmDAIKJ)| zl1M(a(D3>VG~z?nq!F9wbP+!-0+LTJH3HI7#SkESSY|)6$P8FZugLZ0NkDR_=g^3a zKqbar%PK1SUuxpF zb&yCXBr1Sp6gq%Kue~JzIS3azBQm-711O-Y0!8x4D&ZBC?WvJQWV#FlkojNwqrzxJ z#zUlOgvQ|&ZvaXtPoxo@h&ZXhkW%&m*06AOut*r96}W&PD)_|Y(PaP`NBfXPbsbM9 zg@$P-CA=a#5bb`4L|Ux?ND)~B&|#m%jL1~z* zJOiR56eKm({C7eT!c_c!)!}4!(9us*kW^w>L4?QLkGy9r4Fu6wx(O2VXdoy}p9fT3 z=94x^%wT@R{8?GK2awPA;K#Kt{OXEbe1)$HZbWyKt8dP$Ma6kol<-KMOLt+Tqv2GP z^l!L#Vh=i7yh$obG-s0-$toX!G|W}cpHAa1Z9u6DEHw1I@|xOOvtq6{Jgc8}y?vil z2@l{q69xNs>xI+b3A_Z~B7-vX4SLepV5@3JVvCbQ8Re6$o^<+23z4Q=$RsMuqbPnZd%omps2+qRM_-xa*!D>`{k>gS=__BN#R z2f<1h>7POutHx9V86uIky|ewAaV_Co{25zxg&h-GUp%u~W8LCggZG-A4q%;jI?~)@Uuo`=uT`3|rs(a@e%Rc z_DY4bea(9B-N!@u%5_J}IN1KtYwV?U=MGLju}aPSl4B<349zV3%-U@6PVJZQ`qj)} z2T`e3hfZnma~tPLbcyqW$Q=6X=v?RddeN`fPxUG<^an4mL`N$xoim?Yh53dWe_>s% z+*Dnu9Ifvt?1euQrLOP4t$HNBg+5rk@{~z2RG{XJwn#1FSYlM7c!}K`o|oHlvXHc4 zxbffH2zq~14%Ggz5bQ-I9rzQ=rjnC_S1~VKr?Lcfa^6GEqdf@~>u5$^%d?C{ zk&i}8);rumLs0TjQZV}3vKM{k4%Z9tQhovb%i!sAGi!|sVZ_%<-}%Pfb+adxhr8vP zOZyYNomv9-odquB;cx;KDj)$pCEmQJ#?pGKY?RXtRo1Up)dz8z=*zE@b0c`|QdDd1 zIm?UgB`wW&MmMtSDsx;5&c#KK-nm|RZ#*|J5ao}zKE)3(1ND1I8Z0K&3ay64%B}7P zb+>&}Z1pzQh-Q4t<>qe&_bGHyZF!ARuNl0Y^Z7cww<%uh^Ma4@D;))$)hAXjgDbpK z-t`%*2`4qv4W4=5Jw=M_ZlcIZ>#x3UM?3XL@U1%rU&OCX;+&nlmYPYon>w9fj%7$l z?A`3TEf`7Y}+%#`Q?I7vN!1H!yS6i{!bIMu2E1q zws-V=h;7fnja{O5^l!mu!R4oXJ-7EV)$YE@HW1pcH0PI%zR7Q>E)NUOXezYWt1(j( zT4WAi??Ac1&o9HfM&D4*FLPb;s>GgA`zYT|Tjj?dq{E1wGNH9!>CP{YMQv)(}RmApcE#}{5jn^s-wEId7^+?;Tq zGr5ez1ZuzN>t($@{=~Axcw@LH zzvzUX4142YA)yN-j5(hAF=Ki#nO`PAFM$%^MU?^9PVZTDn0+0NtF>ogz9Te_wHvDb zrQZ{%h?WH$MA4YqQ$S-pjfu$ek5WA+^NFxTf{v&Lp?unhzUq{?4 zY|3&Pyp`DMjOGxQ*@~SVj^+4P>_lIj1``k0o!jy|8c$c$i35b9XcLnbRS9k7TU73Z~5( z=ilWoSU)f}WwxD~V}tA6&S==ytOg9+G%#Kn;@aCeSWjh(1g1tu@ECh}9uZ&7S*^|YSCTCTomZfvdTAgwar z=x-pn2X^Vu&_caxxM~yP>!c;a(9?~N`Vam?^<4LJ>Rny;Bg;G@z;=MHt|*mTyG|Rs zSH8*7ASD8Cd;SDchIegkux+b|ZQRIh9?a*L_~(}hpj42C;hoZ_0?sc%zk;sOI|zDj zIF|<--{gJI=xXA1wneF~Q57)yNicdoknU2QUvfPsH&MMpSu?-cTZ0rOzH3wmT>F*y z{IazNSw}SG+zPGGQze9_17~A*AEfXoZO?rD>Fa2Gwr4sd!W**fS!-k0TR{dk?h#9%u@K>@P9?DQ+G`W#=A_3NG)!`c zFpOUGzl*%JxcI(GU1(nkUr=5>ZK+);U07W$#2MF%9aW(Iig8T44%N*|K=u-Q)IKl2 z9-Rs)4-zU^Sg+~Aqcj?RXN+}*p|QEbh`4GmjU;(qSgM`>EK;Xe|?P|n^_il(k?Y1 z)sBU0-=2(1f8AmETz6JBF!PAaJpYDnpZmshl>|QTVad<(W~jUR+{tG7y|`Pt%(ZwP zmx$A9jr&^4!rEZSO|RDc#o<2X=3p`Ac= z@%D4I85aTm3LQ+M-0Ix#@LW*O%Koc1^^uE)#X0XzAaQlHcAocHf|Sk_hDCjjRpr9ljdrS^Yk; z(Km-@V+Jq)ejjji(|<9ubO24-(SNbjb1)JzGO#f;f~S`>vNmxr1u!x)vGDQ&{#Mu} zT`OtW+JNo7@ZDor<1q~`i5fn5FPKPIIARDF5kO)x%18Bsq3dQR%285_e-yX|`$sjU zM(D7W$03x}riGaI7hmlo~{`oSMx(spfEb!-AUpBC0!Ycqn0 z_|~hAj(UGRSlK%_(yZ|9A3oh&HhT;QHx}I_kKf*n>b2v`(2pi}9&YapMqfQ--8k}S zVbwQx>L4Fo)mWUeAo#Xi>#6T zU@m~PV-#sfOBN#~AY2UVn1dV!u>)P~B6}^MB)UcOm2RZ@13!mP5QNg;4vR0RFAWJE zZY&2%n!oN3F&aUAJGuGvaw8$ucO>?h<|2OTDAdxSm_*P+`X-|3T1B3aM(?1|E(NBr z!XSi&2wIM5YV5lTE30wp-#N}wd_%-lD~2PkG3B72H~=IB$Oa$i^}jDCn_Y{Y<;n4i z%J(Yi;UagDY4?FYOoqOeixiN8{aNeBYd)1+FWVWrcwC(dr(7N;pi~U+NUTVXr4~;& zv^5#lXv6}T%LD=ZRm-wgb9Agrg>uzIh0)%kmLZp1BDTQNPt zpump&cG(XC(82zlhJG>S!#fd(NR<4&6wnysWEH|zzUmd!6Nw3dEwV63F7%1*76!7v zn9xG9b4tPC&t+-3RgtJGdczW5IM)xR9T1+OH{FmpJGEi0$zng zcpM4aaWZ?X0^RjvO4$3L=s2hB3E`q#-F{ZpU*Lqffx(RI{)|c!*>gyWOhW*P?*NH; zvT~(ppumg1;7d7mIenBUz~M9g!8&@qkKnR?7YWQqZcSqe?L@&lN=bz#{byv;Ap2wq;@==T)>y^O7qH7OH=f}XWKJiV|r$fB89n*iv=42`TE%p6>4 zMd9g%jO-0SwouR7;dc>xfCd{7)QY?oJiVfglC{}yBM4w)|DD9k`wye}JImjU=AQ}q zUBmDCzM9!O*n9=BvHmXhpVIRWvIWJ2ewX}R6B9f==uFW@L`+CZ&-M>W|B|fWYVTlV zC1!1G0{~fTc_R}ukU4e*P<#eiawAH3dYP|=Mqht3Nbz?DL5T{Ewzif=Rv?A}3?L5Z zRR9_c01Z|K208`~HrC%1G60x>9CU1~Kvrfg03$0vgPDnuj*X3tnFYYa%1Xz`$jrnJ zy1))#1_FR=f4{^G%E-#hM90p^1O%|Mu+ed_a4<0eSeY5<*nu3(zk3V_1eFFdF|%j^ zSl9p>Kt>Ka1{Nj`HUI|$s2npB12cdP$V|t%*O-_< zBxnIxIY5muGSRVd{4V}GBaoGmj)55nBA1z&osONAjgbQY1W~~bWCVf=fP~1-0;-J} z#1{vU8Ndz%Xs|G_(}CJ#WCl^gM#lhT_={No$O8oR38+hef9(?>!`~>=0;z(K%3nI~ z@Au5#IsSwA{}4R@*ufCZlZ^KYGV05CGJf@o&}iQ(^h=m22i zV4~w-WoH9|LyfRTxTg^rN{)Jve||IuN8EeCP{ zjFms%Bwj=etFxkBj%j<$a6eLlrP%hkdg-v)LpicLTzxs-&rF5{fV~H&_6_!plmbRV zO)4IZ#b<5OAF z(6QSvft07b{mcM%mASl(>%Ef}MBa3Z7tpa-u}31Zfsg+Mo5_1~#+7=WhY7EX2d#@$ zS9|;U5%blb6>LzP`>bnKL&I=1fPLpvcleR>WW^nu*VSEIC|HsVcmNN->!%AY_N(UO zG+NTmKr)`G$rT&#So8rECkJoc#fmI{EgswVj~l!%L8pUYh))r*#4!#(FA@?+G1+0~$AAwJ6!a*x}(Vx9xQkQ;-Su5LRie0@W`BRVB6k zl~yfN{20Vl!G73PCTaR{TO&wrYgR`-!Q->DqHHn<0DCM090HVJE7Utb=z(jT`Egft z2IzNyr?*(DQmIc1sM$)gbbq~oVE@QM+Eo2Ucstq6XbtHHdQarUwhI;hkKGO zNeF|$XW)cV@4FnrC(ZI`pcOKaE#y;{u zNVd632!2AoD^MO2X_9?&kEIJ=CBicw7ak%}{H(WT@B{@}nq~wm=72QPiRd~SOXzQY z3PG_)-;2=z<0vx-39G$#w292>gi(X4DVbyg07UuqE3WUbH`#e`qr^mmo*W=28x#{d z1><<8=k|sg)8a=}4^=Bj&(9SCD`QXUCt{|-4X>uhrHL{NLs8gpfHd|Y!{w*ZEZ*Ex zw2uRtF|!6u=Z7h1?=LTWQTJv62t5|nO@qh_5I4+WCA4l3%YI9UjERXePvBpcC|~gO z5A#gzsrat2O0#zPB1`xbvRa70=oDQ7p!<+#uh1#>Jwx7x4T(^>gYTtgUmRuyk+;?r zyfKWodC?y!24Jrga6cWC`Qd?YLoINZ>SYB9Zab4s_(9UKE()KBn(0LM8v}R@W-E(- z9DTP&rdg;E*3d@xs<(_fvwa3!%2r}my6|KmsKCoyP!ws9=% za3n*7rteiEocm4fQ}9zj%xo?+J8pf+dlgC70^p# z!aLwm$$k$U7fdk#U!W;$LFXwhLdni}BTF!NFc2l0#L($_J>Gy4^W%~Kz}4GjD(9I6 zAE{T#0ACifAlM`_D!fH$nTOm5S%aZ` z?BT>n_DRkBMDW?$EZEM0RwMeUZ;hBB$PsUzXf#sM+r?Pbn?$P<_4e>;Go|DGinE`V z8_IXQZrHr*tM`Lj?Sl5J7A|jAT~E1$j&)Eos@4aP;Erk(%Zz)+G`tX|DrGL}M+%-E zlc%^&G8e&iMB{qFvYjr2A@~y+0WgkYE__BCnUOIc3m97=@2dbR=7YET*Mqja{m%lw zwl$es8wH$Kp$>n{cvTbM+f8yAYIQ3mfR*)En_qw!>x5WOhDJfHBYmVvi2ipqE#*Qsgye;434MeR$a2 zFj`*`12Uyn9h-O5!@#>7%#%8Vj=@EaKwEWZ!WoAXN$XPQ(lVNa_3l%=Z&$(kyrm}W z;YE&qXe05(%*YR@#V@Hy1Dp3WAKw^rVm4_$e|9~EF0%!n={rk(gUsg=tT|f9jldFk zkdth2lgW-&P0cXnmV4;A$14{r6fC_aUdGz*udl}1b&YvMnK#BDLeK2GLhhpYj?Jwn z>6!jWd4stVIL`(ConUgsZ-1((BjiP5NP({QO?wZ8RDgS?ePP{x^LgH$&oczLBA&J0InOrZXDP;E? z-#r>Tm{zQEJ)SA-BB0j&YZKvmwKVKzL{`e1*zz&2oIT@6nARKXNop&$np|`>WKZABK<|<_ zXZPUmWEat|8+~Sh$!f(HQ%$g3Z0Fa;MnNaZjIQ zTr%0tKWJ~R+}}KtKCe7y-J|!IGg8Hp?U7fCKda0@atK5vq3;@U2oqAc?`&R*Hp^Nk zB#$@`x$mqGKWXMtk6>=cX$^zkKU!$v#FR`!t`T=K>=}n1|07-^t}M=C_03LL*1!8y zH|A9mobZbm;YS%_zT{^1fk~p+tmqh`gUx-yoqg?BxmWf}h@NjkqWBq2Oc#1PFgp|+ z%1JnU{yUnHSs!-x<#zT%kM2`TE)0(PWq_9uLozB1*vE(+_=u21NY+TNBDOX}*`-7JIdR9*!;@EwyOPsRhpgk*{HMIrqLwV~r^}@|lkiF8gXbpZdWBQT zL&;+Yr)4gyjKYZ!>CLf06X|pguf1XBrwMK^n-Lz?a{elu0^??}k zp?y)Kfn3-s2^!55vK|&z8giJIqMRfVP~x8>84bW3ut}?Nd@K0*3cmYa<4LLdAE~gk z`9pY;k%z~9cqoI|ji(NW&j7u+*MQ%ws~n?-l0sJmI^WMHihy!KZeA~Am2sn>;U>78 zp@?ctu|o05L8Le0kZ6pt=BNCZUIa`NU*f_VQa7SV1*KjI<1G+Kgb)F_jqF z`!!`@>!%O0_`9PB3sLv=sKZt-A559MFd>H$#Iau3!{rvNtop+=SC!}X_%Jj%L}Dw% zHG+p{=T!p^gD%Cnw`9*B94GiM+;qCsl_Y|mSf;~^5}Zf!7n#hnj2~G+a@bh3m0EFzIKgp9B3gF>tR@Qc?|!OUp{8#U>hBr9~n|IbIibh zuOKVdRCWh_jn`5zKEk;tL3~|+4wt`p{I$|=aLPU`A(!f8y`tXE#U~<+P7H;kr*6cj z@jae)r}6jPC||XvUY$VbGHSyCL_^9*@Zh+01FaEdxwTpG2H}+twiuAv=9LZ9F-m<~ z;&kR^REXdCC*?n?@WNzn=x{-gP89JlV0#2Q*hzxBsfo*J7~Qn-%O}?2Zd+$+{&Ik7 z+pF@A_+6VIDK(MDL0ehMRWfIlVGJ9A8(cP{m{m@v29C1W4v?~?OF|y>T zVcK>R60DDnnZIbD7;OMK$!!BpIR;(XlF+_h)Y z#epP52ZS648_{@Xpe%bYw&oE?b{sOG?fArq6kF2)W6}r zi+TU;B1i>+y$~g`!qDLO2e_hEw2X1>n3cR0z!k=JZ=n z5K3$!0dy5}oj!Txc@Vp%f?c9Rf5b?Ul+Vf{8`?+_kwbEmIt!~dweVMmwNJMwO&b^Yu2`jLzqlr<)T!Z)NyE`PzcRMXb*5klw#YX++s%k zr}+up^YD#DHo_i?3}T-kkaSSn}Z6~pZ12;Bok@8P@sTV3@xukMRnye#cJX0ZB& zF340?ckGn=Tym)``{ea*RH!nC|0KOa=22*n* ze(Cc>sM7CxQU@Wv9y<2+ZjZ-%N%IbmW@0whVrMUUYfVe4oubGgfuUQUpRF-b!fdoO z)?mr+_v+yQF21*wUS-ISk*&EcUg*#ATA0t8p73pWz zefcweCi->kqxjs+T?=u0=9iPDyw?2Ie4_HK{>%!#KYiX72x(@bHVAC`+|ywQg!rh> zNj{x(`jmfGBaGV}zBELM_`0Mih#GZXpfx%FRif3KmavVxQu!Wls#EaW7v82bUGr1}BZ``0~Ii;&)qZsAxWvGhH&5IQjtkjMHl3 z?>YX#F3|l#Tx8@NMk~dun+BKmJWvSmVPWtC82i&%(31$-@0qH_L%ZAxvKI7U;vwZd z(urHfOr|c9Ks%|T2R~~bh;qkgikGLyjV+ZsO#-;|)>&Ce44+?S0wu6IoA0$2epR?H zpLKS6PAZ?~w}@MjI>&sh@nb2Wo*h3#y&)4LCq1_-E0RSnw`*dPHTiOIRFCU(AQm9T zaNy7fh-Dudt=%_XvIky@t`#goqX5yhs4i@6j^{;CygK7n+qJ9L=g=~mt3vac*A(*z zR=4opqx|~0$etVSN5PEx$X+qPl%*uHHSlyWt=Fv&?4A)t#u?v5l$MJl*D@D%Opg+3 zg>+USY-XNfKmLiyOjJ?%935`s{kj1Mdi!2k!F;o zCu&M$iz5k2iR{M7Q2<2-@_ovVXo(Ik5gs}o2r>}~Y*`C6;%%HTrM|+cGROV8U4wnt zk7p;^av+3u@?LY8vVGZHX)rn~k^nF=+(`i!CbS8U6-g zHn*DJ1KdhKIQFMdtLa7)ECEW}5D05!iZ%Fz_#tC$;)twc@Jprxo(oG74`HNLf8nAg z2P79=T^h-+km`-?;1>I4f8Xdi;Fp)K*F#zPN@&rCkFSO*xDP7>5K69Vjk&MNIj?9_ z^p9P(HgSQ`&IbhF+ic^}Wz|$oMMUy2^T>x4_u{>>TguGw$b`7~#f)(UmDIF`TV^R# z>cWY7jM7P=ZxSBYwEEBHA+_Zeem+lK=d1>=O}3;x>}C<1D9(wYn7AxE$9;wqZ1!B4 zn^84aK~|5gqN%e$ybctoni>4C`IVTDmABt2jNy~yxp2xj@=sKaJ^Q|^M>t+du=eKB zlY)Zx(Q?YRNMVs*NWZp;Tyc`)2#wbmv$&C%k;jV;Z!x0pd0n&**BxwGWW2d`DzpoA zG&%3@NijD(jx^ea4=e117DrwjemW$&)AG0~cMDW(d%fOHTOM3f;`a8o8u~O{`Kwp~ zF0M_PB&_R#lV>vW?gCAgD@Z9=?~7)N4XoIPv9sf)jpG?6dP7yqGqQ1^dIDeMa28@J zLwDK-3o$#y4Rl0k?HUdm`FZ}~q>n|zMjdr5=qZnq=c!wtQ#T;iPzbmilSu^ja+kzK zUefo<)4NY4I!v{lWWSkHq!LoXk*{p!?}lqaAhl(e0!` z8$Gc|fJ;aG8sAI%G^cdeXMgSYqn_`4zh(u#ZbZR?unYaL=(ad>ojx~5Z67o4SL*9 zTf1gkxJt+%zicF$bp_|5=Xn<|oi}N6(fqL^C8OEXkR4Eh+oL%~gI_zXqpehp0Q|Z! zaZvVwK6~=pGzn9Jn?h~?WF9&1PfH933=&Iqk&ngeT8ho>WT#z~T@uM+PJ=%tU$wdK zcQ#uIquKUKv)Udhb(&mmY93Fe);=v*Yu7R*yd~!mwfAn3^&f4FBm1XDN7f3KOwtL7 z7S|SA&;uM24Xf3rIfA}OgdsL`-*#kv{@#M&)yFq`MRuvPqO;~C{^I{Qv`u!F*Lgy1 zhQ)Ije&~8r=jL$m(rk!S!X;Qp@U6j>q#%-WyHg}XcxsgrCsGKE2URjQE@$?WSip9l zJkcP!K}qzYO$yhK5F~UX*!#2As4KO#(Wa%f)@G%xZkXA+inW|?H2%qswvwS zg%mfwPIY^5OAsYnTGNBKGZ1uHYpBcAw1s?kKA(TGw^N9xaFG^>im)&)yTIAtGmFj^ zak~;;=a$j!!WB@EfBdFR-{*gaK#PFUlU%0U?)nM*GAgsJ=oEOQ6mPnA;k96Sv5{!^ zE=m14zE!8`*)gOcCAh94=2s-E=umyXpR{$Y$T??t<|od*F+%rKlmjYUJ+ibOqqk27-1%FZTrbCVr+A7cHc4o08CJbUmiC zIPAn9=ikG{GE89M3}1LSltk~7vJZ44X{9_MCKA0YQstJl@O(pv-)lES{|tYaH5_Dc zNOzNQ1w-h*h4QJn^fb8N-=;I~)kxbJLZ#kydq9dh73pMX1#w3z7??s3BI=?Y4VCSq zjNx)f;@WOatL#6$(%oONQf7=mZr+e`-36gI0QTrnw!`oKadR!jG9t2GRT8c(G+8TF zH>_bLf|Z8h3F9dr+ZN+V_D&@OMJ8%=v{iaRh?-789+QA-b#KZwsL#A4eq@B_VS4?| zZYwD&_M?$ltM>Zk-p>lITkG`}rIZ}6a<9lqnD|1=82rOSF7w-R_ubXzyrQVAdxasw z@u4!Ul)$!IU(}!Z>;{&QlPeL=QWJ|I`ZN>#aWTTf@WzHLdm?!O-zm)XEMx$5>V}As ztmuSCj96ct2l?jgg`TfZs#-|<_X&^g??y6Ee%AgNi&Q@Yyud0q8Kyi&cg_;Hdal~Y zYd)JiHJm(aQ8<(7N~RW&+3F5R*``R!;TQETQ*#cOQr_ZB^K*V*#44ygpEku+cGjYu zj-_-^SIj{06dcA01ENTgs*;fSuhQLkJe5_Uz0s@xD1$ed1bly5MVtlSspBy(cnzzJ zF;#;_?VD3h2=h1*aJ#SmH zn|)UCWqK_0BXu+__j%Yh{Jk-4XTM5a4AGLuQQ(!iYjhY-$I9jSh|?@#S3NaB?P)==kxHjDiL#T%P#$%W>yA%aZ?CfMtv^#ZeDjWnZ! zq0e1GKLHLP9f7t=St!K&aX{@j{JVHt&f;Kj4-@rSi+)@?ofhrmck8ho0c3Q2$fIA2 zLOpC*o==mFGH%ApT1R+IqG}3>>aH8 zdurx7X&)Rnn;0R!pp4?U6J0jJT#3*IyA$FQoV^3Uq|?kSkFmSs8MF+sL`Cw}YN!rmZ5v6mPMgz9+K%NgdoEnfcmdcUmFq zmE9?^5yR?v$A*?iDbMmAq1rH}?4=3JroT8vb@IJ?LU2s*TbWpbx$9_1`#9X;u=|~>|7GO>Rg(1a!8BxaVMOgC zYqafC=QoUk==8_N7jyNCvxNvEwz~7s897nQ?a(xyb-T_M623c)6{;T+C_!Fa)4>s^ z4@dE<)r$94UE0Oto1O_(^{%1;@)fij-yYO8`kw0KEF`jnb?=~YvN~9g`Ei?>8kNQI!ql|S`9fMyk1_eDJSnT)(6%GV_^p?=-%^eeq1cvXF zyb6yinC~^xU9&}+WopzGw-ky^#JCskJkPfT&V9Y@^5qfyVe##oU2A$q>NxbT#km{D z@fsa#vrCnQ*{3w?SiHSJ$|o$P(VN$T%XHl45@RnddkHD@8qG%0OpPD2TOw`Q^(B?f zE!K3q6&$s}soMAp4RtKYlOyUihGK;}X_?`*srGn>wXbE(Nd=L>IpDbC6&CSPYCY`@ z!r;PYoN@m8h-uyI{Ez|ei#@6tGsI4Hia!&~EpJ-Ih^6Vbta^sJ8V(B&v(M9gCBisO zXz)46?cb5r-&+Uc77sKgn4cT0M^`jY`Mfq`d|ND4$4}g}3;4|Nz6O=4PMH<4Yx;Xr z3-|(UpS>YPmlOJF;kuWT7X(d@^QjoSvOg_O;nqEg=@*@ImB(^LIJFSkd9-EF<4wWlKs~S^>Q#Po_1PFJA=5 zpPQmeGSqDy*bO&p19(!|y-!Y?mw!smmkuVr{qUrvupo^u4Kj1%twb{8iO*1rUdEZs zFVTw&*s(bw7eT1Z`-bbke{ZK%?0iCasJEbD|6U&3MX;kPGjZ4@GrAU`ilY`sWWd0f z&W9u=B#B<1P--&Z4JOzd>|cR@ej`f$4HN=16Z4-@2U>CK*8TvDfiqWly4LV7awS&! zlB}&CwU1?t@4!Ap5ey6YUH3E+eN|145E_rZ@;u)pHd{6*OUxT?%@Bf#LKc@mxN@j{ zcK}r>j-Dh}5)h8@Q~wxAtgTtY7{;J1I8+g{U^uvHVuH@$ilPXCJ7#BGyjoo6*Iw-} zZf7=w4n4>dQ}M%Z;@HpP^zE)EBH3cqRvN#KMrbw89u(5CAXpH_7$Q^1#tN}?-94e? z3%q9QI3MKDr!I9oqbo-N9d3BD%_>D^N%N3=)Vm`2p4omdBd&l<;zUv5>~|Ji<#s{W z5wnm~D8w?W&Cla@(c`ujK|c}E86*d7L%D-!WNUgI5I@OKe0HDKEqC$xH0Hm*ae;42 zZ(yi+2_b!%g88GO)6VLyU1ZC+!bYYEJ%`>xBq8Xjo-tlc>*xvskF%KXU%;?9{*c6< z(NF)tGyP6v{wtzHO3&e|83>C)$H2h+2hNA~pH4yenlENw?HvS7^}hZ_ut@6t?U)sW zhWZ1}0>bTpU_wklMi82Xkr`CzKLJYp0o!8#4HN?5uYT9@2RaA9&iL146*EHzQxM>b z3HTe}@_*<1ui65ofw=hxIt>Kv`fIQLJq3gfGBY+d`f6ltU<4wU0YGo^zwwWqN$B6; zjGdWP>o<(;x3b87wK1^&4TS^s2RqYWg=+G<8us6yW{}`bK)HY8z(6OwpwHjodwBN@BXrdl?Xe&b;6WS_SRmW-=o5>JWCSt!Y*u*c;+-Kdewp5Zmyr&=MT$ z<`KPQ-IIGAPGr=g-ov=A83aso3O3y*vh?`GJC<8_sS=!(KD#OVUO?WhpxK?S9;Chp zduDb_7R5W(jvzV@y>Mm{otLTxy%$#O&H|Q?Juh6Ak+ORui2q|q{dI`_ z74W8DW~Jb0{U2dze}%sNNeI6M_P09!hA{`>6aNk{{}(j;n?Q9ACdNOi0pZk@Bq}%9 zVORGtI{0I{b+@nD=8Lh`?$)I-rAfvO(bLXp;q||(+cYd&X{AM)?wurSo6nz~)X38= zuiLBOolM%})BQ3KR!~Uwz?aWLTPDDLzYTI$=?DZ_mF!eFRYSLz*(Y8yTEQ(2=4OO-A)f!QcH9uz?<-S0*%2X!YT zgxe>lS&FVB#_{*iJahrGwMq8^7$d=p+xpA?A4U*UTNbpXGB#s7RxD1+f67%d5;*dh z^1@f;<3;n6C!I{l8l(B3B2(K8{W3Kan`W276oI3m;Uqx?OUWTm1g!3Q>D9$})fi!* zd-ETo526~d-CmZMKm_N>?j94H-2|$pRLh9kl3#yfGL7&*#Ow*ZW*Q|LEA2^3i9Ccp z-gG|}HN=%*YIXE!NVeoIrq^ZZ0TTDkIWKu+@dU7!B_pjsi&TazB~Qy?R8B!k^Zyh< zMJu6kKxzT=`la{@O7YV0opp?qhae6OyQ_j^MB?F{D*efDWiwS6y}Az#f?B*(Yz+Y% z$(s)m1A0cw=kIYnN#hf0ZMuf;aJm3kge)nTZ9ckMu# zk2!$yS32S)l4^z+%m4&@*j$j8B2r#Kr}7={fllI;{mHXKZFry(+%i->docY%Yt(Bi zws+B8=lbZ{W2?jb8+DDS3Y5Uv9w{N zGv3EFT{QK0sdk+l$*#+i2B#=Rr?lx8a>+6|0q?HG!wi@az?3~|jM)2je$7V%SB2`` zqaLh&=}02I8aXtE4UKXEg(u@rOtz|t4YZHAEH`&yaGPRoJc>{8UYAVh%v-Yce8oDO zXSV*pV$4^-m|+kKF-ZBmOKoF)mQ}L_5L4TJz+wEJ@Czl@b?pIvk-OKr?=0HC?-L3+ zFJ(80_YoT$yeBo!7C$QP6?z{60ZM0;ccnKtgKB8hzZl7=3^YN@wia>a)=Xj zKX&n?pSrzA6K7cNqB9n6`0J27UEq_ZF!K*(;zP;i`8fPvhA#&pRP*x=<;Xc3p3t}Q zyVAEUG?Y?Hm=~cA-xZGXfjPnhT4BsitjNo$r-qi6SfQehnRoRcPi(kT-{EBoW)vzZ zi*SfpF%DJ>m^Vp*7Qo$TI_M6VX(Rbp(+w-5isB|o>BHX9GrjiTv~|3BNyGaf{kgQc7>tr=${dfSD3;}V z9+jfWNF)!ZJfGBG?l9)v>h06jn7VgwGa+Kg@{jzgx5bXnD^9{D_RwQL5?x^S`2Pwqlj9BcGctLM<>o}#*aYV!HubB)5rRGYQAK; z!R*i&d&ajSt{vK7(&Sxt(5?nZ3`ql1zsSo#iKFLKuM1A95y%L{8Brm z!t=asm4nJ zVXD*y*YI<1slnk>S64&Dfg?F?{r7D|uv;`s{Frpx=%mVV4A$yFX`8d9sSf39oTfXY z78q%{PpitKissohJTBuYcC|N1Q0h(C6OKW+A*WGpS_N5VE#M73i^)0>SAOE!d=fCn)KwFpoDH4epx9$bJ4>;rQC;TB8fL?L}rDl#Me3`>n zx&qTx?G&>GRdLa6qXSSTxdEGDxay|4TujIPin@d4{zE_DXRJ~B^B8naZ+GnCNjE3D zijouaA;8dY#VCxOb=Exx!{=H06vNebJne>n7w%#B!_qWZ+PXoS16QzZKPsgF`5`XN z?XN;-0^aKo078S6<)xNpL#Qj6SCUUPy_>UzL0dniseic{e@<=mrj z;ab^XLGcdmPG$1eOk*k;rz!*Ez1jQ-9jcu{g3Xs;Ka|vwBNQkEYr=nVC;QXJq5rn9 z@lVr$|5n)er^%}SN?436z<&<*RkY$Vs9`<$%4{Ks$SGdS_w%jSf`?yqejvGhOjVS+ z94_Yn!7|{Hq&_=hz!}#30dF_CV=sx(QHp+Y>hcMwRi{)|!Tr-PC0VV%$m(SG*S0kw zL>bjGbz_@Ot(aED5|xaG^AGu@vPMQd{F)5B5!TdtN@;hlH$eKEii1Y?k{j4-(eCUs$EZz5^b|5!SBr{h>@o6M^# zTngUv6gboXq4#qmM3S1HmbW1CH2hT-wnbZewfUI4U}oXAc?K+I!%&Te8L=a3WTa{+q+ij|OahqncmGj726X3os?n-(@b=p#@J6H$2S)`~ zBTOrfWT&wT)5h=OfnORV=lQi}8)7i~((7_06fXpM!Du0->T)^zWak^u*TK*@#JIY_ z7?~`{b5{%?{HCdbIW`TQyNX)!>v!#zPJKI*vP#-xtv&oPMdMF=2~o#s8uypL>lUcqruI?Z2_?}G~<|IoHP zRhua_auz9Fwrbr3g(>ph{OIyXZcQHDcGmxh5N2Be%1BSuI;5GCoKKJuU8lX9GLe<3luNiwKWFQ-~rua7Kld) zkVse?c$Nrq0)+Y>5cfaBOV=TfTE<%IMt$^vdCc}i*ujch0>Y*id`YXMbDoQ%&;A5y z=vw4244&>ttWj+#Kl4Rx5l#FvnsI<;JaMB&rqL4Pd)CgGZwbRYQ;WgzI@9jVM|ar; z(HRb;a2w}lL+mU#^YAUFrW|}jm*oanbSS>~fl8b{yg?SS*ox70Bdgt>*Xvf2s~z;j zSl3P>1`QYEWRlTh6C_lHEb-)OIJgY>xa1L?gD9^9GSxF$=feEossTwQ3NfPy;^%EJLttiHTA)`BpL~&s%+l&$D;~p><+6H<@g{Vft zqV}0>)5Dt^Xy}#>wBa)^?0gs6|9)%QNQ3Hu`=uPl%;yyjQ2`KvpA8UA%4e2X{Z@RF z`og9^@MX@e+tMdV7@hH$XV_@0KRvP1MAS(F%)MMJvNuES;(ZJN`o4dMj#1G1bJ3df&aQMnji zyZ|SCs8R|BalZKOyHGnD+Ik$YS2FT_;k=3!|MkE>C1zBWkbcz%cB9Otu;B0JG9^3F zToAO8%?j^HwyR#MpI%$awi~^jvg8rC!{5|vIhek_Ujk;PmZ_PKGYM@Ys8wpCcz_?ZUN?Ow)d0@wQAOrv*Y{7hViyu-ss7K`& zIognYLa=gc^}v&MyVt8ox7uJ|@tx^iZH4*)!2=|Zd4@Z9GP%G4&Ci^ zcgHpn)8WHgOqP%d!t3251Yefl&VRh~aQybr|HFjk|FKj2zhj942-@-b#}Wl-gESLp z(=-dizr94k@wc^z|6_@Q<8QOs|I;DV{|h1h%Me0{N%&uSkQ{$se*k3waQuh$hrbB@ z-&ue7FCEDEQXXOnDO?HOYZ1pMFzk6c`L=8z@BInFtat$-Kdv!vig4!2ev&5S!^BSq zY&}~;9FxxK&j)r6WXK}b6Ma&NYm%I>sTr-dlkjNRHnQ zq|k2%a*m~*zviti@&M+l;{RjsEuiB{mNa28Gc(I#W@cux$N~#3mMmtnn3=(nY>Szh zSr%E$%xE!g$?i9=`?Y7bXZ|;{yYtVfbEw+F+@<6EfEq~??xBs2o!p* zZw(ouT$b6B9Bf8smJKdlpBU}*rtkerzyzYCE-YETy&dj*)64qi)+NDoM~D8@@{!*% z*d)t86Bwl8HvX#uzdZx@>A?CI0UOIxK#lS@vy8fH=8g68L=6b9!i%6=PQdV!wE9!A z{UMgJ-vocR*6G<{2cm~t1@mgWnD%C}&A;_{G$i2E&kiZ-z(E`Z>La6c8oIZyy=Fk^Xqsp&G9P&q;0PF=vWaNC8095 zHrn%f+# z9?-4YXhPrjDv4+!@2zY+6eDFnhyppb)dHNc9t+6pZ&8FmkRw~*Jr6lR0o6zhTF)*9 z#mMY;uL#G+aL}ber$BW;@!zKskOUvb3_z2pf;)t%@*oM?2HJsiXs1b9UgJ2-)%v;M zw=6SKDW>!;nucA*->}Pq@u7ahfinMONisL-6S%+IR3l9Sg~_@0CC`^<+~TWBK(xmx zo8a-n5eH?SLqSoj^@_+5hv8;BzR@La6D$zdRvTX-3`DH&Mrqz{2XK@gw3e{rZjPPY+jdd+tee=ow;gBzoIq_#Mv zBKbk&5qqy?-*O0(o0PH*Nb@b`v2q|EYEltl@I+Be}Nsr%-7eK zgmW_{knA9%x}M*vQA01Dz3%J(^lh+-@={39or$1WmZ538(p z0>6|l-tu2*rRm8V^9RdKQAka&ZjzDU_x0M*cb8>!dmk>NF-HQ&>8kvNh0|uTbkN!q zH36f3CGms3grTA%e6~Qh4vLMfi__b7$WJS?#O|r9r&Cus_iVj{=`kp(g=Xz*lpHO| zH|8c_I^~8t-PdM3FyE7I76D~WcPC3C;1QDE(N=zhR?|^~|2AWh8@(uCLlHRcg`2n; zM@Cq5mAItvvW3l5&{VNfZ6v|m+9|j^f5bBQe0~QG8f%UB3oZOlz(BbEofyau;r#_s zF|lxQ{eBPAQI~m7&IPsDrm_q22`L+qJBsI($y&p+6SELCPb0_cGqP4G;RB6N=w@3= znqom{8Cy{v+w@V%Q^rfvl+Q{;xs8pxlq*@sTMs31&u(+B$>2F7-c`J>-FB(;vN-d< zho}xvLT1*G3;Ma=j89G)fF9K9a%5w&GHtJ^S$k!BRroeEEjjGYcsC*L=o4VOy0BLbSRFUxpM1bt-vU z?v_txSBtiOV_jpK=xVh@+y3=hP_rf~v~bu2EUzQ>todb+q9#kL2BbdH^>n*t~< zF`N8bq$3d-(9q`6`Gsy5P<)1;Kx32=|B`PErG$k8 z_^kFWlvDI5cpCYHFAgylTeaE>F1Z1r0{+G~ zF_A&?{-A9mONgNA2H_&aw<;N8k$Ibr11Lw?hec$d9AQY4`CZz={dzODT;cO^TY@sa zwcdOTH_mw^N|yuJy*H9nrPOZrzM8DZD0mK8bOHT-hLypmMmL=ZsfLT#`B=6CNh9;Qqsh+YRV2(# z`t4GIn_OeT!qGr7L;=s2Ey#f^Rf9;^pW z0$m;z1Hw;r6HazFQ!13w|2oMP0(bQL42NajOjWDkOaLV- zofx>{b^xh-pdBsc?j9ZjQPZjf289VxiurXmup-1;&-v#NR+IP0V14B+-3%DRgQO({ zyt&0^v{AqtyNH6Tir_s=S8OIgt*%&y`4Q7+T?4C7B*0M|W4^rN99V(p`?$lvjl+q4 z=l zQ3`QnD${@H*M%UY3ykD~AKBH=4d7 zFN95Bs?TnkGwwQWmE`(y!4%G3l~cjjI7;Ef_p|hd%cvqe+l9BstRd zU7t57qrt+G=uL*>3Pm40;+FnIPU~B;m`QsJ{RZZ5;O!r6=Cm&QRiwM0bSqlR9Ui~m z5?&lx{s>b0BSj|@<9`*J!OZjrTZV<1i1md@jgIRtxq|_uV!!kUGco>Of@b``;kNz1 zv6ufubMya%tM7k)^S@`v@c*CX$}IZpKxifatLBfW98*Ax7mCM!bYK5mrvJimfcYOX zDWY25>~Ti)d3!{Zfmz)fu);4`obCWF*)kk0;wT%_PeSIBrE8|fHyr$^zX!(2e z5!kVX{l$IFoB<>C^h}%C-&(62ki0kC-w-07-B8_=Y!kNUF)~U^v?nxe+uWf zoBkE|^-uZz1!1wWaQy!0W~?F=yTk~!bdT2PL&cQ)-GMqm?zn9Pv?)&hoCC!}(58L8 z;YwNaIwYah(sP3*)+xA5I|XeZOPyJ4%}FK(z`tCfY=;?w;+{lmsN+>NnQ|Tl+hD4y zB=2gyzA+fOhc<~R{>iI)T8{JcD5B=NjgD}jrjvOCD$aPe!*)>u1lI!a!Of9Le7^2#!gK?uR{pPh1>+cwM_DPYeVNSHwg4-$VOE#8~jWO=3)j#QE?&$Rbv+b^Rbi_pr!C{pCZ3~O1#M*4)zDi_3~eF08j7V@gN2{ zV(%(V>4$S%j>uZC-R3pk6;0+aF*2&SNWUW^Dmb!58*qVVf7C z>z7O@zzd*g$}d{0&Bn?Opo<2uP!q8Mh@Lq)Spa=_VL;~Me0e}@%v|(LtjsJgiDN=9 zO8nce7&@5Q0J2q7h#1tArC?s(h$!Eha5FGiGjUM8sKf8tzI5?F)+1&B;WZ;8D<_~I z|I#A>wKY96I}7Vy?-4W8j~@MgYBBwGzJR&Oo;p0e{(U;Z#tUx4r5J(8D)`pi(@)j^xn%u4PYIyT{|9sbeyHn<%KjI;{^!8kMFD$*pl0huMcGKTST*uXbHo%b$RV|{cs z!ln?Y656%3C3A8IL?5UnEQP;BA2_9uM8aSGh(3tp5!2PTuWs$aBrdaz!G|xu<*$>f zvj-$Ul8EJt>iGBYFI|*&1rZ<{b<{@4wT2C`GkJ%UkrN$;EkD*WEw7_h3r(|TE2^pa zH@-3VHF|cX8Nb{v)y$t!ktT?oDlnRvl-(N0bQ~T|PmMp533#X{^>|VQWIej_0YPzC zQ~$#J`mtF2X}SCRa`JO|`S+zg{uIz}H~mW|fFC0K3-aRN_(Qm{8X)nJ4B)((q4Mw* z?bXN4`l6Mqsk-95fIO?26{`iGcMA?&cByHuxn({teGurq6~$j&^S)sEJShk6;m+ri z9MhBdN7u8yAnSEh1~?P{Ws&9*WTnigtf0y6oPflv{EED}eI;hAB7bXLBciFITwOF)B!*mmhW{MwYO0H1B=a0eJRfLox#Ep|Abs)b{#GwSYey0FI znp!B!S1ELAZ04VBA$ZOj9fvjwZ7PSmBp3G%l17e982JX#_t}dBAtQn`t>R6d9S$BP zy)l%Fw^TAk>$Kxy9n5Cbb$=}$xJ#1`f2@gPosGH4Np}h+aFqghrFOflxFf#2&Qu;9 z{x`<+d2%H+(DET?S&v5)r>m#Ze(k`6`?yroX4Qd@6`;CUyjZ!;Vc7emR=f`p;StVb zJ(On%jfyORZT{9*%Z976Zs#&gvCBpiA#%gy1Hxep+LBgmK%;qyA3mee2a)Tqr0zJv zrNos1*rL0$?bOb;lzJh*PZ__e$wDN{&`7~16A%%ilKC`x6d8e38lQkZQ753D&S)(C zUg1nKCPnF;=F=yHJ!6?yiyz|SDrd{Ppcj-bbl$Fz1c=sc2q0)DP^cNtu)m1cl)tC-$n-;g`I75Pa9q*)C8x%|}?7 zmm`f8$KgdG$E+-qe9-h+F_`&Fahl13%PgeBG0?G-3eMCHe61`LX1L07a*EFR^%~xZ6^vi!Wt6!|qKh5g@1S5u- z31C+JJu(1b#Jt1?a=aKZY=6y&`EgSEg%R`Hf$G;f z-KhNU-hU=m;a^8OG5y5AUNXJ_O#nvoZ+Ob@PQE`ttG{4`{n==KiFOh_x%(OIL_X%v z7@r4Bm>S>s2&AdiUBr)nDy-Ih*$7R@0K%1uTzx2ae>Xw|GO7+ud8%cm#wnkY^9N~2W z%Do{|E6wOvC~&kK*p8F>(azRZ3^?1(z1n6ts{#AqIo{-syFn)}gli-R3ZtgRmjAs0 zL-H!C4n@btixt+Lle~Z(QdbZZC@^2jfg<{<~b9exW)QQ z`)efR3Kn@%j9x7;k4WT96w*pK6dqb>dp7F@FFspk$&ME zBi;(7qQq*AiO{Z!HwFFNBs)@xr;O8JH9Z!uH7Flmm+AY}f#52%RMw-XgH0Vq z>rLO+Q|M^y&_Jt@5oq4XgEvYyp|JrbP2ejz79(=am( z-<&<7KRt~_YVb+H7?=mr;}Ui(mwD@%Q@e7^R6cnYd?|L#W&W1=fM^wV|3?0TWh=1I zC??cKT#3>=PHZHe(pHRkbRYKSDmI%aNXfzuZTyZJn4g&8s{(v%UQazR3iKrFH^$Z6 zPx^I-?<`Z!Zp_ig_}DrF%(?A~k@!%Piu^-b46YYsJmwdJ6M1=e<++yFr4=8l8?NBp zZl#)Ky@8iXCKatfYBhM)9|c}}_g{$0$LMnw1qdr=fAf9Rchm=yaOnOjBHmI4Ic+;E z_l$e!1&m7#JYAXlEzk(7+{4-w==jz(!wrEbab5l5`+9i0oX14P#q^C0an2H?NixK`dVqQmh)aYz(ns#yId@3i{R0dl z4oti5=A2ww%{*y18tYEisH?U&Rp-$oI%8%|ZcQFs{bpXbSr zD^>%Wm_WcRR+CRk(2ipp=L|PAQs=`|E?HnDOK!B_pSnQ&X4Y~$uR3*tEESp!-eJ7% zUbxWp4=R5uVHzEwm2F@1H`ihWc3%4|)mpi2HrhOH5xjKJz-NTyaTzPN=l=aVbQ^kP zhL6UDJzV~T>P88-88aW`Ds2kxbrurx!3T@}#?;;o2cUaLHw19p&0eCV#8v68%}KKB z-RoCT?3Ndgb3BbPya2@i6;?Rw zA8O(Oj`V=lof&{|1FZ1B6rKyHm-iD<`QtJMK(+tVp~U}&_98P2BipZmD?shYpTN~i z6Ts8`GjR1o5dV=qSjeKA2r1y?DU{0^F>J0qk$_CGl6G^<%50uc-ys%egc8-hzpZS( zJb(Z#^ThK`e9kE;5|B32yL^H5*1-rHv#nAH%l18)Je!8;Iw0cM1pkAqZ5ti(PNX

      |22vL~U*kwG& z!8Q^>1V7+wm+D1~A3djfp;@4H)i=;e->jI;_h}luuThAPb$a!-`VmQ&Fc8oX$>l1oC6h`=)It1qaEc_hyuP{Pq?1}!_nIdd#Z0z5^zq&24Ryw&>#MrWCKhlbO zg;~-kjqA^sE|l*($nZHq#*?(QUO3>`S76n5(b2-fqI5h$+q!7@DJ%SQI$`~DFxREa zb61TMgn(g@i@FGM^Iq$dgN!3tiN?CRx=sTQT{1gAWF~*CyG!q&v;G`;&d$!Bu+g4I zS`yf?d)xQ)5Ub-{Z~pz^kEQb^-^S`f)udM1VTO3ZYR}mR6GwW0KCb+{Jg^_yl`Oo3 zmGHm-WxGQK?Z|l+on>47ll=HE2|Mcv&2f@z!IwCgE!WqZJxRW5?uPUI#Xi)Wfm(+- z&WStC_ru*Ej|u1xo5A-$Nonn2h1KHp;s=v~mY-#oDm8)}!oN?FBd@y^IM+mu2IqE{ zIxWPDTJbn`hnx!V;U+jkNao-^?2mv4PZl&=Y7 zKba~YOz;EU$Ylp5e{_MTmQTCj+|RF%LwP;Ze;!v)^`-&FCk@T_724E?9e>U!z|lL| zscmxz$0qGw6a^$5*WPo%zU_{R6N7I7kHtNdK&8{GAWbbTbU)t-v zP`0KA@_+E)$6M>R)GN=+CGPqd>KQMGXlZFZ&7URhkP1On71M*&R<$CYlCRgF%y!@Y zreCo!lTopfX`{f0+HM>bt0bG{^uhusx~j12O~!#2H>X0dWZ2+~(mfVu)p_g~+|o@G z2#y0K4i(GF70Yo8?vo|ovYxZ>UWu`9X=(}n8p^A-OS2tw$3*PRfXZG3ubWeYEwmok z7+COF>$S)p0G-R;?#(__pzkssj`ODDRi*mIIhR{!ENtX>Y(jxQdqPgb=3dErn|(<7 z0i`R%?d@&-jn+s#7#&2IHS*l<@*Mc`MQ}L-)`}N0s;&#=^&xacpEi}F{4v?QOS>Jj z$z*H}uZr##wM6aMdk}F!LBZq9l)9#4-CjrQ?lzRY1q7oJe&!BPww*duSY=V6Xh4v?CfjoE@N;Q zoWDMrOzZmPa9tBelCH z*K`QfY`QH?f96Z7kmQjb)AWdli0APX%yPF^r#>{EysJzE`jgMis|##ngc*|EQwnD1 zDRGv1LZvzMWC-1x2s-1VPObPj)TkyY5yK1eiltFsP_5wgCQqlJ>5=rW`w7uVp&*+tBOK8dISr zi}s3_zfTz~WT!+F0yDOB1+|7Lpo?e-!5rkS-iaL3b%5yXeVZ5{b))Q2SE zYn{h_S^E3Y=rxP*hiDistVDcQXL9<I3MXN#|mVg@7s>)vrUIf!{eE_(U5!89NV zTMaTa&Jk8c?Yt6Rw)(RK>>6GY2U)H2(Dm%bN>|Aa&qp4x&?}5V09@<;Pi_FbGl=g3a(k#p=!VLf%@caY(K1%9HwE<_G4MB5NV2`78&4|LWGFslN;wka=II!apO{QHGCgm{G3 z*g=R2gWQn8Oi>>Vl5R(9 z++hpETpfpw+HD^@p^L+-R$n9 zC@;}TS$0K%ip~LecY{CHx0D=t_#9@sQGVP4BzZLswl$8fV-D-oqw93Q$gscX7C?p3 zq2%>OZ+Grca?P^cxp^@;t`PME?M?^G+znwIF3WqdF)>YnWo|yXys~nLipsyD`I8;G zpSaXdx_^=11_KK&4@|4*8v+JAtsLRM)v7E|w!HBz+jESIletq`{7$h!HYpY$$PbN9%iD>Ww z-@39nEj9D*wJeP{P_s+e&vw5X*$fLu^@sklxtIH572~&=ncm)B4)^aLo;#vsHN{DG z!5ptNx(jWF!j7vm25x?g2;E zH8eET-+yklH1$d>gPb#Xwj|HMo-|=gFkUbn-B^^zMq9#Dn)0RaY;ZJE*BV-qFKJ}! zZT}n%63{NNgvbx)9juH`j<}b*3K3cL>}kJXx+#DrohDndU`dp5WLU@KQvn&WudWcO zrIx=urj{0Wm}&nI0fE~a{R$FkbHQ_CIt^6LwPnGti7H5VBtf z>7r(;L@oiGe}v#&;b>5@W^iy2K2}P+IQxLdTTr}4>TbdT)T~^!YK_KjZfs;h6l9S0 z-J8baXC>!T8oOd0%HfGv8)=9Q-x{&6%@453F}=?Nw$pz_kK^l<)3T~@5)L1{_DM-e zd2SYZN_Ka*wY7ndmn+(wFO{cs8gwzGG?=RDiHnO9sMuP1qnXRVr*$O%NL$*Tq|!qt zSF`sbOuFVyt(LucW*x6@t_?U1NiMwq!CJA%YT6u6*jlU^^4OdcvFJQ?`z_Y{tz}P6 ztaZtB@npOtgx&SwCre98I6Qt1$H&J9u!pA%vg#rD&!iP`EX52N=Z=^UX+ z>eT9QGBUm;kfsyvHoZ7&+VtYNqqy7ZEUV3XA&5_|t&TCa?M48;5{c-`2C5oTVx z(nAOM{wh1Z*7$a>&can&2B#JXK>yTZjUKf?C}Oz0!>Xr_Ps2s|4`MFOLJg)(qP z5FTGWgs=UC!WLAS(b0gh;^5qh5V3SzUBo)Ev-Gscvjp;JK@{(GD-o4;9R-Wxf4jh- z_8#j>QLN>E?)$6hc(t8vUpg$9XpwY5 zjBwA=l@%2CqHp&6Jw){P`=O1%gg=)+e1Kx@di)K*JBQ$F&%8YXFXJ)7i?Eat(7~-l z^exOQ9=!hR`6pQIfG#Tev$V!&*tyPQx`80!T(YUMfaTFS4Plns1Fo3>J$A3}XNeaZ$C}o-_x1q{ z5*AAyePTWJ4N6x(zV?P@ua3>}U(a7dwD*J`l2XS&_BSD8;U0=6ES5sBZPh57iSmH^R-X_RnDHyB%Pi6y~kFPzF14r`MNsRCll=1bKy;N{0<8wk_ zU>6aCV|q%C)L-yYNz)OfgJA4D#0%4?@?3?ahXfkLg$0pEtD@T*2iB@X(xc%nmHZxL z9Jm$z66A7Lk@zayrk({N(0be4Z!%6z){~7q72y5u1FM&Yq&Iq@(tj8$p&^;TQim6CZ%kJ;rE2*1fL@a(xG+K0J z5q>m^X=G|SEKjwJ0IEon_?ITo*<9}5r&FG5+HBqZ)&LAKKP02lylMyotV9%mmGDDTb#6%9by;DxbXdrn}5-jaO#94*d0h za`J`}uzt*04L0PMPYO>hqrUsQtgNie%()K~obT`X5H?q@L<^fNj#SCBqTY_?<8YPd`ZjQ%2X zRr4RY`-ZoZyKJv?Q6F@aFIyXQ1JK&o*jU+{22Psb@cD4n``V%%nsB1cYXSSUPrCaM z;t9;4(rLegjQrj-7cYKJe+e?%9X2JBmzPIn;qooB@bb^oDm0)hcjWxtbGmZud1{qH zd35unBxv22#_D#_^2Kai#C!2pKpOdyL4egH;UHmqe#3PK1~+>RJr@(o;ZMGFmA&9B zQD=J>T}JWjiG=&8rQy+$pc?}RYx+6L7A7^A16P5;p(&!>VbOjGxBnhy{EuT*XWezI zQDQcFLhOFC>f1>#i73uVxnxc^7Uwj`EC(=|cfYoTq@=#b`nYE9T|TY6bTkk0$^&v4 zg`89Vkodz&wHAl+{BItT0nHV4G=tf>V|c}{>5Wnz09S}nEg^e#dlPU!3Wyo?oN*g- z{>-N#cC@cycRDfzFER4Hy1Z(5DMHHi{tv&Nre(5+m>X6>o0rjWdf?v>v3bLG6zt;k zjeNo{&@0{sjJcXGHGlFriiJB?gUw|I%S@bVGS>JxN>3tJUzoe~Yg~sIK=1~?w#HNe zn93+7TH`C=y1;^}gLA;(WIGR}0f3+bcucPxi>5+Us4zLVPy?iL{oHn?^J{%8ClchL9^!?!HUJVm_d*>If~q;to2V>Ywpr1ayc(2-YP5oj4e; z=<#76fH@(!FaXT4x;GBh<{Ofj5dB)z&6Pdj&j2>JC=$2i(6sZfQw%Bn4!okYv4h1Z zpp@M=L@*)Lbz&xQ(|ZwrRj)Dm7wCnOm$5kN8HZUnxKS&5i}R!-NTGK@S7GY)l0n6ZM6Oc*W|s zdBq-^kDAal%Ijz3bxbfXr1pI+kvl~Am2{ebrrsXIzygRIA$a%;iwSuLxny(``<00P zjVKqc(&80>S5C7s^ys=biP+=yGo2UFSmT0M$}!Z{%RVDVyvUUnbyW8v7x1-fE5E>R zn*2?En?^xWj^p7Phe-wh0rMtq*UVn!LgjLy^#xYn zb^2wOzUMX<=X&kiRT7ExLEi?v6=YYzGH)$KR-l$PE#B1}0{v#`%~?PpezR94_ct#C z<)c~qkZ0;KbYl?ypEjuv+e>j+CEk6pZbRPZFvRQMAgA8*&gfFRU7uAUVKp~lAkVA~ zkS6#X3y7NeN+p-pE)$WfZLRMQFMa*+n2(Py(k}?-Z5r6~3JCpM0AI$~f;MytV%gsB+E<{u@xmqCoZUotbyZl7806 z9LAm7m`cvQ+o+XeTnDC?G|Wjd*dc9$V^$;0Hj_?ye;;&PSXo;W6BDD}tTt;)RnTY8 zt(@BD&qi_wk5;`%@tOe~K-c`a9G9xuqJv}){a6Twxt%GZvZUoSnL{}D@ss)hmE4jd zk}m~i>6L9P)^O@W-ZVgL(0Tad6Pk~;H$$!|L#ZQ*{h|Pt-NiOVryvU=-AkfK{@t15((VZ` z44oi9yF~l(9>(YhiAOE|oKdwu3oQ`5y(0_h z;vv%9&|%Tl<>kEm{3kT?<(u>A<4uwaR5+?K$+6rTG{U(E$=W8YM( zg0O=osC~X_5cKf%%?z|~17LSj%wtrnDPC$55(E|7t9=%b)rLjdO?z@o#0Ko!Acx=t zNrUi{8jcPQQd{3E0XMUXS)a<0Z`A75sUS=Sqh?@4=(t2KPq@c&GA98l3!V@bCJ)`s z?QxqgHifewzX>O2;t_z?;U|nqLrqaaMo_14>bJeL$j<-z?eLh^-{_&FeToV^W z`7I+z`x`Cie*McEbjGL5hq*dD-n+pgcGk#GP=WoF%)qf083G9Q#(4l5pfl|}n2-k3 zzb}5<(sr;`4U_k5k@PFH_)$YRZ{}duiXgT4kOeO*%n!EuPt=Na&2(17Dc&{3Y?zS6 zdNGrO17*8@AdtMyS%I#nZ_R19@{6Oz)7^m>L=qzEbY}R0jm)%=@#ytnLDK&~f9@(_ zh2yM!{}aG5SXp5qaA;-Q55c6SV_*PRWadfxl^CEv3w;Q{L?kl+rGo)dwy}nPAPCzL zv==}nsw1=O?_maj+{D=SoaZBAqZR*g8vt!WeDi*}jg)xux(j~R;zy2>VF&PvJl+3n z=@=I#1QTIX&rsrq)_8Y+-UVJd)|TmgfQLmo>_g_FIGiv@zfrMAj?rO2Unqn(I-#fI*k9j|zTcPPvXL<^o3A4je< z4j5r=NV*Rsttf#B@zVkW4zGr&dZi>KZJ+tAAothdMJr%~_G-5p6s>qc5TGnf#j3th&)EB{4ow0NPPUt=uMWg&nWc~`R1J4XTfrHIFbIHR-Fam&)O zqL0C28;-`n-i~2Hx{G*N5!%aMc-5@B{b*6cH`D?|=nF$8&>^75QD-|T&|(JOBUalQE+PhYNO`V-ufVuzkDjyyi>o@rT?o`?aUI4?ulnu03?U|l>-|$P)PAr{-#)C=_yxhaZ6ZR|f-9yZB_hbg8&K#d$nMo3= zFmE!J=bEsnQ3L+(4;{br=FAXpv}Nx-^qRU*c+NL)h+cW4t-{U|&&~L9oz) zXT_Fxp=UrMZmt1iown_uCRndgS)jq#v%XUm>}Yg6+B1?GBi z{Ed#;uU!1bNWo=vhPf=SxZLr2`<*vzF~@xZ)jONCujQJr+X_HG2cKt3b@G>v(l>Ko z3vT|{>V9(K$e}ZxcE)V#i5X7!H{8BQ^|`Cx`coxC%cEbJXmYKA^OddN;iqS)FgK6- zUC3saB<#coekh)Xc(X=VLzwDNSc_{t_G+r~KXi#JR1$w#&h|Wm$^Z*%%QKzg9$b4( z&Wx9ptY|G*$#pD^>Uo`du0>J|NH9=*R`u07>Ryp;V?aPIi?D?j>uA=={G|L8`L3%h z@hUHX5J~Eg*SYJfJq^8wt9AFa`z2NeKt9l2y})QRh^kFh54;SFZC$%ao8F+b{E{d(u~N@&0=uC9kR2EdRc{0O$PAJaWZ!2+ohVJL040& zz#iZdPBsws5q18tddHJ_y@PC_7WXZ-zJ&I@tUJKEWzikO%9=o0Vi(ygio`a^d9le< z5(RNdk2eYz7X0sKuzw0=X*E6tWz!;0wVLh1=0K9h>+za0@HVfex{K#FCAZIbHwaor zk3Ol;;&4(>dD~CX_1xxgE``|0Z1+&H$9Wvrs}WV3%~XcXHCVt)hpJx*tN1_b#m}f z3fh&Ip3rc5BS0MN!cbUd+;E-2!Dj*EjFn7dOptea3)v>9R}4CI=|bV(edbjfKh&aT zbDNtW5Di;+JzfzO_Bb{Nr_+gGbe{Gy$6Lw_Zuh=x8^r|XZ-KxrJ%D->)T@5io0u%u zXH8``-vtpYA@!8(ZUhIaKJnLq%J{~>YLO@!{g#Jc-pR3$b0Lr=d0$wrw7BZA&OOSa z%SV466Av_<)P$qbXcz?fsCWzxDkC0mO)(efX^pw9KsY%Ck3q%h*4A{3&Q<0Z^^c#Y zIL0y)A=*)~!xtV9-cn?CgxN>0JG4RKi|tV*V&s`$K|k6U#8I&0`ge9~hqSQcq4KgL zY04%*ay4N|M&^~q)QoQFkH)Dz7Cpfy#9UKP*{^uhfJ;BjNo9I*SlC;z$(_0C)0Dpb z$hQ94#e-s%6sI-7^nvnbyPAjjkh>`T>QC9Jee@d=Z1+Kf+td8^+3UW(IS@&&c6MnL z>Ycn0a;t?b{li7(5KH4!D$c|1&>kYcWRh4aX3iGF^`K`sI_JxPauj7dWTMEX~nrPlh_e@tp*>GX7TtU#W3ZF$+U zzes4l)jV!*wGwektLaWQ74hhN6-VWNNWA%zbo1S>-L0}58Y%{*=M%Y+n`ru2GMS<^ zZP!~~Ly<-`tBp#6bXjd^g84evO`(=t7YB=ES@zdozDQzBE-9ieE4GTizG7W$=WZRX zk!}Zf7qO?noCGRQi@*624ylpnBqV9(@#NP(tFq9Z>b*`ku!rIugvb}QGvz74X)(Ey zUsv(M_lOQjmu@>dZ7$9i9Zc*zbhqZ9XTFXjIRvrzo}|rlY=Wx(NuwX2Gm_Pb+}wv; zX+(iygEIKW;MYHbARL$ie_r~TF`eD9mFWCf+Z>`#Nsf^E`zq8|NBw0(_M`5KmDTE1 z;-3A54G^QGWL_G`pXKiVI8&RScfSzi@7diR}DIK;M45a8BYDta?_CvWVUJ2?|xHsnN$&MNG? ziOKXuKmT6A1DKFJ<`)ftr8FVtnfCSKqD1=cNpWbh?)8a?UG*3j0&Ys_U5WA_fi?Mu{0USuwpw;+BdW zCxc-siB}YN&qomk#M7BQW;b_j*|P== zS^X8SlnKjnLV>Qxt0PymCv%wFI+5|a46!kEbFVc!9RJ?1RFQJ{G0j=GZ{OsMZ-S()d)it6=|bQ&@rLZR4>@K* zd!U!eyY}_9T{-;nE4zT?p=Xh3e9H6ngLSp3vK zKxDBur*srvv5q8z#u+>n?}chZh!f^0B;+a4dmglSolke3<*gU)*`J&2#ELzRS1c-m zK(g7q_RWCHC;qQXW{@ujiPC(WfJns5%DgC-6APKZYADAxDBr$K-dft&-kqkpy4YlLq%ZJqN_0WA}!iOoG;V4ecx7{Q)v2RKi+RVZ1;HtH^)%z+hvS}2Grru$qf9T6C zE9U~T)oJTxs>-=%?$+(BC-SWRAdV`_R%Kj572)wC$Ar@i80VJczXKo$IBIBTD)Cr) z6%)75%W56bP@8(KQA#ucpZ?;*tGUL)W*>jFsXjC_gM`i3PC-v;QkUPm zb(GrZuQsgeiomEBG#@Ke6Z%dQtSJqk-(7oczE9n4Fw<>q%A`UVd2H^;3!f_7O!?9Q z2?97^<&InSi5Y3TU@{;z{6UXOR-*M=Q~u58#S?N)Hk1%J(rrtySooJi1A3#$X}~|@ z9AC-*OjRUaolCmF#NCiS{)wW3>CDN?(VQvO^$e8f8+Sj5XdEj#2p@770C4~R`6R;b zC^Uni@ecHVWZOXL*Knns#l~hlU_ILB!ncKmHB2@lQx>Y|^+NGmXXfTd#`60u0{Qv* zXb>#cf4}mOSpWCvX7`EOU@rPBzA9H&S5kxLx&TD#{)2-7s4GRy>YLfzQgY^1$9AQ-d5Vqa>58dz7e8H(m13ewcpR4>^XL}c$IY``A7LH(S-m>f7kNrkc!{F%e`y&1bltrEjb54SP&olQ zZZ`&owg(cnXHC^)wgFqLLDC;m#5qOE%9+q)TnZgp+f$chHm21)H_*gB+JVa^<+|LK zKw8${s?z_Yt5qK-<+ch5(=Bui+D8U8V*kZvpKj{4$Wsff9<8#A*^&yPdBTqg zb+E9q%2Ps5mOLwnWB^5@&}lD3A8rTTcUq#cWz(s#PenaO=n~K#Iv+w)^m!R*Ef9q^ zN5d*V(3hcr(ulCW9FqpLpUq{-CqAg9ITUbhl;36CFG4J!uN``%&^B>}ihpn3qfUls zcmGbPiucZUPEuuuhW_@Pjpt@&;h>*ZIrPu7OS#%ZH#el`0}2anut2Nk)Kt-8HP9;n zpGKffSRT{L`n@F%-+bwKNBH^1Ny}JjeH+bs$$%EHR=V_eR`hoq62AM63`gy$#x{Hd$B6Sb@T_66wEf)u z6DmD2+skb>?-#29mKQ?TqUWZ~juquFi1eKE_dESBU$!ld*2q)t{D3YtC~km$#!*mn zoOA#AU94ig5$wZG3+Hyr&brJF@BAM1si~G^wB?X<>jURAfp^YO$tx$VWb4DmhBi72 zN3yu3&atWg#bo>r`a~19zx&9r^)5l<Q#%1RSVlAQCb{<$FZ^u764emqOx}iPdHtPKj%{I&kXq&woVVo7#!7q zB+Io!_Zhy@0b`F=+;byCJf6MiuCr z`WdyeIz;Mw8@~x|0Qzoic2;d5u0_c;QUOkc_N47g0j;k?mG^xK#JRN=k~3-dPv zKV(RYK?&lvhR{AN-jduOHMZ~+w+mHVXR5C$hc>-rG|<4%Ozc^+R; zZv=nY_|*?vSy|1^&8@qaXJ%e;Kg9_)>-2t2$A$>LQZRk+tw}MQrfi+!9O(N5vgW6! zdx~t0-~@h%;L}DcgxMY|8J<6VJd5C~EUkZ=-~Tc#MnpftbDCQaCoCMIQe*2QOjThWul z(6{RH^$|tT)KgWUJE&0u+LfIT zDi_vpxXmW|aJVkj@uG<+X>S@`GBL|bd+;rf_EJD1gpuDi-NAlPgs!SmsD($tRQMVh z+H*S^AeO3@Fxc8k>%@259x8)iYI3u(tf9+S)hpc}>iSTUN0k?DaIJMBM=C3Ypeh0( mmr?ru|BD}>{`#(VYlp$G!9`g5oECgpj3_CnUdWQW 1/2 (-4 - 3 Sqrt[2])}, {x ->1/2 (-4 + 3 Sqrt[2])}, {x -> -4 - Sqrt[17]}, {x -> -4 + Sqrt[17]}},在[0,1]内的解为1/2 (-4 + 3 Sqrt[2])~=0.121320343560 + +结果如下: + +``` +>> calcu +Newton +Init, x0 0.000000000000e+00, f(x0) 1.000000000000e+00 +Iter 1, x0 6.250000000000e-02, f(x0) 2.441711425781e-01 +Iter 2, x0 9.267514482259e-02, f(x0) 6.035782170991e-02 +Iter 3, x0 1.075091602299e-01, f(x0) 1.499476015164e-02 +Iter 4, x0 1.148532337630e-01, f(x0) 3.724889874781e-03 +Iter 5, x0 1.184836815217e-01, f(x0) 9.162606433633e-04 +Iter 6, x0 1.202426067745e-01, f(x0) 2.157726880186e-04 +Iter 7, x0 1.210258178988e-01, f(x0) 4.284768185225e-05 +Iter 8, x0 1.212838327059e-01, f(x0) 4.653095935891e-06 +Iter 9, x0 1.213196266734e-01, f(x0) 8.956906283331e-08 +Iter 10, x0 1.213203432722e-01, f(x0) 3.590072683579e-11 + +Init, x0 1.000000000000e+00, f(x0) 7.200000000000e+01 +Iter 1, x0 6.129032258065e-01, f(x0) 1.991614267569e+01 +Iter 2, x0 3.857131772105e-01, f(x0) 5.325335197644e+00 +Iter 3, x0 2.596036488719e-01, f(x0) 1.386360679278e+00 +Iter 4, x0 1.925129685567e-01, f(x0) 3.545098794691e-01 +Iter 5, x0 1.577981765912e-01, f(x0) 8.968654972282e-02 +Iter 6, x0 1.401281446851e-01, f(x0) 2.254753819569e-02 +Iter 7, x0 1.312211106524e-01, f(x0) 5.640836772056e-03 +Iter 8, x0 1.267683504527e-01, f(x0) 1.398662165777e-03 +Iter 9, x0 1.245798350719e-01, f(x0) 3.365432712847e-04 +Iter 10, x0 1.235651039653e-01, f(x0) 7.221204915153e-05 +Iter 11, x0 1.231837476806e-01, f(x0) 1.019051840478e-05 +Iter 12, x0 1.231087710564e-01, f(x0) 3.937825602263e-07 +Iter 13, x0 1.231056311436e-01, f(x0) 6.905834792903e-10 +Iter 14, x0 1.231056256177e-01, f(x0) 2.220446049250e-15 +String Cut +Init, x0 0.000000000000e+00, x1 1.000000000000e-01, f(x0) 1.000000000000e+00 +Iter 1, x0 1.000000000000e-01, x1 1.035411058190e-01, f(x0) 3.420000000000e-02 +Iter 2, x0 1.035411058190e-01, x1 1.120858281072e-01, f(x0) 2.417951833043e-02 +Iter 3, x0 1.120858281072e-01, x1 1.156346859438e-01, f(x0) 7.095473154011e-03 +Iter 4, x0 1.156346859438e-01, x1 1.181829468231e-01, f(x0) 2.965518222788e-03 +Iter 5, x0 1.181829468231e-01, x1 1.196409053300e-01, f(x0) 1.079222604559e-03 +Iter 6, x0 1.196409053300e-01, x1 1.205229933328e-01, f(x0) 4.068164063683e-04 +Iter 7, x0 1.205229933328e-01, x1 1.210063890599e-01, f(x0) 1.440173238525e-04 +Iter 8, x0 1.210063890599e-01, x1 1.212339783325e-01, f(x0) 4.610054781695e-05 +Iter 9, x0 1.212339783325e-01, x1 1.213079454418e-01, f(x0) 1.130775027647e-05 +Iter 10, x0 1.213079454418e-01, x1 1.213197755884e-01, f(x0) 1.559168087528e-06 +Iter 11, x0 1.213197755884e-01, x1 1.213203396462e-01, f(x0) 7.095743470575e-08 +Iter 12, x0 1.213203396462e-01, x1 1.213203435584e-01, f(x0) 4.887535931530e-10 + +Init, x0 5.000000000000e-01, x1 1.000000000000e+00, f(x0) 1.137500000000e+01 +Iter 1, x0 1.000000000000e+00, x1 4.061855670103e-01, f(x0) 7.200000000000e+01 +Iter 2, x0 4.061855670103e-01, x1 3.499565652206e-01, f(x0) 6.228027101352e+00 +Iter 3, x0 3.499565652206e-01, x1 2.537988158195e-01, f(x0) 3.929954963899e+00 +Iter 4, x0 2.537988158195e-01, x1 2.079352730174e-01, f(x0) 1.269117150683e+00 +Iter 5, x0 2.079352730174e-01, x1 1.750469085586e-01, f(x0) 5.300085908306e-01 +Iter 6, x0 1.750469085586e-01, x1 1.552774667210e-01, f(x0) 1.989823493760e-01 +Iter 7, x0 1.552774667210e-01, x1 1.427044636031e-01, f(x0) 7.735363552613e-02 +Iter 8, x0 1.427044636031e-01, x1 1.349353271930e-01, f(x0) 2.954315328494e-02 +Iter 9, x0 1.349353271930e-01, x1 1.301078071492e-01, f(x0) 1.132206511795e-02 +Iter 10, x0 1.301078071492e-01, x1 1.271316211049e-01, f(x0) 4.318021695917e-03 +Iter 11, x0 1.271316211049e-01, x1 1.253088367125e-01, f(x0) 1.640106488913e-03 +Iter 12, x0 1.253088367125e-01, x1 1.242135266821e-01, f(x0) 6.156158190977e-04 +Iter 13, x0 1.242135266821e-01, x1 1.235849666421e-01, f(x0) 2.244667452042e-04 +Iter 14, x0 1.235849666421e-01, x1 1.232632020970e-01, f(x0) 7.600081203396e-05 +Iter 15, x0 1.232632020970e-01, x1 1.231368294160e-01, f(x0) 2.143188696302e-05 +Iter 16, x0 1.231368294160e-01, x1 1.231081180018e-01, f(x0) 3.967781373904e-06 +Iter 17, x0 1.231081180018e-01, x1 1.231056684007e-01, f(x0) 3.119115794536e-07 +Iter 18, x0 1.231056684007e-01, x1 1.231056256773e-01, f(x0) 5.346778686466e-09 +>> +``` + +其中, 第一列为迭代次数,第二(三)列为当前获得的x值,第三(四)列为当前目标函数的值。 + + + +### 2. 结果分析 + +#### 关于f(x)的性质 + +画f(x)的图像,可见本次求根的零点为f的二阶零点。而四次多项式f一共有三个零点。 + +![f](.\f.png) + +作为对比,取`x0=-6`、`x0=-4`进行Newton迭代,发现仅仅6次、4次就收敛,从中可见对二阶零点求根时相对而言更加困难的。这与理论相符。 + +``` +x0=-6时的迭代 +Init, x0 -1.000000000000e+01, f(x0) 2.261000000000e+03 +Iter 1, x0 -8.889489194499e+00, f(x0) 5.935283444831e+02 +Iter 2, x0 -8.313614017153e+00, f(x0) 1.136713789560e+02 +Iter 3, x0 -8.138933276581e+00, f(x0) 8.679509264594e+00 +Iter 4, x0 -8.123227680016e+00, f(x0) 6.641683765770e-02 +Iter 5, x0 -8.123105632953e+00, f(x0) 3.991461369424e-06 +Iter 6, x0 -8.123105625618e+00, f(x0) 2.415845301584e-12 +``` + +#### 两种方法对比分析 + +从计算结果可见,在题中给出的初始迭代条件下,两种算法都正确得到了方程的根。Newton法用了10、14次迭代收敛,弦截法用了12、18次。可见弦截法收敛慢于Newton法。且到迭代后期弦截法中x1和x0接近,分母f(x1)-f(x0)很小,也导致了计算精度问题。总体而言,Newton法在本条件下好于弦截法。 + +但是,Newton法要求函数的导数可以表示,这对函数要求较为严格,而弦截法就没有这个要求。 + +**使用$M=\frac{\epsilon_{k+1}}{\epsilon_k^p}$近似计算每步迭代的收敛阶。**Newton法x0=-6处求单根,p=2时M大致不变: + +``` +>> NewtonRootWithDbg(ftest, -6, 1e-10, 1000, 0.121320343560) +Init, x0 -6.000000000000e+00, f(x0) -2.990000000000e+02 +Order: 9.457382e-02 +Iter 1, x0 -3.422413793103e+00, f(x0) 8.255661691790e+01 +Order: 3.559672e-01 +Iter 2, x0 -4.348934442834e+00, f(x0) -3.434699234503e+01 +Order: 2.127645e-01 +Iter 3, x0 -4.130391254491e+00, f(x0) -1.309963085669e+00 +Order: 2.346986e-01 +Iter 4, x0 -4.121338396627e+00, f(x0) -2.601906803292e-03 +Order: 2.357003e-01 +Iter 5, x0 -4.121320343632e+00, f(x0) -1.039973085426e-08 +Order: 2.357023e-01 +Iter 6, x0 -4.121320343560e+00, f(x0) -2.557953848736e-13 +``` + +而在x0=0处求二重根时,p=1.3时M大致不变: + +``` +Init, x0 0.000000000000e+00, f(x0) 1.000000000000e+00 +Order: 9.128803e-01 +Iter 1, x0 6.250000000000e-02, f(x0) 2.441711425781e-01 +Order: 1.139373e+00 +Iter 2, x0 9.267514482259e-02, f(x0) 6.035782170991e-02 +Order: 1.399792e+00 +Iter 3, x0 1.075091602299e-01, f(x0) 1.499476015164e-02 +Order: 1.692038e+00 +Iter 4, x0 1.148532337630e-01, f(x0) 3.724889874781e-03 +Order: 1.990143e+00 +Iter 5, x0 1.184836815217e-01, f(x0) 9.162606433633e-04 +Order: 2.207307e+00 +Iter 6, x0 1.202426067745e-01, f(x0) 2.157726880186e-04 +Order: 2.122544e+00 +Iter 7, x0 1.210258178988e-01, f(x0) 4.284768185225e-05 +Order: 1.420895e+00 +Iter 8, x0 1.212838327059e-01, f(x0) 4.653095935891e-06 +Order: 4.210192e-01 +Iter 9, x0 1.213196266734e-01, f(x0) 8.956906283331e-08 +Order: 2.799129e-02 +Iter 10, x0 1.213203432722e-01, f(x0) 3.590072683579e-11 +Order: 9.031648e-01 +Iter 11, x0 1.213203435596e-01, f(x0) 0.000000000000e+00 +``` + +这里只是大致粗略估算一下收敛阶,并不准确,但确实说明了Newton法求重根时收敛阶更低。 + +#### 总结 + +Newton法和弦截法各有利弊,Newton法快速、精度高,但对函数和初始条件有较高要求;而弦截法虽然收敛速度慢,但对函数性质要求低,不要求函数导数显式表示。二者各有用武之地。 + +### 3. 程序算法 + +使用MATLAB编程计算,为了保证通用性,将两个求根算法单独的文件里作为函数,包装好接口(目标函数、初值、误差限、最大迭代次数),可供任意程序调用。函数中使用了MATLAB符号计算库用于求导以及函数计算。Newton法中,使用了MATLAB中的`syms x`定义了变量,`diff`求导,并使用`eval`操作函数句柄,这样导致了程序可移植性变差,如果语言不支持函数求导则无法使用。而性能不佳的eval的使用也可能导致程序效率下降。 + +函数为保持代码简洁,未对分母为0等特殊情况特殊处理。 + +为了避免不收敛无法求根导致死循环,使用了最大迭代参数,如果超过迭代次数则返回NaN,增强了函数的健壮性。 + +为了方便调试,并且不让调试输出影响正常使用,使用了一个全局变量debugflg,如果该变量被置为特定值,则输出调试信息(每次迭代的结果等),否则只返回求得的根。 + +两种求根方式的代码大致相同,就是具体的迭代步骤不同,也可以考虑将类似功能合并为一个函数并以参数的形式决定调用方法。 + +函数代码: + +NewtonRoot.m + +```matlab +function [root] = NewtonRoot(f, x0, eps, itermax) +%NEWTONROOT Use Newton method to get the root of functions +global debugflg +if debugflg == 2 + fprintf('Init,\tx0 %.12e, f(x0) %.12e\n', x0, f(x0)); +end +itertimes = 0; +% use matlab diff to get derivative, if fderive is given NaN +% if fderive == NaN +% get the derive of f(x) the function handle, +% a simple diff is not enough +syms x +fderive = eval(['@(x)' char(diff(f(x)))]); +% end +% fderive = diff(f); +while abs(f(x0)) >= eps && itertimes < itermax + + x0 = x0 - f(x0) / fderive(x0); + itertimes = itertimes + 1; + if debugflg == 2 + fprintf('Iter %3d,\tx0 %.12e, f(x0) %.12e\n', itertimes, x0, f(x0)); + end +end +if itertimes < itermax + root = x0; +else + root = NaN; +end +end +``` + +StringCutRoot.m + +```matlab +function [root] = StringCutRoot(f, x0, x1, eps, itermax) +%NEWTONROOT Use Newton method to get the root of functions +global debugflg +if debugflg == 2 + fprintf('Init,\tx0 %.12e, x1 %.12e, f(x0) %.12e\n', x0, x1, f(x0)); +end +itertimes = 0; +% use matlab diff to get derivative, if fderive is given NaN +% if fderive == NaN +% get the derive of f(x) the function handle, +% a simple diff is not enough +% end +% fderive = diff(f); +while abs(f(x1)) >= eps && itertimes < itermax + x0old = x0; + x1old = x1; + x1 = x1old - f(x1old) * (x1old - x0old) / (f(x1) - f(x0)); + x0 = x1old; + itertimes = itertimes + 1; + if debugflg == 2 + fprintf('Iter %3d,\tx0 %.12e, x1 %.12e, f(x0) %.12e\n', ... + itertimes, x0, x1, f(x0)); + end +end +if itertimes < itermax + root = x1; +else + root = NaN; +end +end +``` diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/SolveLinearEqn.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/SolveLinearEqn.m" new file mode 100755 index 0000000..3a1a911 --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/SolveLinearEqn.m" @@ -0,0 +1,86 @@ +function [roots] = SolveLinearEqn(A, b, x0, eps, itermax, mode) +%SOLVELINEAREQN Solving linear equations using Jacobi or Gauss-Seidel +% iteration methods +% Get numerical solution of equation Ax=b, initial iteration value is x0, +% stop iteration when err eps && itertimes < itermax +% if debugflg == 2 +% fprintf('Start Iter: %d\n', itertimes); +% fprintf('Eps: %.6e\n', max(abs(x1 - b))); +% end + if strcmp(mode, 'Jacobi') + T = A * x0'; + for i = 1:len + x1(i) = -1 / A(i,i) * (T(i) - A(i,i) * x0(i) - b(i)); + end + elseif strcmp(mode, 'Gauss-Seidel') + for i = 1:len + T = 0; + for j = 1:len + T = T + A(i,j) * x1(j); + end + x1(i) = -1 / A(i,i) * (T - A(i,i) * x1(i) - b(i)); + end + else + fprintf('No such mode: %s\n', mode); + % Let stop + itertimes = itermax; + end + x0 = x1; + itertimes = itertimes + 1; +% if debugflg == 2 +% fprintf('After Iter: %d\n', itertimes); +% disp('T'); +% disp(T); +% disp('x0, x1'); +% disp(x0); +% disp(x1); +% end +end +if debugflg == 2 + fprintf('End iter. '); + fprintf('Iter times: %d\n', itertimes); + fprintf('Eps: %.6e\n', max(abs(A*x1' - b'))); +% fprintf('Ans found: '); +% disp(x1); +end +if itertimes < itermax + roots = x1; +else + roots = NaN([1 len]); +end +end \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/calcu.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/calcu.m" new file mode 100755 index 0000000..c328cfa --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/calcu.m" @@ -0,0 +1,37 @@ +b = [-15 27 -23 0 -20 12 -7 7 10]; +x0 = [0 0 0 0 0 0 0 0 0]; +A = [ +[31 -13 0 0 0 -10 0 0 0 ]; +[-13 35 -9 0 -11 0 0 0 0 ]; +[0 -9 31 -10 0 0 0 0 0 ]; +[0 0 -10 79 -30 0 0 0 -9 ]; +[0 0 0 -30 57 -7 0 -5 0 ]; +[0 0 0 0 -7 47 -30 0 0 ]; +[0 0 0 0 0 -30 41 0 0 ]; +[0 0 0 0 -5 0 0 27 -2 ]; +[0 0 0 -9 0 0 0 -2 29 ] +]; +Eps = 1e-10; +Itermax = 100; +b1 = [3 2]; +A1 = [[2 0]; [0 1]]; +x01 = [0 0]; +format longE +tic; +ansJacobi = SolveLinearEqn(A, b, x0, Eps, Itermax, 'Jacobi'); +toc; +disp('ansJacobi'); +disp(ansJacobi'); +tic; +ansGS = SolveLinearEqn(A, b, x0, Eps, Itermax, 'Gauss-Seidel'); +toc; +disp('ansGS'); +disp(ansGS'); +tic; +ansReal = A\b'; +toc; +disp('ansReal and err'); +disp(ansReal); +disp(max(abs(A*ansReal - b'))); +% ans1Jacobi = SolveLinearEqn(A1, b1, x01, Eps, 3, 'Jacobi'); +% disp(ans1Jacobi); \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/report5.md" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/report5.md" new file mode 100755 index 0000000..0b7473c --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/5/report5.md" @@ -0,0 +1,212 @@ +# Lab05 **迭代法解线性代数方程组** + +**古宜民 PB17000002** + +**2019.5.2** + +求解线性方程组$Ax=b$. + +A为矩阵,x,b为列向量。 + +### 1. 计算结果 + +使用Jacobi迭代和Gauss-Seidel迭代计算线性方程组的解,并与用MATLAB直接求得的解比较。停止条件取为$\left\|x^{(k+1)}-x^{(k)}\right\|_{\infty}\leq10^{-5}$ + +Jacobi, time 0.002116,000742 seconds + +迭代43次,最后结果的误差9.200308e-06 + +``` + -2.892338634008514e-01 + 3.454358567799977e-01 + -7.128117563931197e-01 + -2.206084538460905e-01 + -4.304004546757331e-01 + 1.543089002872824e-01 + -5.782292346918328e-02 + 2.010539160680573e-01 + 2.902286537336413e-01 +``` + +G-S, time 0.000486,000589 seconds + +迭代19次,最后结果的误差7.052744e-06 + +``` +ansGS + -2.892333530646782e-01 + 3.454360754977236e-01 + -7.128115360532529e-01 + -2.206083677319629e-01 + -4.304002884421447e-01 + 1.543090020780228e-01 + -5.782268140632481e-02 + 2.010539283318932e-01 + 2.902287085198662e-01 +``` + +MATLAB A\b, time 0.000172,0.000166 seconds + +误差1.998401444325282e-15 + +``` + -2.892338160157545e-01 + 3.454357157791154e-01 + -7.128117310868789e-01 + -2.206085105705285e-01 + -4.304004327040223e-01 + 1.543087398383112e-01 + -5.782287328904061e-02 + 2.010538948236807e-01 + 2.902286618797449e-01 +``` + +时间为连续前后两次各个求根函数运行的时间。 + +本题中矩阵A为对称正定阵,所以由理论知迭代收敛。 + +### 2. 结果分析 + +从结果可见,计算精度和迭代次数上,在指定精度时,Jacobi迭代次数明显多于G-S迭代。其所需的迭代次数约为G-S迭代的2.2倍。精度设为$10^{-10}​$时,二者的迭代次数为78次、36次。可见G-S迭代优于Jacobi迭代。 + +在计算时间方面,可见MATLAB直接矩阵求逆计算最快,G-S迭代速度快于Jacobi迭代,因为其迭代次数较少。从计算公式可见,Jacobi迭代和G-S迭代每次迭代的时间效率为$O(n^2)​$,$n​$为矩阵大小。实验结果中第一次Jacobi迭代慢很可能时缓存还没有warm up的原因。 + +### 3. 程序设计 + +用MATLAB实现通用线性方程组求解程序。程序输入为A,b,迭代初值x0,误差限eps,最大迭代次数itermax,以及模式选择mode(可选Jacobi或Gauss-Seidel);输出为NaN、NaN数组或求得的解数组。为保证程序健壮性,程序首先对矩阵A和向量b进行判断,检查A是否为方阵;A,b的维数是否相同,A的对角线元素是否不为0.为了方便调试和提供详细输出,使用了一个全局变量debugflg,如果该变量被置为特定值,则输出调试信息(迭代次数等),否则只返回求得的根。如果因任何原因(输入错误,不收敛等)无法求根,将返回NaN(如果维数无法确定,如A不为方阵),或NaN数组(如果维数能够确定)。 + +在运行中,也偶尔可见S-G迭代计算速度慢于Jacobi迭代的情况,一个可能的原因是在Jacobi迭代中使用了一次MATLAB矩阵与向量乘法,而S-G迭代中没有使用,而是用朴素的循环实现类似功能。MATLAB矩阵乘法等BLAS库十分完善,速度明显快于手写循环,导致了这种现象。这也提示我可以使用更多类似运算代替手写朴素算法提高程序运行效率。 + +程序代码SolveLinearEqn.m + +```matlab +function [roots] = SolveLinearEqn(A, b, x0, eps, itermax, mode) +%SOLVELINEAREQN Solving linear equations using Jacobi or Gauss-Seidel +% iteration methods +% Get numerical solution of equation Ax=b, initial iteration value is x0, +% stop iteration when err eps && itertimes < itermax +% if debugflg == 2 +% fprintf('Start Iter: %d\n', itertimes); +% fprintf('Eps: %.6e\n', max(abs(x1 - b))); +% end + if strcmp(mode, 'Jacobi') + T = A * x0'; + for i = 1:len + x1(i) = -1 / A(i,i) * (T(i) - A(i,i) * x0(i) - b(i)); + end + elseif strcmp(mode, 'Gauss-Seidel') + for i = 1:len + T = 0; + for j = 1:len + T = T + A(i,j) * x1(j); + end + x1(i) = -1 / A(i,i) * (T - A(i,i) * x1(i) - b(i)); + end + else + fprintf('No such mode: %s\n', mode); + % Let stop + itertimes = itermax; + end + x0 = x1; + itertimes = itertimes + 1; +% if debugflg == 2 +% fprintf('After Iter: %d\n', itertimes); +% disp('T'); +% disp(T); +% disp('x0, x1'); +% disp(x0); +% disp(x1); +% end +end +if debugflg == 2 + fprintf('End iter. '); + fprintf('Iter times: %d\n', itertimes); + fprintf('Eps: %.6e\n', max(abs(A*x1' - b'))); +% fprintf('Ans found: '); +% disp(x1); +end +if itertimes < itermax + roots = x1; +else + roots = NaN([1 len]); +end +end +``` + +测试计算的程序calcu.m + +```matlab +b = [-15 27 -23 0 -20 12 -7 7 10]; +x0 = [0 0 0 0 0 0 0 0 0]; +A = [ +[31 -13 0 0 0 -10 0 0 0 ]; +[-13 35 -9 0 -11 0 0 0 0 ]; +[0 -9 31 -10 0 0 0 0 0 ]; +[0 0 -10 79 -30 0 0 0 -9 ]; +[0 0 0 -30 57 -7 0 -5 0 ]; +[0 0 0 0 -7 47 -30 0 0 ]; +[0 0 0 0 0 -30 41 0 0 ]; +[0 0 0 0 -5 0 0 27 -2 ]; +[0 0 0 -9 0 0 0 -2 29 ] +]; +Eps = 1e-10; +Itermax = 100; +b1 = [3 2]; +A1 = [[2 0]; [0 1]]; +x01 = [0 0]; +format longE +tic; +ansJacobi = SolveLinearEqn(A, b, x0, Eps, Itermax, 'Jacobi'); +toc; +disp('ansJacobi'); +disp(ansJacobi'); +tic; +ansGS = SolveLinearEqn(A, b, x0, Eps, Itermax, 'Gauss-Seidel'); +toc; +disp('ansGS'); +disp(ansGS'); +tic; +ansReal = A\b'; +toc; +disp('ansReal and err'); +disp(ansReal); +disp(max(abs(A*ansReal - b'))); +% ans1Jacobi = SolveLinearEqn(A1, b1, x01, Eps, 3, 'Jacobi'); +% disp(ans1Jacobi); +``` + diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/README.md" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/README.md" new file mode 100644 index 0000000..6be474a --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/README.md" @@ -0,0 +1,15 @@ +## 计算方法Labs + +2019秋 陈先进老师 + +古宜民 (github.com/ustcpetergu) + +lab1 求和差 + +lab2 Lagrange插值 + +lab3 复化数值积分 + +lab4 非线性方程求根 + +lab5 迭代法解线性代数方程组 \ No newline at end of file From 5bd1477cea194cdb160dcc801a985057582b8b5a Mon Sep 17 00:00:00 2001 From: mbinary Date: Wed, 24 Jun 2020 18:12:00 +0800 Subject: [PATCH 50/55] Update README and related utils --- .github/workflows/automerge.yml | 15 --------------- README.md | 19 +++++-------------- utils/config.py | 17 +++++------------ utils/genReadme.py | 6 +++--- utils/md_tree_links.py | 18 ++++++++++++------ 5 files changed, 25 insertions(+), 50 deletions(-) delete mode 100644 .github/workflows/automerge.yml diff --git a/.github/workflows/automerge.yml b/.github/workflows/automerge.yml deleted file mode 100644 index 40a3251..0000000 --- a/.github/workflows/automerge.yml +++ /dev/null @@ -1,15 +0,0 @@ -name: automerge -on: - pull_request: - branches: [ master ] - types: - - synchronize - - opened -jobs: - mergepal-merge: # run merge pal in the end - runs-on: ubuntu-latest - steps: - - uses: actions/checkout@v1 - - uses: maxkomarychev/merge-pal-action@v0.5.1 - with: - token: ${{ secrets.ACCESS_TOKEN }} diff --git a/README.md b/README.md index cdf8ad0..e6c3981 100644 --- a/README.md +++ b/README.md @@ -56,7 +56,7 @@ ## HTTPS -- [github 网页](#课程目录) +- [GitHub 网页](#课程目录) - [DownGit](http://downgit.zhoudaxiaa.com/#/home) - [gitzip-chrome-extension](https://chrome.google.com/webstore/detail/gitzip-for-github/ffabmkklhbepgcgfonabamgnfafbdlkn) @@ -93,7 +93,6 @@ course * [代数结构](./代数结构) * [概率论与数理统计](./概率论与数理统计) * [光学与原子物理](./光学与原子物理) - * [images](./images) * [Java软件开发基础](./Java软件开发基础) * [计算方法](./计算方法) * [计算机图像学](./计算机图像学) @@ -107,25 +106,17 @@ course * [数理逻辑](./数理逻辑) * [算法基础](./算法基础) * [随机过程](./随机过程) - * [utils](./utils) * [Web-信息处理与应用](./Web-信息处理与应用) * [微机原理与系统](./微机原理与系统) * [自然语言处理](./自然语言处理) # 贡献投稿 ->感谢您的贡献~ +>感谢您的贡献 :smiley: -## [GitHub Commit](https://github.com/USTC-Resource/USTC-Course/graphs/contributors) -[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/0)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/0)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/1)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/1)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/2)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/2)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/3)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/3)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/4)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/4)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/5)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/5)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/6)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/6)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/7)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/7)[![](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/images/8)](https://sourcerer.io/fame/mbinary/USTC-Resource/USTC-Course/links/8) - -## 内容创作者 -在对应文件内容或者文件名中标明。若有疏漏,请修改后 pull request 或者提出 issue. - -欢迎大家的参与与贡献^_^ -* 仅接受学生原创的或者获得授权的资源 -* github 上不能直接上传大于 100mb 的文件。对于超过 100 mb 的文件,可以存在网盘,然后在 README 文件中贴上链接 -* 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改. +- 仅接受学生原创的或者获得授权的资源 +- GitHub 上不能直接上传大于 100Mb 的文件。对于超过 100 Mb 的文件,可以存在网盘,然后在 README.md 中贴上链接 +- 文件内容的改动会使 git 重新上传, 在没有必要的情况下, 不要对二进制文件做任何更改. u{Vm>Vqr)mlhtTDfPi1C!t-W##*LZE;vMHfE>! z8ew~(&PqnliKA_gB`D=M=(Izy>1iOg^U;yH3c7z0zkgbG-#oZ4zmpN!i}>htrAkgX zCb{uw@q3#SCi0mD$09&~n%QYHDbwImu3mt(Vm>LNZbU`MOZ`M@rn&3*_f0#p(gv|R zO3efsn(76-G-)`Y^gY&O|Hr+=09$5*Y0g_eJg*=oNQ!gEymofmPgIpc3uzg%o6Ue)7+E8@~mpYYV$y+8Qo zc^|YVFgoJIkA&!l4gAPZbN!UpBbjg;)M;{9p)ch3IGfYvc|sUxRTJ^FLTl;Sg8mkK z-(Vg3(QM}RI`II}V&o6xbb{g-K__!4@AdR;L^9?K)wUVzwSK}MUKxr^B6q0aEFW1B zvcAHjmRFj+poNGInG<{#ac0eAQBEFPT-lP?Q!j_e!nLjcT2Arv`8Z*;(CbZeF-9{p z_CDW22j259D02xx-F2v=HF4qIbj`{&wZ6k@os0!c96iyQVVkOsMosKLdej)0%q5c4 zYs-FhJvpMHOUZY_*SA2;F1wg7ZlP}IRl3(7YsmOfHc6|FbrJzXjV`9h3#Bz+0_+uK z4&+8m>=yBytLDk~77%jDQEcITjBj z`lS|0W70#mfU(7XUBwq~Z}D zQcl1Mx|DX2LJ#jicA3b!#u}yAVq1|>dE)J^Tbt=EDp90XX@f`-zI5R39STFJNWM%^ z5Td?m>v27%9JJF^+>!n*&-L)TbRP6TAhBq7{Pam1q_2Iiv|!Hjpk89&xuCPfe15%k zODr@X1KmKKY<*@EQxu&lZes}wSahbEu6+MfDy1I^mJLVUUASv?7i2Q_a2YO!cw2B7 zaLcnq$jw?@NV&4GQ~c?4PW>!oxiT?3c>(iWum9S-YW%6?VOabR+X9G@yty`6l2|zo z;AFbpDWKq%NpQwr#hdoKm>nW`drelK;lHI?7T-~b@g%g23ugDC_~F#mi#C`O6E#mo z#kQwQ1*E0V#z9^VzS0(Aq?+$|Nz_{wktg z+sy-906Swn`V1;4jY#{;=X$>~a;-E-y+a%w8e-JF+_ z4Lr7=wFXkPR4!x{9}3EA z!ki4-Nrsfb2Ae@H8>I-vdg#3=OPivGbv?C!~Qi2Gf4tj61n66U z-?pwBPQ1W89+T7Oq$f)1SuYhIAfX9jOXi`)z=eUlp3>*U^eJSm?{u5ovHiEU-zK-n zwLR=PupjyJM9EuRCWk{#o$yht9PvnCCwH$E^Za_xT}qk6t|;v@`C7mVHN|L8dz?lk zh5_0g58VS>>vTIGXr>n|`d7BCra#4!3EL}#FQ-Q-n_==u^Ah$G1D{39(e|elI5**n zS~+`@_YQ8rC$$Bh*(ePgS|0O!Lu@&PBN|t;rx6XsY=`@V0)aJ#UU-;Yw9~jbmhW67 z>5P(&@Dyi#u~R#YmPKfBLetYSeo3-@3mra5NLwY`C7mp?^~k+a%RhWf7`dYG3uO}} zrUKfhwj<$ zA^k-w2bMD*TZ<%BN@(eW5|0~4Frm|^lc1UGLWsl6bf@EMamwBKHis<_u{~qTpqge{Vfiim zm54n>A&Wg<^7l(db*}{Mj3Ffg(Vg3ctIc&3>u}oM#g4l+mI1o^1t)}e7geMn`1A&` z-N}+b#gyKT%+xdEuDI$7Rf(;}!x!@o6Ct-3LGyCyF1;BGrBHW#vWCP{Prb$&<(ZIi z{~`$j!*i6*SuV0InzvNUNKLd|3ZSn099W)cx6z2RaN)npYHEsy%Q;E~WOUATDwn%2 zH9pRs(@|A+v)0QYU2iFb$DV`D1bCJ#Hy4(htySX#mjjZ@JHD5!GLHA2n~xMoDzaOW zQ^#B5pM@BCR|F4#PD$o3SunSLHE7oVP;ZG`Sh>TE4D-BoSn_zY^{uLut0@;>kxOQte`CrzUPw(tC>%eSu%B#t~y zE>9ks3(G`SZhQEvy1~j?omJ@31JJuXxA**TStWMyKXUgiG~>4T1Twex6d~oY8e!C? zWDGaRQn1K=)z4#2`l(9YV(FgmJh1{>IIkX0LNY=mymkj`dni?(t0fR=HH)AQVo=~l zK>XzGB2*-LEEy2oli@DPddhi)!Y|}sfXG_M@RF4^%i%lO;|$4Rc@E!@RBZ+jWI(gApsVBxTnedF+p5%%JMJHmXg3rADPU^%4Fh zQmtsM!gHW#$qSsH^SygOSA6(SJ=Ta^6{^dZK}<%@Q4MdFd+uBC8o59E?OibU6rZj6~GE7iypjXWkr&*DCTR}@FNBfxQqa3h^C+998 zg_YdCrtLQ`DL5CjQq4H`I!juT1G1x4He#!<3!%rVzjx^Ujx1G^{24b%=q(LgGHkQdGIJriV$y1l3X#tdW*8NdeV3&$xI{^`wQ@x~pFp^lFc_wCj zG#y9PnNZwT-9TO{F~)@FOjT%i6oc5^7RGMU_qi&s9ncs^U8#kkd;hFf9L=7T#+j3K zJqEZqkbMG4X`o8~o1G+orw1m2cqNp~33uA_6dDHy#vk@@d#`Dn*&Zj(a`nV0aEh>a z<#eu6PZPOzOFGBHE>IF%_leT*&?Iw~ZSG8^4xu?ci+wUw<>Jd(qU5mxIYD$S>9b@HTB6ib~zDPPc z8m{dS%4}iU^R<0$)c`RL(J+B_sT@&Uub%F;C{pG;38M66BH)9$0ex=3!T|TWPRXeQ0`1sP?`e!)jEcXl#dvZ6r8yh2r&T*l@UeA#Q)2Fib|3+(|k# zfn}-@9(P3MOQO8Mk9uoIx-z{x`%YCKuVF7Oncu6k`5ZU7u#o-MPB2!atMZU?w!aq0 z961s)*$OmJ3X^ev8uB!^{r>FrPgk9hE~}jzyn~C6pZ_ArmbR-lfgBIvjW)Ile$#Uk74OUSM zX0?e`=ViE3BJqreQhKO{g-&NS!}ZxV<;PdC?qExP_(r6aGvBfJp*f$;ylSbT!S?MX z9B~ZjJAb@=g8d&sEOVdlIiK@6vQxIH;lJ~Q zo|0z!3`!|K_u90-%5j+bDYBu)*jKEVnR)`ag8Z!({X8-SxIE^IOeQ}>oF!yB)%A{O z@r~o>-!KI4H*6g4EZDOzGBSHsUEQ&tGZTEt7b5t*GOy1iUzzGEhz|NF#exJjIUnN8 zP%HE|qPCTkeDKGDCDTWYHuKJ_TbkB8HGv_{-UYLCcOU#kcv!>o3Fuyl2Z}z|4tp;Q zz*T;?V8g;X0v|NU@Eh57+;}O5%e5HSFEL!Rs4>R-`Z_YvaSc}vcl5B^@xVjLwCWjk zso z_2lzBegTtn>L-_(eE#1d~l|c*E7n#_W2aQN+!}xT2oonxF5^rp0#M|1=!Lc zDkV%hO|OB6r1d6kg~9*%?6@a6^Peu{h5LdCQZB`!=FdnWd*|$m)o`qYxB@X~0rd5T z1TEXQK@2X?4y*I-Uu6WRPlVJ|{{@fEGlU#S7}%yyyoI9k?Yx*Qa*Q?Kh~t z9D+dP_WZi-SvD8%JN_)rhi3k3aG~m7nhVWsweE)bpsuW?HC!-Ds9v=T^hIsa)qKd& zI&so!IGCp0<6~wG%|v!#yaAAmk@Fia#>k&6>uH?r5bH%`;=EmDE3XPBdcvx5rMl;o;KTZnVGVGvPhvZ% zgOOrGt}nN25z=fNeTto8n37d9HOXGB?2wQ6h|BrOx%R-{+Mtg6le7EGq) z=})GG`PmC5K7daiu8XmhKi%Sde9Cii@e4EUoTGphOY}>x2(Wcwrt8GVjAIQKtQzdayN+?LkYhrM=`wBswSX?^=okQP1C@0~5>Ram# zRz8ymI`zBTeya$UmOs3GYhmuv;{^z+czX zS#(Km_Jo2wciwQ4r#Lt$a!E%+n)T}T+JUwzT^UA8a~qYqk*!LSn)PhNj8Rnj zyzb$~9GzwmFBF_f#)pV_!0Us0)W^}x3)9l|1BTUC@rH6c*9=(=Lbk}L0q{|_W6XV~ z7Mkl%_nt&-1!$lq&(C#Z7_kW6@ckZNXfU!9@^$KltHUWm!(UZ4(Hif7a15Z*t=8&} zE)I{%EO0|}4E!l1*6|GvHz;KH8~VZU;SXG@-~L|_%WT39``f!TR`!iVSJ-rY;@_h2 z!uf*yimYArPNNHU2smlNmUdVSVX#iE=sGh;tIEe8)Q8m6U-mS!hZjxWg+D8yC>SKn zh5xe-esySKWfM3FKt8}BV>dGO@>b+d3$BaBmiHLY4lt(h6Vh@4jLOyz^`jI(nv~`m!m;4H{_r9aYQc7~w_UY+ z>t!7QFywz^NX^#o?g>XN>3U690^FWBtcAX)N2;XTE`5dmTt%iO;>c)k-KQb?Zy*=r zeJ?<*8p-&ULK?<)+Wb51>Y;u<@@IW;W0lvu^+d!*PfPZV?yAV|z{%-yFw`!vV5t^< z4Ar|&zOV<(EP8%_&LV6>4OR_bBsMqIjH&hZ6L_t!9xc!mlC-Qhg zOwhfXs8^_U%uyIzJK`Sr1aE)9{xVIj8?0nja{fS^m4E2j?LWzg;hg@%WHH-!$fc~R za?Ox}{mzIRu^;feC8^MLu7RIrU`eYNt@9`XUB~9B-VRg?K@7Q88ezN1WZLps&{Tb# z;!=fN#?T2fJ?q>vCJ#=@W8?Cf8A) zWQM=*8eH|&;Q=;06Ffbk7H?hu7?_5YXWzBfh}jNsGhO#o+^w26b8T z6DS5~BQ~s@H`hbLkv6ZlhjaMDMXV>fOwFu@Q&k>~@L0B&jRt;&?y=tgDG2M|ej&4E zLGvVJ`+rN7ua2hXFn(=Zkf>C@7Rxf1aIDFuu4Rn{7Qj$HW1req*KrzotLENPYkJKi zFpc+D!q#OJjU4Q2608RLKkY6byzP}NJg9-?mVX=&rl>>LIX&CI1AT#l-P9qG(t6UW z@W59x8JUUfToZ9GP8pUQA4%yQtoC-W9kB0Ry;cGH6P%+)-Z`T)m$lP7CriXfSk#?Mw*m z3)ps;2l(AYPLA`2V7E6D|5mKl!zQQ_`qZ^Aw;VK5UAVpefL1J4_Gd%`>?0~xb@*P6 z1sWsYK&9$d3?BCPWj|T^7HLb*W~Y+yWxg#H)n+s+Vq<0}BpV+rk-xp^#IuC8-x-De z{NOstz0Ha6tsgCXu6)@#UGXnWn_!)g6-CVru!S( z!g;|@e)i_>AU+5v3o;zTE+($}n$N)t62g%yxxoIA0`H$0(h7+su;28ZpzDYfo^5}# zDN3z(-Be%!Q?*^!3^BYnKp#g{I#;d7S&e|6e58k1^zV-(yz#$+THu}`I%#XHN%*lR zR#A^7jnc!TylXy}1vQMg6K*;5az=L0Yg1*-2ca&6D7l8{d-p&0Jk4JsXUi^6k~_1VUNto?{Kmz7$Ly$-U-ez9*xEeD{(9Cb*4_*y`sK5S2Wp8LDG(dyBX4*96S{4;9Oo= zj})suo%~Z8vd}mj^1bI*2rbV)L7s7AWWkMQxsa&Sn66ax?S06Zi%O zE@UyL7(7pb_j~Fd&1DRB{BwH3n^A?u&R5(jQ2Xv78TM~P;cnit#UFV0beZSV^-?u& zQE@;w-S8#jO8en?SfSeZm5k2qk`Kbv=IS1Xfz|#~c&)vj`JaDbmRXSW-DiIil`F2& zovrX;$MDTVo-~5O6#s;`*i+~lJaS=7Pr`cJ%~MXjTCY+4&A04kSPAJVN)BVqrd9hE zx1voe@fQngPCeDDlM4N}Y2tk$l5v2}9p zJ61;quUN}X;Bqlxk7W+kXHMhnleWDB{GUpr$1$ryTNE(Pob6DLvo?;}5HB=rSxjHD z9W`KM^XK*)rcKB?+e1_Wvw5%N3gZNj6WZPU8LkBh?t4K4^%vaD#L4YFa}9ZXcssxz zfj_b{DdTOV*|a!t3wa=TO7TOH*S6&&`$@AGq63c{S*VQayqAk&ctp{jS6(mrx^7a~ zJ_kITT8;rfen;Fc#$^+dZ>`A;Y(tIZrf{=;- zEbtA`4WB(ZD5jq(RO!dm;?92b2?v=>*;gtb^U6IGM{^P47YFsET<(n-Z#wZwKV_pH zT0uD(t>8^~-B*?ZW2NZ+p9uwIp}#htw8I6uGbBUFQ92Oe^)=$Bv)8a0+xMDPbWDzl zbZoPs<4w{PEqzPrv)9NY9ya=(y`!Udwgpx$&u~qA4p^lZTp5 zTq9)AK#r&Icby=lw9y99ao*2e8m4xd*>KcI5~L9)PGQ$LHy-Ykyp(~?5GpKR?|x1p z*R6m~3tydl%EXZhADVna!I1<=sxlGMC&~0`%Yej+!IuFENqQJTJNID zr+k+}i=*{2L4bnAo+`~Qjrx(gu(P4_JhKglJkzyjnfEo1J>BK+jAh4bF@VqBH}9ljJ95JV}SF`j$~bGs$ghU@oL6` zLgEy<%m%jCwe>7lt8u!?UrQc(&%cx6!;*W@}3V=>sn)1HDPKn{Um|`XNCgO%JdZCVM5pGrWShThUK+@8y+sljd;Hxhea;rq?HYY$a%f5(Y|V zDh8p#P+eBs4BeHARdkmZxu~n*gc5sWP63+-4}t~pV9)!>ZdkFt!~{Ki6XfFT5Hfhg zdj=GhxG>54s4nR`Az6)mQI>qQx!%Mz^HhUn>_cVLiz1nFnqr!`)l6z8G*PFXP%?I~CqR2L*6`ckpi z7Y+@B7o75N$!OY`>Nq(vhN6!8%n2yRch)XKhaiJ0kq7%mJZT8rY8MFqF|ExUr9OAG z-akY52FN0e;-zRg4|cM^`kroObd5 zXP_!u!@vfE`Ka?gW=T5nxU21^uQ(W$QvaB`NBt~8EDTCo<&^w{5@T@c%%hr3okd}( zwJwYKw5rAauphz{E_C&uqEPKcTEl2wxLel^P%Y%Xly|0<=EnjB0AV^WQ|*<0?{?U3 zUuW;OxPXjiiHsI+sUb7ppI9)?vnxlxwxc`Zm$vIH&R!tl+8gEkzsH0Q;oEliUy&4` z!LAKA5|mRll;2rmCRDA))-vR0bOUIW8WSsQFQD?uBFKMPVv)iInFdPrlNxL;IU6M( zL=71F8QFG?lG>5hyfgk*7@A~R!og`)Me}SHZ|wBivphpcONipHSm@@=y^P)T^#=Rk znscOsT9-|5lgAsq*a`X3;Ne&usUeMR??l;v>nukld!5i>)X?8 z275X9E`Or05tJTY(qNT@^OVHZs zU3GMfGbXB0)`EUcTyevR7Ld;G=!q!5r-siXgMfqy+p&NVo2*n6eI6BGgP4mQ``>X~(cKg7u3{ z+v)hfx*10SN8?+vPDqX0v^@xarGT%O9G7KRvpq4RSj7i+EF*JCr1bNP}nR zP48)_8TvuV>G7%_$D{+D+`+*X%02W+gDUc#Vm0$LB1I5Tn8~b95k&3s=O@bjh|zgd z8RfU%{v;UL0S>u_tE82m3!f{P*)o;!narXozg_S(Cx zU@{Qat~XIGw3=u|%s9Fpw#!tB`VgV4Nm&=up;>f8=ChrN1*{|R#XY z-L%%MNDk`$!oN8Cq0bRN=M*FjUl*ZbLj}rxN({S&-Kal6Zbs+`%&q>wVfJS+X-VqT zIC2&AV>>#JCF>(&kBVE@{6&l4ZTY;Q74|n35IXW@pzJvvj&tq84Vrh~m^1sK3CVLB z4qI@o|Fr?TANq*+g_>qb5gf$3 zfbb+L&D@2>3P4hsTiUI=+N~wKlRhNXI@nSPUTNH=e!-Qo9T{7#tR(IfjBwF_YE$-S z%JHUYO|Y8jZt-46lyhZMaK+5$rkw@-L+6m%<%34Q?OE_L6i-PTL)LmKPeyv(%BnGF z;QT62L;j(XJY!-v@ZGY?OZqt1knq{^8s*`s*!-D`3Id!he=f3forKFwpH~058CbRT zsjyP~Axs}IJ?_wOcdfgec`T)8h?#jpcV)g((O|3`Two#4Tl?c z&1_1z7ldG`{hlC>$T?!M`)}CpcC`tR zA{gPn`}GnJ?;?@H|H;g*_5`BE^WA?sx~5#QIM{mCr-7q_ zo4%7I3n%4p=8Kg##t|wRh{y7Ds-l1kE{mwi*J)hEc|`kE)bncuD8r+5q99(8j zpl9ZxlJ5U=w8=zfS+mKk4xe8gwAMc#bcy?a-L5B@F3w*w+k|VJ`MMt4&GSEw+$f-r z-)A@OL&s=i(EsaL=~Gy*bBRg~5&t>;|F?QEzJ&|}xzBgivi;$RkF6#G`Z0TFZQFwP zDuQ8p;h70#cML#8^G-sw@1P3!Aa1w$!ah4aN1$u>`ycg7oUhF3HN^t36 z<=PSGvhqNXxSt#|vobya7Xx24lNA40q|t?$(FwV_fu0^YSmDDrTnSe(+%~@?sQ;Uv zCa^%Hx17)enL!hPY^j&7$)ngo`ppVABKfA(GJK*L@NRYPNYsbg3Vcoi{)$N286BU~MOE-$&VeUfbOD;Pt#vgCkH8viJ1GwM%H>dx=q+<4B5E>QU){ms z=nJfHWnE*KvGg9iM`tP#mO_wxc%Ub1Szzu^o1_rVB-d#; zcX07c9e?cyhE2fwB_KELbO0p~e06eZ{SFUi7Jee^L1$QhVv00g4xe_30=Ecliuu0K zxb`Z6d2OlnrgF^^*c#a0?MMBy1|k1P!=XKyxU~6FKpKtEX^@G*bj=vo;#6aV7dNvy zoX0xL*J1^|#$5HEIN|ng3sBC6=Ij=(MQc0JJ`!*?%U|E6C50SyfqY#2VU%OJN~~q* zX=l?WJt7G7B*@xBeJzCnF~`svtKa^8x?J`I%!?o z9{FN$%`ff}Q!y7ONA*=ow(72jPA( z*mXXv08;ZN{d7LGYpHLML&zQ}wbht$iF6`3K2Kt2Y$$>hqvW8>xU%VHwFgxIe-n%_ zWQ3Ch+b6z|*GnRo4zw$wMZf33$GS(dHa`KcY)Fmk-kX}cdl;6H(Wdf7fOtFn0xNW- zE2!Y3G$tzI;B`fe9=Vo*eai|P_x;%3r_7rZ&HB&TJOnoJ@fW5Y(lj=56djidA=h=+ z(mHa!7=5U7+AIB6yOLL1B4r^Wb2L$X*IgPodKANIobR-B0S)5to<-32Dr!p0{BzaO z5w>??3A!I+G7yj>O>^Eo%-od^(7JSLZQ#>JUnQnf^6U177j;8PH#)qzD8KB+ zt}~Q3y-#4lvC#PK{xy%rQ1KIt-U4;oquuw(QC`Rd$$5Jv#*cr?gvReeFXQg}wf9xO6hLzXiV4&QE0OH~XuQ_6}%(?yPS42QCe8%daJ|+_|eCjvy3X|xG~1juJu-pcGmfE zVoT$gGUMv#)z-*Ei6v}AUlZ{=Y#nSsyDLJJ>1&8xI@-~E1aOX=mm^%?Clos@b5xjVEbQZU^OZ0&^7cas4<4n#s z*;_F!pZT{{ZcyJAp?GT-Utp7#5tfvbt7E+ULYo10%VRogU)@XtCgS@SWp)NjbDG9F zMkk`2Zz|D(v#Wi1Ls}gAZIp>K?z_J8Zrih_#C?c+rH`K8C z3o%l$EE3u`sB=%0aXmLGvLvc(XeX%W>~cpfyPi)B4^D*jv|7CB?*7^-w03LM%-z7hp*G~it5;9|^5(jZTuLMwOW}!^054G# zj9V)tRu7C;)++es`~+Qdr&Fqfe1=XGLB$v|zezU;I#yWsaX=P{qk>LpeHXLNhopjP z(t>t_N`?C5)JMTiF`lK+ImRgsQ}YqHyV6@jnPuuO>K))?x~S?2kw%ddFdvA2m&iFHCh*c+44WAJsDH6-{3iNe z%~O+Sp$%6Tv|WQHbI4}n$uhR+?%G8UPG=A{x;(FY@EAP%*U|m=Y}IpmTv3(;i?(_o%p~95fb9cYRMjXQ_sKa1v;W|E zKUsQuaLqX{^O4>3G)D!h-^`_u8#B6$^!}0 z-T!{9u@g3d-Pe9@7;ol~|NIW2eSSqMxaafc7qwS+9%-Vhx$_?h#kqkg2kn4d-fivGjc!@GS3mQ6sUrWuIe!G`~Kh$su`!kNQ`*e zRP$uV>PzQKQa>VBXYj8rcrW)~nLgz(`OocP*FF~*#)MA0_PBNPWL*)YnQKlKNJQ~2 z+J}Zc9I5GE8qdfB)fetUrGqz(1`WO@Oew5&z0@sJ6biCzjQNCTj9pLsHTc>V_G{I4 zcJJb0h(t_Ed`Tqswb8DT_)D`=QbJ$BQ23_uNZq3L+x3% zze$ta__o96Seg*FEVu1z1OjQOm}-vZ8!!UCEpzZ|)9}ZbW^wj+B04L^y;GwMqr2cW zd0apFE^25cc8LYQhHop-kOgaOl}S+JFY$&)8ZE^Gg=v99&TYnsbk&1x3UGdDEgYaA@E-~En7VmkL2eOj; z857D@Qp=6ss2|7w4v{2RT6;VcrIziulx4X|O|Cf|Fe|k3SWK2Gq&Y2Q400LO#x;B` zXh<9DGR`GMzteA9<(Ug|+KUA=#e7A`e(JS*%eB%9gr?0%(gsabI#!mRPD-z*EB=-t zxM#keyo=x^odniN1>-I5m*uJt{PXB0s@0h%wH!kt~?v>>VJLQ2U()C7SXBhkV z0aIvn8f_;OP465P*Lp4Z{Ini$OuiWlzF&|Sazao3ew3xoT3P^bpj97mKP(3C#x1QQjba%9x=OQ2Fr0 z`W?v`xadNf3clp4;O=pVAh^Fqz%?j7+-gUCFwyXyxS-R7?T3RMaHCgdB44_|L6g#I zj#5=MN}B9)KsT%7JE*DNo3!aOwUY~^+}c&4-|3BiUcLYx@r2pLD9*3H{$6zqluhOER%vczJ~(}kYZnpk`=u%p zt+N}gmr#n)XmdCpaU%Ga04>PW&enW-&fvUMtH8@!yT|7m4V2c0+%g|02EmTII#o)) zv}`sMiwG|Hns5`ce)qur+ej&%!kz}VExu*f3nl$2ivIhy67bvAX0w{-1i-)Hn)vg2 z*Wd0Pqqo-5-*Euv1|tm$6j5o&beD)q+>9G8Dc^d?d@_nXEJpu)Ms*RoMh|YC`i?g> zB(}9{)t=!!nyEI;q5Si_8^kj?#g(w|lyKaoxP`~mQ3P+(`ej?oC~M?Zy5U;tSH;VQ z<7c5!O$j1|cDDQGO((}vS6jZi*f0(Rpy+C%M|RxNx2(sO$0uP3{V(f^=QD-sm?IU zw-01Gjeqb2RJn4tW(JtcGb@gM@8zr@N-ygX_+&78Vd9IM-36#3ulWiE_ls)On8Wx z#zdP-5`>*hQMChxcXXwbKZoi*C@Zr)hy zJ-u}f+$RwsIFan!fhC_DaMO%wve~G!IHh~3x^siO4{=8*kBgzxie#MmDOx8%q=#y&D&G64(j9&o!qr|3+VIcAL@XNkqq$ZMZ+$ z;bWf}xkHX#gNEmrWPYw0>KlOl%-2ijI6P+)Ekjq|<*K}`;{~ayp!xy3B z(!LoYgmx$0Rg_w%ZUE3C;vIX0GWogM<|vWF_Nuc0Vwh6LhxXbEeZ3(4W$^RPcA5?U z5@+ZIP;XqZl;3wV^Bp;&!Z-A?l^%{+rTzbSd7Q^`+n z@4KGzH^bYzoskC5i>2qvRhGgh32&7I=9qT#wrrJI)cY-DUo?0l{ATYPa$qE5=bgWg zwEEr@uidx4nqy*-ur=t4=ll;5A@#kTyH3Ox?x?WIzG*lMySbP5K6hqZ%}E7g(m=hz zxKMt!W9o%UVi+qfO(2Y`?C6^pT~&n&FM3~RIM?XG_se(0O}Mo}LwrasDlVN~y|QwU$Und|Aq{` zNV+)hccJgssJ+s2mDL|5pQJAv0?#w{mV*eekAe2K@hVzI6Ogizl?y?v6iikiMFiol z^hryGvF{_2rrvQj!PB5XkjEe~halIU8u$oY%>B*zq4R4hmwR@~9Ucv5QNCFHm>;p7 z=s3*!3=;aIp-|QST|JD8qLE7uQOTz}$590J0F5%sCCRQr!gH{u_|DK?F@gwjVL}=s zAz#^};j|*>7~;v2oAdD|lpI?Ui;$4nlrTuH^(sq*lav{kTUk##54_^cjSWpQ;|!); z?jTHXtMJR99)E46h)nTK=3A-;^Oj(Xpd@;;s@-6`O%*KLtN1qP!W2^bZOP0^K4+)?oGP&I@xlcO=)nJFe>#Cp~3C$}R7W6F1kF=n zUrC>qwx_uS_7YlD+g@m@$PV4>OR$hZ#}S;moosU+WIo%KHdmWtY`mGls+ z8c^N#ME{wPTZG(_a(=kL34;v&_v-E`%?1eYlm8jp6iloSrFbh#_EB#|*;Dk4n18&x)MN91vA zqIAIq%||~~B0^3tN36l};=;vVFP6ntS8{53wUXll*ZtmH5+vHmqt62r+j0{gB4f5R zTbPoS5K%_Rpn1Fe@l1)p^X^%amlE4ZCM1Co;AJ@U!oEA%G!rB5S#194NQdBYsXkH* z4cOT}D#t+Ibw$5k5=nIT14ddp8l$51-1^dCserCd|h}hzqjIVlt(c);+V&| zU!&(TF>kP^I8`e?ze^#gt%b@3vA#EEdJP}b9DgxVLTu(n%{zbnbE%Ro0_)U&w!v2{ zyb_p@wso;%R1BR$ullun>fmHYLNi3PqxZ-0NyGV!PfQ*u!c!O0x!u!q_S{*BLg^IN zDV>u`Z63vcI3X4F_=2EQ9sJ$eJ;Q=tIU}fC+2dS`Mn2yUE@P(PJ*KFsIR29x(A4z_ zmqD41-^NGKiR?d6?~31e)oVl16UqKgsc`!{lUj1pp=yCD@UW9`{71_D9VPtztlH(n z0vAE%S3T2__EBeJn21peR_p@(vJ`Kbym}mpXVzhL$E@ZuJv$P4Q(z|c6iX+#Ur==K zw0KQ|DmQqPk3$p{I#U;OP1;Bpmi)w!rs5@dME+}qyKoTpG}gj)bmxv?!(D8vLy&Mi zY`#mMr-MvooxtzxIE#`tXj5UihK>F%UBvp7!N!yt(DlxWf67uTX|r`!an}>>FchMi zAa=i_De^eO(boo>fSiP&MDX|viKQqHS9kBp)bPKXPdca%Dzodq$6xHA=f;vdN-=Y^ z%Zq@-fAQV$ABHJ34hp0&!@C!>$S3@ZIpM(cs*QoG8$IO)g<^0f`)TWI&O zcGbcb9yhG{Z=f*6@hWCDbMQfvqgzg+N5Zm$Bzs}|&cg(&(0Gy9~hm3J)rwH4}J}PzCgc|uhXvY869HA z&lJ|<%?ngH_*;?oudLs8ZT{=SI)RKxN_O~TE?cDdTlSf~uBHNjx*y10#5zjn2A@dq^Yjeq`C374br@I{o++`-?Hc#{H=ZgP@QneY}1!Jn;UU*sZtiN1`yQzt;2G8y7vOOZA>vCmSd6-vr#fGbP;=H&{5gpw6EIrd_`P;Pg`7xg}!XsngwtLaw zV;#AP1+jC1#~5X#<{*app1Jo@G|aQZUBC%yBN}L{($VHxS5teep(Lh`?c*+_R%Reb z(wv2SL0<&&S5tE~lX=4kZw`<922{s{Kd!`hqOOe(VL5bxe}24{#ryoiIP-4k%s$NM zG(O;2-Q6xbPWqK{FkSPi7V=l$Y$NFxNtAGDQ(nD}Cm$t7HZ(+$>u#X8GQy;OI#x~^ z*-_@z6u`NpT6&k3+UWZ?CLIFwQ*}Nb<`)8_-2Jv5nUPWt0`}gvYURO2FK*k#JmQ(G zi3~iUFFaDl>&Z;p>$$Njy85yY1bv*)a^@Q}(qJy4(t2BY^>T4^(`l&vNuc!SdSym= z?O}6ScheOlc;4mx#@Q#JAm@ZtE@=>Y_q|SfBRa|X!(`G)CVxk8qrO8v*EH2z8nWb zc%t>_L&_WC%mJH8N0Ivu()(dwKqASB`!BmN&sk!k!H@c!vsA;UufhiNPLjvsG=g}` z`=feYJ8NuMqjws^oP?B&l&2?j@SWV*uv?RIa3#OZxHoy7b#}YhS-O#iQ(UXdT_+CO z@nx)q$Zd_?SZL`xj#>>MA0}*cYGGY51%vrja%yi6_m7$G}nCo|{r$JrN=U z82uqgkKK}F_~4ewrM!KwJR@#x0QA~UtUD@q1VpJk>sA^szmrho90$l%_VW?^?0aOK z>eNYhf{wiW))(F)YyT#pgOLSm0YTdJWvhGzoSjrP$3XQpLm?_WpGjd)3=u}GVg3lA zTmk0g-xoa6Zxye61@^KR)p*BH2D@;9;HfW+GhC#q)pblXuH-a!d3~$J`X;=@1LfJ zR_UEU0G=N)$YF!w(*OEOFXM00r9L>Luvx4ZwK%rw2_-3O9q`ZRLs z?6iFR+$Qx|N_Cd~R-3CzG&|r<-8=sW@}t#8$;L&!le+<&<8Ib6PApPbOHQmq+(T)W{z~>Y zA!w_?CRegA+HCK$*_Q?Zm0qpI0F$eW1uowjUmZRK_|7+4RmShREE+4eg2tk07x6lM zBz&FC+R)}Y?()d0#p?WojBno~4{foDnmHu*^BZ{(Gs!e?*R2**ZyotKAQr$+_A(st z-BgKYS4wrX9oLD34^fz8yu};UekJIZR*#k7aMFzpMKFBj@TjKY~pFR{f`xhTCwfb&a?6zOsGr8PmboKGzIoAd!XLrtCZ_s%}Cti`Q*I2q!WL}{)y z_XU}DMGFp^aC}<$zE*5|=qHgxfkVI!%7c`>wGxMzpKwS6@oJWJc7YaEu$8?qnPSY| zdQ*;c=+tQyv3>(U@lCw3Q@hr9G`t!4i0i;&HO`IjD{UypX`}X)pr_eXymKAC(7+9; z{tbsH8Ul)rkn3^MINf)uzWn*Eqz4TOqpwB_W?kG^QbB}N(jD=JP^DOM>TO>9nZf+; z%4h0dtva5CX;v+X_?_9f{1o5E+Z@F0$PJvpCx##4T{i2OtGX0BWSH4EC?gCk>66}E z@6->11N?eYRYdABcVOejhvj$?@y_t-ObuOtK)4coPCi087On}8@gb!yiEz?HfcL%t z#?}m35cXaqNe6efYGGD1A#`!)aq#c*lyo=BeU`SK+{kNGCfROd_$}P%w8e5Jz;@$~ z-y6=TRQ??!Cp!HD^y=O(8$O)$(r?*bNIRQz90S@H4|B0uQ;x)TP>qU#Tydcajz_%z zUq8?9#h&5=1#vCu=l73I#tG#acq*s|Jc8MtTME6d3(l%Jtsy{gk87V16DJMudD?sk zY=zNlHPbJIX^$GkU1-x5o#es*5XwXCV4>dOkAiXB1qO*sz=V*&FEDTUvFtuK(pJ`r z%>ynfH9XWQGg>Qe&I2fh)y%vzQm51kH+`#LN2%M6^B3QasZe~7H25*rcUs)UAf74SBKzChaW>s@jn~9^;`+D*j{Y#?=7#tL zUmL?<hm%OkT3e4=XRzGRU}FR{L?_ zsA4cIIb1c)eKe|f33-BTyGi8@|KxjuWNT`iE*tS?tC-tE=vOT-HqAx-_@kG1^F8(gh|LAd8HjwiCT3u*jR_5&sZ$d1+LH z`dZ|}%@HQ|TloAzH;2dvW8WW>vM=Iz8+9Car*x%DU-s|iJ1_1_!adW4F-K5YmXazXsQ{Zuq8=1RW zCaV;)kjey+xXa}F?Fnvz|Bk1W2jZ#cJA-Dh?S{A1g}oI*Z1|CRp;_P6ojDKX8=F3y zcysk_k0|T&C?tV%o=U^UdhL2Vx)IT<(&1kuQncmRt{027Z9A^6c7P#wsh?xhY|V6) zH`3-#Yrr0;jD${iHQirpX@`lem8kN>l}j$3Y9qIg>aE?`gIq>;6Qh`$Wo`%l9!{GP zpuNw@alo$njw7LBccnc@O>fGv5 z54-;lyYKmHTiMmN})5{G*abZr0+*J+@DZz8*njWTsbq+W*w?o8@%Uyaez_pyq{1GRP zT@P`vZc-DbPTmEwWH*bL-Sp@dm*z8)N7$93@Lvqhwjc4&9;&V;} zsv`<^wks6otZhb)ih7zlv5g^a`L(}sWF)zeB#|C7e#@EKnfTA9dJ3>CU2MeV@5$eI3TerFym3Zw4oI!z84i5nzdKlg;%>dR#wN>1nTB{u&5cjtxG<+R2@!}w`!=d(xSkcsldsfru;~TgORc-GBU>h)=8ogi%**T$ft`qR%$WGaAqdh*O5JsQo`amS9{dBwaR)Zp5ONKC9GWraeh|u*emrdSs3si(GE7B` z-p~!0Z#r20)$?y(VdyfpkGGv@t@zpCElz1aLs#_|mVV+D>TP?%a$IG4^dbY>{bf!| zC1T0CF5&~3#!CKH<9CdHZ!C-CT}iXf0mPzd`zwEU(bn-)wMulpN*wTwH-;86V9RTC zFD{t98?;seqg&7PjJ_c|Be|vUjEyS;x;ku7PAg@L{PSTONV8zy1#RIk>WgQAr!Xuk zyuX;eprJLVxpWk9{YJ&Sz>J?gO3_4SK(^zd2b?2^{Z(J#-O0s5; z-MEi*uG$ITuT~Hj8VLN@_phG2YHBdznhb0m3X{TV0d+3YPOMAEz~F^q3trn-8ko9> zE2FzFT84gg1u(A8{p=5pGTFo1S2Fpu=(oJ!$*^tAZLsR!Q5yxfdseW*aolN!-6>Ua z5vgzR?u>c4Qg%llD9LG~s3C*EP^hvW~H*QOwqh zrkQMu#9^I;bh3-T39#08x^b3u52e~=V=CNKdKsQGz? zKfthM5XZgt*PsA$(#mIM*t-)6+v>>%#oy<#brLrn{DyHJyL`|7y{fy__{WDPdpBS& zVo+~Rm2}gHEQ)lCawC6B?*|vqr6UkN9~d+9Pv1-PgzGkc&%OYbz7-0K`~%o7n5szH zn39#Qv)>#+SVaHb`C^E5^=SXS{oitHcN2A{*O?pjrR_STCCd7&Hw}M47m?)19x%Js z597BnH3{Qav7z0I-5oQS@?v7Fq$>*vR?aTTBfxQ$%rr#b%wYEzz;J5uM)FnO{3WGv z$8a|iObJ3fo7;%nOslmybbUfT3Gb4XGK+ALYPkMuY|D~j6qADIhO&eGVjCEf<`+gQ z)-f)x46Um{-*d_>9!19n9sh}h;!QhI|32Q}Bb4%I@ToQ$`UFZM-}DL2-t=hzOq6c}K+rkc@e+V_Rhv6_ zgWrzf$b1Sqizc0%;5%)PD=zzcMRkh{lUx^$b=kXHFd}hNBRQ>qroTEot-`0p=1SLI{Ug)GrMZh_oZ*y$VsO? zU0`)z0Q3{%GY!9uZF{UvuS42Bqfh5tPob6gi{oZvTmW~QbqKaQ{nhhkS_YW&_d}b= zPo-PX7W`v$3)tV-YbJ0+h<#8A@b!rzGct3l5tq>DrnTb4h@XgrcB|t`UaypwAOcCS zYj-=@?6h%fGI@NGiRJD;S8ByjNt)hy?<4vDayhCWTd-ocF8~T9%z;z-6DFpqKKalD6-E#ai zNat3n`7y9LW z+4^T$y`RLk2!dl4IF_L@Z7vu4ZrKLxP|}kh0M>yhY3q+>G31+nOpEx4at=LQNbV^% zr->1-2DZ4*c)^LDcdC}rsIN@@x^bOq%P)bMQKy;o;%KnrEw5J@q8ZWcfY?b>4VvLp z3DLI!yI~JIfsa%DFc3kD2en07ON2Q4K(Wh1*K*Qs)q&vo*Boj5mT>SD#=_kS1nX?z zoHQvWJF5EOv~2)fa=7KC(fS#HY1Cl6$U04W`Pg{H5vZnlwfQK-Tf0Q8+9UGg=aLcs z3mbQim6g<|FEj|$vnm`i=-cnNKk7T! zXS^-0(_su<`?UtuzOBjqi$YDLA-p_aNluG90Uu!>X?MoP(s*}I#SjRg*&SSg80>Zb z@Z3}M1KDYRE7IkD&%h0nGP!$)WfI#W`yAwj>NkM{-ImX&HYu za$S~|+18-Y$Q9>kP-;Ucm{BXW=-N4Q9Y~Zlb@3N@tt>qn4uk1qb3B^QN>NDRBtc~* z3&s%><*Y9*N?V`!vL*bCx4cB3 zHf{EIX}{z1!!oF#2slsk=X@3P`>+)m`vz2`OM`ojhu^nx4(bcke$bbHOVo zk(_)%+p{u-oqCY|F#Ws3*Qr zzAu{-KZ%Jc;~PBnt>R#yCi*Cyj5pgcH@73TO8V(o24q6jx}XM>jd}FU$OgyE9y@1i z*nb&4ZC|xAW(vA^uZED>^rzXUDe-={D(?XEMbTM^ef4uIyV++a<5+>I#awNz+0-?P z$7oHhRN$b;oSU^O&p#8K%-6YIQ~8Fmofo}dk*ML;!0rScMTN7(R+pYyGXaFoe)yJ@ zuF7n6fd5t%-?j@j<}Qb(S{-BwuI*I3+~X2^3gdo3t_qMc7eZ7J?tS)=60;5Ry%BDZ z^jNkooKJR9+omL3wB3QQ&7_mhw7PVOy)Ev{UCv)D)M(L}v(9(TAHBG}`^}isP(x2U zxt9KkN=K_@Pf#{*!#{_W(uGjnF2Eb*Je95c;L`YZ4E1%^fNdJsPI9@=x^nRcbR|mt ze2fj_7h~y>G)Msb61v~2av`Q_=T-tXN=SoET9)m@*&O31M3M#O7W!TLQ2go&9jnv8 zNgE1-UU0bGqxoW%N44lPm!SRdYQEcjqSoKkmPdj3eOU%HRud<(uTv(C>mLGdi{1~yr>W>0}9#|FUbnX7Y z)lcKxrUvqZ)j}ai7hqS*+bekpGv^jKxYS77(f77DR+NnW#;cTDRo^Z6@?Cu`Uixei zPhJ1T&I5kBmrB~2SEX$L8uj3_uUE6PkYb5*?MATrwnFPZe5qhdJd%$_1tmtY_%^Rz z#aN_$bgU}l1ND1Fdwd9gse2EKRcTM}h-CI*CD>g_rsIy!Mv?g!AxV9!mhtNT0Q==v z%~ff)hE#A}M67I48icLfbz7xKT&cAc5u=t$C>ywdx7GF$4$nQpj+ji%jjP-WebE!4<)EQsBJsc z^6T9%V(kPv@L8)$zz3g&6q+FKkkUrPU1J>ok*HCkPua2X0cVB-L zp$}XrQ(D6(zene7;_-)=-S`fwmZD{`H&zd=$t+1)4n<`Mw#KxzTP=NnG)yeFJ^1~> zVoAF*cXo1z;KA|i)zB^cPl(UdA!J=&Jn|6B#(yTmEw4EwDeV#bl@keYL5NVFC(pR$ zpVg0Cwg%mNzKOdnE8bP|-BT8k+QxJ^m;afAAyEEvAk6ur?jM$eBbIjj*$SAgiU;+s zcQHKoj9@{wKtSR)tuA&MI{BMutNQt<)pA7K!j2lX#7^oy+(X{i%MOf!}H`TVBEy^K2fMR>c*HZmjwrO|)6FULKU0-uziP;BFWCf}qqE zQ34{kwGftuLV5SeHu$Ao;NnJrM$Z^R43g29zf8VZh?T2YjEI^^b?_2@oHpc!Hx`Vj zQB-x+gmhFFYK$)Uf@$)vl}kl}aI>$KYmGph14i#W*eWR_s>Ur0L#w z=)y4zV-^ZcK(120_N zdUz4hAd31iF;*kOJ_XtBI^nVG7c~%3tXT83JlKba*{QY%S*#h0nr95yiFx-w%t@SVUc1`~Uvgwoac=_&tak-A_ z17Bv;G&AU{R}d2Od@{ttVkw>P+?QXsXnIX4*uBbmMJI+gvGUoz@=wDVD#io568=x) zVe4(o^%XWwViH?af*2p1!9&oZSoaS9%T@s=8MjkS&-^wF8^c}!&ca*sBw5?c)CFh0 zrY*+z(mjwJu3}i|p{fmn7O3>{SmDnAohp-dJc01R$dq{AYcK`+Zy-Ik{$Vp9$7C;K zldr03nHIkIq2S)EMC=D@oEb1H$a!#zQR=LMdtebC%YS)3RT+RcmfLP>Gtu(Y-&>Lv z5W%;94i=O4K_)u$4EWy4_M}<D*i@h|6@3yHY?QzJbCBcJ%u})xVVhYijQF*TPO zyAxR3f%_b0Fp|0^P|(glDL#u@E4{+2RM2=>J3O>7eN>;XP7-HH^0LdAX&V3KpM7>9 zTeLFVwEg3Yqli4>#ZHB?iT|7C8{K^1i+C{4CDd>1u5lpo<{`%}<>=EnY^ewQx9gM9 z&x@I`!@^P~?l$=eTfAQf`ZK(zDGH9)iq^&x9#jhI7HWKj5suF3E8W_jbQs2sATWIQJ$?Dngm(ejVf6VJUQB|qA zF{7$0BX35clTc1GTiiB|?_wQ&x4Gu?1g&;sSdIkR+aJ*RNYkH2Z?v{%M?2_yBXSMD zlBAtM`u3X-4!EenppumWQ1{#l@xi~tr;}E*LNOq+rh@8JyAM0;pELXe1SJX(r0|`$ z#8(tHX3N3jqAtVi;{L4E$klTrw-F^B_`hDhf-;q^*3yoqU=Cuu6sb1a0}&=K(5b@`Z+)9&qkd@EnV6CnTLxc9h*Y;Z@Seji^8Mv4ZY!)hvP*f| zZRs zh(#m>w13iERK8qJhU;2e_;tYoW?XU{E~ySY59b~ZPi{KjjN%(o7H$}pNi^q**M@J6 zUSw$lk3WXNUG!6$2*1@1jv-Q(*Au-Q5UP|TPgD5%%mUiEz}vTc)<%vyyC1O1MKpz~ zc@d=Z9ee$vP$ysCQQ_l=?d{g^HofFQ)T$c-b>0L?`_Wrr)p=ifJKm{oKz1d#;Jjv3 zPiu2rg>&eu&mg%ayNGes0n-da^ju0})Vt`ffIcxU+`RfWX0Px;30U>KlnrlANWLg6VZC)l~It9ZiXyu&2$Y-=21Zn9?UMPf`@@q9MU{qe_&Xyxp( zE?!C_vYrvB^BaY+kD0(xwO+>w@BXJtP98V=Ouy=rM+yC$%u>$^27#ul zsK!U$h7HDp%aYsdoswdQJRzNB1U72CPM}7|M6=oHu*1hc%RPf)rERZ5_5(E)g{(@G zt*q})`7b28m9zdr6Kp;fERHufyg`m!pMFKU@l(X+`}#h=rkUZr>=60_ntJ_9t>935 zFQYa8+G9<{jk;oyV8Dk!Kj8PQuX88In{nLrOtkS+AJ|>bRq3Z;FF z_V(0bW6nW-d7K=U!Rlt#Stvwam0HP7zfPI@GNHX4ZfioIFAGh)%IV{F9JyM1XyJnd z`>Cov8qAj!)M_8Ik(SU#d(`_9Zd1ebNm^trR1Y$5DYbN+P&=w$5v#NKa!p{QCB|T! zt;w6^M`=}|VBdH03$_nY%Qk$Ik|<+SQPtGBRQ}}i??EV?Ybb*V*bBSKTt8xpYDr}+28fImajZ~wC;6ccI!w9FL%|7 zv>C*F9a{GnCoV2z*v8r>Lc#=X74G$Z9=;P;;p1Q^aXLH+iyHX(7j7&8pL-qawnf$6 z*KRJCqTYK$iVx8cxeU}$Es=f$Nd8c27f>2V+yngz_`S=jehN+FxST1 z;G+q!t=iuQOdE%c(9~0loH@SKT66r=eh=2Vwy9vLtl-`=5LXt?6>sAGAcfi>FAms; z1uRjX_nvZo;upxRszM#$)PJ!q+rO+XRw_gGFGYE9)bkpwoN3p$CR%mG#BOodS^etJ z%U_FgyH_?=zdRO)&?>jc8E$>C|6DRIk_TG}X&s*iI%wEL-9BNg{$zDw~a_dwt`$_sEuRwe`1Qp6o>%tWI%m@|W z1VQhUEjdNd_A__{XSMR#?a{p8fb{vD%WZ@b0VMh2jBu@+ z5O-&}oxg;o?yslVwFb;v6))K=bUn>8xB@M@3P@P)QEoSz@yOYt%av>}O)TQ)M78AQ zd-z5ONE`nOGp`};td^mdk3Y~aI6k{U`BFQ=KwK?rZ=ZCXiftdQ#MyKAyg3C^4HDCV zIs|DD?q=fgSO_V$c-CR233PrH{#igGvE{tjcz!{0YJmITf)j+oqrV@D;O0jr!i{9K+xOtr>GxuviS%-@0xJ9 zemduGPqvt5*5;ySe?>~pN40=l`T$M0v?c-3WHIB1^Lf2BaZ^4H$=jK)IwqgfKo&1V{cg7D^4Ul8y1Tk_B52zc(79Q0o?ydhTH47s>$*uGvjKJq?HiOKoqz!Z zsMV65wtMg{-?0H7om12lg7&?_=Ln&z(L?|ZM&VMzm}S)l)?|B5CP?$1tAGn`{q4t|9zQvxU>$X>B;Ikdpzj=N*e^ z;?aQW``zJ(jl0d%MB1M5_bpeFW-7Op)6Lr=SEGkrJk7JRP_%QD7({$M+r$$J=vtEy zz#I`xrk2I2$_ekyr2V=Bn;gHY6*%!X)fI`ae0C*FV1baEG^5qsD4?!n(7;Pl_-)hG zd@1hvs7<9rxgq^~Vdnyj=0^xb4m3Wb?VCSX-2sajdwupyk{M!Z@%nN&WKm-PoOHh% zI-gqus9)zUW{U^k9a}+I?_+~vfQ${{hffO&!Rwi6jd6N;`}_IKepy!FfW3Eh5O{5h zca<1^Cm{p~u&qgv^uzYT(;F9X&ue$e5wm`1o*zS?Pi1*crcrwB1kW|b4re;AYFnVl zzIasO?B)osHn;UI+tZp>z4VCU6(o%Yt6y1o1AcWDAzSU82N%TvTCKYyG1?H&W<9ldl~i;%%Ew5s!faA%#Im)keL1P-zxlZoNbu zCRIORiDgi*tf#53{_O}D-T1Jtx#j(UY?;VJc?Z4jabbQ?%PFh!{S)J{2ca|PMlIyP z`25KI`bhOQo_ZxwIcrwboUkS1?qrA1VYZVH;Zl1@du|LgGL4I(K%5+Mh-{-Dr(Gn# zsVXQ96oG^qpZFv08C8n@&RbiTjrtwc(S~K^y9`!`oa>gV99)FiiY6>@AE88+ScHSK z?)PL32lFPvCJ}YuT=JDMc3SZvo3zgmS{;|nb z&t@LEt*`e;f4h_BSsyZyxryB7>B`o|!k{WG&}l&%5)~rb?;zgccDy z-Ey>V;KkfFnb4C32G4(aE;0xqeTK!`XP(9Ep}vLL)H8YcH)KC{m2;?X!N0e}chFS` zTpXYZA!D+Q=RuPiWH#axFwcT&Uqjv_2u!e?T7I-(vf^!9+^xrbN1o(aRv!u-u6h${ zKg1kRdL2VOp!~Guh0h7V5vhLWseTW~n)#gPoFLAch-YUq##80 zFuq)T_)q~b42Wvr|p5Q?01J1j8 z&j%VFw*ukw-X>{_4%zQp`3)MkIxV%c?YlQF)(`0|%9jc#wM8W`R%@}qpOLiuClJ7{ zm|u<+IG6$aa~8a;iT|WqhE{_$Sfw4bV9dXj03z(;S>gozq;Hup%@KN9N_3`k_MZE* zC|jtY!f_(WKN+(qf+cp9pMY1;zI*dVZZf+Mj%o$iA+XaVi zR1k(#D4$X{ouzxL84~P#X!2_1>%Z!!c5YzyQhne5?&Q$Pv3wC7feGuag+vwgg*k7l zoQbN@$p+(66BP!p0RO$`GUDJ2Y7}L+3hB&=zdZA90_g9VzrGg#hr-6{pFC-#43G*7 z0&_;%VTGY^C5Jk&yv{pW)=4M>VBIi`HyF1bXj#_=FX#;lHe;J!W?$7x8ooeuQu|wU zM${8&fHSWoT!Z5}&uM7Fo7$)y971$K_%qx6V9jR}vvN*3JnR@buNe9jPoEcb<8D$O zc#{@{=e&vM?;Afk+L)r-mSSyVqq`*1dm6OXoNIj>Nid(AS5s65ww^Hxy#=5DQ}j_? zW2Gh5JLRY9M6*pxR{5^8alj!5i@(!4J~lKuu3k}UCT^zeLnMm;qne3S*fbB|;qehk zd<(rx7;=pU8_IIgFZD86CPGm3)yi$}guCaJxrFv>o=tS3Szq z?<&LDb|b&8L%yl&*sierij<6}3}?F`M;C8;DOGK-jX`hKo9t*x5w+p&uZxC!(YFL= z?IcSzp?X|>{yvI z&q;KmEbwhvcM+r;+R`6m+MA$)o*nRfW+GYb*#>tPzAWpiA^$6|4ojLaZmd9$3(>zp zO38)eXSAASni($}sCPDQ-X*9`3fK6BU58wUtgw4UMV_=8`70@+r|_&dHg1exv61^J zVZC|KNwO5qeAdamuJd!StlxLLW@{4%E0Ikyiq80_De(Q`zC?>F%1wkyGy6^H3vN2) zv!AQaxTgwcggI@MmGz_JO=yVYLIOnIJQ#A3ngc(!WuTF6zsFK|wc^pUbv@kub$tIM zN<#31#F${fq2`zorg%1U2Ofg!?_3+f=G-uOXeS?5BqRC+Y_v<=tVFOACX|f!;GBsG zc)ZyVROj%_<&&r}=udcPaYJ+=1mfdNepU^o1q@U6htUP0a>JW-*4SJ( zU3}gaoL;VP!ihpYBpnU*p6_5 zD)!v~%HUPXdl*HCt{V!BiR7)>hD1qDV|aq48hNeT#N3S{i&r= zXJaA8a~)+M6F;rP>9whcVg(~e+;qeNF(@Bg^x$(JajSBXL$TlWeak8)@#(G|q-O5u zVyzp{GqVr=)c-@z63pab0^U!dK)9oTGw|c zznzu5zg?$0gS@4$XE!i=bFc$g?kY~a-i0vqGGq(@cpo6sFQ<3*#HLkb0kj~|+si$- zY2cSm>Q#z+@WtS?$rl(a3p2UCtNC!#&nJwQQ75IGqlB}XDLPw~&rC`&E++EL;D-V6 zH-7Jto*zX(n-{I4${#aywYB+cj|OTkzZtoRfgSuU+n8x0RlckI$axE302|*0U-w-9 zIaTQcMg?$ous_URPh3-ye#g!Bxd0gZ9c8f~D3ei&7_kwWBK>J^&4fq_B}aADX$9UBmZ4z7k}551xBus!lT9C-s@j1?L|$qPp9O= zq8_-GF9D;vJt zA&`(S+^WA(8X zHNIMfc(^&vYBgEAzdJr&orHtUukKqBYoLc?pY}L}ueA=M5&`%1f#9~bc!|{?4jY-Q zUG^JgwbCqIPE}4G`8q?wjSjtx+U;*D6}*gU4(E)Dj(!~BAaLLm$Q?)E+U?FVbq@6O zBo@<4^smKzETvaDwAxelK6Vb->F_vgRwSbFQgW`pZs zv*NrOev3cLpSk|a`0k4ER^)W3@rZlD!ZQKX1_~Bi5T6zwrm-f4{-Unw_3Wbo$~rQ0 z!PWhKgKETvvmI2biHt-SQXcW6JpJ-P5uhSa3aAk@8LYAhAAIsm zxYz;dnCyT(B;DJho}naLErxuM)<+RXlW#vCMZhPQ;uhnUvyi)R&c(PTle$jJfTah4 z*3pL__f7X&C~VYM6pLSCRwRta>-wgkQGo6-9Z%Dx?J=w!9!kUsYdcU%A`G3zz-8{ho`z z7bh3rFNQ9PFZM1{-PLZdFR=Hk_eo}%{tW(!n$;FgA}C_mKi#)!c`rt9l%Aa`E+obx zCM3ov_DPJLT0uo6<#!OM6io&E^N)&x;D88Mfn%^vwr}9H%pbA!_5xS#KGfk{c z_o?^`eWjV^2Om>{zt}@)$z6mAZb38!IM>)bw2QHsn0K%B(2is#Fu}2gXl=2ESVz*i zacr<>xfm%^`U^kMZ0?5@)~|m@DR!NHTW>Wr#u?NJM1QEWjgSvSjBE*No|LI71yBIzv}LZKxoDOAkN6Q8aph9UyRP zDbS62g&Kmh=p;BOxf~;cX64*?{hU;6=R>O&*3Q23i$->5#fZ9w_3$?synUT-UVaGl zp{G*8C=B%Brn2A=?rYY<=2lNOMdO2A2Tv^nJNk-0V8W?QR^|_NgcCcxWZZ^-1L%4~OV&k{; zO6Zr?y_0O!gs6wFg4uh&VK{?CM4q#}nw!6+eM3Jq?@fu|DS1rOgQQ$Ysb~1yOQRSt z^P--8=e;Kolxho=z_@H($8sTdwcIrp^}3a8(}O)0m!>F>e&Wf&hs&}TDMSlf}EE-u7cVvWJSHzBmIKtvAeBgv8$gs zFhohhy|F?*lF`wc$sxG@3FSYJjUVhG0$>cud*iDT?)W%|y5mT%P{u-YJh>&bdkI^} zRJB6^PZH=6Km3e(#zXb+D{7VZK2{l^4 z=PWO2AELlElCV44Fdjx#%t7(>6w~k;w%cC{Xqz=JEK3T|#)yg-2cOXao(*X*GPS(O z9oOZVU_{$sDRIV@SkmHwGM=~yO8F;C2*0e1U`PTns_wkZ&15U_!VdhHA^~O#s$oWR z6@PXHd+|L3tzb6vT}qerhF~}2$zLMX^6z9r{%B`Xcz5LJ&G-`j{Sw+1bcmp$4XhfP z3@oef`HMnbMO}CL z*M*n|WXMC?*EyX-Mb$7ogV&YG7g<6wB)>=1q;W{@iud^~#h7ArCRKQ02aBdirvH-k zdGo?2_K-s|e&f2DLvo1nG~tQf^-fSAI+zmt2NnF+O*iC8b!JVq`5udhBq zI3w1!_Z?me-HEOr!#i&*kA(PkpG?fQ-MF#9Zdue50))i1m6#7A<`F1 zQPO&EF@12rc(JP11C2rCmi!&)fx_>xw$=TM6i(Qj?^MtZrs#&a+ti8uU&T&7xfgx) zyp4(Go{&r0*!THZl_VAWOY#uAuV&H|wDBBoS47aZ*Pbz3IDAh*FKQYKZSzDMnL}Ua zr0nro$4OZ?7L+mqqa6@IUEG>SiH2w~b47*FE}QYsd`$l+5S`6FD^?(oFfxOo4XQMK zbdEhVa)^hbS8xnI(8M-2MF(C8p>0n-W8QL%-$kc13Kx6`I=e?pI^-Xk32HU^LB~!g zLGHZn(?n1MT7OA8i#;fS_FaH-nGJKzT?ol2c>HRUn%N%y_;xA)BbPoNBW+Y_U?})w zq2xdfk$_%&3E$k6p4`>P0!NA&L0sFkunQ$=vBWSQHe53m=@m=*Ha%vA*?2&$Pzv9_ zE2^fP5J5$O9^#SpmHekqlO2L9(Oms(By2@;ohVfn7u(o{i1Np}ZYdFEY{b?}w4too z{1tu~dj8D!ICYYXLsW~CNm9~@AJ!fxLFy4ZsuHii=W_LPo5z#kIWAlDyO{KYd5ErGP_%8{nHXN zlJh&~56&pg$N&-(Vv{#<(uLmY4zE-qqZdDRaAXs!L|K1s^j2^k|$ds%mvZ;A?U-hWDKgilmSq8UMt7E40x$ z5s&s$@&vATqmCyp}CnZHR^AW?X0(q?0J`I*$%`62jxM<+|9%Jn#NX;*Vh7*)zx`?R1Ci z$n4DFr}OAJ(D0E(hjb^g#PB)%`eUu|ZAzX^1y+uIuDe@LE~c-ikx^otTAV4u|5{)8 z+u7ZiOG5L(uhjg^vDah6FOm}%SO*U`3GC1Ru~Q zx;22GW|qi9!r;bB0=)iA9NNagE5y^t!#nKa(ftk~hI>|(lqONfW$t)a8@Mh5MrAzc z*qz8r)}2iDa8HEntv0zB_oX*-H&+*mKzz0OSfd?QL@kE~!sWl4sbvKgwYYJvaP#vb zCjO-LSjuO|24ywcNLuyvjJ_gg7oL=#?|O4B!1jujmXe|&=}41yzaj8@;9j60epqH8 zY}$yvGB{E3uqV{dDY9$;tfZ-!QOB3hm?dJcH&M(uk)_?TC6*m6VaL%VSj}xAvkUpc zN*{|T9B$T2LLjB-TtW7rF0 zim!9*9xw3CZOl2_I11KMr*}Roe{2%0G2N(<5%TS8-w?ExVZ#(8`5Nt!^(vgomb z<0bDPIGGyG*2?pXQ#0`>fp0Y!jqLv1qGrMg`kxywn3G;OE1_G@F*0#PQKdD$N@y9H zksBG&)MU#1D>R*NPR=0RFH|_c3AXMS3buJg-=xH(Y-zQlL~$7RRrB`MIIDlRwVxxYAofr_}2nU-IB8_Wcd-I>4uf5B8(13>!h#n+X#hPUKSquH5R;*!qye?(N5?bmbf5CWiHsUUrlv5xA(UOMc@<%y%I3d4n5uX?Q9Y;B&#b zdfFUJ0(Xo}UinFP&|flE9U@*2J{T9yG|7wTnPMDZInmw*$EtFbG^tN#`^U2m|_F8Bi2F252E zZ$?<6q_YTZl?YdFoSeuN7i;Ugj36_)5G5(9wcSXVI6H2<1E=V6-mZB=H2>%z#2e7^bT z%O4YO(%uY|3k%gbX=J_KD~DIiyEDa;&cDS=*Kyk}*!-8g`_@E{n&V;g+nGShaDU3G zXBz(_kI%rjvo4;S1s$xjNRSmndkin{tEpE{Vzjy*-NuEMayn~AF!2OdX@e&&~lYdE-(9; zqB%TU_D^JYq;Vpdaw6F}lMPpyDua!Nnzr6Dm8zwHOWYm1PVv`}TmMflSeoYD#NtN3 zbi~MJ9)O}0pE{kg^vzt<c`IZ*k5UpEtRMTh0f-ts&d8DL*W`Y%$`Xd$33jW~o)SDJN<5ie4i`t&saQoS6 z%)U_?w`bbOX{#AVktih=xWVPQJ`uLQ*kCo%X8n2kp8eB>pZOv{u77w`3HQzEm50VX&Cbr zjw31 zrClSf0~a8hG5VN7JSk1Nc!T;__YXk~K^=>&^H#mG&&|54yKcC$qSyZJYts*)Yxqt* zG1PoJIx@R4&hAXb4ilGB1sAP2p~J-KoKmFw&}YaSMZfyX8+;Hi5dp$oLFE-B01_32 zJrj$c*GU${_l$N-+!6^_ch${{>J{FO1WVw z{Pn+R!c6={fcgK{l{XM+74H8#(aya#F5|+@{)_95WTxM{ZGsE${L$oj*#ALY*Hd*b zs9K+|N|8#^TiWQ_VB|7h9E7ALEw~o5Pu(kPjV!W-PRUqv5SMe<5HoxxUZj3Y@t$GB zcIJD^xS*qqjjq40O%h%Vj_sQ-UTS_`z2XY#B}rO#?FRGY%%ZA}7j2ZhEwzpjBem_? z5y>`*BA3}m6R{zFBCbMeS!Fm4q;+_lK7|_Jdx9rm-IQT1YeYw^Hq^#v7m*W}s7ja0 z5EBE}?QZ^)Q7rB+(Qo?Sz5DRPcD>A-sEsI;D;g)ORLadxE}KI5YbkFEu?5a^k^$Km z31@#z*pgncqmZV4alhsC1BmCt6)6qtoKnS!sXSt6cCCRki9y+f-7zg3B^i!%0~$*e$#19vBnY)N;QR1X{^6+*WKe|TKy7DL-H|= zh#9V2KQ!0M%|7eP{Ktz%@qK6+hI+MVn^lc-W2bskN=8wR|@6K%d17D1BRMQGBDU zn38)3V|BDOH7NO3d6Bvv2NnM5r3IEN`|T_^zSMS>rc(|9e?Rk^Q42nwxNOc*L?ale#D1M7>U&M(0S9ro1fAnf*#+ZEGH>`G4+?6j-Q0?o5=Dj z>iP9iMV(SJ1qDx_q=NN_g#EE}%*55<*w~~s*3gX|z!I(#Ubx{~Mz7!NTi8XPw|c)w zC5;Oj2*q)ReM))Aafz(K57V zX<#5LT`mlTF4g>Df*LucS!pSJuKFga-{81punI*tOVDr4(CH%eA&&{X`ya)Vu4pH-OCa|72TE)Fm>0!&|u4wJvrz!7M{9B(Bkh!m@% z5H(lJfdYc8;Op`E(PkQRpmfY1?L_KcitZpzaf`DP_z0iqI~cBFv14K>p+(=EEnVriu3)g9Yz6RSI!SAy&E9G)%<;PI)e%bW( z#CLg5S)6$Ww@UvDt~(86HCjKWA(^4Xz*XDG)&nvopd6_h3eau#y~=o*ZE+c#F~8aRCPQ}(`v0W zjbr}dTzeYFYHHuW;L}5xBa#wNO4;fief77FZ9kP!;@yhUSIx~x`xOE3hY35pz?-em z_Oy}NSX<6G7TA|PYP*@g+`(?1M|$~9GWcl;FRr2wJxNhW*=iP**Lqy*AZ>^m180M^ zrS*FimvN3@Pm8<6$9lzIigdwh%X$UaUWwSx#hTL-L*{yw%2YPBH;`3*Vrd;5tSkIC zRCX-$!WLBMydzUwR7+MF)zmWho43^beTWm6HNR@T$CG(x3~Z|LR;g$x9Vx9zBet^K zRh?(o-9SQ?OrgRovzpUTo7ISPVNmm3V)s+7)HGtMva+u9-I%o^R^ynh)Z8D|$7y!M zfV63gC{-K}#vbQGiY(lh(-vDryPlET)Lv}>pSr3se73b#KlF)2$uufeqR`7(_auFt zi!sLW-aqMBKb{_APXDGtKC522(Us?*{~dAPsdhYz%#JbP>Qm2@^raaB)RgIaMpMt92>TMJbf=K05}itXy>dS%2P ziKA@k#!Ke4TJ0u*!E;p_4J4A`vt{#sJxqB0p6{u*z_{GAe3?l`^fDp`Lc7_L!Mj!2 zn*N~;B-57k?fQ#iZb{QQK0m}$ZxGFpxGTUL{h*Kbr-{b@sP8YFeom0aea=p3VKZ{29Ml-2na z95z!%y14Zyv_DVa$k=~<10=7NE@+n5MuW*w$Xyx1uRH#dv2yS)j3MbysLS=hwM$kD>y+0GH=*Lzt58~7eI6I%rr z16vIX84DvvJ8=^W_)cpBGbaE$3X6b~k%_G{0LsS6%mHF!hfD5l+>4k%Y#huGHYfxx zQ*t)3Q3Y_YF@r!LP7Y2K79j(BxE(WdX8;(;#th*E1EDA^63zzJ7DfWLX4WPE);}B& z6tsH{&|>1?fG`7DIoQE)t6)}UD3p^G3IGB*A}>EL6?u986Ew$v3;K^E{tO-PD>sTLEP@73CihwSuNhEsF?9ZwHz5h(d!_p%g5ZHk z2rJu(NeIgr*aKK3jNyr}aCZMCR&sZOXFbq7ngQtkeHdJ*ok=!thl! z>^6Ng*B^fPUh+lc`cg(}@}q*Pcz4%P2{D3Cu}Su|$Cr>l*eugqP+YR29!&PqC9*a% ztMy?aWaJwvpb0v9zJMLK%rgRHOckxM zyLi#tn?Ttf`A{DZ4<~dg*gm>Sk&4W0Fs(I8Zn-r#)Q*e?Of&UTnm(7Bn~OwU-&sl=^+xWYEE$WJEMi(AaAHMFh81?(| z{By`a{!HzkBj&zD{{q6j5Dwe_i5d}Gcp2`C{2y#EiT``Z7#r9+-y_Khpv8U|O0ocFEp% z>p|V`o}kHTo8@kEiv(7_+4@o{OLRJZ@$L5L_C!Wac3G>*cG<~$E5mcYWtJ51;Mt?d zZXp2~8+V!h&`{KeOC2>TW%L@Fx?bl)nr{un9+bx?C6yOriN=IKFedn-MqdWMf?RP~ zg}$wZJaAaZEjVM~Jk#aHJy>~r%Ye^B&r-8Y_r-VKV1W;pW%Q2iP9A;kb8HY}xpvEH z5bPP}BVj$n81=Syrfbu8Gb`U>y9f`4l9|iYM9H&j9|98IpXe1xNm*JSbqqdU(0rJG z1Duy7>u7g6eEwEq{6TLlaQ>T~TR$n*BUYlHsdf*;WHvcTufASq+d6)`LE?PH*gaFJ za#YXlJ(umriYlD0{5IKjF5A000fpTfhxgI;Rk-uER@M96EGC_-Z)wfR+DdsxFKgXKx+SrfLy`WH`+7ny!J&p91 zAyMazI>n2_w5S8lA$vvG<{HTg*Ag2s@@tw6^M6e>Jp2;+G3XR+S|gu0@?1OrM6_js zfQ9J005!dTgt3rfjASgV452F%!6&Q(Ztzb*1ejjF?NDR2Hogh%X@u5bNN zx3r7fWQD@tfU|?5SPI-MJXV5z zG15}diJ8b%6IfujKkK}3_|51BefgZmWh=4 z`qL*mh|a`xdFZ#=I*MHjXDkV|Ik2~T=v~{)ZGJx<2kDAZGELv6#G@^p13nAN6TW+u z^WvNCbB~)2XB`C_Fm5;Oe*FUlu8bBpLLt|&Z5b_|LS116b^){H26GxLJYE<^Xvd$D#4osrrJv0?B}N<#{{nya6Yb3osR8>i(b3t40SD{ zbxbxy>>TQBq|Oeq4f#3annj3Q;}W+X5={u}6_mZr!Xvog7VyyYb*_3h1d_}Ny0d_N z5=co$gJPiYSg~hn0mYqpKATQP53(`%RW}iy*&sw~1ZgY7c#W1Gz??Jr!sT@HDi&80 ztq;A2W2oMNt0*?O^CqGZqB(Q8Xx*+)XHBZ3XVf>JdmLlY_t6NMwTQN{g_siWepE4e z_eDm=W8~X_w-*VKkw!+~N|GARr{a}7nu1kNnB_Grs5z``@lhj@EACe~&ueTdHpq)c zD7we_u_E<*bGEsXm!d6F^SFctOImq2GkSn;x}<7VQK23`u924N$z{YN(KsT$Wu@01q{YEf1E+HdsM`CxjZGz*w z*Y(*10js_Ix7BhApn(ySdP?XZ~F#lG!&W5>M*_eQmF`6IvaS>s!>GwC<`+Z8j=)ZQHzVNqwwa zo%#mb_B+j#PC71@50=|ab{}K%o*ri3-3;e-4w0=i-iE%&UOYNwo8WCa`HAn^(d;=P z#nM&lwsQ9v@!{P@!@Sm)w?Y**!7#_oil!Cl)xPI}&jWI@>+Q?Xc%Q&%KIpRdw;x4- zka@tgPIFhR{)2J~s}ZmK@bIs9y&VIYYx9T1mu;6rmlsdkSY=XPEKx6|SM#osOEzQY zQPYh`rh)hgD>vy{Bs*(WKUH!Ua3?>HO~^<{ayFX&mQOmOU7PSj+V#Z{^$Xey30XO^ zZ0$Jhtlom*ml9-$_GE2h=K=1y+zwgFa(WZ`a#2OoGuti8Gn*}=PF+J=?jPztJ9VFw z7R{bSZEe(NuygD!*00x3*FUw8Vs_BcagKh>1&Ha&IfqDrR$)1AK;g?TqsLU<#0z z*Y2V|UKtqszVv=-tQkT!;p;+J>M2{t1a)R86vt!m%bnCU{$6&2TYa_#EdRFSTxhSV z;lReH;P&Z~<6VoF@%7>PkMrj9l=JO#q$3Vao-DAdGpaMF5>KaLqm9ZTkAksI-p~b_()l;#kla18>+w9qAPIC^lTv>` z-g|xcu>Aq*npQJ@R3GpX39o1V5ZsJ|C-jujw?G~l{+Y(Inb7hb-p;#+I|hV|!BzHR z?I^0fmZxvE^vSsqtPIdUbZV^;UviNExUoXSsSPPjo1Y|y2C9cfF7#j$AyZ(i2QX9O z;5)?2V~h4mJPD;%pib+LQNKinKMe(24qKd3K^$9x_9b=VYjL9QA|CO)n?!LKe0|8% z$){Ae5(tF+2yp_`@o2Of#z=&cL9x~#l2@xFgaVGk;&D>PA(Eo8RwL$da)U87#w3Jt zwEFe0W2LF%hmApj(lj&T4^w4LV^R-MZ%|;!*|EFBjG%OFIa@%WF-EG?w^&L?s+Q2_ z@z|j3ggB6*EM82YF;>Z2e`BDAApM9U1T#CH9)g_RJ9LPg5ma}m2Jv^g_Fkt+AHl0l z`TDSNJ%YUP=k*#DmY5ViKNn(RNkqtw^xh62z0ICnzsqlFE@18!<^`ut8~n z6B%*a&iT|q3rg5Fa}mO2&*SJ&vV^ln#p_d?u#t_a)AA$wr++$Sh&XX&fGfA{D^ol( zox(nEk$nFm_S_|aZOE$!YWOvh2=VM=B+s~?$u#Cn zp!tPsQ(9ASK>8$GUbLJnzRcL_7@@QFdKAUe8S zLw%Ho`s)Vw4%20`mI37j^2Ek)p155zl1#OKeElQgL*@_1H*bZigW-+cNSHHJ}$ zc7sL|Lzo6iP*gOh&6XHR@Jr|~3Mf3xdA*UE#hm(|Pz96m*7Cc*%GRfXZ+ce% zU5~Dm!>8^uQrx<=dc}oO%GU}yMS(_!FZzXu_F?<-L-WB^s9kyZ zcDuMlWDNag>IqLMyH(T(Ilo}x4sfjt95%bxRDCw^zZ6h#JO!xPW}|&d`Voil{sq@( zuFto}5;t5=-MzVsDM7^`@dq?d=!;{PYnN%aHlO36lf-R61}A~LPPZ53+}zChY;HViUFED~X1P+> zwMH*&po0@BC!5oSj_KS?O_n3MXQ zLUAMxLKnJvyKu7^KguFg9gRHOE)X3^>lK`qDWMP?ex72TM)^rdI=&oq&<(tSN`sNo z^8KU`DR%}F zy3U*1gdx@n8x$ITI2g^f6E)q)(1_GXMn@=Fqy1v@6@t~z{Y)A!U27H4`(^gYSxOaXv92F`y3gl6z!;#=LJhc6?LZtww_wLHS~F*EwswJX*2B&w@VWiL{c) z@HY1~^N9ITS2+DB5y;sb1%oOc2UWY*GGn$X`Bmc?RmX+7Q*pv64}rbsr1ySDKl;;l zB)>O`yd&j!X^GFhBMv5LJUSxC2MOOt2Mf;@#+5+03Iziu3Hshf6!PC(YNO$-h{)Qa`PZ-qi-`_1k%u2 zK}V}}VuF#or5h$CE4WVI`SF#+cCucae8q_reTnOPe|HPQ{jRLZZFx|)k?XR!d(~>w~&!1O^h37Kv8=qxsGGkYt8O+{)V@Htr~?p6%eo>Q2sdKNPBCEme@1 zacCi8H{MPZ4EJM@7MHmDR`H&zk=&yMa^0BdUszGH)N1ruDVT58o4T*Q>voTK=ENww z*=Tg*f*L)e)no1WJ6GKW_tgoLR6X0l9seylQ-mj|+AyC^{`v#526pW$W2zuzf!a2v zfK^8tB-QkaB~>#mGaT!7joKg-S29Wxo**BVqOXp!ZA*$D*>X%QeO?tw6f&@J4~W>S zW*^&qr9W?K_-XedczE!|&x+)#i(ED8>3Nn9^H^j|YW=CS?q#ZW76c~% z*vpustCOc_RX&` zW+0Toi@#_9-lfeN*EVkW@4+O381&3>3FetCC&o81_I@~>b94<`g?N!Jnsf{sQ9Jcf zH>@m!dIHA2exoiU255#joMK%;=t&qz<5qj0ZB)M}Ou2sku8~U6zrC`qV|c}e)j5Jv zyPCB&jfA>Dtq{~tv%#(-X1hTI)?IPA`Jjk>vRpEX8PX1WxL2n$3wGxUNnPm5kA;7) zNP+*gMj(kORH`6x^%#))P`Xwh;R`LIs?;FE!wd3gdy3@H3M$PkHU4Ucr>W3XerissFBNnK4x=Y%t^I8XWb2g!MSrf zc2doml`tQV=R*yIHp$OBapF^oE^!x?@+U{L&plW?+j-2Thb^*Rv1WHgv25)AjKqt@ z??}_=&zLZ)3ZqHI^p*$tSzGTls$e8T)lf zikHj>4{cE{78vgEUr#x^Gs70Dyzg2@=W`gR=tjL`Kg6Y4X7#tYBVXX=GSVCRF3@p? z^ExD7`YuptnkWnu66MuAC1#?mv_u&cju3~qK-n_$M8MZyH$=cQE)}!lO2x!dn#HR^ zrEs5@rCb11E8v(aoGevhcZz-kkW|WD2>*`7l&m<}1<8h{+@cJHAYSTAW1es`Oe$>}8(c3q-w+-NG{+xf-h<<+dK`r2x!jm-n zq+`tQlW|j^IOV|;`$e1FevL6zO0CdY5#zMU=ifu?kCI6y z?ltnz@X>XS*|Tq6ZvuQ6Fkw-V!H&v(YJwz;xB~S*IuG0%ZR?Xg%tVt6% z(xI?>7hM4)Jv8wgEx z3T|FbGItYX0S~E#Fo-ZS3pT!u+i?urxEdan6>V^BY^uNdJjI_m#Im~!t7TKzK4JGZ z?3N}K@Wc1UU*1KF?DuSE5Seo0&Aa&Vy_&XT{dp^Wt}wll?bfG zIFUNL4x3T7-7N2_Vq5Q{nfS)80+R`HGo8X1q;+1N3WAy+Kduz%MA9Jul)_R%77^00 zS7a`{l7RD&*xZ4yjYkySGOTiF4D1ugS|qe-Ia3Z^Pg&F-Unybp|JpSCj z@$9NlKHuXXHB25!xkfAW;T0{_iFWt`CsHnMTBj~KJ9a{ zid~Z|77t_+_NVMS`x;a#pK{)NvgnTPhS`6#glfmW=e@Nt58jg)>5 z(ctwe%^Rm3@243d5mCW;D6NCSPfp&jx6AvET8t@Z(VGrjBGw8%uhvqfL1FOq#N;bO3Da|pYp#U}dD-y+*&+VYM~=8% z8LQWx6J1ryR}T}v+a_30?(`3oCv^^pEbYfQP-H+Kooxu;z7`A$h(J!ot)(xYqHGZo z>fT2|7hn?6tMO|>ctJS|%DuUIABjR7k&e{Fz&(=5ZcZnWs~R5=dca%eRp2EY$IIi^ zOO7v~%6*l_msAI9{&9EuCihuec7({TS5lEpn)LZlY`xhdtNw6BUQN5w#cWH_Sj&7- z3ABwRW?szk(0!A5AymQn6wZ_YdHb9uB*M#g29s}i_P8YHdvjHZqE1-F*lNe9&t>_+ z*4#P1!3ZSN*O!=`G8fBrE;MGxu-=v33N9b&Te(!1ahWfpv&x=j4eq_q*5J1tQB)`` zX9^IOasV0`^M2W@ZXSC{HBNF6M*|ggc(`CpYMHs=_5F=+Ca0X9H+o)rZ5{qkv-adK&k^cvI4Mv|dK*`Cd9cYEINkw?~g|kNg4QltGp_x*NT;DIyPXh;eFh zsoCsm@mANf4%kgnAUNu;%g_`W$%wDCv=q!nHN$fkcC*?yD%{}<%*QxGtqX=Ihm4b) zhFR0}m!-HDzWXE*f8#UU8*#+4ySsQvUqab#scSMj4`1eX& z4teE8Y-=B_*v?|I)(szip`(c}Gv8Wly{-Z>wOaMGX97#W%*%u`yJ@ZPyZdkdJlO*C zx&L(Ht(U+3z?XJiX*!*WdSmo#fFXpEP+^!=_Uz+H(@BQX*KiR-j1er0x1iCa(C*RN zvCfKb6z^wo7{Xb9YR<{7nAJ}c;5yNN6K{%0X+zBSEU5TS95>5ZF?( z9YKcKe{_5*nuoG!=Pxcl>&+(3X04s%)$AGJmD?7QP9bjFWL(qc+tl1Gk+JsUtH{HH zjiHB(&;PSY2>`+uqR;4wDn(*D3TVV#eLPiYWyLEYon~IuUUP!Cb26 z8C11tn`xVRZ(sbdaV zBhnE0d@KjDF} zUkzaHiLmcJ8&{qR?MyDCmwl2%v5D+Av)Pz_QmzPL4#If0S8ELnRZviC4;!1h;Bp@f z%iYqp*63kkV5T*|ie=PD*;zikJz2cycTdTf!KRuyp0CiAFm&~v_|&oTX5);Ug@|~D z1%u{OU|c_Pi}}ovWmVhj+zCMpGYXdUap7;k4C+@!P(Ax&*|M9?(3HxQPza{&T$3jc zPV74o2Z2GE`mF1gfab=+E(@{Ggk@lb=%5nY*iFugO2)CwmS6>BLSVs-8#|yW6dUUmg=O`0@TiV%2dbAcqy$H zxXlA*Ri8A!qmonE$5XaSNagmt!a1>Ly0B3c*wLFrKyFDUU&q(>zzOc}h?xvhgsvgG z$m9uEF48${A9DuJ5>gDL<=T*mZ%kiWjeT$AJ!d57HA@#;ZL|PwO6A#{=vP8!8lQlr zO&Yb{J#3OHV;r?+*mLXk*vIhp|BBJ5Bbf)kz4m@w07H|6FOOoi8c`=vy#W2y9>({D zHMO)B_(k{Vb))yqx7{T%q&@fjwo%xCnx04e0sPs61Xd%j`px~DW|`ImBNB1k!)Y?2 zar2ngI5D%C&ufg2sQRr(3B2PVJ)rPx20Tr?ct`J0b=%VFgwLw62zQu<{uYS^bB(^` zTL*aa>743wpLoIUA+x3p_IUAt5WG)g>F%jQ1<15z-M*g;`vUbgp=>oMv^|C!BIzQm z8|!AOV~?wyki?Q-V*D@{X+>&s_4)3%tH@B0<+X03AxeKqa($xotIi~1c)45?{Z zBsevA;f4Cp0%}FwkMIGSx!E~{Ytgb<>jv>$o!V4{g%F!|RB0oVMxopn-#pFphe>V| z3FB3UbL=`;Gd8i7FG#4rX8EN(?L)Bai;7dMT@`^5x?H$c^l*9qr0j9{N!7VhkdtmJ zikv>Fh@&8gI;Mm}74j)^XJgq9+H0J{A#JnGl8b^Q=hrF27-nf>Hw&I!IISI#fizKH zoA5n1L=)(7+y<)^n%7qC9$&WPAeXME09^zyJ5SmxHDLKq08I5+i`>b8Pmt# z(^A?T@R+u^Bkmff?ZxbdtW2bzxZ6i#<>cio$=vnwsNI3dPYxaNm2!LdV1C;P=oJ+$ zHk5BVS;%n|_aM@u8wvc#68>*N3wOiuvQiZFNlS~#vJf_;;kzVXHcc*%v95VkGE9$xHNG>^L%F(5N#Fea*mhIVp8tl$nqASgJQxR+uL#&XD#N>oNL4hD!}1}nP2KOQr-t& zWLg3jGDX&b*u`zARC|zO1Sl?CG$LsY_@B zU(XKh+#O|Ey2dTKYSXItXftNyD_@9rhQd~NPco4U+2Apl*oC4v8cE?sH;-8W4c4gu z7GQ!kC}6Io2eg#iqQ}jMny`8e?wr4KMXX4_>)CP6USoBs@t~Xgo|H7#=XVu6<1iLl zPKNc7OeUMdtlERIEZX?&@*Quez?paX1hpm@al`_HXol>gE!db$N2ji~(Qqx-< zzN>4Yqs>6?@%x>x@b7y&TOBRjGeJ3}^{-qIt9jOyy4s^_X; zIw>htdUThslDCv3DVz*vvyv7z?h;<^dIjaVm4&s&CVB<=rP3uO72RzG3k4*jajyyr z+g&Y0ym&CcJ~X^~mCC9KNorFyhoYH^I>g0{??|qut?>4a`wrSx=ES`@$QOo_eJdzHPD*TxlfIFWC%JW5}R zrI@()-Gfx}lY&$C@Zb zL>g-?Ih}<$x-S`uSS_+ez9w?0Sw8P5wk(*+Bzl4WAQ`3~Fa z#DE6b*HIl!<&Z;hq9av4+5|UYtp)G>W$-2bPuQxS*y05FYPSE>LKA}OdIyR+Qh0UK zBRMMc{>gasRQ0x`<(Fn}k~Un3reBwpzk*Izip*mD)-sQ-c zyw-x|DI0|FCf84*fR(Vc8j-Y)#1z2Pf1N13?lY&Frk1=Ju zd43IY>i$&g%7_wt7&cW!axK}3izXK8YeLahX=bFzjWTC-WJ{>aWD>`iqa~<*$YNoK za?UtlR+fr}nnJT3y<~M*-0%6S=&AI3kHS5{aI6&wyLYGD6W-JGr{Xir}tbp)6}TPj1}IQT|RjN;0T8 z!$Kul$|pC$T|WoLtTUe)=XtX-e^yt!JL`(Ua~JbB4Av3RZ%bsnbB z(pZ$fJfCnt_idA7B+~K2FySG^iOX45o22R^mvWP_va%Gn?pI;D|y zQIb_NKD)&RW`PpK)u#FxBG#ONWKG!u%$A_ZYevVfE& z4o*R;!q<-ub`M>u!xq;WzMX1O1_v%KEEI<|ldcl0sMu_}uevt~eXYj)LPfH6iRVY& zsgNJdfWrF@bMvHRFr<{%S;`?#!9qb(UF7Ay{OdPKMTYjy#{78Y)5RcF(#f7drt_af z;b*~Kok?$pc~!s5qa$=iMeXBdHesd{MBoKX<<1}Kq83Sszm|^YBFIZJyqy}v(?hkQ zS2ay*O@0?)j_OaXL>6({E$mNl#{^P^)9K5y_4z_KT~A~v8cd-sTq*F+>TnuaJlQg5lOt1Z(&YfNM-sy=G#11kk* z@^?&3qNtYs$K80&k76;TGx<3X_`%QGQoqX=lgrccb{KniS86$*f%x8Sl)U0y#;oo! zt1k2gJYZqhW34P9-`*QUvJ~rnL!UNL?V4wxTu=Q9Nlc9Ha7`w3S$J0IDZVyc^J@Gy zs&Il8{t1G|N_6;Z9~31ebHUN8G2qFIL_qz9 zw=fZ0NvVJ_rlM>_a*CV%u`hXi{2S`{_(QjOFU{GaMBax)E(f+29~lOm6@kH`x?yWS zg8-K)K+dYVC-y=Lg%6jKZdQGHO-9|cG}{{5443Qk=P8hWqD>ydZck^I=jyTG*Nj z$QpmivpRTmqGDZU(FvH*5AvAo5F|O$*%ODG%ZHDhpO6l;UW5pIp+azvCO9O+ zdhMW4ccga(DOwFVLJ7}&a{5@+Rp*Snhuf_zWR%FYEw@YjNykm*U7YZ>liK-K#NDFZ zb-HvtpO1)7D9+ij+g1AbkDm2NaM_}hus+G)?=m8K z%$yS7RwP6>7RV|Mzv)C^c(*bW_M9L$yTBuMAxiF$mm5YgexEmVs}ZNyR7eWY!LbKD z@|O&gu;cN8@g@{l_s0*I^M(=cU#JoYEwLu`_d?)@FVmIgRp7iYgiMd{Vg^T z=m0dVnabU0j%;Jp3U{F%k*bHZ0kPHj`)Huvqf*(uwW1SVYKT$#7E>p zH$_-5vk;h8KMXa&UQi9+tPPv*>6gfrpvyk2F24rd5I&rvEs8sbKRmqLqPzB958 zdw2w_ID9yd*dE8ts{{G?&O}q-1}in>oCF~91KUSCdu4qKJ@Dc(U*u+ zcd;Qp-0Rs8Azg$=d=ZyTRCk#nFx>tXzsnWDo1teuT zgJ&Y`Vq{@#AY^CjWM>VhD*VAQ;rI{71Pp;PvvPvqf$;dlz;%7tRQ9%HYh9SKR6{EtbYdhzo-RlY|y_F3v}W} zZT;^#C3h$+pG5*3kSwSJgyWSBr-CJ~ANG?e<{L5!;oW#evPk4>g62|#w=g!$^~fY= zqPVZ@J4($rWvuG+a=IWQH^N(U)#{GRr4BiVDmpeAoAG591SJeIGj)s`+*hYx44iR% z=$w6W#qc_~WGXq#?hZSuxYh8jd`hU;9D9y4tSoP2sv5k?xYiZKwGtPvKRamt%<$}= zHx3=`27WBoozb@vspK>>Og&`S`V_7QrOJc-bQ^xfu~Xzq@#<_!aM3e$_90z>49(7Uw99+P^ROYYH`wx`4uC1(KQbVk*K+>?*#02{vU75P;l}?egKvW% zaCX{XWk3#2)_YdgUu7U5loJAVH$5;X6#SbEZikKIcY6>h6bK*h zf9(Ul&Cd3lFK`(L^tagHGB5||@5jR9h5YU_Tn)_jn;t6&3g@!@{a99ZDEJ>fvqPb5 zaFW~o>(88mL7?B_fK%t75IBM8uX?PUU?|7$Ie>CPLBG`l$PN$VA9`?F-*0`u^}rmz zh4L&gUEtsWpSCnxAPyuhh|K;Z8(2oz2w`^_E%3i?N0AW#n0 zzx3hkXaFZ(I^L5?l`K3=?xzD5MLRoZz|FA7>aQ_CLf%}ex>|EgdOZLB7 zx>x(RC3yqKdw%A>y1TzPaRz7sesvCDQP=V+nZa!E@%qQxdv2eFGo0v3 zr_BcDg#UuI;XsnUZw&4m!}|t!-vI6#f&1pyUX}YT+WSW7zOlP+9Pb_Ay!?Oz?8GqJ-^{+nO@A=<80)IvOmtp|f*x=WV z>>O|!?XTke_i8x(mc2h*{C{`#U$BvPG;sxR{43FaVCZjt->dy^zd^r({;S{Y_sL}i zvHzba(&zHlW&^Ro)AmRA|99Hp!}dSj3&E-3aMJhR!Ki#6zWaZHk-;zPcE&D7aC-6I z@cTax`PGSqovkpOjtrm!v4ZYTq;QbKH=uBf@S*(Q_xw44f6W!}`2qavf&v5u0`Jp% z|N8gI4=w}!<3a%npD=%)Y@tB-DdHc;g71;?n?1-sCTb|$cX(d^t@r1o2mcuIYu3B} qq;b#lzCYdEgZ9@H_-kIfpSJE{@vjLF9@<|gK@gn4`^O}R^8WzH)``Oa literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/fifo_simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/fifo_simu.v" new file mode 100644 index 0000000..1bf4acc --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/fifo_simu.v" @@ -0,0 +1,85 @@ +`timescale 1ns / 1ps +// fifo simu +// 2020 COD Lab2 +// ustcpetergu + +module fifo_simu(); + reg clk, rst; + reg [7:0]din; + reg en_in; + reg en_out; + wire en_in_in; + wire en_out_in; + wire [7:0]dout; + wire [4:0]count; + + fifo fifo_inst + ( + .clk(clk), + .rst(rst), + .din(din), + .en_in(en_in), + .en_out(en_out), + .en_in_in(en_in_in), + .en_out_in(en_out_in), + .dout(dout), + .count(count) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + + initial begin + rst = 1; + en_in = 0; + en_out = 0; + din = 0; + + #10 + rst = 0; + en_in = 1; + din = 8'hf0; + + #40 + #10 + din = 8'hf1; + + #10 + en_in = 0; + + #40 + #10 + en_in = 1; + din = 8'hff; + + #40 + #10 + en_in = 0; + en_out = 1; + + #40 + #10 + en_in = 1; + en_out = 0; + din = 8'hee; + + #40 + #10 + en_in = 0; + en_out = 1; + + #10 + en_out = 0; + + #10 + en_out = 1; + + #10 + en_out = 0; + + #20 + $finish; + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/mem_simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/mem_simu.v" new file mode 100644 index 0000000..bda89fa --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/mem_simu.v" @@ -0,0 +1,45 @@ +`timescale 1ns / 1ps +// RAM simu +// 2020 COD Lab2 +// ustcpetergu + +module mem_simu(); + reg clk; + reg en, we; + reg [3:0]addr; + reg [7:0]din; + wire [7:0]dout; + + ram_16x8 ram_16x8_inst + ( + .clk(clk), + .en(en), + .we(we), + .addr(addr), + .din(din), + .dout(dout) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + + initial begin + en = 1; + we = 0; + addr = 4'b1; + din = 8'b0; + + #10 + we = 1; + din = 8'b01111111; + #10 + we = 0; + #10 + addr = 4'b10; + + #20 + $finish; + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/regfile_simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/regfile_simu.v" new file mode 100644 index 0000000..8a108a3 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sim_1/new/regfile_simu.v" @@ -0,0 +1,61 @@ +`timescale 1ns / 1ps +// regfile simu +// 2020 COD Lab2 +// ustcpetergu + + +module regfile_simu(); + reg clk; + reg [4:0]ra0, ra1; + reg [4:0]wa; + reg we; + reg [31:0]wd; + wire [31:0]rd0, rd1; + + register_file register_file_inst + ( + .clk(clk), + .ra0(ra0), + .ra1(ra1), + .wa(wa), + .we(we), + .wd(wd), + .rd0(rd0), + .rd1(rd1) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + + initial begin + ra0 = 1; + ra1 = 2; + wa = 0; + we = 0; + wd = 0; + + #5 + ra0 = 3; + ra1 = 4; + + #5 + we = 1; + wa = 1; + wd = 32'hff; + + #10 + wa = 2; + wd = 32'hee; + + #10 + we = 0; + ra0 = 1; + ra1 = 2; + + #20 + $finish; + end + +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" new file mode 100644 index 0000000..9320ebd --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" @@ -0,0 +1,102 @@ + + + xilinx.com + xci + unknown + 1.0 + + + dist_mem_gen_0 + + + 4 + 0 + 16 + ./ + zynq + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + no_coe_file_loaded + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 8 + dist_mem_gen_0 + 0 + ce_overrides_sync_controls + no_coe_file_loaded + false + false + 8 + 0 + 16 + 16 + non_registered + false + false + non_registered + single_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/edgefetcher.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/edgefetcher.v" new file mode 100644 index 0000000..e402c2a --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/edgefetcher.v" @@ -0,0 +1,29 @@ +`timescale 1ns / 1ps +// edgefetcher +// 2020 COD Lab +// ustcpetergu + + +module edgefetcher + ( + input clk, rst, + input y, + output p + ); + reg [1:0]state, next_state; + assign p = (state == 1); + always @ (posedge clk) begin + if (rst) state <= 0; + else state <= next_state; + end + always @ (*) begin + next_state = state; + case (state) + 0: if (y) next_state = 1; + 1: if (y) next_state = 2; else next_state = 0; + 2: if (y) next_state = 2; else next_state = 0; + default: next_state = 0; + endcase + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/fifo.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/fifo.v" new file mode 100644 index 0000000..3251ceb --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/fifo.v" @@ -0,0 +1,88 @@ +`timescale 1ns / 1ps +// fifo +// 2020 COD Lab2 +// ustcpetergu + +module mux21 + #(parameter N = 8) + ( + input [N-1:0]a, + input [N-1:0]b, + input sel, + output reg [N-1:0]o + ); + always @ (*) begin + if (sel == 0) o = a; + else o = b; + end +endmodule + +module fifo + ( + input clk, rst, + input [7:0]din, + input en_in, + input en_out, + output en_in_in, + output en_out_in, + output [7:0]dout, + output reg [4:0]count = 0 + ); + + reg [3:0]head = 4'b0; + reg [3:0]tailp1 = 4'b0; + wire [3:0]head_wire; + wire [3:0]tailp1_wire; + wire [4:0]count_wire; + wire [3:0]head_wire_rst; + wire [3:0]tailp1_wire_rst; + wire [4:0]count_wire_rst; + + wire we; + wire [3:0]a; + dist_mem_gen_0 dist_mem_gen_0_inst ( + .clk(clk), + .we(we), + .a(a), + .d(din), + .spo(dout) + ); + + //wire en_in_in, en_out_in; + edgefetcher edgefetcher_inst_1 ( + .clk(clk), + .rst(rst), + .y(en_in), + .p(en_in_in) + ); + edgefetcher edgefetcher_inst_2 ( + .clk(clk), + .rst(rst), + .y(en_out), + .p(en_out_in) + ); + + wire en_in_real = en_in_in & (count != 5'b11111); + wire en_out_real = en_out_in & (count != 5'b0); + + // we port selection + mux21 #(1) mux21_1 (1'b0, 1'b1, en_in_real, we); + // addr: part it at head if no enqueue + mux21 #(4) mux21_2 (head, tailp1, en_in_real, a); + // tail++,count++ if enqueue, head++,count-- if dequeue + mux21 #(4) mux21_3 (tailp1, tailp1 + 1, en_in_real, tailp1_wire); + mux21 #(4) mux21_3_rst (tailp1_wire, 4'b1, rst, tailp1_wire_rst); + mux21 #(4) mux21_4 (head, head + 1, en_out_real, head_wire); + mux21 #(4) mux21_4_rst (head_wire, 4'b0, rst, head_wire_rst); + wire [4:0]countpm; + mux21 #(5) mux21_5 (count + 1, count - 1, en_out_real, countpm); + mux21 #(5) mux21_6 (count, countpm, en_in_real | en_out_real, count_wire); + mux21 #(5) mux21_6_rst (count_wire, 5'b0, rst, count_wire_rst); + always @ (posedge clk) begin + tailp1 <= tailp1_wire_rst; + head <= head_wire_rst; + count <= count_wire_rst; + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/ram_16x8.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/ram_16x8.v" new file mode 100644 index 0000000..4465ef7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/ram_16x8.v" @@ -0,0 +1,32 @@ +`timescale 1ns / 1ps +// RAM +// 2020 COD Lab2 +// ustcpetergu + +module ram_16x8 + ( + input clk, + input en, we, + input [3:0]addr, // depth: 16 + input [7:0]din, // data width: 8 + output [7:0]dout + ); + + reg [3:0]addr_reg; + reg [7:0]mem[15:0]; + + initial $readmemb("/home/petergu/MyHome/COD/lab2/mem.dat", mem); + + assign dout = mem[addr_reg]; + + always @ (posedge clk) begin + if (en) begin + addr_reg <= addr; + if (we) begin + mem[addr] <= din; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/register_file.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/register_file.v" new file mode 100644 index 0000000..3b76678 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/lab2-regfile-mem-fifo/lab2-regfile-mem-fifo.srcs/sources_1/new/register_file.v" @@ -0,0 +1,38 @@ +`timescale 1ns / 1ps +// regfile +// 2020 COD Lab2 +// ustcpetergu + +module register_file + #(parameter WIDTH = 32) + ( + input clk, + input [4:0]ra0, + input [4:0]ra1, + input [4:0]wa, + input we, + input [WIDTH-1:0]wd, + output reg [WIDTH-1:0]rd0, + output reg [WIDTH-1:0]rd1 + ); + + reg [WIDTH-1:0]regfile[31:0]; + initial $readmemh("/home/petergu/MyHome/COD/lab2/regfile.dat", regfile); + + always @ (*) begin + if (ra0 == 5'b0) rd0 = 0; + else rd0 = regfile[ra0]; + if (ra1 == 5'b0) rd1 = 0; + else rd1 = regfile[ra1]; + end + + always @ (posedge clk) begin + if (we) begin + if (wa != 5'b0) begin + regfile[wa] <= wd; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/mem.dat" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/mem.dat" new file mode 100644 index 0000000..f675484 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/mem.dat" @@ -0,0 +1,5 @@ +01000000 +01000001 +01000010 +01000011 +01000100 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/pic1.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/pic1.png" new file mode 100644 index 0000000000000000000000000000000000000000..749f5359077dc9e34577667c36223ba9c43e76c0 GIT binary patch literal 21783 zcmcG$1z1&Ww=TRCC8Y$UK@J!H2v=!|n;n~EFCGc>Rx zeT#!ZQ;1x6y#$m_;%rfppO z;wv~UP{?M-*BnIBa1lZM8VHdL3+dn?o#UfqqkpLWPbu$h9AVAjyQg z=ymIMh|wUKdTw0q^XQQB`Vv|MV)*shpAq*Z+o%%t^_Q%Naj-u!NWHUWZl)0^B1c@; zJHM~bKC$|A&(8Z1a2FeQP7S-gUbyp+9f2T?eDLStIN_t3!dYM|NB8FZ^GeI-&zT8| z)36ZL+J8o}mPYW+brqh5R&eXbKl}ab5jAHw3O1J7^HIPh6YKu{VE>!}Zlvh>XkfSX z8&}RQ9=8Ad0{;Ix-BqLGi5hP-Q&UqxbwVfa_4W0mwWB3NNnodo9z2Ses%<+So&l0 zgxgJjrrxvX2x03J&1pn#e-;J^M3Jrm_VRh%qR z&?GOciT$;+xfF}{FGk+XWQ+6tC%OK0?igSX&kmKo|L{RmL!;bzE8?DBgHsO4`Hsc@ z&241O%`;Mc(e1I^)W^R*4((=F9&fH)_H^V^zt(wInKz-+k+^bcT=0|Y9(wENuO%g8 zW#Vq7h9P>Qn@;-WhUXEo&(TAEh5vvl=XhP%pgrcc50A^X>e_h4%nEX1wtcC;BAWAF zM!cv`Bd{k}cy^ z)r%{c?7iWGS9P*d`$_gqs2E-INRT$8^xj=z4WT)eJT&a!mF_&(kc2U`M(ooQT*xGqp@Feia&3gT9a$|5Xa)D=hu}zJgMYB>r#;`9sowO=yd@dNt zwraIK*zHh`e{JdJg^m~Dyv$G0<;(L1?WV=4-5GqM`1fu*OU4%b5{tGlcs|<0G4i#? zroC|As$Q-@^z2#@PI1jT()^V|m^5M`G9K$S+j@<`@E=-#m9FHCyP4BvYn848nvUi} z3OO$%Yh*nSd-^i87y6bQdfc=i)?#8LO$Sb2OgBh7j@j=G<}M_Q(MiW}9C+gjxh$lF zuT>PKSWZwkA8D7X5)iPjCx-|-H>H*JB!6o* zvH2-ls>lb>OxY8uzyC~DcFA7VTv4Ye2`3|nFEccDFVlKb{UfggdiSu)N(vE+`=&(q zbO>^DDpANyZ;NSYcWGp=wrHX`qd8f8ZK4V}S;NwXb5gs-LV_%IJJ?(va(?jO!BeW( z8$Kk_@75R65-r9K-8!+Z{yE~zL2oR%ZN{Zxf(Asw{T;h zXC_^8%tF`~p-?f>Oroww667fvs((#s(Tcx-R6pI?RnEUp?6L#-Oh+)^s7K-R$CMVr zJ*^*y?NNfVD-Rb7M=Z5-pQqqGx7pR_l{hG9Aio;>f-c)(byN-go|9EvIUNT_ubscF z@QEV&hTJUAw29T@$2K-kT*tBAD#^@o>Cdy${5U;13d&F~@}TvJ5;`Gn$yWGAq+Im4 z$YNvVjV^AhSWm*Y$5gw8bA}v;T;ljz{)2B2_9IFfM_jLSNaJPb6FjAu&VOrOZbTdOn$ts&abGPKqx|c>sHh)2bklOc|H)t zze;p!EE7FXOOShOo_mXZOCMx~@I^+6h-UP& zd!_*=pWPKvrV2h7>zk!06pcLOt?i(x-A%@EWqM`Sym*v?Q}zyPf(^fr+J2B9U-xNh z$f`rA-4b#Jl4&yW-rnBI-`tvcRzzqX zwhmp|T-0^KT|NZkIenx8Apc zsqhl-`C(^T>`cEy);o*iPBFo+85tubTM;d@MMMw37blD=1u~_!$`0p?;$$z3aFg#l z;!JTWWM5=l&zKw`&`o!ARc&a&t~xzX^2z#sg{^OpH$pztvqyPaGJ8S(n5MjT75(YU zkvfyiUW+%cbJWg;pi3sDB7ohpZ}Wj-)1bX_t@7y2n>TIWrDbJ_MSlO*_uL&atgo+s z>cKVhGet7>C@S*DC$mk}_8pX&c6^bx&P3#tKQ#Tcu`gnbnSj(yAVDH!7+aR)@ zZ!cyfS*ZCPq|i6!lS9-}3)L8<8chNvwZ_e8euNyJT0wxpxrJL#)H)LcPtu+{y^%>{ zk9V6F-ogzpS#K1pUAlc~;##^Rf5}SzPs^Kkm(kh!icdz@E1DAP7zuAmU3Q2`zZn=2 zki*nFwaZ#@CB1@Jq?vdAqFshcw)5mm{XDZo*VW7M%pX5L(*BiAFJGpp2Z?SEw<%YNH{bGAOnhbUDpA$Q z-1&ES&pldZT#qK^7HX@vPMv?L+Sq(whzJe6y6C5pdmJd`A1#f%c8l0;xW_JLDjuIp@_TstyVt_vs_63PXj>(+E%Rka zvV~D(25JJ+)<)lMHx+XT>XiLOdv!WR%gA>V1q&lZdJg_{)k5rssF18-vDsW-}op>4TWFsFEB+6V9LYx=?k7W zrRV6yYvhts>3Km0eD?ZZ@z}kJ8de?3f1tCo-?W=`QS9pyABX-9ZJaKlrOv(+n6a;~ zACPkkbNZ?l5vj_|Lmy6g5|xc~?inRtYof%!EBO6#&cmG}>Dc(noM-!!Lb=e0Wp_jS z`@GR=b@j|Sum8H5*inki15bM7OpQ%->^}ft?2OL$STaB~f!RCpBU#38Z4vY&*{-)sMVZ#EI?_|zF?W!TE)Y`*$koi?Quh>3Vg~0L@FxWiCaXaJM#{ zAaePjzV%!@q-!gxqXsJH@@{XM$L8@5Y9Zr7_g}b)`J?FOB@S6L1w?QCcCnbp3+sDc zoCjMqaj~9(bV%2TtIxPa$w{z`uw7kuQi3PdiOE7wkLTdpID(Q}_`G|l=G+g)o-++I|X*4Han09vlGS-U9vTH^Icm| zX@2}9K0O=^O!L8GVuIU+b#^R5sMa+R)jt3DzLv#s-B4B3y`$(^gSx)qH6n-8)saPd zn^1rH;Da?$mXa)=^8*mfbI~<1!?uQ=ZmF(2eZM*!cZv!+M@+SgSq$bs30Ee?Dfw4d zOL7t?G)Yt%-d{#$YOF1Wo?kzrI2;YtG&tgTW!JKZdFiN;KOekjvnOa;nSHF1_?9{aNh|QH>d=01oPXz( zS_&tl-0Aq;OoCr(1GVVHfPr5IvUpOPK3L;;wH(v;^7-*r+>W^Y8bZ!is%bYrO_ky7 z3H+QApZ?qsLld77(-D_5%FaW(9s2b58Wd zV_+8dACBGAEUGMRdYNUoi$U{EGoxs9>vSsl)Y{52mK297rnh2l#na8cr}47o+P=|; zCCMx2*DxuzN}gGgyoH-^*u3R9Mv9cylcjmIG<5Q5>XngsN$+Ux(*bI=Rpgdh)yW}s zB8&R|k4i!QCywsr4t;BcY$2~DqvETMaXUK%G}V$64*t5XYIko=-Iwu4_D9VpHw_8< z(zH!h2W{s2W`7!1TH-JkvoUiGwFLQ~;RI`MIdlt$P#x|}3v2T1bz{wS-kB42@3-`1 zGul6n4k3vl>vR5#Zb`S@>-qTVm585|>V9rz+`Gcx@4?LZAU#d&fi0<&8?DO`P16ozwl4u z;P1Zu*Gu3KcH=c#?gut`QlLs^F!5^Dhl|as%#ob6T}ozCkW5+^9y4?^@b{e3`)P z-}w_>y~W5jx%Tv>k~pO@F|;QQ+RWEniwT9^VrB}F>Gr`?7UxusKb=in#OWVJ*5Rbj z;&S(N9_OaL{WG|bY1FzuL(=m3`|AHmVoagdd4>GKSNQfRukihPx|d;RMi4sul%e1| z@RssACcU;oc?C%^^O~vjJ#S7gmQb@e*BW_c*PFFih*d+gcg7x?Z!K^T0v!$e#6Y@u zne%yQUPA0Mm+~UkdB~-67ri;1ZD(iOTdQ*0sQCnHirweeeV~=Mywx5((t(nal0qry z(yPN778yxTOWQP(LVj19&2n5oBJ_J+-b$w&4L4GsarxwEPrJxs%omIJ3ToZK)|^VF zDn1$6VvWrqu?OB$qN&S>eb%#2#KF-(P3c*1*`D7x*_+_z=H|EYc^8$rusO^r!FL?O z;*00)haJ)!Lt`m?e5#J~+n9!r&jp%s&yywXxz0pk>t8=8`5i`G*D4G<;_js~s~2V# z7Y{DeVPIfLM%*Cy#}tA$tnI3N?#UQFH=b>a?(6Gwc6JsO6%7$S-LHR3oapomgSX(* zr%#!gZFb0}rlwb~UeQTLYoieMI0h0(TSMcxf!wlu zvxTwHfVD<;aPclDt8CEiUtWiV9eFdf*c`-O*Sgyu0gSPmff- zY1|{Z0{=QMWfk4Hc{7N=v9U2SBBCXmiHS-2$rFVpx1LmK*WG2cJOgQ+$(<5WQc_Y> zZyI*?o{}pkA4I~QJ$oj#cLfWp%x)o69c!+r+-&#z3q#{lQCH2ck-o%Te7?78?V|h= z#V&9s#d=@cA6}r-@kWV!P>`R$JYI1$Twprg7-DH|elqEeySTVW!KZ5LzOsiVTzPX| z#0u@J!CKjrH@i2VALDYBesk#T?CjU4X<8L7O6U+)E{EF-ja06g-@biQ$<~6$`zA1u z11I~(j~`K5^NRBF_jGG7sSh!0mgb9xP)#=k5s3Ks_>gdur42R_m{YWLSq>4TczJoj zJ)51GX-^OwsYvlAzUR^XbHPq|F4N?rEGLeAq*E!0SIGCiM@<}EPY^L;+-TT8k6{cA zpKd$scaOR5t(u#dxcq)E4o|kwZ0I@CNg4()^Bp_Sh1h<1h}P#z)wS=dc`?B^Q||;0 zJQ5RYXlQ837%4P|pV;!@BFhQGMECxEM=PuKg`TvT&$e?NL#6TNHa0d|TH}*7-sI%u z(nZ8XM3>RfS~GSH=R1c3LvzCg+z+=i)$+J3M(0;I4-&(E6T zP*I&8E@iuxK0+Xv-g{o3QGO2qBAZ7*RZkIEx6x4weEjaDhiEf`_Mdh(xrsyqW?PAG zl=c=WugH%f+?voG0@Qif`dDn zn|a}^e%R!TOH1K^fpzj22JiYrad{NuecCD0dpYKze+;ei!q1rtIJr| z?y?@`c63}hi93-$Jl^WGwY42nyz4|Q5z2wolx%&0RvyQ~f$!D7v4;EX;lu@MS`7Es zTD02Qwv)zkV)yRdgSnZJo<5wT`%qe1I`$~>YdRY<@}MxO^lL2K*XCMNdMsbO2`*oi zc%;^NQhVn2@86ZbX&k^OS&kHDLB_OG=p3(bl}_yEu=)MCCylV#?wSuCFE4LIPx?w* zSLYCQ3Xq?W?^>kmH}wv$RusjXshv*$TvfWFMWS_oY1!-s4>8)Pmj%wVDao`*uZxf; zFQK9alX03X59T5dIz9Wd)CXqc$k;EB>=ZeA&J*t)AFU=^V$r0>mS*wdKg~H=+ntkx zscQW5GjLn!1qJp^?`T4V4!^{0Ll!(f9H@fXZm+95<%2;0(|rqiXI{nf?d|O>^&+9; z^}2?oq~XLQVYvVW*ry?goJFG;kAx&DA>p(q@pOAm7+E5Yg@rp^b`5d4rBj#lmj(qw z=M7|ZE`W`-b#>yw6p)6`x@|r_g_}M`Mn?NRek+F56bIU4Yhf2(WmJ`I*3f%e8X4sX z`^Uw_RUOZ;z|3VQxpG#C8UsnUJdt~z4WHQ!I|3>BchC6KwrF;E*!LWk2R)HT6TuN( zVXf@j5}5LQ&YQo#zi5R)-1OOB%*ehan{Yhty5^jpvmV7&rENTk6-DbKgIH8s6m#gT7oayKlkHanxE|RW<6#i>I$?CB9XtKe4d* zW{LajNztaAwT^FA=i}@FteO{dci#D2FGozuhtl#e%43aDAe=^nQdL7g=NWeP_x15w zb&^Shrl+S<#9&R+eM(LyARy2TReJvXxt(3U_v*-Yq{KGuUgxgi<@@YWkFgNzx^}jRN$YFUxof!n#rlC_DWeFbc?*pVbWS`i zgcojdEDB<6ay_~1LUo8$E#^P==Zbj_>JN22-F*6uas+}JvMeHD_6T6gX%mlsG&@r` z9KB9Bti}BiPQX&#MpiAppsJCNr{%~5LD|?kIf8|Mt9Dq+$U_PH?pWL*mh`0uyDxpw zGYVAe{)ak{05;~jyugndrOfe-x9jQGML*-I{%JfNuh%k*bI&nz;9J~(*C*!F_dM&i zK@RG=XlQ%vo4R}d&mh)iR8(f|%JP&HI)45UyD~fP8Oho9SbB-jq0{e>#*@WE$gcQp zZf-&m6rGMV8G(phy3Ug!}=e;*c>5Bu*D_C*f93B5hTQ%C){=sP=xS7J^Y<;T|uMAWbCtEbg3Yho6o~FsjP-kn`M&Z9s7N-qfjbv?DkhIf@I?wAt=DkqQB!&T3xpf$7zKx99b_s}Q|Vk3Z%(KeN4qO; zgM#9DtUuLlnOdN)5pn8FP98m?qd;ra7lzv|y+Yn0fW9y`-KGBH^Ml;%0p zLgZazHPaGaH15L4#`d##3vP-^)-yVvoIIUaF7siSVlkYi7g4e&p7Y+kS*OFQZ(u;C zGCe&FcXH+g{$ZM%{n*Z+)kp)4vU)>6l~t6pkn96}`sS(M%f z9iznKAl!Pl*uk2i-H_5bh!fUKUHnkr7^Ov}Jv)EdFG8#2H#FrdXe z!kXbI-jN;1EiXo znl#zO2$jxweqv}l=5yT=M8eGKNzjftVE{Wt?HUattosQ9K_Sw3 zfqL?HJj9SmOl<7U8#nmu=0BFF$>eLDri!3 zs;Wk%hI)E>ioNY+i`$ERtvakcEbfM@|tT%3V z8^BsIdWFw~3u3m*>rUeL@87qxvy+kO05b)**`S=Zc6K?^|8lHojRDP%{Ea$aysQaI ztmWOC)6^mXl99~W+B}UN53{wafR1yn2i2r<|5J?)38zUfd#Av(+?04A$-U=40^;H* zdV&D?sOIRr9IE<_f`&8dzBjgM0(l0II0avXa1IgO(exEz8i~+8#y17M;Wn69UmBDN zXe>^Qdy8YqTQBbul=2z{vBYUzG@wml>3;ir$rK0F1BP3-44|1)Qc@!4GW+uNtFbMT zT}i27MtFitk=vdC?x>p6ihdH_uaVUC^sR|j{`_bqW#xWoi9l64fB+*RCNARr+8EmL z3hxFzedDI~<{3DmHaiDLjZ`&F%3;eP>>85VpY{qrFty^2B`}RQW7T09#fy0B^uJae zBlW_9){N0@Vf(7wWVMd8j?N?st^n(cmN3BefLJ;b-jh8AD3ncEHK*tvVk$mS>9D=B zm}-$7N1~CVY3YeWL`3xAmh=1 zSy}Be2lGTBcP-ZyPGYJKZalg{*(pcdW}lJP%hinQoz#d)1{s#A5ekw_3|w4lUK{-v zFH!_mgw;&`z#OFdwUT|EoPotDUoq@c_P&->Wm5HUkTn#Q&StWh*;F5twCW!gb((hJJ}y0 z_7Q(Ss=G1I(N@)L4>o7)m-;o!ow}3ouRX=a#*P&lHJ=0~ObkrXurPR4TJ)vV7)^E@*O1b>qVWH@b^?`glLr?)J;C&PUc94sRxWhbHh z`t|GX?r!F0OfN20=WB?`y7^f|^>ij@y^K()`oox*n9Z%T?cu|RBZ(s&@%%x~g~E)8 zm>SE#zn}+|VK?E?sZNk&gOOqjw%ldgC?!#+3kab=;7`iE>q1sh5yG_?jEHLg?z0YJ z$mk7e<^?yx$d4Is*8hQ*V%D@#FYn)+rZDKpR}^u&Q2o@%bqsJaq8z~vP$xytx?99) ztYBVpn~KHTlI2kpx~Xf@ff8qqo$Vq({!2q>*KTC?Kga%0D6M~zLjQEOtaC6_i*Mke zsYv4AexyXCy!KV>i_(2cWi0!_c=SvYqZ_?qK4V_j&p4y{;gU;#2%>RCigjk?BiB2u z9H5GDHOLXDBD_L!@1544IhH!RH~4uug86`E9Ik69@mXXy-JESBdP&3G|CvR20$D$I z&G(c`#2D-0Tos*_#lhNPlclVlTxh?zBA$04h|KCz6O!8JZlSqvbr{jk>s;t@@kn0+eYm)~U>u z6{m3&br5nGb5{|=oiAHI!m$yNk=%g&!TP}KUhaXRCq^4g^`56xyOt!4J@+Y)MQUo% z3laZH4n1>khAtu_BV%iG6Yvm~=kdl{Viuu|9|R%+(89fWgIR!;$y-=U2jacEi<#M3 zv+4kl0DLh6+Me$HnQ944Op|!NALu(WGy*do_v&VRigB0q!jkj9pqk98%uL^X3k9$C zu*B!zAkcF;xp%fN{sRUY8cu_J6G{uN4~cP`Uq4<$>S@V0(i=jcCfxbcn`% zL0^YKV3a025Dd0DIjJcrFZNc)Mv5#$f`ZyRIvk#RoDndNi58_d=WB6abq*}M7nM}@ zFIo_@XdMGj(ev|X;2Dkxdh;edD=R1@gxi8t&}BPUuQ5F%19Aey-^n1Lqy0&5pbGVY zuu-=hDvvW+_?ZH`!Tm19yFvT=(A+K%1#>e;L`td+7^&Zpii(Oq>g#zAxla5~rk+Sk zhg%w=I0;W5eCW$#@TKJmd5!VS03L#etQVua|Ao;v1gMDMT%Jg)ebl;t=a3XsR1D{^ zVwX%=BF&@v%D(7z-lBPQQt-|`vCod;9_JUm;+YQ6yy)oa_ZW-okP{&(a$pxIO^z@P!b|Ic8Cv}`Td3g2#w{9+$ z*v<@8{II=!sMYK?O*f~l{w!%kZ zVPS#lObsose8Q)nsik%N2BVdEt?SyCM|tl|cF1e`Ax%s=4Wtq*g|6Vsm&a!m z<9{Tf96#NA`oBY4u?v5pt*a!+p9aOisXDHX9_$Qg1123Rx%DsPRIh2 znSlCL#JK1==xR9dsq^N%aNztvs@D=H%lWb}~{;q4);X5{RP}n5^(&7d^+jOryF+7&r3y1B#Y&*J^&_3LOZ^Jhv* zeUPjG01uBMrIf<04?2sm`=rNw@CIbAY4NKu`0N+nE?+#}+r%+o>0R2{8+Ww?-+{nE z%9k$;Fa|!pXW0YfMF3>7G)guP<`O3g&DE`~bF++v_`oI%*s}GO4Um`bg#eI>8caMSsUH)BIKq-Ir?S2ckRI7g-Uy+Wb(wYvQ>A#}2|^xUCjEL(DMu4Iyx}U-w^t0n4e;AHi^biR*EN9Y3U^tU znE`=N0H_CZp8lwUq9TWW)4T3u@mB84uV21IaTr5oc?Jz6+&^mfZF&DUv_6kzWw}YN zY|hAAmT!nb^QD}v6`zn$3E5o8{jhv@*fe-{L71>sNlvZ{D$jtHD-%dg(|*9a_T*hg zT~1@AdpU&Jb?MF>gi}OqKG`|;yML4I8fA;BT~mS}^cWG$ntZ@#u8x)QJ7(tOwF=_BKDiy6TmFbegMIuL6C5jamoJmCz3#W>#PakDpObiWJ6&l#6LiJvQEI zf6;L8)Lml#xpW{;JWPx6;Idz;yni3PM6IyQ4+O$v0iXq~1VnpQMuzQV0%s(PwiYNz zAfAwuk;U=5JbYM}it9LBVhdi`xsLeu!YCmFb#&|BP49K<{Gu&#ZJ#8S#||Zz`QrU) zxYbs@M7e#A`PRJfbKvhq_jAv#!TAO;w9;t~SI{6Zl1PN8rs%=@_y>|uU7Ncq z#IHIXdXFsJ2Bo*2)(td6l4s(Skf>H>&&V!>6=`LU$kG=O_&y4n#Yut>d7oi52_c<) z@!_9$DcyG27^<^L>8G}oF8p=PeGe5cAWmOKb9#mR%q@26j+__NLY>g~QoZ^N40lYu zx{eJ))Aj3PzPDbXA61LId!3_9Z2CVnHm8B_x?BWOd#AI!(wpnGi#KXl@B1iyxS=2b zvS79JnJv1!!9bR{I*pE=J~AxKsg$rX5IuuY9sL1gQj8}?Zd1<-;CQSWb=oO$k@LYA z?m?c^$mtqJdXv)aH6fiTJZH3NG48NSh{+W$mr_I}qy2r8W--63ijREyUQlEvy_Rd1 zlO;wVtQZ|f^JZ(u^BR#(>%9#G1|zcO0=gym!&ga2iou;35fQPxY$hA|M{?Jm46cbu zT_gJz_vNdwrfFftsa@8Ln9*Ym4GmD2AUDU%>D z)N}cdKf=*zT1Oft8?8hPhjD2645UjCkiu2+zJI5cSR5-W!n?uCTT)(Lo|~HsWE3~| z080IiUQe2=c7aJa-VFu@82g_yiNl%NAy%tE0?E(km^Oh_oBZh$7`CB73Q~s|?G8jZ z2wRX#JvN)^L69KhcUW#^sVet4Io#g1>m;H$SA9QL2yD%-3JJQL>`U#Hp^kYTw~=P> z&+iP&0*~i{0t*fk;4TXecC`xw$#e zi%OQ<|ES5uQNYRT2T5y$j+&~?Wot?Dl-O;X?|Jsr*(3_O?p`5bz0Sd*hSM;D4WZF9Oeinn?0R&!HQ&$YiX@yr`q_) zzU-%azYiJh1HEhlk2P?Cpd$e7{=ge`Wuz!OB}EinURxUpu>NGl($jXU?9aL7UYDVn z^6g>bo_j8Gt1a9`)5f!AJgh+XOdePQ3WX3KUmhrwvm7245pfM4KWRXldgNe# zIs~3B&^x2b9)HfJRI(D@_FbJvgS z-^;(J5edj6#`Z*hE(Tt}rnA4lAL@UsIR*|6*T@QJlehxwUM`pY1nv0Z|8_B0?db&J zeM(Bwokrsy`xldjxZ{6g()edbyHSnpci{^y9k1x)1ZJPLC-^=p?#QdpzofNJmdV)h zK5$YJ^%;AKp7F*)Mmp|s@r4J<P>J?O_U3~cPOPz(@70#Dnh9DuI z))ulSRkW~R4v9fUF#UVa{oj}}mWHRFaFeJ&I;aAI9n?+eueRnoL5I8n6MCGNmDLKU z7QjqHLqnL(&?o(?e?wL9T~#g_LH3hP@#9ZeP={Pen|)3sJc7>K{q~nNWbrZsKw*FU zc)c+gkL2nH&XWcHHH|z`u2moJ& zR5V)(5V5;+iQFun<_p%&YPl&4ygN0&d(l+PZnKRgaI;JdIa+;Kcp(=`J2N}mBJuKN z(P+FO^mai(K@C+CE=yU?TeBE6pf1<8#e_4efV$9<5gr~6-t{%6kR_DmHCDpszvv_? zTlx(@4=nkvM`9dox)$00Ozx%?ro)&rBvN&f4uASB2vaF+*cGcPv(Dm{#49qifz8l{ zh`fskkx_xjceD%3LwRSUeOu<&tgJC;(4d8f8bq0(ZTrwE`^yCsv>tE&sG z4BP1$(GT=K22IV<{~4AH+tEk@?Y=A}YJP_+nY!;Qi6XXg3eamZNOo2!du%Nkt`uTKKe2MO!n^pnWh`PZ-D+;o~V>^9Y*j*LC1_oE7_ncFV9m z4lN=&ZE_+40^7yj+h<;z8_dk#+UpLue50HsenQa_KKT7Xv&ZLKN~cNZ6z zScxvo>2PA@?5ZxiP~pu1^?VnJ@Vpp(7wZp01u~ctr>)vctRL;EEX_uw*v2e)8Is>! zy03S)gAN+sJs^c+V`8YBf4~3u@gtLZVQ*jG&_OK>weE<(a~y(ioLzPVF2`ZTp6bGX=-g9feE)c)9Q;y zM@J_iAwh6UN{+!hmxv#p;vcie7mdmPq;Z$BlwVFfk4j+GVO7*TB=z%i08!l0*~xO} zj)Kh3Z)YBnZeNGLh%{!}v0~1sjVc3n3p2V4>!dis*y+HeAWu)gJ|TR%5kfB$M{@P~ zTF}cSoV6(HqYmaePa>iuxn(PC=|D4-6$e*G#E z5KPIBL&4L>y7fa8K#*HLkN>+azmEsy#@)NiOW9Qs z+H@0s4cDgeWWh#YUNlx{HB}2#+SqB)=-?m;k^Pnse}&5q*vEFOM(J<=_#qj}=eTTA~= zz<3530G;N}ojVP1dyTq20*ggzNiy2iWz{LT*OdJo$;EfJJSLetOwekwddzWxDO*fj zoLq9I^E^7>GuJBi#wSj9xm#-)X&*4sN_^+sQR$KJXkocIMW+-zJWuumx9d8Kv6->| z1#}u8+HE?Q_ipa(Q#CQ5Ho+#Pu-YC7)5(^#eLUBj2|)1If8Nwj=U)2g@AS zK%<=LgI3aGyIUM*X43WivZ+0ftpPm(N%~@nC^R3$7uom6zk)F$=s8l0`1>qE6SopE z@0O>w$dhZfW-r35)%rPD7_Cpyh~K~ZRF4zGYpqGujzO=R2GH)6D{hl7(Udb)H+rAS z+C$mtMuC8D_x$zVvyyOReU6{})NQU=N%&wbS{RtEyaHs7ZXL5m@j9fATlCu0YM{*U zgH=XaI>^oPy+5@Fm?;2)L-L%PnTZ$n9N)g@lxk~;iG`IW6&(s7_5J%?mmL#{&{XMI z1BXZFX33d8!2RAe@7}-H)mZ6C>pUwne|P<04+F`A?1PQ1t-JB{(NnYwcg~^>^$H2- zZ&m+>5euV#pP0Fexm1jMdJHRl`4S_usU7O6q%*~-BvZn5M^WA+n-`h!FD0ly!C~+< z@x?AGiTNEDiO`M&L3I_CImq4cY+zx{8Rt~t>Ntl(dF|TnV4dDkjczD+>&y0iFTAX9%tD_}ge1r-Lrb-I~gH(OJ9AKh3p=_dh*XXc< z|I8CIc7%8sA)2WV9(W7D4S?!B1(|OXFLZsnhD?|L*7%1ru4Jst5h@Va93J}PM0@VH4z_f#1w@Q< z#H=PR8NE9eRgrs{B;BjKa}Sn4ufMqF&50vWsvi0o3@?6us0EmFCV)dgEHa^{11l9U zODo9;ug+g1#Qz-!kWOWE#sSoQbs>avj$Xz8tIyZ9W}eFP<}?ZEE=Jz>s<0Dz>}Km_ z@Gr832I^&m*V~QwYyUW4TH{p>_*krQFaHZ&FqsFY7ms!xjKplrE`~d-a4DHopTH=C z+XfO-Sa5y)+sa348*KZtB9|QoL@1R_lW@w|JE&Ov*1(_gXb|n%D=D9X(*Hx(3rpSq z8`n#uAB%TOdwV#o-_Eh9hQ=reJ=mxZVdkc_UiADlJs^tUYhkZJAP9@jz8Ao2?Gw0p zLh`x^GlWz>a2r~Tmmib29w*fi1djOQ$Tr1tBMpAWm8=NJfgS^mEu0FfzKF=Ha?R43 z;$fh}&UD0LD+sYTVNVZGoPnd+?0EU|Wosl0kbTXd25hSupluQq)~;@T1|Z}Pk`c7h zfRY`V(Pl!%(~N$j-D~zqYyE{D35620p0s^9K`-kIxk{E`eL7esQ!HA5ygLD*qR-me(F6D5&QRRp1W+aRl&) zEb#UKf}9!xNnw#P=Pf9k;gOMhO9R;j1%0pep#B4_$P`=?u_Zjabee~L!9{!fX{y~~Z}aK#*6d6+lOQt-V<913nov~S;p7`8(bN%moBx>& zEFl7~An?4m=|LqwJ>9F~K4p?n0HawPA&FC~xeE}B!-IoH$46O3<1yTp33#l=iL~K&xft(nM|`CD`u(h1-U1r={vY`S3kK= zUCx&&llECiFU7vB2#7gEhRM!W&Y%AXCvuOcMZ#-o%;*iB1n`(}MUBs+A|FU2x1ajpfnnNnr%z=dQ;i+ij!w`aaXt6F%MJgMqWXaMFD~Yp zX+ybq@s@N9`1SWb_U{G(PHYvaS@%eMoJ@S>!R&U2CJ@-L2wnKN2KTeyGre>fRcFKpw+ymm;J31?PM>LUR!lwqp5<#3Q5rrGRvAEt%HWQy6dvQz2l!YDJ*j7* z)PCiTPqEF{*&yN0+^sIKZS0NOhLoGQ^u+y}gJ-#UYpYY3+g&+KFit39O&;C1^~12I z2D=PQ6xmQ(^$C5Agx{ObzXU&3-4~2Oua4YULtV5ooOpnCq5XqT(~pcPm~kRlmrV#3^VX6P|CUikRH z`*)vwvRJd{+F04Z_IoK1MLFDQ-@O7abM$yfKa~u1>mI{jaYITk@oP*wh0Bq_MH{0S6nN+GxX^{F~o z@qN1E*$Lk{lb3ZuC&3oGzrJ3FD;b&(0^9H3zajRa6tx;^LW5TV+BqmNJv}{VCVg1R z0jxmA0a({%qS@%6sfXzT3Ju8cv0Uw&T|ntLPy`!WVEFUvWt+_4TiBNy0X4< z#RARSwsy>Du0k$ZIXk2aI~|Dzx7}8mWka88DqWco(0pj30>kUEAfQpRV!pV}BXQNu zxFk0^Z zqLWN?cIamDI4Ir-Wr&^0C&`56*zx>DrKP30xf{@ev;*UC()i)sJ2@>a3sw@a_=LvA znK34En)WLyE0Y1yQj-IWrNoC@YJ&N-IzhF$$L2X=gE$a0Z9?MoHaT<21DNWG_-Se; z@Bet2uk?QjI9tfHD)w|47D>val}!iphl#6}zw3KI9lk&H4-7a|g84g7^#NFK*hwS8B32uW|MvE%nZAj4vn%rB z;N+YEVtsDT-_I}I|GTgL9d4v;UFA3$ZHBCHkQwR6ZOna%4t&uOixaNJ{@oXqy z|Ls=7!4WPcM;xQmXZ~$7ND_hu|Facm{~tTF zbpZqltN|;tntD~E!vn^hH$;r!S{P~jA@y$vu@B_{BO^)frg2}o(u60{-N0ZLNRjvs z4X*PQYhl7KuzQ+tpNC?|M%Lq#VX%C(e`bE}v^}$@SDyvd5`;dOVf4h&ue@%K@z9ID zXEeC}?tBCI*QnqA?!zOU0KK8{J3u$VMAokI$jZu!_zIp@Si8)2T4B;`SBWe!<_(M^zXLEr?u!RK+j56lO6kCMT4A`$=#)}VM6%xM}0XUTnef7TFj zPq^h37w-d&)iHBj^6_I;F!Mfq=)HL1C*gu}`YqC@zIdhNih|d?c6;mybPGeK*QgMa zR~&aKSC+3MEMd98{~hof{}&>3rY#1Zs52+)dc?@~U06&7qFB3>6zK0Dz$j>CWo90q zta)0h(XM>2;(dCS?WKgp=WJMEF&3=MN`RFS&@&eB=QaFcOs#B$^5Vj?s5*Ql3c2_q zlNQpSjpfijI;sU1r9&)w9;L>FP_-tl#QMTlUkjYG!qA<7b8#J$JLdU68`id%;o)JR zKk6GAWa4Up*|9in3a$@|Xo+EeW0)?>Xg|{Tv0v;uo zY*HQ?+|P$`}qCAD!q^3B)bL)qNGLni^nd$HIcO zJe#=CEA#R5lcR%~02TPC)aB9faS!CFJIxP6{=&*is%QDnvcQYDh-S@0QKORsIf;3A zWj8nXUW$X6nUq@L2XjR~k%>Fm1B^aS$NRYg6(;<}nN?L2(;Kh^#wTTk%|ErTRUWCp z_U+E{1O^rB_S?NK%Sr5-Usn1l`3W~f-ulVn-!Hw{_CQ!7n3630(vr1~g*B~d|G57A@XmMl_7V;pk_`=S{t_;KDC(>J{@ZB~+c`NXP7Z?@|JaP%G zJReEf7_*O&xp{bJUI8VLUVVK^Fm=brnSGi%W#?PU0%>tAdxZ_`GBI1Td^D#&mN4mA zBSpP#Uu@Fx;U&4nn|t$pdRLvh{c)#~bM;LHM9 zG}N`?jx4sfH~0=hek1QPVI!T(^N+18Y6^q2zC+-Eg)U{@j!%#Z0Hz~+(MIzBs^!YR zlFFkvxg;tSm{}%`8`)x|rpPpEIJksoiZhxurle`86K<)QW8_jXw3v=rn%lI9R;J>X znk$pb7(*16d9++o(#%MtP$L)Sa_Y=~F!$$o;Jq*JT<+(777l?xfb~aOy>f@5-JU>* z1MUQ9#kS2!948Qp^+}0e^iQUamKE;MZ~SG$P-H|zImE*qdz}(9;x}GW zS}yo#)qz_4STLgbOkcoA@xhE|M36aWJA<_SqH8=?XV^uP(2T^y!S^$bG%na>Z;+CA z&5mg=@szc#TniFunCP_F{^m{ZnKJ?2uNIT%sbd=w6BBPi5>wuV3);mKvfoBOG>^hc z9PUo?UR5gV<+#}7kOamI9#07YcQ8eiOzB=C<1}xkA5=qN+{aYQR5IgebHuq}X@H-f z<@W7E_m5ura@{~C=Ix^Dm?qJd;zxSRjY(8A`VXWjY@d>s#;!g4r;rwg_5ALyqJewv zA+^3hZkOt3F@?WcJ34M<9c!Qk2L}_QhG5nSlvJQK)B;Y)ltxoPc$=?9F{V6x_ZjL8 zmg+1P19VKw4wPcrf~pqE zK(tk5Emew*xqtV`fu@C3+SNjK{KIGy>Vl1URffU?stL38361poiL?#yi!mgTS%wHIASZ%G%m*0usk0Tb*&6uopnpp zvgP54ZCDltRIi37TBp8?3v=kdh||h?Yq`Ovm`NOEm|^qIt)avpb#h|~7i{V@>85Qs zdDi2;%ar{+UD$ix%lP64GcDrYxhhEm@La$T0CbZAk_D%Y%${EB%Uc@n6^W+7K?^ng z=uuSCd=tQnv{}&ngbM|^wxOVpkVvjd^&N=BH8Z-iw{Kfyi679ou;~O?>VQk1nu_$u zgy!6{(kmdq7_~f05DHkUwz5(*ICu!y_=#?gvq@#V)W2a8I*8%4P5Pou+!mKx%>5Jd zcRwI6^FsQPv+Sz7nQu;nk%V3e!*LaQMqQ3*t*eFI_DDt%iwzI>&cUrC#-~WrL$8^+ z-0bl~1pm@{ZFijTvZm+?eju_Q?_q0e3%DLS9Wakgjg4Gd1IT&{%iqDn2%cE-c!M)D z#QN4RFDk}mb`M(|}V%+<~&)1ZiCv)Olz?Pur^K=c$CR@EAFt^8yQ{8XO# z2RwkZU$OoNIUL+!>(78AU%vDmd)uE~hBaFG`_%qGDW~r2xy3wu7{<~A$zFr-x=2qu zjf_QP#E|xO`eqUgQdN@GNkfBG7xUyc6a$N}3_S*a9r*Q@|NJCKvt1Wa0_kVYtepr- z!+H8%oN8j_zx@RNv}<4NZ0`%No1A2D{7Sgoy8dwYdNq^&?;|u3bn7-8qKJ0C#7E3& zdj1G50m;_x-Cfz+{7@0#)uTi{5gkAjA>z&dySBr-K*3+fiM8WLAwQ#1F?t*Q*?}N* zH@sx6g&4=ofpWMLMxjdKggLYxo>gr*G2Dry8~AmkFIFsR_nAm`7NKBl4k~B=mZpwgQ>aK8>>l z%Z$v!dkoP0Z^4ZKO1>%u4x8b>B|`3D(Z41crd-HSrBFgyV>7>nwOEj=Rf15k9J^9L hv!tpV4#2j|`1tJFd-zTCyV3-i~g zFNk8GZvOh>)uSR+D8q8vz1OJxS$T7YwVH3nBt&1buo!jo1g{lI`eV@DLtMLkM=!A5 zkdzQhs#T!v@RZp${? ziXzh8n---n_wUskpZ<&w44#0>?3m2cA1Bk^gg{n<+i@Te%dSQV3T2fS)R_a8xfm`__c|EU?QrVRXzG%O1d?QoJ&ln}WUu@k+OAf=(_^ylmcVlL6o1J09&UIjjs03=G&L zkwGB3_s3oQWR%r{kHKgm3-)Ixhrv)z@HO^&0hpHhvoDLd9i+jAITfsjQ;CIq zhRV`#aSithUrylbTy(pXlF~rWcj1Rzcew%@{0LSUVVv>7({*5{z?N}4uXKrrr}$zR ziCJDgp?h;9s@SbY)T|Zn@-ss-H~cwHB`|ehStMKwHw_)Q-|{E2iq*|Xb&bG z4@-=(+XrD7?1mFq=fM*%!i*lJG;A|8jT7P3pF-2 ztN+QRrp{IX#|$*Tf=+HYdT5#(UelO)o9UdTJuB~rw1+%a;p|$u1DWMGQVDBoSU*&a zmEI4tMFJQ;Xk@*~=w$x%`mAU|p_9YzZCkT?$Brz z7)pn57{TeO=h;Mt$rtFw=j0(B*WFT|>Cg~vMHvsFzd81fUi;dmaX`ujiqL%_8y|%H-=zGdaA#YZZA+GkHxW+=}ya;lGhVm>P>I?GqdpJ;27C@f?qrjtdL zm~?1ZF>2QdR9Q_{&F!h=DT==0t1#$@ihQPGFH`+)oRC+736Zy zPuJx?={|(6d#eLXnVFg6h5CMkdQhFRv2QO`(rDYOMSoM*5>kGavQu$&pU)+T7k%{JsiiRDLQ~-AaBBPRyLl5PS5_|N za!wwdY>%BEe8Qt%bi=G>)c$0$vfa|rYlj=swq|+(hT4Sl%kYShf z{??ZBa=9EfELk+<1l<8Iw;X?5em?ba--pmM@pz$8?2Zp%gCE|Cvr1^)sm0mvotQyO zoKJ-uoV0SWC5D~LoD-^d*=^$p^+wBbh5UDR>~V^Pjt&J~8hR2eV$7+1rPJgKt4g>d zCFd4l6?FZTk}TzZ0X<>egT20rQ2wwao6EwARwdU21Qq#qZ+I0d;kC zmLK7DC-PX#v~$dBIr&LCnwoB|Wj@hwl=nH_Ek1`ezQ2xHQmj#KNhBm4x0kcz7}Iq- zMJhE)YK)ip_uC36`+`-t<^adS(DSp_n9%yzlu|spn9=SssJMBlB7LNrZkD6BWM7$I zK)t$Oef#BhNJiM3YPjIYz?o4bg^~C1at5@BRQt{CyMj(cC1PWv_LkCDwzmfsx)Rw@ zYe%~yyta-H1l$hhJLAZMe|-P`vsG-x{)zYI?v_dJ&lpx4l0PHzO;u68}(li zjdtr}f^}y{$&|I{wXXZ?NuFnwgvlzZUnAAt{*8~0k`l*dTuc1ZmvoIu<#4f*(t_QcFvziyfp}26-CGWT9);SW2xirFb%E|3Ar6i2ZlWS=;rQD3ipt574i zHgerv)>2bDM0a@J=P<9R9vm8K5kBW9=}&Z$jb&Tf9U@yh(o;#7P4FfRBCW|AiaS*6 zRVT-Skwc+30^U;1pWtn8DiAtQ>3clE$~h&nv-{k=X4H^`hn6{-0hJ~KZ#gjD@0zmYJO3dSQS4B%m$d>?W7-#YR&6>YaHwC{BVM{3GXu;c$gnFor z^rhWFgtB<~S$ZU2W?tSzWwA(+v$>x5Ei&`bKm?`fb_2T#3{%;$VVC}B5LU1^`Hmp8 zk_kO>+ZR^U(Cv6bIp|Yq$h*}jf3_MUa6(A zr=H}ypXNz#^95I#ozfQ3U+_<@hTPsT0(AhO^t>} z*x1-0rO?~kZFuQ=2Ue*XB7+H}JSyt3#jFEnCVztwXV|}{rIX@E3?E>bo2ggTek=8% zE{-Xw%q*ArQU1k^BcgXar`Pa9YN>b3`vHsmgh%?L@8*-9hY!j}Q9wVJ%(D_{G?={= zSKp4guUey&o6FuCK!;iOZ-~xLtC6sMjZ@6Bf=Oc_67SfinEywNIR)l5GlB4t`PPNK z&z#DR*}x)yQm;Pg)bX<*dLPWh0$wjETeIF02&79a5Dl1U#w-iicl541^-!_bRY(gT` zhw_s-3nbDIrq$DnkmaK<$Qs-z==d+FY996vprqMhr_Hx3&|pmbPs~;Qfd3LW00`Uk zAD`L*!1dn0P#YK>`jkBP5hq^&wZxj|NnD(R9&uMOcUOOa4A;Os*MKgn+nJ-qnWqqY zVdTNWQloObQBZt3ah!Exr%j@)`L9flmdsoI9{+r#d!9>+rpri!&IFHkQ1QpJCxS5_7Qi+k$FGedAMA#Ec#u; z!z?Wu>vZ1jPfr;w-{0@(r%mKAh`@Z)i=EIDpnq)QNJpQ3|KhzCZ=sT)y$~J6a6sVJD3@Y^ogt%oG9aS$BFWJwyy4Om5jjU=|86I@0G5-SMo;? ztWlT$wPU5u6~fA~_5b&)&~NOVXm{UhoWdhbiT)fH!oa>no~6MwATXcuQf(}?5Y z^yZF4HY8k`Xw@+CRUiF_R3-2kD_og*{vE@@Kd8kMA3(k8`BuQz?6Z#aUbl9o&g~2< z34E*{39v?gp-))Fs=Epy1Z7AnlqENCis9Wt!#tYT_!3?07T$V+fqXw&?{c!S^8@3Bnpy~jSKM?@JHEL3=wp*e(Pq>GiEK8|p}2ZlSV{o?br-8?V$`tOnc>c<-iZWuE-tR`_V07fYvYp*+ zLB}IO3j`Pa)>i!Kf~i@Sjw_AU`>iXcsoI7S8Qn2pAfPSN87-H^v#;dfT2N`8M3|hJ z*<3I;HC?Dfwr)|};OEdThL`PVekeE^dc~CL=@GfrJGe)?7xv{a?C9Bz$G8gElSK*DGpB)M&9UM)4aPHqG*N{A%3w{+COkUi_IxV;hUG%dt+eR5UPMzVnj*r+)Fo=R!RK z%}ZV}g|)6vc8%6|@*50WbME7zKun&uW3cwO#V`q$GN6cGyfJK$6JXk0`o-AG~cf4!1yCAjiAuqoLfl!GY3=?? z5V=OAnr8eAzIyxa1<9(X_Z$BAt6qj$?y7xKw3KT{kj^u{IHlzB6LaMN;I&AttTur7 zW6BoW7D31B!f-ilE;3#v?Pd7T#FNY%Zk@F9>Upi$NklmG`{L0Fae|oqj zU{fU>IcmiMM~f*E5wzSckFGkQGRm)RY-)PQi^$2#TmK!yYGPu7wv`BP4tZFm*Ay7X zX$2H5i-~G(HnuPQy$c}x)zZ@Pug+!(uR8@U|n0(QfMXmF?kjuV(h~BN26VIa2vAKL&NQtDCVp zu8$=rCv)4)W5EDG5(_4e3=0d3i_6w>1?tw}_JUbDCLZ~hu&}p&Z?E)}uieZG)zac2 zXJ(rFH(!cHhw|}z{(1PZ+kqWr4hXZ4j}LnFyRe_=r+cvOtN~N!qvMS_0xYc8mi3p5 z08zHC=Z2pOH+Ya`lCz$uBs0A*tzh0u#9Ti>7F5VpAZNd6cYOQyZK*$9E=geASWqQT z^NmNr)w6?kFXm{9JXM7jIg>dG8u%m9Vx>BxYwzDtJbIy;iuz_CBhz`byK;WI;W_I0 z`RbW9;0>i-@9R?L|CsNftKr=zc_(Amk2r@nhi4@P9>g$@QMZP@-HbTK|JNuD9V7Sa8j_@%9 z!|%~iSHSf49usmD5%-KPK*FKRp!q6{w8D!mT7VZnFGWJ`UT&LSJ-D z4tBPyQ7HA4U>qDAN{@rL4RiF7l8%Tq6wm_ll=MoTM@-aVU3Nf-pIMCsGc_|S=2)|D z6}#HT;b5(Njw>&=`Q$@g(f;8(D$px~{SRK}zi~vspFry0{+;=Ilkv196m(ia4$iSlNLAIsK`mwfI({eD*BErLgHucEcKQItjVl<)N z`dFoih=|?%Zz7@Dg@sUZc6ur*Do)NJ?a`}Vd+1x@w^?A^NBK76WH%ma37~gQ-Z

      7q@?W|Joby9 z-L$WcjF&$5H*}vM+p1$&7IuRt2|C;3VU>4Cu(PgweauhyU%RpHdm~0d{@&i+_4W0` z!^2}^V<1$$ZSWmOj9Or0V|R9TqKGv!7w!~?v4mD zz;>W-pu^LNZStLI^HP(z*!1p?805!`VnkC$ijBJciKwn!y9P2KTJrLby}2Bg6>V+N z-(G&)TN_R9HTEWCXJ-e2<9xsF+~OSXYB8R70_^o|{pS_-a&cUL#^cLDd7Mu8YpA<* zgwwRtrRUpAkYnKH=0?#}R#t)y1)ofJyM1E+?Z_-=FfWbkc?3A(S=^o}2c^FhCch+R zEOxI&NDcRTfvOtQR%_dd8+~z|XZ1-Pbgvk{ZTpS!_R+F{B{|#5z z%-D7FJ8u0IIkvcSIgb}B53Eby=dP1x7x(eQ zhn=W{qoZZ^XABHL-|~xuKL7n)KJx+pl~0ckxlRuk@<*XiIWoKt(Y=@bLJ%`v>5^CO z&ZhFHpV)52qppb&)IXGn5yJZZxJuq>XlzR%z95BTUX%8k+^&wRC5f9g0$R!L{ zhTTx+Zv6Kv4EdfB4$sfa8#HDMX1vU6UG)FoVY7dE$)-_|vio*#J>P_P>v5tJ{rh_Q zpkaEiRN#Y4`BOrFP`8!=BzOskP+w`}AV1L8D%dHo@SSMaY)D)o_4+XfwoQo`5LEXs z@~AjXi!V`8gQ$j3nVf55F^%6zKxR$SU{wR79;>uVa#_v_%PjfByUd zzzz4_^KUsh479YgV3@3|{p--_=KwHetK>5=F`U7Zv<&xHq zsW)}+8k8~_hD+6zotMB2TwIO_1WyW_x9363GrL9isxB<_Wd2=N7r!`;Ak#`tPHqX1NxtW4HqhJAD79ikB}K(Q z0|N#|MwpnGE478CO5xNZVq$gZ_Gg^nR)Alo>6W9kya_QdFhFL8MCcO*Kl1x`7!8d` zm0P1f(aoDTZHs|}{rdHuUHN2}?E)uJ9NN7cylFc#x*i_o-{;??$-c zQ!MW)h-l@twPyiziJMzn zZCza)bq9T_3JwsI+>LVwr}bH{Y6czyUAS^%Q z+}z#e_dKiS1Ayfw2&k+dFVD_%$^CY!dJENUMU!ArJ75d(@$mq#3$n8hba&^k?PI~b zGX!d9Vt5@VI8JWnSLxNP2i8~|;3!y4s=2%Q#aIct?&X}Qfx`fV_h^;1i5iVWj*F$- zYN0GYd>P7zZvD!vF90%fp@L^l&7bZY;uBck+OpX?$pA>x@gMS(u!ql^Ey!ZS$n&*sSLXgW{2hY7FF@mMOy9LUtB`%PM7O3M z+2hF-Qdf5_1+V!6Y>9|ydShc_?X<)AItab-T(EA_jpn|2dYE_bXw@PJJkf-Y>F5?h zc-OyzZ3Q#UANrP;$HcH29rwq6Vw`Sx@Np=&2PxIIlx_X%oGX*Mk z{yJ2?PsApstp-OizwQi);1D>AwsX3D`}Qq7a_Vldy0OLW@}c~D!vLh@vVf%B5Gva-@Wg&)#t zg?dd!N$!I^Jq4x%Sz;8Vuveq| z^8*FH3l=Pdf}h8vFBOObP>yHdB+ANq05EI#`|BHd`EWqnPxmJ^NUtb^2&vPD-WVMd zoq0CpOhtazJzPMTT@(>i65+kPm8y)4jGcJvt&F9!i_`V~gJ;2iOiKxcHbZYYaY)=* zt;R48#pD7Ca@yj$p%0*_p@T}LbJ(#yK{9B-BcNn%M@!-4M47d;UMxrq20 zQLBplHE6wv4?#i~g-4*N7>x{wUcQ4or;H@4m&vS%rT{3ReUW?f0TkkutLk+6BVEVh zMg=#10Yt8u0^$YR!-eQNg36f+I0-zi=Fg$2U+7Opog2!TWV{$n+SH8i9b(8@Zr!dE zSJzvygI|O zdwO28LX&tLBx_NCii&Ad+`D%GynxZWclT-yKoB7zAz4~l67mPM4Ny2B6?G>GdV(Vf z!hD(K@AdXq;-@Dk0JA(`WBR70NUvlz#1X4{6XGSj7^!%`dlS;t{C|OeQ09Dq00#yJ z0*bx5x;izb>s(1KaUt350NkpQqiO?&55DV+0q{@c5FF zfrtS>0)gk9jRJTF1W8hh6r(=8B~ZBFO$a)lwi49ze~WCFlFqpXsdrvXn0PD^zCOt^ zt_JZM2R^IUm<`aaCO{Z50jYLI&xSnYRX=hLW><=?JE;Sp$79X4&~}3kT%aWn1@LsF z$N-e9SOT7Tc6zMizB@3eo~K%vTwU!pR$&8N8c6I91MGu(&<1MnqTxDu7d#Y@U9_hU zArTP~aBGnoi98PUEG&K1Yum<1*h6MLZ$do~AL56WA(|Dv0;p8>SR}3TPd&6n8?gJ= zpCr@5&&@L}ZB{=+>fOJ(Cuy0j7XTnVaddP;Ee`yWuF+WcO-OtM zyIdroPGALF+uAD6&yE!o6o7f4JVJr!ygb932<*|KL1z>BY;YxSc+|J<`R-oAK#OC^ zpa3oH{K3`?`U16Sm}M$w&n<1#oeMmARKFvx?Xr{xn1WWdQ}6Gv6dCV75{)fQc1I9R z-@s1**?2wcr|2nbI!c#RQC8;Q;PCbKwg-Z0ZWx;mucIVZuhW`*s|9nVH$~{sz2T-A3bn%KYz`V$bt4P_0uoI0dTqkloJ# z5Q0B_TG%F5mCYf1yMj<6T;0_xEIzL9y3R}{-P7almfY^oG_w2-yq&vu@8XiPi6;gJ2bb!VxVY>tv)lY_ z1TnhrRUA0a09q?01_Lpv$Uy2?5TzAEEI%B~qw^4MbLbn1n@x{&q%pT3$)O7?1n$t< z0CioxxA~@6)c69>=8mWRC_R=l)0s3Y3T)>j?b?};9Of!;__}A=4>N_{Aon?dD&!c+XN zQ>hqO-wQa ziWTEugTQ5PUm}AH3<<#}ASjnmxx@wa!~or!M8DS2NdkO1EDXT($+HQ>`dH>#@u?O@ zyF4}wdtLqZLRxgvyNjHQW)O{EzNNNvp~O#-?-Q3@c#RgX{<8@8YiK*p)DOk6(z{*l zi!m`*kNEhgv{xMth2;}wH)?GMLwTji|d-4m}4+Uw8d*gq&6Brw$<3* z{K=5*|`W>SL4rHyxlGH28 zhn+zMiF(4wC=;I1Tlop3mHz(y>+919sQj?t*Md@PkA|1-ph z^LtKI0$8$Uod-V+%^W})2uhH?w3a~nky1EF--KA}M=5E8XbMV|@^$JahKG&Y{0>B< zztVQIOZ08!hho8Q;Xx^wr|&x-ZUvtg89!H6Eivv5Af^MbVU`Aml%chwqhB`kKC5WC zjf;y5FmF6wYytvM_PUa#lnvZXL~JY)q|-32-}(|6+0@ubO-lba^D+X~pbOqBeCZOXmu{PQag2Gsa8A)!7z4 z^TO%j<VRmZKC znoy93x#HyPEECVQHQN?}#F0zjq0zyKh=`zq6X4>W06xpg!h(Ao!>lg~$N=w8`VV#HI>kU|A zHu6p~9k)U-3xIiK6ZtB^{ziFd>&py;Vj>W?(Hp3z8Og85RTT`9w*ab&X4KeQuiBuc zquZFQJ0DQdiBtiil97>7zIy30khLxlX_>{SFCNtJDCHtG0BZzdnG*egMftcPa_j#H zYV55jggA|=m#BY3bhpQj})1u&{t!-R^vxm8l91HT7?h-2vD} z**xSsNZta3qXmfUP}ltYJit-yW`LXlhzsx5uCV?&IXOw2w9>cp;a01CJ~zurWIeal z#SHfSt5OIuQ9-lpCcmd&QJH#fBZ1*cru@HvS%#s_9-bz z$3$(!Q#N7w-o3Ac{K-WJ;$~~$e-=V01(~|nFJv4FcGEvYI-ZQ(6ubxqP+ahr(WD8e zEG3|pJctaA$`Y1)(G&kl(~XbUHBJGWh>Ws3RGnjbHl3>y8cqtin|x=><^j~{v86hu zJF4cTMviBey@7#&zP`S=xHynHP~;NZrFQ86ezFgJ0rU!+}w^%j)vqd zA`!WgY%4X)j~-0{?Et+xkgYPeG&MDKezG8lUUi&wH_9fK1Eee^Ir;3MMX+q}A3_fA zm5|d~cAw3OrSc@d#&~j(l>t}fXTl~iAwsmaYW@4`b7o=7 zD=Wu4OH}!i($Zhj<6>j0Yh)~J^R;VeW#bQKBjjR@FUm+W71G;_fh*A{GiUCdSc@TM z8d?S>|*dExr({hXA zXFS#Y5DUI&gw-Qd#Z+ridr*0~qq(^`AS6Kl130#wqZ`p%Y%-G$pj`-*eHly!8^)wn zTkU=vvOBV(ZU*rAe-VY&6Er7WR&qaL5}2ysJ>=N|ZXl9!tr~!DKpH?9Kgey;2ncBJ z$A|&3t@G1;)~-Z;C?O%Rdi{d{c=e``h)5F%W)5VuG&C0DNC$AtP~{gnBG04#q$nn> z*oX)~HVy!Kb2_jv2)>0!Xvilryhwj$iQxrJIk{b*(CSx;G-@me`bcz9`%?ScKx0;%KZ-3ozb_Uu$$T6%eUo_=B&6qD=sO!vtK8`An=XI3Df=S4$tH-VngY*Qq z7Pv;E>FH#u*@tIB?dT#q3~6dCOZbd4GnBvMWfS9w*K>hYKZ&kt82c z=bzIDcc?{8>syzTNn~#ZrP35I?LRK#@FG)4S%*G1b2e(}&+K R%DjNz5+bs%@`Q9h{4cs5$Jqb? literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/pic3.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab2/pic3.png" new file mode 100644 index 0000000000000000000000000000000000000000..b7e52422e427ddd2dedd79e72c477c33f05cfa21 GIT binary patch literal 20640 zcmdVCby$>L+c!EUpdu&|f`|x+(n!MqI*K$yC`cn9-QAkzRv9Ah@C;FJ&Q+D{~OY z1tsi@;4j+`Nbi6jmn>nTud%VQhbQ3^5Xb|F=*#D??V^@P5h|~(EA}@!@IPHURl8pE z+uE;1j8$wZ^>NdWWOJF^kzrV2X|rqnYQbn|Yrgf?YI*UH%SxkxVajYliBUg=@->>+ z%N`_@@_J3TOVR>A#A|%2Vfl<)qsWbJc&9_+@y$}Fy$p$WUtlh3iwCtOfGRtHn0DZe zmc5C&M(Kuc%7jM1sD z(!Q4|;*@_KT%fJ&rsiRKx8jqy0lE;8pdHxfj0XPF)j^pK7B@c&Joe8)xai|mC4CDQ zGU`<~QeD?%O@jAVzAe{oU>{_=LA%JYxtk#$?O-Ck;%lqn-H3Myy}yQPmiz7EnyI1t z8GUk5OZAI{FDy737usMKRNiBDs1h6~*-YpkjyoI=JUEeNT5jrl&&NMp)om7v`9KJ4 zpdzth(VcEp>-06bt?j$RPr5&}F>n1)zN9x|wHMs`ZO*y4)Idwk^M~2=$H#-{&xJTe zckl5JI&6QYf==2{Bi~Oq|MkKHx0NNs^uG5-9AP}R!!ihiXTM4A3*JD#XI-CYP3CFf z5_2Nf-ca$4#^N8Jdwl|g${U>fE7v_tvz|3yMejDz?T{fqV4#5zqV2Qby)8_tTo!%p z{_63;)(FWJ^bU{-#fz6NJvl!Sh+Wt%s#nrE7cj4^b3Ke*O#0DHrwI(nRj=?xx5krIK8O)^)}SGtPY>Lnfkl(9;v5 z_qp=GjXH(!DwMn!bH_de9h*kYtXT}eOfUzCy_cEu@kP`5*a)e6!)b>9*NzrNEmv<+ za$)w8?($O@T({*h`uq3a;P+(0E71FYAC9nxO}#&=};wo*0W%(#?u@Wvy^EVvvo!K z;*kxLS`oL!j8`C)@U}`wSOhQlKOP?WqOwRT#odmB^9zlxpZ1JCR{puTuPb<>?}7iL z#u7W(Cj^y}B#=Jk!8ot>Ml~Ye}M+fk>#e(k!ZZbQqKBtj*kFr8Ozr>BxR z#zK82dCl+MPI=&-(CFUM*@1Oowz3J!q9av*`OjT0!~T~e=A|iZoh@;_v}wU-2bA5~ z4RAIlXUk@%NEB)N$uJy=O=g*v@_eUx(PbH1y>ID4yRkv_i|cOqSU2yp#1xy3t5Mc< zxa{UZlls&5ITP17H4XGD2J;%_80Yl3Sx2}YVxBFu&W|S2<@nYUx33)ZChbwhv~c;# zqt(*Bv-YsM*ypa}E=Mb1+^r7f>-J~zpB;CcmA$K7gTYK#$J@`25NBEWJ6&^a%9a+V zP@CnV`1oQr-3BJb&8E_|RmY9`aGSL;j(0V9GrNq88Nsyhp+eK~NK=#HTvYVI7OEra z?$KgeR_d8Te!q+2>`0Xo}whs4*fUk_t+d^#H=R}t-8t796&GFj74JlkBPnA_6YN>3l4WOXu3 z>|%Jh-o`b~ppN9xsQS=&I?GB~x2@kTaJ*hSGU9A;)E22u3_DiXMbMnG$Y5`1SZ#kD zt~oubRABBsqp<3Q}it_7>z@E{mIYyf_V&mMN|;0_-u;``O9;TxGB_bm7?%j8MO(VOaV8wSRx=1qA7m`Pb)oEEY+M+=-Z{kf2dxI43DsOKHKPV%9 za+zQ&SkEw}7fR{wU6YF(cYR8nalM`F4wkSbyQP)0QV>7%goQ;8PrAG zB|nKRV}GCl8HZ=~#!MeHQ3aH(*OdIg^YOqXd*t@EaP^9B1`m?3pz9fS=cqA0wTCbF zQ#YPd!Tak@bF@P=x?y)2o)Hm}=MAJUFmKImskd4p9ak0POHNnmB9YmmbHUw7l1IzAaAAKE zFi`Uhcdy9rOxyY6kWsmDyObGpAo*clw5K~bz8O16!f~~F9eQ}CTDime1a9+Ot~cdQ z(Z}vL5?aAQS`SBr+X=R|^fm;qG6`R^C*PxSwG^T3m=Qs;^u(g>D(ro_vRTK*6GZ!& z_DeedfQW!xOUxr%l(+8~$$Oq zP?TCN1lg0dia69gQZPF0l$n!*c@Zko@2-w2zkZ!3D0p@>-FUKFSZVE|?sVFk>SD5$ zK&&oczZs7|B`kOtjudxnySC-yw?NNkS&To0MbHo)8cNP>Hqn?OcsjOMf#UQ2PPBC- zr0Np>=ylRBgya|4Jf5t`B@%-NAt&nQ%1s0v{f0;u_DxqywoLj>$j9ch@@c21rhcJ9LPEZf-A_RAJY`-|f*y(8 z|9tP@qwdavVu4GXg<0hKGkIpp)q~PoPiCf#RAWsHbvhE_zc8Dzz!}1>d5}_Q?d%bx zwOyX}#g~D)2Dps|epXdIQ&4?>$&ZSwEIjR6 zIe%d-oB`KtCNBtP<`xa6UX}EvZ%&_nb-d0BU^dqdw0t+8k&gLRUl*~ury3sDKl~W}H)ukGD6^yMMi2iwa62wdWaY_8h?03#ZQx(0 zyHFY=>Eu-AWB%0_Q9hJ0%-mkom@(WB@!fmz4dqeVadVjkuFAKzF1xv-cCAwE^J-Zh zAMP6IsJ*_rm9Xvo0pd}6Q(Mw8j`4Y^B#BA^GwOwQ{<)09p6vu3d@c(^nGZg$RPkir zn5Q7A^;1p5yu$VM^*)|g*Ipp2Zq4A_dw%}oyBJdBHhg9KslZbtJ0~Y71igCfhbiPZ z=XZ-i<`4WnE$6xrYcHjKGc}HncqhTgcB3Z6GhBba?#T$by=EvXhTFZA3T`B`so~&) zd<_0Jm0FQE`p=KIwtz8VQ@RZdHtr_5y%n;}oEIM+weR$nE8@cwG{0tx5~7uwT!kLj z>6h{`w-C6OZmkm}nc1pYP2E{-uZ2g|{Ihea)gFDT{k2e|hWKNRUo|+19UO-A!v@Np zV>}j9Em$*G6TjUwkqnB@%gZC@vw7=u^*1w*vpzI*Ri!7qpmZw}eO#my`naW1=;LmB zciY&Gh0=nPXhxqz*%G*_Xj=3o{n;5B$LEfGZ}~kvTgP~IFiOeyK-bd1vE9v9R6-&> zGn13##+x^9x)UYk`0iouUEZ_rL6ZIT?FB=9{)aZc2jn{|{JJ&1FJHc#nVSm>3wuOM zs~|5wheCO}yQh$&xiti$7QprDyZY0oPa`5Cp0crBzjiH*QMs?HD+r0qw2{W_V#g0> z9;BwG4hat@yWv5vkXd%JJG@H<|H|^cxcDF;Tut^7CpM*~rly#<_-u1m7nrAS38yiP z;29aTM4Nz|+9_1dx7b|CqSBk3#uXbXho3)c+J!NEb{fcvTiM&C{(@A@?WVuIM8 z^o()dRWvY2O`~$>zi{Eg&d$!}41j@HH}55DLNOPj=gLbHDJ04k_7d0Y`l)tR-V%-a zxXpa43`buC4K;PyMgv*7iSb0$M*s{ZB_+Y>{cL@4&Jv}O*JvX7V6}*Q36W=fh}NbYA4bW=1_j>&$l{n?drI< zTE0>+{OQvtHOCbWuDa`vbaZqLeq^-pS1(`o^!23@-^5%V>33N3BW~>`NTp#vGqMLa zCYFqU!J+mR<;!QWp&~cQdD*oZKC5xPRZJQGZIVw)CIWevxL&y02sJEpq@2hK+nOtGM?boMDHe~lEEOA%(hqF{mcdM}| zMoO%g%Ivp-f`bt!OX|ae`+!a%op%S@BDsWLzAQ4t`dtf#)hj>J{CBk;#g-_Ou`(V8 z1|u+b4X`jD_Mu-cPg`r5rJ%Q94&}VvpiZl@c;D#QO3QX3H@p<pML?O^AD4%?tXGs)>O6)A8(=!u|h!hEy54*EP zmA)yfYZyZA^cB{TQ!L&0Hn(8YNGhZLIF~0MRJu~?M~~zc6hM(mO-E^^GOv~u zLjwaldwN2?eN&W{{s|B}sIA-ER{5@&ldD>?w@P>s6n~dZ=Xg<}(!kKr(8PoY23@bZ z6ytK3qLyW9V4zfNkpNVL5{Kjcjp0JmaO#wd49S%*&Qf5s>g%UK6_u1o$;i_F)UBjS z*2Q8Ja%pu{N?g3uV%7&=v(cYC2_AFW0bt$4#Ke~`_>^^Z-UKwFpa>6VYk3Y;AO2_# zrUj$<88SUBO}WVIyUzw@Y{ufIh8oqpav$ktu~-f{G9)EkW+&r!umXb{%Hwjp->;4+ zPfl(+xllv-zgk8)l};Dnh^H}5Yvp^VD8wmhbG8)$1erTt1elM0Mo0&3o%8>_P9eNN z#BaCcrEH2vdN<;p;~JG~`-q@Gje%A-m65i-zP@SsPG4V!GCYc#^HtwM8s~8OspVW2KyhWYaW7WkuVmk#GKiFs~)Q z*&kb`mU77(7aG&RBhrD6m!{(u0&RocbXRToBGgk|gYdgn)&R|8S4`ZYW&8=GCDl8Tb-Yj)ZGqVN}5Gq(tPn2Q76N&syM87&F}N~PG>MY{ZYZeqy~V4x`7t1G5|VbFhp zqCpcK(Ecb>Xsqr8T>R3uv`B*?PlcZkX$|_fOV%Y)Vi8Np%6;7qw{+4&S@7CWNfI6c`3k zao>0;E}Q7YQ;?8-FK%6mG9&h$n zp6-A?yj&O}4&{w*cj}7L4|K1qs|#0ic=GV!kHkbBFerrm?=2K_Km^*IMBcsqU>KpFP(vvI`&aA!)HgVdI!NmNOaMj@W=@@bXn1r{B+2#*KFp2_! z!t*!n>OgPb#odsI(}PP`H@^yW#0ZW%$>`|JePz{h$h>{)R$ydgsqt_DA-z0 z;F+bX26}p4etwb?5-Rxyq0{CU7k_S?L5bdoZ;kV~X;w&jxU={M^{Lo- z2=8n`JAc8|QkjL+@B8=fH#sxY()gVAe=cmDo*c~{xMJa*cE_BCgogH7hJOD1IWaNu z*DndmmtfAoU@!-V!^Pe-JPHA*$p|+}^D5L+Dk;}G;Cr;tJb^#;h+7$G1?%L_j$x9H zjz<6tJ*1){W>$l0R6$TB_io=7Fz<4<6@G<_gR_>uAqVI0?dgf{U$R{p;sElyeFe2B zc>0^DnVFdknJZkfQE@FGc{E#t3QUv@|D1oa;8t44yOsU9of0q{GvhHF7phJ969CL zx$SuP25CfSt8ka`7HldgF2vFJBad}?3xj~QJWxX0}t@6x8TYDxhK!Ehlou2;F z622j3mwgoLB_=1q*y8-o#?i8*(Xfrj+2guM6oROGV zOILz;TwEO3XTXpeE_kw?C>i524jJ!UH0ASkU_aTtr6^#3_oq}+a6r(i zG7;`&h0g2h9R#R>j!a~;hX56$IThcyRk{D}Iv5x~pWml<2-8_+_>`5qfv>51Ur52Y81|*#f&uBHuAzZRrRcXotB;Qls3MU9@NZA+7gwa_01h=NT`7=^IRs#!jv43`a z3wI*(YuMb}JUQAMx9s5eC1$>PFFV~^mKH9*g2?P-t!LdRhU1sHxhh!egCHYMZA|v7tIJ82(~p)eYC4SFy?esz3F4| z3T0(wfUSi;lbCl)a_#i3?U+`i6Tqwxmhb9*`!DHknnvt@#h+4=Z2x&7x+JTTld;!( ziHQCtDf|3ZhhR7@XieH_zF}cRjZ^_XJ`n=WhaHGhOJ&?`2WPu|+m1mT42SYJ9Z$N9 zg_VTa_Vmy1Q9v}`~pHpS_sZY;{W1o3er^@EUJLOwpewW8^p_Fdk>sJHo=^*#Xthx1^@W%tJZ_)&A* zCVlJHt^E9arUfNOUS3{cKAiL^o9^h}dQB}Fl##}|!T^ggqel0R?HD$iNHCxUH=C$X z?a{5x&HBd1EBVf~#g>V9>dvEsJseQ>^+-d+Sz~KK@hNO!GH3 zUEW(6?!XvfQp}kF%41+O=ll2X0W8{_0R(MtWw`$=lj8|9Gc%x-E`BAhz7qoVH1!)n zJC1Pk?N>zk;ksJin`Z=`9(;L;8U(QcW#Q)|J(W2lr%7_w=N+mw~jw3{N z@97Qzi9l%0&CLzC&+pK$YFppfxR=70c6u^?R-TfgzqdL%#|ExE5^O<1IfyeC$~w?^ zkoI%mZ~-wMuS87NxW9Y%ZqwE0-Mh934gh9{%j_-H)R6cOq0kXl>;1fx<@cuJ)wH$NNga%3FbB*(7g6ZGj=-CCJ zt@(8oqeSiGvj#2Y)YsK!aG?15I8|>*;EGgG@X6Tg59bl>Wm$(IoVBGlAOXinWtA)O?d>{QN4UA?F4>1QPJ#+}wv$ zP5^kZbokvfqbzLrK87U_1!>*wtf8-TX7{x;1G#qCSNO`S>^UG)@$(`RX&l z<=1==Zgh`~kAJ!Hcw{03Kds0A!q}(DRv~cy4Fn1@x={Pq*pqX?O?IDLq?5JT9?fED z5lOK)XDTWW$`A8yw+9j^2t43oX?y*M1d2SAUe zr8ONb*#R@fp&UJJc72})Q8%aUS*s3g)DghTHe2XnUKcK1O(w^9(~zObPMXMn-?cN# ztd{yRfRym$$rJH#CO?1wu_E)hY30?9lJm#AnmFVq^DYjys!zgW({jRri(4?Ht*fh` zppf-88-^_-EBh2QTd;llbg{eZU)n4UJ$>uO*ikMM<~ScpQ!GQkq=8h`wz0V&6u@9hg%{GH~fBi~gpHo!y_S6ZKj_0mddG0?$^qt=gGcLl+oMI~W z<3^d11H3W1ZCC0S%A3)9W5$a}y`Bm7;eb*+i z7Pf=U<8<=uC-PB*@-nGZnoVH{sW9Q5-e6~EHW2g*#~j2D9-Q-x*YW?V+ig<_JiqL& zmgOLceG6Z2mMEjFtZaRKz19`l)ov!5#0P4qiHo#+D;vt&Zr zeAF(*alP1M7r6q)kLB_}HXx0t*;yRQn%dfvlM^nZfmrQd=jYlYx4lu@a8nD&A}(XX zrpMMb39Ho&a4ws00mov;-)pIBV@EEE9lL<}vVr2t@~#HS?>J_U0xGprPI0kf^M}9A z|9g#}%;@N7*6gyW$+!Cgywcd61DgBdlONmLrPBPs9oOwZj#^eoC9%bffTjkl%w;Qs zv5Ksvnp;G|=P9af&ucVflrMF4b=ym^Mzi0G2066HN1UH$nJ+I5*&fb~8?X5;pWlGf zE~VxM4VGkbjNk%!hIn$g|L%Ne?oWTxIGtcXeF*Rk@FkWO zU@qozT~Db@+6F2^QXB5e0<4M8Xc9T?eeuL#U-_9LDFxgOpNJVAQMf4A9nRZXMJ385 z%XjbXyQO=C#KdVNgk@LGiRa(^lg|F3=qEwjGT(E>Od(5+gVgU&$ml-@f+jIpqGx!} zz#eP%Qx9U*HAzr7I}kM8vV-Nm#2Y@lNt~D*(FYE`-kZWS$pb<4X1V1|T_|1?t^`{s4%(bD6Y(Fqpa-j| za|^o17-p21N)9RWeLC%}q@S`udVSn0zLS?<1%Z0+`1kBO~u8Gn{^34PY9Sh+t3o z`SU06Bmf?m4Obtla6TF-wwz4>pJ{AlBq1uQSYoAbWwi}7`4uAr(1L-^G*nHKV#PZOn1gDL@DQ8*=1i_`M@z7^wY7|l%%c0bHSj8VH)!pr z4CNmC=X-p!rx6}km5p<9bJNn&6w=>Px`EU9#$hNAw&%}3>gxkB3s6zXm?VjBL7}1V zlS)C2{PE+*&QgCQCx{~bw3uxP3Aq!#5|DKb8#_5GE1VXPa6z+)XMa4Jp5hiAnXb>M zDHsy(RJ%K`G7D+Fb)xQ{Gh}pnd;p%=rnZofkc7l%sG^Dr0|J2ZZIInr-sT1cxkKPc z0-2tg>Jt!P>+)9&azxZU&1e-H_sl%RO!+d;G|MGPnX`I{&+i+MPyYKVyjR%`oEXQoas?#7icqWhFAJ6m3jKgr%?bfEZxdzMpLuYU zwp&DzB`q(HcHQ7WMspsoaQ@@`JXQ*r$LNFhTXl{d5@ZJ_)fxR{t=84w|J7Mh%$*y^ z){5jZZEtNQfVp{k9vvN-4*ab4&Qy2Ws1Fc4<>uo%n(vGQcPyGs*Z-Jl5eR%B{R33W zvV;U00fDh&Nm*K{sHUbSZh%w46Wd)IU+a-F9Qk)hJd)E`mhUmX2i;3DotWuX;GThIWt8gCw*Q~>1@h|+2uXk!nQ3wbUzH8qzG z{=I>51|U=3zI!*t=G+j~^Wnua07T)J{a!3z0fVS)$2X!Ka?Fi^;3ehjE?vEPHT6&9 z(a+G<0yygCc_)mmyyN`019b2CACRiI((t&dvA(hXI(^5)RTF&XCW38$fO6T9wCJW} zb0b2UFHgQzShjZjVvDRLR3=v4y^+8@AiiKv>fQgFJ?Ku-G256GaNR$QJ%Kv0+FT%Q z$a(>}2ePyuNNwnPJD*U>Kj|fgsK@?VuOGOwf6;~f;%_nK&(O)~M}DL&g5tCAkGpbskWN!=4NkWiOv#1z8Om!1KQlpD6} z1pao~mTK$xcqJfS{QRnu*3K`1^k8kBU#*dxni|1r95FPBc?_{v#6pZpm~236v*{_^ zQ|XZzH?gj0MZ@3&p5ojSMTITEek?34ffxoP?kj|sY7oQ6Dz!VlhD7^5ycZ65kc1xPW^<3#~vVB zg-n&sr5%9i^3~r4Qj4moHd}KrKYEmym-iaUz{m&y?;FH$wi#cC*LxW4)@X z>Kr2o6n1xa8<%U8CM47^kn|`iIHHvwyCUe_+qVH>dHos7d}Bj)yuV8nHm^c~NrG4D z(}ftBRM2P-{Co$h4*%#MS}L1s*!k{+LyYSpKVro~hj}GcKQ7Ad4+y+pYTog)1c%Wx3TB=ZQzr zH#+JZUv^v@AYjCF)}k2-@*aTbWdaNX@_8;UE?}52m#u%&yij`!7Z(?ZL6v7GYiv)S zg4+}PU!0&>F-{C^NL$!yC{a*iR=H8m0oF0ZmS^w_A`p^oqG^V2H0%3E2xHKsHI>?p0e&Y zpRkn5!H1DoNHl)3E59e?@GVt@8Pbz5xe>os8pguZV5y=2;iJTZLZYI|z$t*R53K0aLC#r|k_1xV?k;U=Rc`Ptd5G&JuQx)Q)*05UF%RA&^M?foe2Ns`@uwYdJ6nfqwIcFcSOON14r`=^m`<%>7COSH?ax=_0`i*U^ zwh400^YM4Do0Rg(7d}|*N-%9lIH&X}O+Mn|D+SqtdLKfV7Z@OlxjH~SCcJa!Ync4& z*Xe5IL)-S}b>GI}S4#_k!%CGd<@$1<^?~~Z=#2S>{|+l1E?9H*Z|TD8$AdS>d95~T z#k;y(?y++>u_tZL(5~33Lf8A9e8YfsFkZY>YMURa@WKrrL;yEY-AQmfQuZcbk?FKO zMNCP6*cxDXh~1hn0ikovMI#jCs|IrkPG^P1U9Vy}t2u81zX)Xd9VS+jOM;UGZZNDa zE&1{rrmG8buSsM3muhQkXPZGJ!nHb9 z6YAv~5Pnz@CUqNzI@G}2JSia3SZ*;=0*x6&GcuF8>D+(^xM7~VTE;&$7ioI1nC7q* zMovy%YP;sLlu>v%t`A(iQQL7w_!wBQ;7~qh&ctA`q`4WQ6Z zPELXny^5#=2m~P2Ea=_4ckSnYQ+A_3Vs~S@vDNoB0l{nsaHh8Q6j0`ZV?WZ<0lJVx zMgcMg=qTumkI-?$Ue)t>QdE)^zvhURt&M7t4WMvezI>_oB~q(!;(;D>iUytbS?wlz zU#chOVpjuC1k`3u(=pJ_Xx*^!@b>oh#(%zZB{-?rpYo+Z9Ge4sAD|0BGI({{?;WW2 z05T8U)ELC6J-}BWPI?d-FNMi1m;)LBl(d!c%JGBIzD!2t0_fH+HZl-is6?FAxL*el zjMI21{!gG_R&8?>)2(tqypazvp!)Xp_D(;s2Oc0FMn#=^$Ls5pc!GyN57rfk(g2A^ zYwk5}IDpFl4GM%QH=BW3g>uMBPPUu>?g=DIu<`<&@J78aaVzl}^klmmba}+-Rt)%i zN^cBn2NHHkA zjIr@Y(3HONd7$`b>^b>x7!AXm8-zg*Lq5t(S}~&A;gaf$FE0g9kBY3AX}D)tzE)95*L=NB^iQxC9jZv3@utQ(9ob;| z*KqG9!+8Mt-wXHuv_{j<7xR3DQ>w3yja)!yIxgG)AdSxdhIC+R3SN8cG}T0aWxvQO zx>=(SCmXoU$<|_}PrE~@K z+w+=WnwnE!^~RlBw`Anxa-9w~sf7KvMy7OUS3#i>~WV$*!fM_S8UY%2-LeO=9u?eOK z3WXZC?pFm^FFQLM2p4Jc85T=Sb`e>`pk7u6)I4JJa`&MA1pP=9t;efJ2r|a`Z z4Sj_{ZR?Y@Y;0_2U~$FDXz3mhtlF z=_pgw<~=@NNL4ThX9$ESO6(e?>zUEL%d@4tEup#_P?;fwd{q+Y>Z_7qN<$Xcxerpe zWoM^H07nCtS`X+a>PJhfdS|J}6F(VZ<1(1;Wbc2GrGY60_L5Sn9 z&D4d8If7NIAyc&!=#!iPHGxq9;@!N`ZUgNPA*9rT z*3N*;`A7Vf>pb+3a6Ve1(m(_Sf7uGi+V_L2G(9SGpxrBbl$b`$}_MuO0mo?JLPoB ztFO}KDVG2z3(LC7i&|o9>*uamw71 zm^fR^s><{+<(Z$-jAvwIfSN(|>T}UTVx+^;-XWKIA6T4dt8(+^wZqNULsuaRY|5)Q zNLWsf#>07sCB?0$O6q-Fui_paZ*|Nz19Pr92BaW2>xtEyf7?bMIz(5gTe*XS9 zk5=|p2cPUN-+@7Q2Ljyj!RG-0WK}X*>w!Z##FTGhzw#uF-p0me%)F6&GyWjXa%gyX zt>VbUesg!&w0~(wp6e+{L1OK-Y6DygT+vS8LTRQw7ZPIRWJ-cS@SmZ-7r^}>@;mrs zz*&!a+~v)+A~eT=BUBt*+q?*lLZScl7Y9L1o%-2bQt+YBAu^#4rznCXds zcoEmN--*b|*hs;`j=qNwrAPV)YF8NpjBMahOTvzlDDUpPD2?_`Gvu~bzl6PJYVb9~ zj;!pGWOZ|;uNa4lN*Rzf5TM!xaVqqTt#-xQI$mBSwUFp&M$s-nQzU!=QRxMX1@t<90I}fo zu)mU1eW@ERn30_9_|VG3MS5SBx%Oy7P=C{~DFGn@)$pI>>e9|3 z4#A_ZM9s{1_H6ts(|#S34DmcQ<55N>7ZnXG1~**Lb1ljGc6q{_Iu}u{n1$#4d#I^z z*xPbLFDn$&S_p!)b3dAzDP7ylzp+XQ`Eg zo(r%QFYyaAvvoLI_}OA{Z^x-cFC3|&QzF{pA9J#-3mOSnVX;y;uJ%*o;{oN{pBm54 zwZ@bb#XP-0s31E#I~SKi78rVmT;oobU^(HY5-Tff;+u-&P4Uh+ZGwCEoMu}>mzRxv zNf^X9VO}6{4(2aN=UHAd&xS75wN21%Y{MG6$iW^u%(r2+j9 zY^^lR*n)QJvHFoG<9B|mD>++`Kp-sH`_DT9Tui^OgXLxu*tjU~!sUpSWTz7C=^!z` z$bxei>ZCYs^7$OFez=HEvnFgUHyVOZNm49#LsFa|;gbZy011+|6PONil=pk9e{NeZcVz({6N!B+ z7d{&DqoU*aMqxL6If^KoGgsDeau!TB_c1;^i+X_qOCl*3`b`iNSap|!*#z-$Fxqla zJ^+@11)Q>Abpn7L01F0yKsCZ}NW(hI9lvnILL5-Db6y3qhwBYwrhwZkjY?yl_&Sj@ zJ$hKl#Jy6ja4H6wJm%=j05rNmttEr}5jGOotTcz!&Az9SNW^ip;Pw*|Vx>ZpQB({9 z85~U2VSjpn0vUCUPNShbePENQ=;|i*`kgP6Fc~f|1~nLXI_(`Dl}nkWAUFeX4dl`R8RbNWIdK45ruyk-xPj*~*&T-atwN#%TV3(d zLSny1?e0N;#@<}(G<+IKs+Z4>C&X%FzX>M_fTgl<^L>IYIE!@R$ks>O|JdA1p|_Fr zjuGRH%-&gPNS>_q1Q=HUB<(=YmhyO5x6He%(2tWGXO=eDcjxF~OM$I0B zlqEp^MgqFq^POlWxmt_@>-J5o|G}1kg13e-8tUtd!r{cQdjd{HnVId*8)>b5YS$@| zc2nNKPy-zA4k2OrAxOJco*u#xhjP|&?neW6kxqM_6zq-iPnD1Ju1?&xamffL-WbKI zp19FCKq|Z3@i9$r;^=iorR|}7j=rg>AZAU`3|PR6b%SI=CHw`fhE}R%ZM7+xl5(<~ zQ%XeSF*7q4$qisKprdWG2jXE2AQ=o+k%3Icbs~l@Xr&9-5pa+oYY}}QDr;e}306&H zsTBX{Ujjxb87V29TXJUReNg;&SB7asL1WA%Y>qiQMgiP`KK;|vvm$o=zc4EQUl>&# zv-)JI>B5GA&F&!>VRN$?U{T)0ghs-&Yvka8Oe6fcp2vyyqwEX)Ia}nqYUz__m#QXi z2(!c|i(eW~N#R$p)tq~SJDY`GuTZezpIuEtsf;{ zu^|-}7N&sO{REO#=MN!t$}w7uP3PA@zF|Lrr@-aU1@IK4VCLubgdN8J=REOax3Aph zKky#{JtV0cmz=j}(Fgc9_c;uD2;fjkH|!h7jh6NjJJ`i^tbh6KX+6EY00Z*e4pp#p z$|AUZd!})us{BsnOz-xK_Qe2RKHrcBf-SAI%$Gb22gP)@)b}C%8q2aLjh=-M-jGC* zKS#2}bJzSc7%Od!pl$=-8u7q-Z~z1N!IwB(x_SeB0f3#5t7MnPl^?tnwa_d}S^Tdc z^`E7T^~ZmV`|Ics@a@~TQTiUvF%fEQ05mH|fI_-mCIUtEVE~H7B zz^SNf#H=4gMvE$}vg9Q(dC42Yog2R+SSrp^v^-_!>HtT}YLIUCm)kc8N% zhqv@MP#jaq9Y}P%HySD0SRE~Gt_OBH$ZoL^>KPb_xwwGjR-S&h$FZ2GXtVouVnD=> zJbT`gfO`j6w$oxyN;MTP+Y}w=8;OC+nD}t}$IKt*yKLWw5_EJ0-1-h9nQ~*<Ilj}$PRFMQ^N0c(BHxqb zB5v$YXXV~82PauwF4?7}jvG_;uKl()HcYA|?8QX@*S*Ad#|7KzExhS!cD}lVgAS9k#$f!wDi!RXoF*EiPy6RtUPd^@IvM59u zt~OX4_R>zM~HxymRLc$d|kWt%DgXWC5$SzI628 zFk9$ADE-u^PV^%KmqmWLddal1++l|qaa>z3F&jW3=mK0wkN^U1Xi99X#?E5zi7QqN zxA_zpDkrW4SwOl6v!yxxxwG@h$4giMP=nw(5bR;?)V)JPC5W?AFjxRNH?CTeQhfd9 zO>cky+CX;P89f-oJWl)TU>t%T0KN)?$8@X=FgVYVH>)jOi{P07tp$AP2{lJjcV8d+ z8zjtA8R8y`tMMceEPfGVseOBmCo8p-*{a>`mPLWSYRlth*CnfwRoem=%cL_}iAlf) z(9~w4+7$@Mq+^p{R=U_vOqe2Um-{OZbjCXntTT`*5FG+HVqs|sIM%$5yG9l#prC_^ z+&?^g1m4;cZUW}&;?fd8Ct&M{<^v{s=;5$4a3q_9Kz9~3Q7W}DJ{tp$v_R1e#QUWt zXll>#!G-SZ?k4)1=K_NTl!URdvA(`Oa2pc%<)uW9rFUz-DMgFu0y8I0WzYLz_nC}b z_g8`U!Iy47IlDM>&6$`Ihp+~p_8ZOZ=Tf7|eu+^3U=@8OFgLwHMJ z5`#`dZ#lhR{wOa$0bf8vrI;&12U-)~=XMsbf<8Pf%)coUNC+QrH1~s@P}xW%G7c + + xilinx.com + xci + unknown + 1.0 + + + alu_0 + + + 32 + alu_0 + 32 + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" new file mode 100644 index 0000000..0ce36e2 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" @@ -0,0 +1,44 @@ + + + xilinx.com + xci + unknown + 1.0 + + + edgefetcher_0 + + + + + 100000000 + 0 + 0.000 + 0 + ACTIVE_LOW + edgefetcher_0 + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/ram_data/ram_data.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/ram_data/ram_data.xci" new file mode 100644 index 0000000..ef4cd96 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/ram_data/ram_data.xci" @@ -0,0 +1,105 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ram_data + + + 8 + 11111111111111111111111111111111 + 256 + ./ + zynq + 1 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + ram_data.mif + 2 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 32 + ram_data + 0 + ce_overrides_sync_controls + ../../../../../instr_ram.coe + false + false + 32 + ffffffff + 16 + 256 + non_registered + false + false + non_registered + dual_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/rom_instr/rom_instr.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/rom_instr/rom_instr.xci" new file mode 100644 index 0000000..0119238 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/rom_instr/rom_instr.xci" @@ -0,0 +1,105 @@ + + + xilinx.com + xci + unknown + 1.0 + + + rom_instr + + + 8 + 11101110 + 256 + ./ + zynq + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + rom_instr.mif + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 32 + rom_instr + 0 + ce_overrides_sync_controls + ../../../../../instr_rom.coe + false + false + 32 + ee + 16 + 256 + non_registered + false + false + non_registered + rom + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/cpu-single-cyc.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/cpu-single-cyc.v" new file mode 100644 index 0000000..323f335 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/cpu-single-cyc.v" @@ -0,0 +1,165 @@ +`timescale 1ns / 1ps +// single cycle CPU +// 2020 COD Lab3 +// ustcpetergu + +module cpu_single_cyc + ( + input clk, + input rst, + input run_in, + + output reg RegDst, + output reg Jump, + output reg Branch, + output reg MemRead, + output reg MemtoReg, + output reg [2:0]ALUOp, + output reg MemWrite, + output reg ALUSrc, + output reg RegWrite, + + output reg [31:0] pc, + output reg [31:0] newpc, + output wire [31:0] instruction, + + output wire [31:0]ReadData1, + output wire [31:0]ReadData2, + output wire [31:0]ReadData_ram, + + output wire [31:0]ALUResult, + output wire Zero, + + + // debug + input [7:0]m_rf_addr, + output wire [31:0]rf_data, + output wire [31:0]m_data, + + // temporary debug + output wire [31:0]imm, + output reg [31:0]alu_b, + output reg run + ); + + assign imm = {{16{instruction[15]}}, instruction[15:0]}; + + rom_instr rom_instr_inst + ( + .a(pc[9:2]), + .spo(instruction) + ); + + reg [4:0]WriteRegister; + reg [31:0]WriteData_alu; + register_file register_file_inst + ( + .clk(clk), + .ra0(instruction[25:21]), + .ra1(instruction[20:16]), + .ra2(m_rf_addr), + .wa(WriteRegister), + .we(RegWrite), + .wd(WriteData_alu), + .rd0(ReadData1), + .rd1(ReadData2), + .rd2(rf_data) + ); + + ram_data ram_data_inst + ( + .clk(clk), + .we(MemWrite), + .a(ALUResult[7:0]), + .d(ReadData2), + .dpra(m_rf_addr), + .spo(ReadData_ram), + .dpo(m_data) + ); + + alu_0 alu_0_inst + ( + .m(ALUOp), + .a(ReadData1), + .b(alu_b), + .y(ALUResult), + .zf(Zero) + //.cf(), + //.of() + ); + + always @(*) begin + if (RegDst == 1'b1) WriteRegister = instruction[15:11]; + else WriteRegister = instruction[20:16]; + + if (ALUSrc == 1'b1) alu_b = imm; + else alu_b = ReadData2; + + if (MemtoReg == 1'b1) WriteData_alu = ReadData_ram; + else WriteData_alu = ALUResult; + end + + always @ (*) begin + if (run) begin + RegDst = 0; + Jump = 0; + Branch = 0; + MemRead = 0; + MemtoReg = 0; + MemWrite = 0; + ALUSrc = 0; + RegWrite = 0; + ALUOp = 3'b000; // default: plus + case (instruction[31:26]) + 6'b000000: + case (instruction[5:0]) + // add--- --rs- --rt- --rd- shamt funct- + 6'b100000: begin RegDst = 1; RegWrite = 1; end + default: ; + endcase + // addi-- --rs- --rt- ----immediate--- + 6'b001000: begin ALUSrc = 1; RegWrite = 1; end + // lw---- --rs- --rt- ----addr-------- + 6'b100011: begin ALUSrc = 1; RegWrite = 1; MemRead = 1; MemtoReg = 1; end + // sw---- --rs- --rt- ----addr-------- + 6'b101011: begin ALUSrc = 1; MemWrite = 1; end + // beq-- --rs- --rt- ----addr-------- + 6'b000100: begin Branch = 1; ALUOp = 3'b001; end + // j---- ----addr------------------ + 6'b000010: begin Jump = 1; end + default: ; + endcase + + if (Jump == 1'b0) begin + if ((Branch & Zero) == 1'b0) newpc = pc + 4; + else newpc = pc + 4 + (imm << 2); + end + else newpc = {4'b0000, instruction[25:0], 2'b00}; + //else newpc = {{pc + 4}[31:28], instruction[25:0], 2'b00}; + end + else begin + RegDst = 0; + Jump = 0; + Branch = 0; + MemRead = 0; + MemtoReg = 0; + MemWrite = 0; + ALUSrc = 0; + RegWrite = 0; + ALUOp = 3'b000; + newpc = pc; + end + end + + always @ (posedge clk) begin + if (rst) begin + pc <= 32'b0; + run <= 0; + end + else begin + pc <= newpc; + run <= run_in; + end + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/debug-unit.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/debug-unit.v" new file mode 100644 index 0000000..70af960 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/debug-unit.v" @@ -0,0 +1,238 @@ +`timescale 1ns / 1ps +// single cycle CPU -- debug unit +// 2020 COD Lab3 +// ustcpetergu + +// 7-segment digital control +module seven_segment + ( + input clk, + input rst, + input [31:0]x, + output reg [7:0]an, + output reg [6:0]seg + ); + reg [31:0]count = 0; + localparam UPDATE_COUNT = 100000; + reg [2:0]select = 0; + reg [3:0]x0; + always @ (*) begin + case (select) + 0: begin x0 = x[3:0]; an = 8'b11111110; end + 1: begin x0 = x[7:4]; an = 8'b11111101; end + 2: begin x0 = x[11:8]; an = 8'b11111011; end + 3: begin x0 = x[15:12]; an = 8'b11110111; end + 4: begin x0 = x[19:16]; an = 8'b11101111; end + 5: begin x0 = x[23:20]; an = 8'b11011111; end + 6: begin x0 = x[27:24]; an = 8'b10111111; end + 7: begin x0 = x[31:28]; an = 8'b01111111; end + default: begin x0 = 0; an = 0; end + endcase + case (x0) + // +7+ + // 2 6 + // +1+ + // 3 5 + // +4+ + 0: seg = 7'b1000000; + 1: seg = 7'b1111001; + 2: seg = 7'b0100100; + 3: seg = 7'b0110000; + 4: seg = 7'b0011001; + 5: seg = 7'b0010010; + 6: seg = 7'b0000010; + 7: seg = 7'b1111000; + 8: seg = 7'b0000000; + 9: seg = 7'b0010000; + 10: seg = 7'b0001000; + 11: seg = 7'b0000011; + 12: seg = 7'b1000110; + 13: seg = 7'b0100001; + 14: seg = 7'b0000110; + 15: seg = 7'b0001110; + default: seg = 0; + endcase + end + always @ (posedge clk) begin + if (rst) + count <= 0; + else if (count >= UPDATE_COUNT) begin + count <= 0; + select <= select + 1; + end else + count <= count + 1; + end +endmodule + +module debug_unit + ( + // from human input + input clk, + input rst,//BTNL + input succ, // SW15 + input step, // BTNC + input [2:0]sel, // SW2~SW0 + input m_rf, // SW3 + input inc, // BTNU + input dec, // BTND + + // to human visible device + output reg [15:0]led = 0, + output wire [7:0]an, + output wire [6:0]seg, + + output reg [31:0]seg_data = 0 + ); + + seven_segment seven_segment_inst + ( + .clk(clk), + .rst(rst), + .x(seg_data), + .an(an), + .seg(seg) + ); + + reg run = 0; + reg after_run = 0; + reg [7:0]m_rf_addr = 0; + + wire step_real; + wire inc_real; + wire dec_real; + + edgefetcher_0 edgefetcher_inst_0 + ( + .clk(clk), + .rst(rst), + .y(step), + .p(step_real) + ); + edgefetcher_0 edgefetcher_inst_1 + ( + .clk(clk), + .rst(rst), + .y(inc), + .p(inc_real) + ); + edgefetcher_0 edgefetcher_inst_2 + ( + .clk(clk), + .rst(rst), + .y(dec), + .p(dec_real) + ); + + // from CPU + wire RegDst; + wire Jump; + wire Branch; + wire MemRead; + wire MemtoReg; + wire [2:0]ALUOp; + wire MemWrite; + wire ALUSrc; + wire RegWrite; + + wire [31:0]pc; + wire [31:0]newpc; + wire [31:0]instruction; + + wire [31:0]ReadData1; + wire [31:0]ReadData2; + wire [31:0]ReadData_ram; + + wire [31:0]ALUResult; + wire Zero; + + wire [31:0]rf_data; + wire [31:0]m_data; + // saved signals + reg RegDst_save; + reg Jump_save; + reg Branch_save; + reg MemRead_save; + reg MemtoReg_save; + reg [2:0]ALUOp_save; + reg MemWrite_save; + reg ALUSrc_save; + reg RegWrite_save; + + reg [31:0] pc_save; + reg [31:0] newpc_save; + reg [31:0] instruction_save; + + reg [31:0]ReadData1_save; + reg [31:0]ReadData2_save; + reg [31:0]ReadData_ram_save; + + reg [31:0]ALUResult_save; + reg Zero_save; + + // m_data and rf_data don't need save + //reg [31:0]rf_data_save; + //reg [31:0]m_data_save; + cpu_single_cyc cpu_single_cyc_inst + ( + .clk(clk), + .rst(rst), + .run_in(run), + .RegDst(RegDst), + .Jump(Jump), + .Branch(Branch), + .MemRead(MemRead), + .MemtoReg(MemtoReg), + .ALUOp(ALUOp), + .MemWrite(MemWrite), + .ALUSrc(ALUSrc), + .RegWrite(RegWrite), + .pc(pc), + .newpc(newpc), + .instruction(instruction), + .ReadData1(ReadData1), + .ReadData2(ReadData2), + .ALUResult(ALUResult), + .Zero(Zero), + .ReadData_ram(ReadData_ram), + .m_rf_addr(m_rf_addr), + .rf_data(rf_data), + .m_data(m_data) + ); + + always @ (posedge clk) begin + if (rst) begin + m_rf_addr <= 0; + run <= 0; + after_run <= 0; + led <= 16'b0; + {RegDst_save, Jump_save, Branch_save, MemRead_save, MemtoReg_save, ALUOp_save, MemWrite_save, ALUSrc_save, RegWrite_save, pc_save, newpc_save, instruction_save, ReadData1_save, ReadData2_save, ReadData_ram_save, ALUResult_save, Zero_save} <= 236'b0; + end + else begin + if (after_run) {RegDst_save, Jump_save, Branch_save, MemRead_save, MemtoReg_save, ALUOp_save, MemWrite_save, ALUSrc_save, RegWrite_save, pc_save, newpc_save, instruction_save, ReadData1_save, ReadData2_save, ReadData_ram_save, ALUResult_save, Zero_save} <= {RegDst, Jump, Branch, MemRead, MemtoReg, ALUOp, MemWrite, ALUSrc, RegWrite, pc, newpc, instruction, ReadData1, ReadData2, ReadData_ram, ALUResult, Zero}; + + if (inc_real) m_rf_addr <= m_rf_addr + 1; + else if (dec_real) m_rf_addr <= m_rf_addr - 1; + + run <= step_real; + after_run <= run; + if (sel == 3'b0) + led <= {8'b0, m_rf_addr}; + else + led <= {4'b0, Jump_save, Branch_save, RegDst_save, RegWrite_save, MemRead_save, MemtoReg_save, MemWrite_save, ALUOp_save, ALUSrc_save, Zero_save}; + case (sel) + 0: begin + if (m_rf == 1) seg_data <= m_data; else seg_data = rf_data; + end + 1: seg_data <= newpc_save; + 2: seg_data <= pc_save; + 3: seg_data <= instruction_save; + 4: seg_data <= ReadData1_save; + 5: seg_data <= ReadData2_save; + 6: seg_data <= ALUResult_save; + 7: seg_data <= ReadData_ram_save; + default: seg_data <= 32'b0; + endcase + end + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/register_file.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/register_file.v" new file mode 100644 index 0000000..a39e064 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/register_file.v" @@ -0,0 +1,42 @@ +`timescale 1ns / 1ps +// regfile with debug port +// 2020 COD Lab3 +// ustcpetergu + +module register_file + #(parameter WIDTH = 32) + ( + input clk, + input [4:0]ra0, + input [4:0]ra1, + input [4:0]ra2, + input [4:0]wa, + input we, + input [WIDTH-1:0]wd, + output reg [WIDTH-1:0]rd0, + output reg [WIDTH-1:0]rd1, + output reg [WIDTH-1:0]rd2 + ); + + reg [WIDTH-1:0]regfile[31:0]; + initial $readmemh("/home/petergu/MyHome/COD/lab3/regfile.dat", regfile); + + always @ (*) begin + if (ra0 == 5'b0) rd0 = 0; + else rd0 = regfile[ra0]; + if (ra1 == 5'b0) rd1 = 0; + else rd1 = regfile[ra1]; + if (ra2 == 5'b0) rd2 = 0; + else rd2 = regfile[ra2]; + end + + always @ (posedge clk) begin + if (we) begin + if (wa != 5'b0) begin + regfile[wa] <= wd; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3.tcl" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3.tcl" new file mode 100644 index 0000000..32dc900 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/lab3.tcl" @@ -0,0 +1,613 @@ +#***************************************************************************************** +# Vivado (TM) v2019.1 (64-bit) +# +# lab3.tcl: Tcl script for re-creating project 'lab3-cpu-single-cycle' +# +# Generated by Vivado on Wed May 13 19:37:50 CST 2020 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +#***************************************************************************************** +# NOTE: In order to use this script for source control purposes, please make sure that the +# following files are added to the source control system:- +# +# 1. This project restoration tcl script (lab3.tcl) that was generated. +# +# 2. The following source(s) files that were local or imported into the original project. +# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script) +# +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/cpu-single-cyc.v" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/register_file.v" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/debug-unit.v" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/rom_instr/rom_instr.xci" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/ram_data/ram_data.xci" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sim_1/new/debug-unit-simu.v" +# "/home/petergu/MyHome/COD/lab3/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sim_1/new/cpu-single-cyc-simu.v" +# +# 3. The following remote source files that were added to the original project:- +# +# "/home/petergu/MyHome/COD/lab3/instr_rom.coe" +# "/home/petergu/MyHome/COD/lab3/instr_ram.coe" +# +#***************************************************************************************** + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir "." + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set _xil_proj_name_ "lab3-cpu-single-cycle" + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set _xil_proj_name_ $::user_project_name +} + +variable script_file +set script_file "lab3.tcl" + +# Help information for this script +proc print_help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < $::argc} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] } + "--help" { print_help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set orig_proj_dir "[file normalize "$origin_dir/lab3-cpu-single-cycle"]" + +# Create project +create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1 + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Set project properties +set obj [current_project] +set_property -name "board_part" -value "www.digilentinc.com:pynq-z1:part0:1.0" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.accelerator_binary_content" -value "bitstream" -objects $obj +set_property -name "dsa.accelerator_binary_format" -value "xclbin2" -objects $obj +set_property -name "dsa.board_id" -value "pynq-z1" -objects $obj +set_property -name "dsa.description" -value "Vivado generated DSA" -objects $obj +set_property -name "dsa.dr_bd_base_address" -value "0" -objects $obj +set_property -name "dsa.emu_dir" -value "emu" -objects $obj +set_property -name "dsa.flash_interface_type" -value "bpix16" -objects $obj +set_property -name "dsa.flash_offset_address" -value "0" -objects $obj +set_property -name "dsa.flash_size" -value "1024" -objects $obj +set_property -name "dsa.host_architecture" -value "x86_64" -objects $obj +set_property -name "dsa.host_interface" -value "pcie" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.platform_state" -value "pre_synth" -objects $obj +set_property -name "dsa.vendor" -value "xilinx" -objects $obj +set_property -name "dsa.version" -value "0.0" -objects $obj +set_property -name "enable_vhdl_2008" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj +set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj +set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "webtalk.activehdl_export_sim" -value "20" -objects $obj +set_property -name "webtalk.ies_export_sim" -value "20" -objects $obj +set_property -name "webtalk.modelsim_export_sim" -value "20" -objects $obj +set_property -name "webtalk.questa_export_sim" -value "20" -objects $obj +set_property -name "webtalk.riviera_export_sim" -value "20" -objects $obj +set_property -name "webtalk.vcs_export_sim" -value "20" -objects $obj +set_property -name "webtalk.xcelium_export_sim" -value "1" -objects $obj +set_property -name "webtalk.xsim_export_sim" -value "20" -objects $obj +set_property -name "webtalk.xsim_launch_sim" -value "94" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/../ip"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + [file normalize "${origin_dir}/instr_rom.coe"] \ + [file normalize "${origin_dir}/instr_ram.coe"] \ +] +add_files -norecurse -fileset $obj $files + +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/cpu-single-cyc.v" ]\ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/register_file.v" ]\ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/new/debug-unit.v" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +# None + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "debug_unit" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/rom_instr/rom_instr.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "rom_instr/rom_instr.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/ram_data/ram_data.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "ram_data/ram_data.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "alu_0/alu_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "edgefetcher_0/edgefetcher_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Empty (no sources present) + +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] + +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sim_1/new/debug-unit-simu.v" ]\ + [file normalize "${origin_dir}/lab3-cpu-single-cycle/lab3-cpu-single-cycle.srcs/sim_1/new/cpu-single-cyc-simu.v" ]\ +] +set imported_files [import_files -fileset sim_1 $files] + +# Set 'sim_1' fileset file properties for remote files +# None + +# Set 'sim_1' fileset file properties for local files +# None + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "top" -value "debug_unit_simu" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj +set_property -name "top_lib" -value "xil_defaultlib" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "1" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "1" -objects $obj + +# Set 'utils_1' fileset object +set obj [get_filesets utils_1] +# Empty (no sources present) + +# Set 'utils_1' fileset properties +set obj [get_filesets utils_1] + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xc7z020clg400-1 -flow {Vivado Synthesis 2019} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2019" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { + +} +set obj [get_runs synth_1] +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xc7z020clg400-1 -flow {Vivado Implementation 2019} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2019" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "options.verbose" -value "1" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +puts "INFO: Project created:${_xil_proj_name_}" +# Create 'drc_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} { +create_dashboard_gadget -name {drc_1} -type drc +} +set obj [get_dashboard_gadgets [ list "drc_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj + +# Create 'methodology_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} { +create_dashboard_gadget -name {methodology_1} -type methodology +} +set obj [get_dashboard_gadgets [ list "methodology_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj + +# Create 'power_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} { +create_dashboard_gadget -name {power_1} -type power +} +set obj [get_dashboard_gadgets [ list "power_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj + +# Create 'timing_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} { +create_dashboard_gadget -name {timing_1} -type timing +} +set obj [get_dashboard_gadgets [ list "timing_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj + +# Create 'utilization_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} { +create_dashboard_gadget -name {utilization_1} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_1" ] ] +set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj +set_property -name "run.step" -value "synth_design" -objects $obj +set_property -name "run.type" -value "synthesis" -objects $obj + +# Create 'utilization_2' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} { +create_dashboard_gadget -name {utilization_2} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_2" ] ] +set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj + +move_dashboard_gadget -name {utilization_1} -row 0 -col 0 +move_dashboard_gadget -name {power_1} -row 1 -col 0 +move_dashboard_gadget -name {drc_1} -row 2 -col 0 +move_dashboard_gadget -name {timing_1} -row 0 -col 1 +move_dashboard_gadget -name {utilization_2} -row 1 -col 1 +move_dashboard_gadget -name {methodology_1} -row 2 -col 1 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/logic.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/logic.png" new file mode 100644 index 0000000000000000000000000000000000000000..d74facce1613bf1c233885fac241028c1f7559da GIT binary patch literal 95295 zcmZU*1yEc|v^5L_0t9!L;67n+w*bL4AvnR^-7Pr5-Q9via0$WP-QC^wKgrF#@4fG@ zI#p9OHK$K^pWd?8UVDP&WyO)+;J<-@fIyP?@LmxD0@?rq0xAOz3i#%O!n!o@0cocw zE(}pNOt=RD@zO8xUP#$l>o5&oBX;V(X9X|7Ljf&8=sg&QP38R&6{W63e7;KARb82y zWvseMNQ34@BV~Q8uGpKrzs$xBRni^ zHyZ^y+o?OeJ8oKr+Z-cy>Ew|ETZl}k5Rm`(@_7yIuLA`|3h{rhQ5wiy%0=A&-`AYK zzsCFjeGLsc-i>BLv3t zkf^J0k@{e>@Z5dg^D}D|KL|MQ|8?!gw9i!=U6~B${(vsN>fG4a5D^jS%LofY)YjIH z;STsI1OYAhzplYBZ$Z*k-XDodp{Uy6YIW3O-~TllFfwj9#AWoDydLTQGYuAUh!%ZA zgx600&wycK5Uzd3h0Lh`XIkFgNod|$;A$AZrvGPK#D0&%I*AMSf2JkaJ_siWN}BWi zsfk))2(`7fl@vlxP2H2srE~n>0RQV&K`AI07#IZwg^liTJ6qdxC5<#5r~C~f!@)$M zg6^oG@o}a`pZ^vnCWTeyC`BOf4<7WVQ7h`n^VSJ!!cS3&-Eu-R<2l`N{ToTPv)gVj(() zHr597p~7g z1>xUa7t{u}nP; z6kgUniQ@4;^M3bx35NB?)_Bkip@Kp}ka1AjHO5ijlANy!oA0($Fe}t*P2&v4I?VsG znJ`JXf( zB@sOaq+$wwkraW;ujJdMf1f>3@8Ve`+;^l*OqP$gX91^Qy2J2rKw7*m=ee!mC&V=h zb9`SxE$1W1i-32?DZf{$;{we~w&|J_D=7bPUQ!Ic)SXin11uNIB@6+Iv>iRO15tUv za^=R(Zai(s3U7fqSF-A*aeXukL7*GE^>-5|{b-ijcwy`wZTcb>$@FI< z$k2aY_Ov9#o%3|#c_IhgsW-$?QYya(Hqqk>v?qss}$KkJZwHu0>c>Y?_1nQ_101hM4$gm<1-J+MY>39Y_mKC5Ct~zg4YiJH<^wZ8nF^b=h4D&Rr0tLovGQBz;Ng^VO zj@%;Oz{`Gu05WT!{f>IGff^gx5skaht07TcA@=gQ-Mh=^ky`eX_CfEnbkMhi z%d-cOCYHe+Nt(?~<#7p5&uYke4`o!+F`_wM{$TcxT^&gDka)%`ZU zCb}bV&u-GtD*3&Qw#4w^wwTxMM+@&DHc^!fT6I~z*h86LGy1U7*6Mmye6J}zqZsX;-@FoX z5~9QbOIePr>G3nevMwx<-)*{#Q+S|XD>{eEVuvUiM?2(lN%9Q)4o$+@Y6C&qFq44J0OW(ssiu_!&# z%RILND4T3uMM~8;(#}s8hHdhhy#*(cqJ7U%1S`0^WVQ(Db(Z})m5p_@2l?ww5EG1O zVz2*XITch;$<0El7&wDd^Kg@%7B80OP<4J9QBzbFr+keJ7Z>p4(^9w+>ow_GC+5i` zo>(vQ>rQ)3*ErZOW*UChkWG17TLZWJO6mn~6i4o!t95gwy zXxOKzRcFSA@Jd-h0?^F_4~#fWs~rf8rJ*(T@=3AE(J^P#zj8&xj0@mMJB_LcM3Y;> z9@6-*zNhfI*zyG`>*4sWg*p{f`h?6+6=l>H(kQeW!b?h(9hEV@9er<0UIZRD+!@KR zf+riRcDo%0 zw%kT*eoL!ovoHYb$ONx0+jB{m%>+{fAB>*AQ_*h86sB2V)FY=mvAjuX(sM1@=@Ec* z=79EwhwoxOSN!e!vsKTfKFETF;MfbQSLEGH!7{P8 z;@UCP(pMjwV?K~Qb2-{buZKg9b#cKfxv}0HcX%qvCt>VVbFF3&OuV^+Amaak?hy~A`(1TtO=y||JczZ@uqxW@A%1v{=?j!L{AJP80`h#S znubyg#*~AV@#y~MHit9r1&`Z&^ZOpMF^O7-ZL+58yMqm_w$nFX#c3ST`VKujq%ADJ z*wqys-dHVbRRv~Eb|x<(33UwmhfB46SAPsY;FUAgF{4U0i#~p8+Bjj$K(p7NbIF~%csYB#xvfkp8AX8Zl7&88Z4M6lo9Dze z%U}i`*AKq}MkEw;mOiuz;hykQvn?*h=Xk01nnFsUFbXbRL*rd*ff>(4HR?-{LNP7*RWr}wc(v`0x?K7NuPwrXeP;)+t6(_cP4$00<+QC;UEWH%NR5JaN4KN zPkV*@OQ+HcC^zL55idsb+mD{Q&w?SuL2gJ#)1{;*R>Jhb^eXFo?x|K-t&ZL-sE;{_Qq~P>&IXjT;rMFXR3k`)w(PiopBWYWX)=@;HIo{aW8@Dz-yIn#FRK9x&{`!jK z$H&|JMk5IaP|T+Rf7!pu<>+5TH;M__LmY$e9#J+eE|@38*4k0<<2;+-^2)14>b$2E zHW^XO=eyT%a2^qn(8-I@(5~#&bJf>H>g^TKF}&HP`hz9GMDF2fvZv9>;i0rBW=#o? z)6qPeWTaVhwDb7`IWMg29PY?R9B2C_{tGr0!*)BhL$(kJ>pSN!q3H^Y@|N{beg#d-9ceZEa5ZT;uO+PS*f<(X25ZgC%j7*U~E6V-z~e3#g?1Qdgjb z*Jq%rxm-=d>a83jnO7SqiYzOBPn2|hU5CPQJpV0(hghU#_Fb=2hR02YHTWHviac;# z)&b)F{yut1;Q7|ibSp~P?d9o)?Mn6FT6o3F)6+HSX8Ubf?9`7sb&YekpO$2GnGLY) z0tuDIK_xq39(Ti@$)9lqW?HtZ;h|>uC#rfnMdfl(buFyw+MS*+TD8p!6NW~wU_@}F zkB5}`XqfagUdx7lBZ%QEjVRF>oOUnY;p}FDv=S4S>DL;cm@?~}PE8-VvRw7mEU+0s z_E>&NK+|Gp=eNsM!sxr0<|~R{+VS&(v(BSvVTWAdJG;wj`1u?oAh-1Hw#lX}*HE*^ zgTwh(mJ<|#5(v4q+$;9}4#6wnes=O&lfA6(_RGcl&nw6bbm<#gyZEQSR_ndm4yBks zYWy`G9SEpmlJxcU^?Ecg7>s`>|20ssy#sDi!$82OT0OYGC=u7<8a0r_OVDnU7-vKS zm%$M8!E5vUp(qMu8!QnLA40ZNGZgGPV@N+{b=aDp**nptJjr9p{tz6?Un{^#B(YK} z_xwoL6ij1DR|_W)jV(frT&qCr&GFQ+c6JsC7Pgfu9#(p&QBS3jceKNzO|xn^0d zPo+q%rI|i;77O`frBN9faxJ?s1z*aO7dt6yIJU>S&{y+E&U5peSmr+a`B*>{y^bMmw}P?|aqOIVAm;0pp*h5^a+VigAqj34)P$c9Ypzzyqtit};P#AOpssdQhN&b zv4{I8-#a)sIpk`=>`hylFqb_hY*hEDrd0Bm$D@V>2OCpJSShfE{#aTVnEqd(le>od zR3UVsjTtuPizaYx0B-!)tgYa}hawVgk*a{W#dr7*nRc20a35YXuhV@52cT!Ot^Gc} z`B=9oGJzdZt-C-wJZo{jPDjJ4mYY(%Q>tDk%SW3>^BR@otE#L4{H`gMdzF#$zIeG= zO@#KW!{mBJX7~OE+vW2R$4V}2k=ECI>0~Q8s4#j}S)3;wEm!F_8Xcs+6bgg4w|DEA zlB^&9oPR*TczJA5$5eC&3>3D6H-DJ=X7iw#Vljxn`%L2w-6(bK-J8G6j`92U+mo29fTB@peGa4@TJ`$Fx0ioSobGvs9YTw_*Eh(kp5MAb`)?-hPikd;) zGe(ru672p#?2PE|`kLOp{+Iz!NcZ1I(V+!1VW5=NQ)O8dOBrS3^gaZp0$D#mxqSQf zP3AHIi<0pQ6Pi_Xw|rOakX7!7vDSu#ess-kxDqB3bh^@yjHtp?itpXoQ8D(Om>-+Q zq!^p-E_T}P_e&NlE-wIbEG4}je>e;N@BVpvO91Ok!W4K73mHoO?n?)B2vwB6;_!FX zyxi$WgQS&KKE`->foE^zQ#=i8`;GafBrH0Y>Bh;k{a1M2T16C;6@!msHWqgDt)QJ_qJSFJXi zDN`ztWfR~l_2qJrDA6Wr*wvLGnjrp3pt#kbu)#AoMq5|PcSu>OO{t-RrOaq|eSQwG zpbLOOPw~(d8~AC20*q+k0_M?w+?TTiglllZ091isdn$YwA(!ppY-Mc7^dyDr&9RJ} zfsql%wBV=cqzW5J682il+w~#*8eE&-QN^ox=#louu8O`kdXN*11TjMZl_~uxu?6G z3?zbHOCo2U-SCt9PRs#%yX|-;cxN}1i~Xpu#3)4aeD~%7@o#}B`ibz&c(eq*eE9aD z#_PMbolY%7F@BQSp{%U4P#n-}7#OxKEYWWMr%NTe5pOK$e-JA%R9swKUx?Ysa!U%k z#Tx{K&~M-PZ8zcggir9X2iH2jBG`vL0NHQHuU`wIV5M(P+I5yhe8LHz;7PH=LVUEU zHmAB41>2ROX<96HN3(`#Frq2jp6|{4UdPQ~w1&hvBVV8FcgzO6J=}azY?bX(v;rwk zmEPw4oY19|J}LS_-pJ-`K@S2I%#Ov#@y{W~0CcC)5v-EXulj#nW;aDUZJxnE{E?DI z?l%n$jqY#)aehKhYj5Ja+l>f`_X;d}t_G=vk}?9e2FgmH|)Hn$5(AXTkhAkluM1nW?8J{I}lk6>g4~ zB)|&NCIB;!se#XCiix9}9a^I#Dfx!4WTmt;UsTF2%Oy%WdjeFy!9+$zwmq06^1^4g zjrb%f?q|)WM=hIbEP*it!*dzUbUBIx{XJeVF59B$ly&qL!J|)%|3B&D_9h zgjW5VAvPOKPzNW#d=B;X6)m)P4MbcHwx4d?#1?$qw1jgsgJ((U;xL5l1D$PN@x*^a z<+$!}ygN4lZyX(!zMJ-cQBHKfSznuzK%4=vm9ygstbGzP7 z%dc>Z%TmN^Xll~RjX*)kV1lyET?7OK=7*%Xt@ph)_xfY*Gl zw_(MQJxXe(LIbn<~T`jhZ5Xho-(m2PbDiq)kKG>>W+v}b2oY| z!KZ=mrJ8doP%JED^~!vQ>JcQl%)Whf($sA*g_)rh+2YuH@M6;M6{_)3`pxf9@!bak zApC1`%8x#PYZLus6!%+hmN%eeR@^VbRWGtUx|CnXE1vAicN*GmH*hHeOX|Du@$re2 z2FP=donJ1f@6i5`Vdsm9XKDUnqqViLc3kZDw+^a5ldM`l5OY1}L6+i)zH;eMUZK*` zGxozMN|>CSbj3h*#BbRi4fxq6eO6O>ldRNCdNzRNu+PnDPr#-pAupMB?_9+&zu7r2 zp@q6?ngfU2La4suO?557jlMr;lu=4l2LGajfletslGVZkm$tZggiCc6h>3I%onp-Q zj^UrPs0K~*GYms2*2mDws$7=DRLqA;7M#ZKp_azPYc7IO@EI?SRt`huLPyY4OuWo4 zIygk+)LK!@4*qW)>oXOks?8T-4i;6d>8~1B)Drt&f5g+xBP{vOY>lhdd}pn~KuN7x zgAQhF;B~s`*m2j~HCZWwzf2)xmZR~sBzj<&NjzB6Gxg1W$~j0WYIWG(u)&+HsjJO$ zi+D6zgl&6NL$$k_+~TRK%J!ub2^sa5%zZWMmY}-#ym-1&j>`T5<0T7 z($*?a{EYCG=@oS;TwMgxRizD46%Cj5&^iu{cfdcDqjoUdBM_KsjP}AgmgU9b^`n*4 zP30cd@mDU>)h+L1sARH_wLPxl=Eg{qoK9DT#zW|$C?&I2z#6M~=x(KVWHpmtJJB5q z@DB_*Y&^KaMxgG=;CV=OJfQVC)>qOwEk+E)c=;J}>2FNQxLik^G}UBem1kOFdKd)U zOZF1h00*r2&|MsIkU=peniuAKj|X@41jKWTXCvrepHgV}iSS~p+<)B+-IjZSzM%ct z&-O^@@$qpQvCG0nzr${yEi17qH=C1!)hf)gpV=pA9#oUl{Oa zf`2^Fl<_~ebUAj&jx@8IWKvw0_~+le$nU|7zsuD}Jfi=&mILa>r+CYH+2*~}MnQ~+ zzB*ig>0zR%bMZ_tZ!rt|Clrl-gP5W=PHYzJbG{^1u?T9?pNSCf*}lP>mtwF#I9^dn zy|t0HweWRO+8EE;i5(UvNUE_L%xu|>oa^lD3{bVZBn{{BdVUPd*`bX3TH5t2k{ZAmqfoV(+R6hOe)AMhDxn^bA9lKqF51W zlU{%9Vyhan-N?2W-4hw&+FzNYLY})8}gnxJ5 zi6KX`r6@6cB^74mMG_I15nu<>->P*MzF+<6*T;Z@QaLm*fB+AV$8P?)CC6~jSnUnd zR9}Vh&CQ6Co{GP{f|pIB{TG6jCMUTkrua!kL4w@4i?^-z+*nV^QBh;MKB7|D-VL8o zAnDTbQ@`&IS@A(%vM9~f&MN%0Pd1m|^btXTRg!q!CE7Pi5)RM#th?`peQ#h%$YNlu!`yj^AhUVkK9fV1wL7JiTo+Putn6%`7>2s-q|d%<6|H=KXpDf7 z_r9mI6W5nK&qnDZiEE1c{a(SxqmnfNES8MYw9nwq_c|DVELzYE3R5>ECPb#aHy11jo!SHU|biurbq%Y;M?y>~YYSfBzmPwJ6A+ zPJApkWfde5V2i!yC)IND?TjAo^J~bdHoSqvD40QCt%*IecOg)FPD~tD%eh|`7iw2+%n5m_sQa(fx-CGUFgfIJ&nbc4wE`R(eo?q9|y&Psr zOsGv}*h#KeR?KWNt%c!;pOkxE9X|$%Ybv<8u_t8L0S)Kv%>=!RPyr|jKdfI4~OZ9wZkS*fA8H|`YmN@!57@)Y#4Ru*2wh}Uyu3Vg0fCJjC5AN;ly;*jPkaZak z6~g^fbw;h=g%Um+y=wwhDglJM~yN%YnJhHWaYVfPj}|_H3);&*neYw z7|3z<%|NYhCA0YdtL+gyT27&%LEi23Z=ZIlo-_UfXYC0!QEz; zU3(hK)7yItUY@e1qN3s}0?s%J04KyqNL~Sqz-LV0{!lep>DSPMY3+cbgR}4b&a7!; zLh!fzG)@~b>Ug!~ZL<%GEp9jLxh}In2~9rKyQGAEDnjdrIi7NiTJtDS=*@)xEx%v` zGK5=EZwicr#GA*9krGwrh}fw{hlAIMxPmNM6YNs9=Z z>Y1i@k)Jm)pc2`GB$Eb~w3`4yxjnWgAtWTE%xndiu>GOAtgLK~h`e-g^vws=u>vw~ zdtZJmygOsHCt1R2;IWrd?F$Pj=ogvEmr_{Mg6;FbXsQb#daa~7#L&&n&AWu~{oer; zx)e*R^c%Bv1p9u#w8>Um%gvHXY|t z#D#`L`2CBYp`k_piCkDEAd2BRU;#Vf1|B<^<{dPfJrJd z-89<{VfWU&kSes5OFyrO$7q69^UH}?0k$nmoB@f}Pkyjl*BV1oo@^_PNhNj4z*+-m zQK94fjIIbK%GXXqwZocP4cT2v#-CXP|DI`YQFQrYDlacD?o*`VJ~EYtpShCb6J-fi z5udhlwd>7@?DAD5uZg7?b+@7p??<$$CBGXkC(B^EO0Z}dBSCdj2!5=#N#cRjTYiTN zWQKwf(7>sHi@C`^TwK!3<*K_-U6Xgbnf8ckax+`zDQ)sw&&({Kn5ni@iPE6!Yw(nK zR325*T^dqLhuNhZP*)oKPYxz103BN#EbAlkT|z+m&RBGFIzMjr`0HgVUH+x3n(J3} z0*;c~pu@c*a@jlXuj9xFiU1z)!bbkCy)B0`pH293MILfRn^s3 zY-B}LbWV6^XpmF6pj_T+a(fiqMHTy(>80rLlAVdX^){qqs`aGM*ET%|b8T|x&9PDH zim~Yx98Q}hAQ)i~+iQH+KGHgpFkisXdjZ`G-L(l_d1TkwjHKyPWSl-#7ZdVY`bBCL zGrvssw4tn}fU#d`M%CbK;E#AJi87)kPElt23|ayVuvBb-0i}t00K#wGOcC>^I0{D3 zjadFSun*F6w#Cx|NfZ_UB(1fy5+E-sW~m|D!pHgj;wH&gS66l5s7lppeNDm8pS5P~ zO;-9^$oP&Vr;m6P6#~B<9lET={Y*37IGTz3@h+|Px(6oM$SVVx{WZxL11uoO8!+&j zARUE!y?=b%WO66P!=PZtFkvO^t4`D%``sAobAEPnFTgKXB;f$Q}vz@p^y~je_;i* zbP$kF=zzU}z=23Y7zGSzJkD-ajA=~Uy4TT{hG<5ePl487bE>fu;3 zPL;X@iPdu%rJOp7hVy48fo5wRvn(?+6tQUcOWQIAP;kxo0nXzu?T=0?h3cmP8ZMTD zx3a=wUv_NGFV7CZqTFl~cE%^j!qgm2lIR~E-)^~zN-?du{AQisaRRpPN6vl?0cZ>z zQ6&%%-r(KH63ccIFX?Z<^W0zJyhNp@c3&VG+4fwg_zf-|E9}hy5FkSI|8FXH$Opb z*qs`D4tL+ae=p1oL6duGi?n<#Q9afnXa6UX7E&QJ!uk*M!Co40Fa`%+USWP7?Nmz) zQD2Fah^=}{eAlnEM2e8?4fAgL0@f!<4a+BcFjgrc5eKJvQTqdyFk3pe1>bxVclL(w zjP|Kw35d3At2NdGr^a|A1(GRD{ItVB=`}m!XmX{h?cGHly&mNvLfxprYA)8=b!8Sm zdzlC}C2X1MBNR-g^&cNv4tU=)Q?44P?y;Phrl71LDT~tV>0cu9Oj@3rcmeDnmsO-6 zMJcfQH80h|a;U2$kiR7(?B)*spZF4_a40N2?Q{;dQ)6ucT^4HaE44a)>pfK5ChHCj z*Ly1Q)({MlO$wF?zgqr0ur;e%Z|r^@c1Lrh&{Ts$#ebaeyUyJI0VPcfjbG5z( z^?X_GY}6Dx0nQaS0YW*(#zl`6_1$YACh5s{inwSc1=?Ds=lu{!&dzDh=!qg++t)ZqV|?vYB}-l=7kSXy7wd}_vYpxoCWJG+&e zU3b4KJ3xS)Hsn)Y3en~_M2kz<<>NA(7}pV`whwZAn>mWg`Fo$xktv$TzIu!K&;@=7?SKq`TXt{!L zB2-c^d>mi%<)2tD(&2ETt=c30ICufK9H~}fMofrO@WzQ;4{SRP!{Iv3l`yu$Mg9B< zODX!ft%X)CUO)0F36%)+rBf)1d7;48;jy>8?CqD0u4oZ$!X{cJTqA%Y4su1QFDgxh z@K{X;(($W<8PO1W28O|qFTBaFmX^oGA4{0DntM6$i;HO~DGiS1YsbdMo2qF?OX!YOSZ-0?siib?#v;WQH8Q&szRAApE2mEI&wuvfM1? z5U`CH9W0I$%<4FrJ($C6R=_YTqGoD4>4Rd3Xc)dj$>{0Xxg6C~UTUJzRm;lAYlQ@T z-Z3ZO2-Jd3Y_Q)Q0CG`)XclxJ`xS_CGFz$FQZ$xQuPS{FhFMde0+1dS zR-PSqhKbOr2jUqouCJ$aJT#^G0Oc-lOK|YvL26suOQAx}?M4dI1vsa*udnan`iQ)* zIAP^=Sp}cex1P!eq!5{?3_unU`vp-*_~$y}cc%q$KH>Bf|ef za*#n?Uti}!OS9H$az=HS^^UUKzhULdWOz7z({HV5 z!bd|xkVEMGJzlVUrJ3)HO85^+?x;)7S7JjmfnRA z_@M?R43MK5qCtyP`+~TL;qZa%v#C0`(36y-IpQcGQnRzO^04<0DclaG0LLlOjk}{b zBc)VBp7(yv36prWw9xFsSEv90rUbDit$Y4V>?Rew13XnXjUJ$uf~jtWwXwIS=&J!h zS%ckF`f%k%PC+s3;~reMf?x* zIo;f!hysY0(Q9}FhS1T{cH4tK`d~!O&w!L30`eKXqP8}!PaivGH=D;eny`_8xfG_5 zYT~?S*AzXG)kKk8oROHCp$Lq38J+9|CznD?IRPND{(%9PeaglE+CGefA(WM* z{1I=PD=fr8+ya_vYHDv|wu}rgKOk}9dPyVjx!=2irB#J&V91;7?j}Dz*LTy)WhOY+ z63%n}lA!8e$QMC;n^f~Qi2pB=Z6^R=PrmA#9nY+4VPcTvz4dbwLBx`s4f&ZqQiAv> z4~a#(yPkp|!3f9omRqD_#}pjk=cVcyKY+)ArIndcqWjR}G3@^2$*2{szp+>wj5%LA zE=g%ED~uXSg!oBq{TZ@NTwPFg#st>&MW!;q8*XgRW?lunS2UylH( z<@y~|(E%}KurRm?0pZ^gVml!mYjJYn_hznJWD=ov+utB>SglwxKQ)zbAPLv--xixs z(!Ws-OZ}%(HJB;=E+^=GKrlKg)lFqNdIo^y@~n-Xh(LWXjVbOw6zM9X5Af3{EA(Lh zI%3nc#A4HIa?7mb8l4~}(o7;?kw^7sbvP3FfHOWvi4JZHg&z6MtV2K}N_bC5VXMA^ zFYb%vKsNUi+yH_5K~6ciFHN;Vuy7HuP&_J4qaM*Q6dkm)RA zsPPe;#_iCr@2R8nJK6hl{X!+3nk?6Di=$Ve`-s8LW+K&iuXR2>_oX`F@S!wp%`?4? zR+VgGIzC4E{;|KaYgza>l$9xv=uf0LVCSZ*8(f|9%-0yxC8vJjM*M-*$dI4!1K0xSF}c6oiA1XZ||=U#?{3AM*s|LO9d)ahvQS=l>-d}js879TVY!1*TLd06F44$hk4wy zk-omc#F_LX#4n>X?{rMg_`wgai=%1j==u+L{NVow0DGsy_x6`jb*9n3Eg84dcGrbT zNie;O%k^x$xBGF~s{bUgL(;xYxl76S@+B#XOBVcFv2ncE5Gid~V{MJ~-`a4Y`^$(I zFZ6t9bh?upgpNNZJ>0xFm(SyFBs+(7)W|)z(9E$sI*XZZhDOiEy>MH<+9Jj;gI|}T zYLyFT=atCMlS;5$_<^Yp8F{T`)d zaRGYo?`uyafSgIkP!Scka^VOvU58I|ZLQ+xc713Piq|?9+67xb!#D7981kxPj2N7D zU^JXB7M{`EjjmpQ)mlH%wl_4)f19c#nGf^=34!r^-&aVQj(I0Mya`DKmA|{Tw9ID$hbv)gNygm2pIU{Tq z+7>wq1_tx>&R`%MxYiA-`+edx2%je7;&NGO^#F1){43L0P9C0=H^u;EIk>Q(F0RzQ zCrd&HXwkYONXj>?@2hfh2&ncfyIq4H>aEaCsqkX`hl0?^cc-+qU4sp?VxXRXv~Yc4$8rXm9-297ILo&DTifACV>09u1dh<4()V%L@ia zWBd7raWhE((gTN@QDrA;YHAP&bQDHU{`PF_-TO~8A+LW9# zH$Xw_8X=U)SM7@YrYjF_cEPVIzcM z5O(vG(jJ8spT8byyBE}N;abFtr|H^TBA(~Q_3`ZTEBm6t!dW0U0N7}dMt%E@YC`Ih zKDG+nz*9+tL1}^A>xMlSEEDZt&$emey%|5HI8rHw*XG*EpK` z_tM6ku4ZcjgwFqV@C>0=)YTQ}Rw&c$ZA1vPI-ik6sP;*gr^_Wo`;9jhfObCLLKg9A z!PdWqk^ve&)=!p%QbNP_QWe3!^%Fp-;oh)?vziJvZdCB}& zu*kbwN-E_a8uXiqU%53h3y-vlbPg_Ih!11!JHX)rjLT>opt&i7W~>sRPAY_21Gw8l z)ELoWw3vSqmkMGiilk+anp>W)br6ofS(rhy$C>aT zOiTTkUy66I2iv00XI45VEddsN|K5Dzhs7Tko?Izn_D_h_LWe^_vQP!nvLYU|{wx7a ze(H`%Uuf`t+Qh$}o)>W{lGeJvE6EFg!&Y#eHqW|BXM3`_)+;q{xP}QIc#=cTFWqW^ zy}aMox9=w4d3P3pj4^G#a4viD-Qz`K{KMu!AN&RQRg1pXfq}VI5iUJNOl6iH?>~?B zLo=2DPg&CJTJMIKbwPr^#FCJu)acc_ zQnu+Xs&*3fBoDCf2yAezzdl|{NlRNgmr+h`wA-5YQ$2blaWZXSK2aZyrFB$ORh{!K zs8TP8F#536MMeJl1jln|_Q2 z3sy%LIf{&}j(yirENYccPHVPu>rma($yLrj zG5m>|aC_wrs3=fiDVGCI6_5Z~&es&>kyC!Pe0q5vZo+{50X`Y|1t=9V_oOJ$B{@8R z=GPBwfcBrQp<>IW?oBRP`8Nv^S+!(49_?Q#Gmj_uLx!Z8G4szihHp|$l=0RI|gldgpKf%k64jCQumC#xMVV`&7y%%Y;A&2FO%4?|m{!5PSVX$cZ0TE2*f zO(nOn<=oS>JrASz{#Qc+gq7E*EQYGL##Ra@rqZ{=^qSp(JDYD}b?JF{@QnFUV8l)A zVrAr-P#L<-d!l*25r1=IAW8fA{ZZU~PoeV%;k$>2i5-8}ob8>Rovkgrp}6R1^!s|< znl&}Tpu{kIw*G|$o`2#MW}n&U*X#7sWtXz>WHa%8|4!T`E&#@CNsqx+V=o!!`@9X} z;^GP?;<>i+dLTGj%t67juZtiNNWTz@_i)~t7lC=UkAdZ+x4gAG){8I2KU#`5{B9(k zK%1hey-R7Q2FBQ@?08QN9&3=k#ILm9Q?$$eG^4Uhd7;VUj0}Yj^kB=@VasaXg1VEYHA%JclrhQMoH-*)5eVuQ zeYooQFEPp(5>#}Ng`gIF?9YHY%4j%M0v+E~qUXv~LTcZbc!@EneKbp?NLTC2mn@he zc%{EJJw>RRA#!^9P^y!?y*pGNNe8O{v?^G#;MskIt{bZz<#*Z0L<=FxI#Za-t>gxT z&D=k_jc7fVL~>0pZKGHf9fIR;`=~Qm4-RqJDks(9LO>3H=x*7s;|EcTvX#CEW4h>q zkb7Th{7lUmC7CE!^iWtq&XxJWt`Q_$wqK69`?iB zrZ3W-n)l(bdQo~WW&|)PYPoa`Gu3zpXM@eRhL|aSDrM4wNY<8)I`cnexjc()=rkW$ zn_6#WI}hU#k^lAaaK>`p{jXTb;%V?s_V0iI{FF$^LmXeSP-z~vE|}ZIKZ59JbY7mj z!k|}tlJ>-vHl+;Jqa_E^$O5BMrk~KKf5VizSsY2QMmNA;Z8dTeKINTH#D=LhHe-;l z8>|jFA1J5m49L(V`4)lxcGpw{x_w*CHqW&4#rvC+a(<(YAj)J+#yOz+Z&Jc_)UVVp zJn#NIAt%6>3;>ZxG|y~bftSH@x`AhMsd<;l!q%Zs%6&H=k-4|}o$Cl0wR&VF3_2aH za{X7AGKBuXpx~e7sUKYX^WzOR%HBcIqj{$Rlf(o_-=*zzx>M^0MRXA5!O10oC>6WeQ~I@HEgQQ*VUgMzf}hWMUocZaQdOmx;pFo`HK7E2zi#7^Y= zn8C7g@G(FG6&b1G_}w4zn2hIUW@fschyB}?RYuQ8LbQW zO%=+YAC$L6mk<*Z-#g4|e1A(aqVXx@3ggbXu^jvS%-#qNfm8<@Ix@ltdq7{Gnyd1? zpQ3Anf-kj3cEX{}OV4q}fR697^TwF~@}ca#2%YT>2@99~dpQ(!v3RGez>fWOnY7XBO*hGeM*2^&W_nd~V2|i)J?sD{-s|Pzk_Z&zI2(SIpW)~*bB&mi0kIL{ zl`!}9=K95ktb}O;mpd6=ilY){8GXXQ{~7F0m4w^A7#|s?eT$XkFrR%VDU)l9qo$r) z(+!laj}{x0%n%Hh(9S5UwfH%$e4v$4LNhyLusKDf;epI08E#beZLKUa8!tvqDCJgc z@o`i5XEdU-PYX&UT^f75MtYauw%$_0lX%8PGRDNEKUhuvl+M5-$j;$t#rT|Da}{%9 z^@#&XWR>vr9?=UYfN#sOrT8yp`oEBN}{O`=;luDM5l#iL6>4xqO-3A zP&ZHvJ)Wx;Zwgit-+#V8C^wr~f^r2iUD?pyz+3c|m0cT9(b?X_SyR6dt(PVbY-(EC z!PN5DuFG@|1g>)WN4H6EorX}1^VUr*eQ1ca+cofbV-yshtRhYzqb z1}UnI`n&$HHCbAFa||0wUP)@cIo0J_&n!*1B?d`E#Z z%g47$F67ZizFySmkfF4`e972JXU)=ysnajUQVgB-%O#y1m*D>A+XY39X%!%Vm6^e{Qth z6nU^pn@AK>ly5K@uwv{$tFMqEjz5qY`8O>>{xCFGOw(2uEL~uK0Sl*z-(qmgS=PeX z)5c9Q%;vRIx&)(D>lh_|Y%yQ?3yzoT z_36^g_)(GRH)#a%hUCtb-(TP{^K{ZJ|KZCnUvyX$85wy2u^bw5korE=LXLh+)`W5l z8leTzQ2FD2sO5vsFgP!)AH_HNj`>O#bUsKM*8@CEE4h-GX+tM~o2oi4Wkbw?BAkPe zB5w73GQR>ieCBP49Zm}H9&Ds^|FE(-_QISgZAe0l+(tV$x1H*|VqfOnOL{M%RGg0L zFnuAb;jOSe&OFF)#*jJM*K%@vJwP12n=)Q%C`WvV`>HrN1P;0;pdhq9FIY^cvb!(9 z2}nJ_`xBY}5<+##7viUIU!NzCIu$mdK;a#Ea?HBo()klv{+c|?bP(v^m5WrO%(B{G z!0zkY+tURfrj1ny)B!u$6#*dXJ{wb1?j z=2alwyQ5`o<7I9%=7j>4`^7=5DC~3uyK7dN|td3 z9|1_wkiNldM$GJzf_RinF=1I#3^#n$7)Ri^a6X)q(*B;zYclAKV2(={*<}X88l#XM z67c;LBx2zL*=RGYs~)K7e6cnepz6C#Ms>`_hW$_PP){1OEhE0Wc7ddvp#Ld{H9%yf zFkIp>@ap;rFqOTbQQ8O2&@2(gSK>Z$i*sSo+2xAzUjKx16E{!DN!rUg@$POX*IMp2xMdP0IwGG1Aao2VJ~M*y2M z=m>}{d%$PVe|^5$OEO>>FA0UYI&RB7%4O>Mqg+w6sTfW8-rct-=i|^(NYln3A9(?DxP!14tHXK)7z!Kp0M0|Ek#L z^-RHv7%k4^1ej*bkcilYaQ6SIv`v%=g3Iub-0nES!|m}JG}or`HhLSbh`8h0%xtEw zKbc_(qQ2>;Uyb+ra2BkDPI=tYQMMCfhIPH}g-_%4klyrxYQT<^!_1)rOh1Hn=@c8d zqNSu$3EDr2u0z2jphLJCx$akRe+@DuZ75Y#SOV?VX}ji4$HL@iZlfiIc#cUpd^ORI|fBBN{qLihOg*_W)P z1mg2~LOYsosce1JT~ex*Er3>SdW50GTq8A)BNHlz}h z7K<$x%=Ji(Ru)Cw=iqtp_t{1&csfSIRLPo)S`5gAbno5S6@j1oJ=y%3ZcIp?a!}7V z(TDFnJ64Em}M%$Ar!)LL{O# z6xv8M{Cr%IiLeFr^MLx|qhs>G$+)<`13@XjsITqY<0%&ds4__zL)qBkdCN`Cq9P&_ zrnQcwF~tb$)5MI&#a927SFQOhU9=RHz2eu+ zV9`J*hXaher@n<)u7&X{ez`s3(*()x3&G2U9X#cnLk8jgB7cEfx3V^k6nl@f+39Q$ zZ8-AId&qEPiU+gY=Bwhx>eug$n&SAzUlX-nDxx*wJ(}RQ38#nyS$2edP`_!xa{B8i zsihz|XWcUTN9gPI(Cak+)MM&!c#BMAfbOa=+%C5OmX~3XbTUgMja|P>Od7lmDqIuy zHC*l%?P`oxERe36vRC`TirG^-S+z+XTo}~+HT;YG22W?;T_en|)HGMi3BDHBkAg() zs*pzc8%5rsIdiMCOSx!21uXA6X%~kiuvHtl(&;Gni{VK`WV-rW9l>Y>{F(-8> zbG8cYasMa)7^&%ALT{-erXTI^92+wL#Dk9r@H2kzF!~r?xbogoFDqOM85tRI*)t&w zb7D4}0ObKnK_cxd!}<`RI;I`AEo*v&6BTOA&as*bMA;z7U8j+NkW_PDuDv4Ci?aVV7!C?8tI@RC}F zg{5j)&tevg1Wb%%*&;gb(^}!!lmH`x#ASV&Xy>3m_K;L+y2Rkzeg0Vz62!lW0mT54 zNgJVw>IbL^AFjJUK*me@FrJ&8;5oQ?MPV=#BxP=J86zJDPOwwUem#2x4F;&)tj>MN z3h4?VjGXGLQ46E1{hLk^_B1#`+`{N;qAai2C`mT@n)`*6zev8?=Ck%tU^`$nrdSSj z!YFjnii`1Dr|T3Wb^c^1ke^mo92v3lVkOr^#;XpK`rg^drH!rq7ytC_%?u%3n4nymcE3XL_IHG`xx-hewLoYx&iuGW&#ux zl!H*xK*=7|NRRD8tAS|@x4ZJF+-%;NCvFZashet^Qa=XG%a$~QTY82t$@b)N`It)3 zmBTeo1#|wceM>k4bQlC=9uW~W6$S4NgwcjPN~%|HzNeg)3PGw@5#gYd4oeAsV&&z8 zp9qgSm@^zF%?9=0s+*jFabU1B~UTZe~wk;sqV7-1KX?M@6=!su# zkJ!TJGYU1}^%R}OH$q>45i^zCHZm%meXwQJ>%2dlH6b@0eo%FYrtTy;K(8G?Es`Q( z#+W7908M{F8JetdvHXeyi#lI}%JJ5E>9yLGQl!dd3zlyEg5*Ox`c`G7ID~OjaN}9hl>svRy znV#Y`{9lu0%9@$;T7DzT^Q?e{Uy}~#^%HIk;w_Vr*TP1>h8MSi6%JNfIQ1o(pK*N+ zFr?mlHED zDr$6lW$$Nc8(3YQV(Z;gEA2Hc8x>baXphx2NNSkFWp93;OS7O$Kl+fx^&H)9U3eK_ z{_8n9Hoq|q&uhXIotb^t6kIY#jA}Ro}eda{YhpMuQzCZVG~{;*|DyVM=O;> zRJ7Nv3e_#BnS0avWI#06`>W^Es(qvxSM~Mbf=b^UXVU`X(6grV;CH{yIJ&#bQC{W) zBk}M<$~O|C9Dd~~jcG6graiGwouIvXp~%zMitk=rnj_RCB=7b6!!S`wlYjH=XNrZq z%AxhyY%2cc27l!zpEJ|E9af01xTtF_>7CEcz zBCk{Z2w6ga9MhzB{7n9(ggK!#Ta^5k%s}qS@`saC?Z=s+2Flofz{)xs;G)>6YyTQw zFmM34pNQj!tk2gNcwxpyNWsJ>NZ$w9PS_AU<355Ly<{HOkKE>=KVyDFF+I>L9OTn< zCeQnp${kv`2cFSt|)`JwsjCMDRHS#*Y8ZmK=Bal-Y8 z(>ln-{HkAIZoj|6e+joJQZ#gJVd!a-AQdyjQ&b--7;*p1kW4$Bcydx&nasB+E_d$2jZkf~L~UkibH)Lmkz?mjS@ z7X2w`E;3DThLrF>gCmUPND<=;cYiwaz6&A8Vo-zz4y#p4Wpz6+?nJ<2L&C?mH00gf z*g!!=#l=@uo=W9*k52+-Jdrre5)J)9XiI?f?eFIoc2p(O8Kv;B4bnv*J_&W93SWE7JYw0Qkj+oU zT^pouarD?iRbFLnCg(YDF;KM~x;x68LDGzhB=OB3HLrB4V%y-rYQ+7xTJ7Tbniekufu>vpS57}#GLUicj{ zCW?)LQ>Q~nmw#kA(?Ud35PCnI10|EBQic0Wv_jJ_+Y7%JxEr zfcFn>`2W`DXHY@fG*>b_T%0r1?XEpObDDNi&VX55p571Ss za%aa`l}E99V!agdV_`CB+arnsaW<{As80~Sfp6NpjI%J{i%CyUhjj)43VwvcCp80U)Tfx{@~Rwyn%VK$#1OMdM`DcAp<( z!#prezXSgp&!7S@~1rdHc?-{6Zfk9s=r4Dg)?c zfU_|-mIa)e!NmZyxwT4v__{6Cfof*{UkZqY0W?wEnQUC8e2HUDGT4;VOfPWmFn9F3 z%zMzhI*CMGoH%DU)As zd>&)jjEf;J4yWJ|A;uS}0IL%x{~48(kO||xi5zH2C|ANR71rwp1>EdCpm{^eNOEZ` zLQua6P7q8Cdkq^NPwsF-Po1yZeLMmO`S(kVKC_ywVkg6%p8k%|VEVh>8`<`BuH&c} znkgf0d!epA5Xl<~m)n(I<3kQif`QB6Us4p1?UDYVql>*R7|mKY7jor&K~*yIlksMZ zdyj<)RZY@9txW`x;d%SHZD1)s7R9S(^ZK`8{8d` zjpyd#`c632#jO;FV)-sxkgZ&{zf00@ou7{tIJz6p1+9Um`;u7B^OA~vFb>SrZ!{ae z9H--WtQr#*!OsumP4z44#aoo(J@Z)Vtc0&_EzD8@r|&>b&f)|UI`Sw)xsYbZwdb5HN)ismxr`;_cP~GLfN#|T;AJO1iM$0IzrBal zGU7sw*UZ?oEtJri?shrW+wQ^$;FTJR(Wbs{XQKBII6v=pT~GVq&O z)bV$tfahpbev$ez6pX4n^6Au`*Xz*VaVkxtM-@a;A^Rv?-jRlYfbjhg;33bZH$7Qh zM9?zqYwuXhR~QWcjy~mi*?XkvalZl^87wG5cn0vbhL*BAltPHVv`>9YUewW&01sXOEZ z?~L#de4eVqMNyY&I1V^n%D2YAD5ZOR@jLGN9_h-^aa5CQ>P^|xsAa!6c;A9|+i>_n zbr+3L{MV0(TNJg*mYZYom+x7iEmzD)llWi{f?FpPxW$LN1}0}&-4XfImFVS1ThXn* zyg6ZgtTw+v+JYX=Crg>og+6Sf{Q{Kd9=>Y?Fncn9FUL8s{0*Y~5$fkG(lLIer-hHH zXU!$P6oS%A8rYh(tHVbgtrdl%VmckWEdO}Hj*ySEauJ37v6F8xKMfi7SBH$Cg(gE~ z6*O34`cbLKT2yaT3Rdpd`P0*r+sG_ez2rpta8oushjj_BgX#d9*a;Z5h34`2aQKla z_w(jU9c$ia%h{_SWV-6^(N7Y=Gr}qk`DCww1g}y2UUaO@mBzs1H`Dx(0Ax{-A*^PR z>iqixFpb_T$o#lYcbkBd5*QX=<|9dz85Vy z3HaF#uQjROwYZ0e-Low&`Q6OQ=*2kG^mqc7qK(<*-)!f*FLfHS4&J9V8V)L~=fLqO zEFw~CwY+d(908lm3$Z{i)D-yh6p1jeV;f3EyKT&mDNT01Av7^1)WS0GQJtCjz2)N# zdhK~dMds%O=t>D}MH}Go5glm2Dz((B9R@nQ%A5fvqt^6$^+(9}AIoo# z-)3(nm3}v)Qi(h>yOF7bJIysuKcv@iL&@)F(fOQoWFtP?fC{F1iw4Bo_@*zhcAPGP zJ~c3ztKyA-K?D+&0bBEHe=T09Wa%h!^DqSFBrGOtLt9^hId1E2)7Q8TR%M6}EeZ4C z({~4Di$N?p1iHcYn{&aJXroSTdJM?=0n@+ zgj{NIXQiW~13Zrm3{jl2u*x0b<#Ymd2wzk1g6Q>*mZ-cI`1V~zu+Rj3__CqSB7$+V zjUWNWf6802N$qS#Oo#N19$f_!hpkd1RsaZwRp8Ly9Q^edFa?~MDCG=zStdg@CFMEQe)}#F&AI}b*xoc(N zN3GlbO-uaq4QrjcZ}iQ%tbPEf3I$Q*jEt1@7h=E5{QP{*u1c{QM6O|gu=SHYSQ9$m z1O&V6F6XAR-SC`e_kL4@9l}?uv>+v?eq(cUpBnXnn1sZ?Rb+!5=OHg>qO(tYn(}PP z1b;bu3u=If_2S@}iRL{V)MnMt;TftF^-&pj zY={LkZZCBhXm@o{-35#f06qWH^3S^F%(9S!u71RbXVb?6#1aYtBW6rmj^Vheyy>C( zs9=TC?PM+ zwIn8!h!4L>{VzM-HGk!c>*}YEQAJTTA~{$SY*Sfn9+3QD0|$je&gbVVAk`a5$oieg z3WQc?FmQ*7si@K9L>o+MxQduP>8LYmEYPZ$zLDLDM@_C4htKHtABsRL7Zvgr$m-$Sw z*Yg9m)iDO0me}^7vcG{wSgx>#IJRO|XP!tTfwW)vD+8%AV^3tb9Jej_t5wP!%5ZEf z%Cr|!y$c7=Wo`S6PH0nBH(ig(T$bd|c4%OXb*_i*vittuj)f?-g%<#E5)iRc`elav?l5v5M?iHQ&zp0p$D``uX)53d9Lcb}QHjjCB;A%HoiUuv7bMy=Wgy|at)H%NzzXh6ZYoPFmX zo{_63A5=P}=ysYH|2z&4B_-rTML5>?`h4mQ&%mSXmZ2?3A`%Af$Fy!01t{UtV|=DYTQ~^ki z_2Rbhm*Efu|GX~j$Y`xEO!=9$|r7K9lBpN z$}>qHF)$!;l0edFiRY&U}eMJ(ZZIYXDj>! zEJlFkSO$Nf4|J{}3^=dSbP`MguN`xnUx?RUKLc!Z5pO~Vs7j)v>=Tw3MJ>q(-Um~Z z99CuHp%MrM_EFoXZLet)1b}s5PaCjK@p0;E9yzXee4wio((fM}Eb6}b`3`bNfKNxA z?%5n<$(oI^pg@S={5AGUN2W?!2(rz4Jh=a;?N6{@)2fPA{f}w#a_}f7Exh=TzCXkc zrO)e~L8iS?Iz5?;C`yw*52gxNR#w~?3cf?;=IEw*l#|(5bVIYQ`Cq?P(Pkx*3cT9S z;j4bLy>86vEdV%zGfG-Z<1;%@5(0;7G&k)7%jz!&d}lJEuIQEdj*Na-n`yNA0XVK^ ziv?%mGH_K{!C~^D0Sm&HJh3nSKNK*r7qI`d=ZMD?oUxMf@A35PPv$pBaYgGjYAR~f zyIztxUlg0g*(f=Tk?18rLQoU?xNT_AH~=ue5B@v#&$|npLkpN9LJr~mb4=5-nQ6q) zgt2n@t=AO>y%Wxk;N!zW5)M`;fQNCV6CD*LUzYojJ!LMgABBspRhY`=eJZb=fAN^rViEkOnI&t^T0-?XFmB zhnQf;X<3~n=}h&NJT*UUeJmx&w6))rNn<{wT0dZ7@S?SdnL^$5v58?G6F&ENuO6t* zMCx-Fz4J*mw$gE=UtV61-)eX~JNrazu5Y?^kCr^^q}x>_aVaPE;_`BTX%6^1n4eh1 za2Lzb>_-tuC4PVa=lz(}qe(|(L%E0Qzj!gj$Wux?KV6`ef^|0viwrjj(qK>=pJ6c! zvi}qL9oXl>xqalhu{SGoGiwsZ3P*@TQ`$gY?e0``nL#Y2r@yURmjE6_@7F9U65)6z znIUz_;X14eF-NJbpi$lVHsabLiojE1h^?!%t(w^V&>s{-W0`nk==V~W-5rbeW$K$Sa=hG$@Q?3(q$1UY5Y`nsNnz$KSh4_!;CkXH#)$-cDL1T{C3) zmX88;YmN;y^4adaozRR!0SRGfEuo}1*MM_cDey0nsa=X%mi2NmIlSzok%;>s5#RK# zP-+s*Ku0>ef;L=DO2uW(@kFp5oZir0uiX9_z|Ik%S+@d03*SBgJ(Y2V)PqAb00G>r z1B}mtzZpCq;53Oc-b2U)z~E^+l> zBUS#*>)DbkF^w7v4>^k;41t>__yo_-M+<}@DDK0fiB!sTq=kEu<)s0{74)e;B9EB3 zI5w*l-m$uXp*U^VPbi@2Y@ML~x>kr==yV6#B->Ftk*@r z?{Jk=Ip>1;F2JWEgl>+NsfarU@rE_f0k8&>kplXrE6uJ~A|EOYAG&>@fnp{Y$=5$d zUS!e^Nt3=7ps&a<<nzIb760INbLQfiYXY{4YQTCh z;El!MP@v+kDMmhpTKDIdk^#;WJ=uu#7kaI=|4Qbm1k@xSA7iL6#nVje^plkqO?mlo z30u=04h?gecDDoW*w?FyVxmdcqo5=~0Ys#+z;_#x3vx_lJV=r|H9BW1x=>r&q(_*4 zZajF^hHip|neXQxl9-Qt-j%_~b@eYU;_3&hhU%Y;^bHbDEyUQp>r_$VAjXD~&X67LDps0`VYI46hFHH?zk#cHfXG`ab9;E8jP~ z7l5_{Qo1_kD3VH1DaI}@Pp;ImomE>#JxfVMMD$J881u)q%W1_8_DF;Og`LK}_=3Yw z(X_H+Ku{5VXBJTyID6smZs_;nbCExrR(p$6#seKmOLKGBTAKQ2-{-ft!_7AO<^wxm zKf)RCGHTxqT{JOTJyrHiZHe%LTXu&za?$41?uFIxaL%;n^sVBFe4r&KWPZyiOwi9o1@#Sy zj+3j7kG>_Pt90k~_iO0ZfXnXO$rQ&{O1i}XC?wk3+xh)J^3yN}z_b|3I5#7-+I`8H zZvI^QgEgIgza^!kZv=2l9i^88CJPT8lFRGit?Tn4%v7he$p*fQ1GwXdgIrMi4FBE? zzoMcB=FBD}kH~NO{vzr@?taqE%BUn z^@Rg{9y3(ATPU>}c%0HqF=Q1mrD3FQ*PAJJ25K*x%P24LEM3?PHiX7jzkj{o6@#6> zs&%)d%k>Q)_VeG=r6`+Zb&x_6%}pxM1gakO$33Bzf_}{M=r*=M{rk7TS{^wcF^xp$ zW><89z4s(16k;*3iY@ zBDesji;A8f{r<`;3<5g`HJ$=u6gVVqJaq!pDodL}Kvh7Y6ivYwT= zwch(Uo{A0(7`68h;8s3SV(g9d)K#0WYwDe}hIIBoKo}Hf)+LJ~dAT#J3DDrWqOr@q zXt82J_-4bhw^(v`DUZM6W}$OlkmIO|j%ffas`&a60=ga|+=; zIfjkxkq;ma)6DZ$UF8QPh2Z#?eEs~t@QDxQ8}vN7mCnFk-xN@JC@Cp_)9eeNc+y6` z!*3OeL2=wO(@`|f`q&traT&wX0jX{qtYud!G9$jV+~TAoOg*3B|l?dF}4 zIAUj)o=WoeSV)d29M%zP%S!tn<@QxZa3d<1u?!_m^K-D+v%MC0SogzMI1O@Z zOr7j5)kLTH1F?GtjFcozwA6(PzgeR)1g&c;7T+!2l+wze!v7b{=4;1$`wUjWMn*<} z2|p~9hMy*J_2I8UCveg9XYpGsIZ)miyU;6(AkDG5Yb0gF6xfsRocInDYf8C$N4>vo z)E+r8(ho5oq5ENksQF!%%G*YE*$l~|CFo~9zuXZxtdWOO(p6%$7}Xxf$c%UnmNpg1c@G=hvIcG(+FKR@xYgc4WCOWcMh?4F=+CgQ z#JIq@5u`SeNctJzE4zMhzl$ClUJlD6ce8N2zSd2)nUmR@iHceVsWSSh91~!;+o08E z!73D<4~H;1hj_~(PUN5kl#J~fxf3!d-nE5+qR?OJw%&(U4%d|S)m z5x&u?%8XOzg~2T7uhhJE#{1n(0<2Z@w0Xz`-~SIyLZsBLySv*~2v{idFSQnb1JJaXocgd`K=^V7lW{NS$Owl6v*fH|i!HFATU46{yCb8xdqBWM%wmpbZ zlC6CO4bEI1L?k+PPrf3Zvq>8%m_dZzL~ZFf_g^}jfxR$oWaL(0H9R!eMzwJ;+3nTt z`Vktov4GSdg8j=-#9(3UtYNqGF>M+SsIyT;F{P1ROi%Y^&80qpMCCtl*EfJ67S6WS z!n^mE)tvc2|Dq?C()Jc(eR^o?@P{eFkWvGUrBy=Y3(Ve&Og^vf4_K%>F(AGF)A$XM z)tob37NO-J|A=ZC60Hi&-9{0f#r@wFheN+*hbIGTZku(zhNrU*-;!UYCEQrz7vqBs zXnIr<8jLo5hbE0dvMQH0Y9r|d=cz|nnfB(0?&3$Pu(SQ6eoU(#QCxOUlJ7N`tp4KK zZC?Zwwk|Z%&~sB?9R2Nd{3ZFnw*(jgi}Edwk{Gkfvwa5Y3ArfjM@A!13-04_Jf$a^ zx`_o#l9ZkCtv-v<={kvUVnvmRP!7Y;i>cRh+Es>A8LbxxCoJO0dMbO0XP#NO5hock zU!OmUQq_Ukse_l~>*pA@;nBlX=}Tz4dl#x;n}LAF9&Iy>xZ;27pEfdf-P|k72s@n0 zPQ{gQNrC#PMu*{$vWa9ng;1}9bSq6BTVWNHbGwnO#8PUege&9PNm_sGcF1`~P4VFc*J znKU)8G)I(F124t`vLjPUx;q+21#70kz6`s&=tJJF|G3k=p=Fb5gNX?_B(Hti69z&; zgl2``gm22E2HcFgE+P(NYGn`pY6Yiq+cx~1o=>HZW!X@eh$`f+FH>OKrT<0PR!cl5 zeaK-=txb%3L zU=2FzM}%7~KTlQt7$O|9jzW(AhMfM`{r9rtgN(suN??TQD5_316(_o`)3+(TG2G^} zk1}d-zHe1_e&znWnPeiy&OhAuNAiq^dBk&4|IMCv0AaqpUA&BYQk=r!{9q<1N^78A zTSiuLCK9XZD~tRFp=U`H*LPdp*AuI-m_ZWPi@vO8uII7;7T4($lU$`H5sgZ_6gVmM z#DVgT)YJCZO$S1``$8nkyrr-^yGj?6Qp$cRj^=9B;y7=D-e|vc$D9W^xZifNt2sys zziaG*HP0p0E4r@Ia9FYyJ7C_<42^>pdV zdvuwM3)q8VdhdmdmG|B=9noC;Ezpu0(w)P?x6T}$_a6s|et1B_zkUI<7jd}_U>5@o zL}u0he+!=c>)Lv0T6_>jqpKq-Qv+t@MG}0^T=l-d@PT&PvnQ8>3+&#(?)F52e`@b( z>&n^m@k58N7OBO2$$RTa^*>T(rWX(RlA>~IVJ%A!fl^cc`n^ncGcq6`F}5OAc(gonWj^U1h02e!m|oFPJ#vOw}D z`<$lTJ&i39vF2N48`Ho_B(j_x#|%tr^?v}?u^|XakEkK7Eu4mXvJ-g032&j5uMNIG zxW6cQdHTS`GlwO zdYOZ^cQEeFGF~zd+*5-(YaAy{e{m5?uuJ%zNqN;(24*Rbtoy%zN@CPrqO21D`A%k` zifZ9ZisslQ{jMmv_55%%k$L0e^?4Wb36+Wt4%Is!$2zHC_HjpgN(rdzhmHG#gx)aW* z-`P2ZBUVTa8fzk$|NRtIME+s{y!r!yfI3j~JMV zRT-Sj6oaN4o0@_G0swL6XsS>#iH`y-lk&QDsK^piD*uw)3-+vSpblki)s+Wl##4?K z|JKz^5=<`p>^2XBr@>&foBKgoYWsAeY5S68XCX6L{LG5AV&xgC{hG-|Dl@Z=zYE)x5_BDx8cH+z z6KOPNSKPi5OHWoaUFNeQ?ckhxnw$FFlqlV+nvv$UXLqEi+19V={5qv&OG{3VBFR2` zDdG+}j#9S9|60|vP#=+W#Jg<{;Kb5F&L}R&W-_LLYh7m~fY|0n!p7d;-Ni&lpH^_w z5x$NiG?bE+2O)&7@-m8V7lz+bI!7p6XVofgp)p7aJ8lfRu0tTAw9!T!WV<|dR=&56 z*ODxjbXB#B7y+Pfo}FvMwoWF|0g$tXoH~w2|yk zG;v6NZbY(u4vw1uvmkGX9lqjtZ(yzwW{+8Cj|7F6W?GIo%Jv#%zNq|Rz_y`0vP4%E zf&|S4YN56+O8Z6EF*gh1Lq=;Z(Zp1=HAuDp)tK;m=g4q;)GD~uD-PLhv7VF3ua@O_ zp~AOpQ8m{&H1X!I69KSxSheZI(;=DG?f>v2+JIEsxjFY*_&v&8rO{xicGJ+T_u!ca zke{ta8Xn2b*||xVW-Tb-xp6vQFRHB~a1rAAx$WLy_&8C?RXW12aqXD7SsZ#&MyFRv zLY1=OxGxQTBx93sn|){yadauHgK$~3IFkN_i@6Q<(*h%g1B&BG*All}qGPD(DZSmA zPTk;qieB4a=&b%AqxQu@wT%W}+xxIrf z9yLc<6=Ea9eCk)$7Q@%%ZERMoJihpJ+R1M*@|s9{KnC;Qp-4sq%;m^@B8a8M+HJ18 zC<(&^@V?gov%EUf$({iFYH+4(8kZ|Tk+K>9L?c|l_6xLH!tN5-N zt&@Xq){&vA{+CXLRGmr4)7+6wvIxe);>Ts8OB_8I_>Yq}(}Wt=w?FLkKh?^_Tt_$? z&%{?c@i-4?DxPh-<<(`WZem0<1u=Gm<8fX7H=8%O?kb!6tg+vIq>6^28jE}ytCQrA zaIU%4?xCJ0e^xNXW)f5M@#Ndc`7e+~Lh%4FsZsr0O~~CjL)Ub(yZccr(ktudSs0Cx z(e|>=QPs`&<&J}Nv^E~Iv*_>)HMbl8kYabpbXOUFw@+JCALr@dMbK=R$0k3koh*S* ztW(KD-8MK#s^Q)jkXxY-hKYlvfkPbXDth5;Z0rjR7C*g_q2b-bg9a2WQvwv}n!HqS z>_kyntHd@TTV(RIWULnJ{a=PW+ZLswUk3-dD(P&9Y&vdQ+$~e}zYp+$ili!T&1ESV*0yab7#hC|*=sCZ+kHot8r-wp|VBDJ_f&riNc z9tbn{;KG@EvWfp*@Ru_W%`$Jxm0{zUcT{$Iy_a>9&J9H8hcKZeH#H3-pd{WJ>(q3* zX#rkp<^I40=oVx1Q!7rxR_(`*1Jp|inKX>*8;kz;|JzaqjM#pAO)j9XdB^B~mB zxOVcBEHfDh&yvH*GQeaL1G2`g5FO5=W3OIKRDmCo@DY>O5f8rzQIjne#BE<&WE-g7nK78cIo#h2U(21hsU${+Msi9171#O5~w=QcsRSxMFH zBXSf-vWAP1i4>KnjF5=(>2-6u`>Xb-mWz$$(nlUgX*#-(VokM5_Hui9fp%5Ri^xp> z1CR+pYP1Avet{W!Lz0-mRM!%|8Wrs>DqC51&(vtLXHFP_2agA<~dqZVYjX7O12mB;q~Y}OIB*V70e-dKMbZZb zlQ%1sssy)&9O>T}|96@1g}yu3+zSJhRz*t@ZT(*B_`T4AR?EW;sBo z^Vbst0UdfR*l(9p8O~yW67?i(*|5&1#CzTKB^;zHnW+Y2tRO=HTS!b{Ll=41gJnjyI(7x@04D3era(*qokxI zJnHxR<_z_nokdVq^lb*3urN8vf_Fe$*&)r7reXf5S#_wa7<03BAgUPl^S{!;K&Lgb#P^YUsUY0I-n*Wqoh4MnPT1+-EchhB$?h*}8a zwq|lGC>FR2j*jNG0}QK!Jh{^fi+lp>X0+JD=l%`hP_b9tR zX`oL|N*bLYb^}dneO_U7fIZfJ?Xdb}Z$L+bSg8pH)ZTx7XmgxuMFgtxSf7VUHm{L` zRxrE^fc$j+k<&~VU-$6P%+5I|pSSdQeM8tAqA@NK!Sr+;9w7F3T_V8rJn-}?u6-JS z4?jST1Zw9?)6>Vrw(~2B+3*^N?6N7LyEN4WbEfPml5fBP4`XDFDdG_dqFfi0KP%T? zHN;1<>>!lVn99ypU%$bCL{`rC`>)pveZ5u}pmLwD^~MSK84#|h*KF69cG;DBN@l8M z^7fTm`GBX`*V5)o1uOXy4PPm`f5K{FkP|#0GC$bn9Ye@l;&2pCLY;QHs#Ptlq2Qxb zyt3t2ooypDFSAETe-*2P|97?gk^<S}CBBO5J_9 z`wC{UX#$PPA zj;-~pn*|K)d(P>>Op`6yR8T(8T7Sc5q~%B|LV82rYPoZRxBQ%hj1|O2;xzc-faFJ| zMFSE%D3Ju+=P>fPUA&@EN_3Ynw5GWdRvp3!T5~aQfelTdO`57sx2vlw)*?G6N6kC} za#n=r$&u}F%U31k8*u6l`SbJBByHh!W|9Jph5GbYeYlMW_kP(@Z)=kizRwJ9-o>F) zR~|C)?}gs4aA=X?HGQnKwZ&=A6&wI>>&ao-tfC}+)E?BM_&U-9F7BkpjDvOOMB0uG z)JD9#K0q-Rj#vcpUg^oX&;-1L##y=2gI6r|^=d6HywFXxA4aYDtqy_$bl?v3z>WgW48=}UI7lOs;fi9 z+wD8P2LFN))iE2L`~&2|fexAUT0l8RL({kb5){RQR-v1nu}b#ur{vw@^kPR#@+hh*8UcOd2E4&n9RVB0IXeG z0)k4%t&Wt`)Eetc<57hNc)oy(HyFiXzR7;0Jln?8%5 z*n4Au!nMfKe-jY3Qr5YqELkZ;*wTX?Br!6K15#u+4M;#EoMqKd(%JUCEQVBTcJIPf zc0mPO{!4r|jz1J_5KxI%og6+`3*Z?K2YWT)e4p<6Ddr<-9_8QimZHXz?)XU%e)-UW zmC~wEzIy=zNM=ofI$OkRgivk~n{SW)C|A;ACE3juaX9`4ANtrAbx@Q5w9Nh23G%Xc|dNGVSDE2d--3G#`CJ9<-D)hn2 z7CB3M*MAJ+Em|@f*9?7|Ujz!uvN`X;l`cMLDJG76el>7(KC{7rQyJAT3J)V^(qz;Y z{>;#N?_mCB6W9QMV-gyl4RBu1lDsS$KIVBIM=Ql&&WhA0Hr}qsFQdTB$FiEvh)j#9 zh>Xnw_W8s1#J2vAt(odjDpUNZ+*(p(yA!i+m`^M+yP7cg0F*NNW=A#;l6VO7K`4LQA#CpFi#ihEyh@mb7l+TOlW45q>ch@*={_QdkZA7_&2&{JD~us6&e8{A~ZBNK%t=1Hsfdd05lYM z#6{e6ldZ84)SdW%B$Z1zcD0~DpA}D3-Xp5ypK21z^Bz^W^4(I=#)@~@j={Xk`O|fM zZ&RlC1l07_8kv-;VE2OEyRU98ikF-IetzWG0>Z-BBO>qgHNmGn3m7V#zpK@dYIiMF z{~QmbNxE(W-*pxsV2C;0&Axz&uTsxKcCKX)yn(_r;~D9T5`E5uM-)qz`KJ?&NJ|ZD z;LVFZ<9M-k05}`Z&dQLURJK+#(^2JZjHfx%@uL6GLx{%)necb}fPlvWuIX2X*Pb7G z(4~IJ@vGchn_tW#gQ&Tx@3SQV-X+H4zn}q8ngp;6jrgS@UO#VW$f-(ds0N!eQ|#(< zV_}Hc**l`wKYz^?!7bu;vX|WPE%R__*TY9k+l+Jk+Q$dg>N>2*8Y3~LsP?0j77lTp z1k}Es?Q+sOoz3q_j6(yX@wE-i8C>AF6@1jU_(gnsX}Z`-oiyPab=j}~c?~>n(6?UK z$bhMZnQJ$GX-+<3uvq2nENSAN$E6+`+DcJs#2;nCI>>5oJMCY5rCfi7O_Kmm%c|RXhnX^HX&u{*&d4lmskOR*3a$tK^ zeg%B?`DB>MmW9Wpk4m0CC4%1n^@_%T8~CdgKnVht5COdLO?Yv6-ro24ba8njtq)?% z$1Q~h6&L2t%hSIJMe&^%Ggq*1tUd#}FZnUG25HZ2qEFZ!Lm+&WgV~QQL%AZ61VtVB< zom;#!c@u2rDEK|2QT*4B@50Kq$1%a;K|-Yejou;wbBjvNmj4b+QMj}Gwx-rE=wkux z#DG|`xhBQ`8@|m+r&G2$hv-n~_xiotq`<13HQlbvA8{nmuvnSN`5G2aP4<`*864!4E)ugs@J(NE$m5-EZmBQd&rOs zlvs4--Sn1`zgUY5JR6`ksYKY^grAg*(o9%| zF>mW7Mn{8(VU{9|6FeV>>JJ3AD@54zL5k_P8UJmB)mP2@C*<$Ez%p`2tUP2%uU;oZ zm&EV0tL9}dGUkr!T$O>NRHxUZiJA}l2`;s8UX1N$YG*#*Gnzl9cC!H=kxus5zR~5k z7>vMYh3#l0u+{1KeYS+&Zz0S;6AO zX?l!eF$QJSkHX4tDaue`xw99UNPmJ#h1ax8ut6U&V7-o-uRlVOR#1!{XKmcb)!A{D zhB`jzAf4sDDQztJXoII>ja+YIr7cHnJR>RiJP*^`jhnu-aWxIw|C{BMb%%qsoM?UNHestOL~Vn4A@?B`AU@qt6qm>&+p~lzTg*aGsiJt0^bh z4Z^7M(!$0V_^ekRXqnA%~2vp)oK^-~SI{peP2cMbiX4l((QIKo)_ivsR(ENpzz& zMwIGGoj!dEB&ubl`-U(}O&FPvsVSJk+2HM9$2NS1yHj$RT4uK#ipAh(x{2^kb%BO*ix%<14ek4HTxM$W# zV-Mg8iXOX~@d!z$q$<&?7c?{0h64K=Txf}W#rZsmc%xRUV*kW9QSfHjFnu@rjkUKI z8o23&70{)e9nOsV1}7tZrq!>qlGea?Z_k*@pC8?fAoH0$jcI&bHyor8q86%3PduH5{d3I?5IdhqhLnYe`80q&;#_L-A&XmW$3{lVrsF{dp>*A!;h0R09#x*Y zl~Mh@UuVVFSM-8S@q^8#YGz1zvqqn#Vm|xx#(|4B%Jk4Hf`Y(Rg_Gt29lQR@(yuy> zv>(CXLG`xtjEb&&=+g3KQ6@Va78q@~qUqW?mH%!x5HPIQc}hL~J)kui5am4Fo(j3F zjUe;%i|J-qQWqMV2;sF4jZF)tVaGUV=g2UXES$o#;K?KhGnB_4`=*7k`8*K_q9{tF z4u}0FGaLl_7_dEoG?==)IsnDHGZhM0z9OF#9zQ31i5C+=T@MteA+I zD3NSD;uwvTmpPFyV9l`}Qc{?RYv}?}4{3AbxebX#=`;DLvT@j3Y{H z>B(;+=t0O4q`RwrhjZEGm#2FTle%HWsS3X{X|KUumhKf$cUd)rd$v;?eIA6%a*MZ> zo*<=eZkEl@>NbY|YevxkK*#_XI;tFCzKo;1rpC{KJVv}5``UO*q9}r(?5Cl-CKIaW zX@NIUou^vzQAtlM4&H@?RU7?8OfY3Rs|H3>CSG3T38>^((XG;ox$|}Qx2dsl{IV(& z7XH@g&1_6MWw|>Q6+<)zMJ#Bl|8mF>$iQLNDfpXcHT_}zLKGYojYf(yTT<_MQTj1> zFi`H3*yXyc-kU||g<1#w!BH{TV#c$6!B6i+w^^oC;uKz^x@vcW*W{ScZ%g+YK?KUb ztFL#zCrTKnRdW?ezZP^puug)!5P#D#{=57SJi1{Y;Kr(aLP-S{+Bi3;8jaS{M>Lrv zD>fXFms#?7ITgus%3xiYPhu}OC6@9T8UKL0)8%Dp^KzxQ%#V;19A?i*J7FjPRU*IRi9(h(A&2up0 zOW7129hn644lq2A?3^-jWj`B1hWzuc|EjaT`Zxfpx!lt;qL1?V^sPTe-BH2Q`;Kg~ z_|;H!qc5;Sn72meOIu3+tIL=|cE^}u5`B9=;k9StRM86Z4f;{`_S39W8 z$s%~GZ&}0(~G%1FXmKpN?2N?wfk_Nw@mI5D*2W)zFJ2)SYK}+&3yh1geH){`%>go zovn7XLlR6{cY#vpXb@~U^IoQL;B~-imOrZ@tc5}EP@XbF{)yVyPGH5*fA#OBX~P57 zcUUKG9f*{@zC(S649#|VN1_#Q*l_j+y~YOGSNh}PZTZdl#p>h+2jGn z&Oi0Asd!@1h7w}I={@LHK0vIC>h9}PU~Q<3KuqelvgM>|Ifc0jhAvIQDm5|5K`i_# z7>FxX!hl`C6u+*i3}8*&ReUgf%?|>d@;&SKiv@P+=71C@?(p=!ssly3uHyTq>|b#n z<}iy(@E#Id(j-H_N@Zn>$GP7DBXUONmUvG&7w_Ot_*nWE`QWdGwne$#Ka(4=1+h8H z2B#5j#L`d>8{zcu!N}HqwGJ-%9(Y6LU_L;b{)adR0=kOQ*@AnT00j>m(HMZvmX_Eq z(FD23wnm;ie>ygk6LP=o$P)mS?NNh32rJzW^GYmu|+yIX*ps=u5unS`-G;` z&_4Y;xz=81THO8CGn|ny*d0opS>IW)f>Gz}2I8e?-rEOWX@*ma1H&*f!`$TG$BthV z_jL=Z+KFw1mL39=f>Gl3Z!O+-m@M79Y$NE9KwF32zU%++27=hiP1E*hNjSZ#oYB&( z?uXc~JqXPxFX04P+y(OB9lCPnv=$cf1m&cw+_Xlj7HvsWEev=`DVk5VyH>g0~oC~*IA*r2Om)ZHB~ z+a488b%=|;u%WZA;c4m+b-+nR()YO!4X7D&VRz6ni_q8=b#JzpA{L{5abR{=st(J* zlP?Je=enWsIH3PY1PnNub3gEgX9eeSG51P3KSxJqadh(Zl1*2gBaOJt{N(y;gKGsq zT#88eUR|8G&!lqaQ7-$ z;{O^xGLZ7fklx-(PRi8St$%_@{=&t?gy|C8B}yFs%>wMmU#E~G$dG2L6Wmen9L$WUbFQXuVNfr~ja|12D6!~b+jvsf$;Ar}NyFkvR1 z;A>P4tKCHdKZ3fz-vNkz-=c11z5H^Www3|;dszwqLA5Hg62P@;CxJKe#4HV8#wLFJ zYRbP96YTg_ma*u55cEFIfo($0V&?^Tzet>b^LfbeSm$aa<-vs3Y?HGq&$9IA0n@Y z-|zf=uwHAgL2(<`8T`Nh@cSU+>9hm>UA!NU=zo<#&r*OIU&q|r)xX#E9|&N$*Xk8= zoXPB|0UId>=KuX+AmGYiE>mKie>k;&Y{>8LXhJ+=E+XX-LtTh^Y=2Mie=i$~0s)3V*g#!pH~hxda zP50?P)c1;5$D>oJzDGg=f+a=}Z!~CmMaAcfAsQ-XhAGInBCW{X8_FjsMO#%b_;k$|iV7LxZ>|F}MS)kW%Zg7x_^=CChaSLBf?SR9&o|@g#CnZGyD9X-4Zi@e;^B7AH6taH!{*XY zzlD*n2`2@f8JMjy2tFg%(DdqbB^U1Do=R2T?QgCWoKzu;Cwo4G$L@0&E-)|RBH^}? zf6BD4;X+RjS(-`2ofLIe>R4;K@6H7)?-)0_I|N-#TAY5&%j^X9J1V`ImbY{6k35${r6h`sw`vN@Mhw9N3YR; z`wq(@laG1Xe_r9y7LSJRO1t9Pw}U&Owga)s-F}^eG7M{L_^X9BcB_7U#`21VqU&rz=UHoPM^kJd%q~rB`oh9`^N`>9e%I zkeR-WtcKK&^VqtqJi2s;s~jVd1)$^-yHo*6YeAU7vJResSQ-(sQk1C!%UpkQRZLaWfnl?KZk-=|DwlbE$P~ z>kx}laRG7D2_@ z#oXyD4e6!yV-p`lm<#oG9LqrAy{3)$R+c#V<`%ndCOX_E4r0Cg!+ns{XFyG{#lhZ4 zbaJXZp+2JtHqh7fj>dG3iYTY@eUAAfQvoUk~U^ zLpxdm$v-<9uc5QgQ75*M-|5T?I<3*=?xfvAkrlIMfe0Dl~%?H;$Ka3dx8Y-<7 z{}xH)G`&4FXFwH<;NR)&l?)h?X>dP70&M~?FyYh8%IhV^Qup1uAe!i}#br5}C&pk# z5jTYXu~IrU8SxP1$3-e_A@Yip*IHouw;sz#y=WBxdPR5M3=|K}W$?mkH!}X>;Q3Lv zTv0R4Y^f)mIrv%0L_SI z^=SirKHJL}H*Df)j?lHe<*0Fv!}JXp+#yQgXp0N0*kGP;wMsa)Zn<504V_E>MP&3h z1a8qE@vr)ox0|`eGV*8c{n2zMEYV!gr>tzDA!V73G;JdxV)f=~?ClySaBv59+DJT| zpP)DZ;5{G$92aLk$nd+tV%!giU8t`B1s^+70^^tErJBBb_2;i#GYM+HbeebPSv_wZ z9nM^A^u1cP#&>8cO8mo8AN)pPoLkBEyYEgdIUL4%C9(o>T-q;AO3~Gxsy&|7tf;t^ zQGWGph&+#WNlJy|ZjH|NKWwli1nkZq#yRea@42x?LnhXEd{K;4CTp?3ck+*2Iwmz=nyH|&z2$R(FPo_SVL;RPm}KK*H2h(3Fm|;mO-sS$ z+O1iBYqcbyAx3(>{H3y8UJD!@N*UgJYisN5>1%PfZfigQJeUiKj~Cm(#z zBa<4yD2zC6olu|18U=~nNae)?f&^+;0X4106WM6)#uM*7v3>yOzq)H-r>BsBGEa>R z(VHgm^OD4~w7L6H<%vnUMo62^Si_p%hF>h>rnRk2;W1Oyp~NxW>=gzHD~YcAiX=K< zGn`1taZgQ}oZdT2GsnFXP6&Akz3odla`jx(((n=DTxhWWsnpWZLWx&%l-9nFU(RW_ z)`50Jl#-K@(2~EUgQv$*WqNPdPWmF`JV{Y){MYkNAr&bz=Yg)izv72eJLvEz))B8E z&5gP_USa_R%wEOwc6OSZo5S%q?*SBKN}Ic$f)G=Ug?E`mqij4c%Af4s;#cGA4ozlo zm_MKv0OaB|PPAS(FxDu>fFOw9E@~35j+{^CRVJb3Y5{XeqX3@f0?~1G#K5^!Q}N5Z ztAKwg9Cv#DCO=OP5b5CfF#ZQ<9&Jk4CU5~=MVej;y9@$a^ICdw5Q7YGmLLG|jdRZ? z+o`Vtr~pr5G9O(}^T@l^7X3)vLV9*AQ4+)IQ?QsZSK@flE^Lf18$AT~39D0`_`Jyv zDDEi6hsPPWB=P806Az3s)h0Dznz#PsG~_Yy23k#Bc+}!|PV~E)wHXpk$H!d*c5L{V zzzQM-%`<(~pO=p@D5o=o0E2vODbVhuwZa9@&dzQOI$7)R1kmOX5b{E!$ke^wlEG#V zp&&+^?dnkOLKUm!7_Ko)#GAORHu^Kg3g|SN(`W70$E-Ko1hQNMYdEc{6DLVZyP?01pi*tORcYc+ZNsZvXlGF8c9w=U3K8|HO|89gbeIx)w$IFzoF!)^qaUB%&NXt|o32UuGKDM^8KM<-n{B}`{ z!}-!1VHHpP)iA!xJ5;$QK$1md1Xuj_&8&IDXB3);%~x;o#^NrU9SL@n>bM^)(uUOErRt! zLh@p@;E=$YbSk?e{6q5RMABul>`~K;4mc1j-7xVlbw9@esP#b}7agW>{(bdEKCQ(j z_Zu|UUj3t_1F?N-RrPT(RUWhI5a{dZ>Z`y>*856!{U3<#k_h#sp&&*1S^NRAjn%-Y z8s!@u%PBuiSq(ZAk7W~08f!^DCUW$lZo*Q8guwMSk!YHzWZErcL3)LK7+I2~{ z4`V-Vo*ayCzSoBN8{U-0f+(a5_@~E}a{`?@SU{<>2uXWndy_MmrbsA&qXIunh27vg zUeISUx9&biO-wSwh|DMvN%yPO6xbW}{EXh19C$ZcJz7pp8!C_Mr7WZ%ap_+LG^?6T zdt?{qjIAcvR+e4AWV_v30XX^-AWSzm)T-Sh3PpB#{`mAH9EK&Bv2}%0BrT6Eb!rwk zc~)Ir@}T7TE5~>^TOr52l%r00tYmh6CBluvUK3?Hh^A<<>Owi@wOh%E>23`Y*pNb` zxr;x>?ZCqT_atPKRD74Fh^u^bOkLPswSHbmLe6eHESX9Tr%LlpIRBF-LU=$3rlG#L zP}oLNa_Xj-5dFr2HES=cbxrSZNL117Hj|3AoGZ7v?Wxl*o|E0=(8MU>q^=0=NJ_l- zQejQ^ne}u;c$l_v3_)V(V0PT&GuaR`-1}(Qa=sf@tC^lu^#EhBd6NDq6~Mjgq5~}y z3Sb#-7XuAz9%{Gknel>&9Xzt6wTls+nDZ`P}>w$%6p^>=N&rjPYrE;=xE&4Sn4p%^ymi~h_8djwN zb_(StpU&MM@?LgxbgZ4(pBRH0mLOC9lsF!chdnqsu>_6jdLJsXem$pEpjWL{XKGN$ zoA#!wUf9a&arx(8F=?}ORrSPX+oyoHwrmd%f$z3ij(oh%p$PcLoJ#4CU*TGNByb5K zVdx4wc%IyWQTogE=T~+*qBacFA{YbrNM&EXu>J<%cp7fS(pF=iPx;?JF+5AD6pW(| zk+lmX968KFKogyuP9d!`fm>0JEY+H90u?Fh)h?;$S8Tb`5T6jM;=JPY-S< z#0uXok#8KX+0?)bA9D!r(yVaQI*7c2er_s)sdaS3vO7AG`-2PbebKmWX3Ey)9d{(K z_OjwH0r4sziBUX&qX^CA<0S))aiqttuy!Ngqhm@l_A_LYK@88sT_rl{eT&oQ+t}UM zuyYgSk&byriUKy@g&^OxRgAlA{julbvQ4=>WBt^NHetx_n)q$xKEVXW7@2%{*E>Q814j{6)4ek;$K4?Ci}tk^k@ zpAg7Jex2ojGk=kHu{`y6-?z|IuQoUgM%+zd8I(8wAqGf|A86-~g~CltV6Q=cl32uY zZ8{yse5QUk^{Q#j(42cZU&+#TdG#8|@KJO;oW-2hl$f^6!8bdVW*qYSmc1dB^vE(& z^KFaLzT@rG2hU6v_s4tV3-SVGe90`ljksqgStq$wx^gTh=Vsq_yE>jZGa&dabIa!F zXxuaXs4gcFTu8!N_AHMT^i2YBm6VAMD*Bv9e^N|Ljz|Za1qup^3ETGeHkPU}=h=v- zASB&D$n(>H^KE41xvt1!m3&ZW82L4l6SV|IG&FnlWZ(ehr+8F@{M6>2?aiiN9j)tv zJCjAR!-PT(m-FZW@?*A><8K*(40WX<7-7Z9>S*Z7(^c*}^4pq93#E?w;T70@R@6MD zu>vuTdr0o>P5sTSOZl%_lYH0Z(YEX?g7{pn1rN1U6GOtTHPb%TJ2fL(ik=CmGg_1kki8aui+?332_ga zd5Is55bc*4w@r>To+*Z8vqk>VYL>;EF!$VeAop2e2lqs(!{TIy42+Gd{j1Y z+xUsAdGKU4o5)v0f-2vxwP6;odFTtQ<+jPaJ90cE_@_|dUieXw<-Y+-MmBCu{{=`+ z7SeqBg7^y?7mi=oMJV^q#&;uG zUGQ)F-G{3ca@zckkO~1Z1k)aQcj3qKQCy*lffQy7`X0XN5)LlyPLMb8CNf6F_r`i% zT_g8j*RGgB#u3f5`HF#I6S1E}vPcpd#nSM29A9YAmW5~XX;egZ_G1zfGa)u1VM)yG z!7VB@S{$O3;Chi4%=&)%OGzZr`*n%h6`67;i)m}G1Bi0~Burz0E5Q94%hRHP>J)q+ zdK8#Ex>UL}pclEwzx&NZqaWpbdxa_jTdqDpT|z!|&q}VL@ZpOse1#6Ip#J==8!6eZ zq}7wx;2zN6t&{m|vCBj}t|T92&&436=uYE&cvR__BL^GCgufZezf@Z$09f*$md^nR?tPMQ*H)+3TR%V? zp=bJ+oHv8WZTo&bHn}dS*~Xt%K&9T?5YO<$q(ANiU}nYKZgLUsuS_M_UMYDA6Y6vz z)_Qrp;hqfykiiNlxU>@3Sy{=yTIA2(fnV`vhHGZa*QT&oP3qKLQ@coC6HvIsV<%5T zxR==g%4m}qVeB~^D+(Saw_S)@i;%x&wG@jPSSO}9X7{9gM(Q2Yr{mb&Oa8i z$S_$F04StwkqefVx=3f_{4kqUo3lvB8}L`5n|%RN_1AP`CN^jmjLj+OM6w4%`m zDovU4wDLP7EiL(2rGgSh_9Xb(95cbIbF<|Rr zUs9RREhz~J30A%#c%>{T3VFE;CJcNT0D~||Y?oRLZ?Z5Ku<`_a2slM2id(ILcYxD+3 zMk6XcM9mpzB^o1ph8&gV>e6^sB|? zdo<~+#WCx3H3+`_1BdfpGg-N-*-4Q7VOskQhQljP3jcXut0e1rzJ=!d_&l>1-9YizZo4xnC#E@ z>mo{KOgwDogYt$C(mhMYg}AJ>AIo`9w30rT_!}lF6Gkc~0$g};%PhC<5tj>}1xFb2lYxCA1dEJ!Z2F=39yQ_o zX!~pr%JZYDl=t-a%A31I#FT7XmABCYXTB07+e~2D%-pwV8I{=kn~*YdZzU5q_=SNs zyv$la*q!-1E`-b8Pk$aTNFko63~6bq*hy-0((+M`^MOviXLkt+aq)+Hd~A$6IHNfZ z^g9Dkrl9ZIWHrPWj8@bwtyVH>$g6ZA$TWdAN3&>ncLK3ajyH?^2}4T)CURgO&`+=G z*0$ofiPp+@BUfEUPfInJmU@Vd)lPi7GLQw^c++at2Ny}nhMo?bvDSKqX!&eaEV1@t zvWMGoeJL39%tOEe?5^bp#W zePW+_zg`Wb}J{_SO*H~%V-E2Kd zDyy_RZokLjA8*M|8+z_=b5FUy)UoO81UQgz%S64HvZg%l7BpE6R8X>BW|=)p&1H<0 z9_@EFAV6co`@gri&agKW-$BtiJRPD$Nj!ZjkR~YHK7#f*9qwU>@ay`>N?D>PdA~Q* zdX)D4G+*LzOzz83N=>el!JezuYIHw*!KSzj2eB7$ThO4!kCIMX+2g*`4C@Tu1(DNtaDRT@Nsicm8NOF zgMK?NZ8jMa$M+%1D-_&LQ!L6F533LoOZ$V7#Y>8?97|<99%XZz;Rv-BO7 zVSif3q`N*o`e?sOuG`&O`U1KsQg7rhwn_J+UFTzYwioBPmSW2CkN3S%^ao59<9i8h zK~vo69sCgAen=#qk1kGUoIacsqIfjuC$hFG#Gf@p);&nAc}8g{*_aMMsWZTpGQ)cV zelw--vIGWHCV0k*2A@ya$IS69YMIGaw;za?&p zYx6-)Kkjk7?HeWxoPrkP-yJ(UIK;8f9W~ltRrbsdjXC@NcsJE{AFkoD#kH8Aufb3n z@VcM(qIk4UBUzp>1(7;5RX%=C>c#U1<&{;Wt3e*LFO++!Q$)62Du}`ua7f00Q*9 zM|k)P#^vd9Vhb-r2Tl$51F;FLkJCm)l)X*DdvFL+#40083sQEdcgiluoELQ#EODrk zbOxG@_iBTa-^^+j*}Ub%xnDf4kJ9s?aiAtVs^8KG_Zpg!l@;a=tTU}Xd@EcLL{+IT z3Ej{8kkAS!8C*3Pj$jqhW5C#?2Dm_X%Gv&i1!?g5%3in{Rp~f`_RXdRHsf!y+m zhK7cUN@2x#J_a1j{ow`<2F7P|Br-yCuAM7#_6ESf`Wqt>u;6@6X0^>% z_V{%f#dz@;9W?UIner*f%!q~;gy(y=tyaOd1FkQ#KJy{m$8m3)L2#c3;rMVm>u2JY zc%{U9QcRc)siE@^R;_nCX3yU)mG6sqprERbMc2-4SDkF1)jdBJSmT8^8q-r_De{AT z0f9F5<_ygXnH zS+yrjE;%&WOsHn?2}uawH$!f18N61YK&gPcJUwcI4bf|RXda!{*myeFv(!s$84ekG zv{^o7P?wP4%>E@NY0Cv)S$E-Pr8Jt56VC89Qs+$)x8x%|`MnjA){c3albfa%ZOB!c zqua*pFsesAeQ@XuuvmG(zIYW5=v3%dF&m*$#$8q5=6WS~lEtGoag{)6=Pf3PK56HymRJbl@A{l^AqghLUd_Kurve^`|OR>uz*818#V24X(K zLDlzA6cu=qPxKGe5!$rfgsV4>4M1MWnPrlN#Cp$LS6P+fa!em*c(`O|Dgg46>bl(d zXd5My$c14y8QH(;Gfyy(imX#*E z{pgsOm|r5?Pxtr68fKHjqCsY-^yc`b+5F&)FSQV)6-gH4QS|9rlUXAH*#zFJP@N2? zSH2(L-x4671=Hjgq*5#TjUleExb?S7$HY8Ljj4UJTpf~pW}Lp7%dMd1@E?UR*loYR zNHzJfvt>c)CORqS5v3zA9^#?zTP;t8=a0FZ8g9OJ*K*vFQRVpUVR>xz<+Ozh`}PRR zNcV{DG5?1Y$PIS@F7|+~U|+Ro^Hc0SR;~Q%=V*}AC=#}x<1?bXw$`(&v8^sXPLY`S zL!-{iWqi9)7XALEzrOx-a=qLBj&?voYcfgP1|Q;s=W?)uKhKUVoeZ*=KujRu$&q&( zhsH+x=^^@PtksogC9*qQWI&J1Y&!c$Y^MDu^r!s9g}o*x+rTO84JqWU8i(7y)F(Rf z2DjXKA8l0gOLHBs^Q**x@3bA83*awL>{0DPyY~Vnm^q?l5cj4hx zP_C0*yPJBXreN>!T0evMnC8+-UEJrZ zNgP7#7X{!R(_OS_j7!N%NI$9LJ~Xu4GsVTueW@|I#z(IIp!aj!lpzPpKjR zC4q**x_H^T*bj{&S}8NG_1?_Cn~&sFy|3od!q%0uqdw%Z+3~&Es?pU`VaSu0mZdfi z2iGScn3x4W$ap7F1p^!(2ZRK-&XD%dK2L<8dLN`cbG*Ex87!80utp}o2l1Yj;45b81i4c52EN0W5Q4@bOJ6^Qi~4nsMtUJ3R$?Jx z91Ufn%s40QYZdfVgf5Rp2}>a|%kx1sl}AjrSfk7;L`Lnfp*LokvqRBf8_^SYhhJg4 z`M^h=p-I?hBTa{yB*@w`>p1UXyIg1uOkpAUrIFMvh|)DQpWv~^m7^vo)+tnUm!f`><>S zs)y)JrB)PX^!FcGufY$fr4Nce#I(apmx+N=m1GdSR5)=LBN*6rTfN_q!rN<=v0WUh$N!D=DH`{pmYN9MO-z?BOK7H63oLhzqG0x-ENt z1C6HGyH}TK*MwqZ!W=F7VqLZm)MSm#y3!)R8AW(*Qb;63{q#P7HbJ{R-|fbHl!|Xj zn#G2ql;oZFpXY~A)RcQFkh-q=xaW&=Y`&D?>=+$kxVr}8E&(cj852~>Jj6#P3> zV6aP=-2n<}^5HMc^qm<9K?NWGjU^JdfPHV9D{`*J;~zyRn5drWWQ9ad2v+D!6P!<^ zO`?O;6g53UDpgs(EamGqT#?hl+(3 z^TV(L7VD_%-I@T!`Gankp?~kuQUi(kdoo$ZhoGPOBgduC{3MEd?;zmU{Z3cu1PdBabriIpb|f~-cM8`MjW!| zd_Y`1NK@a9EbREDs#eQ+xq3c=Yn|hLJ%2Z3h)_1NirHqmG!|iDE{GN7ck81tv~%;| zE@mgt)2vtK5i&=8RhQo+4xiLXu(|2(9&piJgVUs~AYZt2J*Jbn_kY;>tFSt{s9P7s z-6tLh?iMUK!Civ{f(0kIySoK<*Wm8%?(XjHZm077|2k)#{p=gAU_LdeQDanZ?QOlc zajapN{z>9ixJUHPigPOpKP|u)gH_Cq%6@`NnVA*6khS0gT6X(8AtPp%o5*RW#5*bU zPDi8Omm7&v+3*SNfhOP_rBIdp4OADx9%pS>uI-oR-#FHC>Z@WG#>&6d*)0_5`DBq? zyyTtk3tVnUM<5%mPRKp;R|pEQ?dDs^9-t(BN^PWi|KpSY;L;z4W*h-^`$qs6_5*m0 zie}Rd4#F;XNnrarF_q3qUXnykwILyrVq*QDtXij9E)~jheHEzVxRvmFK5($HU0qxR ztpF%MQbHnXxvX9JkmMt#zjxqO`pU+}CLLt+eW+qnK+a9~5oQ7v=~uIcVDJ-irm<=y zqP*I0?LJN{OKy2D^!CCUb8M^(N;!jE35MAKcStHO3R3 zA8!w(rKJF@02r&#Ffg>lNk*ztVae{8zkFq>A%1GIkC{t{ApUtuwEiFi2kLB~)&Bp; z44_sY^Wbbc%K>tO@q{D9X_kwp9c!QFiAjPf8r=inKy2^1w>(w z5$~}jW9Fd6NJ~rG83vEg$j+aMF-B$rO6v})PVYOdxGyqw154t0oExNpCU{P{DeoXt z^f=$Fa{oMLIE}=_-SIf_O6!vqUc;9H{nIkHEVl%DG2__9UHfV*H4pq)(IsBsd#?o- z?7%Tl^AP|0Z-)DMH(Pp#`+XkAfUiYYt1msn1ouCJjC_)Nc!MkY0kJ6nLDjxF2pe~n zL)x+Y3oh8}X%C097|QrEgWrR8!u{DbsPzc7DUfr6aKs9q^X{w=ZNZJ^gp`z@Z=y>Aa|d$bZVR$jn(xBD9fVqEQX!f373Sy9%w-85Khne~0gI z=YB!2HOLPZmGNh-1i|tPFg$FW=i-n|40!~pz7g0p9TlUD#!?K8jSF-odxPkxsV83# zf#F?6Wo7yO&=7zz4b=y~t>X~(kmWLEEy4x&s}nSlsNU0lq`AR8V9aZ&!^gi|kD08x?|;KYIg{Q_l@2>+BMv-&-f{S1+P-dY%8{95 z$?OKcM$pa$p*xpv?4omH=Iq}G#cE_iMCImN`1{GBr{-EUWk*5Rg@3DRwEIqS2KO&XM1l&W1ERcUH67n>ZEeO%J8&8SOS82Zx1&Hyot?Rtuwfw7jJSq zinFIAr3|;KWY{xCly@-nzj5JFoqXq1neI9I&h|eEY=Yc^)4$^|i1PiA;a}L6H_Ag}ACH(x*(jGchLYGR|GQUDpF~`? z(d|1T8Pc9(%1phs-r^YTKPF9m6Dxl)MQWH~qpb`?P)#S|%kpk|$mhI50W*?iTUFJb zkw|Fe*)rauao6?-gPvnXcdK#;pcHg+eic1BA)l+qGuhIUsft203xZV_EBT%-)lhMB z|K#wWmZk|;Quobwy=Z&bpUB+ErZ4imDorl+IQ5A6BhAkByXD?4bWg5Q^sZ^xi}+8L zy5KdA_Ez#LMw6T3n`V_bc@`{`sa;A~=5#CeLv|cRPE*}sn!qo~Plu*LNmIahA~e(| zROuBep|**gjdxLrPx|}pz5ZFri!aGsNeJa1lh>tZ8sbj4C|^kfK5oJALxZ7eMFjeu zV-k#fdPu>wNH$MT&42$cqMOblcoqhmmK`RiPqHUfFCAn!&AX$Y54?T7-X00U*d$an zpnn;79mZCqg3x9TB9xvFGbECe4>tNIQfT@IMw?6UXeN945+X7Tiopk2#$g+t$qtoJ z1;Zv^Rh_1pYF~*eg0SflJV-lYTG3CqO|+a8p<7)KArOJBlLZ|uf&C+zb1`znV8>*L9R3F(5F67$IZv-1FkOdo|2Q1);MrGGi`W@W9 zMYlQKoEi@}y`PBK*lFz43oZmYD@OM8AzYk?Kn@^0*#}AHYN_0p=xv$>&#>x2F!**` zrJ4n%$Y192p%Pn)p1>Y_eMym9yjm5w9rl+4@0Wd^F>m3E%;lOkKNLd(6+}R>34(bo zu?gZ00AELd170A4EO;qBApvGU4mdRIl_cQ5PiY0UXn^+R8<(KnkJ-m8gA)lAZ2~l= zXQMu-60LSXU;286XNUEryipH@w4^+=haDZ~i6R4;WDP11T|JG8{?!&j?EwSUICR~k zmV;<8ijE-~%jYL#_=DZU#UmGiS>ds*93Ub7$u=Vy+b$xkwk1(9ViQZta>o6Ra=s1w zwr=}Zp8a|wy|7=F$IS6!W|(o9aQ+OwzP-;qMC{me_SzI0&y_=zzGe*25B_KbIoIye zqI4FwCq^EZX2wu0cm;(j5RZg2w4MY6!0GS@1V#v7n>$zX!*2j@cU($VHUP{6kXla` z{DMBI12OPhVJFj4ceOF9M=lyVGPTmZt7KHT?V+B~<>G5`KDUD;wMcjN*##eB+G`fn z{DNL_@$-G&zpam1m$aYxN#G{`&O-zRKaL{!>bzA}r$R!-{hx|Eau6nb8bni3e=_1|;6kG4ydrWf56l z9KQDMC8hr?OpjfPUouOk9M3dZFg11-){sk!*vaH(OlZ4O0aW;^TlT z_;jWK%r7Pm4(vV1OVP#}Odk>4=ZI1^1?t0}*ddGO>FJ4(&sF&$L&nKv{*>i+AWpi@ ztFdQ1SlV{IcnztNEk2yt1&+tz>v1Yam`hn}&PH|9wh!(jg?j3c06MfsYr!+D4B;3t zptryxlg^P-@0Z8E@*c^GdSR;~2;eLe4*l#KFFLYGg!`<`t<*_%i1hK0>l^qJZ^5#A z=@=YbOC|Xhj1br>qr5qR6WsdSpjcKy&Co|3dy{PST!Qk63+g?%hMj-@2U2CskiE2|z%fmE*eL(;s&hc*S5##q%%cAy)g*

      8 zERBTPC7#S?@wi_ye%>;s8`!O+1@=jNQg4n@e2B8#9yrVVamTMV+R?7252VJ{Q=e+6 zuY{7l(c-k;O&N}=Vf$RB+DA|;@&n%)vcrkxnC@(~s`y-a)$J^1?Lh}enM88FpJmo% z7@$;&i@w~+nlSU+Ve_7iznPxh)_=zEYL1_&gB>P9Ehb!|#K(t`dM&D~Zv*WY&wfxc z;?GbDsJrBxnZ3&w&32b4&{#U zgF*@gd#}cuwWZ}uu4cu-7ea~S=LgLkYz}KtUGVOnYTj!vKeEbe1UMwBVh_mwybjvP z{^;dI?=5|UrZ~}N3j!-p>4V%D!(1OR_){D8#Z>D&!rI8Z=Q_r?d;WE7FLUBKc%=;1 zWM27kPkglS_4kr|KzG5?OgR&v01gUEu@B332)NyJ&J`Hzh4@{a$glV0${{c^e)SuK z!NRg8hex3l6%`#y*_Y@o6E*i5L1N`E4J@E%G(C5Q%7M(?O@&b2=jGZh67)B=a}7}_#7)PSdJ&oA{iYc z)wOvv(L>tVG{krzeVcd6DHvH-S6`nt`=UQJ$ccce|1rXmh0261A&oYE7IJs*#p9#7 zM-Fl0=>$&0x03K`!3i7VCCBlD65sQ++v#ufq{-Lvo_;jL1q3N(EXs4`0QlGElZr{l z{n2Dw8tGYU>r`m2V6WFJ@c{7!cj5mR4?cHE{07m7>=+ZAvnZH0R;~;V!4K*-80=Wu zwN?!u0!m&16!i`UfrhV=q=gb1pq|H}(QsSz>|=&d*~N1XENHux)z)G{oE)Ko zn>~ae>WMHqY$`Vd+@&5^osy0i2hFt^#iGzD|h`9 zru?#eE^*d&u)ZStyA`E}T~0v*y%X;F5bBoi%IhWj!k=!tIWMnHG}HQ#17|SRqP&aY z(zqRptv1>#0I$(4h#_*qBi4SL)cz);7b`bx`Xg40-q1>Tm6!9;{_#>*B_wa)jT361 zE`V{PzPp0N?V&?XBA05y0J?QM?1-j-S}SLV z|C319GEiV1qJp=w&Sln3C*}FB7@!3og?Qb2Fz^1EEFEG z^Aoi(SQ$fvez683Rk1eM5*-VASznVk2rhrw9Dxn$3kwU&S7FPTC|e6^nGUIzUj6QJ z+Vz%PdN$#wVxK)1l~{iVCLWKFbVVOzIfmIzbLru4yVXwfs#vkr0$-HlAgCZ^=sQ4- z1}PRZOl~sx5j)a=ck*pW@SLz5@kSUA@bDD)fOA86Lixfi583NtBv(i7HF{}bw$}jc z=CP28HSy(v2){95PriR(<<;2E%~smB`2L;F@yz+*9e*F}pzlcKK8r{2h{w}VY8?B8 z?ZT7t?`OqViUKYoSc${zZ|W8m4@=&jl$37};}P$nHxnG1qgXI-a!OHSZHd=j9}iyJ z>3)&(0Li`L7RzH;cewzhYr>Q%C@7*1YID1R@&xrWZ{a0wTaWG6CO ztxqZ|?HqmnRyZE(KG$6TaL}B4b4jk&OJAhUcWsKZ1h3v;^vNDztZulwgKHXp#iOlj zOV|$lurzA8D1jsWBQ4-Sp%zdHv|f#=_hAvfJ8xpT_{s+66O$>C6ErE>P@U93x}c@C z0;Y+Jl$@dB1Bxh$q5>BUeDfdK4(GkRLNDqm_@MIPP5i}kgFAPPp~cDt!;9l+!&Wz_ zSrRyrCfB>;$4BQ!ejzT~R2Z*Wvqwwcgldl$$46Jwbo4?QBCl8G#Xl59GIdW)4Uf0z zAU}zKqJNt2?*-U0d06OwFVt&5-S(YBSL-x$ziBs4L8AXxR+ukyZN5xH&le|z#Y@E38vBFdUd>{Bc8-*pktrQ5vTskvJJ!`IM@1B5 zCTs;)Vf8V0Ll3z+cmBaB>26st0j5@^@5Rck%XZ9z~#6k=K} zZk+H7$5)$&=cRenb0;oJ)!4*n*YK0i?PSU6kJ%fstSt|#*CFbs(o}HPPb*l;A9Lm9 zg!Q29Z&j)7o)6{mpMQLhu;s(P_(erkp7cuof@rIdOx+AUaE6|K8q<(1)Aq@Nf^!r0 z7)@=Vs4xaCuw1&(_y$a{(mN^)vDWS;rJEiAK;6Xumn-p?!OPa?Cm;DGYFO z2ar7D;&;}Xp;`8x&bO2M6cW%q;ReDPru4w>QWyAQ zEOpmO7@K56V+onD)m#)OwsV)kP*=UOLhywAUj0n?gR|YkNYnV2Hz?*t##)|iyrec0 ze~D4Kye5dHu{aH`r@XUXwV{PAO-*SJKW9-2mK!T1XSj9NKcGnFKte*2Xt2d2&@eE_ zKH0>df-6&^qM{x`$;_N-)p6}Sg%cUcCbc|=+YuYsE~&_2m?|7XI_26DSFvL#d;;h4 z(wU`%bu2Z8Dimoj&@JclJSwP?}(TwFS zmTY&4s!Fk%F5j^dfI2Q=d?40|FPq(fCd5b6fDjzqn?h(+?(Bi|dZ&GG*06CtZSnE` zmM;~C#SPbKXEHbK4Yz46U$5H(Lsd{7bZ+7pVSNe{<_k6GjOw=2qoarRx?I5K<|cjF zV)oCwR7s4B&-+|QQR6GGoVf0GS@?rB<7}|=mkvyN;rNKm2RDVM;jZKOPQ96b<&n(; z$_On!kB(4j8>DbDv6#a;5QT7bzb!r^kf)qDVlfa^6pnBN$dRIv%crHvIi?zGh7TH_E;?mv`>r_Z15h6Dnddi$&41W|{U< zU(nD9QnXwv@T+m_)q0*h*S3HZ4$MO3xw_bhn$bh!-PCuGH^-B|feX5$A4lJRw~kGF_~OMG5o z^7&I|yP1uo%LTV|;6TQ|EswC=^4Zh*c>aM$^=FP6LQB&L^M&dblEXqefgW5I{(OkM zrmogWcd~DSJ|xqydoRkzJDJb!z2!aPwPj#w;MxW1KO+;(e&dpmaHO8ez4(QgdrJAc z_Dqij<)Y)Oy2d@lK!r1vIU}{)Yxhl}6bfsQZ7uQh6-|X?Fv#(1k;?C>_ zEDeKg4u?!;unQTmJCXm{gMO9Bc7^XdKaAl6)Jp~)3hvIV+I z>#rv$uAr}S^~jas-&{*jrJPyo5EaZwzHm)jNZATPJ4K^>!hBWhW>u7yb`~i2tp@P< zA1fn|S3A1ISoWb>gOXru6papkmm6($xlJ-DTcQ>1P8G$;KinIFFR*bB^%)KX#B|y! zoCZ_hk#bUQ)~a5)|MS{ZUvo~L;u(Ag($1pt0@KBvI}U{per&X=kDBday(P$s8lO}9 zzNnv&81-aB&{Y(@&lEf_f^G#P#{CL4qsoExce0|?KCxQeM)h|52 zz$rxTa|#>7jhTYP#UFc~M)zZ+4-lWQKlf;r!m+lH2;(aMVl$&Wxv3-hDtb_jLT=1Y zyv!yL&F=jlcpCqYJJm16mWI2GijUtrIYHduv2KVxaRa9$9A>@%Fj!l5Wl6)KVGNV* zJRO2H5?r?3`WOP7Q-N7Ky9+6PVi7HQTE_HY(2}@I1Cu6ouG_P$Z9Jd#lpShkdma}e znM|QUKoy8QOAm0|i*O6kou~>sJnn3hV?RoA(~B(FFPU`q^U5>%)V@;sKF9DZz#Z6p zCOk@%s=ypVyOye7=P~u66kf{8DwI<99oN#*(lKFjbab>u2$)k52JQu3hV{SV`M$bH zHfVt%@?SZ8Y6X5?y|Gj%CMSH@H_WrUR)mz3W_Ky#Ig?cswvKw$n2LdeRdudbvawx< z=uuYYfm4or<6`SBeS6&2fw&6e1dIdu#CwoyNb-5XNvucdb&jWLoJ7&<>gn?(SatXS zPiV)hXy8SfF}S*95j?2sefDNER`d_ik&7{sp_k~yh4=Ql z0KoX70W2Nu>>i?4Z>LVbA1$1_k8)-|6bQ5$;NeVb7F>K!(mzrxyo^KT99LjVBS6se zu~lq|IBPVqRg3^WH;k6G6!{aaCbAXZO7F>SaEBvzw9s`Oo1VejTq(+Jb7-kUZmHW;;ei)ax zZjq;UI*(8fQ)@i6MuEakFKRN;+6G1!Zz+Xd)m_;0X6yGx)ntE{SU12uB6X66#)l7l zbUTN;48a5!9|NsC&XorN<`zGl*i`ga=WH~}ZZ89X+02-{5o$5Oz2{!jlHK=!s@mP3 zSa1`GzdFIX2=2`ZTNrnK=j@x-uLoFcWIcx4Bo5VktoSCQiD3+Tl3~<-Z5;Apsd*7)tb}<|!;2W2Q2s1QJZ}lje}`>dQaln91YuTIm{; z24UOMP!awBf)fi=;g^BZ!8ig=>WTkv1%EuwgmUO+w~2`jSb@YY2qUWwF_~0tMTZmJ zQi3D;11i$7_$o;2+pXD93=Ck4x}TFyR#ukImeU_;E^USuLD*&gGPax zQ_RLQ}!593IB&!SWl6u+m5t_EK%R=<2Zz-MZ3&Uyow!}b7>ub6hxT>g* zsotKvwWljy<4ANamDp8*MDQeFIPL8LZ#lU6#D;}jq2vwAU?nyTSxH8PyNWGjfX?Bo zV_lag8eeiJ3C1P7=sbkc}w7(FZc?P~`;@e;fLI zB{qD(r7PN;_UDaQ&dfD_)5>@(n9)>qY;I!6(+X1`6>#YFC+goDXZn-Zw=s5PePN$8 zBNUv!Ev3>(*LL0?C<8}QM4dgUM{2@o0oOvxknb!apF6L5?vc(C27J4sweB-Hal%GR z$+Ac+HUTikqF<{)xSH3W#V!~>l`hj6a@ZB}qO0f51pqEk zRi)V4U6WR^l}TC8xS#R;D>>ZE6xvs7oFW7S1cT_Csa$bXB7X7;|F2&!MYFb-I#tdA z4hNXpW_BUyUa%Bezl|k!neu1)T2HZe)^#ioxJ$2ofgRn`OWifENpZYl)~o#}@;?yt zQJ5EnojVHalyI_C`=FXqmG^c2$01FIOyQsX#`ZW@l*dEYM0y$V$P{f4&ZglPBU@%x zwgms%t+xCnXqlJAYV{CUP9gW+ z*#WBR&>m+5@J3IS&qkz;kCKW8tiLijJXmo8z%_`o25Q2ags*2XnyilnCxq^XJCDhM zT6%M0Mn47IPwmTWB9b27Ub2YQbBr5E^Q?nUl$F#6YOB2H_tS057U^0?r}>rKpx z6)hbhPPKB*N7tM^*iEB+ ze@2Jv_I(@0+UZQR6r;nG4-A{?Fn|nA4-JS%Jf=sb^x;B z>ztzdfvo98NDhYTw`F8F97mJl6cU{ncttIJ9nDJdaCEk3(zNHAKS z3jXefMxAN%p!~gm4(5y^4pLmRPt%}FcXuc98_W&{Z=H`{T#C9>EmO9KWOh2`5|Mm% zc^;e4OAL1*zH}zvV&XEd_M-tW+i=yN>JYhX|mncF1-<&CE;x4)hOjDx&TlCrqXb+Oxe*!9=M51?5{M@Xl z`@#kS%e2S)lG7Go0{sNsVj<$eN$nsp6l!D!NI}X$axR@h^)K|?Qg{>V)H{awSBj* zy@h|7nx@LL$RM-$`DOnFI(R?m0i!T#o@t-9T@Ll?;HsocPGzSK@tkcyrn6w!F`4ws>zkOAs7 zO+r*wPLAH&^PQ<}wdhNX+?hQl3}6VJVR$#TIf*bwrb;*dpsl3~3(r-;`zhh~ox91q{oO;|23>vDsc zf*xON+XCJ24`G2yqQmFtc5_aw#@<@5HUKRz5Gz1uVLdQwoE|Ug(`OSnnw-Hu?8|<| z5z#BYP%%ekA_;c%*XYDMe5ZdwI9q}`{qFxhRK!Ru(7@112JB0IFP@Ebl=}Svxn#ZIrFQ=0s_*Hz2IC@7S3LCo_ zrV65@iZu#T(rUF{xL8cWa(H=PRG)-tzo*3iLH<{GmK3}3C}i%Br%TJ40^0v@5S1b! zQFVdm+p}WI_Y2=C&4GzawhBh-VhlFS4aO}V8Pwak{T~Q%6v49QNyZ5V%GZV~u$Os6 zGFJ+l0p<*Ovk)t}yzME<{p*VF6^*!80TPmzQLUEzat6v5UORgdrPh>z_6wFYFDkOW68qSQHOQI)G-1v~iU9$g}Yd`Zq z1|&nDa5N`3@D4Tnuz#Vs%c}rlsjMyZZ=2;V8n}099205x4^aeco93xNaAEO=wHNT6}6*?EKyh%9iGr$ z(IG|FhjN;;)P17+x;J`8l{0=~yA)MI#qHDf=@g^tXrTm1JTy?q8f6aR^l4F9^)6M- z{^(#3MsHkrr*0Op*F?^fE?!~p1(EZ{#wnDG*}ujUAD_5fiuh@OnT8yOWCORO%gDPC zWgGTS;i<*WKx~uAinOfUa6K7_wRe)6(?l4Fu3pnNg>8FrH`#IL-;P)wOd`NTN`H@vOv@nBh3%B9P2riE*N zjX4uhUrT6COYGl;$xR+ zeLDmuA`F_dtf7x29U7_X**DA25j5pNQmHkAhxA!IV1S=-iuF>gR#z~bkEDx7W-!9dX&M7y^8o10t zLruKXAOKB@a2;%hK%68tQ^cLft3{@FJW{N5-#Vb5w3pmme=-%l{6w6aMi0N|x}=z8 z`_NA0{fS`8-@djojJho3`67x$n46@I@Kg16Pr#1b2*HdYeBl8n;U~2+M1LH&y6wL4 zXdsxY3-J|&F`Ux!d@Sc$+!}4r<`)seFv0_4b^lYU!$|PoJff| zvUEDvra~oC49t{~JUnfC&#TNQ6^Q9vz7(wFm>J?ZEzL0JUHp`0$Xr&j2>XVdDVT^< zv90P`Lyz{ay?+li=Y0&O^XC64Y`@1hZ5G_15236nF2?*#T9e3?%h{RlhiBK^h5Xk7 zw6rFW5y)4^zC|UKCit4@jk#Ym#cVt=i+gzR8Pde$3qWj~S6zI<$7j@R1aoT88qSwa z6!^#<2Hs+`b(sQ&=S8uO#qetXeop&KZOj|3o5r0c`IoCa;T6lWHF2bj3RjyykL)T7 zenu>mZ>#ze_gqgDZEke~;c3?FKN%>mE0o(4mM}aWY$3Sn3VPthIu?}5Si(^ibsY-uYf2@D;hHr-GK5BFk2A_I}Vhsne zdcRIaR|(SZ_U+!Bf>8%~vi{Q#MK7%M+TI(IU36CbDr> z%}I{4f8nAhOx)b^{ z7IRJ&naBqk^Nts(PbcXAPb3rki)voU;+VAn<-Dhz$L?UB90j@ zICwY}qi!^_P8t8XJJ9E)alw7(4~H_H`$JL;-yKti3gJy+i6a)~dD(*Vc7uEO&bydF zZ{BXF{FmOUx7mZ|0>YwSEy(aEC)?XBCX>Vg0Y`0H!1HfvW>&Q0Cu_O^~Xv zUv7?XXQHUk5w@X*sxM)Pr6GKzv{s@FVRAwR{fP(IomL`d#%~-Sl{tAQl#~f@;@=E~ zXW~&WQkYlYexNi`xxscP>-)R(bGrtD|vnP`j5mdI>F<7(9an+KMK`S)}+{M3t|F+pf z{K#8J4FtYu1PimzU-Hkkxf=U35wj@i;<=GJxMFz)T86a&I98EV?Y8?pYp~bL--{gG z$3EVldze0C(a&$^&L8*dolra@51O2AyoN?gEKxO43XHk&)J!T5El8jE&%fX)+`spiT=q<%(}z)!m-EWgl?fMquLjDgtnYmvHvZ)xhFy z4vIcu(QSl0yp&LU3PUl3hY1)LRC>Pf`Y?0uWoIEvxf`VMM9O*IAJfYaxO^N|zuW?6 zqI84hCMo>C;?s3_C!Ly9+%WTPLIYz7)P=-?#*ZBvvDJCeOIyUQ(pd#|eyX3}OJz@Y zAF(dz;b2(dIyOi55VD18pxFN=e!0c?=IeYyDC|>R>w0JET=3xi*aq7Yq`29H;m_~q z`PF=J(#%~bn)S1btF`>g5;dpBAqZP{#nP`;Dry{eKFUADw5zHVtZAm8?Gm10JkL>xyxwV zZ}u%naxBo*TydgJ&aH0c2kxlNI!RcMyD3XUsX^bH!pZ6ETe0k?N81KYSd??S_jc0W z|1 zz<#BPFNcv-K4us1&c5BV0u}iM#%D~NO}$Dt&qfya%jm4r*cnZA>;i$6UB^r8+)H%N zJ4d_KChCP5f8K2oVLFO0{mr}m;j3%?iC|p3K5bsnCdGGp?A0x3Lq_5|PnHO6NhXVa z#s{iODC`+EOGE>8T9pOG#g2$$QJK)IH@Gs0en^nAUdoo1$m4{_nA!yA4r?Q;*2cNFzUl+ufi~mmom;W|? z=?x(w_FWIK{ZD%M5FV^PXbYBeAX$*4o_xaGehpI>Yr4tz^HZpv>_-;J0Q0vIeFF8y zIfjn7<|+gBUHSO#PPC$Ta?D=DNaLrnYZBWIZaVljk7=q$1%qcNgy`knljA%RUpY<( z4W)&|mzCcrDHMJnz}lo zrq}kOG<&&me)~tC(JK_<&;&*?ytYhiYhVxNF&7d21*D}!F#v(+CN|! zlH-VGtWhmBLaEC-o*iV_C9}9!m2rXt)t^`#0%)CFIAIDe{9OVLsK6c2v*di2{@mL5 z2^>RG$z-$0pg|R$d;}v_wK<&!#qGvkF6O*xNO~X)4^2<(>@TkJWX4x#vTeFdU$K;XU zG=%WTS=5gAuH}+qLvEJM;qoY`NBF8`*=j=yfAS&8Idp5rKX3Gk>Mui2rX?0{vsF<5 z_+vF1SOfQU!|@i8cwp-l#B;Sx#yWbL)fo?za3)zXrvf}auLfPa`a|c zx4@ma-jQLK6;M#po)!_n?qYvU3+v$UxDl#qJHu(W@in<$^AFovL;yxMu z&f@-s!^I4L;p|Q1etoPalW^my0z^3Ay8@&2X`AAxJ739N0ha-k#he84IF$daIva)& z$=$|ggS#G9WF@=F2A4PE4H>1XijlioVr6iu&H*?1%p>F-WjRlxD|wzQ^m&bH^Uj-Q z9mV=#iOVfs0P`mHe*;^D5*}GC{rR76W}s<+V%`xe4ZEFrrZ271<{p1XM6*Rn2;W73 zY?+0O{Og^4GSf@KrE)_hnjC+7j|Dv4r%#fPPY>g#yJ-5_pO2tEk7h{A!Ar|C;7yg~ zWJTCnul+s<2-}bF);1S=cToHi{XDToKpQquukUeFt8T5x_xEw1^=!@1rss$GX zoOUn&31s>8b=g7EYl*yBxb6x*XC}V*XH^BD9`7(FCmCkThceDkmp~1czIJ=C`m=%!U?KKk4$ZuuFi+EgdG-uTa6K zBZ}#;{=e9Mh>XJp)hvUa=>K;mx02Y3I9j(E2;}twOyfS zH9gAMZribb+?e659f)4EJJ)1y^G#;c_^5|SS?Yt4q^gV#1~=!byZldM7x?zs56rKyQ2z#(6#WvVqogJLMe}q@S|%VYFeL^-7hmDW{Q3J<&SY4sTEzzgOb(SLf^9)E z;E{sbl5s)Um@r*8_5aGcnfv|rOZf9x5g%-lpZbfVUrcJ5M>R^$=V#T`Ib9LOEsE-X zyTui~xjO;&{qqPZHL)de>>MgqBZ{h7H8+2S+M{A5}fV|0KrLK((Xw@ zbUYa}sRpU%>noMv-&Z8=()ht3m;Q$JLsV7mPz*I_>tifthD24qk<)bBGdiQ zs^IwPmlyC19MXah9WlZGKQVq1vfZ0*rlW-8^*Ni#toqx;|C`+3q`v$gqw`PsIMLp; zAq3kLKNNgApzA0VSXNO>W(g}bd%S#Ij*IgT8FNSm10bMw>S`Ca9P2a0u;-ZKh5z*$ z(jLibDvCme!1k{cb&MDv8tU+|aX+7Uc^*ARjyoc#8eeqiEkrn7ROq5uYo}=&qBesB zZ?Dv!#-W*&Wt8b)LwK+d3F5BsPC0eCr*!S=W)_L#R(~yiOf$)31j7mDR3m zJYc?ansVTKQgnRR`ySJ{>8+` zhJj6(d_idl$i^U^L9Oj8M`KfsQ<-^TeKz~1jkK;a(zYSOjO&lqr`rp6Evi9Fj{gdI zP5Q>#nILAD(r7}b9zfq=uEq8lf&-1M;K#?4bdiFZbrr^P)Lfy@Z#gD$F9&4W1kXDg z&ZW@sa{;gQp1KOviF@)Lp(Gw?^GE38c#m}L`LEPtzh6Il%>O1Cj5SaYq0?GzO^>cWN%pLHQjkmIXEmH~Pr(*6Y=DR>y_@?RXYUek>T1*cS z#4W>8%KDzC#B_9YV1NH99Siy<4na%yizn+_Is!ZSFrDFwmp2ME9XGM>FqpnLuquhc zx!@)X7X_`~>;6mPdoRMnC@ycSiTo8Pr|^BabW{X&S=(0jmb3|$GRk$Ez-9e4V3Ym> zI+O9t8DGzbJB+B7}S&+T2z6r@Cr&Xe?aprLJ-Q=_MEM=I^vjEgy=nR z4G?m8z?~rnTDpN@5W{;Hlhu(^P~<--0BZsG)327Rg*!h%rc#X;(cVxHIjdLzm15St z%4b5T!OPn9m-tMKLC8bWfv5hfTUkxW&~05&ky$~iK=RvAbf%nz2Gm6|CzDjGCM7Y{ zUBG2O?sdW4I$v<0Ju z7$x*ze%p+6*OCKRm*P~Gdmy#`UtcIN_(2(9RU|9wijrpu|KphN^sFtFBmcbRpHCmW zFVv52IL<$0Qj|RbSp-=AaUJZhb;uibB|N@=yP3Y} ziS5acc+z6>k7YP|M0>5G#+OZ2mM-3%jcy0VRv~T>>LlFT$uSg4%yAXSC8B#GzVcfC zR%xqU%=AGwaT#4A910DW?dT#aT$ozn$|=BA11@xu-sj66(BK@AqN1kerrK}>P$RxL zo~n(F3p|??(nuQnvqyQCV|1pPs#J`Ya%g*1ebY4@`9cL(aK)M|XAxYn*6dEtS2yaC zbAk4$d-Zqi1@881_D!A@hq|k|LqXnY_q#(-I(GZb0(w1^F3)zw1wDDpyt@vm|2b6z zp`~y`wN3IDw*;l7BPFgm5rzllpNz~W>>aw;#TmHwK~PBH-bB zI0^HH&;>c=rB~b`cs%U+JoZH=lFW-kV8BfR5kbLcV^1w%(A0N_3+=iTnzp-njb@;4 zq%1s&Qdjiba%IONl8f~Al=;!(%s$Njg)jqhje#7d-V5@ zbI#}C4f4X7J$vqb$GWcdUDVTY)2+~z!1i7T(#bJXr`@0}1qJW*g7UyXsxz$xL!Q>F zPUC6UEF>5GRHZrUh@N`~P0pqF((Hi=dH8oX(IZp4VU$Qk9&Tea?WrE8BDOWzlkiS` z@1~UGZqTEouG9D0*SW9#nai|jE<6pbr8bl=`WDYih6&H$8rB+lvkwcGG)A5$U96&~ zQFf)`?au~!eX)i#70&U~KFuF2lKQmr94em@1!8Y*`95hlFYa4Va@~n; z0yJXz_F+VX%wto16PU0 zF{jC@eW&oh1y3m|ZY1X~S|~OW4ki4tKvt>RUEB%({U^TI512SkZG-77kOmaOs*bpv9gW%Zfv91;|(U_ zTKyF*6i6*a?UGwK?GAcZ-*Ki~+lCiwIp3#Tfv%Y6`moiChhx_P`^^ORL=SuQz|t)%om3$d*4V7*g6(S3R`V`+S2bM zLRY>}jV-1Kt@QE_hUvCZK&~uz%lW|LwyzsTT~XIS<*;UPCHg=){6 zqSPBJr>e+5G5pP9Fa+gYMB=tIu{&at+39&CK98ELNr1J^@wh2HkK669P0S=519I?8 zFTf6opN#HSZ8(I^Q{d+c1&4(QYOPUSR$P2-LH8D3p6(2)uog{PE*@U`1zS%V!_{(~ z#bbR|H#0^-9e|Rm@e(_JAZ~kmxyJGwKBHS#7wR!n(_z`kzpjh^>n_!J)R;+`o%~P| zA8OzF%><;XHH?_820}!aZ6?sLg(uVV$LC}&jaPRBi={7%VZHODzhzLVXfG4UMp?@ zT$39Z6T$VfG%0J{PHaKC(Rc#;nUJFT#tIpCP`{MOA5b6n182qsG%Lp~ zo#REtieeE4b(sNSCUxHt!0`%53O-X7Sz)PI5Ld`63|=QcrDWB?MTZ6qFQ^#1En2BuE5!UCD2ekYphRRXz$9 zM73%P2i(WZPHc8cc##p6azJYY7@)jWcb2`%;F}rHd1F2{+hru+$cN5AvLj6S@F56r zdlP&30yYcR+(GUilPk~`s^g)-eL?R%fP(O~wJ7S2_f3axwKaNrm-X$nn$=q>-HO5a zOWf9aS{f^FZ@$@KhmbN?Qh_oH2My8B=AT71Sl8LuE%INTZRtZtqV}z1KD6%2ZdDPN zio1S~@)eJjplstW4;BS^TWC7;C87jpdx`*n#gU3$fp?83%HjeF{R_y%mTbAd=g_7P z2AA=CVe@^GWA?wn0UI_KB0b)3&(#aU<~5FD6^qvwpK-O2UXLC*%PQ!0KZQWmN_Fh>#zvq#&GXR<|bA%Na$>+-~#Z+^+wnr8cU-n?*C@a>o@Pvp|YVeH)lu)9#E zFm<`Rv%8B#7)zrj;}I4v*mw3|o{qb;rOY0=m+Tzzn8wYvhYJ3npS9-I10cjEugjqK zrSF>(70jN9i9)&rwh2BsqG--V|BQF>Bn(}c^O(lN1dgIw>UOO|sP+F zB2w3wtx#A)t}iDbdivPqp+;2W>yewmRLTdE)ewsx9U?V1*C-gDd6~CyaBQQ*D*dGO&iEu_rB5DvTRfs7BTm9w;LVlfh9%$nfTuXrjv^#z7 zVF3D_VC-V&CF>&?i7A!~{{jOFs3#5soEFdksrRdsHx9@+HTx@+=__3icKbKcj-~@$ z7j@~V9_ZP{yXJzjlDcZh+hUN#q?sLRj58lP(}5i_BNt>;(X|3C%~yBT=-jov<}lxo z(s|`xPKPWXRE^kDiIdU)GzP8^h!jEbTOs?1hU za0|&w#?~S^mevd8>*nS@&y=d&jbqzyg?Eg3t1n?aaVDxD(oPt%m{{TC$E@TC`_e=<6QRk9 ziXKV@C6Y-VAGVJk9!$%_Jx{Wlnwqw@RV;UAUlr>Qc~m!c4ze&zB$oQNZ8(@<8Hz1= z5%Eq3-+}u-)@!jw7av3ke;V$VN3gVa!<64rriuJUOc#!fj4UV=xufx(3!^Q*rKo7{@$Ld}<7Mhee&n(E z7i~2y*<4T%1lwV|DTd7!IS2yeGczSW3%7ohgQLTR3o0Vr6~Y(Q{|k$FOk zXNN=h_P#&#Rom~@gOfo9KlWi3^Q;8iHM!Gl)rlzK{EajJE zw59f&4gX;fPlieh4doXL!IipY6z_{~yi>ybKAz6|0OYIyzYr2lZ}&%mq={Pdm;S?W zCUn)e@^sgvb1O<>a%Sw*9VT(ng1DCJkCQ;FI;hL`gQeg~=aO5`@1&W{ZVXJ<--JPu z&Tn7|n-acx#NIpaXTVrW=MPvSex(~)M9t7R!cFfqFk|`>$fb&u{^j~~3h`j-{Cn)< z7Z>lcm+CA1bfm3=7bLjQ;Oj&@=KREr9eVR++YfO@FyA_EVz>DOaa#}uL?G{ly#@|k z6x!?S*(&S=jU;;x;BMEyYAY+}uG^|G;T>W&V(_}(*Gjqc$N@7*lmy_8Qh2)-4V70! zuT_uOc4AXvngHSF_vzmG7ha0%?;6Ex6QO5Y>bu*E$&8_UaqXa^Haq@YvJ9vWPY+4w z_y}CHcyd!V8#B|w0_Ur{RVzOF+dE}Y7A}bGBTydEui{0otgaS<-GExdru**5qAeCl zKu6zGs!`J^IoZ=AOqlq3qKj8sK_Q9{K9Y$Pv`k4<{{HG{=^ZQivM$rBxG2Q&ss91! z(+;!>G#k3e`%md>UC-+cnQXAsnwU0cE9sG2nc8j(!-0^O?Bzggr80o4cGQ(Ty59tq z16ZKBnHdKgUtL`ulG3|RA{tAAN&tA=xWnUVjn(KiGQwFpDWPxq>5T1Gdv*TUSKPzu z&9l?=*N+qXdy|hSKMCcRmT(8eAgJV8yr&rD02*Lm*^s! zPWP8ZOz1#-VB{&4W?r(4nk`X`U-830X6IHiIHcgeM{plivV#uvCp}BB{grq?E4PX`dLuTQJ?TByhBDC72t3Jd7oGAb2BTff z#(k*X<6M`0c>uLc%;xF_jH`S zA*TW&P@MAypPB^uwMAc}7HBv#Ksea0QhSyKx&hYPfVv)P;O_4-d&6N+c-`7`Yk}h7 z#`pwT&;|o?X&`Wpx4s_01tg;Iq~{_fGg^{iD-5}9!DLh*RlVm*bRgoq011L?UG~@W zjNO^F&D92)aXFzeq&Z_#pVk2IqsLUftX^R>QzD>nA+Y}i0MODTC8?M7!WXDR8)kjg@P=&2Wtq%BV=T@a4iPw zwjS7hD-GtiX_C?7{_?EN4wsE%qM(Vd!F&{qhJiuKcMG5rPa-_KYhJ;2&MSGFKxN`P z#NFS!1W~{QBm=BPEWUkbA(kauPmL;S3pf6>#yT%Usbb{J?6$hzXmBqoH7KpI@Jl=d zkOL9rwT|JXfSO6$#k-2a|46iHu|AJpsGeym$niA@m-sV{gIZ2ExdUAE^!eJpWqY{1 zz+bPT=yLZ=RmW}LCxsZ-{3!7oI454ckOp7Q>D^-6A}y;!-A$#gF296Vd5B&X9y%8t_Bo_@(YW-MSa`fl7y91s2Ga5d~N>V6-wK@CPp% z1Pw;7g_pXsO$}^7PCBBjG8LUfVSHR1R04ULG>-WZ>&$p`A^jRAJF1VkXKEYV??jmg zrd_|x_V$mRuAOZfGsltQ%=ekKub(YV>Bjer0#Nq_WG@0m^w-i0+Mj30*uDXoF#z`D zJ7BMe&*=#O4;E~Y1T;cF$uK*Lc0AycheH|b`xMhF{6)|up|Fr@xr(-~=J)`=nq#DZ z!tRHZ>MxYKF*zHL;GK;Zf~kDQr>P)TY=?FXVlp~#CdUfdPr*S#JTgWaO zdC|SW!=s%O+gEp)Dd(p8YNG~kOn5aAvpwQ~8S4 z$2sz%L<%Po2+#&svyV7Lh`)1gJ&CE5o|S)%+q5v$>5cD{dlYdqDX;y504wLA?0e@| zKAQ$Uza)Q;uON`;nssnb_Q#z!WnQD5DAOu4IT&iTSIZ2o*mpDmT~tYX3abcdn&w9N zpUEs|s?1_Mmx)?;)~9m;TTpiwyTzW6CyK^Kzn4?BHgg}tkLttD;~NiCU8WeM$SI(g z5fH9nU;+U?S+^)gEgIENjN4NfhHob;NUy1y;>deLpzISMu$23Zlw>q~2xw_(sZ!9X zzT#u5QBqRg?gAalQgSalqbbeT;W-P)ieDAye+>em2R9CXHvU zcsEQkyt`P`?COY#F-WY+CeirOKKKQ-PuOx$U-;#qCd7d@qs&4-L6ackfnqOJba#h0 zm`3bf-V3D`40>a*_2=otutVwaDN&&7Fbv|mRc7NgMmJ&W1n&vAHXT`}JLIXGpte#r zRQ5>vT=^#@F+Qge#1#;*%~j6F0J4wleFYpoE}!~q@oBAF>!w|}_t(8kILt!dFw&v@ z;xw|*;qW5cP-uC${`fI$+~Xo&mbs4wtw zL#gE(fh!{ub#z*4qw%G01$r!}Q5;*ZrvbbBQ@LkAcvb`E*3MkaqS|wYHs3P1{)OLC zx7uV!*()DYE#?!LIQHfgTR31oNvjFvDwChXIi^HO64C*&*vi5=4)Wh+tlcyShc}f= zJ)b46p+8*C4sC=vu62TJE)Za01*RngUf?*|9?xxPvLhXIVbQ*i<^fyx?J5&xdIyLJvRO zw;;kbXxNax#lZ>r_USF{>u*^Pi;Hf@8Fvmd+X@T%sgWg@!oI~q@c>lQ!)JL4y>m$H%nxeX2Gtd_{O#In z6y3*TNQEM3hbDk33|AuD=js*I)7<)%Dun}d`}0$~8)v_3=cg=yDxKpZaj08%MzDs~ zo|cwoaM7)rOlfm{s39&cjvssjF{S2+heNIT>QM3aXIv;-ueP^3+60tUy*+@AEr-K8 z5iDno*VMp|ewB+{91XwmK`v;c)bDnLvVHwPL#CvD?xf@Qc~1vZ$$&p4t&yf97WLZW zwFAz`5_S+s)co*a1OpJ`-Oh216bYs1xa;en*6`%C#L!bpS{n<9o6Z3ChE zw&-=Z(6$Sd%VLJM4%_{X`B(TS;s7f+py zp))cg;U8GKJu^cv#BOTM@DpiGOiY|4pm6(FR@#3|@eXo9cW%j0H7~ z>_f9^hCKqxIEiqmOEukng(QKGeqNi3;i7Bdue_W0^iEx4cK7Sxq%1~xDdX!H5RQkIG_h{AWu|g2DxkzqpmyGN8O(99dc7r=^HC!({u3 z56K^-SvT`0b{g;&F`Ab6LNj$(&Q}WZWPo^7KuG&tuQ&!~bWG`Ejh%j$oGh1^?Yv#3 z+h~D)eJ_zV25`eN-5cK6nCTOZeo&zEH7NMcbH0uW5f5YntoH;0wR=ho0er|A*f*3; z5QrwJKs6qAEYAiC@7P+P1~Oifefbp8g!j^r!p6*qmkiV3cMyrV(p}Kn>iZ2i|3!Yw zj2NmP3UlmNhV5o(N(v4YnIV~xSSOYx3D5Dz$_6_Pzyc;b>{K<6V*IM0z0JaGYTe;S zj-$>E?1PpU;zGHdot@wbP~G1n??(Q!m-=&&;iz6=GByM9E2q=%*eoGi@em_KJaeWmgkww5UEB7V4r=t=PmFu@;q}VkQDMIq2hXTdn~jDGe`x|?riBk zaVSm~1e(Iu7E*sEP@QziuWkU)6|$P5Gj0*rA&IW!<3t-lf>|D6f((z|{`X6@GKPT;esDY1HKp%|ahUkR@OToeb>J zAy_PY{@tx0K@1Usft98p+Z{g145EQa1X&IR4Tk0U_57M>LKeB|85Z+VJ39>?9F>Xc z(djb~rL1%us3!T0La+ey?DWEAy9MCl^umjQS|akZD_Dak4@$i=Sm57Lt0%GpdOi2{ z;EYWw(Yl7jnsT9y;A(-40WR2xf~p?~`_f;_&{P5A!M|1#V0Q`!vQx{jMa~s0YNmgY zr(f-!aSo~}>AQd`MWlq0-j}Pp3qA_FI_$mjF1No;4DLCMeD}~z69|;0PmrEBJO5X_ z!+X+ilS)I)riB=c+~6^bYowM$1zZCyEGa1RGYc8jAxD-1t$byFK%aM%S32tMpdE?I ziV8o!yi27!1>dh2c$weS^M2GZE-3lLYH^BbDQxh$xKNi|>5W!I%6V_FCUx6ZC?>g`mGY-o7zFvx^EAsl3;I`5VF(w$BOh!S=HJRlG+3KOEFtgL);O+t+e zQcn=jh`|!ePORFx&+B*EcwHZE%k}pTW)gvJ_n_&ZH*`Y{9;*TDylk5ndxcJ0v0lME zBCuFD!KyE|Fz!BP>qhEQkxH1y6y^D10R5^qk8>pL79Xl5#rgQU#w9hdyA0?8Wkf8I zJ-!Be$JdEKWG?{(AkLjGTk+cp5kY}6A9L3Da3E;Bg!Vl@XD!3~aa30UNHWlfntAPm zKzV_xn6zX81u_Bts{0MTNDXw+S}&tWSHL?}RaG8>iq=-V2%;W-cX#)f^TZBQ+Cw1v z$=)n5(_M0C+ba-l**(vtQh9nSYA45KaC0BE)tHow6kQ`~utw4^Wl*LULnZ2GyWfCJ zoOW~h=!~WAJ{FK<#?XEXX(5iEXaq#!4gQ3RW-BshdV?KnAT2^P#{j&(a%*@c_ur{_ zf&nt>4J?7YPc;;O+uCB4 z2W6Cc^mCk<<4YvqZD0VM4!pWR!%AK|N7x~CvKKY7u(P-KbIb%JY10q5pvA7174vQ+adGIzb%hyfWfc__Rn_8RcKpMFJdSs{OjVV6+)?%7 zuV_wby<9>nydn2QbX||?Y&ZM6n&Q%F-$vDa69)K5Y1rwNagr2hW|(P$E&@0HJ<>Af zK1>1*H4Ih2D(Tk7yM1G3daDt1Rk2FQlc0tqH9O%YY7H%G-!R*G7^V*!zK}SLq*SiE ze|$|oojBblFyB#yD&Rh(uG+lBv)v4jO9#N(@J2*5G&C}4y*1zn6o;u$c!=bsq#!fe zfK-RN`yGc}X(sr9nJ6y;w9NA@ASl%EbxSOPWJGp$yT}p9{<8N3@^9xE76*tLs;qSw zT>#X8D$xwj(8(^(_L~G+G6IiNu`2->`@1}#i9~Qj`GrBYmqeyGq^WNAs5g|dFvO8` z@p@rk8!-BA-qF#~A@Lk^G80U?QxVbK?mRuLz65LzmZ+9N-ta)XMCHMSL5e0Mw@%>} znW@&WUFu}OQ;NRjs3VvDtqubVp|6FIoskymu*Q!_5TsotW|#{SBMU-Biti${UTJk! zW~l*g@AyM539mrVX}I9=Esw6)tXX-$H90&ZfKXg9op1XIzD1@9%?BcYo_3a3;I_Y( zPshlpp#QN;=xV_vqpJvok)`N?HddLK`@CCH7AF8W!ZMPpMx|96JRK<0uY4#noDJn|zdSo0g`~-OOdQp^&sxYZLVxF6Sqz9!B&@_vsyC>pnIrQy zn!F?=keB3)EbpgK>O~>@1%SxK6`zO072)E+A;AeSP3|exz&rJ*k_%k{8jMdBM0@zB z`7ezOVjqc$6P2d*ruM9Q5c!OtFgFC%P(I;a9R?1I4&-c6iPV=Gr_Pl7;&Pp&b%b0* z2Z(-TB8pkhIY1?>49FkTkU}=hD;xY(otmbk=6t0f#Byb8*d2`KY<9R-1x6s-1sCIp}r->{q$k@Jz*g8o}t{*|3{mfutuh8E5`sNwmCJ}?LRS< zL?CEbFG>PKLLYmvkUpo~FTI(WL>%47yq^iQpfRCSh`h(3%r5M#&){03`K(m^7CPaS z+zKCSXJux8n+scnj2YoejJXM*0<8w}eRya0%o_jY*?9}q#qb_Tq2>LhwND1LSaR(d zto}2w(OTx%#-2Tw@Sc9C?g!>eBhf8piJS`|-YM;7v^kJ!E~XKg2PE29-YkKXWoJ>XuJp$~EnQ4ANw*umE{^Vkb%*Yf9MP7&tdae1w=?iz3%^4+4GYS&0thJ@LW~N^ zp-u~En50fW=m6Tc(vi!=AW{;6nsek3Sll}@jO^v7O$oZsjC+YD26r2+|2KbZv^-Ym zJGj#Csrl+%hoRQ@c6x(lM+Y4b;WAjjtbjqF$CT}!b5{ghg7Xds$=`{qFuF5|*@S4s zSIVwKB5HPZl~6_<(9LUbOnd;GY7eE}(J^7WKavr~!Bt1!q3;V!(-aI-4}0{<5#RvF z#;mbkO{n~+T3gS4Q$^7P7z!{89$eJ5PNy7oF!+4{*_x-ry-M9lTgiGRLc14zA7 z(B|aUP^`+Yirixgxl~y1K4R32*ZtkZe|ORXTHvNTOlQ1*B7v0Uy?$(W4`o+<9w=@< zu;P_VVuD_I0BEHwjF{joVz89CNCFI^`oYb{f4>Xr-a1fP-AA~X5Y^`BgQ_~G*KIG| z_=08b=(8wZrgC$KQqJ=35z_M%=h-jZw4Het$@@YKl1mtnME`~n17pz(Dvs3VV3uxI zZ{+)jVFyxib68sBrtgfEjd+7(D$w{v>+|p{Me{(lQ1+c8yWP|picXKNu&RB}(95en z$syuOPVj9O&#eL)s{I2h)Do0(A+h|K60W2yA+%%8qB zN8gq3Ybxv8LZN%b)|nw|T71=NxL?;aE|lGrFrDThE2I_cUB)K|1GkF+On{e0c?xoW z2k~w=1jnY}C3H|%rlibT3{2JS1iAc&oi%$HHgZ7+Vk*&D@0ZM$aOOH(M9+zoU=2dx zRp;zWIJHK_My%<^L0U>b+CoFZ{mcH`QF!o#qi;Wt`d4JJ4}#bZWA}J;Li)jC^^3!1 zsE5k=tNy!s9@hj%tkh$`5DqLzK8n-Qb`xp$VM*rraT1@yoDv?OS>nuTOT+#MzcGM7 zAO+dG^UrsL0Y$__z_H26!C|VN{7%OSB$ivtN(-3ojEc^Fl_#`Njt0C2^vsdo>I~Yl z%;cFcEK^7%XA|^H3=Pe{53biaxV<@@`1((awg99ig@9;3F0V`N&Nc)R(q%1;TDhr* zsXHFpCEiMKozK3Ojb>4#bz!TL7lnJjC&Z}Wrck`3j*B`W@EQAHfrAMayM_PX0mUUE za1njhONkPge|~;0U@}Ea(o79s^qXZ)v$Yyij^;f@&O+2srn&dF^XwYfnO#m`J{7b7R;b$G`1>Es+d>CFBL=k&&2BUhkT}@Pw z&&wKZfTzN1QefGW5D)`K%n&Sm3Gv?%bBsP=n7nxWhKl!SvCh01K>~(sGx1&Rui?xV z*;lWk&o>01U}0Y-+>W$@B+A{aKe;eg*{V2a%!9LT?xled{q%`D4jiEZ3=|1S<>q;y z0RIIIM&vC6HT7gR1Q#DmuAIxa_t=+Fe4DF^@r9Ten1X7uo^E-?$lUi?3mnC*@`vDY z@I($gWzXgKQa~{SsBLgVI^6Bys+R&7@6n^|2IZZX!H96fD)nf%K>By=RE&Am)l8Ypn&s*1y8Hm zjT7C+w?v8~`H1M^gSEFW;Y-(Bm8;;VKOdnPgAonhe-IkDqHVa&CpmFS3pTAgluzdYu$tfQe5-4L9P7qL4oAluE4;!l>7so$e?IGy|M6|D6MWRkea z4yQ~#Td3tW3I>8EzMFbd7S&YnxBB69Q?S%I?r?qm1i6Wxo9wT!1`pL6>w<5}ap$Q3 zGr25P_TJUMUpJPBx183`=tRlH{Og~bJX8i++L6^&UH7jcCt_FOKD;Hq0yiZk{q^C+ z`J}+{!6E!@N2gR9ZHbiJAj5u-tIK@<7Pzd*nLw90k{lU)yGZa15?j@ncd-uQQU*bcSgv`43}C1$KurMAbs)IH z0Ivw10hLk1%6HDNgICj1=@CdJR>C^>>~A-pnc9grYk+PE%WHDMkFx>e%)tWC7E&Ab zsuemM8T`5}gMf0wVK^8C6dJB6UZ05F=aV6Sh_7YP;Po_o?}T`rcPX?mVt#L= zt5{+iEL8Rt17k6<_CaN`7^C?IegePxpT0-J21BYOk9(&>JI__9XpoRvqd^! z<(RL{db`QeCV-MD;R&1?TN}~r@qD>A1;_wuYDX|EuZ;HgXG+?JRsngTCPm5eE2g?QXeJ9k*c^mi>aO@Vmv zC_C8j10wCOf1TWTIILHtB7cb_h+fZ4OHHliMd=v+rDL;T$=B9JAcJ zbFiEN_C;H5Nsi7?%jW%L?_NEqyxvs&u^emjbqJXJ?lEB}KL~EMnao8NTEiZKJt?0l z^z_t>KkG=Pa{pOj_~97ADF!)6c{@`+vb}Uh|5LYR9upyh;U^v`CSs5Af z;t&J3qJl9+)gft0q_XD;!?@xi-tk>8Axa~!x{^a{*p!Y)7dS(DV-wiOJj!#RN&~}h zsy-^#0}S`wEP29s(IQoK0t5sEQ)y`SL?AHqovU>A_J`k{I&z$X>PSc)C-@Y-?u>;b z7S8=ZuS^lCQdvJad{R+PLvWMjk0a*SqNtR<;AIz;u`;yAN2o%_?h$MF!sM6)5r`RiJt3#+aSj)%$}DIYe03ra7pQB4Ctt8`6NIlb*x zlk7+i@JLQ=94Vk8CC4>n0gI+9Xvfltj>+n}t8nvRAMMAp0e>H^zn-w=DeD-TUhKpi zWmQ$7a&=Ce!m?st-&dE*KvfI_B&sPlmBHR1jH_Brc5EX-mN{wuu(@HA z`$K(OTbruMnH!kdp?F8@y6gTkW$Xkn!ZD=iLYJ95RNbdFT?A)_pf?P?eUY8Vds}+T&`s&X zIYR}Du^VlI@|z8J!HA!1zOla6^OOG11RHw}&4Ms5owDz>6$$bk=%2C4l zYE@4(iy9rnD9a5pzkY*xG#ac~P)zcq*wiy7_=2Cu?B=_g#xMM)RNhUP`cB3>E@gPX z@VGVA1x+3gorLw%FUt>i!m#~BzhkI%o-|hUVHx!C;|ghdjoeSZYBrfK_^DKO zem#(@tq=9`bQP%koH9u$b3W8dYd-pZ@==L%)yVE2CFP( zh7ayvRBV>L8OD|ZJ#db!)gbprB}tq{`}gACXZA-mX^VUke90K4m7o7see#R-V} z%a6sDo7^vhz+2)-zAX=xFlT%1tl$`7aIe^cEc{*qU&CO~lYr`6tDbM9#v5pwyLZnx zy=rUP`gr%Pcp$6Y5W-dGT|PvT+2-b^TAAjIYrE!8IZSs}pI<5GDAtQfpQJsIh(DHw zT;Any)fbu z4jqW}XQJ{c$EaxotQ;O3l}Km5$zr27OEMr`zIwDF0Mf#BfV{c?q=mzOJvnd7{Re&6 z8>7WX*mQT?cQFIi^P?w1Y~q(MTh)!F+1$6@UJSNRPkV;`{_>q~PfO43tYVP3IO)qbdqCV@&$PR_)BYnt_Y60FRF zR%@z5%s@^M^z+@uCtA?M=(P&*J!VU5sEVl}b0%B?4Q*PK_vl)^RVm84=iyFG) zIO_gt7hx2g#Y+Bet{dn+^m9Ct!?QhL|Kd(2UCW#5A?9~0c4TC_87|JD7!qfkgL$9g z#zevhknw&K6lnx%h_P@#g{GiXuaF}2cD;JyE%H( zq3=J6D2oSPGt{;uCAcTb12h^7$=$;1{Fwe0`#nW7GczF}p$-r9NhDs^>q7u+KP<0% zb0qzE?vtk_I6~Iw{?`M` z%e+KH0`IzGrN|?nofOgZp~*7gAVc=0Er)|MnO+{RmhQkf)1K&D6g+t=_v6U9l=dwa z*Og9Y@g~H{pM|5r5vi7H?nCDKSkdXK6UVgTd(r^dYwmS|CrG@QtHcI=Um87*25ya5 z0qa*2y3HZ=U>fDOgkZ6uu-}O+RT_1G&7%YeF>0rD5CSzBP5~@g>8{>s)pT>dqx;DK z+a}zXQGC2=3bT6QzM{4a!j>P7IhT3x_l1@6q>p9g-_Avj1XYLm8R_Yftw@{Ih6V>A zB45(^;@$6?D;Vn1NRty0^$!d)5~a-Qf3@7w-XM@iGGeE+=c1qY|B}4fr0}rxwgRIAnCN6cQvfU~OuO4qF@o zFGBJDA}jU@OXO-aC2COO^m`4jVqEv&o4WJcugnXD~xdY5cRgWqPprKE(NN7 zmdG1mN8yV-tOpXZa8Pi*8FjxFUuXEhCAPgfDtE6#%a~JHbPAMs#I`EUz*G$ZTADY@ zCc{t?q|)vM#Xva8TS+6aa6BMO1a!Hk(H>AxakqYpLO-#b|3yc`Cn@j1< zB%BmD+v^nq>o3!Ee4q0k90(&&jb9UU!`9uz9;$?H;#AkIjP4(ozN4F+_~Xim%i*I@ zP2jBYNtjOE(eDAadXn(LZr842BfE#Ec5*HfgXJpKjz05uK9CzsK;}RW5QlUJxH!c5 z|F?_78`3+a7;L<0`|f>GH|>jK1Fwl4@Mv0>nJF;LAR<37y)rw zEiPBZF5;7BC#d$IN&26kly@B7&si)+F!Hw3snPsB^zQmYr0aGcfwk>SN>84d%kn%O zL%S-aXdB=WCyC-(K}uZ*1+oBLLa=59t%XeYo53h#g{>|eS3eG0SfX*MiNC_<;i*>C zKh%Y!UY@_MP17aW++!}|_WyQx_VM${vlG#4nU|VzKHqo4y%STmo~hF6(&jL zlG;Ek_CEJt4>~=me!<;uz7HGYz|H1QKd#^gxLD6PS$=<|hY2wV3)-x#EPsFhQIAwyZ6(A*=x#;gRBz-gr11!r>1sE!jr<-uqx)HM^Gt7p z`^$XRXu&^08DnGf?*87`$ml)OyX}BknSk+t3iJLfhP3 z1mIJ>BP8%eozMiGAHaibJ$XJw!)*gZO`vqPzP`@J#>Pea**aS??1kb%o2rAg2_4@j z29DB`NT*wxp^e3EM}}Ij0rJ_23AV@yUCT^|2{FqbkQmBK#K@>Wmja^Jp4F!ERL*0Y zz;!`D4%!YM!f0FmkJV(0pX-q(*p*$=R+R>6dNjbU513jyW~J^ov)XeXq{GnnVZdV7 z=QIT=VPXi1YudY94HBU5E{S#kq844kXo!CjK!29eJqBO1X5M!Kr29X*QfZzAi(W)pn2>~b@ zX~F_(z%DGP>G7=jN7#K#L@8+qAcuOjqeq=lyX{TpO;7*scjpMK@py9CM~(Q2NnL+? zy53{t{7WEB*GB*?^c}-72X9`=J-Uok!o{cQOMS{gTrKTurwyDH&0#`3#rRGsf*hd9 zK?T7Z5MJ0j^Ujp3DPQ)4`uO>qY#G+Hm!j}FF@uMw#|tGc>A82v~9 z_R68u+0mm=`oyYL>M1oLDQ|I+^E-f2XAm87bFBgIt|pBj9d=B_?6}5(&qrkMGFFs2 zdN_FSGXnxwq98EQ3c!DOpjOt@dV11Gl zBcLnwyr&GAen+8;`B7giJv}`Tg)o83UBhK6nv231vzZ8P%gaYb0r))(e$jTY!nvx3 zx-AYaM(whLf~b+%5>`E5KKV|evTV84IPe#!w=Bk(c1N`}l$X<4@ugR4 zd~yy9_aTx)ha>X-28ea*I{#FmEYTreA;foF0WrK%KZw`f>dMFmQCv*+SIcjy`3R8# zt$_q^FFbMM7z!1n>?aGUF+G&GZY^y625<&g;{5bQS?wuB7NXAMbCsK{}0YuU}_wv54*7U%1lP3{+m?8YRv z(``p#@t-9O87&%$rxy(Vy@d+1t8@O$dr-^79N**{e-I7@KQ#H~pvT6tj~DW%*B&&* z>O6%*YIg1)ad#*6E(1%E8(sg)1RnhE6zD!W(=3>Hz&R+%co33FxB=^UpCx=QtSS`S zHzbDgpXO2rfu*nsZm=Q|2>c8Dl_tP>g+u%314nFXN^!fPybFIfgvfPzRllU=C}PCy zL-Yn^f$vDEI5_+72f0(-PTk5(On0ZfErSt;xG(=#Fr1*WcC*f;F?;n{5}OrKxbfav zFVXD`3ZdH0%h2`|HmP__JWNE&&gY!ePRlal^MO|93!4c)vPCEI8s@nLL=0 zfS@+;%=`2$AwIs#6kdc63L&NSW1mXTC-XK~KO^TdpZ0tLhNM5C@H1qv+ay8Yb2eEf zB5)()vH~rEu63}OkOD|V6*98<{eYb5LQnC+&QH8i^L*TC)Z&b8Jrki_Y zJ*4?H2Wz*tGdKp{7r4IeSU)(%KhNjSX*xY!zi@XG23PBJhI`KbZy=RqY+SFwHa9d9!tS=MH~}|G{k~%8z$Pab*FH5}-4Ak$FPRI2Oi$lTcpBCz{F;h>gi`cAC8Ve= z`S2CW$w+ifYerWzKUY!$&qY|ASFl3iIYL}?cJCL!->H`h#U;1w#jkb(#epa1;=(l@ z92%Nqby-CvuXnXS19te}c`CNkY`ac`F`_C(pwCdSs5mF7%nNT;NKT||)BMQ2f{Q+5 z<0-*fprra$iV}{B$;*8Cb|7dw$^w1W&Hm(#Fos*B~UVA9jOHP!^ew_h1HG<{XsaOI`wpY%Rmy)qc+D zpBw#|lF{wfChyfjQ5dm^XhThnl7{2`Y;>khy`Fs>bNbq34dDvqX7I}mAsr7NapMp} zNj%Tz3vU(#mxf5J+V)RJuJ^AIx532~esHCS@W4+Iyl0ny3Zws8%k^%2WrdG{K|YOi zaM4jz6k55iwl=QLn{UqbT1H5RRNo!WFgTu#ZWue#YU9dNkI?)9;k${@bRAzK>B44T zZ=c0?_O6GvJ3h(prt}s~FHw3jte?N%@FfH%)nzs-B=g+Q{@SV*F#Hj|5IYMbp_titEI9DCM90Aa6J>eu2F(FPIk)* zX5cr4Ku1N(-1rHEh)EpqP}O8bLt*f{T0AL6UvRFGP4 zse0b@yGDiL0zG^HBkWq&ELaI>UK%T>g%1F~zX0SUoEL8az@`A2kS0rtn_kZ+_DA5C z>dA}?sK2(y6zl$C8Q$Mci2gTERNeS7|tSZqOP70 z9HTvWvfC*BL|0D_O5tEp^CJ3Lu;2PK*=I{>ePzjc_w!l^`!AXcxrQy8%R#-aj~NrM zLo*L%yTH@L9ZqwK0a9Z87?6W)Mj~eLm##fy=F*Fb3YYyKt7)C3`O-l5L@+3yxPX1= zb;9@$7q8m@&%=H#dSRe2u3}FA?FZsJPr|;M3=BmY{OARlQ;gqha5ntXqXA8#O%;ET zYVi4it*OS)RWq66Ns9?ztAnU+WTbZ^NBvD5{75WIw6)oH?77t$@!K#ud9@U?H7@Hf z0X16i7B-KAnK;HM`)UptIUw)^vI4iMGhUZ&9&2Ku6Zl%h>)-&**7Z4H%K)d#fEM{y zPBSgho5-Ic<=u>17yxpF+Ud7vUm{CMt`MvQMY`!;r73bm*l)_gg^ySj37kzaco{(+5WKYy{1 zXEQT8K>T1`IGDzW?*&9nu~~4(?p9wn)rTZJF8*99|@s%y$0Bq z1oM*qH!L*Glg4hp=rKLQlMp!& z`?^i6`I-Dkg!#Fng_v}%o293LYSTi|v!sM6KDl(dmR6fMx7 z3K-z^FljzC`NtvD%%4>jMKpuBIC|c&c6@zgNL01f=?w$Lr;xwo(-_q}+l7T|y#+F2 zXvmy|Tg4cX4n+;$m-i3+WtXx!5vexmaqhE${(X<(9a@vLM|aPtd+ugiLa)MucTYe= zQj(CC6zfhg!vQDiyPVp=LuxnXplf?u7#RmWUzfHJTN*8LHa-mEJA?VxHF?pwE!OUk ziqc(GEx)$ft3Q>wf*tq?e};x4_3kz0=Ol~o-Mz3yPWn(n=DdMSI6OvZz{hHwkDa2 zo}Zn~eLVBs{$Z25b#+)F|4}N%^3jQE0fDiCA*&|`&Fg%EKzZXOq;}p9aS2lA7x1d_{Fi?m zLDTRVArTWI`!wwUFBG9cpA(h1^t&(D$Bps-VnWbUgMv=liMa~ktc(PBC3ZokM{K_~K+j%%o*)*Y%)Wub0A?xF+{d6xNBFcJ6@5Vez`#&K!)dp{;MY^o#tYy@ z)~^P-1?5i6RO=bMzoW`@%}yQm7bEC$au76(wT!D5yUhuNj}yNFVs;xOCuN^<;!FFZ z!(~&rH}*6gG2tK1%;77(d}~)ytuuK)8@1nHdmV-ap%JiyM+EWn0MHc+-W3)#ZS8y_ zjir|lKt?ifE=?fU2lBLNNhGf~?UPF#plwb@jnHVjgc5j4oDxZ`JbK9`LmA`i(nD2WsmGaGR=U_L;Y?^TOQ#zO1*445W6H->3#j)f#0 z;mzlrXIjE2vspppgMkO_#L3MavP4MoNaTQC&agh0u2?YHtwuzjSF@~`;2gneZ1F0P zgG3!YiolH#Fe@r0e3_s31hJY9p^`@rC@r1WKQ+4CMzlN(!7tF`zBUxOCLVJ%9jsF@ zVX!MhpE`_DKA$@ad9L&vP^Wg&ch$gk>Wz%%bmu07zdPlK06V*!iNJJtt=#}ug&IH5 zwus~JREk>@IUOwp;C&*)y5=>*?X}q#yR}NL=3&)EL5$|?ag2t58&ds}3mOwiq{UUC$soZsgo=wqwF@=?4v5ui#L+?;Koty+>rr55Fal65%fL|F z>I!itf%3@{jmH4gLe_MzQ85sPvYTy-QC3zq)5K3kXbyDM98#u}=R|H@Ka4L)-b`lY zc~a5TI{3zLx4eP~G8%{!gKfcu;|8pRNKO+=85tSP7uSJM1>BHkLBT}|@s+~g^WD35 zXvIvad(^bNK)t&MB+-ypP*@y)%&Df}9`I(NoCZErE4q@{9y*g8mt4OsNY=Y!t%n z;>Zr1kn9qWVR70GeFpeo?`6F2xawT>{YtPYJ#q2xmmj8+=rjl)eqq z24es!7_P|j5`Z0lEe{z+#qyIN?y>qLi)6}W)Q6YaR%Hi){c`f6V)+X)?jwpNL!v{u zavePg2@}H2vM*R8Py6(UO2q54geTgya*DvUc!SpQ9Gf6-J$MoVuq61u;MX znFxFhurCI zOWUt&YvitNvMm4})p>J{$Po!;_fZ zW;h7H(ajtNOx_#_#)Ty^Dnb*?wapKR1JxJO%l0otIvlG&My9j=crJFqm)WP`YFoZQ zD>kDcF%-yoeprtal(IR=U}57lCp{Q)IQ>Q0DdfZm6)m?=Uf>%1C~Tzut9PL+^;71q z+jTPXow>eWMAdc_=uq8`D5h^4i6_ivDV3V*h&%S8tc|o>aLzM76$Ih2sboufE^t=m z0sMlZWlQ#%Wc-|bkt0P9zTFd1r!rj@>=iylU^Luz;IG;4yx$CP(!ZvosuE06X`0t2 zThpVT#|F=GOP@*F*3Tm&dG+Z=MYgj##gt{i!4_;$Oc%<}6ty+~`hjJc#r$A%9%1rs zhWm#;HU0w&;b+Q&olo;hSL6^M*dk&lif%9BQ9S!RLlaztxvo!o>eg6>MV9EYHWt7& zIrO3YVEzHfJ;+&>kaC*nesUZPzAawq>L?(=YI-5eK4c#N1oi7bzUQ*`qg{fX!Qv*p zp}?mTIkrdiQcX|QjnGmyIxE%*x?bG>7{z%P8uSK~By8u4y z)?w7?zMvxF$Qvu;K09v~#3DLZT8k1W$Q@DArl>5pve)WJQoTk$MTw+3pRXUID0~KN z>G94(5!CzRcLr(;=C+;qsN)ZPB-@z@HBRAe#1b%H+IXm`egDe#fbV^&Nb9vL*Cz?g zkD!T>70egWE+1UGz!%&5f#4V`nqa`MK$YJ18kS%s547vpi=S_7k>9qqG4>agVsph5 zpx+n^Ou5HpuK~Wc&ezqaaXV@);pwk4pK;NfoHc8s$|N7JwR<5~n-(1Y>d6fqy&bsf zw~8F9V@<`Z4*yY-1VZ0}m@`Vb`jQgD#QqR7uk*qp#?sNoS%2D5A$RPam+%5apeD2B zPjnq4t6=nM4~jDa7%cnDGk+y~p4vzB3%tobS3@3}i3>x4^U~hC?fQ`B7$JV-{6^(j zD*7&tQ^~Jp7Z+D{7PLQJvf8v|g~*Y<)`7VztpZWM`XY* zC(kYJqC;h~$1c?eKPHU|qK2(YR8g@~_QV}cNA4Aj`mPd5@K{N^mG6Z&XMy;pkTqB{ z8Mc;YCm@LqI($ec-*D7tR+o>2^oJGYtCkD*^4&N>&>t_6=g_X z7%ihL>Yg%Y$Z=kz%z{N?|1PhndJRtGurTOY9q|CNJFDl1@2S(<$r-rOpmX<`5JXS!sb zw}%)({kx>=OJ-4iAZ&&Nz5>D%=YNbK9@X{k*(y{JU5tpniPb2NO_O=?Y&nmTE0=An zD(VdfahEY}~w)7eq#M^|pVA~XH@hTFpn;&)R0 zMBC-YG0{M?*;z1s+}&$(b0Kr&O3UGCbXas_eG}eyW9j;j5)wv(Zp-xYm71$YEQxT5 z{m1)!tx4CP5=H^?3J1##ut+|9n@=!?LtP{3@ZGG)3VHYU_B^Wl1F|Sgww2D>WFj(ik7F z5|$Y<@)uC)h!JkFzZU(po{&feVA287f2dpHBzrmU(@_d)Atijb0}W4Zmen^;WJTU8 z<0=CA(~KO*DyBi3Uqk@J4#4BOLlr2xpK_0gq9U%V>ua3Sz~X}c;aMW_yJ%SM3|@PF z#~bs4V^%09uhBtsbIGbSZs>b3=$oDHwRZfqB3g;D#dDt&x@aigg8aTqx$#QKX9%=R zmWd!U350R|f#|TBE9CX@_6`pZw>Ncvh?GHyg21%aC;TyhB~x2H+11shp{dL)le|Bx z?v{AgU8bF;rzU0K)|=0H6&p(%YnpoWw;zBftuYR)1d!=^BMvgcXs%0#$jX& zqlq^JNk|Gg@Bnv={FN3}>#c2BgIgoHLW`l+d@J(2bs z{BUWA+m5}yf+A5wU-ghOC+58bWrn^tU^l?Wsxn%xS}9+%)guGm2n%3)-u1KqzNP?{ z;l`tY>@2C!xOMARE(f~F>vLKw!sam}W;OW1z4)|Z%;;_-vyFXO{gm&P1l)@yIlq|M z94Un=r;QDR10Y`F>%oOM8<-CaIs=7zff5o!9N0^cMggy*(V`2Enyh;D*35lLnrLH; z;Gja-hg~bAtB7xLOeD8XshNcs?bpeYLd6Z83I8DG*&S5z37+lPQ)w1jxz6-GEaqnV z*3H_9E6RWQ)+7T$ek>NMdcM!8F0sIoJlxVNG>A?hW zHV1YFbA-(^xC16A8qk-T$ui`vTXXV4LU23C?4h-rto# zV#^l@0GsuH6am#@5+YTsm6NF)hphj8r9cm;{NwDHe_w^l1Db5xoRh|99zvw*zl(q# zwhEQs@XOWxUsu5wcgQgH4dOhIR`I_cHV&2Vu{*o)udCq80%RC>Pe(P8|30kxI<%j# z*M&k4EZFlJJ-*YNGU+|T%Ms{}y{qVNBY6O2;+LRfehILc9*vXf)Lr@={8igbWn8^p zm-^ds3p98%7aaVkb=CDz-F&o;k*nBWo#t+7&F^=F@_T^SsVtZ3J@elt zvjZJ7@ftBmJM#NYad$QAf#$a?Js$^XY5)EO$p{)IkE-SW^(s({sg#5~!3_*#92_)R zKQ{0KS`hS)C$RJ$9i$Q<)5FNpv6uc-p2Lh5R8l0;s!bVpWc{bpqhX*fw1MHMfBYLe z@PtV4z5F|QQW&_gF3un0#RuX8?#RD2AaLacszbq^|Kk<_ZHF<6|Ech?k$8xI$y|rm VREPL+tHQuXS>c9!`Bk&v{{c+0#)SX? literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/pic1.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/pic1.png" new file mode 100644 index 0000000000000000000000000000000000000000..a78a95cf22740885107198f9a4a9b4dfb4405de6 GIT binary patch literal 106731 zcmd42RZtz>)&;upjk`MpcL)+J8+X{aySux)OM(Ol?rhxM-QC?iKnTI%e&;*?srz=H zZ&&rh9AnI}X3btzU0thZG+0pz6^RH5005xMNQvYs+~pd+ zy^;C_1ojwOzWnyTZ&}et5$>uf`0%@bn%;PPC5Es0(Ib3wKxX{@-fp{-JNUP2_5nff z@lCe9p?kXr?fc5X$$e4}{`twB#MM*)>G`XHmH*CT#P7G{K(vdy#BR@?E~CkL)=l~$ zFBR$cw=H36>D;fOU&DK-ub*yZW#?{{r2lpiVE^7YczaxVF{C?fk$JyOeR;X$efc8Q zqYyx{<5|=*W&SpeY501JkpFsh|L5-gc7?b!mpZ2BW496K%%XP>nFjj(Nw}J z!&skW(g3{(Ud0l@u0-_!;y@(BjhC&bt=F4anw@~Bv1?qPsLFA7%1Bbl*1gU^O)V6T z5$yOtQ$3EZ6`lIk1Cw~s@n=$p#>E9iK@pu>zBJa-M_1;(t(Kmbs@c{%v`%h#R=mc; zkF%DS7V>w;fDYduCG=LD+Rr!IyJd)$1Ug^draH8eoO&)awqZXW-}D1MVvUU#a=F62rR8DYF^Zr`$V>$=ok@tnBx5vz{8qaN#6{73ku&8G8wGC+?Cs2H;xha3B)cE1F-H?MGc zvL(rNaol>!FOg3o8l~RKeiKcHBaJ;5V*>T-%CDN#7xJo~Ojm)84!1qJUrLK#KI&W& zieFCJ-;9@<_cwi*{@#jCl`DDej1dO9-@@O?2XR1b1V|m+Yx=>_S3J3Bnp>Mp4@D~% z_g@1XzNlHar|DL9D6`%5@hMfd=~qNXuK9*(Wc*#4Xfi(X$FXYAqr1>Nwsu`Tj@BJ0 zcG#LezWj32O0mL{zcs+WI~rRGP4I>q&-M#Kgt0P9!%+oYZ)9PuzU}Qz@IZLuJ7U=@ zf1+RcTiBx|_s;*%T?7=fTJb!=9VZ-}4 zF*jvr5FS)j$)hl&HZM@cjr83kctj}gO8SzGejD*9)epo*zeuiXil$fmyLWBR!XopL)Iu4s&<{zQoB^iQT}uvGW%PWiK3TZJy~H z>@_QwJ~5{GZNM*w$C)w;YEQm94_cI!)4EhapHpH2ZA#MK@TT|8o@tx{-C|h(Hns&4 zE&quA)N$6M<9I?(q1j+pr>_Qb#+x~BeR&$tTML9da@-^9G&usth^O&EGxnpS*>raq zdMj9})l6GWPSbscCy(WbJFP+&U^HHYRR*txBY)Kaaxr{K=)1lX)mERE<$bDG~&Y?8NDbRTy~>`_==|b&1}fsj#P^ zO-q{Dltv!lm+3GzsP}N?tBJw=^E|;r_vy)Et*~?4+*(v`s$`*E*nO?ZKO%<`0|Z%) z*@QNfoiYQmxl?FxYE;MEFWWiXKRblD_&@}6)}=90Zm&rQ3<(SX_20kx2zWeqCa3Gp z6Dt>09K<(Am(ri0=j%Ho7FeV`MM5QogMikUT@e=i1#o+AIZH@#D4z(C6PqwLSqT8X zt?_d3ry01LF-uYP(7!gs#;U&9(p2|W)_KI0;poK%e-?D4)3d?Gyq0$%bx_a5#36Ww z7~w2bOaDfh9fQ8^Q~3H`%W)bhMwsW|s}=&Zoiht##-1q07-y!qqisRZ^0Bl}eA&(0 z{8mg-k6jDv7-MP5N-9NbQ0R#p{Pm^~0qfabzJbWhR<2Bd#0!fk>JQTtNAo^W_X*S@ zDjY=!lo&_Nu{PzC&co|O<<)puw6nhH_4Z!(Ft(HO9>yh0zapLkL3p^-sP6dHRf&wRT^THxnWE83H`MkvvGs>2+S8xQ9!4$3Z!-v?gDvr)GJn5)s>g{X%2Grb5)Ius%@ z>sr&$FrYT-riP$Lu^1gBDhGIz#-=GeO`uzC!9`_$CF|PQzKx;h@u?E#Af%p)dl)j~f~H#WwEZC?UPk)I|Kc^wR>^ZEtr21B$j1;|-wt9PPx zyn~^W4@fI7W<oPfpEL5qn{1 z){KgiVL#(rT-uPI#z|f@2|F-$=!kX4o}II2oL)Fap|PxD1zJb(x|l>*P^F44Q^<2c z)uOCj~3`M+< z&7K7y!iPE4R)>q_=v{aE%dwQ`z>MdL*@^$iC$ZtVLYg|-RpjK!mftQ>qGeh<5y4uY zMqd()Qf&Chu*CWN0@8+I3u}=9wgD?lfyJoCO$GrdU)=qvP;6I&*;jDsydh9G@o;qJ zDYI{**N9z0C{IEv)SEMK)nNiKa;W2Uk!YJSKQ?_7r2lHelffGwr+Q>LI?&qe=|gjb z#)T*CGW0$UzdymIU-oXGV)cuN@EM)_#^u-*t!%~Ut2Yu84v@fexV5q=BWRBY;h44W zfmY6ZdvH?76Oq#2KB@JYp2zc{GS}D7KEloa%&0xQX>ru~c%jB227g!;)EXM4kP!=Nyg-X2ha+Q9B~T#SlIc5E6-PWy3E;>?RRF4FG%oFZzM|?K6>T8ONA4>3w0~b9%BMC7?Nuhddbx* zY_r9c*bMG;%##+XzWteBoSXdg&}LTd6ikX-G)y7Miu1XN`|^aM3$R1+IGCa(0H-IN zRsAgZW=AOVfymsHOVC}@%C`KfH8KjF+93-o7L|E5Q(W!89*a z3`akEi&kSOtyxGCZY}QQ$Vk+CeIXJ;G}}fK3{xzZy?Jl4@D%q708f_>jX&!9Z5|=C zS^uRLsu!yrf@x^4VdwBTf3NL(foX7P%z#efF8w$fwC~nnl;mWY2nU)2AhG`)YtXn~ z)W~_%FyM0^pg;TjMd;H^3#vc00 zZzI96JbZX$s0bb~ax3*2T^OmzfC;Y`gl(J{g*JBTYFUO`{wh(Ia02Jp`)T#Y1Al4ONAPVjT$k0ifr4Y760P=)KYoIVQ{( zh$4`-rOcrME3Fc!6Ad~yk{+^9f&5r7wn#CFlGuvmE&PnB`(YBk4>?nF%E^x25Dgwj z4&K6Y&-7o?n^bO7%1yd02v8VE2-q`UAQm`HD9IQw6YobW1@~97Hca|VJHTQ?qpA@z z!K+}XYlsgakYN8(*3!ygPzJ#GN>Ubt&r)gO$%p2(QvJnXx6}HJe`OinO3_95W-SwK zwV-vBF2wciaUY;&)Fj;z^w*SKlY}jDUfG2L`7?FCBnAVywcXJXg zKnu(I1bvL4^vqrqvYY0RgGGD8`-pP-coRkN)ksiZ-V)21sl*9GcJ_>{d;meAG`=lgUPR)hv==I%|nRH*%cQ)8F$KYsCC`;5QonyOdKSB)po1wqU5av zNbfhQj$wFS0NFi={4<3=ivJv*2WNO|>_RE*>&4hAX7slX-2^*V)ILs1+g#wl3bLQ= zB&k4p*Gom+bhyuE$DeKw&pzL8w~I*{j|h91Wbq9PA2DYwr2#6DNO6>t`vxAxl3((V z;IC!8&&CmFVFO}uCHt4-lLuL+p$Wu;$-Yw*^o_pO-UJi;oGQd{Lr&ucl=sB?df*!w z=6c!6{;k(Cc0i**K}=r#*L8Bd436gk1x^%KVh;q%?U?3!*0it1QDGK)-ieZm0K=}w zIbf#pBzZ_Mu15*k1fzKPRFFI&VA=c-Nv%N#dr!(KTgag#(F-6GM(}Aj9nn#q>{a8* zn}d4hci`!asv3sja^P?j)~^K)uolW_Cdc;EQ*ST~77S1OgH1;0p;>?Txs*k(8asGG z8hqHE8}V?Gc{&2_}xaC@(S-k!hKZGJ4NC6pn0=?aw;7VAM}6D_Tv> zI0=~kUVpOnJr-?WD|+@}<<^xjF7^_z-i9f;d1K;lD6%wGSH-=?i0SZWiU8;|U`tF( zUd><8z7gmj7o?og(~!c)U;DbhT~e<`IGXkbU`v1k(r}fdQCYqFN{O8rgynUO8ZSX?m59QhvT zN7vlt6V6k;42&fltY8oM)pt$Oe`2va;cgVI9?$A4^xKBq7_i)rL8DfA=XJkq7JP%) zR#DqeVQ2C9r53}9fmm_*ESdJMR-BCjid|3#y7?=;#!+~&R59TkFCug!ELF7hP^VE< zm{n=l*M&4>@E3{_BpF?XAzGT>0K#Lu+nah)k#2Sdf&u; zC2>k>as%8bdYB$8VY!(g5;P}OT18Zv-j41V=4G*!926Glh69tEEJh1z zUf*GU&qCEhfnAMub06~KLcUzeew^_HJ>@sph?e$J#K8()^t_;pDZ)FJ2fNXf@fVyQ z0=@S|dKF!oK{aA<{FwDet#zW??+ny3`wyO5oCfEa`Q4dxSdkpQwq5sfvE?Eepsxu1 z8m{wH6)d`nN%slSH~DppQ~|AiSi}Q&2ZcB)BaE2T5#Iv;#v+8L?#BghLQ| zVp!01dVJUB>lsmy2@LNi8?@?caa<>Bc1+#BRFPw_Ns+k~C8&3FQN6FurYJbFYJg~- zTEbRT8(0~o!*I*o95R^52~xBEe&ISQE4n>)TMM%$AEaCd)j^nWG}daT+^OX8qu1r;1Aj~i%b%c}jlfEt9C1h>rUV4&|O z0(y4^j5S}>NwG#v6+bJD-O;B>kFp-+=X;7})&=m0K6fM)z*am>H8*MOjfB=tt#kgc z5==wuayBjttN0>pEl^_vBO`6R$A?C48chbzumLrrB}7L<`uJ}5c*{?kB8GtBmn19k zKHlK5iEthCo6LTdud%!_vud0uzXd2-w*EHvxSsYI=&y~kz~bDOLbXTSdU?c;c< zOTRHO_^JFAAx|FVO`FhU+{~}Oka>1lGomd(#k^opsvqmBWb5h}TqSUjCjBWfO2c_= zZaVO7koSml?>ohvMs0fBqxdJ%JMyF;Mj|^|)nKXCY)~*A6e>?e(~y9d(Y|p6;jR>R zO)s61gG!#2s0`^u$D&f@y$B^S$boOba0Xx@L??arBAP`0>(BgGISAD#zGc}PCWbv% zSJGBcA~W*t_M|1An3~SX*8WTV_WKIDu=WPi%-a{kYHP`Bx~m~uT#bSz}q>eO9K1->`aO8;iJyF1LRrtG#RP}tgK~2rpQx2>NXUH% zmG)%)YACnaUkjnZt;H~-MMb!49YN#gHszEcGy=q|lHhuoL5aA2rv9<|(0WUzLiI+a z$=B*D5AVNy{6a*YPc^tpq^ko|sfY5%P715U0T<4rv2-?cancv5`sw5Rc@lzDcinqj z+1_U2;()2}{mt%=Eh6=3akFEIg3hx0=yuXwwlO{yh6UK@a2%|`Il3F$vQL*B8dtJ8 zs1_p2P081LtHtya)Gn2556&ttGl@Q2t51`o#UPFm@X&>lB3yB z!pou*#62ZqFwS%xY|RhhqeD1vpU~t?=1o+zfv-?$bLYxw=%OJKlq3|xH}6zwt67dl zCcBLErO>xV2^}^bh(gCd>&|c3S%do5R0-Lx`~o2`ucIO!4;E8^=6kW*ZeW81>Yy%>&!w*&SF{${SNjg#(qE;X0uX#16=a*@>D*ZHPfAUAIx{ zzP;Tduz5q3nSs7O19+bzltLC~_Zd!uFc~~;$)i-2>AVK~3fi5}QWOI#q=I6ns>}uL z^$DmZng2k)8H0jgn?siR%{rPL;ZTr01S#fBsA;iGe@#J6M*omW@DC0H@`J?V7b1_ z;$K>&R{VRRN}>j$iXI%7}CIn!V*Y}N#d9t zlow>`21w5hQ`n7CGAVDR)3aJvsV(VTGQUXNk7>eDr8xmTu`+s=QM}ON2yn6=>N9I^qJVQLHIW$Mz*v9i8wX%M=#|x`{=WROC z1+FN=)%wMh?awu6+_Hdq+07=ijG(W@qT`?fVU7^z=K5kFRB5ytL+$Kmx`@zR4gKV>XuCg$S{F0MIuASVpLGxeQpj#gWD*`Ua-0X zyM0&~`}yW&+-rCIiq_)M($G}rg(6FtSPE|}L5yB5qMSLEq%`y)JOBfKl-6QSbvt;p zm>dtyGYcozGCyr;-ynXRmpLAa5_l=`Ub)?eDw4B(DpJ*z2oDfx4Y;4xzV%mXnabF_ zfdoeT3{#VuB6a^vsdJS9em;Ik!5dRGJDPHW{L871gdOLM+Y z!xW>V?$bac5g?qVmB7t|kxtC%>tvRFDUM}SZniKp7c;Jj)qsRdi8lEaS8-uLccp*i z15B4*62B~iB;6kx`P`^7KEVqJ#0eLsYU=JPNMJu|)V^j=L~Yom!oZ{y;s-*8$?}(O&iet2N8~5S)l`ZxhwfE#R_cCW zS9gZl|t1(k>0b zR7A~w(h9@8%*lk=peP5ijWRFb4%UV{a5OcaYT#ij&FNzRyq;@tIVrF(4zZ6SAKsdn zaxt^(w$Hn}Qa19AQVqkqKn}yCic&_X-Gd>nG3DR$A@u)&5dialL19E!L9c%JP1lUHP6PovCzS<#|9F6-4s$-eLu*sAd<7w%nMnXku!aH(Ug_SHP1P|W1jZjrKu2c@D z_Y-489FHv4`)lv9yey@uWM#4#*57k3W#GyeGG>vOJzd#p^U-A(1V0``uK0o@?RB`IA}l;` zr{FR3+35gImOFI}&5D5xj3=D98IoU10^q}}G3SQ<62*Im_^sb9-Q1ZsbsWnxgSsHZ zM>&so*L_GontVS>ix<6yG&pp4l}QIH8Uyo(X|EJ6T_ZvSG5V?;%ifWlFc1>rvuZ7p zxW#@0pvOlM;hq9)Xnn~g`3cCYdVw~0QIaky81tM{7#(s&gM#lgqXn)(hKUB2i zL7+Y>2Tfd4n(i1n{Uvlki5f;~tI%>On=N@1!CIEa24q>m+I`VOZ6p+eb@al;W{QK2 z@omTs$6n-L@41Ta9}M7SjHKn|9gl_Q1BzKMI9P{5ELZ!uai4jWt&;g%K6vAwz`i4| z_v&#B`zy|o@5^g_p!OL4b!G@(^IB_A7CJn5@iqjXQ3k!4JyqssinByoW_8mf{ z;=tCB7``>WmC3e%FbMpvV@^`m3-urmLyQhjyOmb4PUYitig3I|XGmR=y!h&7AiI&` zolc;2)|O89%fudx=60x&I~uL6V8711$t(3^K2%=4u8$1k&nn6h zA-x%4d-a^v^(IXeJBr)T)nH4Z6v6N5l=GlyRV+&w$(z$;HQi7)h5{A~sAz1%K8}Tx zdvadYYaqqy>t|Ke-|+%4i8iBPTG0&^S+_m$GMlTQfdIhB@D|N3qie`BSU;p6qu&qB zp$*+l=m$2gCV;K{@=PKkLdU8geSQKj&mm%;fMiQ-8E)GtBTIeVY(~!}0xzG1;&DDs zHqO`heWOWF>?ltKAYAqc_RWABTtrvK9gP9@6D1eb+R9Q=e^P{xiEf-9Jr9Y3U1VB% zw?THMbGqpNlW7A7_*vEthx@?_9ndSqv;a^DMaK!$YyRB82&L8&h)`BZ5?=!4z5>>W zLO{W0y)TpTHGhTyXjruUz`s!1AWMz+gR!CuTWt?f9Yi!}9UcLzd$Q z4!BNl=rTtsl_#9mqF3^kyZor#0jvMy&`BTnE+8rTsg_Y%RWbAKBD1^e7p}b}MiSK( z1@i+Or94!@*e!0*|0nUf0&5P)kaSd`D7OisdAK6!gkuJxgdzVe@xitK#iB`bbR($& zCFE~_zz7As%$=&1jrkX3rfu~Z&mK#+eGBniC zFeN{~JOdR(dzegU=nm_;vB`L~zHW~D_H)Uq31DD_JfhW!#s(p%9)-=~N;a%t^vRBs z*Vq``b3Ce)#eQLtUC}sNt?iD6iai%yp@=|hFSWoRyOi&g>qJ3#P;o;!X!1{Iyi^v_ z?U)y`z58sM4p!q&#d)R*$UDhfT8I7=GKP$^dEdBzq z()7*XFGMruFp<(TljzoSB^AVFgqFXKBG>fOaCel}!G3B`^CGw!2`&(yl(Zu+*l~Q? z`9%TMT=AkJ1rM^)@KKXFgpv@YzbvSGtZ-RibSxJ~v`U9?_zy=9xXAvASq$|NXH#Ee zFo$gkA4Qf5rYNo}7|&GWMR(Rzr5;E8HBpaVl=>@ANc!$&fXiTr5EGhh7Pj)09`AJSH)_>xj=vr!%gdmfF(#}BIS z2o*@u95RmKn=3|4U}50*=^iv&|8zr}q=0#EuYm`PvHFNp!*(XcGX3~R68kl6VK{MJOfCF#P>h(MLClv9u5xCS z>L}u2DRH>3%FIrFZ2j2Xl9SC@&Bz>OC7YwR`i3?SoatO7xDfcfvc0yFf@W=deJ1V5 z#G(}S_|@<`K9Y)v2{&~#5-nqmc{F7q^^-9ItrL{S9wEGCQ28u${HjeN@%eqMK4*c~ zP1Gt()|>pQHutqD`j5qUxJ+90@3ccl zQ)f6+~S@W$?b8=lEbjMW_lbA4y*Gq(*Lw+#IcPP+oD{b zmS)_E55ppu5?KMBe*2ymdG7Ol+@K)St{N$Rwhe~A5WAGMhccVTB~Uu-8Tv8 zv!f-UT1{x*a>t8?5ZFd$ik6xFXg=uKBy|jw0cgvUFe_mfK@Bu{2}1^?>s?l~nZNEu zK4&qg>Mdf1G=NZ}lB(s8IA>XKKMwVeFydP{|H5XJv8b8zgjkefo2Eo7ztK|0?>=G` zv5UfT!)FLL8SZoa0N~ayPQB)tKl)YO0oBlgIUI^)=HOS*ICvQLi$e-emsRSb&)LRR zJa_;U7y_Fj)=qwScSkzNC%;^%nK_KzPnooc`P>vv90o6zahZ>y)4;Exwazf#1n#uzf)Eg2>a;0wNqRjbT&jW%N;cz1;&HY9PEz zKk~oE^~S1bNO5Pi8A0i`<-a5hi+tH{xcYVfCx!+Vn6Ag(pK10)pFhf!u&@ zB18UPks7_fUfJ&M@zd zQ;HPkrV=$zj{>5b$LZe+F3QfgWY}KP3}@OA78?=o-KUVm7DauReAEHY!9*a{i?Y$x zW4~Lk^DjAcHfL$4MZ}iHm|$uxT)>*+f!ctC|@^no)WZ6Y*K@OKRj5NN7tdjFxdda^_ zJ5;l%riOj?RGZ8fTXMR~$2J3t(x#i2wIvk5*DUO?asqE3fq!FlS?PHq=rk%9T-c>| z;e^}5Ez19!O=%~mjb=;hWCdDVq7KB0+)Uh&RKvCwE zoS!|JOknmdgtkpG@|gLBtjU&mD#pzqu;09O`0##M)N2bLMbvec?DM2V$o6Mg8`c(e zS(-BQ*N2Y*W({tK=pEgg>`78yg6q!Q$f7#-GihDw0c!igH| zo9&Ci#EV*EgB2cjC}aWU3oxLNEj{%o(Fu^mQm`VhUA^gNl`M5DC;q9tP(uvk}2$EL2^&#gc-uq;~e7cEhZhqT@yfug!Y<4Ap6&YA#P&cuD+bd8s$Gn98GYf3_N4u2|aL zEf}?=as14G>qz^X;^4>ppKDHoF1y~2^WWQ?r-$qXu~;VKc~T6I4%$Z}stS}e9p(j8 z2;Lo#zb9_6k*%(&K{-J6)pkxd8&UXFwPfB1o-sE-@-*|G+@As(?M$c$FJc}deggu$ zK>$#CzW`zlVsp3P0H-(4k8%E$~hO6UL1{iNbi?Lan$1FwM z`8t#LQ6{Jd#uOhny?6pf&H)R<$eQUJ(Y}ELsZ=Iq<5lT$62uNEBlo!mB>XNHFE9n-+G(j`oFm)kuR6fDzS(=G;l5Akn7> z%A7`5K0KEqxY2>=&DCPo#pZy0zsXQ!jPgp9x+2CTxF9s0(9BR~hioaE&(OcQ`Asd? zCFQ~9oXdH;BAdiaM3POoiriQ@9qJGH;TQgd1yj*lTi?%5jK5L26WK|RC_LTQvprTe z1gwI$0&vTTLo8VdT>3)kAwKK2=Hnnz=+e!mjjFF@l_KNwDlLVhZ0q_b*fJ*hY=+2DGb5C79fWDUm*#Gx2&r&oREDztF=aBK zspzBeY({rkL}@vXMTvs2u#h^EQ#BgrMpJQh;bqYGemT;9EJxL*?#QvO0cd6JaWY;~ zsnYZ77%gGOd_u%g@JcPcp*lL0AKWrx@|{h*=EF@UKR1>=79t)&J+g`N~9|w!K~A^{H=&W+PjwqC$J13 z@j(V`nAOQQyO=M#Z}cJhjgN7;2aji}DqKNFdM-7@vV{NZmn##OI=N^9mwby@x z&rB^?ip?#DM9ek>V@T7&3?0tQC{{trHB4DISZ+)CiYZI*o3_Ra-=g{X@5x-GeqT8J9ER^e!2L07+^Wl|Ed;2{K+0|W)O_gEq(plJf=?0`) z8Y&aU|AmCSTzJC_awTGBhTC00=?vHuxe^88;rLqTD(yx|SWs)x^Xz7xs?3eM;#Z(A zQ^Di9lqTRTHg9fRA1|<;QN%DwDV8kCFKMuLcWbKMl2~aVU?pz!(e5~uH6HBsHSh-1yZnbKgRoGktouIsg%GH`8+hR+WeVq7eKz+8Vp#6 zEMjGNH|i2>V7PdRtn_u>^K_;x2jA@Va%!}tbiPb}r^c5%Kh*0b1I)xA0%fcl2!_V* zt*>~zjUALBPQwp=1XKaS1V+YTJ1bcRdi=V#zSCm?Ql$p>4l+)Kjz3kvQkIjcg#{W_ zkKhxsd-Q_hOPZ?C3;3_&!9znNDx2{yLg6?Mn1LCVU{>T62(wO!rcS2S=pk0(&C=l7V- zKqJWs3<2Vhe88>+Bi<0S8xS&8a+jEdCzaT3q!-T7FuTNEQ-wi>uyAJMX`6>+^m)5Y zc^;#?M>GlYqf}S5VVWa-ktY?S%sgaIp z*GKgbrDH&gnb+_OR-Z|aXtR?MPsVysa`c61yVTh6FDPuetm8p-He)5ihOa&bQ@1YK ze{GLkRB_C+O-nd7HvjctZW2OJ&F2LZ8@< zfQw&TYRx>SyuC1$*@gI%)b^G?H3>+;5 zVn-moO`sFIshtA|G$ct5T?8^-b^Z15+rduW!_JHl_Y_;*} zumW1<$TP$D-qMbZjKv4meg(5iKVzf?-_S2~qbyWh{Rbz4TIYmKr!wvEYz~Ae6Tx($ zoQ8P6aI3rs6&L~)BU1JCoMpIHxT7FxE`7VY_~i-2aKjAcTnWL=X*`!FTWFSC%IF^~ zqne_1scDuABcjM(Jf1%eoXaO!>1inSQahH>$bUu?DG$jGw#5YZ4; zt{SQeZbE)Mc59UktLw#2K0h)&g+l``%!!!GC$Dv0i^Jcq`AOBldZaWJ}s{h>d38)}K>eQXjlp_-Q5Gg7jO>)*uU2O#{?lfgie&>q_tu#8eP<#~LDo zLBWWsxY5s@zP{n3MvA&V1ciSc$YU90p^x)RT++F{5>9%y4P}mq-ItBNyGU*|u}(#% zbXPe@x)CE+Bu~3mFy~A+dXsKq9_6VHtIk}1_Otr5{!Hrp-GB_U2-BC%M#A9w!>hmp zK0B(s*|o1z=M*!7;PsMGikREST-rs=KKHi*NNK_TrbMKd5=EdG(>>*zVg{>%1jEJl z)3k>d0$=y+H(_V7i|L7@C0|oG*?7g`Qzwy;%`!iH{_>oAe2YeR2Av1mZ)JZZi$8r+ zwATD8q~Wt2Dzlny){f-1v-js|27duDi%q^_jo@0nyzTfZWbDy{5^`#1YM_vVp zXcHII`oSVsV?*OV(t6W>u{_D|Mup=J;L3%y3aM)6QD;Ch9yx(<4g!73{C6E%G;x{8 zS@|R|#=oJ>)3#1)*J!I_^#~JUS7LRAn`>NYM-*yIsEaf}paYvsWyh-;e@;V{>lcBa z!aSP;-#Ny4uUOjNGH>$Rd%EvlgilSE(?WR+9+)JMZ5&Vfo308zM9}U^z7Sh?pcT>* z1RHDG$0^XgI_rxI$<_|}fl~Gtn6QqK_97*`KJ4~OC0fbl2=0sc;iBkYM&`<;3+cxd z;F`)fK}LyrDQ>dg+TJdF);)!Gz6A%i-{m^oX3*2Y+}{bP{^e);Fq`^&o%}Xk@g|?R zDQfA2y`?ba`kc!Zl{vve_@+h9fL2mLE&sf|sPOb$xLA00*udYEi;x9N)-UXX0mnSK z^l^I#yoi?5%%1*0-wQ-d67!)Q005xEti{B@GGb!?8yEd&NHoj;n~?MXh-Ao6S5ATv z4G$A{44lmz6~kwP8v~|XM5^LX*L#LjAo`AjFV)wyyE|gC)HkH9iJ&Qh@d|KuaZ_>o zhV}6SM`zH>X*1FDfz0z75ZE=T)?i8k=AMwI*%zhlU<@DaDE09l~)|1IynL3@aTQF4z{wdy54+C)^^Z!FkP}A#FPFR z-vuB#No%_T0La+?xuF1=Sp@%p2yQY85(tNIcqkND$&IVg000F*MqEVAYyEP|R}bgt zz4zAA`iPN=06N4f%1Z{0`cnWBJY@`FbcrD<7Xcwy6+|r%ob&x(PD!1BbBcNn zzmh)3G{=7xzN)xZi%i^*%mkODgJ<4L@Cekugq7oie;T9@UQiS6-#Cx>f2*9r|Ie>I z_}2Nq&g;nqL$)gaOXbB{a2S4-%5_){tfHjz>1DR z^QtU1<=+6rB-3X0{~E|Zc8`%a|HlcOVg6@c{huql_l>Wt!c^5{IPT=&;GnRuC7zf! zq5EGBl$`^PLU{g>`-7a6G~0u!YWh``PU*e&AQEAV=!5^!a3DMj;oYQdcXT{EOdXpGS1Hb$jB!KKkF)Zi6&q&PoHlubPY~#U+Qo5EBzGy?%&GIj%Q4 zk1sL|s;w}Pb$ZQS>=|@-cSoX;J~Q0Yd3t&-y+R<6RdUmC3fRWTHvaU)Aw;F~im_3oNwN^)Nf+>cF0 z+(4uz1KZlTh3^UqJ{I3GsNRh_pC^TUJ&-B!SbVykv~N#@85Zw~RtE6Sf1+k`bxda| zW)YAS{o61b_bfbImmI%&-`7XPlWFtI^`?rwSjTX7PR{rDm+P1Nix&Hh1p5sS!I#Sh z+m!%gd;8+T<2Ya-n2Oz~j>I`K)v#;r{u&qNZ%5@t^1V&-(V~`H@8;BCcT=Qi-%oCu(YH z!$U)elzMuS!eEWXwaAz0v(4x^`50ektI%fU&tb3;X_j_(iH(Qsjg)d<=0$%hy^$#9 zi)lmNB2~-1cG)z5ls2~40ncNl2xymqN2%V)x!JELcKO{+!3hZxD~|sUZ*Lt?<<@Nv zZBGR7zAzO1h;%y5T4Y(%q$WBb^(5bHh33eeeCweeeD2 zd;T~g?)}7i)|zw7F~(dcow7RK_2K>d_Ze1%V=a%2w#Axnlv<2we7T#VS!z+J^Xa}9 zK8y2K@IA5T&v(*?eSJOXy!Kq?Cy)*M7-Vr)4y8+`hk+hdrFUPPqe&mKvlw#jkc}s~ zxt~hr9)OfUd=4{HYg|vtrhb-=}AT*;bO=xC81v;dGHgCUyoZ9LofQs94QYJ z2;cWjyr$k9d>g`3vzd~+3N?=X$B;UjA|A=&eXGoYg0EaMv0Qy4&5I$jeAt3g9_|$; z@;ytmjUpCiIH9X!l~>3}Tw0ac8aq4Xs=vYwdtu4SI*W2uXl z3+wsej=A;WluQhVyp9fIL`zmy)>3Kt9OoUftx9{-?5~!!+P4KAodZ;Kjh;Ot+cWRo z(nVv?VPoA|%Tmod*zUe>YPxg*?K(Qy!O%$Q1G=a(Qr~)`=_eEvhdP0 zcXr7I&I_d}=KGz9jH)Gltn2(pKl9{@Hvd{k`aGsbSwGDMUZZdc%?+inVPB@2J)7S2 z#xYUe<0h*3cP^Hsd%x?#bY1gB(evGrm$5@u4-Uro4wn^Y+6bi0z8v$SXEwPb5Ag8t zn6xWe$B-C(3T{Y?BQBG&4?XYf(`4gooVPQDP8@!;wo0MroAfPmX_~^TBbdmFiZZ#C z%p3T)El>8sF0YI6qr4|it*|b0bPH116y$_>341pbDn;K&V<;n?3yc>pf6ni6IMbr) z`upn%376gPzqH;q8dCPWEq0}R0PJ^v^UHAQ=Z=**T4!b_3 zh->=R?^TNni?Ib`_+o4H8n2VpkK)bs4-F#=Cp$)H30p$A9af2W9E0kyuJIaVf5lEb zAa;w{>^z`X?nr8g3!!@5wSPTr`oS;@Y7Je%F4nVrO(x$}K;L&or2{FKosq8A=)LPm{)72@I^3z(*0L0khs!-39kJY0UY|HOy$>YUqH!1X zWB3o<2q-$_8^UIfXmCLW|bsFz>r>qVf!EBA~VBw^QPoIJuIpopvEyhX} zKTBQ1z{uV7f9EW#lA+x)(UXwo)WKr-kPo>PqTdoRUS=(XzCIl??mmUYc{3sE|Ji0~ zl9Thn@3zw0SIA(7Y#v0LxT~bk9<5j=Dl02PJo|JoibE!>Hkg@7TkCN&#d|CHnEA<6 z^5@b$4A)l|MO7u0Z19PUU(f22oUNQkq%!v}sa{#AWn6OY=d`r6+~)N2^AozvFY%(N zJh1nDvHj(G1!CzaTM84aCpir=MQ^y}yWW}l9L7Bqe`=(e^k_ZC)zeSnx{zc+=skua zD*5P;2N3*<)rWU{6=!~2U}#mKVJ}RuSPZ&V;i_bkykhlDh?@cz_e4IAUeJhX z*uB~0^TRa%(fyJmCNq zzDWKz;$R$-NBaw7e8jY<5dio>La&Q6{98usrI6{amr+pq3mT-Lzz>CPPx@5dLF`+~ zo+(;|$>U7;f8s(yaiBbyuZwRkFo=b}5x(?8At)W8uCaD2PkE|)u`BrOwz>X=3!gp+ z%?(($wkr+1b?cU^tE)z-@d`(fQImy{iEPme_IYTyb5GQr$9w6yl>mRh-@2N|CYEfL!*05Vrsim&pkSQX}8O3H8y7uQ64!pihL&4G~soi08s?9SSbMYQ= zMyHS5+0Lrlm{B3G9;K`mtf^w?E@GjMjO--K&COj{Sb(^kos)B);Pj(K{Nt+Lvl?Yq zzZ(1rAu7sHpZ9sa0KHA;A@gw+N?a@XH0FVX# zvj?;XPQ>tC?HucX#rT-4J)w5AWA)mv2^alGy{!WS4pR;OyJJy_iO;mOv>reHYTLmp zHg^Vw8B@)dI6TdkPbO)d4*d884N}q>ui^7l?J^lhUYEg8=kGH%HZpRAM?19mogB#b zkcFkvb^jqR+Ua#GqDqM82JM5Y^Q3drtJXUc=12&Be-Knz?&q~EtF}jmKa4c%OnAII z_7l?j;m(Tb^XD6F>=T_%r{7MHG#=rSaWL(%aCR`Yj0xGY3snn9Z{w%*>SQ@#bM+0!HS}SLPH?w+TtPsHuh%x$q`Hv zK50vSdV2c%_x0!6V|{#lw#d&W4d(F6n5n3!D9fZB+;Jj3OXIs*?`ti};_4 zI~p>CU1(rwpj?qN9iBIS-=e==)L7?_I9S@Kg><@}mM{Oco|4*-=ZhZru89cPrK2JN z`t>3rbdr_1-cr>#XMKyw+=db`y3N?1|2P8WSKsdnW?yziVg( zRE=ldlnKZZ*%64JDK`*CH{cuEVL_jM+K#1pI!q>EwJg96V8c3gVrvL0H$><4{}dcB zcoXi(6CbQ3&%?Di7A_!$17{l>X(Vc*?u3V9hbbGn!}5pa%;4_se&^1ezP>(K)X9Ll z@1EXU5mnoOb*6<A!ugWxzow^gu(3lzLvgUMg2KaZ zano6D({6_2*TQ#)2ywy+zEG(=C*du7isH z>|%uG8Gh6_n6k1RxO6I^aJJjG0jZ9Tx*zWwMoOv3$^CBUUPC8)`0ybS5fM2#IS!_X zu`x~TKsyf)&&Q7+l|sbD#dUOayiUviS1*%A*0P*;#~e&eOy0bC)7;YHjTIam9Koo4 zFfwu6U+v;xYHCVW-q71@FLdOl&NaqRJsdLqo4$zy9k~JtX!-BRc(c z8w>~jt~@OKwSETS;o*#ojG5wSXug)U$Q?M1-N*3Gfq{;;Hf3p@%9m}Ook3qtpR3bi zxGI3<`-E+jDf`-LT7`eEbk+Fo@3rcOgvR@04ig!&4Gj&)upFw~4tK&CH0P;KzxJwx znA9-HAE~Q#Uomc8Z`?4!&s+M{!0!doTHe*4y-)RKzHrb!e*73Jl(8?*>LOVTiHM08 zGOtsGG%c^JD2Md-L%!?#`w2?yi3T3;)I2Ir+Gr5+jB_#ig9TGPUvszW*G_+%dTCXa zYgdxUJ<$&j*x1HO%n7yoekmv_9`3H?McLWdG&eVs|M`-lOLC^{N*}F!b|~Fx;1rh? z^P71)e55Cc?&gWM*WKFMdV_E8>EM@V%}tdKYZ9pn6*)o_R8(2D2_~(dm>2W%&enl> zPR=3+Zh30tGvgt{4fm`sSc1Je(l^6Il2mjQ^kO1(UrzL0yLL@AM`LCVb+B8GSFsqj zQ_sb7+EQ|G433PrOxF9^>2Pb-y1V7+RQKmO zNF>w(!hg+l^TQ7c($a10@`sp~0r{n@Sb|#B>d3m+doXeb4EJyO9i(V6dMsw7EwW3B zi_7!sdG9zZ4>E5%)SZ$`uXcK8_1ctFR76*}o+O;nSsLPjSf>wxn7{Zh`syF}rW7wk z=Q9XYsh27f6R0{Lkp2>KekFW~W5KhIMj-rr6gS?)`&aXFr1vQ(u!xK}3veiC1x^u8 zw{wJJ5*yyceEHG>P19^e>h6C{WCGGCa`VM|rW&U; zj)bgRx3FDv53$gUSS&5q>g$CkJ2A9zo|mhvsukU)$n3u?hIeqDNCv{AHo0W{|AKDB z?+UOap`R;?Sd*Kmr#_Bb`XFkbnVjTnkQF*9n1jb;PmG=bCDsPArep#)msBqhn)$StJk6 zpsk(7%KEtVKZc{WQ3Df`MVGbev?Csy=^v#N?$I@8NiSA2dcgYgo{Nm_%Ip|YskE!u zZ{U#RxLVcV9z*TnYUQluBQmnb+xy+AlA@xbTW6qoesp-JJoE9R9S`d;DW{}Br})>D zw;!F;sY@e7?v!~ddisaeO|tg1MYAvPoIXouYLPi{FK!zRQpw?{)8;sBwRN1Zd}%w|n)vrQ zO4hP6GcxumO>}>?G4F(57JQqchwXy|&Y0z*k0fMgE4>rrKf;4280Qk|7%`dOQ46@}Z~q_{ z;bsw`;-oDRPok}Gb++=Ev^oVIuOIE-JJPu*?0Y~>2JTUTmf+O8^5@RheSc*=c24=; zY&q@O75@!<{KcdH8%FW;!m_irZ+H~`hI6&h;Mo zSv@6?#&w3=ih_NP*Id%va+~}5*jQK!D=H4=yOMK8d*PK3x{x_wzkl_Tmq@PubU4f@ zyQFBu>UO8R=(Q+PE@!@P3E@wT*<1L8^}H85nbhOkRP8|2My9=Pj(N`>tc=;6m5~ri z=?b^Qm%iFsC+d^(eCRz-zo&hj`*d`41O+(@6;&D}p-We86LG)HKJMod_T;{N`l!QV z$@WBk!reeBjg(pr^!D=n*tr^$)~YN$rc5n!Vxxf0+>OMTqhZRJjUO*h&GC4^&Rz=V zsc&p_FmvVK`+1>{j|aKcv-n<6P;hy9c`RqQ)(AAHc$d+wRy1&}JTCKY#uN zY!eiOMOJ@|Jalt&o9l=_8FyJD=5;Otj77Xx$XcRzLNpC@Br;X_!Kb`>e($T3gXd?h92h|~9 zyp|UQo@h6Q2M1ZJE7H>D-r>?oNJ?J0as}YM7uL<2H>Hm*{ajkAw4H13?(SY(T!jBJ zXqKpHXk>;nYL$ISND!b5F&`;u>+Mx?``&Q7Z^-wv$2bh*ShdTp?#V#})^kYi0AAot zD==>S{{2KkLZMhnLSkcMquWQTYnT|;O{@^Ib~Jq!fshkRhE+YYadKFDQt7g5As`@N zZoZPMT}iT)8x!@eV8qyEr2=<>KZRQ6czi2geC&gCv=J!@3CZo-B~F_LhK6$;{3ot( zK^hvGo-|qZM~|-GI6ctOjh=5iOt_VP{!B?tonBRS1RxL7o1B`O`r*TZ{2eG(%FD}{ zs(ycq<#vE77~;B~v2h0d=ZhCFy6(?J!0YFCKPoFM-1H@NPuIxu6S3b(T=-dae6Y0C zpA*tDBlvcBSfkIw8>_v&eKF8e-_Q{4@?{gDT%(l$`xSmir*uq2=MZ&#>SQ<(@Flz* z#XDV@haYTXVq&zEmA6N2I{@gwFq*e9&GagTj&Tv}N42?6`DS@x;W}JSJzM7z+yAB&usc^!&CR(AGy7Q+CWE~tcsgoL7xMI|LA@$e#MPp0!vk4$kfu}1xt za2kGJFE1iKSI3#=u;$qHjSU4{`Y5&DBezdHPBt(V2XZviVn%B{YC$$J$*8Gux3I`H z*%&T1g@G9kGSjHkJ6(5nH+P-Z%Cs0|#l?q8Ehhk~ewK+fdHS@ob!D8Bc=u!9R}$GH z<-9eu>KZ*4p7ntQ-y87zfqL4-j^*i@nbgmpGbx#LYs_A}=-py>2RxsHAuJ;ku$Z>F zvBBkbP-N2etIk(BJ{omrj!QYENy*7;hs(H~tfZEt77eH<^NKN5eT|rjkuf(TgPEP( zV?NP0%7W%*ajAR9ZNc7A+J|fM@`swELPCZZWUB_Ek@i`kTD7@av^R^l2N-B*h!MSp4^C75>;$HF zQCR$hJ0_^OxcJf4!R)rPSR{aF9nTd2=piJe2hw}4PBj6LH}djbc86o!N#6sE$m(NW zQF&n%v$u=8T$gjl5)2I;edlPH7=APj%WHgmJl=Jqsgcw}OIw@w*vWCSgqvWm)e z0@f#e-GI2Z%l$;!ie5B*oN5e==d#btznqbgvAC8BR4M?{ZlAZtD*@*auiAwZU z*ygQ(JXOzs{(Z48D=dVMk1taM%CCE30ZP&V#M~vE@1v4+Yu$T3OGk6s8ep4$3<>dk z^F}EIh6bdcz(>MTrViOH5>KRl%l|gQMI>yd>(pLI^*JbxVc@1i;UA`Vl#7c>}_oo z^Kx7dS4t~iu3%of#%n!UA4De9E6F2GZxT>@yq$OH(j~|Ns_~pqGkp5=sVRhNZKPBd zMgAXre~*`^UHKB`H`IS6B_)|RgSo@|SMd>u`4?q(&=9X0Sh?EsGcp!FG3&X*C1!bK zly&v>X9j}#kJsc|aE%#TWc@YV2M?k>kaFtk8NB@a@QO`LOrGoML6A4s(_;ayqAv-^ z@LGrjmR0MbT3Rs>MO&C^M`J{G`yd8FRL`q9$XqahRC0WL4B3R4nHeG${0!ym->=V= z{dL4&UH};(8>aK;5r15W5nfqdUOfz_W__F}*BZyG=}8y^z_j6HH*`{GE9xbsf~Q+( zP|#h-?5C{VeCwq+Ici~3#+vP@GP~B6mYz&*qeb1$M`>G~Zlp{HfYDpZ2HxG9d{IszQC`O&hnvRiaxPrh6#`nO^X+`U#UCRh+|11R z%F$)i^)}AuA)Z)`&!ya84fI*!co~8=c!`Ua-_R#D%mDWane){W{DXk#On(zTgusYM zF{IMO8bA4*tf>!4H((AC%(=-N2y7ZTy2d0;XF>UkVqWoPg!S1tbj8=HBBfr=wnhT0 zSs3P$G@PKt$i%>a1lnzEY;0jo1!~ZiA3xG_b7f>@NqL=F&z+7{0}>(!0@u%X3-TI@QB1Ep&J9K3yBHj(0y;I9#ipXpWV)umUy}6tE;ezb09JWRX!< z(q~omGe+mh`^%i1oKOi<+`oV6;>DEIR0sq^!^5}!ET{c%SLZEGet!=s#Xm4mx5R8{ zyxPUn)03^>D^$;r1}|T{2-iJ;O3=#M+L-mWvooyTo}MXUooiRFUuUGIM#sPys`WUb z%d4{4oNe3RoWuU>)ZzW~m{+c}wYBxlIl8!{rKJJNm~cNd-&r2Y+@GDDjecZK%fzJW z5tIqhc)PURZlQZ=Adg9>N{w@2c6Mj8gCD*CV8~(INemr`o?kzI0v1V>qXuju9>Dd_ z98r&qJrU9*!#l$}E~Q*|0P+(^czx4vZ{E93#9=kxN#@~k0`P!`oc#GWFN|gph`W9u zUqV>`H{k~1QporEHo)7es;c8hz$am!N&%rEaD7zy#%kPcnpdr&pg``0&t;d61=!&U zdGOYJm*;eP-Ovyx6_prUWNhrp;-bXgOv3TFH6&z@4so}&tq>_22AXpF6=!jkdwnsl zFQ7R)J4342KR7tp+mni76)&b{Wo5m0Pp|3YeZjZz3aH=)S4tDkrw-iQ{u$o6lwfd%uO$V-CyM}S) zbV06sh9ZsEc?(pnqJ)InPx#T)b1AXh%8#Z+kM_$B`~g6~;f)2e2H3F0-6<48?&|8w zZ0hap-5qz4Wy>Jw5~U4WTv}qUmb59?+9!MTXa|_zxs{R9QnMjJlGDo|Rt8EslzF#9 z*RHS_!bM`*I3ny=_g@-kj3nnW3Ta)vdUcUKj@>d&m^_lt&H3aQsqD~3Z4a3F_-H@# zrj<&LhW?8eDOxzh#MO@Lli~mzqgWRJRtNg~n?LtxZEFLt5E>5|sKjEd;#r*!a7i3o zTpuxa@Bde}EcJrZ;U2Y@Q5%2a-FK*4OOPDiagP~S={GPi@b>o3$bhsALPFzV)7J~J z&buWQHup=vDwkMJ)Ie~DLKuj5Ew)x^5s~jush~UrwMatCD(j8uCJ6W~GaHa?pr&9g zVS&HKKX@i6EbL`da7MntWM4t~C;-wmlDK>@Gtz*pfqK*s85tQUF1=DO+^3|_IB=z%C_PXNpH4qmJujSHKxU3Abfo7kf zcpiqu+;T!SQSL}1XtPsJ$U3nL_X;LvIKBEUNN2>vZoq+&)q}Dl8^?2pgapP=hs>+G zx>|`=S>?rSd+gz`X&#$ez5KNpGkyJHt6zeussrkU1~isr0K|?O`HzE9nd}o(`apk% zX2qP6gB(;;jX!=EK?a}pt=L`~fDqxhGF%K2%9X2Ei;9c2OD%|>okh;EqNcq+X-Ejg z+@)sxu;#ayM0j1IwwDZG%PH(%Y*p=0RbTR z4wl=_RXJ@!>tb+fq{cnJcO}#JTz@bJrM@bO*%i09;<&VG8&idMt}BsG;>nY{9Gx~VPASAw z_^{2mehP&Cyb2=rU&_oskRun?P@>K&(e=rT(mjJ$R4D3i2}JPK+6C+?%cFdSuRe=l zpO@a)*^!ZwGV7yc#LSNoI6uEQhj)!ghHY|RB`4<>SHRfxxtFg4nmb_n0WBr?mc*06 zr)KK2NpcjRg;z3k@34$Mps3IEer?AO`4WEx}t2`C7umQ_@IPD{H%#POx1B>YS*>pXVU#f>uk_05}*-6Dq1jVtkV z+!KV1rZ^h^s(}?aIbC*^-@Zln6Hd~?!N=#YU+e{~r)g#b-et}HY&5DQM=jwygoG%# z0!1{G{zEp4y&0&gIYm4~LPFx(w{Jk+OL~+x05uv$T4BE=%8Gh&N8?YvLZmI@)y}<< z9!P_QnJkfL76tU12!YZfMPjj94U=ak)>%g?OYFG+r&?aFHy#kK?zuq^^c z`O(rcQf^D74HZ!50!<6M(B-X#XC|zU3DU^RVPSpTQ!?+a3SL5VGMno(<`)%h?Z))( z%J4T)41D~kIglIiLR@3}!9Z8C*eRp?L`upP>T3WnbFGodTCBjof(c8x#mmbJz`Vpz z_sGa$@qkV;%1+wp=fu1GlPlpwPv}rnGYT&KNQL(brsd5$2!XV&68jhuUS}yc3k|tW zU8xV!p|;)av9yFX_l{k)-j_y(hNc|EL_|aYClpoXx?ccT!(?MHUoW!*w7V;Kj48D+ z`M9zoe4IYKB;j!V66B8jQa+|G)4pfv zQoKPR#^$!a)Hx3P@WmMI@D5!U^ekczz+?PU_1OJ zK+nvQmMs*MOiD_M;+CIaB81qRf=|3s`pYtKvobS5NK^^|s*YjgH9#kY?EqQ_hg~R| zdnV>x|4MG1`b^h09nIuw#g-Wk)wbm{6S$XFb=>7_9}(*7zxUf$D@i#J7d0bwUk z*l&H%L7=52uB!?b|9YzGujsGY&( zz%X(SxK;LX$EmG_9;lLmZ*krkGF-5Pg%_)0NHG=1`@tJ)t}rjIt=ZJzlHqRwLc*-L z2-{q2DJV!xLbzl^EQ}4*qOiyh6Lp=XSa)^_Cn`(zJK_x3*w`8s6at9&6+YRd9%}#< z(R?GX_ShM+O6>{Kl1x}hsTV@)Ad3kw3ce4k0j$%LS>pLgl7Puskmt%Zg zB5B1Q)@*71ni);X*1d8)hAY}`%Er?)qxO|ndH6@uCVFjVul!zlsx@`54^aOwCx zBC9`_&HG4`YF@a2Ea)yMD|3sCoLekX4G_?hmrsnLhoS%-UC#1u@RLPXxhPiSj|VSK zj}#MdFq=U+w3Xk`w*dLzRY}j!YaGpK>AASDYZ}X3Zyx719fy50`(y`R5c}K^9Rg7l zS(HM%y*IvnH_zlI2T83ZMpA*7jyx^6>>dy3W(2=u5g%bN*7k)L;@~@ z+XapW+3&+rBmKi7l@5Xq$S=5M1P9l|33vP^3)*lJZ6!zKOP$BoL&QUU-!vm@XW2Q<2RL79T)#=TKF7-)3ezSwIur|0 zv6O_B{PsK`#pyBnaTki}{JBh8{XTYy=3Szb@~^wHem=Au(i4Rj5CVpR|CU1uH*WGx z<3H(T%xpU8!(w7YC#z>5vtX;aghocR*zVHQJjFcX9=KJqtk>1k)h~f=O;h`Oqc|!1 z&6^9g@zR-P^dGqAP9}e#vT*!+B{W|}yTwh(Lt+_j9HyYvMVxmo0mW5{TBi!d*TzQQ zJ%MPHNRfDxse1X>&!?O(p2U+Fh+rdzIPc|K6BM%HF($wi7QcQ6Uw6o`N=k zPCc{go9X&@_EVR&0AU}^1Ui|Sne%O&(M1JZsQ(wwDt?B^nVFvljwg*;5No3|A}qbI z{4nMK=OQ;VVic)t(|;lm6_lqEYbU0T&h;s(tE4>j4PU=*Jd;%1-jH5cY2KX6)2Y!a za>A~|zksMT1KG&QiIclha$?x53!hjX?esP`{Uxc)ii6A^ewaRS-gRFi#sUZCaYXJtLX#Oba z{@&)4taH?umi$Iv>zAUK^z4K8Hc15pF?lI_AzF-i>FG~oWp(qPd)L(P@unyP@d@^e z%&e@Qo*p0pqy=DAiq?~s{oXgki1!E=xCsQ=XX8Ehm`uVjWp-LZEu~=1{;dkoT#nZp zNw>GQ04Rc=XlJ!@ZD(!bWF|uQb4Za_NQk18R4atS2zvF003yIjPA2375E%OoOm#53 z_}=ypv|XS44s{DH|Jp>WiwS()o-U@TcFZ-b1st}m?U1R?dl%chfT#P z)BVLu_tpKCY#&*m%yptOa{%G!Zop=p{b6NHE$N#DV{G0jYe?~OOhGy#kZ>-OsKSLe{vxT&> za@YaGBha|Cu_wYpLO=vdh?08pM9!_oZs8+etE&61?ZGsAiqMJ}9%aE9@5B9KHwI{qVG3w9K?U;Ix=&LHnbpTbnDa|U%X8&mgx~Dh zII_MY!Vd$1NY9i0%VQ+?cG=f`fw^mN!qRnZJRHjVzTRFUNpVh_qbJXr!wyWn;nn;2 zV3Mr@p9$p&Sb&7Z#MsvvSPWXh%OnKrz}|iv0#u_vp#u~}hpU(Fj|v9@)uuSye7&8|rJt@5nP zoFo&L>2|R=v6v1SQyZ;{`|(2;Y3r_Q(R?MBFCXZq>RVeMK4c-pH&7!l?=Z^RCIqzB zFg8~GFM~lQC79OWh#q&3RZg%&Ig3&m{&$@6Y1UZwlIr6mK7m?#!UxaFv?m^y^aqrv2)?bR zsnse|{Jk4p8Ql}jr0&GAT|n?ckL63C@^XgVv)0$KV3A6)8DGo9c|o(sQ1;(>fmHn# zs^#HL4fXG;Gq}1)8|UvHCDjFH`(6gQOEWWA*p+u{e@-p2^Ho|ccq(U6_2|EV)1Bvv zO~v#!YWowI6eTWn1iH2spOje#j#sI>A8dsc8g5))=8q1wi~9 zaF16>yBs?2nrdp=7REI=to6q|sn$|+JWPvsAFJ~FY7JPV`?MF*C~ji!@ws#NNUguv zS?8}kGqC&pvpimQX0-I})XdC-nes+rVwXTSn=e>N1xXcEQZHAvj$b1URo%bDr^9;@6vH;W&g ze1dP0)`pDQ6*abvO^4T$FIaKRRNZJloHv1Ube`|>`YDU&ttNx>M5#NOusS4YsF&uwzW}%jyU3*H_3wK_%20Jy~9E$^THQFM_ni6Ex#4n@gLro z@n|MQK(c2x3bJ=l6lxj&yt7j+@ouf2^v4e_-OiX&^>airoNJz&d=>P(Z5FwIo9&Sz zAo*NtS5*M3t2a3ZM_K#Pt5=8_^0w8qN!f>y%dL?tmNom78J$Fsl>WOXmnS_!XXn%A zp#f~`Mk=y+Hpx}b9@%;JoJF8=dQ1R4&LH~tN5BLng>j=hL_!n?+OioUk znVX|@fwoe!{fo8hbq)KxnM7z}=<+(0k|#5}p6heR#cYud?;!9Tb|&g`1aGn=%BsGhzwEwMv^RHBJbXY`}*Pp-nGlW@e!ApsS}x6QpKq>wGCnZpYsV z{{GUQy4q@LMiv(1F?qkYx5vtD4ULVBfz>rOUI3FWpebcZVAj>-r9IHg?{^aJy1;%*+a_drut)K7lFee}K?+%h!}Y8m1x6SWAW=`#bz4%UdMG^#MX9`({`2`&!33%AuFp=aqNE+ z%cxD6caiS~QHPqc)`64~VuQcjx`;4xrA0^bi-8Gz?tF1KIg0P)q%&aTJ= zdbX9_-rn9u^MKl-ps-Lm1ZM7|hYuB`0g}+RaKRVOv^7>F?jqnc5W_;_VZrw2>E>zJ z?frgcp?VIneHnM*FE0SXP)3*2f$?NckuyzZ)`}1{xl;cR5Sgv48Cbq}x1Ax7k^q=l zOw?4nd%~|e;`yPj+P{{oqo9z)=d`;z*05tfUgZRmY!qNPnElz=*}Y2~(yrc#iNE0g z4~;TyLj?kMfaBH(fb0iZ#f!`0RHt^Bzpd#62w97aM9~l z?ob}1%_wuPw%aejCkMLpbcm~SvSGpkKvYzeMJJoKDG%HY@kHa=UNyI$k+AU00+xr0 zoE+8b6ZufT88q1?_Vo1hK|uWdIht$*zF_#603R-ZIEXeVgWg2m+qZ9j@9Z2;Loe;| z-V}g1Fr7fQQ7TU_D6jznGBX^^q_Om>|J4aUshWN&#O6~2j2cDrHM-3D&7sf+0cyGi=R)#J+}O}ipp>8VO#{=*t)2^>`hVBr z+`YN9lq6M&M3zw{(Wxx>ze00@{di>{15rXYs-C-Wd7#v0rWpdGkFW3ASfwiZGfPXR zFo}U&?U6|&uykq#FT^2w*x7BtFhw1|0}mvy{jp##DkDd-uAL_e_njG z79+pS)_m}k7-pc3@$+q{F$nST(*s$v8UI;`(=4xpf{GVUGFh6w)gaG0w1yZqWoig{2ClHGq~8;Q@?)wT3hp2=)R8% z1#lR#o7?aQR0--tDQ6!Y>F-2MCJ{bzGrM+mt3{$^a&kxCATF!+o$$s)mkPww48C$0 zBkAZz8SFBWu(NbVTGaSkEJ395q~O~hr^jVYOtQr)+^qaO4%^uem6a}fx)m>1G`6`t z7d_tRq8duyzrRxZ==9ol=*F5JkD<>1W;8meByb4O{cAgge^RRy1QGMO?*%`QL(dTC z4*H;D*$uwyw-ihy=EuOLSy_%y*}TaYJ8RgLB&__KP%!BY{ft$!Q@k($tpXhFoos8S z^GQM|$B=+k!pvDy$Y8$Z1HQ(tfihi8LHg<@;TSl@+Td$ zSC-n=V{17dXIAA!93q_*oWxs-v`;bw(&IjwgLC333oURpAz z7s>5JUOBI0+ig7TDyrz$CFa=Ix59Eu!glNo`K@_{ZX z*b>C+2?eAcmv9b7b_k+i4ZX}~z{t+U71h$WJD8_is{R9fG(6m-c^3tOG|(N;i6tf`rlCO)(E?3Knx>{%f{7d;S3}IhAcJATrj!~iwImgh zH#axmDgmDfXd5tea37#4X_7}rM+055CH?^jMX)f4iJ{pVhD)T{SXn)oD43j^Q&m!` zm%5FMd+GA!$$#A__V(28u&UrXF&oZ`nt+ZuNZ7*2{!B;?kitmT9B$~jFQi7mwhPLq zy=xm^(MXpenSd{;wHp+DsPDbKyv#<+)}faxCntxP-C`8{0FYyETx?^y84o}PxZmy$vu_ycU2AhDMN z?^0F8<7{kgZ4K|CdLC4MYa5$)fq`jw5XKldIn}3jA&QqIBs^^lAl~vJ4>m#`Yy(jS zLlnhIbNB8a{rxHww=lc~x4YnBv9YlW!Eys{NDvin!96fzQ?4F?%NIW}IQT;f=$3(o zhRbPxb~?Rk!gt^aNKV%4)$HZ`viA1%*=wS#0l2uhtuLIM%H!inSu)(GIv`23w6sJv9UM4oYHIpVa6=OZ0O`0$ z7>@D954(6ngZr#Tk7g2ItfW&gvyq>YAFSBdCm!tr)c`5Xa<)jAEfls>U2di4UYx?io)>>XocZos@bQ&NJDwJnMbI&a|sns^nc z;MVGFC>7b+*$ukCe;3J6n#3Uxek@2P@`$N*4f$=auHbb{e?79|7h3EHg>SVXja%6gIlV$)}sS=+QvynrX4oxd-jK2Nogs{ ze$hG&*dKZ;OYPxtY;0`{3kr_6()gJJ%e#U<^<}A|h&Xs+Fm(X|1_n>a%I)=!FHZ@Vc*%d}wtw=)gi8 z+AlRqKR%&w<@0C>XLuNyJtwW~6$C0UG+;p0`8s6<47!Vfvh6J`hduGf^-|7GPSDi6 zGim~~G(ZZM6XY%saeb!G0jL4T8o{9XSs{ZyIU7jn`tRSFLx9EGn~k=#!n{`Di5#=y zI&&-`o?3hu44fii*|%;90c2QFRwgVW%Hz7%*=EioBF`R8;NvtHWExcpE2O!t4NSo+ zfOJ`NzkosOJsuNSCgx^mZwW#?bmSRtkL5lLEdk3v6(!{(R@TAcVF`+ls3?4LyjvR* z5(3!n0R%Ew@Y+*^#nDkwP*->N^ngtU%zpM%20$vpmrFm%5S^|Qa1<2U&dK-*-vX+5 zaq$4C;(rUR$vmWDL>zk-|eFKFR_rcv2gu5GB#ZwW%P?1bZ# zKNL$)h<$Ht6cZ6KCR{57s0g_E~J54tXN6J*wG)%#%+kcy0& z$9n}?tUVt1^a)y$6XiIdZ4_jxn}U0;?$4i3MnExpwAm4DZ%xC%u)VSI%@}1ahT{RX zW5e+qPym=}23dN)Re%p1>cs6`bMU^d4i%zZIs-Wf#DV{NEPNs8$pM)J<=R9C0~f}X zE2tS5*(Gp#F8B}fl{--~j34{($OzQ%Xx@VBU{9r@qCyq)FvW#l{Q1d*2}ktT%tw1u zf$OISI;h;I2R`P3HKKLUUhwn1Q{aX`2wgt?&F9x^Z=N>>Cy2d^-GBI0ki6)V-ZS~f zsa8G-3FjqfG8Kyssnd;i&!yt{m}RZIpufE{6RbolV)bf9PU74pTtq64oZLA%2^X!& z;MZENQ=E-|UJo&Q4tZwZf?xcPKfm_Gx@tWZXWsfN;mIdy67f{f)b>WWF7U6c2bm%Y zO>;96V{c7g*?^~5xpMw_T%(}wukFK0!Zl*FFVoFAmVLxr>xetbOATq2g#{aHhckW3%i%KOSeV0r_ycKe)~)RYr9bsB?*IUri5Nkx)} zG@ZY20StbCM~pt}i;P(Rq9@Q=1oxSkV`MEhfP=eyk8*xh&wN{qLDCip^Eo4SSrH=+B;@B3slyCn(S z2!Isi$RWkafKIuFv`42Q5_aa8oO}*#)ka<9yoc6y;QiJqGLqNQ8ii%MzzO9q|IzO{ z*q#a!4A`?>#$ZWlTU#?DogfbGu1&xW5#(O5rHNDwhxPu(44eXh1O(0c=gedjOiZ#A zx1hNoB_#z14-Xuc(U$s2D`j$R1?k`BtXQw_eaj=lxq$HJmy;U~WaMCRG+utwi{PBTIJ)lJaieh z;UKCD^*@(ZpCrKzi=KfPK{tZu8Dl}uH6V2h3%l>Zg>g3~=)9t#aXQ*t2VMwv9R!|3 zcfrF`IjyRCe{$&e)5PLpHnNnduH-k9ALZj%vX^~KM*u6YLO=(Q4^|D~TX=Y-h8=O| zNXFCUlL4=E>=P3cL#%F1QKB6yHpL@DHT%Lw2&jHL=dJWrUO*RO!osLNJFg(XvfEuk zu6(um`N5IU$Cc~v?|-S6BHUT)_Wq&hlpYY}sN;{(?Ts4RFbSwjD1)CsN+H0-6>(^u zPu}*GEcAX2POeh@0#keYotH0@5VieL?}z)rz5Paxn#bxVf;TcOj1Ax=U^RlZAAM^< z3LbJYV6cymk6$$hkn93p;XuBFw@w!yzFN41;eBBtWj{xZ5Xplu@T!YSyiIiVKmfJt zp^5#)7goQw)H8sUDJ<-1YBC1xvHDWUQUvJ|I+^x{@AaJ>{^LQ%il0}(G~I0SZL>qM zwxmarGbL-pXRER%N!kx3;R*GU=`9Sx)9f&!&hC&z9E1=YwQUR_XA z2arZ2(EA7-kI-W!G7@43pg4M@aPA!Rgi?n|T%o3eF$(u}qQ8!ebGh0C@*Nys@T<3` ztje`s3w(L{^$pZ)K+x+>@k%TP5VuqM7GjVwGoOf{=NA{J=268HGQYv<-bt|EO`~J8 zz{kVe1!#flHHc&ZG7-8o(gxgPP>m#n>@sfcq{qL}C}Uv@zPzdpiH@Alvhg}oZQ*eh1rw?`*YFLw{~=-@zZM+sZBML2fs~9 z$H1mc?}&Nu?7WCP{2gO{@;kvJm{Ufj?4c21VZ?l{YHl5n@fYbp9ahBoJ_;}~^q|KI zz#sTA8|f7$`WqT%!N&?L_m*j95x~=y9L>^CO%Nwh8+@ejH#RgB%4a+0+z!n| z`l9o4IAKvy1PP*dv9JVz^bVDniQ{zAaXwzjrlvP_R!pK1hMNi#zUYW?o4 z@`{R*;^Gw;6R4NLpNi@I#;G$sMZLY zZ83T1$pmjVN_wE8#H*ZCl`mf!l{mk7P`hu!rv0h&JFNwl>;y!hL>)7O8mR6t0#T2p zMV1c*3>(40K(qpzhCUI&44ZC#g~Spe)xP zDMpoRM^MYo%(*^|SYCtYPxa&wsn^?E@%XV;rnW)3y9774e~fMGvbbdEX7W8@BB9ko z)}sE2i-eytek*J76@Ha0s%`-mah^<6ek9+YO}xMk$B0j3Rr@`5%!HLdxt2Y3gePjY zlqI!LjX)^%D$V;+Xr_KFT;T0as2K%Nz_ENFEZRSn#OPG!(!PC?D_d zH#qR?zQq3wQZwJUM5oU1Er_!8AQ_8nRbO6(|1f=+;zAGWi6PO=1l?0x^ZNh(-Y$6W z>b@U#q0;avXJ;Sp+l_2gGS3L3x!;y~RGnKE?CZ<^s(1RY1_|$uP+$A;otrIJQp=` zdx(ts`2jXzJl$70HO!G!my%S`zHsfh5$`?Xb5V%|p;BH`W*w8wOSraZUsbytX1{gY zP@QPk{!S~sjqIVfR9qj<8MPYqqbV31`JCjr=s>E|U({O?8^*~-7=F%+^X+l6dU9HX z>ET#O#+UOsRr4Z%_X3Zps*Z}uNlV8Wm}bz0G6?&oWT2`v|A-#pgr>HGgNX26F|j)- z+%T^s+?#fmj#0f69)3V1=(wGo9h7NWnSdmSb92@6f4Y(L$H>R4U6!VE(Irh)%_anW z3mIb^5C-b9gN3EX#vCOceE5{nufW>@-bmJXTeCGl3C{nW0ZKJ-Sn2Ug*fAwc_4)6@ z*Nmpv#Ms#P$eFDa>wqu`@bGM+riQRj+-2&1)g%5t$M*m&$=iorcX!0wj~~a+e0}-} z2pHB(`q`k5iER^^{c$#x8jS))_7+2-J0>BD~n)00yUI?Jw zIA2^qz;>uH4aFSm|S zc_KW8+lmyNHaCIt9XlAfSABjbnB9S}{l0;rnc4%?k``Wl*+g}UFVpkz0if4}t0m3N z6y(GM)W8u(qCMbAyx3Woi5HfX#3U$sfyABh*|-hWwu+`U6rtmVX9(z2Q&M)DKL$*C z-~i1(;Hc;fR=?bDAxyH79~x$^y34Kt867UxIjp2)YGE<$T;WDPeO#c-0g|C#F%03P z@FN(Hz%rZP0YCuH&OS~~Q$)xC%ee$8GNg7SU=VF7fG0d*n*t>FP&+C29zjSDlu+L< z&tpS$_wd-rPUJg1%)A3no}Hc!3JMx=+`L`JXYXGy;%uzgm4Tt5%${_e)2GvAA42f- z>k(W#2-&csBh^NVU8{p(aR(+gPAV?#~p&^u=2 z-inKZnH(gO5`_c=U=SeySh;uiu8II3KR>98)SYG1AEjVKL!U}a0jB{o*fs^YO4CsV zz^{3nGZMdqh%JETGRP8Scs+hBg!ms|zQF!w6n`MPVc`7L^x998^Gi@vnv)C#Vq#+d z0HUj&_Ss|kPVEU)(=T)|&`=_N%J8`vq^N)6f%;^_lNIb>Xs2^Ex1srqkz9_?U8%Z_L~J?>U%gV8 zu|@Ufolu=EW%K9Y5y*@_dB&Y7P+FazUF#;bxWDCeP~dTvCq?tm2ggL;d;qxB#f6G4 zl{I49_U#ZSHeFed05IgD3}-?e`M}ASX6o}xvYDtvX_u5qJz$FHzc#X?i6lD5y?=j< zm6g?1t$3>l(ZrsZEM?QLmaOr#q(tx*_}yb^wCVM1n`k%fQy`xT)9~N@>mzwf2#$N4 zO09kxOfQ&%{_pwHT6U#0t&KO#)e<=AWn632h zxN`Y&IpDl&oLF8iLL~q)A6*tPJP|Nx4-CbXm6ffnPr96$mKiv09-jJ_5FYpFTz}hE z`QL}?d<~sgCT-QzSrd(m~u%> zlyW+cy-maxZA{d?d%)~E0oPs7H^S1fQ@}tK7iMkk9v>X_N$2MSNBkBnA+E}~_*dA<8SkKjE=hyvXwV?k#FFsZEBz9~& zHkHuvcM_*G>?RC8T&WBB*~i+g&C^oy_3M>^I?FD*b~qT!JvZ?UA}sEm#IT{xqTO`u&V$+g;Mc3qO-E0U?r!}Q{0TtWhwk16$M z7KIzpYHyX}1b%JZ1ZDn=i=?eG^>*x6+OYD+BLn@?Ch57zKM4|;O?vv*Ek;SRll`xs z4{RPJIpuym4p9H|&(c1G7w+fwno6ep`F~A6Gkj8H?m2B%?qV8!a4jMFW)AO>N@Y{* znZI}F8~Fm0o~4f!Ig=_p)(z9p2){>p9r&5>A^G;&O+18S`+$%o-JX5=nuDz5XVvt7 z)fhEo0M`CI{{7EAQdEQ+Tk6`(`KXRjkSduX$mo$6I9z-G0dr2E_ri zuRgl3AsosHon8NiY)m#f?mrb9(pPBM&)*v2W+#<=&BK<48q(ncFORdw!P;;T-M;cWCl*s6`^)bn8tnyS$WF<>eFb~1s+G1u)C3wu-2c5I=1KT z2Vl!kg+`1l??Ce6xpO(%*kSi$ec1YkZy}r%`r#Z`Ew{SxbHGrP#4*l2D}lQD5ZmX= zB`BEDuP?Z#qC>x%U374Gb!B1|*4@b4x3vuo>jp@9c&xJS{`wQF*ACgC!N)oSOa_|@ zGz4lfLWj9-u?zhT@cV)46wH%>6t{5x9_F<6^ zOlfB3QQjTf6adXB?bbvkf9%*Mk_LqIIM2xIIw2lbjot7A0DzAlLjwY^?~`}foIZWG zYM$;eG7J7`tKUZ(0GJKfG~_bUj6A9h|{DX843RLK%hxfa`Z}SelwLpsD z%dhR5gaVFyr3?}rR5UQ}_cdbohI|9dS3~djkr6j&&H(rT3xa0>yUT6rtJrH03{zu@ z-L$y);BN)~FM_Tj_dP8CoNO1DQspkcdd@2S^ZR(&hN82suwH#7^|h_7$`t6rz`S7x zX82r;T*HMQUn;dSi8#eEF)@i=DkGenph`$r+W+&@ion%j_t;aBDo* z84(O9#hM3}zEFFi<&|lBn3>s8WPLYuZvQ^Iz?}xpYs?hxO-)_K90+qQHk#(v*4@0n zNv;3yJ7V`lD_wvReBLOL;g_^9N46w-SAV6H>(|#Z_d;bNhx3eJtOHkId@hnnY47Nm z2U*zZcuH3nal76^$%sros;|!^EMZbb>Do?5`RMsTtwAmx}#A5z<;^1n+2a<69!NzWir{O{-76dXgF@dKFW0oQ%Y*6nK zLqd!-0-=y#)pUoX_J=e~mV=`YjcNa+b5Bo?B>4oTJhpns2y20h?4do1hyz4s!0T{5 z@6Tl!9jv)v|1HD@yCens)yi@w%A(C1Jw1q)0|N=W8ag`8oYiq8@mG%%qoYv!r>3R? za_zVx2mT3w-`!icw#oQ&;hvO`h&l5NZ86|x+~}~4BM>WRuUZ_N4}f7(t^rm{9~vYg zn3+XIL>O6Ew6(O%EYI}{=si)bmde9x3?To3(*h7FFo0(!6!bzdyhso13zLIs8<}26 zhcB`3Bmbwnc<6touX<&UKh4PrsT;8VREG)|_u~n#emn8b@<%AbfY>lbCTn2{QSZ`W zbc#+)%pFVMW%EJSZB|7l^Itb@fW@f5AjFnmgJk}we6Yt6?Ee~@f{q&e(w`?2rvLfp z7m5^wnzsLuH6quS_EEBo7V@Qi^{T1$I{ib^u9%{uQmUTpoYbvyb<_D#t1ol^*SAmP z?2xw4C;H@W9E1()qs$sevM*|7t2qf0VU@h|uU(Y)Br-6uG;AmgB6aQZW@ZpN)sNpW z>hgFZdc|_?S`Ou>FyTw%Uco`pN4y?&7&Pc~!G0Jg-DpxqT|gzwO86XegW}qHS{MJ1 zEKIs`A06nlcc@?k8Iz^Y>rM>49_YwQH2yr$S9tqVCSGlq_hcZ&_m@^DBb{#3o9`d) zu1?*pAWCh42{)OW2c3J&G=#$^>9#X7*VY7tgrspJ*{}%34U0P0E$|RYc0{^=yS>`U zee6s0vdOlI!rrRSX?w9vJaMwPVwn$eUPRIyJUGX?`m-8>;)T1u|Dm1d{?*Q#{%+?r zG$`2DUi%CN9(x%yYS`9*_cph0{R_EKew8wJ>Rg3q+0&=ua&lhC6Gi)g=6t!Q1$hg| z*oZlb_6$oL`sW!50I!V2r!uwCR4)=J7vQ z--FkUEP?&~=AbrbBmlu9aU0D!_^RPKRotCO`-EoYfRj1u#!T(uFJE2`OmAd&m+h^r zG(k@cF|0#aaD03>Y)R-H$~FY10M>$_6w7Vvr%$SN$rUNjp1C4;2cm^kZX|o1a5}~d zC!L|D=2qb|zt|N2lN7%{%L`N0=CI1sQ_57V@4gQ%UWJJ}H#5_S%g}Ww4TvTbG;myF zuEqwu*F70Gu`)~aaagYq6~hQ79C)d`{52>~5b+Mcb8uHCst+5R9DV)@`##^?Tmg2U z^6$sWjvGVRgTe@VboZs?6u%$P7ubt4LA2DpFW>o}w*G~ry?>6;0kPByr%(T8=f@h1 zV5ZoU0sM#w2!zq#O#HvKH28p5211LAixG{3 zLx(6h3U-8qA+C#e|9(h>9_8kyA2gyJLXuwHm%$?eZ~CU^!GY9#!{P)XVoWw#PrU9D1JQKUkunlDxF z+`WsUNJh#xy)O65q%*Nh+b0|iwz|4HiOi%f%Leo0?M+a43pgGM-j<35Bq+JEM2!EQ z7lk85A7;O3Z(d8gJkYgybZj(N+mMfk-=)2^e>$bOjZOfaQo??L|0b6i4gw(nu|f3S z&-WiZ=OplMQkD^(RDb1JNK!Iu zP%1SuPTZ!OuWD;UVjS%4HF=`MZ<1?CIhkPwa}C(DJeM^bGbx%@7@7KO$?T-fXpRD z(ZHMqS`XziYJvJ`2pD`}exRYLZ)rJo`m_qg{O`0c8EI*_ElNYG8xlir>puTmDMAte zS)?_(DHv58tOz9ukMW4!#WCC0Ub1DN;|B#hctQZqDcmTm6e#@co{c)#JiXZQ*t+de z8PvzwIyor=-}?KXm6U7;Eu$|XDq576X9uINt~Q0Tv+EJJb2!_QCJ%i%US zi)nY#fmmjKIM=1z=h(9&7s4^%Q896XCRNBRyK&O8o_Tb_w`r@?ua89d1sKdlzYEh# z_ynQXn>TxSguWuJ@zSNfeSyz9nh(@e`sz15GlD7%%r#{H$l67sJG<$>Y1U~KcEG;k zK0kFSUYyj6(ojUt1#MFRpa{Th$YXd2Mg=T!D8CWh2l2JaG2R|*!vD9#G_GSp-23(c zXklGezK?(aQo#XPKcqCWup*G4jlG!2S2~A6DLqjqRhtJVcknJg;J>|;MvYh2_M~|MUZArLi zCmwg{%AnJ6K^`6+pcO4P0QLT@6lR+OhEm|s(ng}W>I52P(ov`21C>26^+&LE%k=uO z-{+EW-cH)YOCFTrPQy{b#*d&7S$FNack5PV4fww90t@P??SG!hOWpSHq#=q*Y@4Oe zp3y46K8XdO$7^*Nq8$#yKkdVdsc0v;k4VRA=;>Lhq?Y5R3q*&5duSg2(1&>SpWd+U z;mK7LWmVOr2M5Pr@9=e!W-Vd*YJB(*A`VE87va}X8VNtS@VPXp-JWwW;;}|iXp!%` zGbjR-E3Z=o&}go|t%a`rj$@*N{Nd8DVY)+3ML+(NQ07WR#Gmg*F#rE2l<}KVd?YbS zK@oY)o6V3gzm}UNLoI^x+vZ54jv0Et^4F=kqYEX}h%bB*>2-&g^K4gayfdBD+Uurl zY;SjhB@Wz>byDQY+nt=1k4FT_W@NsQWHUccq;XCrKU__H&mP;w@$Aqkpi!`hQZ-yeG6hQg670{{17oK^HDyHNCIxm%Uu zT}@4JSXeLA#b?ei-2HHhn@+q`Rk3Vu?2wIVLUPj;Dwod9H4RTUpL`G}Q)fQBBVx@u zAK45~mJi;yjH1J-*cce8D^@dyZI2axSCBi|IA=QebN4nC#l+l^E6Jjt=3ix5RgWg_ zu=qG{JW9SkY^~|h$@9mR=|vb7OS&zNf|p9X86xZENs(VTf8cdEQ_iw@oy=UEE^j#$ z!?0B#_oum{Onu60EGoN&xWS~k5{@%~4a9-GyaQ3k-tdz6mW7#-Ap>7fKqU2@!$F_W zvON$Tii!rjF;+NYV32Tx6VX=4@Y=`E@95;D;&m;Q0=qNc*+NTbX<+YRSSuP`(In0k zLRQ_rbnld2EZ=7ZZ+P(|4~%i~r1fh_3gJkaKml9Z%kmb`CIJ$#PR zhXyVYO#i7*gwZbg&K+fnAxE}<$Z8M`zn_Q4_|9)ZcS=di-d7XPr$1uvst>4)OjH>b z-D;f;Fead6HOu5^;n2EhS%%KXVU=GF1PID!x$+(6I&vdaQ4Bs<(VrI|?Iz#DcH`=T zcm9P!zW83;&vNm?9AAbc^KHk(Jt4B49>Ow)3x<{<3^XSXvXZHJ{cj{YN<2~Hokc;v zN>-=?&_zLCdYdLPF3ug2E>xw%iEB`Hk~BK7SB^&^kMXCwtnj;mX;98Fq*)=3ZlMB} zK|kP6z*z<40O0ZzVgPko5efFgKTdur!pG>_jmrj+W}ASc>>Yp2Xf zp=R>FK`M$xb=LaTz0P%`iUkywbAHbLzGqA85|n#+&*}-=$hx{1g69VUdg}-$%Gi*= zz!WJnV#7jZTF0wHC@{N(I*Oi2x=BfCdK+5T9%jCWwp=KY1eh)@4c385^oY2WTQ=JX z_dO{b6b?)zEsF?~rwhJvmz5I{A(9gAkobLl{pS9<#EPpUIj zn__=TUN-W<=d5-@&$_Qk`!^g=H5K<=T2!xdO9;73nYy&c&%}F!5hGVTlQ0W0xi5Un zm4#>*8S_VeE5y1fnO;H3q${koOexnKd!=_Rvv-Xbq&FNqW^L``TBCZ8I>8`bC?^L( zUyyX@_D1;f&Q&bws!$9?$~oi}uTEB1HLbUxV24U@TY^`@LQ%ZIdv?a2T`fH;qgC0k zO9^wBVV_18b=JTnq<1t5oV4#iMPQ%rP2c;3q(FxXYZ8r5!?OOje*ehF>!5_It>;N71GEXzm*M z?-$0)BxKa>P9`jR<8G66JNtsY{nr;NZEYQm4KrA~boRdH+dUL12;K;RQ zpv<8mA!AKk|127^%q9ldnP8OI72$#-T(=~|#o3gS)VJFT$~|leTYK7jbV;T%$(!ocgc*jD*5P%Q?;3vsR?5iZv%^Bk{6fRC>Av#uEYw1J&J&CS+vXwAi+373RtA z&Q3|!!YkU}KIKWkw;$^M#$4)$nx zS?0@v6mB-u5C!Tt({>umH`rC!hh~gV8XAVtFm#>Cyxpi}n1J#F9#gv!RCeC$TxA<0=T+`17pj$s;4ia&#DJcLb1LdR)=}6$1*dWO@)fltd#YE*KI0he z>qE5fBdttRA%=utlUV6UE5@)UzwVWBISsc6_Q;nB|6E0KlC42e_=qKy+xRvW`EPkr zcf5danbz1y-^GReqZP@l8+4;pv@#2OXXw+e8e6U=>>_%zF;Ia23K#JHdTp5Q-Jx#^8N_zUT`q4Sn`UdKXjom6>nJq$%L|d+{n1E^x%xtj&bZBj8}tq`34QrVqe&&{r%J z0*ni}&}jZx;CJy4obVdoviRWszxauIsP+G*SK6E^xcdS1--_>s8g|2Q$`6mKMGS*y z7{UnNX-h&zFZpRsl8#R~VOa3cTZJoz2U+e^n*s9&uSXmx zEEGx8Dgn$98cKzTEa)-Ntp5Dztl$qNJ&a~x*`Gao<}iBVmm^Xd8#_cyJUqjwI55f} z;+-iLCVWmvh(v-Or*KgDgkz8ls0HW;fNR>9=-*VhR`5ZjdGU3Otb9L%q|88gdf5x6 zkkb0;<6EY5(=H9s>rTkV-+y`R-Dh&8zWh14qh$WRPxJ>Zj29AUnGH?fdk;>Z^We`X zmoZd5cY=Y#Q1?~b^v&frH$Lz4e7G;;qV?Q{Vp1&~NfN0^rC#e-?9E`zWF06ONZ1zi z2BINp_yXaJSty=6x{_OVxhQt!yulbM%3soX30NT0v;>2yLYYfFmtCK&8iS@u{8Uz+ z{g)O1&2{(7WE0!JBwEolk$3Ozr40at2KXf~C4Qrl<(Q&d~eT1q?4^lsuh9{EJSzCFhB`MN<_t8H{= zJtB?xD-VP)hrg6@^8Ws&@^;aN=+mWggBK2!*7@T5tdqNPIy!9(SJ2?bg1LRy zuHzK&V1QwSBeX^mdSH-7HOP?UJgkWqq;c~I2~B}W-E=br-iem1-tI<-t?qP8>JI}gM= z0bue|W4g0crIq_JSkr6fVqklHh|pk*NIYTNUPZlc&{Eu@ZQ{&q6FPt0bWq&t~* z8+DPi*6&X$Ivpba{%OsXeJtF&MoSEgkD{*Nao{dq{H3Fyo^0Lmf7Ew)Dl1o^Rzhxe z>G_vykyu9(ohPg|9v2{)^pldjfZj_U|>;kG5W-Y zD~RH9nHg^R<=OmKt<1>Jg@ptJiU6fX7$5+^l89s0dH=DMseM{c^uG}nR)_ujhoS|I zXRRe`S4L@xt5|GqMJ30UCi(+I^hC{AGPMBL-k5ih$vwVXE{PPTy?(t$P$${!sJvO- zys^*78ex`H)8u)f!T9xxR_(Dsc7m+twnPLce;U7c7!7vs`8{`LYU9p`v66+F{%=~D z`|u3x*>iJ68Y(fMo{fpH??JwFD>9OT9TiACaz^0cL<4hQ3m4%1Hu%4wK6681f};w^ zkAfY3L&WkW-947p2-jf4J#5u{hUH7BrilqK0_HgkX)S+HF)`@_UP;X&o#FN!e^NHF zPIc-Z+PLlnnv0Hd1<&3X!R&)Z8ro?{?|7onzgTxayXvg;G3nH1D~=<4D|OKqf0o8A zu$|gb6?ck#_XB2DkwKRRVFpE;1EJ&Ic}t(xG_9_iI79BM9Yo%*Rh-&w@r7Ema8a?K zi1~f3iU0<1XKJHOrwuR#?uU!pAw5|3tk+W!J$g$U8xK9MQk~NlwibIg{jkm{$uQZL zsr}x)9GnP>?`4j!Z#NT5tFT@Ih#y^`cl?mvjbw=Wn(F{OMNQ$z9J_Ei0s77#p>&!uTZ zBg)Uc!5|wFO8h2p``FkR`hG;lBglMoco+`L)V7PZUXI7x;02C}5c;irXc5+O$PHK8 z&48H;fO!^)y!1B}zVy$IZj^^c@L6I|jX7gql2#^sQ-dn&<1yQqG^Gx??kd#D*Iz!< zO_56GTTD*oUFzDL{pw>vg+jxB4fDpShuntRL0O}Vwt)LS2JCCGo?rj$jrL5s#?vMGhTY`*YIU}-nr_nLB8wCN4i&@zs4`(nsoxmGQC(5lMi)gEb zQnt4%Z<0849c&67*M%)wm-Za3rr#2n(!8MrlTn4_=YITvRt5{vFL%0;aelOm)6?8s z+vCSSU}_Td+k+CQpAcRIrL!5!b;bW?7ika>Pv413O_L5{jID6gVc>(=haDjS&^#d; z2`>e<2Q~41ZXQ|La*G90Z>ak5zpNyr*b00~JRwfT&C%8MnL={3xV>>52lKtsK2cn{vvhx*m7VC81DChbnSG=qDfJB^B zs;QLE$(>pb^lg157XEoKp0-;>re0b|Lx}vW@fL@2O5-i&pWTh>zUP;G-)tS^$8JrX zciTMFh6J36iI6ZthAkqj9un(}Z{G)b@jv#0HCKWbJHr$yu##gJ306qf;E6)eDRYy_ ztM8Wqed2*hX~HU*+ThJICeHBgV3l+@0)fA&P`>WNl`tnlNrF0Hp`4 zeBU1f%MNDd9KmJD1$zp1%!)$QdMs@UdyH!CEti?T!BA|z>WE0d%iozg3w=C4(??8I zU|C{}k=a6a6MRHCB^ZWHJioXJ8XA!+Tu)yMtI1Kp;ff&u;~yd2BOm|M8^&nLQRVT4 z4c^(}GZkqYuQ?gSynPSx4N&-!jmijN9ZN>=rSm(K;C zbgdP7c`cvg-~5#q_$z1+gTfmBZF{)+{QN(+haX-XhFpd@U4VmWpMp5qr3{>9^D z{9;_>g$OU`2G|m=Tzum4T6%!qyXe3Bt{Dc(`u==b!V8{%mc0JEpP78l`;W|CG@;sX z_|-*{TL^4*Z!5#k3v#!A^@xYUNynM=Q6~>w4KyX4Mzpiy#62t?W^HvIn9WJJvs7^3 zwxzp%^mS_cR+`_PEzXd%Mtn+);_nuoA!A}ALV9#x+0rgs9|*1LyutXYVn$T?H4!iY z=>UMPdPCN-FIM6YSyg@DoCJI-TXXgI7?jNpRoq8w*fgD9F)CmXPrTUh{?xGE0^0~^2c4w|?^pW1@Jm@-ifDP45RhDu8nTMzafyIK^VEwnM zGRYZP69Tjf59Z598A|+P46iz<7mwl2yW3T#mIgf-CTB27JJ);L4?U^7#u=6mT^Yok z3s(@)sg((8@{Ed#AEKJ9tg;Zf;_fcZ{u%?Rp$>;M7u}fTEEf$$D63ooZa{Fa+qYju z3AgX$gSV!S5zvFR336=4=MM*uM2A)y0n&jRWFEl~X8oq-<|xDeg~z*o`5X!*%|yDO zH_*+D{QjQrc{Apegk>~pgdJQzva7pYlVEg8o{l)WAbldhK&39HeaiX4rL7DPdpvN$ z8M5Y6a(L?7vmeJ3xM zOG7G$c;yUZ{Jo}fM9#{zRR`?Ki6kikJE*sZzYM{v>W5sJ%EiAZ1ic*1%}mi|_;!cZ1l0 zF$gXY5mC_`!-@nNEev$TE(RD)ldc7NU|T3d@?fmNwgz=rf(Li^GYJTj5VoO}IXXBf z?l2hs#3O5hT~ZPeJIa~btOmk|>JBlRjZGfngOD3^x_~-@Lc2y%3{JL>P}SaC=%rt5D6RQo7d=yIlVP&;K&CO`^Wqb2ZN%zh2Mis7t)`fTeT4u5`tAs7&R*f zR-iZaBsQoJmmoFh#c&s1-iu&8iC3TgErh8t8+TbpT^)vk@^f)1&+UZo<8Li(`NYva zSq4)6rN<13gd)v^#_fFX9$ZCT*6)kV33qofGxO~TB27VcJMrwpjiH}Eh(tslYD}z~ zoSkJ^nz}z^2UtZpgpoA3O2Hqn#1ostyESo06U)Z|{w^bT@Yzhmpuv5q&ytRB8?BBn zwkvm6SSE(_kF@=GTkd!^dv5c>tAPBL#m%aEA@^7G!rz?! z_HZA&N5H-0VXwaL5jUkpZO^}{Z0z*VPABbP^7F>;pHzic3mqtX?)3cr{ckYm+Lq1S z!EhV2B^X@Cu`FdDE0C~-dPig17;_lQcDlR4Q*lOS2lW$c(bPhgGgyZbSg&-pcrlMl zHTp+~MIxkhgFO(mb$s}6JW=47np(Ntmsd~*&QN!tFGd(YP%3$ni|pYMPEzh4yuVk| z^;KM~5CmK9?vh(K_wK_7sL*OS6YFd*UNq&x!kInU@QQ2fWW9qpRD{O)KM}-HP_-CM z4}l)SO#vxCTQNL{6O7OAHT7=qf32|k!mtcwt;HUWodWBAf!PPC*Pubn8$$>yoD>uIR9ze zZEGT?Bu&n{BQ6|Ir9?iiM{$3~z?Wdit}zh#G}4+>xtj97Aa;qQ#BNYw6|B3~TD`hd zw+bI(Q}M^4k|Rzpu8xMs|Kb~^D`a?sn-=$I6!%&vjX9oNR(aA?(KGmA@AA$VIzMKw z*UCaP;mNTAYju?P{SbVyceosqCB}e`D&UGbVb|T-joh z{_>b?tORKzVVrNAI9Bs~(cW|9@163sv4!u|k_t!eE+yY6TMm;GOW+q*q{x5#IQGZV zbaU<)QHyG*u`CgmPU|>U=*}Q?1FnalBQC&m2xMeD-L$Ip2?lxye3hy} zh37dBw%{kXt(B;G(TL&L<5wPu3--Sg3(~26B^JDY$)_qqJ%CqX@#0VprT|}}gMiYr zZf>PYyOZ~V7zO?Afvx0Jw$9M%Ib2!7=1X3)J<<;|t*w^~H?DcbxsjeNi84a-utxKa z2sV9fyDY{0C*@~1d$eTPE*7;M&*>?iST5__nb`HR)77@+I$vwoh+RvTMqIt}){MDXs^LH9w6{rp#N2cT(IT70%l9iQ~dg*IwHf z6b(-l8}j@b#fZX|O~fvD^VX#H2@ZcLk$z7o)u$iZ7aMSswau5mwef(DP&A7jkLcbQrOG$QIz=r?=kyiOYksUxqRzogv>&1KCetYF3k@+e6gykQd%!CAom)JTG$U9PasulL^TgxHg`a zR1A*A*?jj*RW3B{5CJL}3A?<~03g)~Wr*C$B=uJi4iiP$4gvr$#hIw) z?NO_I>jlpp792e477!~0<`7dw-i4tZC8^xLGV@``sOW`!F68=M!6dkHOU(s!YwNzNZ;>wLLC~^0=dO zVa23vS|Wf<^+YqLpnSz%>cVH9Nltt-e&n>u#fmrPFP|8Qqt2D)+D*>0uIunb!Na0% zef1Cy#QnfNZp6xKGLCGp3n3#Fcs@9}=X0g52=%C-2P^>Sj zq`2UXa^FG0P{q^+?D7cO2961_<%alxrXb<;RbW!gG8Umhn>Q0;6J$QT{WKOSVB~Q*pK%R zdNdm%?FH+62^1r=Q%sT$mSA_VV${{tpzMzE^Q)%d8f^LENcapJGx!=D8bNW#IkA$B*(5janVQY$7A&^WgCY)7W(@~4+i=4Pn`8wj{S9$u{1wr zt~ZYgiM1u~%+WtV-kR*j+3ntMY3-16JGDpKVUS7w24!_;)o9Jon%B7e!Zq95qNP>2 zrXfYdMNz(OiB+&tS^t?KS%_OtR9#pec zN(@Z=IDQz73&EALiprc`F4t^Mpzqy`O%J||5zBNsSfQ939(i0`Yzr%|n%a2VH*(4Q z$_k>V8N#TCi$kEO_M6(4JVm|A&a;alg}#b|bV;s%=_p?>T_2x9pFu-cIl2_BwXssD z`<6m-Fe%OD$NGlhQ~F($;*!Fj?3rHws1nv-Qn8SG!1SYXkfkoa+ON^6P6~n(2xYt~{ z_}E6NUqYECb$t$LM&YC?$u`>l27J8NKhe%!bqQ(B;5^7{Z(kyqK1 z{+6kBeJdA+)Fs@zXOcU?$Hx~JH(K?WiCg=9&0TT2P3sAlZKJx{b;mkgsAhbB9Xw-g z4UP4waRwzJ0bityX>D%D$1`u+HoX*=EH@kdty6qCpLYB8O$?4UcGtF%_w>DZx2r02 zL%QJkzO^R2FRyRdQ=D{>+SI6&a)bWor`I^PjV`b}CO^BA=%3WLwORJMvbzDzI%X*= zvh6}bn*HerktNDI0~PV>3C=>)@_8alA_E`RSU(U!{wT4D-M}q8Mjfmr!3?6gv9!^%Qi1=rraB>O4+MIP(f zdft(dk%2WVH+@G7t4qWY2lyw!Y#{(}<|fe?OCiaciab#SssbaxzPq3!qO_2+7FVF4 zm`)jsxwZ9rLh*Ehv}<$5*!K&WCEv}qd@zjfX=o^Ney8o`wlFSp{J`nZ^5}zJQ^y7D zJ3C9WF3BN(h&JG1+eQaSVmfUuT=>#gxt6fob}PaE4ei%;5qTyrUxjDA?~jWU`eaB+ zy4IC-cbxx zN03AAN3w$NjtsNdE0CJK=wwnGDdUG z&a{c=-Vx1NTe)BRUsOGAqZ<7pmUXATw)Sa*)+BAQjEK0WFUwV2kVRdsenM{-{aa57 z_q7CE<>_gr0@2!kX#x5fkCsLk78U4HT@*{rDO`Ecc3Pcj)h4OQ|AG`hze!yDShbsE z!_Xbo^nrH{?&1#vZb`3Oo1cF73NBVC$h;+J}k zXl_EDPeaB)I82?LO&;8LfIb*eQ8Ea406Pj4=ULSFPC=*ERE4i$^6LEi_m{$6Nzg}5 zinzElu&qkQYW8e0Z^V+N-@i{vw3B9;KxUxTqZF-71RR91$TZ#$glCqNGp`i$23D7eIg_|JuHJr@~`QHA7C>g(fb-+xPDSLqcGNTgLfy@2lE^t**@S zViHf@PO+3p!cAlSi7y-;ef}R8l=egyhV0?Gxj~(w&a_i7#<5~aD`jl(SQMskyfsgzFoo_$&#oXlE9^cR}v9%08xne2^^!( z{Sx-?3y&rnQC&(nd#=5{{)MT~sfvKm&~mTUa%~<&$qr%m#oGx8z|ht}X~=Wy-B~?7 zM8Lf=&e!JHxAxj0o+!AVUcG!Ne;ayZC831pkoux8KQwnXMCl46BJUmvQm$<`XS`qSM^NwVtr^uAn8YD+n;i z`OAkwHe9dnOB7$}T3zvy^ZK!pIkP%nxhprFKX`Sxl;fa)*>-~u{N&z)(Mc}$w{|L8 z8f%GX?L0?=J#RXoiPQgvpj3e%AuIOnE1-x#f6%HMx{Zk}W0;Kb(g-Kb*;ELdn{7MtAmYbF3io{luau*@z0Fsy_ zrraA^;p!n}bpwHrl$Bk<0mS@~fLO-un{E#CeRO$E#h3wB-OaDkdf zl%3rOpb4@>6I&9G@)qpgsfUDcZEfvv6|76pk`S%;lV_}L=raNM-=b1a34mVbVGw0Y;}%M#-(sss76Iy!~mSG^VT>5YqwBkrp_8jRRquMrYh z*!=1KYa;7vjMKjCANKa~1-Cqu3VJ{Es=aU&R8+B4>t?#XEbF*X`G98AO(9<6U13p- zO0;AQFT#UZIqN22M23zI(Iclo;X%b~S^gqRud&r`t~1g_5d0{izNf+dd)0}7@QyoA z$kYNS5kwRmT$rCv65a&_uv^3uNQ2{yjJ}|$BYXz>1Hi7M+`~;rX9oecbF_V%zs11j z3DS;pr3dCeaNR&e6!qVHzf4MLR_>pjb;O*)uePFZW)-G|H+bzm&9f#cD{B~5eFl+L zH60ybRomwqAPmaN3S81PG*nM-nz6n}I(&EM8f-nSO$(D5*C}lilK9jf%DdAqf#vY2 zqPz#n8Rb#=9w)jx1A?1Z)?9)RqxB=>7#hgYxvdM$s*_#%RPb6y1)%{+2uc_ z^|F&sRftPg+#AjEReGUDtB#rm)85!j+yct+8pVxcPNZmhv<-mTW z>RION^sY|?3&VRGEPL&fHQFKaG8N+EtpL1pph;M4fN|MZ#{jg66g zeW{}tIYPCP5_9hMcrK2C5W!c*^?^a*S&y>ODi~rZCCL*E4yQL^q~#5mS8#>b4>>T7 zyFxg8}Ayo6^d^%Uw6asqLPY92R8T$J5F=ScT6qTo~(e9)V1aXpL z5{9RDk9e=iIz${y3OFNyJS3BzREFI$Jhv{Wq-oJ@N;}f5d6Mt>)oiUw&z;)@qj&S( zseFBu#p%+twYAa>*NrDOG4)winhTGA>YtuJVJ@X6W^bLYaVS}X;$D~2nDhqLFN)r5 zZ!L*F{sxjBg@PxI!js6Nj?K)?85UWcg69$0u26+7OxGnyQl*EIy%=s;T|+=|pmal! ziFr-ZDNbo(7~k-5q^5lX;}3ZlFamf$0t6se#`Qx2QOXA}um}Z`7hec@$Y~ zDy%ZBuN)j5kwX@4k)=KfKm_pD-Gl^L%lz2QNx~Q#npF}f`UNFe)}q$@;vzf*x)o21 zy?JPqia&02yJFoN;(Va1s}zGh?>Am*=EII8 z|0AHOq)A2fErC3s4=4JeehDTj^aE>DNVcp0YUPz!2hTYN-KKN;y8G`4M7=YG!vJ;& z?u%UN8gLryLRlm`|LvAjugBe={fL*qZS{@6JBt^RC(@7$NG}7zOjC>r$Sa2LVvigK z5M*W&q4|Lq0lg_}p>B!(BgrA<+89X$G8(r8zlybk%^KRPNLDaK%T4NXLv1>g8tYTG z|A9|3l|QwpzjKk@+i*LbYxe5n{`$T9{3Ojp1ouhrekIVaF7N2r8cNxfz;aU|If($s zYwzC0sVU#eOlxVGc-hj)~_xIdeyIZa@&=}&D+0Zq6!)!?v7SV)pT>Eo0;L8 zo7z9?<>H~c-B?HL!8NgGrQct(TFn;flx?1oYdUeSU$m#`C>u>%TQR+QDdosuD?voY zdRx#Q)xA>cx%r9$HdEj1>$;BA8=H?^kG44IM}P9*!RrY$QXV(6)C8OW5ylYz5*S#xp!pjZKuR&TCsHD=sRT(* z4VuZ=<7Y-v3JB!70b9SGymZ9oN-u2m1PMBbQa62VfkavXEthqJ>MeB`#Cq zKZ7<%vR;eXJ$@J``OIr|1#2tJdoIq-B!fs>ZVr9YCM5x8JHs%OS$U_Ws7Ow;iAL{3 zvqT2j*VVIP7qE0Em*4cihl$y$@xx=oV!rPR3zD=xeAwk&aXX{!n+ES*!F}$XA_A;b zAG+hej0`OJ8Lz?Oc!YD`ekAG&pM9zyO6~XNeEXfdqMttG9&oELIv{uE)VwO^=#MXX zx+Z>-tayV@O&?-v&GkRaik6d7|J&1E&TJNSx%= zz+-7}p+`;|>R_5W4kkj#!2lzD8OotMx23)KK#`21w^TxfO%kBH%RV>X(3N zzI8TALr-rPE2|fDb)cGMJ(t8GsKGqrUyDjOdt)14tcOK#>Xw$a5`PLgb%6mCTEkiW zZu3!NV~rMdoz_-9hVBU`Shi3&;EEP)QGm%)Z$BfKM`X)-@_YtLJ%aN>bu-U5NrA%r ztrhDRGw-y&-fb`_GSW5T$XBw@2YeGAI}Q20v$)F7<9=u2b-?(R z89v-y=mCH5Ehvok)2!Py5eOM!}ZxOe)eU$z~2`Bu><$Mh^e@xOkRhB7^vL3W&_#=7Ipsi<0{ zP?(BsyPVeItUeHQX8qNx#`5t`pI}1CRcW4w9>fgIFsCHOmqrB)Yp?x0b8=T=ulAYw zhuem#zgPF~#RVQMcY>0N<+M(#9p_lp&O|elQtU&6bDFy{ z!Zkbj`%T%8X~=pNH02XuDX(VSa=C*|vTtI7m4TtdwvknamVp8G+OSS#nkyc=DDRES z@YP7Mz2LJqV7iEyG?63}178SS0r~XUU~^0UJMT+&J^_k=3KD ziz|vW8yJM7S$f;*M6sr>z1H6JK|*k!ewX>z%MzP+sBNS$Ro^^4K6+cmrP0!J+k;>5 z6axig)_JAD;o(k9Uc;6)_`nAIr}^lsu{umeK!mV}ekE|7T+CHYFt&^O_%%#LMOf zE=C=*v>CeJka5sC%~hKsb_3ydRI6bL(X#(TTzmV`x>yJO5@prTYYDF|=AHAsF`E5m z`YYGs!ZRhMpBEO-`<|GiO8kD1uV&;Uv*#(ZD=#apdIa1r?p*i(@b(r!S#M##=qoKC zrF2Lrpd#JfpmZah(%mT_sia6qBb`brARy8p-3Wp-O1I>F*!z6noO|ZG^PMwy?sb^i z?mfVU|GVC2t@TT`Gyl6aftaL?nj5y1L-L*m@&dgEm{k2+!v@<9>sC z#>khz=Tax|t;2&UkGC{!r_Nar0asFaw1CxhS>9OWg@5Kh)lEGJ%lU+u|JnF-p(Yl) z^b3XX4Fo*MAv(YP`L{`2Z{Lm*3zlxxpoJxKaz6epSA_DD<-VKSLUE79;bGb1$8WsF zG!C08xed0K73 zGp=cU&+iD9uI#6(Gpw&+F(=*TVlZ;v`J7~s!D^qTHYdp2W)<-S24-laQ*}jERR-sj zX%=IQKVi^{7#ha;h5qK|`VgBKC)wdw5rY>eSvrR(afLYsgTo(;b2s##ZkYOA&Nwt` zsT((}r2;&}V9~J7;S2Zh=^uH&CHTxRi$9;h1^L9l-@MzjWnYvWpySEq)=Hg^4H4I2D=1sA@d#?T@c9Q1)SVa zPmox&`rM1$^s`+)Fb|8`jDq(t;QWTVmY569+dQ%IVnO`*)tmkCEiX|`e~Y@}W0Ged z(%!LTz(u{~COa(~QnPPVd3*9xKrZ?cL2P$cnBX*`tZeWZwwtddZ7K_DA{xSn4d~70 zgn)So-q)M#GqD45oG7zFmE5tl0l~Nm#hc<8MA(b@fyZ2o_#K3(gyf$0?#+KKj()m^w$MwvHZ0Ri@-JEOTah`tiNoY+S zF=IJ7C+>yfSF{fYoiA1ni^FC6pb6gD$(=fA>YsJ5}rkhZp179eQhtZah0CtO! zu$shL?)9-=DTJ~boqAM%>}%ooD=2Te6Cg0GawFk|(Fh62`^V?!`hI@;^=3ja9(i~= zGpM|5hq?yb+>5Q$vCH9HxR*!hbg?>IW>7zb#;vu6$t|l z-#DfJdD9eMziFt$t<-d;9T!e00X1nOpLNul;Ay#))O+(khQFOo@^RxiCVQQ;`w_n_ zHL}E(ru4>*7snF?3JP|@!li4;)XL)K=2c~7gnh&B3v>-wEJ-;!@{5b7`unFt?X9dV zZXq;@)Zx3U3DuJIP5sIJg#XQZMZ8Kfo z-Xam6G2;d|Op+ z={h}Gan#>`bcA#8k#!q}OmH712_-9uBwpvxP-6J(ojc>DExR!twz4Eru@U`tlXlHA={ky*U0}N{n>DAhhO~uE4I%hMIVm{ zlj#G?Qlx-tGlekPrZ=Mp^A&_meKB}RkxL|8rT<=m*l!4X&K-i<_$ z?nyRNTN3Oa77BJ#TCX!11UlWtB70)8xj&{#JOW_hF^XgJLliMI7yIeDh9S~6HL@^q zdG$z6Jm(*Gg^C-U%Vj~xZ`xq_pkwc1grV)T@Seoz z^EVu!0hM-l3rXjT)D)cxeZ0jz)52RDD}zsWy~MC?G`43*t58?~-3kJA-Z>ODxw|EG z&(uzZ4EbI)bo+Cec!nhBlfY>ZK3FNb)jsN1;{(ffa#}B+w&WzR{lsAFwh*(OfZy-( z%*>JxnKq&Ux|6OBR3Q#`FxgnT8hfA zbt(fK(E};VB~J5zm__*e;fLi1&_MFE0LEys!+J(~5R=b4y4}^oMQ-U{?OJHXUCYq=P(F_8t%x zaH?wXJFE4#adLB0P*gPdhZmQDAQa&0&vrZS9uowFKZ1(GYu5u5e1Vb!gh${#0!1GP z;Rnbgq|g2sUZtd^eSszi&`RT~&jR@%e0A;u@*|K504lh;v~*Br8GfLP3Xm(&_ZH)O zz(xuQr3(m{t6ClR$M_GMb_-Iy=u0)J&}L6uW_5alUaYfSxW$s6lA`meV`29M);oM= z&%|^7z(zsE2+~e`;1k=%xb(a|GRR7L@YCy0a<|Roa zGQ61+;q}Yi&Vws0)a*d^OvI~Krw~g=K}p$N*y$+xzd_G%ag9NrYxC7vGb)mpkukM(b>ln z4dSn;#uPKRHvg>t-s%VVx3OR;d1E`Tk(2eF?ie9+5{F-?(#FgabUlZ_Az z(lJ!Y6ewkaTLv&SkTlCgQvg}GGz9b(c;<`Ly%*YUqNAg8aa{{q0dWVThlZi8u`x|p z>k}mtB@7&+AEL32WrsC|`I^t2{+pwfxZ6*&|VDu&2mAksdpF z!h-p0_oR*UE*929zFl-uem!Oe*6_Yx5THu7xBuuMc`UqhaiV2S|JKCBM#Y)v_oh^G zS4Z>2Y1XQrL@iqqkI>R$Mn*0NWkA>vLe-%0H(mR;qhf8Sl=n;rpe0c!K} zm~_t8;c>B6o9iM}b#+O9$Z+ZbYY~|1AWmf*l=HyXfRL=TlnIeFvJRPz4qtXb)Do7O z`U7s8+Dt_Fv(QrA4cb-!53h|efJ#GOJcG5hI^&(<23}nC4QRMXc)AQ!1yG5_m*1N- zz}ke9q8$1(uN)#AfVP3c1e?{a_^{gjWbIml?ni)hwd^e)n z1FpkPBYbAHDaz3hEC+(ps3@qzww0HUv}U{qxrGn_2&^>15%g_fO|qS9N)KQBpDCQB zZ+XDu0*C4c?LKW9uz37tvKt#`A!h$XSD~VDaOeBSj~@Z->w`q#!On*N6Aq_QtDx14 zqV3LO$E@)s=gjS54tolh4ec<1qRRFBM_*9Ld?4AYW4)U}B{-}gKdWHZpUshU18oCJ8E z&a-Dyl9Jb?57-z1fxSh>_#V#B$=RMQs}&nG0;*$%M%DTUB@(aFeo;h_bZ(10zlUZ_ zQmoms?7Y&6dfVm+=x!!$rIV^tyQ{Bp{~LG2FZJ?!wMQuWS}E?ZFa6MR<3*FxjZMC@ zwZPu-Eok^b93k<=g)t*qKOaNK7{FtSMx7%K_Ws- zKpAC{fQGbe(a9cNTPA8JaChJ3M zB+{1MLl;y;g|@T6c7*!0zW!rdn|Ly3-jF{`$~1I^!EL!a?Q%dO3jxr)zb(AHpE`(v z@4N6lE0ug|s23wTD^i)<@~99cauM<0Fh*jMs^Ms+y!=R_C9xNOlt+Mt;`(goHvnrhCOY~Ow4_%@pPE6#2kH6Ou6^FosVP!CJkbm*i-N6V z$niXbe0dmtVz0;a5bq}eN(PZ2c*^zmH9<_T*0)@G6ZAQnvq?R3b62p!PiqK~)dM4I zwfy$Bo3c;+acT0WXQ+QxFDrpWK@z3lOKEnw;`!S-*KGrH9qaNqjP7OU@MQ)Nn%NQ8 zIo44u#Hci|;k}3K0-ICpyXffNa0}jQh@>MsU_bd5Fh7s!7DT-=?T1a!38IW=b& zi{bTy$jC?_J79~D%hNfZhGOD{SFbS3$o61~!3J<&1ni?{aP%Agmw9+pZf^1DjHzt+ zTq`bnl~41rp^L=?kJ*R={T2R+xz)!uE9*p_Kki+*?Sr|*k*`vAdRdMWly43;IjUgb zxBS{qr6R_H(}5+~-k^tgLsM;W{d7rD?(byq90xr-u6w_IF5ZmNwrMHgU^;U zJUk4XAGq5>#398s64>l?rd8;ZflWZ(*?AB0B%s+yosKE%jph_|Z9{u4xPL*+O3#p7 z_}~b_T!FTq+%$9&nd$#$-3-|&!4}xdv68e+>{LB6&QN5q@Xg7A2 zFk?jT?CH8&_Fm%Vw?EBkYF{M+H1Chb;-@{*qU!d!gJ88uOuFGrj>~DErOYTn9itweFT_i!(U5%%#5nHBT|Nmi(-r|K_FK3XW zZBACa>^95bbD@WnXbfb0JgWq#L4`6|7XA8zab1U^=SwPT8}J^0WLn?d1*t&Md5C{r z`3`#+(pS(PWO>_2l4Thj_pa{4lGywOkQDf`!SpBym0+5fQ1=iO6C(n#48Z?^wx0r) z9OOzF>avLvb`3VDwhBBw)L8R%&61#7J9Ue7=SATrU>lX;VXqPfo?~^ZWn<%QMEe_1 zEcEn}D`nRI0iLeo_s;HOS|QO}!*UU-eBS6&^gz5l$KPbMC>)8)UyUY_M7^H9RG!Qh zex?N2>d{ADibT5B+KHO`_i-_)sMdLmH^V>mDhsxbADce^ez3dm@#s<63q2;*f)G=> z1$hceyMHihZTdfK8B!rR**uaTRlcvghq51h>)@(#;uU7f(VPaX99lde>=-hd_vhtU zpib&)_ZXSKgbBJ(4;Mk>b6OyBQM4U${n*y?lF%MnUtL}-sXibv`YYXB_<);-#{;H$ zN?K7JCBzKDS?94%QQ<_>A|m#m3jlxV$~9@f=^ItPk7=kE@@&orde#X|DoqZi!(X+0 zBz%4UlXK7Xbgm>71;W#1))ttzh}*Nkr^U(c^&WPjY0AQ}u-eK%m?F^{Lz*cOyMFq0 z$x^W2ywGOyC*4}pc^O;0fR%}qYA>LyAJ8loC>?`HQrx(+y%cGYUHvwcGU@!obk>{i z8GnlHEp%u*IVB!N!x8xxB%#?dPhQ}K6ej7HdfegtphuLEmOe^7kcp9$mOec@%c;dj zAr*#&514;YK_phnnxZ7ntAA*jrKPv{960VB-99G$iOSSm#G0J&|AtF^n{BYd=*pC(MEqR>0eGV>LW}}gf#X=C&;Mc z3MH7eAaN@XHK~`hUNE<#BgzyhKs>v!oXCV|HG5=oQuCbB%+GRCdTI7E^``X4}1U_URQLwz!RoK zcq5m8aHvZ%%vRYj?ZTunVFNwx>R%Kny&_W*Q)W@bvZhmLFU*TNICRcAUvqeErT?JN81EnGF?U97o;aVNuzaWh z_;O+(AvsS~V`_#+IzIUhm7uvVKoPiGS{J8(MqXUT+63HW(qp#xTQUL=ItVTNc$pB& zbTsE|RyY;r3EE8H7y-b{!6v>o{C<$eW3D-@DC6C?-ms%;YbytTJJL#2Y)I5kOR3e| zFC=}JH9aseHZZ4=t^Bilk(hX5_pd_o>j}xs5rCba%-=u&K&h+4uflK*?wTkX0opH5 zRklKS^kT&_4`{iZ1HT}xLw2&WDZ(w(I z&d6hsem|yL6uZKwpi>F&rX_Hb&6`Dlj5IF!PWdO+cdssQwq~%KpYuBM4_x*c#n0na zZLBDhudv*F6*#|df~_;Qj*3S*8&j}{`)J?MNAR|F4%ifvlSzhYun#M=qD=_ZT-9YU zne=f+?7m_mBnNLGHV5wqACcjiOQ;A{?*up?*syb=?r4)CHZXQvP<~J;zYPk&RfrLD z#K-iWeNG!J699>S@x~OCOdRtq_Zj71&it}ZO2jddpj z?Wg@b35G2BSM4gJRCVv=QWTS_3cI^s^nZqoQX)TCpGlTMPkGiN){Q>T}{ugnV$-nPimaFYc<8ZwjP-CKW8WF z5|ENSQONuSGSfbmiMw+bhwB+ytQB#8vtB%EH{607+?^33iGk2p*Mo&s*5sq&3+;cb zAM_F*%&Ccp)QeFyn6*a7UTHQC9V|UjJKNTMhAZP3CjW)qg}yV+_|disLe!_>px@5l z#-^aGtfrNu9wu|tb9_N_3dG52oilIgU{S^+!#^+dW4d=Q;!?3gH$|<~WG^K9Zr)QH zmQN$G{&=TODT7nL?i>RV{65+sp_smfwAa~))pm@bkIijZ)#c(s|HqHK5sMSaPL!J{ zCWy7SU5Zy{_PVLnrKSEG+US@G!;k;470-^y+yowa{l(lZ4727++v&2j$`|P;1KDP8 zR-E}%T#%lBU*hGT?lN=62^$-E+zdE?lN06h0_p4V<$`+6i6^7ADfIy@UolH6$$c9E znMp+IJplE^zwE53c73>nx!_Z$2kP;upY&xVw^x^ihI(J028F2QD;~Z|-ej<~-?rSg zw%W9Hh!^%5PfXP3=o*f4`cD`FnwxpMoNDZ)5&Km0fw5qUu-cQWb#Y-&SxIes*tvFegq* z;9`B}uKqBuuFg+;rIN;)rZoDy+33`Rs@i<3)JHO0g`1lkiJKiCt3D;V9fH!QaH%4z zy84F8!u9SLPWloIOJec?ZyV*1k*44Y<8eIb=_L#P7QJQv7g#D#e+)7e_A4IAhnD@O zefBV+SecRkKKosqN3`D@7)O||6$w_AVI?J|jys=0*OH!I`P499&*D3U7 z#~)d*i8=i8H@-8*15%O>4qdnkjvqPay-7`_!)NS1{cG&ywYaY4A@h(Qj^J9Z^0ArC zsX%z;<%PgUX6B=cE;+wx)2c|~+`N@HQ=cQ;Gyu{>QhzT;>Le^LoLcEo)F|ZF13npx z6UL<;81%ygVy334DJ8IX3O07sH*io;tYL>f9_fy5`IrZ~KFqvYX}I+0cAExL5nI#z zvlpaY?*(`{&;OI=lXN4+V1w#5b8N)H0b^GQfEQoBr0LI6+@R*C55LNV z>NyRb8*u#5*Xw{aovX^YI&g8crcy>}1x5Jd;O{FFd;hwx7Uhj9 zrXuTgR60V?C7#i|&oqE{4Cjzve_9q>G`Hk$UXz;%%ugJ@Bc&x7R|B`|{^yJ>hHC8e zwEjz_ZOX?wA=+Iy+`$=+U!A=$uD4Trrc1Gt)a})-|MH!Vky>sE%gYWdfA;L zE%4beVZAo4ds{0{Z2Ir)$9rT!LB@LMuCqbTY_iT-^6}|0pTByc=0~CW@E9ov5@2jJ z?6`i__e4t!o;RYC z=?Ho$z$*T=ije>A%PhWp}_oXMz&-`b>ic zgFP#Ctbdn`J-mQIFB0Z{($8c({@&XNOoy@O=lg*x99W)T84PXV6(MHE_A;O1q6sKo1U6ID803>O1IVt*2jeR;ven%8WAO(7!VrR;P z)TGD}#I5iEucK!Ar}4aJcsP{iC)>N3>XH(lm0pTs)Q~=R-wKo`$RQ+z(b3$_b?-~7 zFMASbb9CzH2zn0w`rF*wG!a}ewhpy^I6YajPeC2-B~r_XR78$&`0bf3ok*gm^3xkOsKFv~>(O@b8aUpn`M80e{HUnNiGCh5* ze{4D!mXqEUzZ7Weg!CHFf603cSus;d|GYjD`4c?AtK*G7S2elGvc!S-+Q=YC%rX#YJEjJ?@Fj zZPg>@Ly&h>k$QP4v9nXHJyPE_-kVAxi%ZCpg2Sf&rG5Sn(NFdXcZJV;Zy7wuH@v3w zuRkQ%!2t+~-y0h<0BOw5mMM6R)AY{KigYLRpf}H=1{AS0QdA1YonN-B)A_ML`;mjR zbSQw}pwNZ_>y@>&6W~s9=hAPF4Ow#1$Jc>?75v-BKYbkF68N%tHZK=c?bpdI$tXYm zPZ`AF{|yFlSdSH=65&KQAbb{ip8xS9-ra0`8LkXDw3RL|3%};TCKaPiiEpP*N=D_P zMPA{P3$WcY`|`1_5%!BLYQ^S2S^eO3x|9{+Av?(hav+NEnfqS?lfq+ka zYHH33=Fl|j+a7~Ah4`ws|7u{}tK_{s=Ubg~RdV`R%`bkPI{T-ssFuW7@y*bKjkQ)m z?42dD4x~db0Xj3Pt{rc;Hr`uqmL}MS1uGoMwc z@u2tfCZe*k(!|shO#2Xbe|PS)Q|pf(x{q4cT)jbK{~Y+5ut<+I>1>j(uZucD!$V$WwVw|n}FRQ!6gx;~z&eWr;5N#VhV^>Eq`MwgkHTs?P z8}pCq(mNNe;jHuZ#SrBKaOmLRAP~u*bN;Pa|1x#8?ZF6rNGRWDFKahr2?^x*ZuJX# ze*RN%zCrsZbaX>kGEH+#RFn`vq~0`>9GPYCK4q1cwGMFK=Pd zZcdCagssffyOo@pU}0hLQ7A%Oo@x;kN{yY1>D0Tf!=uepwE|QbShGU)-x)$a!Q$EK z4;y{|vZwZK9gB}q;~*e;5n?(Qwt>U7`Ikp@`(JrP&m|SAn9gNqH@_;krG2)N_f{t? z!-lw-GIXyfg*~k76#lHMgtxyLPgHCzfs!AMo;e%Q zoQ~L0J+4A-Z$g1PHx*1I3%iBLzDr@-Y<8Fi!sfT8IFz1ZpJYd%`HDoJ0!$XGpi&1Z z1SB*-BSQ*gd(=vK$W0f!un0_#q*HDJmk9sL+3XIXtia$bbwc`<9-zbEK!NDJ>&j6m zn}RciQ@CP*mWD>-ZU;Fa(Q{Dz1{_f|g;$fM4JaodA!iKmP)blzLka;@JxeM?VTOpi z5w8Zmg6K_JM5%s5B3Rx@Ii&Xm_&s-wAW;db03gQw+A<0pW(;7X*RB_>@-#r!K%I|n z#qi&|!(6K%ly@GYEPS6wmTZ%H+UJ}KpS0Tw>lerrhrS9OY&>U%{Fi$K@5Hk$9g;NF z1vzA8j?tfxk!PMgs*lQxV{F3?mCP5^fdY4R^cSb2Z-l=i-*Ri~Ll%>+$p&_EO|h?4 zFtntX8z&?yfvlzmH%jcNq~2@)IrLcrWo2z;!04J^fsLPyD`=G#7vSmMJgEy=d4@<5N=sqP>o0uG8~} zg;`$Ctk6S9wA$J32t>Y(wG9M7o?o1s(6xS8nRQF25*oY@0V3HO7Y z4jdnf{?{@4qD3xH@D<*Lk8rG;kqEk~1m-rW;U6w~i~QghQ|^7}T!(<}&;TwIk@YilQ-Uh9fiytnHB{sPNV|Ef}50 zz2~wu{uBOe5NPe_cv=LVK-`(U{;N_HGIvc#I+SH*kZuVWbQnH3JX$c$V(@Q^I{ogEaIAsgGkjXZ(FXc?j!^3mv6*m@}dS=p_0dEi1ci(Y^ zPY{c|(&5%Tf)vd1H4NnWIvbm1?IsbpVPlWuZ3rbu*9voT%A(2$5#dq<3QtRjt4GHo z{4Vs;(>A{4`;;V!>4tp%ESQPPLe6->%BMP%`!%p!Rj61PYj5!W=nPt< z<5#h#XNQ3rfXmi>j#^(&Jag2BOO;a)YQpqL4r?~UL?II%+skAe?nfqRu!G&%>^(RooI^q5X+|c*n^00-z+v)o)8Pe}=fRB+ zer0^8!m26`YU%(%HNRJP|0e>JQVcx#>Mnvd-=K3v<2Y~c`1r;7NrgdhcYi;`0*MYp zW25uu7N|&=sD5s2tci*mYegT6iXzKnSlvCb`3VtxV*)^8t|Q2FC^T$C}JZxPIQz_Rt9bUxpTW z<3ER-&0?2)yjGXT0=iQcR&NVJo&G}$kgzgzyjn#U7R#$3GGw3UzhYe+X9k8VB^HZ7 z(epKx&GPhB&bPVnmxJ}60Du6grT}q9<*~aNifyzkDS09Ogu@<+R&)6iOPxYxm=u-< zpZba3Cj_V5M&hvp=SdU6}j7SnBE?{x6m~=o-E@f-&o-yn6?IU=!PO z5>^@Dmjj0xset31{NLyCBBNq;n$b>H>e6- zRu9`ya$&b_bJMDXsZZZRfO;OTUEsRn$5zAmtcO#focE>lmw|uC3Oo3Nk}21f+MGaW zQWCLfS%POr?6v+$?oaBC@@;-E%G0i<{d$en=Qdovqff={cm#M-0;OUIQf^3c)f8AI z67hK62TU^rm8)5gg$6R-w}*G0`OD{*>6PQXzAtGOhVEMgkKdgYt&0CeVv>$ z^fNLuu13}NLtNw{oLRpEuL-AIk;{{uTF|dS`Sr}zvT&R%r~3wYLw~|ubHG#tEVS01 zf8jCwd)F4W8opPg4lgnUtR}p9C?%{2`Ch7XFl(O_yUfY&@;u4wcpK<>FMc-H}wI!%pxAQ;^jC` zg*q9xZj$~%eT<50Gr5H=A~F$<(HywIn-b0j9Q3t^&e#9;E`2Et$ZP%60$Pnhm5+v2 z0dChJJsN(dyqBv!VUtBE8hbSh0@@|n^#LqR?TI)2|mAJ(ZCJU_lXUc#~RD_wA zHC!P%QLntKNj(h;POO(2j+wyb1~>0)HK8K)SE@J59;esT&@R4kjv7Sj>wYh7!4gUm zaT)cii0$FNsE76Qtx+Qh%Y#DCD(?n!u6oF;f~c=?!IL0tO6XaEuo6h(Bgb{iHa4i11Run%aU{0#C>dho##(cnHbF!TD=IVGWB74rsdU_gCAa2a7Y8tDW)URN& zN&P27nKNRz#P{ZD0}w%f{^*jC>%8FiQEC+&r@O%WU7#-;0(c#Iz{30bpfE1bMJ@z` zHxPz6{N4c2u5%~O&hD+O96|Px&6Te2YgMZ|E5-()uck!@l~u4^sV(yg@ob5aZ8ZL# z_bGNFSzaeoadw%%T%!b?bXQlGfBcR0xUMeQ7cX9na+_2Gq^Wq5^aV^Z-^35{<5fN} z3H77g$avhdsjV<=^wUpFGy`js_C3#K%bObnOf`cI?aE~LZ*`$B{6Q`O`r4M8?$2r% z$kSJ*-P=~C0AmIVGw{Qml@#hR5AXDhkN1;uKtgQ_5XOcg6M-wb?{5Dm-6k$B6rte{ znE9ef3$Vf83d1p?3z9gD0cPSAX7eOK5IC;u_~;k8)T(&N8$37<-}^8DI(K_mZC$nV^f zftlwkq$Sa-^owqn1D6UvspPllf^&K|hcaZjN(&FoowvK`>Fi2MtIHo0?=F;VYbQ!* zCwmO#Oz-V=_-~oBh9slF0(qSjteE+$)oeOKlJaTD9xxCdpV9=yrl&TSY*+tz3-I&( z;N$yoBS0n0Idq13i8BpA_J8?N4LaVXrzQU~rr_xU-~;S@AKEqRBg8_(^z=Jp4SSYf zB_{SCaTn#P(GxuZ`kjmG+j#?FO3J5aB*nYQ=s}UR5>jk~fmz%;USgv69XLCjzJ~%I zdr*dP{8BU$qPMm|jlI4sXqrE;)J=tt?+?`8UHZ(ioju@x|A~Cr%zGVjyruD*NgCvc zHh-=%8#!WZ_Ii%5cm$@Pijx}S#HzYtVq*rTH^GRT!A#8}ROD*oh#N@$b05y* zmIYVSR@3&=_60M22ae_Rlej-MHPP8P)L#&OTuv~|mz4JIHG5yny*b-pC)3QB;&)Nw zqGhdKjhpm`fO4^Qxt^1|kObR$>u+Gc;k?Yt^e)EjNo_0f_svsgPZV*;(rk%XzhZZ4 zX2tcIe>i8qFK7~-L_F8~`Yy>*_Rw0Wreg=r(6>u={G>k%EVrNB^KHCjXz2w3+m!A2 zA8bD~I>e04w)e*FNqPBCBJ4%MKoopmZ5j*$d znmN?2@^R2{Jm8ckAllL}7}rxNPwR47&zR+V<}-4`NPk)n?d5X|R5W4r&a=GSV5iT_ z=py~i?q&9gc2+dDj)H=oLu|s#%+*+R%a0$YKac<05p~+HcrjnwBdi?jmt}OEQQhD% zZFb}RVNCmj1TyY0Hk&16UDA*Nix8RbR`#ly{9aW{n#jM_BL+PPZeQdzi3?|N=)7BOR{p)g-KfDxZF#~&h3%X3ySa?w;G+Z~4-Oyf^1H_v2Thh`L$EbfgbNGEau zA5fz3<&&~c$wrAJ?BMKmn(%T%Lz@tVN^IWiM$Z+hR%P~A8mW8ub3_4^&HJe`{1bA=gM% z(zC9hgL@cnf<$koKU~v%xpmiZs8&E)D@gPRt<(_B*m|uFTZ3V~qs@j(A34F&^VY!^48v#0F9A+j;N*SVEDsHZGU#8~q3K-nSmFaJXgm~5M zC1)EGm<;qI!Z$f?9Tty|D+<_Ig!-Q(^&0#g>sgMGF4PdZ5>#h36F!O@E^S=jy?Gh2 ze?C^6A(2TmX}Y##yD^hMc4uRKkq!fMZzpBZz3g*sW#zJtQ`wl;&b+5aLc-&5J58Lx zNkys2QbNLU>_|7R4qpO-i~9tZ5sMQH?BdVyaDTbJnrD>4qbS6P9=7spqs!Rn@Z#Mn z5yvy@5IxbTcuMHB+vM;6{;Oz=VpO!_1SW#u=A030F@OE`jFpS0t;0f#b`hkVd;OI~ zC14Roob*ac(8KK6w3{9t&VO;S-!qDFyOup(@|%ObDsDI-DuR1o;BC9nFB3w|afq_)~-|4c)W)a&M0 zUe7glDu-~vGJoTH1XQov9gT5j8b(XDC)Q8zygDlK>Zu&7E#$;RMD7SWBv4J-c2vIo zxLa4-*CmgMeMd&>Ot0%P3zNG14n>(ktgm0lgP7nc?wS$yuV@7u?rIJW&1D}o<8yC}npkoTpS@DZI>t~9^P z=)E5&dPJ{7KDJods;_3SRGr4wPR|F2_*^e=Q}PczzZ$ni@jIXT``3teDasxsonlrU z<%?i9l%&0yH;QTBo5z==Kv2g$2{ulb&e`Ahh>6~<;6jo3=QXJP_lHmZG}q&otTbo+ zOd@%VM%wRe-}n3|jO##eZxA>Dyym^U{P6$w_mNn9TypodQ2w`=%&DU+??ylmJK z6r!vPYFNUC*g!|Qq1s9;h1{9NkK@XDp5 zhKkn2FYTg`!m=r|XII)3i21GJC+%eV(Jfg=hoob_sU9Ynu#(8xb45Ew+zg?(TlBR( zWQE?Sp!`*nrXJW{QP8}yjQ>gX{BA#3wAqSt%9l~+ckr>jB;|sORF11OJ5F4T_QoYD z<3vysMGE1d@VKW^typwZm_R}8PYV9&>P-%Yu1gH1{;&X?wM%rp8PsUsFIOCbahNJi z^OyRH?LS2_$Sn2dQD_L*sjVp6h69F?{S3ubuv~Ra#qn=^nF&}%v&GWJ%+ANz(hqE? zwW1IZ>~CdR4J<{Q_GsOubDaIf;Dg})5Ne6@Sue2RIH%co4Ku8tiZr$wfkbN*jmIlO zWmohvnzm3yQ~(j_DAg;ra`Ow`4mMf@!_FIOZ2}3j8SQ+o;)=l6E3NEgn+0 zRjc;$q*}C`PxDluCVs<9ALtaqloE{j_ zM9zYZNRU^Lm_Plg=lz1%?hb(!I)@9^-}4oO=pI{7x$coK@5KfyzR*xq za!32k+l6hgvU7x7va8I(JjsGF+X;fvc8ufT^FnC&s}f;8^Y}w{sC-VZupRP;N z#m1pwz7dhqIf#I6GtCko`o+jf+&i3HMGXz!H$<7--QGW%AWhb`k(4?seMVlz$_Iqe zx;M7DdEdkP24ejOC%>KwBfjg#rhTMd7t16+yS)755&Av5y85j= zeOOo+uI3X+8SLnFkBP|dG6+%hft8NKicH_O zno%I(X;h3P9_hMJ75|#jl}n1{_o4^P!YxYFM#!QrRryj=d{6Q$NBMKQBegPH@gqK~ zW7gF@(bt)FE&J@gAUqApWf?U*>Fw`X>-VFH$NktHqT(Q&{?&bUXFuvQQ&Z`jvvC?mdx9=Hxc$O+7Lb1074ghp? z^!VEGZY62_JGKhFNCdvs|?cmWbb)HW#|oR2ulR*0OWgeq{`G=f$0fS zh&`U{#7+JwtRK3j(P2pZaK>u zj;M7`O$eh3Q8~HQLi4Dcn7#dyyrysFZ&=rfjHUgD9l74Um;1hTs#NaFF}kzkFE`J; zV9i;t7}bBtC>1ZHT#zL|FWiyuM-|^|uKsY!jJ<@bK1TS9>(WP4U-HJ<#mRRLS85-4 zB&B?k5;^<3q;Aa~@y!JZWT|z`aox@kO0yoy>{uUF`EzNe-fB{TSYsMQLEKB0pslcI zTUdxx8^o>?XkRuxFXLwm)er?(3BX5g`*oHw-S^Smqp*J zqZdlJ@92eFSRVHLFr=@4tMyEOjNSR!ctcpr^a6(2UBe=O})!-BwhD9fCr;P5HB z=28tU>5urA^{uVcFM+?8+bsr*u=x{OviSEkxU1;vPf$d(wDM82D#Vtxb&LBI(+w;J z|6u#_5cU5KHx+0nqM#b7q2P6&^|0j%XW{t7e06&A1EEi({O<84hh?n5-}fRfr62U; zs(t;)uLy~gKwsM0ZUC%j$vMsIz$TRUYsd+|VpmUTy)XitW&guF0fHDXJr1oObo2fi zAJ+uZ=7&S#V_kO$s)hatplEf`d7w=fR_~w9O~`z|E-E=R`KYk}onrvIN${y0ApBDd znZ96?uh!X|=O>Ah{t+WjuU4jcd;1eu2pr~w5hQ~vV5i|G!M-*u3De)d57^Frb)tHJ=&(#Od{Hy~Y&@Vj%U)Up3jyE)N(dD!Je@T0Ue zwamoC1QM3Znl&{v+B-XyjV|Km-)LmZQaMyxDq$+EuTf!CN40v>~6y4 zG?^LSs!qqp$4E0|STs61;Wlai^IfEf6rsltE;oLaFxw~^JJF4z?qs6T4P?}d z3;MyAa)Nz*Q9|v=T!3NNK%>;pgUIi>zkZ9DkufwIbg&r--WQBZw&6_qL#`eb^$^{l zF1qZC=}O>(jU=Z@RUtun3y1!d=joxn?r&BqtPBqdjAkA1h$( zIkLZsHsvG(gPJzeIEYCU=tqDtI6K`qU6j=@NK2u$U?qwAzZiS#sH(QGZ*(Il3QC7` zij<^;h?EF|goJcSw^GufAe{mN(jd~^EdtUd4bt6+blzus-uI4s|M%DtMAbZ);23RemE-(kjE=<<(g*zx7 zgY!`_Dgu@p$TC}PAwhu#Wccgw<5h^;kpoWF2+C`3qHWy=bJ! z`iQJgd)dm%F|$VZt0GNu9|)wA4uu~;m1E<$a?OiXCS1I}j znQf8s#Ik&{N{VG(LUv8bQNx6dhJ~Eh+7BJiPgyV@^73AA!@?gPu*|>@AgdN%(`cr9Hed;ztGwI z1fM*)bEDZXEZU5TfaK`ZYJ(9yu6ox=Mqe_zNv}+p{F7ac#23*ki$6{>cySMrGMX3M zT#-(K3?b4q@*7g+1FMvlIbsQv%pYYk!cEGO4O+}ZF|V&Q z9@*ujid_?Z{rXOFpGFbKEb-OJYLakX^3SpB{6i7yk+0DX8^aq+XO%c9-IQ*S8yX@)R-$-Qf?BH*0nuSlf-q zt?Wn~5=gF6JhG){W3$e3bw_uask2=Q%-=C|t#UcYNlu>eKHHp~1?|xeN|r%I#t&n5 zJNmWJmb?)WaRkIWnJNbW{2yhUXdB={)wm@Y^rU@>OJBaacxGrQi;_#!);4^sdDxWe z=-^O3-4p*~T78;UHS0ZAdYQeY0b;qtnl-iDgWzs@=tGJBXoTuyOM{{pmHR^lZ~lPc zvaL3w;oj{h*EeO+{MxF>+D=B?Ri0Jgo9dQ2=KA*!z-ah{~atZu2 z(bD<2P3TH0Diy#@{wH!fHadDAlEetrJT=Zm1%}7~>2f}y4R8yvyg;99hA0e5ot76> zrUSG;KA0&Y&l}vT@OTT7vhvPDY!ZE1GHaT~Hx!TRA{K8>dbb@7afh!-X-G7F@kW(0Qk-^xE^9s0^;?N9oGC;> z*1VdmM5`OzSOtxlKE#AuJ^GxdE@uuk4ZlA9TqC7~^x#vZca*fOX_h#nUL+HJ+d4lc z5n4;jah5<$k8#)cga^I)Mq9JadJ=snfL&KM+ZJGg*-j#Zr1Y9YlY?Pdp{Ehu8%!)~OX0 zpMi@jUe(6{0w^7DJx-)ZidGy~FJj= z+aJZSzZ%~mqHx8JtBWJ|L&pLYhAt(jucEURQeS_9L4Zqr(^TSykME;i-XUDrr+Q

      g9-DYSP2+1a@3&SkO#U*rSG+>m&eaFlqV z%i{$Q2dJv9#-#!mhX|8qI95?+frI?wGi!u&1{|PBelo-?5aow#L=uON4J4+a=)o!e z47>I-t2*A@yT`4>y5vt>kWU9*2)&1-`1tsMn)3kWF68VW2JnUdT=;T9xB%=;z-I6- zSW>~CH#_e-1Lb$j@({F<^LzzS>2m=7kqo~OIsuU41CsOncp22=t$-Y5^LZ%s(?|C%R<9o;Q#gyH*g)kz>RV7rB6qMud zdNe7>QQva^c)*l^H(oS2B(666^&0`ZOc{el{>k0g0&^&rl7lCEo7|&fGRIEh3v+Wd z*cLxmRywq{oLF4G`7e&KLqq?-mX1ROS}59DB_FBBk*$t8u<$&jW==cFGX;q57-~dm_Z`iAD@FjRpVE+Ff?R% zCgF1S{Q8{(3MI={U*u3r&wH%d;&EvGURM-xWd>tB4{QMEv+L}dn=wqL!&1L&fX-)N zKvz+eeiI8z2SCR+L!HQffJ?2nw|8+luHi`kFWalvmevXE-REPNM*E$7)#-_1fGi&8D`2hD`7+&!> zUiQ5f+k&mOR_sHo+@y^;ptbMZE~nLiVMOHKbG7xH)lZstgoHjsXE!@h6{XY7&%2{g zAGw|2&|=N!wHu~IN&Z00YS>H#Pb+_f6a3AcIL$`E@E+GziS z4bbNvUHpTD-nYJv7ZwbDN&%|l=zH#JehRHP(|gEyX2$dA=$O4g}`94mf~L2uABDuo&w zFOEK97rJLHL07F2Kh7TvkAMB}=O9Rn?Qhhsk67rqr9!SNDVee|v(dp9Qv?VOPnAA4 z`JKOijDO2bs7sHdrm8+~!xZX!$7}K=BGnldJ|B zZlk#7$uPfsQQySGw>C|4e~KKoTV!lsw#8vEXg0*1e!xMCBR$;gjp%6UkzH>QCrOkG z3I1ZYxys{r76gMKS=u>2U#X#-B(j84g{uxvtF~h9nafO_H~xW#756m;2d~(LxNJk! zHlaaaId9>|NsDr=2j=!W^aO1928*WgwGV^r=t8o{ik%J^o>izrqUH?aS?l4nP ziQ3rYE2%$$z6{h?MSv2JlyrRVZ=zNelX;(=am>Ko<#!0#L4~56@>j=+(`slH!yH`f zBp_3eA@bdVguBtllAIjEa<7i`d|3-l&F6-nUbX{l0KYK{l56OKQzmB&ukTE4{3JpW zG{ecSw?>*nr>Rl$k9_mObS=n`z;;i|3Hv|0)bBD0p+#tnl zGqW{&u?&O0ZE_2>@D}kaWb5&}{y;T(%rRQyH-_?fvdQ6S5*$V>tUrskaTU{J-Ns$S zk{CAZ!vrZW%ZQ0a^3+Jy=m_&TqmK$B-^J&BBOov_jDszc@5oMw7X$vs|`PQw8v z!#)1GMVxnhpu}Fl=5F*XNMp(%_p8iTUATlHRmOiRY=X^@Tkg6Y%?(HNPUJ&0u8I zL5ropp;zHcOeUlj5OU3iYKq>nrwaiFwAXxp9wGOrObQQ7C(zLRjkpxls#KqPo6|Bp^6@WKqwF>uC?xoOF)Cb` za@(&v&j{;{aFHnNTMy^4$HWfgXwI=KX!qNHNc9@N8*aJgN-c?1LQG@C(}I@id?{$P zhT;GF`cv18Y+oYBSq#DP%OqL^HA=v3dam#+ku~&4KX!REt;v0!{%OpMd!K%um#s%s za9xz~5cGQx1kN{kUKrTipLO|J7%9Pj$X4cgXS8Kj8l~h`x7e&Hea*Z6h8u0<)9f#( zThP(!`)BT^xX{n$pUnuNl2J$8Aiz|sp>qi!egCSS9xw6A`wBoPw$6z z^lSb;kGE2XZzlFJq*jVG#1wJ0SN)T0G1pgw;}#=&7hx~D^Z4z6vxFHh!SDAf2(?=Z zJt^w#7zvNtMtM<4+Ko9jn)=a?9LV|fUWneUoA}E}&~!=Sy3`v!zHmFr=L0>Cpy66t z^qPV*hcN}*mS`M8j8E5bs1c!f4-c3q#+j}gY(GXrXI4RlTT1FQuHV?r__9qjd>qli zRPy!{cbqoQNinH&idr3u+I{Z4=OJ2cAs2Hw`hD0N=#q3bMMZTJnLL1G7#*D&?VB2m zY%}IY6XW$;fR&>;O5H|c{wl2GnO(;0wuoKqfQI)=D=fn|)=ppP-Y*w+uDw5}Ng#%X z;5TpzyU~hhwXJ4_mNVOQ-*9BL(7kP#wvfK#Z_ve)?`#Rul4AQ>VW8o3+H@35l@%pk z(qYO=5G#TOflz_Pol+ftU?^|-?Op3@6|;}A@(_rWVTC626f%t?v$;wH0{)eaO+(NU zjRy)XUUUoUw+_og#a&f5!tpJ7=y6tZ_#}gsO(BqWg<6RIl zp#M3^YZ~xxxN5*k!2+$S@dbJM~{VR-gmU5REZ~W*Ok)Ie&c6VVVYoe`P-7Vx^M1-48+*jSqt$0?z{dg4_`^jE0;OK zLD>>SMYJ7#sdf&CD*!L#k5K98xbdNAGA?q&0U+(@DkC}AM9?CMMUie{{=&ue?8y`7 zt{6!*d?%N8b^CjCEoX2TyVQ%O4Z9DYL; z5li#b3zvist%RN5{4<$JNxG`8eP2H9{M6@MBQL;=lbQdkK8I^JFHQp)@At6^TcT2 z*Q8jH{Tf- z8tGi(B4$WL6S>yEaR(;vr>D0_?9DwJJNixNf0Jq2QBU6uVL0a8f8`oqQB?GEDzSN` z^Z`+j^swEf+juJ`A);zJNsqABkRA!XwW)ormM>{158NdGmsI!edARjA7E}q->swjI{gEf zEY2a|a1jkB@wIwLD}O7j%RxPs{HwCL<)GM=8QauB>nY1Br_>)4ML|U9sE7b@ z{ZbOVTUQ!3TRri2;L-VsGH~4FT7O26JR4KtVQre_BSwQQH!|^Sd@Re{dL-(%dF+?m zTmSgh_tB8o_Khra&q>%$uo4&B1G#7GYjUFdOzu=%#&!y?`~A+0jIR}PXx05zWyDAT zPI2Kc+ffkjy|&oq_fA+zL&UCqs!-~4GyseC2qt>(Cc)Y7jTbY6fGQ2^V}4-8%9eKr zE5gTDDoXkejUNEZLRM(6J)>k4 z5M@V=xT|I5Igk1R+t;;WO7~2s3-!9-EpaEF#W|YmisYqQpNu;W_KF^y-uw`gKPa0y zi181YisF};f;Eh&H8xz3^-Yf>0b>o7LT(&KG5~1#v-6NnC9bOoC2uj!!8R%$<6)jy zSq^b|^XC2dUZl})U4}sa1i&%H{5C&7PVahiZe1__<4UMeBYOxypC+XwM3| zQI<;7?EhhW?D}Hx8|GDma3|fvHZiM@7znMW*XIhMW>9OC#6ffZp1M}>U2xNzBus3;1IoCF zc6c6ukb6j)QLR4sJCCV$s?69bc&s_PHLdObF$5dH76d)<(BFZlr%gYv$ha7Yu~a^< zA%Cl{xw*Wsa;7;lrbN)Kmz)nP{x#Q^+c)UK`pTa44@Yp ze7uM0$8b2yiCsvnwI4A6z&1d>`83vLS6crxvNo2<#A?d6PdM!AnZV$A(RnaQATXOb z@stq3aqNmHQuF1tq>bnw8>j}U7NlV+jGTC_ChTECXbE8?0b?Wa^S{~;k@9R@YV$BK zAo@#>ar%H8lseIb((k?SDLLC*sByIf*`~w%GY$?ltNR*@{AFmA7!7rGQwx<%AASMc z+;<4y*sAcrtWqtpyn9nVT<+47eqXA=nW^G1t3SQ0;I-1xSsLe# z#+Fwa%i)D#b6DH_+q;UoG=Fk+O!!ZYaIx;TkWh6~#B0wyai4i|5iY#8UhA7Iy@=Xy z0(#{b7`xVE)&5~&SI|1@>g?<`=B~H_zo=VJ{>kvG2a-Z(CsJU_3z-`>GvQE4k3PS@s}U^ zIRa!DY>92C1o0Ky2{2B26M6moRJ4k@e2%ZuixrjxF>q52p{3*OvM1I|-x4EkD8dkF z9YWD$II#3WtntYehVM=BggcqLVRmc3?oEcl7z+fe0qBDFE==hUbZ9_+*%OEXH@s0a zQANY!TD@k4tgJMG#>HqO=kd?WG%oa=+Yz{u`P*wltHBa>sxg-kQ8LjR(Y-!)h*D5Y zLE`QHe2o%q*SJF7nnnwZRj&*y_Kj6=;+XPgX zo6HC>=1CTEz(>^7xD>wzh5YcjyG8M4f$oJk^Q}KVzPG-122l7cv2$eQ^6qD@}Kkov_*I7 z{`Nwr8jq0h0B%NEW#!7^?<Yp zC*UoJeQ%lp(zQkU@IACUM+c`W*&bA6))uCxyNZkz(llowdwqb~g#2r?Cdj-h3bvsC z-=|fQC|*+0%5d00k^S$$ZP>E@miFTdz&V-xADa=fL))a(L>g}HQ_|@3t*ayt8_mw3 zNyWW}TsIj1`VsUjb3ic+x973r$=>cPjy%Hucz`a+;l4vhzAxO&khBYUCb*}GtEBqj z|KW!LY0UiU$ZKo(Cf9*XO(-2+fXV|MNE%;gkQJ&}XiN+d((k%(=tEaVFWJ zL9L*nfpmtw_8nw3Z*Gv~BEJpPC-MVeH14teyIS~SOVGNa*|_$%4-r=;+dXQZpTEGv2bh-g9`8?UH*;&Px|#GaiTwkT9p(u#GUbse8Ik`s!LxfRM*kn8+lv2>7+cJ}`{>WayE)?@(BpMXC2|k8 zDY|_~9wl$+FwD-cA05w*=`vCn5bB}|VXPj0sgQc!r%O&^;p=l^1y~QN0_K}2Sfbw| zIsmorY{T`c7)is$7EtA3yOfuC7Dv>0=3N-I?!Ilvl@X!r+?;0jTlLaZ>Y~ z;L&fpz9&b&!=H`S44@#`Vl>rHz6Sxtx*WPT@3^^G)IWdHk5j{<$3)yB!$W3Z;cI?4 zHY527xt8Ul5?uW=bnqeY`#!x$iLs50-#0SEgg{x~@}YuJA{6ZuTTj1*%NW}kWt0>g zCK<^4am+y42)!Z{yzHu~Lq(k>M`D(Iu1=Ol8Qhy=??ovuxgxaK?1qT6C;dUxx9ZO^ zYx;m7KlOs%Fdg$me9!CsgTo`TV0ZVQaY6}o_16muWTJD<kd1?z_<2NV&79za-(BCND$;N@@uiA#|>a^!n2PVV0s-6f0*sYgXnwh>VQrjHp zfB7bUFU}-My~ZBoQx|7t>;;f+^_K&ZRO3qefZY-o_c<_l`SRr(c7Y^upDyWf0Kh(XV5{7s*^I8uYCV!1cF>=v0zvgr*U}eW{s12{RREW(#RZ_^83BA?X zsQx73OXOTc6xw?sMU-12*_+)@bhjBDIgb8badIgG$>#d(WNF5;k36Wf_pga~Ho`f3 zc2Wy@(*&Ej)Eg+uB!M0^jxnV{V5F-Aq) zdTu--uNWwvh7`sI<)$ll;1@TYB=FmaF-K$h%LL zD`@!`LN6Sy-c>j=uy2fVB@|{ZyZy%BQQmWmX+=M7{dnda=#(mow{hf?w=lO&O0j6S zuQ-4}7qE34_pQEVCo0Vl;Ux~%63HD*HnY`Z623VF*7--V}{VBWW!w+aLwWlV2=MAjgK5(E23CU4-#mvqw1pcwvE_dzMH_5cQ;uslJ za+J->5>F!zSY4?Dyss*1#HEYcGc*+XjM{6B6xW680>5&FY!M8K6OhZ|6hZ`Dk7(Fj!{Kmj0H@M~2+rR-X&;fS+Ol#>N4$qeE}!hO1Zrd%{{ zYVJg-0UP^+i4tL=Ya)b8H5=w5z<_Ag$4&0r*xBi0XFT3M+tI)zwH$miR)m6pIrxpm zr?e4>l)OAk(5V5a41k}n$H}lgcmPAoowv_)>s=gxHx7T{uCW&wSi`|a3zi{G}L?Sa=XbSiGz$WI0zzS{X<&Z7#p3d(b`2j9cd{9h~pTT9ID z#{}Fs0mNS#v|Qa}(O4HN5E6dFu7-I&=;9)$%vPU%( zZt&P9$9hV=$Qb>lg7<-rb^E5CqCI(fZ&vE?W*S|kadCieVHGSB@++hKW*80Ue zGtqZuO}+QBDb}|?-g>`xoyLcK(J0_GE3u3CDtT!u@E$TUWE)SHh(iT1bDwo@P0D`! z$a8gGrf1*t`PfAD9KU6bJ3$o}0^SvSfqy@%Hb?Vp6Qh8Fpwcgc8mJca#(Bid`k<`wj1fW@YF)JlD9ZmTroTc~s+E`Z~~$=%d)- zfrBbD4^QCXov_B0aKXumGMB{n9ch>!6JX*>Cyw$oyu&+K*6ZKi0rSaCy4teY1@4$D zhrQ0$9Tql14WY;Pq{$zMq9QF6a1Y#sFxfc`HAH~H z31Jj@+KzW`6Pk%$Qc~*cWU81He?NHaaP@&DU1Fgk@{f8;Rdq46)tvP`?l0NKHOjQC zlx~+hYO@q1K37r3h?C3YirZh$QQ1cqoi4t2c9V;!$$6wB7of~oCzQ%>V!lW54v~I;Sm6()E229u2ID>0f0T&G+ z7V(hJA~4AOzAX^}hC1USPJ!XOEy2*{8=?s$$f?ndzdu__i}>5(_`_(8nqDtGSERlk zPvER}gPC~)iugH=xmc8CKmpFS`Qg1^C``}W-Ny+qR9B3Zo|I*Xc#kIXaeG<_y~6jd zM_I2^-ej-fs7NrHlQ9Qb*3SaMQ=3tG^5rU2Rn(egWm%ndA2HDZFX?M~i1M@IX`4}# zs5N_*?;}hUriRoJ?^_Veo-7uMfk}JZKPDaSf04^1gg3ZIbAzrOd-jgyHFQu(ivRTK z!-$UK}8Jbw0} ztS%b8H*Ip(?B_SX^bf33{lAMu{h5UEuTo)6WOp0p?ofIW6AxQDo!9%GVx@O%?v|)^ zpKZTC5U73kJYO9jACGxp*|HzmpK4`UKn`M9M(6RemDyr9*A61TU~_9%6(@ z3}-o1zTr!4qcZ&b{t!8;{r4e5_OIx_h7h9xg$Y@X%EVel)x2Og`)6n$#e^L8j1^w@ zEC%o+aFS=+7C3t#*)-}JZ7T>#;0G}D^DM*54_=TXudi>%F&LABTeV!B0^`K}Phl)! z*~9BS#-*7)eR?nNPhamvc#A^Ip8%s8&X^AobvP}zkWA|T*@tFNQ%%`f^XC4l3n?~1 zH56coq_qR2<4ZZGanRCaX=Ps$6A}ub$nvI9;Wo{D-ivtTJ*wMbMs5)OVAw&6od$SK zz4y}=AQQcXW{EAYW06}?*vA-+aewT(+_kX2G({#v|9E!%>^E*S8?m>#gde&wIOuWa zqx9;SVcPX!mXRXblJO?O2FJ;#)s?3uclN+$nGRi@;F#6V|J`cmQ9H&xmnXKGIP zM)BbDZP9?)Lf<6cM-vkCOTl|=H2<0+Ef8ffE3{V0C9h2T|4paB|2XVvh$3l`5fzq#Ec~ra5Y8aE_ zi+Rl4;_}W7l-nQ3f1;F@=Sgxpb>Yp{6Zue3=_-0F1+CZQw%;ocVI1clm#+ugW7^Y% zj?@dnAfI`=QfO5e5jyTXdz1Z-hwA)p=*84R!TExxtUvSNyTQ`NtMlIBO2YkGf)K@( z=;prDWP9{?1^O1QbH$_$NlQwsYA0=3*(3@Dqp|dK;lX;7u&F?QyUM+GZ8hc@r2PN>)YLiod4Ks8_h-o(I2P^7E!;~UGKPs`H51{g7hDKO`_Kv z8fl4%i9q()+T2V?l3bvAMS~ZBh5#u;P|E|2tc5>L=H;ieP2S)txW2VjKNG6F4t^}v zki`NQg+j>9sY(!lr+>ib19UWFqN9ZFB!o8d=pzT@E^@9y?Ct>G;5S2$BK^GrNE&}xL3Cf&djyL#G z?iyaW$Ygd~5N4eFZHq8SR>9BStc-I#Mp>eJLDR#{s5`OIt4WXJZgBNq;ugWA*B2cU z8zi4wT3Rm7j*@||1fY2=!MVJ=Jh+QSgOX+V)V zU0oanB+oA_fTk!e0f8ZJ*kUd#KmRcREAR4Njsq-2=ia#~QbOHZr!mKc=Y+apLM1=g?~u}yvbbtOBUFyRQ1 zn=-&{Z@wk7;MHcpsc!#I0^ui(9g+d5gRcad6>4e*7PR|7BH!B5cB!WUP>zUS8&sFx zaz_2x%A`gZ6N0q*STi9;C_yT@Z_Je!T0im=hjMfP#?G&A1z@IIATiVyN&y`s65Val zjxe@5ZFmeD#kX%X>v8Z`w6+`WMal~~pB-8~9t7=5bw`JM?b;ELyYl?>oF3_6Qrahk zi9n+2fCLE^mwdJ#b)Dv}gJci1uMq7W9qJ8kC&*p{G+}_k`~m=Xy~5MJxxzXD|H3@~ zF>ytPT)E(CoTVCw6;vA#3C2WX5IGPY483^`_q z@h~ujc}UBjjeC`(0O;uX`8nXh0W}&t&fMnG>LT5ozCf$LP@VKELP>-5Gl(}eg0`ndeg$}SrlcSc zfHaMah}n(N^-#}NK0G|6d}Qm>wWQIg3-<&>Q2{yLq}Oj=4&`F%bNXvuzkVsk$w(OH z4x39pe{@$97ab8R^G(BD_xBViR)SIvLQmvrzE1sw9tTni^f(rDY-5h}D8Z7el=Q6o zrm@Qf()JY1#`ea{aq5@9FKrkyzs{bmLsLyBnbIUPh={iTxHCFJjArF`Wu@e>G)#0| zKmrPec&OUnZ{NZ-6?AyD4}u9SEKyj&UTl#8|K{>a>83LP+Py%>qm}EVd(9B}=*Z0( zV2iWMv@Ub?j&=b2CJ_h+VUCZ|FcpL%tYh+tWNNs9zB|y;+4;v%*>wy9VZF7PK1>q! zoHpbFLrzE#03dCir}7BsS)b!ooydtvL%y+gJA^&|T3ki<^$N84CTC_Eo&PQa_7jMQ z>a3rEtUx8`^tNgr_L%3zhOXn*#KFbUOj}C};O9MyE*IzL6?Jv5-dr8N0UizLL$`d+ z0`itGP0GJ1GzZ1!{L92|%yHt#+ER+a26cAE5YvH~i7Mlw+sQB{%;{lN{!}Og|IGWdFzLX^ zh{J`;6d)%Q%JDv?T|i)`N&N)sFWT)7uJ%?jVKf0LjbFSn4s9>6gM9oAGOZ#K{acUf zuRGe?PkKhZYC%DWwUF#Z1?arB>;syweO65XpAtv->i!va^$l+uuD!~f_g+c_T)hs~ zY2GFT!fjw$x4p69Iv;Q!n1vB^lAAHMrw-cr&xn7ieC4=%8?m~*_Q-Lqgu{5?ref%G z`oNHo^2T+z1GUh62c9Nk7DPU6tA8`IASGjA;xH2R{{4F(x{!0>pD9gynpdbHQW_dA z0p%=czuMo<)7{aLI=IG*k5S*yFnwB_k3M1^}B&0O+VotGULHm~w#wVzq70 zm)N~MW51gMa1_9r>*cE?XPXbke*7#!YQ8hNmiZMQvVGnAfkry(J#9p8hatladYy`P z0`C6<$Eu`IDVjL*TRX{Z2?Hf1@W6ZfvVX5tYNe3CnVywwJ6vA)!d~W8OxF?;RD7@i zyV#E#J3BxB-r`pU5}qZHHubD-RR`Y}&aZHB?Us9DuA>D$AnK~jd;z@REnJ(t#T8Zd&*+d!FfTR4 z1Q;>BhH(-gT@-bqdA5g-qe4|Z;z~FknRfi@2P`e15+8Z{)5Ub%#Pt)S@pL^rBnaZ) zO?Tt95P8GjUS^>B+a_8XG%|OVmnBkz=k*fMjAgY65wZWnk-D6;TR{Q%PsjPigHyJ7 zRlM7{quJ#Smg}sM9jr{wvp>7n^KXD#ke0_mu5P|sF)ahb&(_wD(iDdex8$YXZvTFS z;CDYQQ)BhGI2djFy0CwED4l_Qj^^y*BJexn?^mwD4diGLKDr5XCT(QcV)A7|Fz!SG z)EB0oArj~a8KNhwzq>|N+l{$_WHQX+*p?}+w#9@HVO*^GCk;dUmoPiRC2dFh}+<|1rrs|)0wLaUGJtM!;Vig=gWLgjrBb{ zQNUk#30lS^N*@b#>dnbwnECmu($e(*g^vIBfiW6U(DlRx>fQ8oBC#G^*Qyfr{q1d` z)bP^F;wn(6_HG&*o|^ofN8-oKc^nSVr8pS^qUG|-V*U(815cF-EJtRRXvOUCfAUXt zb<*fJvcBAeD-Vxd1>}#z`eF~P3~cWQ9R#%tqT$&l1Qhof7>t401}`uPRm@gSHy#}t zdtPT|X{ns8tY2)}ys&n|Ta+3nYuG$Gr}@iwTyEFt-_>^cR7mcdYn(|Xf+MhlD)q;Wk7gb$$nI8OP zp`o8cwXf(ehm`M#))hW54OaNof=Np%jut^pi~1?qb}ihS{G>Zz!grfx zR6c4jhdnTvVZZux>cZ30PM)?|XIh(>->Il;m9tUM=6t<8_el1*o0W;Xbj``j_e=Kz zV|3>&dfz~4hkNFVTp5La@u6N;~rKP2#1I1wm8k(l|PY3k$ z^xz7-ytKp=-3gutpiIfGR`lKJ7R-Vd=I4#OVWOXTCL z1S*TTL^18r9x4J+t;d1&Fi`yc`?tKoQFKg9 ze9U%!330k^)| zPV#@RZp{FJWthXg!p$qw`FGRKIne)`$-^a1dt!%UnVVMZVj z&f^sqe4>Sd>^}S+o9pve=2LdAGinc2^0#w6%c^nG25!0MGy@74TJzw!z-O1s4wHAJr`6mku| zUR(-YFdsUH4bS$wDXuN?1)^#-Cwdu3UOwA@3X-bN^JD zawh&(_3?58@_xe0nK}#>C%mY!7eV$H`C5E0i1qIiFTve9=I^W=&v@uNbdT{9s9&O_ z5!`u%p=psyAjW?=GGdve`Yh!GqZMTz1-jk@5ej@);`h0-{2qQ58gT{7xMTKp)KyBE zC^4?Svz#A4emME?)~MQv;Gx5nF-)sHtdPXEAS272G^-Xqe<|}3G4=7s!)$W=u(klL z8X3m?JH#=n4K>FHs>D7x?4cw_KVpl8buCm>J@)=EJkn;kiXv2GBoOnbdFq>|Q|~fS zh7=Zo>JIehf$o)?m33S!7u|`Z3WHyQGi-Zoa{m4y0n}^PF3(qlbBEU zcs^Hr2P;pn=|hd@pMwKgY3X@AG`Jj%-oe3fcfFm2gtXc<=Z)!*bTLF37{ic%6dQoq z3Xr~_=qfjMAJHi#BAp&ywV$~-3&hc1T;e9j9zDy-oyTnk+%q-i_uMOrh35kgyjLq(|dw4iqY65IBX)CMzh;rzMf%va> z?Yn%9ayZG5l`I6Xp5ETWgM&LaZ>m*VC!!g&g4}ib!1>PAW#Jq^mv0oUP#r41f7ULqkEhAI4=DQ1Af3?N>#)@DuC3 z7kmX9y3g|uSy@@d#Kf$BR}K7F0=Cv|1Nm=qi5lA4R>$pN{|fHlr-HpuH3I%2Ret}j zx~90eIM`utnUGwn%{mitqdFY!!S?Aj*|K;J@?p!k@!%+NH zRU!Kapo}Bt58vzR;sPbMYUUszF)^8XNotK0IgJk(!MuK*dU*g#BRxI+BhCS{Rc92F zM*h3?q3qL2WbZ{r=Aqk{*jT_Xv;0xBdHeP`sG7ziHvj-|AI7z11Nj{|#NcuZ*TZSj zOAcl(BLy`%d5f2=gIG_L>nU6owMLYqqwnf^z+we?1khQ4Pc$3coI#)33k4MnsNt3D zx?P>GSxI`bU1m0T2|RF*^FM9yPjx*`me8r(^p2lrC>j|XHyln_AZvV}LVlBpD{(!t zrfC8D3Ll)gxjBrFX)qL(`20}Cornn3s^M{ij7G(udk2;3B-Rx$KJ097=WzS-`SbGD z)`LH4B+ye*Q6XvzE`ugC@`Bo**A~uxiCZ~7KlvLS9StMain206Fu3S?QM)OB{fdken%v?)QYQXNM%|1n{^f`DXG&4RydwDi}c<@hJGn`kEW$Pf^HO)5EfV9 zkOg@ePcSObxpL6eodK%lx^Q3^^2Nvc2t=5GU0pd4UFOz7RhZ%+KqC%HPSE-q-=u#G zT=ybS2dOJBDe3I)epm-yMXAympPY)uCng{rdX+p`1AY>KNrJW3!FdybkjdRI4lB-iR7MvHT$o&{bob!4W%D+s~p*{qE+i)D|;TN@;`Y} zNM|d6`s7qilPUWx!fG&WIUUaGoSe>%j2-DIPy=w*BY$3VO3o?Hp+9p$FOPV zoM|zaYk;9Pb74O?Kf!(>7lS>(fo*11EfrvPw7rB)a=JjLvc3%O+Hvsj2b0F#Sy6?~ zkj+IFl7Ij!=NtZ+nWdSTcA_3;U{d7bLOG0;QvRi5!O7YAqpz>Akx}cL~Yo?@vH0NQ9!j|`~1dcjj9Q&3C1t2WgN=sw-y(IpX zFnGLCRgQtsOS&8E-CJp~(yINKy`k7N58iRm3*=4Ow=d#cgbQO2ajmJ-(o|OFbtzlv zR-e9ikI<@pE!bt?Z+0fD4-Q|{w3zq*VgU+FxN)()cul#&(v_L-%f#Xk5ZHr97RYh% zKE}&TqVv0gcQnUmlNJ^mQ+ZWfP}MecVIYIm5!E{i;D}^C9Jw&R&{wemf#B=og~HV+qZ8cx$|Jw6CA?< zFA%qYV**6*#r(nZ9BO8L5O0GoVbR*#*`0wZ5IrS}L#4~FuTt=QLC6jHh`%e7E?dWv zqbC+xTepS};)<;f3c%j9UpL}w9~}Srvom}!ZZA;v&%$1Cu%x>BbqQO6hK8h4zr%-yt2zSn_F9u7b0np|dLd1sXk|O9yiCkfp+QWROpC0|kN$$v5=} zBk&i1X8^+p?zgidbT3B0Z;q0XaA|p&!3Ugou*}kLJ-ZKe%0d1(!WMpLSpQ}I>18eT_mf*K(PdA%O`kq}2dn&Vsq#&W5 zivL^VIt+L_)|c#WI16CXfXU29oM+EM&e^NVqklCGRMgZQ4s}>mD%xGT_9(35tQHVE zi5)FOYm=#_JhWYl9pPe!rgUS5m0eP%9Luu!WeAGrkIYV`MbE89>3Q))7?-167*lj z|G(THLeh$b=W<)bme8rQ2f0Yje>p$KH9~4>GOc+|;r~*25k3)0$hP4nLJ6hBzgIh| zGx>j?Ilp{)eM+moI)o5IOpNz`imj_EnKSIOZNZLmF;YS?PI^teGy?IPH?hsVJ(3wS z#IkS(t%5Ew3VVoW1RcpaR(|F?^k^T+%&&b_pdol#a*sw@)W>T#g?IHRKoLum`D=P* z22WKX28ZA0{G|u6X#*oqM??A!$nqn43vmKz#y{a?P>YE;y(HT|6K5h6`)L2WqAV%k zFMq^~m}i&P2l7`c_58*OmphRE6Q9(+680>!SD$(Vl{nO+&*@saRRA$iYqKB`E&Zxe5c0dif`TM&lh6o<8)#@+jUL*$!yac_mC%W?*!S!1&UuxK z%>EPr5UkL~ZXyguqsL9u| zvZ3Z?1JHhS#rGe{=It{6%`}x6GP?>V6+7LTP0tEkeu8NJV&79Ha0N< z^)7hRd772I=lVwAFb~*1(3+@$3k9zi5Epp@h7=$|V?*GOUlV8g^x6Q2pImdJe{#w9 zKnc}>xO*|Zzhw^0zvdIB&7@Vld0rdz`U^9Ug4J`A##pHoX_Ln1IVhGZq9$_wIA(|J zK7Q(aneY(I_{@gy4NSh1{%Eg=h}C~C2gQ!0WE)J@b{elPAyz@uF`Jx7rsO-xAR9ek z4`x--*4|oQpBShW0$ndACaEhI$dZ8x>>H+~tqo#$xqSr^}}qWqb-I7IjV zr@AYThq`aqR4980SyReVNXWiTb{eur$WBO%wHQJrlD#b1X)F!0mbD1U62=k}o>Gjg zSqsCtr=IuoZqIqoIe(t%Q*mzAi|Aq~-%Z9dXxzd{SQqWb<%?g6We} zAU)&Z!=&WESmj;w=FZl83^qXLL5D>q@KIl28lsT4dJ^|`|A-KWZWTh(5xS-+VI6y^ZX< zcu#S!#91={iVV-1VK{s6i0w`}%*<>A$JxSygPYsK*7iBTNN}eBM(rux*!*HBoy@zi^Y?>6LqX>;vC;V9 zr8+S?7ZZCYx_fg^1h^(OPvbc=R!-4$UyRP8CjL4Dj>ml6E0j24-br9s-ck) z>W^2qNwzc3YX5NZ&TcNNuJ$$c+W^twpuVzVr}O-1S?OBv>#~zJWw*Kv|EX}e$oa_K z1t>W9AuLPK_Rj*|4-AeDUO55h$l1|x9n!F1J_TBvkSasKP$A5|uWowkZ>~%^*sd9@ zyQ!77o%NBuyFEKLv|osSHH4c<@V>3P$H-(!;nhNl$hck)+C#sVZ)BFr0*_{CLmh*o zE-T>9!s23}_-=kH!lada7|XroiijMm=un)WUmWjJ2%dh%*aC4msJ>%Xf%kWf?i5Nj z@wB>2-Gn@%1)GYTzbXOL$LpiE*5{mqtQ{l_E?s)=K6gsKau^ASgw`mE~i`!7Rr=+Ani8s~7OPmk=F(1nK zd3_}0L_{CMy-R{D59#Roa zDz`5#nzzofzd+R;C(9N;Kvc@1f93wL$Z18!+S(fK1(3ypUYde}qNh|;{ zvtPBf$p#G5VomX22L_8nSXfZ&zJwLn(r`xAi<7-s%Dg;0*8}7sx(^(08@Av0XlViR zpMj@|Y(ssYY>dXpAH&6U7gS;xI)8fU*|Eid@{40+ovr}M5pIUJqQyPot<7fFxPHtN zubD6*#+15Z(81`&$X6lfbcmsIV|zf>++kn|H;XIw&!Uc3-|Tnb(JV4Xrkv#y6eK_| zA5fgTA3su2lYXxiUY?)T*w~!;)F$#YVWCy_Sq@b@FAwMzA{oWR^oxusDJhXzO2Mzg zDB7FB7m1yXP1?TwK}5s>zBfQzn?5rqt+){p5m1B!S_@w36qpVk1eP7{#m7lW-A`m! z!7>)ik2+w2#Z`|#pm)qgKzP*W+{`GqapDf<3RRoH5t=^sFm%?Rr_&}~dj0nQfxy;v zsDxBRNH+vZJAx4i5jZu_DY-VpQBheL9u{`qbAs#e;dpS70#V0CVq2kcG0X+FL;VD9 zS_~mS!gs}O8;RMm!zfIq4YO)x)m|N$U6TzM)f;th z2a4r^@{%?Qkh(d)a;+>cKYNsD7QU$5kL+|Ip-?_G{6=bNr}TKuqk?~jHo_6q;{7!Q zpIk+@Nmdf6Tv3rP5%!cgc&$1%R$p_E#A8@srv-muH!_#JsH~J8hE(b)uP^nnYg1lo zbF2Mzti&QqljoAc5q4TN{AxmxjEp>kltiUVI(H2Cp5h<*J?yyTmq;u%Eb5;BMGljx z_}i=gqGW)S*%!#AvcZBqYF5aM=1t&ZGM&{YdZB+IUC>?Pb zcUfzP7pQf!0FS00@E{14t=+)7Ey_vU$0TZq=NmDRFrpUuHpM(!Uvu9RLt1*vhinp& zjLcs%9d6hpBQcO@JGZm6@7y&yi-|BH4hZzfm^gUeg5Juks*OqLP+Oe4c77;v-v$lA z#sw)vc~7Jx`lwpuFP?eE>-b~Q=$-LzS-aSqUfnXpNgFN+(G~v^^sdWnMQQUI@&4{Y z9Nz`M#gD0vA7h5Dp-?CYEiQzLljd~tJ779s{N#PAM8{hasZ0vo9J&SuwRm88q_!?p z3==4!o&wsVxBpA93D9u&CQJ+x&|FULx=|c^y#^6E2XpZ3^?l2@HI3xZqAP;CL|bITDm!S zT&tFYvX_LmOtI9z{I!4dTV`5`y0PyZ)zkDNbPmMoDiBQfl2n2S3 z7oY{h6_kLm`^Ui*6*a1>r(f9t?n-eu`cqds`;ja)>Hg|%h{CIeyQc_*-P1Op$+t`_vbHf1s87bPlRJJmAbVZcNc`C5!aj$7 zsaFp+&k&U|;44ONq~dJCh|K?vhQ4h6mqc={>tZ8--vJj%pa|gehWzZZaq3AM(u*-S zho=Rg_$IjE+aC{3Iyt@=eb?1>8ak)TuE2`c*4E0Z*Nl2*pGi;uJ5;Q;U!wc!qA9M2 z`qtN!Ft~86z5&5+_@J&+ZPYAMZEIzCL__y@r3n6H0o=0DM{P{fYy+z5*$oM6fP~iy zUfOgwXa!J%Nb~FB;%EGu?_Ro+Lx*Y@5jh~B z-^-t|v>-JxFx=Gt71$Jb(Rora@Q@Nm)AFMrtZ@|r!fE)xU~pz;W)nze9;KiU0S?YU ztV5b3po8|2AP7N*Y~fZ*KnLC3daZZ}0~pQ_8l_DkQl{ZL-STjsv*r63k``9tWt`3* z@4mty$PsnZxk@sHjEd&>389NTBe~j8{F`t-#m`R~@&oc|D_>8S<)a?`+Gcf4P4Bzj zrsPLX&TjIZ&hD!3l3CG;Cr;#jZFc+!H$7b$X?1~1gyV7m;6-qad?KXpq5zluoxRq%b$PWt=$U8u}-g z92MWDljOX+cx6&AadlAxL-3V*E1D(s>a6gP`{%wZGkT7q=ECHET+Nxp;NZ*jYeA~d zeJEKhu$h39!l@X*EEd4|1F?{`%Ke`!_ah=lZ1!SEH={;#>p$|w{bB&%M!<9wkT`hf z#+#O8oV9I%0WzHXEH@WdnpHa>ljSWSmWv7tU9=m6)`!e|1&puXhaCH%V9{g?Eb`(- zDU3OM-UvPIPsro{Bc+X#6U27ALGyX$WgK7ATQbabAu zw5N#-K&wsKV=O-emRADsy%i_de*;xq?Y{!mk5BBz=A{k%dR-;!C~HltcS6+BT!|mn z`qWO;_SY=rokBmgIuSJ|Rk0u!-mh){%n3+?{3+;&&d+>X?v@~aq}uShQ7&{EH-Wqr zfJCi=8-TBxxE_w?TmHGbrc8=AsRlJTYjvGX?bCZ^(hNFMP)7cAPQJc&VatRT({TlP zBK_i~JeJ*O%iDFRz6SR=AmVMw_WzkXE+RD}fdNiPOoR|^%@__TsNgi%qT%)6K?Af} z(dZ^XAHY^vCUpE9UqWxfq+krM@&qJ~gH?2l=pz{0=HyI=r@FB)=r}-3(F94{Q*QLM zv=5@A)5YyI5Qs2FJ5b_5km`pjS0eh^_?5v9B2NxYPs{`N>P=Tj^13hbsw!0wL81Tw3lG& zoZqEG*=_`SDw00N5h%$oUkSr2jREoMPl@yTR!~$#Fh< z!BsFOsMdqmxA&B8@oxRbNN})Xqs=n=+3d&nG_x}4&s$qJmp`wrC@(L>VuN>HD6CGL zz}AfQ_ir?hG_7nWOR2|pA-S42_BI64C(*VS^zi3WyuuIpiSk=#8R9Dq=Qf27aUOq- zw6U%h&KuyUX9pzK_5QtdLQuR^29gbEBW7mYp=QW;uYpKp*Si$U%vJ4evO6L!i*P)KvSXz?#G25iB z?udv)rKML_*M07AROEKgc;cQF_%sXsGdK4fO%CvHUA?0D8zewEE0L(+oTtw$y=&~= z8eU=y`jbak9J-yjTl9E51OJkOLSHB9G?Z7XD>^)i!Y_U2 zKE=$9g757sbo{jouh0O=#~ai0WDD52YPiIv8TsV+SP&t1oVUKqtk@|y;tG*n#aa@< z`(Hh2s2A-U8uE(6td`pnfnaAll05!0kfE)iKMgVH=LyB84galnKsqTUSJ;yOVew|>dVzzZ+s9Nc=Kz& z%YNTWaNX6+$#rjY_3M$@MWn{&pl)E#UW~k=JSTAS3L2! z8R;VHQ>>FsGm26@0mysx;3b0C_cb#=k1JGDUSyRX-{gajFpV;;3Tubk6)MlqF+bbb zDTrpwonYtUd&3#s-X!Poh9-~lWt|-KTgQX0=5iK|S#bJR#!R#FsTCbvV8c-fZ&M6_ zt|>UpXm)d3(vC}v+kk16um&C5(4C-Qp~md&s`B#r^e+!OV!Qko8VbSBt*k-OnqBH` z4yLD|tVv)0{q`x@6F+q*SC5j;nNv9Ehu&&iSS;RE=4M6^?tLKOr1AcD*O!(EUmRw> zeKW8!L2V7jfcov(E$N5fNJUaNE%YSf66^f6j`9dDj!gp81RHORY`XVpDFG3Y#{!0= z64dEF>78Rgc};CmMg8k#q)LRpAe)Zr%&U{nG&?yN?4ZPqT2V`8T|JUH(K2P$l9zRZ z{rDBDJ5&2F1zdDcWKmyk*2 zJW#GZV<(#>p($oak>K88osZ~#bSTZJW&hfCs86o3Vc?U7RfZ@d8jtY>MQdKerMw3p zjQP}Bn=fd94>~V6Pk<=00X#{l=$Dm+R0J;V<$A{Ltv;l$dHFKdvp~5aJ$17OdyDD) zAEd)eh@(N_N=sKR1%Az2(NGrdI+Ii9*n`L40wPsNNC-qAgOq(gLEKp6@4YK$asblW0q5 z=0NMUPFWIK3vmCkv9Y;TkA43986Kwc^771rh)9ymNK=!B?~I5DNTDPa>d;=_cY~uh zmn{8-AC8gsv#t`!5o=#yvQe>QVr=2ykV*;xfG8#CWP@m;r}PHEZpg7n1)vbiqe$}Y zAg-5%WGLS9>oVJg#ycpfyy0U4cwh&v8LGq8l@(HYK!ib&acXL6S>whSP{V+3*|@o3 z@=F1VKRf7m!pjFs|9(nFUY_cw9Cojd9bA%c1)=91N4A`hRicrgZGvk@95 zTO%hlA6|js{>rw(`V}~h(BBFM3w?Nw%=BIaUkFeqZYdxk^&Vsxww*xbk~tEn0b{(9@|E?;+^nUUtqwXuemel>n0 zrIUhZ&aAE6L=RR@xJqB&>#{Hc*45LtwOdLg9?n{E!k}d!97ibWNv=b8b&HLQlTVvL z^9xiH;1gbuP?XJg34)gEHn6HW%m`I=(wY(K?&F@&QsAjfMRgBIL*2(stO#Q>GqCsO zTB#aqD_A0Kr(!LV!D#ys4RGszguWaS*H5QBR_|X1TLqbo@)qF)l-{;25&jc__f&2l z$iTUvmp46EM?8(fN&9k4nmeWAxo@pmaT4MnTs?OXFkS(z#|TF1oWg34-8s+-!6?h! zT5@voQ)lpOHbI{j7oj&XxSR*F28hDBMH(L3#fHe2Cf-Ie;)lhWw8lwGS?EWft2`Z3 z>wL+9QVhIG^B~z=*?r_w#{@?$5CHAb;Y%w$?Lb zD4?eA@vd-jb5qj$K&y1u%y6K&X~*pP0MW?|5;IodQVWLA5}?t!&R%^5@{)_@EufnO z0SzsW!VefOlZPgxXUMi?>j62q>afMdzj5f7#M9ndLE;a%NnDcity6mZ+^t?DUr}dg%}d;`mL33%vT7neFox=*&bT zUciSbgSn!+A3Z)*^Kk~^*gfZ z*Uxy0p-t1n^ZN#ye)nue#sd3D@%MeuolIf!`wx%N=oFL1mEjQ$S|X&MsMMXP8GkQeLAKiP8xOMe`ohvF#Q3w0EC6zF!IG z5@UM)hk_KhsiD0Ms@ba7Co^5%w@I%~{od3+wBY}v{`T+W>Wa+o|6KWx{rvy$Z+35w Yp=jDncZcCRJ85^d)sbqYh^t}$1=OjR-T(jq literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/pic2.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab3/pic2.png" new file mode 100644 index 0000000000000000000000000000000000000000..3f05b06914314fcfa98d8ce23da9125a859e61ec GIT binary patch literal 102760 zcmce-V|ZoF5-_@B+qP{_cCusJnQ&s;wl%SBJCjU2v29IkPk85?_dVaeKklFV=dS13 zz3M4-Rd@BO>a|x#Dk(@J!Q;aN001OuDKQlQ0LB~ufXIdg|BHDjVX^`M*rdJGv|Ln- z+({jr?9D7~zLC0kI(#Gj=3!|D0C=p`WLqZv=1nU8+{g5VJRf(gkD5R_e7>U_Ig&LK zb59#lQ#14Ee5DZ4T~I@U&V2X(oM!ucF!=nfSFtpxW@X2x`+=it({m@@GX1&s-qv*U z@%q7nqq}wW@zP^CANKnFNcZLR4~y>0UE7(Du&@7)GYXHN|MtQYO-~Pwmw#{Q)o0LU zb=~r`_^t1!&-T!C)MM9y#`tte+>_|t`%qWPQ{Hn+^%2W^+nK5Xb*5Q19wrv<`ECLrh(=L;qKb&Hs?K&>w)}O9UsAXgPUi-JV6iF_h ztY~}wM4AwO*^3wG3BlGV_pp1h72R3?ux7g4YTJC3*X+1+daHETn;8A=|7!BlMe;%8 zKf%{nmDE6y_flI#QBBiXDDdH^F6gTP}u+L(>h6@c==wR%cP!XuiY=_$ixkI zo6xhP-YcpPKb4V;#w`Rx^2;2p7zB@AGn}OHDeS9g=aq)N{HZbD2)`Y$v?K$@xnHP_ zJVTmw-gg;$msc+bP0MY4)Zdgx3U;!v`KO}T(1fWpjLXXsjAiJ`k}9Q{9tfQ#=#KOn zfwgH$#?n-2Dpr;y%StBlT+1rfwkv_|2iKAM-UgdD`ZV zQv8;YeU!(H&l3@6_`-+(a@>78_toIfWbw<-+pNz#LGy#oPm)}Y_RDR**Wl&U(~Td* z-i=D!ErI7*zRg&2t72Dcj+xOdCJvB7VrMu$>qTF}(^bS(6 zqI=l6ZK_zbG?8G=`@9%znSbi$kaxGI?mDBq%-$xn%N|oTt|`0Lc3rO;ePbt%d8wg003VWqD|?He~I}VCQay>ow?$3{T=8^^m!77O@9h ztNT_%L^*=TuY?rYl~gsZs^wlb0Yp#D-?Jts_gGP?EK%8NSD?v$@kD~LcQTg)hnCrJ zgA8fhg+e*Zmoz0^1KAZy|6E3PYQdn*wcWBiM@#EW`TD}2tqjGQ8M}@j@)F|Bc}|H8 z^3Dk|YjwsrzImL;2k;FXR8o1TfoQkSsDg5_{F$EhFVR3A zsj}b9`eq`Wps5IsItYo_hBx2c9AmM;@@2%(g=8r7mT&%)@6dojgI2fdcn=yvZfK?v z&1htMIw6zk?7(}bl{#k$8mf$%$;z;-t>o5_0uqrk{&JwYJ zJdxS~%Quq|wXK4$R--*YZzP{?Z&TV-3%HESRn5a*Aw?dw0!C&lQ}rvpvCGyZ1Kb(7 z5jn^mcuR%jyD#eaMqOU@*DaGg0&>aJ~a(FEN>d!tCOZFJ~ z;+=zevZqhJt+ll?uzA^F6l#B)&(2$_M2^|dnUYx7Q}S5?`uFQQ=>&EPCo%S zd=IbF`*i|u zz`g@p(HySHq*U!p!S!Iwgd@kLA4yKH!<1^%Y4vD$PZ1w+?!l~6@+FLJu$R#u-X!3a zu(LaTsffDE!cZ?tb3R$)L}-ZNBV9v2i;*P?kTcY0qjJdQUv8kjT0yj_~kMjKMKfIPRn81QT#k;FRCP+*1U=9GJ~f1#5m?+ap3gf$;Y0F{r)tS z(saqN1Qyu<7#cH15GA+QB^Qme8HfKYxR!!25y;*QVkdn-HV7=?{tzH!=RjW~hX?W; z7=5`uQw;Z-z7Zgt5Z>QaG%M5kjU~9iq5RoY|3hnU9?eOb!5llrp2A8#4 zfVC)beaXm;R-vpn$^`tjE-}ctfqhix924dn=EqMXfen-f(Fs#WpZ(k1e8DMv2rxxT z)Nhs#4P~$>!*NA9V0`@{r^(qd@_=vbtak$jU%;i}cEw%H zl+tA0rOq$KQld_Rz6}zA(WtD5diB+2R(xy_{RUGdbq>U=gaxnd2!zrQ89WdVoS|Zl>q$Gx1uO=cg z#ZMYkPA-OLM#(K$0{AL1rfZBLp)JM>hA7jY;ap4$9cZx*Fr;kHzbYQ79}zKB#BxGX zv+Y6$j23fI2!navOMY>$Nth*W3eNzx;mCa_Q2F82G#oDIjyXic3OXY0;Bss-Mm}Hv zl1$Co)9r?RY(tO6${q}-++;eh@E;~a@dcMwBLHtc8hu&jBENy@m;}(d(~NaN|?a8u;ZZglu8C6>VXp6 z{A{0clh8sh(CcWerenqYiI&@LCFr+**AW;URRh)Oc)KVulw07iQUxm-G@?N~AWg%* zZG=rN(e;dQgRy||a#;|Z9X17oPCDONO#d5pREt6eKomm1T~88ii{=Y&;Dq^HzKD@r zOL}fNGqu@rzzNrHSdluFOfE~M5CUt8pilUFoyg`fWCrwxo%&MEtW2&1Bf^9(qK*MpMwp|4>>>N?y%L-5gq36m zD7!DMm+U4TQO@5_F0GY)qI)PB565kq{x0m#cgSd|#GYD$F_ zXMQ}}>vw!OlA2~u_`TsEZy{_Ld?J-&a~*%DuP-Q{h~VMSd6PlBGlO0vkqFh7Zh7bU zcvq6{ijMY=w7FjDTS2uv8SiZode}D`o@so(s3siV`4C0?!!N*>=yPR~*VEc#r$l`Z;jV89@=@P&l0>iizmKjTUHop`5hZ-c}Wg zwM3!mZSwt}DD^QYBB}6Q;$`S&#On=*G56|}@&n=f$}H1~>XME^*!M2Q^g6;jvbupV z!viku+~?cwikVs-vc)ez90E2A*gRx)$+6%>T2|N~5+a7vr_n8N`7@PXMnorxnSlc4 zir*xOKbf6QTl?Yzk4Irr(Gz5h3Ul*Y6Eb8*MWmE(=tb)9H@wNd!#o(FxkR94`GUkH zZ;Dv!TgxvVXF_j!Md?iOCXT5`cXn?WQz<9}!26;itGYa-tYx9 zhWKQM0*-VIC4*{X{hndxGJu+$54y$W&DY+zM;cwr|*f?nMmB{M|!dLonej;V=kLL#4VAh38`&b z!X#px=>p1V1o(wZT5yGhKoqn>Zu=`Rt={L?)YQ1e(d*X|_4$jWn$-}QEG4nrV$0pZ zFd(jsI75$tttJVgpw{TwZh#KmaK6xl8K;YA1XQuMd5o& zBs*yh-`Vn3H>lqJsPm3tX3kIGTpgXd!|5qMDfE@sj||Dw4%L+wYUd1=6AE2RB8sON z^0z4b9NbkF+7e*JRTkk+N~H7lc3%zH2P>|be9$}|snnG}5vbQ>9AN|>GUbxuR)k(< zU%)J?>P&>7UM-97a$+APa%C>O5EYXDSTura_U=a1%tnln#%)hW5z1(91aiAPpq zf8uHrnc6-|*FLL&p+zZNnBL4hAR=qrfso_hL&)z z4x~L$QTARnrOFGW0!nDQfaLuI@_4&D*P0q^6pj}R5I9YTUIC>wR+2VtfWCR`H$zvUzLH7wX!dQ zvjkpIZO*aXjp$#-hj-SMj+#C5Jo_OW*@LdIXtmUaWlAHsTvRZ8pQ&ZqzlvViai(yC z4|$?U!E>sMXi<{Wh#?p|(odH_XUNEuV~%12X{4xAqiTvOgyO;^!jy4(#F2^wt%I%9 zqR_tZs44>arinvYrc=~Op3y5)^WXVk6D>%$=yn+B&cx`khQkd|PUEPH9x0O2EJ8j4 z5>(=Hf=0|oBxT-}6(Qf8%3~_A@xZ|%!ZB3*`)?UX20G+M>n@6(HVcofRH}dF_h2_R zuhL4{M3DB}Uo;JAzbtK5YsWQ^!xB z4ErN+$kZkbO>aw7rg9W4P*oJl)G9`HBS!SxiT=y3%UXfm!CFF4@Y|bc#{O)jQns zcONDFX@4?&Yqe5bq#Vw2ILo@40lCew5p%Aq*+8p8GT1~uquqj}863fPs?ZsdpsyA| zVl@)-Z!&E6mKrS_LVMv-(572!V>yOZg3`ZSeVP(=q?6GcrlAKI#cauzE8TwYbgz9~ z*dyJ2!OTGF4UjU#xvjLWJERo{Y1}Df&9nr!ipw8KXX3`Q2A8Wm8@U|!V4wHh&xR7% zyzz^pGo6r~P$p7o2XiCSAp(0Ju`{6=1j9NFO+*EHRr0@^B-4hh-xzw43uNom8FC>~ zS&zGODveA+GT5`JbD~-SoYTvNtl0@qnnt?UF|BUwR{1B@faHL<+F`^3Rm!IYU*bWI zMBPyYc(MSK>ddPo$A?SNh$$-=5iC_v1J)gtL7TlLE^DQ}%CnX#Rhj@8Y>2T{fN`eo zZY{C4Ur-AxC2zH!WI$yHcz9s0GtC1I*E47-aV;gBF=ypFbSzejA0CrRfss5dzl4S@ ztda%f{I^eiI!BxDJ5&=1g+au<)~+y_`Et9GhG7yG5ep8+I7SyQUnfw-a#_pG#mh0! zrNO=rdPUG3>sJFHRm#h2<+(K7V`tK-9u&VS%naNhWhY8Yo>Fk*22;}bb|o~6-wIgp z1ZFb0ttqbxRzA8HXf%Nh`zUyK2Z6;;xS+oLMu$;dp5$e3bI9CuEfz+cBtkds%? zO24YI{#jw_%y*(&T20*L4R40NZ9gRos;H600K_fG59T`1JE&ursh1I_QQ5lXLH99@ zJ#6J4|8^?PcR3KXwFH_~ib*6Tz_Ud4&pl0%n$8^{vKjc+uLmxj#4RNR9$+r&Ww*NF z&?p3nRe-y$5FO7bTdNTac3MLpOF}<%b~o|re<{d5ij`DG4~fazo0y{}dV@BO_qv0W zkX>U-_8$Zxp#VdBk36t3v0uaBQOQHfL8GvE7DWG{3ABnUbw36h+oL5IOPh94dv zoTo~5g}h8&X2TUfc*_x?04-uGO(od$th513zdG@YJgU0b7Yw8o-^hb$qjrsj_dQ3-0!Cywp->>C_y4VXd}fW zrg`|XHZkBgrBR9FW}@WHpx9heo!Rx`oM_qCFo)Y(GH5ug%d#36CoYzrG9pjg32+|m zK$LpRbukn3Roe%bUJqK7Wkw&A%2lY~lxQRphEpLWZ9@v$prBKfB%!Dv_?nZ%LMBZW zTOunc!xrg3@(zJwM#x&5fAXr0cBo_2NeQkkVf6Fd6s@OhLj}OVsuog3u=Fg=WE?yhHZj4rJ@*?+L(M=_>c4rDMi)*U0F- zKnJpl5;Li~k9_BMI?JS5XJ=CkPp{CPj|+IbPeP$38N}m9Hc_o)H7H_DyqkY8L)Xhb zsLj+)2W1yW!u7sgW8bruJBBDg%awZqsTi|OPm0++YmB(|DtBAt`jgd^@3t4M6s|Ah zDR6fs=?VscA~I5^Yfb^Kwn*Rte)D#Vs1Mb^V5{t(NfW!$gB(%#LdZddJbO`rE+mrR|a$b8xO-?y?hmhbs$7#c_R9scuY2 z)o|b;E}+DC*8&q|D3SSg(on&4;veMSuKW|wk*$ht)yH&0)+<@k`S)ujDqQEG+k4JEU! zG7oJD8#a=#LhBue*9mElR|HXI;31-NLt_lOhM zAonoCQ>r6^G`V_V6hy@*F1kv^z(5{i2x^v%1yP4wlOshgv(FoZxjC(^Sgb$D!pK~@ zm}2GbPBfZxcpASs;^&WIX#>U}t*X__T1X!guGwVqoMm%GCa>4=5mv40vkGDSc>_i3 z>D!@WX>2`H)-u~bl5K}#4SF5Xh`&Y`2#T?dS2NwdyDSmPZIL3gi4s~Fd}nPbY*T1e z3t#1OrSCw>+dlyhv7`eU!Qcg^N*AC z`8M_aDUtpBfKjrAUXB#2W@S~y-QGj1->p&hDtN?6%93Q-Ez1;9p@x(=C>f9uAmYAj zSh%KzMOZK!m10OLmuv?r_z`a5)WzmSPJ(FEQL7s{c@5PG&c~Rqi`V%ZQ!w~CO7L7^ zv90Rz(A$Y&OluSI)c8Y6Q`^d?UXeU|u{z$_Bl)Kn1+C@Hlp0{TJv#-zqcU6 z=+fnkUAW3F6(Tud@Um_mHfhOv>qmiHpgF*Lk$h5(5U%YpVxo&nZ^cQv4`-k)7-Q

      +) zcSxtH2U}Mnq2uCmdJs2zSi&RZ&FHMu&gOLE?@IKd`W8sJXvcA%8S8*%y7T3_DKPYt z;x`2ke-Sa*?NzQWdt$4z(%|?~R@IXsJj4jA|Khh6Ip|!1%wAMf1g8$3%&>+a*?5!~ zA#c1rNw;&K6^z7%7D_pg37B>M8`T0AS*bL2cuRp&z~eclA+cC1TESZG(Hm$Fw{LF| zrtTcc3!8llVBmF^k4zC3mO`;tWSw*Gl+Cqv49G4@-;7chmn|pa9gHAU+&l6(6id_WWzLLiBY)v=Z{g zh+Yy9{=rt?HV`lr5VwHL-6hJeI&@-j15r46N(D#V6;N{n`(0`~nHp_97>WJ0y|>O?#x(iS5<0*PEvV zf=5+bTZ>z5m;0<<;hJ9;B2Iow56I`TIfmvpl5*3PEYxKk0_WBZl1jNC3jGi$EsI`D3XO2U@}ga$zM;W< zN*_C4H4ZhX+Cm+b3DO!PB1D%fkW?#Dd8I<$SIY3zvWKgNnOHip*@$)q^#473_ckqm zt{yMkPmm?&HY1t@9j%dKka zt-MQ<{s$Vw^NW*iAZ>_#f5{PZ`7#khWk*REJmV|~ndv|!fyR`UzB|h_QbB_HDpO5u zZS^-1fF;==@BlYK*wH9sTn0C|w|6&i^1((%MzHaKMzs1av!NC8d%@3Qw5!VYfppJP zQ9rxyZpE5h>g-fVV{`A@$G5}3ZZ1Pj_b4?u|1}D?iDRqBmsvHxZ<|~%eb#1T*xa{S zOZantKfa_XFD>$5!-EK&;>z(@CQEYxp>v6@Hx#HPlp&zvA}l)T92^}n$DH5CMVU%HChUvx_h5?njvxwD{C*YHF}5>$nwqgav^`Z0GSVX~}+1 zHhu75pQ7`!+;}?xaYr@^H(g=&ny-9*Y5x9cy6{mKw74awq-?sHsk`P4L3ofhCkPLN z&h01VfOuqf|DhOce+@6JpCaBbAwgC$h&t5n6BW@ z##PBK^1%bZV(#ocxwJG}GB`L`Yr=1rHmc}3ukwUy$^$$HJR$c3b;KQpe|b-y)$Zh> z?Aiz8l)HngQM|``wp|1+ew}gx@s5zQ$Bz{EK0M?6kM4HY4odcSVLR)(!H0xy{Yoz=gJ;EBqI9xdd4x?s6ypJ|*F@sJ${HG#-}cs> zZk*D+0tuq_zVEM_i{IQ9Kjn+x>=?NOTJ9@nGApP0DE;4PxfjndQLQ7;a(^96np1Ds zsSeX|e7nNvA!sdoqIwGdr)Kfm@8L9A_SL(E;TTGPY7KS#mV%LB++d~YfocYr;-9Ep z>xjVJHBH@;m)3@1d~_6s;g7%_3VXge#|?LPYfU1rwr^S=(2dn786^J0+>tIIc+44{1_1Pp zWM*eiVRxneZ-);V$OA@3v8}nZ7dlebU1D5Y$~<6j@REv_nUBE?)~j?W7~v8U60m~6 z`2~)Qg!&EVLldJ?26NV&nISh|ZGU<+Y^J|{9UdCW zjO_lKQ)BHGI5h@*s+Of6^e%{AhXp7f^^JI*1M!MQ+!&I;{`9`ZC3?9nA0~{Ax)1d} zf<@w%ZaXTEK?4>Pal?g*{uV0Xs};fk$Iv!lp3NX%~WHc+H(2 z7$QQRkueTp{uY8qBfh?5Zr~nH0d+t5Wh{ElgjPnAvWIVQG6wg-m~U)sJpOzt0Ks*b zCg2;Xf6|k0J2bp~@1-@C2R#LSDEUv-HG7El&@C1hCe8}-B<1ISM|;jZgaI5~*y9ik zfs=DiN3Qho==^UsC_vg}L;raEn3%bxvl9fX7`UtW&qd<%*s}u=FX}IuWR~q*Z?m*x zt4-oWHbya+pC%{lRqC6YRk*{zAksw{sg>0&g|b0sOQ-MMnv`=N`+~uGpPQwotIRjT>{sz~+!^OEN zT#G^aZ&RgRw++R}aAJt)qPD?YUf zsmaMOaAFU@79Vx4Yh`KVC!O%ZPBy^Qd)haR=|u$fe=`D^&yc{svAi%SC80C{1LHJX zW;<*E2^lb0@sx~=Og_S(yIE$*VK#6&U_X{Z4u;!y(n>$}tSd|$rwcVY%FQDt=F;)Rv`?Gz z(%yf)e%Y#{D0h0*^ie*-R)tMBR@7V#ii3nt*S`7eQ)3%7>LkwxMF*ZOW3T5bUo9O1 z#s{TC&k75HT?>g9HRDJgUD#e!G-clH{gh_67d$C62ZB^p4We(8{uoyD6c-eH3K&xN z!hi@;Gs}R$w^O~~O$Fb?ke7Jf8f@;c34QuhaDatBBK64=JEW3neVD!QTKFjQq`PQb>3-;Evs0I?b!I37BWL!`vc1gXfXJp?~jsVS_eGwG7KFZr)t+5gKG zcMOs!z{B^$eA;OAb4z531BfqVf=MsIXYvf`2zGS*DyzZ_oLvhggWcy})5g*P^bG zn+&z}=2fV;n;2@^4dTGDV=I>B08z5MF}16Dzd-Exjf#7g|C*Jom=oU6`pF%%{dGdC z%896Em@$6@BL71Ms?$$yygV~ME756*T@5xiW}{K3nhE2MVa2yZ>Lk4ZhA#7lCqBb% z!#2&jS2iI~{3qhe)z1?Ijio2@=ZN$Frwz^1_b-nyQTFxqQLejv=ZFg&9Uf<7G+^08XsW{F5sR0S}R}<2l+A zfv0s_YiqQ)<4y7A&dw7;Cg1KBMdht0vQhr&9eJ&swv_Tlog-Cgo%;3vz&^q{A5#<; z$C9J%Pg!pJ-b&O6%0BinEgv^Bx*b|{Ii;ZR^2`@76IMF{gy?Hy+kiJ~DvS%wyB{!dM98zcDk}fIRP!&UrS4gR8I4fyjEr!EJSd}1!*BNf zIO^chvy*aRzCmO1`+@PxhJ_>-x|affVaEP9`yqf=u-=aFva{ny5~vUjurCF!Zva(9 z+zYPl$G8gU8jcESV#HN{XDhLabdvrj6VqXwiH>h7D-)BFsx3TLo;oizcd8o&NIyQ! zP_7>nG$8C? z!sJX%M#~;K@&XRJo$Pq&KaY;y3kteS8Hv2P(Dw^e*F5Cv1|&mxo^AA2*jggoC9QuPwoD zOhxh%EmkS57GVJ57GH#I{GD})`^qYbM_VtH{}{2ibb;;?7EBw~uir;?6KN1o*#)+5 zA|nIZ1n(ZSRoqR&avspyjjUpFhMRL8;alz$rrE^bY`giq?L^E~>K2H{y@V(njbv;QvhT zEhT741_A$|B{ffOdx+>W?3-=}RR|8NjS;fElo|PZ>dgqmxSv*9I$GLr{*r%@Ie7399rfUiQLSd zD-Yx5N2bx4I5!2U0P5)2moNIcRvi!mq4`xOF!>l^F4}PIFcT%#QlMV|)5f>`cC`r( z5uIGCGF~!f2Ruk{c?5Ubm^K$v8QK=3#O%%H$FFaL-32a6U!@(1PmCkXFeGKvOrYH%vF1 z{pmIC4#ILvqBl0(VN7<(x~;WaPPV^CoNquYEv9ystKVijn@kLT(;uolPAC4FU8 zW2)|*LL6vCVv^e61-?Dqlf@B@lF0CIc5ZGn6*lzt$RNa5R(5z`pwDg&5kx5eh(1tC zW7mK!n5qSK45*U5_s2z7!q@_a8DL~^gMyEHCUV=99nZyB` zH^mb6j{Wo3#QPeOAB-|`<(n)_W=Cvx!1H)39%KV`bcH7(`f zsY$%ioZ>4To0*d0cfx(LZIR}(y?jv$HfRzLHZSjz${CWb2Tr;$*9W@;f~(nfzL871&WG_1-g=a ze719w{aIOj!s}yxbI=4U7E2TFC%%4hxmM9{e188eSLcNE^DsJ~p7G1*Xm*OKu5Q!{ zvjtnQ>6w{aaZ`cqD`O8zSCbw*c%YV|j(0HKCI|R-RSD zbLqLHkH6K^dbg@bY&_n+4;fu3^{DURxwscsS5$_X` zMJ#6pO{Yje)0LGa#_T*5bDI=hB?caI{e&JRUrV%ykS}iH5=NTfcUv=Gp36Ff*@ln0 zNMEs=r!pBN4iJ~%kClp8`E_oNPgO&Ma2`tuEFw!6eywg-+7rvt4M&~LNbBDc0CkU) zJD?saEAtbq{T}X$6m!iVq~1v6qFJ)(3IrqK*d@O4`^Dy}KEt}Lgupdo3BkRTW;}2a?bSZ0 zk*M#gwb_c@cFFgbJlLzj($mq_7KUdx=#;NXJkiR@rS-&1H>()Gy|YuBzj{TE-&<$o ztC!TAL_*|6355a`l;2C_8MP~~S+(cyJ<%Fp?Zprj*cyZ$PRyyrm#qp*tTN_ zNZ2rZ2J|BR35j}|r_JO-z*a^^#oTvq-mrw8IIp*0Wz^xnN4N-_Ed|zXp|Ou2S6RvI z$hN+g*GdCvNG#wQ9p|pV!O_tlV;$LFzuHRN+%#}}Yo5xnZLG~7tc#Bld#BwD+4JQ= zefOgAZPO;)4h;z0F_;*B3n8D2Kra?YXbskX(Wfd0r>Zm7fjx91Fi^^M`f-{#9#u9B z-8fsZ5JBx4F4Z{T0Z$EiefnR`Gp!enjJcxI+RW6{|JE%f^8rALG&G!1lszJEX*n8# zMcDxPfcG_%tP(_h&Mt&LpMMH7O3HJw?vHtVbMauMW=3s^? z(6AUDR2x}qwqAi%#lnKa5d|@LZMR5^2He}s1`iAlI(!Uta_|3xjaR3(k>SH?#yqJ4 z!#+&bTIW$0nKx@X^lIOwiiU=aygU)qFkQSDUZaFDN>lT!wGJls6$+i_w=o6NrTsnz zQ(C_NsnqkEC%5ww-(Qt^X7qi64Tp~U)U)ApxEdPj8yHY#!$JY`(%5-YSVT24i^}-fp z*pj7h8AzZ+ndu;6B@=?FZW5<&yWSU?Yev=SuZE((>3pY`<}&FXZj2bo9G% zB(`7LIGZG~ndaiR|8j?Qi;z8@By44s{+2#oQiM&>r*oUW|b8b7T%&{2be zC`XjIXrwMqlwL`QzJeDO=hV)f$gU5ut$1|=_DlI7C5KhZ&I_bOL~>?<>Sd2_!S1UU z@H?vxoYN`;ZL`xsQXdv~Bbc*AdfU$SBO84vM;NER3|~5GYV-XL+TWg@+qOu8vylnQpm*>1RRv7g5jF-}Qd->IkcW#cPG?!%J$@(W8 z6DyK4|Avk7%OprhSWSn;!~`0(!^#i6&<=fL!zH^ALKIp=YDVx(cwe1>!9lq z$`_a_2V*>88W^(}rM~>%?Vqy8ouAf%l>%Z)-CMEf1i~_@)MvC|pK@WH*mHy5{J-Uy zkJhcaa#q3nGWA5O!K0s**3VUcx_50CHd7Gg^RHG~+jtNvt%n#+pn<9_jQ7~#2K)7{ z_c^&P?fRMV`MJ3I&71SnMBGkj52Ekp%j^)dcV$L1&q{kx&!a0!EA2r))6LneHK!k$ zKW^FR@xAFe^}f9gCDi-Wl7x8fyb-7)(f)FV+eY^ zi?u@Mh0H-7DbC5EuKbF9V3MlE=qGd19BqZ-ov0b=@0X1>Z>G1%=>#c(&g#SVfjxv} z)Bj+exJyXk{7$Z5@d88RQ*SRCB`i|v@l;}{1l(NczyZ(*>^{BNgeAx$ zIkvw28Bg7DqRR!>ep-FCl3P91?j(^NFFj{V+0Uh$52a?YdwG#C$H0s6MRV_Rj3D>C zby}a_J^?rpJior&-Zu(IF5Ef6wl}nL+w?DeE*vke;H?{5Kr`Kzty{~L2H{@WboId< zBs_45mp>{-_PXhFS5aYJo(6%oZ((T(ZE_Zs>}aspIp0|g-3g6I#@O1y*&=juRT_aQ z0OA9ZP=}+RIvRurW5d3V+D&t_Xn1A$`A7UOxGCS(al>H?DRZKJk9^QtY2<^Qx@xfzcppZGuc(gudw4+*yr!X2l`!VDi9YA}hAZ+Wqez(bjqan;Hup}TXr`O`&>Qh--*ZMZFmSL9VxurF(jq{T5}e<`8s zG_-6ImhbhueJCT(a(=UA{P=A)IBBI1NB~dyqV%5@7V~8`_@REEOfHOz!Z6pUOuew zVQ%SrH}Kn3)cbCdx@tL#_!YhLuhG21M4oq(ncDL*aiPaKC1;L=kXQScN?nb_8He&U z#%v0PZnjlr66>zd)8}4i5t?#6&iKP8{k#0PNNKih8M@3(+p`BCx{mv1YZ*?hxhQ>6 zlGU>>YW9bF7u)#miOEGyVXEh--ga|)t|p6%w|Vj16MMD_iHN*Y$~g$Q5oqBLDUjHh z^AIy8K{FFMd4QjvfD}F@Sf@|~E(yJu(6#a~A>t*~8oTPFPv`rh<2gf?CRbRk&<+J` zBcT*}&E@RyAO7~x@`3T$Uzknu)egQ-X7zH|Twp0~&`6gsLN0Q?v!$iQM5YW><*B3> zRxPcq5j@Exn>O(opqBb^>j-i~jlO5H@^f-R3y7_$q~)+~3@>q@z3=xrkc`NTeJa|j z-8o%zA(#0rPm}bqdik=$1_6hdG)p|(@$n62Q^X3`t4NflhQpGE$IX1afpJa<3>R@9 znm%E_S`Hq?rB^K$2wI-TD0GOa9WxP(2dItBS&yk1GYjxX;eN2LU~+}=ID(Z4V6GXI zH(()Pk>d(X0iJS@pYJcQeL8~THas8!tisq1q40iGlpDHsss*K zRO_C1_+FlA#PrN_jB(@X_ov_2{qzFBmv;$`;JA?^+@8Zs&TL|6*rRIH->kqarJdhW zwcW{WHm{*1->O(G{k67^4tgY1K(K7C8v;IIWW?g`dH~K5ydh>rrXB5X=77kksewO( ze+@GmVtm3Pgwg`kbywYOFx-eg0`!2-kY7QILy8ow4*h+7@{?D44{pE5NJk{Pb>M78 zvg+7I;^0*(8DuvD1xN79I<@%YUshJ?-0C^C6q&2`n%XbJ@6)`~7%2d`rINP1Jc#gE z2uAK3b~ie{XRE@%Nd}xkY+G+6%tQt!?ZA=VZnLl?;ud=00h?vgNJQW1O;a(nK4 zz2K3c#1Wbh7~edM8jO=MdAVOVF=NX}L;vR1-1!NbM})rtEx~7lXEx#%Xi8P5+LnJk z-l_Rm#nIl1j1m^FRBd|pfKHhh&+nBBO|tm;r|TD4xH3{w!bjEE(jo}-kG|U08+-Qe zKW}JQ>Oc#+$gW);W9?aA(<5LwIo*Q3nfV_-P*?+H?n{6F-mqFN^<%_aZXAKii0hyt z-iiSMc(4LU;;o*tGuz@vqH+?7Zh>NQcRz@XQ;F6GpI2;N*e}5UI{>5MbOd6vn@;{h zBu^|rZZv|U|KX!YNC#WiM~RJ{+!wNO%HrOT#@k0DnVavG3tQDZQ$6pYAA8{JX_$Ck zRaQ3p;w3oo=nfVpE#b@Kvy+n}LqjkrV9A^)@B(kT>Hcs7#Gri*`3@e8UMiF{a%7Eh zpV2~hw0ry8w}g=EEiEC9cI$C^Dk>Af9aw`MW9xYP9e*Ft@W_M$v_{m*5o{8;Jx9Ui z;jxo?<8DH0G`w{B1$L(R>_bvGzuk6Lf94gB#`07Rk@L8zIyF8bD4rB%HhG>5Pz@a2 zx9{Dv+)~KPOG`H33v4^C*LAIc`Q3~Yw(hbLCnF(APD^{Fg1`=*30iM2SYs;=?*U!{ z0rBeGS20qa9&pXc_zNGvI?Uko77t)gXU?Hxd4!lSKKaSqyT|2iGrH>ch zds$o$FO}0wOX!fJyNaVVK*xAnV8O-eg%$u!ov4Pv$`>!#scy>nlJgA;ZtiGYD+2Q~ zB5)2CtL26{Iqz;@TZ@jDW}mCQLQ5zHN@_Zrx_>=U=`L4W25R%=*|d}t3}c;H#=dZC zL*E7Pz52-m{kGW!^^Z$?TQ6i#Y>{@T;7*n}c%f`uys$uVl0dkGC4>OX`pTLT?jZ+; zEed)KR8?O@6|B?*y&>#!kRF$Gn;DCkTj-B?38xpR;P`3S6}&g(jgsvDzh)`3Dr;)ubbfe#XiB)eSq6L*S5{Lp%0avZiBFagz5az2yLi(H^0@dk$m zb{b5p#^fEpLieK&xY!}#i3>6!*i^EQ9zNuyGP5X)cY+-SmNcYY=KGS>Qm_YXu@Jh)saxpePZ_740y8Eu ze->&X0_aq?>Y&(m>v>VpzME$Z-lyZ`K3-3R90Rw}Sz;ZYFU;>KJrCNVpPtyKsi#?Mh$=`NAv>qf+Sb;w>HH z);DkLz=N5e&?uR29lHKH`Bh#fxP#5>(>R3EGGCFD{?aqp#%!C*`9PEFZf*Y#{{+0k zQ0~eXwEbUAyS@=>IVlK*}3t_y10q@Ve`7mDT?BFp_iu{r}pZ7vE!efq#^C!v6W zD)rW_XNvnQ%uc7Y!pIRz@$wAc6v@6broGmkPq9$0?o=isBBSgUAT68QYmil> zU=R%o4vtq(!Gq*WZ?8R44;r?k&B(VV;{D0PGmdc^=0wF)rzGwAs!X^cSkenyU5BV0 z5O6=aaQ$U1O9zu{%e%#Pk;gGOJI`WGk30!7;g&KC(p6ykB$d}B-jnutYLj%EXYBhI z>ljblh<6Ism=^xz)YoXV$iWsDn&1g}d8-Q-0X z3+GOM3jej}D4rOl)huJQ!%2=pix(Xy?C_slKIgrHcV*aC**!tjQA(n}VD3SNfdVIBjbFYqw-F#@`J=rsx5t6rNZ^9H#eX5!eMvy@ElLT}T zytY6P?jZBQU2iH1{7#P0^<~6Y5`GPH<^u?>ApB!I2>;j|Mg>=!4_%tw9QnlxtkR7ZyDg`xukQhA1lxD$UC7}fRfBdbzLZ=~j?fd_VdiFL zZ`<0Y=G^8%T6^kqgazy{dRp2C4SziEk2cf$+}%hNPHDza-ZC{YA$RiRJIETaPPKo@_Ou7xq5i9^#nPx$s=tr7;eim0PHyr9fGI=!w0|UUBSxU)EjeVLVej zJ?${xfE4+2S%ZK%AvzL%Rr4>|4U#xd<0;_5mz?Vh=1W!>mAvqKLz=~MtS z86OY8R#HhxOudr6{(bP<%=F0iFLM};8()s7TG&V34blg`x_s%XP36eHG}|rV6%`%5{|?!PYjd%%YND#=o+UpOLxwo6!u>U& zhz+61Ray?y7K#I-F;V0AtVytt2nh;8s=*O@XVY;qe`{r3T>=Xhqc+|t^L-F0z(F`@ z=0O;Tz^g`za6v_|aA}mZLuc$aX zJuN6J&CSg{P1uvD#5eJV<;>x;5Oi8bqlZ^i=eWLxh6u6<#+Bq)?qYFT3XVka$nykhB6F zmjah1VSDo?%$N8bQan70++p-&K9IBE_&!^Hu$rs_fNm#maeiuF+sRfo2XLxBrs>5Kqi5bRILQlRyRtx2osh5#|OWP zqc7pO7r2_3W2mPWJr|dZ4b0SDbP#K&bpeO!&Q9ZpgD}Ex;wZBhNO}Gpj?d3EBO{o= zmtj1$d+lW{@||LYPd&qxLzy0n^SBVhUHw=!dDA6Zt`N1iT>9VZyJDm!ExDMy9LV-p zou>&?)4#vqpVT^n#S`!*R}L|So`B9685G2;0Bzu$i_09=!5Gq*`>^ERNX}4IU5()b zPn6lOU&Y+#ryojDihu=<`xf5TAPzrwo128W0-S&OfvW?r3t?ISV*=0IrsL`dk+y2O zy_vON^glTKJKR!q@8#s?qSgX@O-gBWg~B6Ok7V1q{c@mW{^Rj~doyF%E?D)7!4V|D ziDtii9vhQCd9t>;I-+m=Pc`Azw^cPk{M?=W6hzrHXLf|s9N5)>vd-4lZ>_54S&CWb z!)GP$s|#Eh@6{q+Ilc)GF2Nl_J6?xO7iYphJcPAJ8KOoO83jC5TZY`hz2}@^j4l_I1Sd4C%?QTXWdV zETPQsy1&0rLjmYX4U#`n)6)Fo*ike=xOO_P_Y?SsxnPQ?4aWuP-`)L_@9#t9*KqHU z!!xYO-VEYwMN7>Ne+M6IoBcMQ8?wH}IF5%i5#_0)gs$Pl#6djoaJ8U*xsLnO;}6qK znN8m(Ml*<+Q{Ha489&oF$hgGk>Cl97G4u^YcA*fRii!%AJ1{zEny4hHA_sw!_P5Bj;_(=+_D%{1cUUc!o@hv$bCXN7=PrQ+S}2t0WDP=#Dy3uOz}P>h9fK+_un~kje8%X;)p!`8Wfuu;dD1E0TAa z`$m;>=3KldAIKh#Z=!_hhTkTdiI(kG{BK5T+##chcqS_f4>c={&bllPUzU2LEY z;1gy|oOp@L=>n?e^)1YY8FO=Tnm%l$QCoqe9X@>cXgpY`whnK+(mwY0w>CB14hd=L z?p8Es=izCnt)fDw~6eW zEx@Da>r#Gz@4poc9P=zi-ll5V11?Tal8~4P%w;4C^Y-oA=NDGBgLd6AAKZWs9o?*V zrJ~1buZy1E2bd=Uc1mDs;?a9HJ=uTq?)q=fiU;#ZW_gmFF1cT)jH7ot{bAUa)T6EA zwL6J54-=Ci-gF>VoiTVZE%TX<+K+6>s6GLeD_U*y$TuSNbhQfmb!$&)lqq^Ev&@>H&FNc|W@I4{Z^?V__yaey4B#6`j8Vp{7FZrJzvv%={RwVZbp z*UG@(iNpnhIO*|YQQJ>108NaDClvTWGpUP_GGGmEV$(>|hWRH^Jp~{7;b4p;*qNb4 z^tMX~;j|^nuQnB zK9q0X{aBz#Iv!k-g2$n{sw%+gXlm+kyA6RWGNbfNFD4LBt{2F z+c8jYbQfE5#SkV99M8z4oK8~RHuFi7JtbA^`9yS)lxJU?<{{!?TJ4~BT-+(o56sa6 zNI5k-yZqVO({h*10%2W@twuO$@}Ep5rMgErLhpu!0i>dkroK(O9)RPX(kt-L;YaTN z!-}A_rZgUi?iJZ`8KiVHEbLm7r*8D z@4LhzHLoz8k#`>S8q+GPtZOlIE`I*Qw~RaBuZTFSQ3^MEa~PQtm70Npwd8$%eMjY_ zkT~|KbC@6>#>QTWdn~m)*MNP|^c)>nt;{BHUqYA=pe4g6q>fH3o<4?I=E8+9$ee-m zfgIxUtmm=@M`(bv#z5ehT6y|qBBBZBl>sG8OfW*aS5^$sLc4@k`CE2o^na8m1`JdM zKOAA%vbY!^tclu9xjm7cmZuUdaQquqe63^}KAt2zo-Hy@5?qq-TFw6D_3@|ljQ>wA zBxoWk<#)LMlX1l4alJ0^s5p*g35kTQHy~=8!zOlaUQ|5#0&GsxF2ZTCgoVcLXl5y? z?lUqwrmU}-cRUBUkkpp<43W1kZOef(ef8hFYQYvl+lG35A4 zE>8r`#rt76)h%*uot9)`f-U|*QqnmSlNT)SE*?j!5fc##b?C<HYk8ZFW3&ibNZa;qhd;yPm0KKrkg*zQIP-t7m>gShH2BOQ2nyS={jKQh7X_1ud zbDM7*xpVifFfZ?+7@VJo+P+51#jpTwlw&-^M7+D|L>(@|$3RNM1=u$sCXk_9Fo`qj z9rvU_^{bu|!N@N8@B*yCu=-1wg)s?_WPz7^X*yn=#58*s7oQ3tBsZ;iX@?%-7$AVw z;uw5J>abdKa&SO!Q(6v|F@*oSE{zw#xd9o)9tS)ypdBTi%lMYK?yy>Ih9?@2Q`j$Y zS#M@Dxb!&(X*FCmn&z~Gd5B8SCQw#Vb%b}(`yX8=PVRG!J#m%s0e*jVbrPKP&vr$R z7hK}G)EkxpLTlkHe+tQ$uEkk$W^;4%nd5bJ5Dc%cY86vLXsrEb!^UH^SwpGSwZu_h zQ7>6i9PHisw!0y%b8`Agig_+A;5ri+(LF#Axpy^ATznoODni8i0#EmAWj1(*ft-gw z9NV7y2!YB@PV6PRKXA=Bep$-O%uY-{k}-NO;K$QnVj5uG#Sw{9`b_<~9Y(R0T7M8K zE}tuWJ)C3qIuhuvP9@%h2R|UELxr72e&Zk^x9NXWz;t#ax&SwrX9t3AgPSnUpB&_Y zQr7HTRVR)FE-TNw$7;HMD{|TUOu{qM_YgLaj3wE2zLxHw5Uw^3T~k(I5gnzGg*W}YAXuxrX;_j$Eb)?+MQWFpkBop<#(Iz}kFcxC4Z zBi_B+3u|{|6hS1`rtbfp6fqtl5&hPXH{|YJq=L3dV|axOVT*X#0DEF;>KJ@Tm`%f~ zO{S}net~TgZ|FbnAiQuTOIWw_(}UeBdmNO=+?GRc;VC=)@9kqO-Lsr@8HYH2< zRykt2xi36d*GusgF?&tVNG{7*yqWG6;>3%OR*JmjDy*#Q+LV#Bg&lKWahk>>Y~Zr9 zgC)_aHSnYV);`gdU)XN+elW zKH=$vxwKrLfz&iq$ge0c^TSUs!1m(o*}=et9U#B&lp(dd_tG>pEOF3G3mO9~T-YtI zxpIgj6oCyPSV9Y~t8(n;;ps-e?%bNm|H(4K?@JY5OxU&Sm1PL#W2{A8$5WUvLP9j@ zKT%2pIC+X%UGf9fWW4uDe>bB_XU`uCezgNS{l%`RJcBBYMS@olg6e3D$p*S& zKiUw^x?XVVgog2#6p4|fXF5dTIh2j1Ptmpj`4(%}j0Excrn8^9BAVdk+h1I1G1>Ms z%_3dXEtsk;&)8tqKXA=O>}dSy_@?JIUE6QVnJg^^{D0Mbc{tVi-@cTxR8+EL8!Ai4 zQppx&jZhL<0WzCW-Axz0G5>c{CvMUKW$Vf;bvPC44-S0lCS)1Q8Gk-nL{PCUZ z`p#sIbI#}edcE)UrS4y*|J?APLBCC>h27+4PEXZXV9RCAAuIlm4u70N2%zL4s_T9} zxUJXVQO}j~@^adxYP3TcJcDo&DZT=Ov_7r&uC0&MBLlQK#uK5 zWI{@B|6+8^&<%vcIiQ91_TMJE8V70QveE#7uBu}zNl5{5c}(RcECUUjmURQT(1L|4 zgeDt4vCvRz_HDa&BfsdGZVote~{C z;oV~!Ww--#2lZ!0@){9PHdqM~?Xc9$lSzCbJ8ub8&&QBHl2)-(K75!BeKpzQ9cjxX z0;5z=(y}Ok-ot@~X-!@zY>zM^@P{kkh>HX00pMlbcTK>=wB&b5K*3P`U_&w%8~BfA zvmZQ&7|k#?7i1t%gDXS1N%TET1oV@@i<)-lPLxWEW6f15DiTqVReRTmUfRI>rzxu2 zl4J^S-`y#%t+jBj`f)>i(Z8R}0nqSlnPc8dkC$5u|9AVUu#3B3#%w?xV8A1ufWDfPcf;eyEHRkBDk& zc5GIh<-wKtR*iNmi{VJ^cRa2BwmccXoYJW2$H*nJp&3ZXfofQ6Yrlt{%q&9+#nyCnTY)| zH8oD28~COJhSsqs7krsvI~vC6atL=5uvJOaxYz=cS=R~}HFJhC{kTs4zB9?h2Wi(9 zi(=BiDw9HeM21nq5bNs7NyuukRLSBpSc$V7uRG)YTdh6p$){=znrFNlj3)SjbVO&Z zUe>+wJ~=_0Fq0j>{X`=cWNIRU4a*GC0cdM$`;yM-{CQOuyXw7M$BA4$DEkNI@nhBY0Lvk0_y`sQjqIym_i_MO4$ru;B`bksO?ys3|C{pk3kNi9U1F z`aZOp8ouF&_xjFTzCF6tux{RZxD9FjhK@Tb0ij^Z_qPBFtxJBSuWW#S!f+bx^_3K*0q%?Og`R-)_nX`o|eM6sgL{G zwaw2ib(J6Oq`V&0P`;U4om;~LdZ!26qOSB|;AP?JcRX|EBN`BOku2ui&et$KH;0x3 z&=P^S#3dwv3lho?X#9?y_B*_Rm9-PeuIebByd=lLnDst7#OxGas|qg!5dQ&A##3m4 zuVr#Fw1bwA))Gy+fB z=m1h5Upx!SOANMK@#RcPLiTc0S|_ZhxVc55Ce*xT7kwR~y1OHIo(Rc{q`#Q`_)#hufA)~pGjkO^tt99IWXcQt8@L?nmYzMop7 ze!G)p4K0km@fO|TF!Z15wC};owTV7&zwuA^u)h+<_tJopkxc!73C>|F`ek@F zzH7(p^5j<^KC{bQwr!I?pIXg7ZD6t2Nu&xX`yO*0UBovY=VMSnz)VY)N7aDdhWF9l z3&^cR8V(Z3g)FeK{fQqom-0lO*h3R3SF#YF|3vYsiBv(+z)4F9T^8A z(|+~G>y`A`{Yp^eJkP6E7`4J%eiuLN64xIe&T=pnN+fo4#5`$E?1`cWh)~W^4IV zQkjF*&%Ixp+w1h1P3135rb;7Hs{`uN)C3P#U`hy<%;UN9KS-(I10oj#T5@sa8gQq$ zRAEtswNx!Zs{Yf16(mO1O)O&l6Am=vq746BCFNpdlSWiLVRV{pUM-0pX!xq+N3yR527exQ$fv^+g3c)kAj=%aK; zOg~Bq{0AtvewhA)a#up1a%?`v>dQemJu9|Gy(EkE8nLu^p-j$5NZ?Z%9N+ zHKrtjFm1AA-gQa-7w5y9pbtAE{9mBR6L4K2s;chlRz(a?fHm{ToJD!+Hrx;+Zruru*ZUUK%g^}$k*!ne17)w@x zoX-*3s^{|K?G_2Rp*8t~azv_sEl6B;Bn%?04d3Pog%s5`=%!A<&IOcQmeaZCPL%u?-E$%r(F_wunrV>$nJ{lQ{)3pxx~0C699>Ab zQK4gw@y6~GvQ3cZd{~ebG=6@RPMp#0z4Ghhc8-o`Ep$Zq`9Bb|;&=kZaRgxvaDq%8 z$s+fx0WSl5ru6Lr`(XhHGcr-p;)#jSzD0R*O!)RP_hL=WM)FfH(+gcDQr3|Hz66wn zR16AssI6?SaW3s%VWfcKY?Yjv7gG055F7IZu5tQLpD7AHHDD~MDPWj_f)8K#e^XGe->I~Y=& zumU@Fm^A1c81U0l4iU>FzTf27Q=k145fxXe(onl~ zYh(FocAvonCpSWK@O@3O(wrbjiI45>a`9?yF;ni_kfN#P^Rp+BNSYnag3ybc9cc#m zB%ny2d=X6%T||k|gE~Sq(O1X03&($BN#&PWQrfwmUA~WuxcT|_)R+Ipkz$i&2!zb~ z%|m-r=qZJxuSgYIx1<543YA`TF4>l^yRSBtf+XZ(Ja47&r|i=TAuiYM?wlB;>}CTJ zioO$KS}U8!H1RFrB8>G8pK@i<9ATJV_~l}k0L#lx#+RK!rH9>@TF!F> zF1c;O zt;&=~m;lVajHzaVi*`z&eG_Rt-a6(=aA@}`yL#6|R<=m*)I;D@a5YMEb-B7%VX!7(P_K@7eSDNx&u> z%KuKC=9PS4-1cMYba#sx@fh+yK+W3Z->kbq2t=7anJG@6gNF}$E-id%UwbUL77D7? z4w00Rfce3_P34^rnp#@I+4JmQ^b_Yxt98CYQcgw&Ka%#vl zvf|hnfK{bB&H`Ht3f}y@!}glDU|$hb3Xx7ZnB`;A>1}sTB!Y}9*N2Tc~JuR>>zlha;# zbxbfMtn?dZ^3af@bYO{fNV=1P?jMeo@B%V%u86sTjqDR7^udLz#oWX|s5V*}y-tYEiJSSg`_A0lWsr z&Dw+`F;Zdm|ws>(~z1UFC(& zsb83Cx4YCnTO2RDdn%#QxXBVb4fBoN#kU-V8I9Td(X z?jz!1B%)8|lPu1@_mOVGKC

      ?1R39te(FOHB>SN_H)X-0xVe>KLwA`21DLbEZXc zdf&Q(V3OGNP?y6)KZI(6SLhmvwd=G+s0*YHHxLSX?ic5E^1M5Dmf80z*xF{wtJKDw zG->&D8JQ{-6*);F@$XlWg12f?^B*gr)zI@1*wphsE+63qk}Llt^o9&@8_^b)t#Ye< z>ihPgPVTC2zNRNna_&)cDM#lHi!@M%q+=EH~F z+~#23f+Hqs*YV@WseEN-!KFVaC}{RasKBm-@)1EiP-9)?36YU-`>$JF37ChPMO-d) zG?vEBk~S|4=g`${UP72@B(Z8&jaV)TXv8FeY$st@d(MAugQ@U-A@BcU6aL$q! z(hJSfRZpHoi8I;IF*43fkDJ~L{JlTCPw;O@*GZR&Z5r)7E-@s6veAtK=3R9r??=cn#@y&e}Q$^L)J~yH05e(iJZ=A zoH!t!(%0FOvm+-cm6A8XmU5=)@oj~&?B3#X_rI zt5@Il_R5mGe1+CC7V41a2D2MFfx@Gr+FrkA#xIV7g;REwi+{tsrWDzD#E^6!L>+Fd zhR_kR2fwFV{Ypdm1;^YaGnlRZBL7}D*BMz03J`CMX+xgv8*#9$vX5$+@`wtnsBP6_ z7m^CDnf`fa7<{nj|H#fzjlzYy$3#R#j?&&Xd54dl2XPA%n3+MEh;-Hw*8AL+ySJb`c&HM!Z%IwqaYc&) z!Q}>(F;94dsFm}9%XyOR1vK%uk1CNTat9^`_58&K_CS`HmS_2vf(b~>ulBDlj>;&d zwdYHf+vXU&WC_x)BB>$KK5P?={+Bk~`)dAz`U{EgufSS72m)9^6jUv_n0YNLsyM%o z`KPb8{9DN4!|66@KRVW|L`&Rdaoa^Ra{gtW>O;9RR-|5+j0dkUhUS-w63$`^X=)JuX#f5o%#b2dTx}L4l z-9Ow7a%L)Y0B{03*`7f3p14paF$U>vWG+&onr8JWAqTB@7=rSE0YK~m4(vx@zj)~_-Ck7v^4i=w7q-` z%_pTVhp@22njOvWw&W!)^NXC9eNP zOl1|miT%m4S}MleAARVMO7);X0WwBDyXim4u+6_azW6wn9bawq2|RdlKayPkI{Uf4 z0yU4F}Zh2);=gAskjhUmK(>)dzg(ajd?`hhcoW0XpE2b~a$|9Eu`)3#6Z{)y= zag+OfqvzsT_zIkX>fRG6W6JWa&w4tq$*`6Y+SBym%@uzZOeZD9jSDJ@H9vcFE3SR@ zAMIRG5KODW#06$OY9f8v(cOOJ%%`X6#0(eC+VN`Ip^SG?UxJu!1e-mobu-(n>L*W6 zrjS&hxXh`0u&m^rMwH#xAF8Lc9)C(O<69QXa+4A>V+66px=ord!rbd=V#|vcXWXgs zXm#UxfdgdQxLnc3Km9GY<&RiEwmW0Cckmd)l@8H7R}MHPzGPoxHhM)Yne3+hW(TW} z;#RzRJ^cvl{9^bgt(#BIEoSTwkcs{zd-Q0o{DB|?6#>dj6BMT zCkHg%JLgd_9_7FGbiSOMljoJ#`de{iRCGuh0V|&cd6Ub`r`xCLXQEG_@c7YX*bcg&Eyv4bWMP5d(WXQGb!lYjcwNg^dlIu$B$( zN9NL#mX`d2g4Rh75ZPD^d}x9~!O{b5g@Ry+Sc?Reml`yVxIpK>RU6U&>ed>h@xRh_ zo@h?$I%l9)u5r0t|S9_!Wo}Y;GtToFpD?J?!A~PGC5g6ptONoR2 zO}&ZXz$hD)1PF=ABB?2}ugYiB)4~0S!c|eZB9n*?H=46*%@5b^6W>Y~1Y}hu^}+r7 z_`p^A)kc~D{NbIol6?fe2k8O0!)=n$*Vk{`rn(4V=gL#&YNXZ4?Z{*P$0BMYq>L@c zu@Fz37YU1#seqVu&v*QI$g}T@=b)v8v{YC)6>@CM8#h$LiY*+`jd zYe&f>!cUXTHJ+^$^A2c9bw%&O(uX&mDjHTrYd#hnvEF}AYiA%8LocU6oT{y2@K)^# zn$4C@`!A|TpAxTnOU>wKX1#ii=j|t}IMw82$sb;dHhD;{aPhNW)#D;%27#@+)bpa8 zDTGpPRB5f^R)hr2_a~;nfN;i~T*iWv*Shx^2x94-{ zR;_BblVJ$^D#3jxtacj>IX2(2xlsu`dg|(rK4s&3#7je-d~~Sg-f`?g9mB#a(C<>y z_X&P6Wc&i6mQ89x3QA9piQjdUr0w0a2L-60;-=Vz$MPsh7BAl7c1x6%{=yw;Rx@r^ z+Ck4J6=Ffg%)}HMA5Rw`2Xca-_o;?3>?yh3N2Q!~bH?uaK?(0J2^I33&wDc|g(egf zM$}o2i|PX4vg$Y_jU599a42}T&y7_fjhIFTSTR--&`Lw<;S*RJ;a>>m2)uITBx^>A zoKD6|%>TLRSm-#Q@J6~{VBs^+UPI{CLD;bV&eY?!Xj4Q01BBdpzW7;^jhrOYYuxT0 zpcg+IvviqxYL3*_UaM~v85xRgp+*Pi3ZfO^!u=nXQv1ZM3f0Kf&7W+LG545}UVPv6 zDuG4@5Ya*x7ged~6-Y)_u37JVb-FiHdIv3K=uyO!a8<_uYE-tQWdovs@$gkS>UgX`Z(7Vs=tGr0w?LH85>UeMm4Ih78a2-H& zAh$+>csW;sgX;@Rn~buh<3pqTQ(&L65zkOf-R^Tmg<@T0@8oJ$76q8OJUz?ls}thm zM@13C8z0Y#%?B0=X1l^qOxSX#slFvj5E&XR?9gin1clyr7> z!yI3AC~ePkmr5E3pOCoQ-q=;763S1t?!?|YKXVpt2Md9aun0Ya;g|F5V@{1CQg^w0 z)~aS?vi^MkwY6DvW{Wk(fuf?~%!Nf5yw)Rk2K8lDCc3(>ptgWdG+G1SK%fUxV_kM^ zUH}~WkSPx5j8*a28jnbk|W!bms_{9AjZqjKi{093SBvp1+;IvySR(2sIYr3 z8#+`)_Uh^>vrAH}UDXG|x6)D~4sX(R0kTR-e!vpud4(x&Uk1JK=}Hes^j;-fK0ncK zsP{%Kd^kcab?z&*Hd2{jCahy&!BzJJ`|O=N+%h#uilOU~Pxt!uNYhn#T%uI~{f8mb zUr_L;uv+{^{Y|`l6fh#;!NCx~@qteldl<0nw^My@+E#kB>*m1bJ%HQKX-Wg)@snWZ89ZKcs) zW+#?>cEHj?GQTMei8mx44}bd!#|@MJ5c=G;LjcPaWB!40!>g; zlX_5TjoeV=hRQmz2E5ST;N@f-4E0e1LNZK?A7OuvNbZo58}37!_i}^7G;L z-Pe0vW;A7BMUN7FNK{-PSE3qqeyOSZ4)zL!on%Rjw4wbxJw{EzuIKnfDOc)ttMuZ; z=GGVZz+oVg$bfDG|0x@h4tjL*p$(ZBf~IEzV`Y_znCs*qWO^`A+0&Kqpq$FVrwAvgKP%i zV$=rA7THNYx)D~c`Ows^ut`|1ls z`{)K4J~}E{!^aSveRkbfdZ%?52;i_|tJLL%$ubzyY2}e-R_xbr!(A|7CY4xqu`qN6 zXp7W=^Dl~Nrnjum)P^MmXg~E>`H~0l>w^a`I|*=HdHu1|({^EDuEXalQ^`R)Yipp6 zcnciU3>dUCw5qG%vPSSvdjgx7Lvn8JHJYAD$9E2eRVFj|Ngsmn-^J6Dl!X5|q^$g@ zr`uiM)^@a}rUov`;Vm&4iI!^zs)}hyR+0O$Mz-Ii=b}0DF~cZd7g^h<^4gIZh;%<} z6EpqwOra-?U+orJ>=tNZPx3ahP9KOlGwjc9EHgEP#S0cb05quCu8VMSobyZgK0HIUT7p9*tzD^j;ah;vCQc|KQod)qyOm|jo zT@^m6iQ%#@V)n&OUix|4KoW% z-^2{|Dwt#H>g&za5VVD~tB!Kd@&(doh?IrM71ffrTda|_0nG}CtozUXOQ$i!p$-5? ziToSH=>lpxhxqbLYD$v%hy|I=eQyU%$!VC6iOD1iva=t^YBhwRrg@`3jfqI?sV%mp z2UVx*V;FWE6r8M+wp+|Uzk#!~W#}9hP(&2?{7h_7M63+hpQPi0TY}L)jUXRsX-^}g z{hVP)!nHA8A93hMqdIW-5-Ex2Q~h>lmd`s^T@0!2l~+{s_w(D?Fv|V(?Vb?HEs+z$ zpHl6`RuBVZx?@EdB4yRDKQhuLBrv0f2eL~-$F{$Y=EmT%O@S_yQooJnxFYW7dc$9T z$VYo<4uayAO&COp! z(R&-&=^umfKE^eKp4cR>JjvXWA zSBJ#gDeB}Tq!J8IoUqN;1zY;$<4z39cu5yXaPaXaAHCbbGP5RJ*~~MQGt3H{{-i4y z1mc``D88t1c_tZ}1Fzohz|Eo6RMgZ61;&ax^;2-U%C+-8Cvw$a^p;C+^Ra2)z*ReY z{lwCA<8ghBx@XVea60XYtTC$`?GV;|;$mVraGxSW2*tSE;m@GBfEMWHw v%Z(EJB1GaJwKQ20((v+8W53xWr+MjV=LiG7=Q2b|35k-tnp~!gk@x=tRd|-A literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/data_1.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/data_1.coe" new file mode 100644 index 0000000..700e7a0 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/data_1.coe" @@ -0,0 +1,11 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +00000000 +00000006 +00000000 +00000010 +80000000 +80000100 +00000100 +00000005 +00000000 \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/data_2.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/data_2.coe" new file mode 100644 index 0000000..f3171d7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/data_2.coe" @@ -0,0 +1,11 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +00000000 +00000001 +00000002 +00000003 +80000000 +80000100 +00000100 +00000005 +00000000 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/ins_1.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/ins_1.coe" new file mode 100644 index 0000000..bed8c76 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/ins_1.coe" @@ -0,0 +1,29 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +20080003 +21090002 +200a0001 +200b0000 +01288020 +02108020 +8c11000c + +12300001 + +08000011 +8c080010 +8c090014 +01288020 +8c110018 + +12300001 + +08000011 +000a0020 + +100b0002 + +ac0b0008 +08000011 +ac0a0008 +08000013 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/ins_2.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/ins_2.coe" new file mode 100644 index 0000000..ccc2f84 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/ins_2.coe" @@ -0,0 +1,25 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +00004820 +08000002 +200a0001 +214a0001 +014a5020 +214afffc +11400001 +08000015 +21290001 +0800000a +20000004 +8c0a0004 +8c0b0008 +014b6020 +ac0c0000 +8c0d0000 +8c0e000c +11ae0001 +08000015 +21290001 +08000016 +08000015 +08000016 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/cpu_pipeline_simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/cpu_pipeline_simu.v" new file mode 100644 index 0000000..7beb4ec --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/cpu_pipeline_simu.v" @@ -0,0 +1,28 @@ +`timescale 1ns / 1ps +// pipeline CPU simu +// 2020 COD Lab5 +// ustcpetergu + +module cpu_pipeline_simu(); + reg clk; + reg rst; + + cpu_pipeline cpu_pipeline_inst + ( + .clk(clk), + .rst(rst), + .m_rf_addr(0) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + initial begin + rst = 1; + #10 + rst = 0; + #1000 + $finish; + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/pipeline_dbu_simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/pipeline_dbu_simu.v" new file mode 100644 index 0000000..fd083f0 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/pipeline_dbu_simu.v" @@ -0,0 +1,142 @@ +`timescale 1ns / 1ps +// pipeline cycle CPU -- DBU simu +// 2020 COD Lab5 +// ustcpetergu + + +module pipeline_dbu_simu(); + reg clk, rst; + + reg succ; + reg step; + reg [2:0]sel; + reg [1:0]sel2; + reg m_rf; + reg inc; + reg dec; + wire [15:0]led; + wire an; + wire seg; + wire [31:0]seg_data; + + pipeline_dbu pipeline_dbu_inst + ( + .clk(clk), + .rst(rst), + .succ(succ), + .step(step), + .sel(sel), + .sel2(sel2), + .m_rf(m_rf), + .inc(inc), + .dec(dec), + + .led(led), + .an(an), + .seg(seg), + + .seg_data(seg_data) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + + initial begin + rst = 1; + #10 + rst = 0; + //#1000 + rst = 1; + succ = 0; + step = 0; + sel = 1; + sel2 = 0; + m_rf = 0; + inc = 0; + dec = 0; + + #40 + rst = 0; + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + + #50 + sel = 2; + sel2 = 0; + #10 + sel2 = 1; + #10 + sel2 = 2; + #10 + sel2 = 3; + #10 + sel2 = 0; + sel = 3; + #10 + sel = 4; + #10 + sel = 5; + + #10 + sel = 0; + inc = 1; + #10 + inc = 0; + #10 + inc = 1; + #10 + inc = 0; + + #30 + m_rf = 1; + dec = 1; + #10 + dec = 0; + #10 + dec = 1; + #10 + dec = 0; + + #40 + $finish; + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/alu_0/alu_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/alu_0/alu_0.xci" new file mode 100644 index 0000000..f20ca8b --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/alu_0/alu_0.xci" @@ -0,0 +1,39 @@ + + + xilinx.com + xci + unknown + 1.0 + + + alu_0 + + + 32 + alu_0 + 32 + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" new file mode 100644 index 0000000..d13dc87 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" @@ -0,0 +1,105 @@ + + + xilinx.com + xci + unknown + 1.0 + + + dist_mem_gen_0 + + + 8 + 10111011 + 256 + ./ + zynq + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + dist_mem_gen_0.mif + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 32 + dist_mem_gen_0 + 0 + ce_overrides_sync_controls + ../../../../../ins_2.coe + false + false + 32 + bb + 16 + 256 + non_registered + false + false + non_registered + rom + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_1/dist_mem_gen_1.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_1/dist_mem_gen_1.xci" new file mode 100644 index 0000000..5cdb2b4 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_1/dist_mem_gen_1.xci" @@ -0,0 +1,105 @@ + + + xilinx.com + xci + unknown + 1.0 + + + dist_mem_gen_1 + + + 8 + 10111011 + 256 + ./ + zynq + 1 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + dist_mem_gen_1.mif + 2 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 32 + dist_mem_gen_1 + 0 + ce_overrides_sync_controls + ../../../../../data_2.coe + false + false + 32 + bb + 16 + 256 + non_registered + false + false + non_registered + dual_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/cpu-pipeline.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/cpu-pipeline.v" new file mode 100644 index 0000000..e147012 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/cpu-pipeline.v" @@ -0,0 +1,463 @@ +`timescale 1ns / 1ps +// pipeline CPU +// 2020 COD Lab5 +// ustcpetergu + +module cpu_pipeline + ( + input clk, + input rst, + + output reg [31:0]pc = 0, + + output reg [31:0]npc_ifid = 0, + output reg [31:0]ir_ifid = 0, + + output reg [31:0]npc_idex = 0, + output reg [31:0]A_idex = 0, + output reg [31:0]B_idex = 0, + output reg [31:0]imm_idex = 0, + output reg [31:0]ir_idex = 0, + output reg RegWrite_idex = 0, + output reg MemtoReg_idex = 0, + output reg Branch_idex = 0, + output reg MemRead_idex = 0, + output reg MemWrite_idex = 0, + output reg RegDst_idex = 0, + output reg [2:0]ALUOp_idex = 0, + output reg ALUSrc_idex = 0, + output reg Jump_idex = 0, + + output reg [31:0]npc_exmem = 0, + output reg ZF_exmem = 0, + output reg [31:0]Y_exmem = 0, + output reg [31:0]B_exmem = 0, + output reg [31:0]imm_exmem = 0, + output reg [31:0]ir_exmem = 0, + output reg [4:0]WA_exmem = 0, + output reg RegWrite_exmem = 0, + output reg MemtoReg_exmem = 0, + output reg Branch_exmem = 0, + output reg MemRead_exmem = 0, + output reg MemWrite_exmem = 0, + output reg Jump_exmem = 0, + + output reg [31:0]MDR_memwb = 0, + output reg [31:0]Y_memwb = 0, + output reg [4:0]WA_memwb = 0, + output reg [31:0]ir_memwb = 0, + output reg RegWrite_memwb = 0, + output reg MemtoReg_memwb = 0, + + output wire PCSrc, + + input [7:0]m_rf_addr, + output [31:0]rf_data, + output [31:0]m_data, + + output dummy + ); + + wire [31:0]instruction; + dist_mem_gen_0 dist_mem_gen_0_inst + ( + .a(pc[9:2]), + .spo(instruction) + ); + + wire [31:0]ReadData_ram; + dist_mem_gen_1 dist_mem_gen_1_inst + ( + .clk(clk), + .we(MemWrite_exmem), + .a(Y_exmem[9:2]), + .d(B_exmem), + .dpra(m_rf_addr), + .spo(ReadData_ram), + .dpo(m_data) + ); + + reg [31:0]ALUa; + reg [31:0]ALUb; + wire [31:0]ALUy; + wire ALUZero; + alu_0 alu_0_inst + ( + .m(ALUOp_idex), + .a(ALUa), + .b(ALUb), + .y(ALUy), + .zf(ALUZero) + ); + + reg [31:0]WriteData; + wire [31:0]ReadData1; + wire [31:0]ReadData2; + register_file register_file_inst + ( + .clk(clk), + .ra0(ir_ifid[25:21]), + .ra1(ir_ifid[20:16]), + .ra2(m_rf_addr), + .wa(WA_memwb), + .we(RegWrite_memwb), + .wd(WriteData), + .rd0(ReadData1), + .rd1(ReadData2), + .rd2(rf_data) + ); + + // forwarding unit + reg exmem2ALUA; + reg exmem2ALUB; + reg exmem2DataMem; + reg memwb2ALUA; + reg memwb2ALUB; + reg memwb2DataMem; + always @ (*) begin + exmem2ALUA = 0; + exmem2ALUB = 0; + exmem2DataMem = 0; + memwb2ALUA = 0; + memwb2ALUB = 0; + memwb2DataMem = 0; + + // ADDI + LW + // ADDI + SW(ex) + // ADDI + ADDI + // ADDI + R(A) + // ADDI + BEQ(A) + // exmem(ALUOut) to ALUIn A + // ADDI + R(B) + // ADDI + BEQ(B) + // exmem(ALUOut) to ALUIn B + if ((ir_exmem[31:26] == 6'b001000) & + (ir_idex[31:26] == 6'b100011 | ir_idex[31:26] == 6'b101011 | ir_idex[31:26] == 6'b001000 | ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_exmem[20:16] != 5'b0 & ir_exmem[20:16] == ir_idex[25:21]) exmem2ALUA = 1; + if ((ir_exmem[31:26] == 6'b001000) & + (ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_exmem[20:16] != 5'b0 & ir_exmem[20:16] == ir_idex[20:16]) exmem2ALUB = 1; + + // R + LW + // R + SW(ex) + // R + ADDI + // R + R(A) + // R + BEQ(A) + // exmem(ALUOut) to ALUIn A + // R + R(B) + // R + BEQ(B) + // exmem(ALUOut) to ALUIn B + if ((ir_exmem[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b100011 | ir_idex[31:26] == 6'b101011 | ir_idex[31:26] == 6'b001000 | ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_exmem[15:11] != 5'b0 & ir_exmem[15:11] == ir_idex[25:21]) exmem2ALUA = 1; + if ((ir_exmem[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_exmem[15:11] != 5'b0 & ir_exmem[15:11] == ir_idex[20:16]) exmem2ALUB = 1; + + // LW + * + LW + // LW + * + SW(wb) + // LW + * + ADDI + // LW + * + R(A) + // LW + * + BEQ(A) + // ADDI + * + LW + // ADDI + * + SW(ex) + // ADDI + * + ADDI + // ADDI + * + R(A) + // ADDI + * + BEQ(A) + // memwb(ALUOut or MDR) to ALUIn A + // LW + * + R(B) + // LW + * + BEQ(B) + // ADDI + * + R(B) + // ADDI + * + BEQ(B) + // memwb(ALUOut or MDR) to ALUIn B + if ((ir_memwb[31:26] == 6'b100011 | ir_memwb[31:26] == 6'b001000 | ir_memwb[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b100011 | ir_idex[31:26] == 6'b101011 | ir_idex[31:26] == 6'b001000 | ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_memwb[20:16] != 5'b0 & ir_memwb[20:16] == ir_idex[25:21]) memwb2ALUA = 1; + if ((ir_memwb[31:26] == 6'b100011 | ir_memwb[31:26] == 6'b001000 | ir_memwb[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_memwb[20:16] != 5'b0 & ir_memwb[20:16] == ir_idex[20:16]) memwb2ALUB = 1; + // R + * + LW + // R + * + SW(ex) + // R + * + ADDI + // R + * + R(A) + // R + * + BEQ(A) + // memwb(ALUOut) to ALUIn A + // R + * + R(B) + // R + * + BEQ(B) + // memwb(ALUOut) to ALUIn B + if ((ir_memwb[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b100011 | ir_idex[31:26] == 6'b101011 | ir_idex[31:26] == 6'b001000 | ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_memwb[15:11] != 5'b0 & ir_memwb[15:11] == ir_idex[25:21]) memwb2ALUA = 1; + if ((ir_memwb[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b000000 | ir_idex[31:26] == 6'b000100) & + ir_memwb[15:11] != 5'b0 & ir_memwb[15:11] == ir_idex[20:16]) memwb2ALUB = 1; + + // R + SW(wb) + // exmem(ALUOut) to DataMemory(B_exmem) + // R + * + SW(wb) + // memwb(ALUOut) to DataMemory(B_exmem) + // LW + SW(wb) + // memwb(MDR) to DataMemory(B_exmem) + if ((ir_exmem[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b101011) & + ir_exmem[15:11] != 5'b0 & ir_exmem[15:11] == ir_idex[20:16]) exmem2DataMem = 1; + if ((ir_memwb[31:26] == 6'b000000) & + (ir_idex[31:26] == 6'b101011) & + ir_memwb[15:11] != 5'b0 & ir_memwb[15:11] == ir_idex[20:16]) memwb2DataMem = 1; + if ((ir_memwb[31:26] == 6'b100011) & + (ir_idex[31:26] == 6'b101011) & + ir_memwb[20:16] != 5'b0 & ir_memwb[20:16] == ir_idex[20:16]) exmem2DataMem = 1; + + end + + // hazard detection unit + reg nPCWrite; + reg nIFIDWrite; + reg nControl; + always @ (*) begin + // LW + R(A, B) + // LW + LW + // LW + ADDI + // LW + SW(ex) + // LW + BEQ(A, B) + // stall + if (ir_idex[31:26] == 6'b100011 & ( + (ir_idex[20:16] != 5'b0 & ir_idex[20:16] == ir_ifid[25:21] & (ir_ifid[31:26] == 6'b000000 | ir_ifid[31:26] == 6'b100011 | ir_ifid[31:26] == 6'b001000 | ir_ifid[31:26] == 6'b101011 | ir_ifid[31:26] == 6'b000100)) | + (ir_idex[20:16] != 5'b0 & ir_idex[20:16] == ir_ifid[20:16] & (ir_ifid[31:26] == 6'b000000 | ir_ifid[31:26] == 6'b000100)) + )) begin + nPCWrite = 1; + nIFIDWrite = 1; + nControl = 1; + end + else begin + nPCWrite = 0; + nIFIDWrite = 0; + nControl = 0; + end + end + + // control unit + assign PCSrc = ZF_exmem & Branch_exmem; + assign Flush = Jump_exmem | PCSrc; + reg RegWrite; + reg MemtoReg; + reg Branch; + reg MemRead; + reg MemWrite; + reg RegDst; + reg ALUOp; + reg ALUSrc; + reg Jump; + always @ (*) begin + RegWrite = 0; + MemtoReg = 0; + Branch = 0; + MemRead = 0; + MemWrite = 0; + RegDst = 0; + ALUOp = 3'b0; + ALUSrc = 0; + Jump = 0; + case (ir_ifid[31:26]) + 6'b000000: + case (ir_ifid[5:0]) + // add--- --rs- --rt- --rd- shamt funct- + 6'b100000: begin RegDst = 1; RegWrite = 1; end + default: ; + endcase + // addi-- --rs- --rt- ----immediate--- + 6'b001000: begin ALUSrc = 1; RegWrite = 1; end + // lw---- --rs- --rt- ----addr-------- + 6'b100011: begin ALUSrc = 1; RegWrite = 1; MemRead = 1; MemtoReg = 1; end + // sw---- --rs- --rt- ----addr-------- + 6'b101011: begin ALUSrc = 1; MemWrite = 1; end + // beq-- --rs- --rt- ----addr-------- + 6'b000100: begin Branch = 1; ALUOp = 3'b001; end + // j---- ----addr------------------ + 6'b000010: begin Jump = 1; end + default: ; + endcase + end + always @ (posedge clk) begin + if (rst) begin + RegWrite_idex <= 0; + MemtoReg_idex <= 0; + Branch_idex <= 0; + MemRead_idex <= 0; + MemWrite_idex <= 0; + RegDst_idex <= 0; + ALUOp_idex <= 0; + ALUSrc_idex <= 0; + Jump_idex <= 0; + + RegWrite_exmem <= 0; + MemtoReg_exmem <= 0; + Branch_exmem <= 0; + MemRead_exmem <= 0; + MemWrite_exmem <= 0; + Jump_exmem <= 0; + + RegWrite_memwb <= 0; + MemtoReg_memwb <= 0; + end + else begin + // control -- idex + if (nControl == 0 & Flush== 0) begin + RegWrite_idex <= RegWrite; + MemtoReg_idex <= MemtoReg; + Branch_idex <= Branch; + MemRead_idex <= MemRead; + MemWrite_idex <= MemWrite; + RegDst_idex <= RegDst; + ALUOp_idex <= ALUOp; + ALUSrc_idex <= ALUSrc; + Jump_idex <= Jump; + end + else begin + RegWrite_idex <= 0; + MemtoReg_idex <= 0; + Branch_idex <= 0; + MemRead_idex <= 0; + MemWrite_idex <= 0; + RegDst_idex <= 0; + ALUOp_idex <= 3'b0; + ALUSrc_idex <= 0; + Jump_idex <= 0; + end + + // control -- exmem + // this flush is actually unnecessary + if (Flush) begin + RegWrite_exmem <= 0; + MemtoReg_exmem <= 0; + Branch_exmem <= 0; + MemRead_exmem <= 0; + MemWrite_exmem <= 0; + Jump_exmem <= 0; + end + else begin + RegWrite_exmem <= RegWrite_idex; + MemtoReg_exmem <= MemtoReg_idex; + Branch_exmem <= Branch_idex; + MemRead_exmem <= MemRead_idex; + MemWrite_exmem <= MemWrite_idex; + Jump_exmem <= Jump_idex; + end + + // control -- memwb + RegWrite_memwb <= RegWrite_exmem; + MemtoReg_memwb <= MemtoReg_exmem; + end + end + + always @ (*) begin + // with forwarding + if (exmem2ALUA) ALUa = Y_exmem; + else if (memwb2ALUA) ALUa = WriteData; + else ALUa = A_idex; + end + always @ (*) begin + // with forwarding + if (exmem2ALUB) ALUb = Y_exmem; + else if (memwb2ALUB) ALUb = WriteData; + else if (ALUSrc_idex) ALUb = imm_idex; + else ALUb = B_idex; + end + always @ (*) begin + if (MemtoReg_memwb) WriteData = MDR_memwb; + else WriteData = Y_memwb; + end + always @ (posedge clk) begin + if (rst) begin + pc <= 0; + + npc_ifid <= 0; + ir_ifid <= 0; + + npc_idex <= 0; + A_idex <= 0; + B_idex <= 0; + imm_idex <= 0; + ir_idex <= 0; + + npc_exmem <= 0; + ZF_exmem <= 0; + Y_exmem <= 0; + B_exmem <= 0; + imm_exmem <= 0; + ir_exmem <= 0; + WA_exmem <= 0; + + MDR_memwb <= 0; + Y_memwb <= 0; + WA_memwb <= 0; + ir_memwb <= 0; + end + else begin + // pc + // with interlock + if (nPCWrite == 0) begin + if (Jump_exmem) pc <= {4'b0000, ir_exmem[25:0], 2'b00}; + else if (PCSrc) pc <= npc_exmem; + else pc <= pc + 4; + end + + // data -- ifid + // with interlock + if (Flush) begin + npc_ifid <= 0; + ir_ifid <= 0; + end + else if (nIFIDWrite == 0) begin + npc_ifid <= pc + 4; + ir_ifid <= instruction; + end + + // data -- idex + if (Flush) begin + npc_idex <= 0; + A_idex <= 0; + B_idex <= 0; + imm_idex <= 0; + ir_ifid <= 0; + end + else begin + npc_idex <= npc_ifid; + A_idex <= ReadData1; + B_idex <= ReadData2; + imm_idex <= {{16{ir_ifid[15]}}, ir_ifid[15:0]}; + ir_idex <= ir_ifid; + end + + // data -- exmem + // this flush is actually unnecessary + if (Flush) begin + npc_exmem <= 0; + ZF_exmem <= 0; + Y_exmem <= 0; + imm_idex <= 0; + WA_exmem <= 0; + B_exmem <= 0; + end + else begin + npc_exmem <= npc_idex + (imm_idex << 2); + ZF_exmem <= ALUZero; + Y_exmem <= ALUy; + imm_exmem <= imm_idex; + if (RegDst_idex) WA_exmem <= ir_idex[15:11]; + else WA_exmem <= ir_idex[20:16]; + ir_exmem <= ir_idex; + // with forwarding + if (exmem2DataMem) B_exmem <= Y_exmem; + else B_exmem <= B_idex; + end + + // data -- memwb + MDR_memwb <= ReadData_ram; + Y_memwb <= Y_exmem; + WA_memwb <= WA_exmem; + ir_memwb <= ir_exmem; + end + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/pipeline_dbu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/pipeline_dbu.v" new file mode 100644 index 0000000..4ab83e5 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/pipeline_dbu.v" @@ -0,0 +1,245 @@ +`timescale 1ns / 1ps +// pipeline cycle CPU -- DBU +// 2020 COD Lab5 +// ustcpetergu + +module edgefetcher + ( + input clk, rst, + input y, + output p + ); + reg [1:0]state = 0; + reg [1:0]next_state = 0; + assign p = (state == 1); + always @ (posedge clk) begin + if (rst) begin + state <= 0; + next_state <= 0; + end + else state <= next_state; + end + always @ (*) begin + next_state = state; + case (state) + 0: if (y) next_state = 1; + 1: if (y) next_state = 2; else next_state = 0; + 2: if (y) next_state = 2; else next_state = 0; + default: next_state = 0; + endcase + end +endmodule + +module pipeline_dbu + ( + input clk, + input rst, + input succ, + input step, + input [2:0]sel, + input [1:0]sel2, + input m_rf, + input inc, + input dec, + + output reg [15:0]led = 0, + output wire [7:0]an, + output wire [6:0]seg, + + output reg [31:0]seg_data = 0 + + ); + + seven_segment seven_segment_inst + ( + .clk(clk), + .rst(rst), + .x(seg_data), + .an(an), + .seg(seg) + ); + + wire step_real; + reg step_real_old = 0; + wire inc_real; + wire dec_real; + + edgefetcher edgefetcher_inst + ( + .clk(clk), + .rst(rst), + .y(step), + .p(step_real) + ); + edgefetcher edgefetcher_inst_1 + ( + .clk(clk), + .rst(rst), + .y(inc), + .p(inc_real) + ); + edgefetcher edgefetcher_inst_2 + ( + .clk(clk), + .rst(rst), + .y(dec), + .p(dec_real) + ); + + reg dclk = 0; + reg [7:0]m_rf_addr = 0; + wire [31:0]m_data; + wire [31:0]rf_data; + + wire [31:0]pc; + + wire [31:0]npc_ifid; + wire [31:0]ir_ifid; + + wire [31:0]npc_idex; + wire [31:0]ir_idex; + wire [31:0]A_idex; + wire [31:0]B_idex; + wire RegWrite_idex; + wire MemtoReg_idex; + wire Branch_idex; + wire MemRead_idex; + wire RegDst_idex; + wire [2:0]ALUOp_idex; + wire ALUSrc_idex; + wire Jump_idex; + + wire [31:0]npc_exmem; + wire [31:0]ir_exmem; + wire [31:0]Y_exmem; + wire [31:0]imm_exmem; + wire RegWrite_exmem; + wire MemtoReg_exmem; + wire Branch_exmem; + wire MemWrite_exmem; + wire MemRead_exmem; + wire MemWrite_idex; + wire Jump_exmem; + + wire [31:0]MDR_memwb; + wire [31:0]ir_memwb; + wire [31:0]Y_memwb; + wire [31:0]WA_memwb; + wire RegWrite_memwb; + wire MemtoReg_memwb; + + cpu_pipeline cpu_pipeline_inst + ( + .clk(dclk), + //.clk(clk), + .rst(rst), + + .pc(pc), + + .npc_ifid(npc_ifid), + .ir_ifid(ir_ifid), + + .npc_idex(npc_idex), + .ir_idex(ir_idex), + .A_idex(A_idex), + .B_idex(B_idex), + .RegWrite_idex(RegWrite_idex), + .MemtoReg_idex(MemtoReg_idex), + .Branch_idex(Branch_idex), + .MemRead_idex(MemRead_idex), + .RegDst_idex(RegDst_idex), + .ALUOp_idex(ALUOp_idex), + .ALUSrc_idex(ALUSrc_idex), + .Jump_idex(Jump_idex), + + .npc_exmem(npc_exmem), + .ir_exmem(ir_exmem), + .Y_exmem(Y_exmem), + .imm_exmem(imm_exmem), + .RegWrite_exmem(RegWrite_exmem), + .MemtoReg_exmem(MemtoReg_exmem), + .Branch_exmem(Branch_exmem), + .MemWrite_exmem(MemWrite_exmem), + .MemRead_exmem(MemRead_exmem), + .MemWrite_idex(MemWrite_idex), + .Jump_exmem(Jump_exmem), + + .MDR_memwb(MDR_memwb), + .ir_memwb(ir_memwb), + .Y_memwb(Y_memwb), + .WA_memwb(WA_memwb), + .RegWrite_memwb(RegWrite_memwb), + .MemtoReg_memwb(MemtoReg_memwb), + + .m_rf_addr(m_rf_addr), + .rf_data(rf_data), + .m_data(m_data) + ); + //always @ (posedge clk) + //dclk = ~dclk; + + always @ (posedge clk) begin + if (rst) begin + m_rf_addr <= 0; + //dclk <= 0; + dclk <= ~dclk; + led <= 16'b0; + step_real_old <= 0; + end + else begin + if (succ == 1) begin dclk <= !dclk; end + else begin + if (step_real) dclk <= !dclk; + else if (step_real_old) dclk <= !dclk; + end + + step_real_old <= step_real; + + if (inc_real) m_rf_addr <= m_rf_addr + 1; + else if (dec_real) m_rf_addr <= m_rf_addr - 1; + + if (sel == 3'b0) + led <= {7'b0, m_rf_addr}; + else begin + case (sel) + 3: led <= {RegWrite_idex, MemtoReg_idex, Branch_idex, MemRead_idex, MemWrite_idex, RegDst_idex, ALUOp_idex, ALUSrc_idex, Jump_idex, 5'b0}; + 4: led <= {RegWrite_exmem, MemtoReg_exmem, Branch_exmem, MemRead_exmem, MemWrite_exmem, 0, 3'b000, 0, Jump_exmem, 5'b0}; + 5: led <= {RegWrite_memwb, MemtoReg_memwb, 0, 0, 0, 0, 3'b000, 0, 0, 5'b0}; + default: led <= 16'hFF; + endcase + end + + case (sel) + 0: begin + if (m_rf == 1) seg_data <= m_data; else seg_data <= rf_data; + end + 1: seg_data <= pc; + 2: case (sel2) + 0: seg_data <= npc_ifid; + 1: seg_data <= ir_ifid; + default: seg_data <= 0; + endcase + 3: case (sel2) + 0: seg_data <= npc_idex; + 1: seg_data <= ir_idex; + 2: seg_data <= A_idex; + 3: seg_data <= B_idex; + endcase + 4: case (sel2) + 0: seg_data <= npc_exmem; + 1: seg_data <= ir_exmem; + 2: seg_data <= Y_exmem; + 3: seg_data <= imm_exmem; + endcase + 5: case (sel2) + 0: seg_data <= MDR_memwb; + 1: seg_data <= ir_memwb; + 2: seg_data <= Y_memwb; + 3: seg_data <= WA_memwb; + endcase + default: seg_data <= 32'b0; + endcase + end + end + +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/register_file.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/register_file.v" new file mode 100755 index 0000000..875b5d8 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/register_file.v" @@ -0,0 +1,47 @@ +`timescale 1ns / 1ps +// regfile with debug port and fowarding -- write & read in same cycle +// 2020 COD Lab5 +// ustcpetergu + +module register_file + #(parameter WIDTH = 32) + ( + input clk, + input [4:0]ra0, + input [4:0]ra1, + input [4:0]ra2, + input [4:0]wa, + input we, + input [WIDTH-1:0]wd, + output reg [WIDTH-1:0]rd0, + output reg [WIDTH-1:0]rd1, + output reg [WIDTH-1:0]rd2 + ); + + reg [WIDTH-1:0]regfile[31:0]; + initial $readmemh("/home/petergu/MyHome/COD/lab4/regfile.dat", regfile); + + always @ (*) begin + if (ra0 == 5'b0) rd0 = 0; + else if (we & (ra0 == wa)) rd0 = wd; + else rd0 = regfile[ra0]; + + if (ra1 == 5'b0) rd1 = 0; + else if (we & (ra1 == wa)) rd1 = wd; + else rd1 = regfile[ra1]; + + if (ra2 == 5'b0) rd2 = 0; + else if (we & (ra2 == wa)) rd2 = wd; + else rd2 = regfile[ra2]; + end + + always @ (posedge clk) begin + if (we) begin + if (wa != 5'b0) begin + regfile[wa] <= wd; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/seven-segment.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/seven-segment.v" new file mode 100644 index 0000000..5ff5af9 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/seven-segment.v" @@ -0,0 +1,65 @@ +`timescale 1ns / 1ps +// 7-segment digital control +// 2020 COD Lab +// ustcpetergu + +module seven_segment + ( + input clk, + input rst, + input [31:0]x, + output reg [7:0]an, + output reg [6:0]seg + ); + reg [31:0]count = 0; + localparam UPDATE_COUNT = 100000; + reg [2:0]select = 0; + reg [3:0]x0; + always @ (*) begin + case (select) + 0: begin x0 = x[3:0]; an = 8'b11111110; end + 1: begin x0 = x[7:4]; an = 8'b11111101; end + 2: begin x0 = x[11:8]; an = 8'b11111011; end + 3: begin x0 = x[15:12]; an = 8'b11110111; end + 4: begin x0 = x[19:16]; an = 8'b11101111; end + 5: begin x0 = x[23:20]; an = 8'b11011111; end + 6: begin x0 = x[27:24]; an = 8'b10111111; end + 7: begin x0 = x[31:28]; an = 8'b01111111; end + default: begin x0 = 0; an = 0; end + endcase + case (x0) + // +7+ + // 2 6 + // +1+ + // 3 5 + // +4+ + 0: seg = 7'b1000000; + 1: seg = 7'b1111001; + 2: seg = 7'b0100100; + 3: seg = 7'b0110000; + 4: seg = 7'b0011001; + 5: seg = 7'b0010010; + 6: seg = 7'b0000010; + 7: seg = 7'b1111000; + 8: seg = 7'b0000000; + 9: seg = 7'b0010000; + 10: seg = 7'b0001000; + 11: seg = 7'b0000011; + 12: seg = 7'b1000110; + 13: seg = 7'b0100001; + 14: seg = 7'b0000110; + 15: seg = 7'b0001110; + default: seg = 0; + endcase + end + always @ (posedge clk) begin + if (rst) + count <= 0; + else if (count >= UPDATE_COUNT) begin + count <= 0; + select <= select + 1; + end else + count <= count + 1; + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/top_test.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/top_test.v" new file mode 100644 index 0000000..4cc8bd6 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/top_test.v" @@ -0,0 +1,240 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 06/15/2020 10:25:51 AM +// Design Name: +// Module Name: top_test +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module top_test + ( + input clk, + input rst, + + output reg [15:0]led = 0, + output wire [7:0]an, + output wire [6:0]seg, + + output reg [31:0]seg_data = 0 + ); + + + reg succ = 1; + reg step = 0; + reg [2:0]sel = 0; + reg [1:0]sel2 = 0; + reg m_rf = 0; + reg inc = 0; + reg dec = 0; + + seven_segment seven_segment_inst + ( + .clk(clk), + .rst(rst), + .x(seg_data), + .an(an), + .seg(seg) + ); + + //wire step_real; + //reg step_real_old = 0; + //wire inc_real; + //wire dec_real; + + reg step_real = 0; + reg step_real_old = 0; + reg inc_real = 0; + reg dec_real = 0; + + //edgefetcher_0 edgefetcher_inst_0 + //( + //.clk(clk), + //.rst(rst), + //.y(step), + //.p(step_real) + //); + //edgefetcher_0 edgefetcher_inst_1 + //( + //.clk(clk), + //.rst(rst), + //.y(inc), + //.p(inc_real) + //); + //edgefetcher_0 edgefetcher_inst_2 + //( + //.clk(clk), + //.rst(rst), + //.y(dec), + //.p(dec_real) + //); + + reg dclk = 0; + reg [7:0]m_rf_addr = 0; + wire [31:0]m_data; + wire [31:0]rf_data; + + wire [31:0]pc; + + wire [31:0]npc_ifid; + wire [31:0]ir_ifid; + + wire [31:0]npc_idex; + wire [31:0]ir_idex; + wire [31:0]A_idex; + wire [31:0]B_idex; + wire RegWrite_idex; + wire MemtoReg_idex; + wire Branch_idex; + wire MemRead_idex; + wire RegDst_idex; + wire [2:0]ALUOp_idex; + wire ALUSrc_idex; + wire Jump_idex; + + wire [31:0]npc_exmem; + wire [31:0]ir_exmem; + wire [31:0]Y_exmem; + wire [31:0]imm_exmem; + wire RegWrite_exmem; + wire MemtoReg_exmem; + wire Branch_exmem; + wire MemWrite_exmem; + wire MemRead_exmem; + wire MemWrite_idex; + wire Jump_exmem; + + wire [31:0]MDR_memwb; + wire [31:0]ir_memwb; + wire [31:0]Y_memwb; + wire [31:0]WA_memwb; + wire RegWrite_memwb; + wire MemtoReg_memwb; + + cpu_pipeline cpu_pipeline_inst + ( + .clk(dclk), + .rst(rst), + + .pc(pc), + + .npc_ifid(npc_ifid), + .ir_ifid(ir_ifid), + + .npc_idex(npc_idex), + .ir_idex(ir_idex), + .A_idex(A_idex), + .B_idex(B_idex), + .RegWrite_idex(RegWrite_idex), + .MemtoReg_idex(MemtoReg_idex), + .Branch_idex(Branch_idex), + .MemRead_idex(MemRead_idex), + .RegDst_idex(RegDst_idex), + .ALUOp_idex(ALUOp_idex), + .ALUSrc_idex(ALUSrc_idex), + .Jump_idex(Jump_idex), + + .npc_exmem(npc_exmem), + .ir_exmem(ir_exmem), + .Y_exmem(Y_exmem), + .imm_exmem(imm_exmem), + .RegWrite_exmem(RegWrite_exmem), + .MemtoReg_exmem(MemtoReg_exmem), + .Branch_exmem(Branch_exmem), + .MemWrite_exmem(MemWrite_exmem), + .MemRead_exmem(MemRead_exmem), + .MemWrite_idex(MemWrite_idex), + .Jump_exmem(Jump_exmem), + + .MDR_memwb(MDR_memwb), + .ir_memwb(ir_memwb), + .Y_memwb(Y_memwb), + .WA_memwb(WA_memwb), + .RegWrite_memwb(RegWrite_memwb), + .MemtoReg_memwb(MemtoReg_memwb), + + .m_rf_addr(m_rf_addr), + .rf_data(rf_data), + .m_data(m_data) + ); + + //always @ (posedge clk) + //dclk = ~dclk; + + always @ (posedge clk) begin + if (rst) begin + m_rf_addr <= 0; + //dclk <= 0; + dclk <= ~dclk; + led <= 16'b0; + step_real_old <= 0; + end + else begin + if (succ == 1) begin dclk <= !dclk; end + else begin + if (step_real) dclk <= !dclk; + else if (step_real_old) dclk <= !dclk; + end + + step_real_old <= step_real; + + if (inc_real) m_rf_addr <= m_rf_addr + 1; + else if (dec_real) m_rf_addr <= m_rf_addr - 1; + + if (sel == 3'b0) + led <= {7'b0, m_rf_addr}; + else begin + case (sel) + 3: led <= {RegWrite_idex, MemtoReg_idex, Branch_idex, MemRead_idex, MemWrite_idex, RegDst_idex, ALUOp_idex, ALUSrc_idex, Jump_idex, 5'b0}; + 4: led <= {RegWrite_exmem, MemtoReg_exmem, Branch_exmem, MemRead_exmem, MemWrite_exmem, 0, 3'b000, 0, Jump_exmem, 5'b0}; + 5: led <= {RegWrite_memwb, MemtoReg_memwb, 0, 0, 0, 0, 3'b000, 0, 0, 5'b0}; + default: led <= 16'hFF; + endcase + end + + case (sel) + 0: begin + if (m_rf == 1) seg_data <= m_data; else seg_data <= rf_data; + end + 1: seg_data <= pc; + 2: case (sel2) + 0: seg_data <= npc_ifid; + 1: seg_data <= ir_ifid; + default: seg_data <= 0; + endcase + 3: case (sel2) + 0: seg_data <= npc_idex; + 1: seg_data <= ir_idex; + 2: seg_data <= A_idex; + 3: seg_data <= B_idex; + endcase + 4: case (sel2) + 0: seg_data <= npc_exmem; + 1: seg_data <= ir_exmem; + 2: seg_data <= Y_exmem; + 3: seg_data <= imm_exmem; + endcase + 5: case (sel2) + 0: seg_data <= MDR_memwb; + 1: seg_data <= ir_memwb; + 2: seg_data <= Y_memwb; + 3: seg_data <= WA_memwb; + endcase + default: seg_data <= 32'b0; + endcase + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5.tcl" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5.tcl" new file mode 100644 index 0000000..7717501 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/lab5.tcl" @@ -0,0 +1,594 @@ +#***************************************************************************************** +# Vivado (TM) v2019.1 (64-bit) +# +# lab5.tcl: Tcl script for re-creating project 'lab5-cpu-pipeline' +# +# Generated by Vivado on Thu Jun 25 19:50:36 CST 2020 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +#***************************************************************************************** +# NOTE: In order to use this script for source control purposes, please make sure that the +# following files are added to the source control system:- +# +# 1. This project restoration tcl script (lab5.tcl) that was generated. +# +# 2. The following source(s) files that were local or imported into the original project. +# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script) +# +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/cpu-pipeline.v" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/register_file.v" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/seven-segment.v" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/pipeline_dbu.v" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/alu_0/alu_0.xci" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_1/dist_mem_gen_1.xci" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/cpu_pipeline_simu.v" +# "/home/petergu/MyHome/COD/lab5/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/pipeline_dbu_simu.v" +# +# 3. The following remote source files that were added to the original project:- +# +# "/home/petergu/MyHome/COD/lab5/ins_2.coe" +# "/home/petergu/MyHome/COD/lab5/data_2.coe" +# +#***************************************************************************************** + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir "." + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set _xil_proj_name_ "lab5-cpu-pipeline" + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set _xil_proj_name_ $::user_project_name +} + +variable script_file +set script_file "lab5.tcl" + +# Help information for this script +proc print_help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < $::argc} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] } + "--help" { print_help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set orig_proj_dir "[file normalize "$origin_dir/lab5-cpu-pipeline"]" + +# Create project +create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1 + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Set project properties +set obj [current_project] +set_property -name "board_part" -value "www.digilentinc.com:pynq-z1:part0:1.0" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.accelerator_binary_content" -value "bitstream" -objects $obj +set_property -name "dsa.accelerator_binary_format" -value "xclbin2" -objects $obj +set_property -name "dsa.board_id" -value "pynq-z1" -objects $obj +set_property -name "dsa.description" -value "Vivado generated DSA" -objects $obj +set_property -name "dsa.dr_bd_base_address" -value "0" -objects $obj +set_property -name "dsa.emu_dir" -value "emu" -objects $obj +set_property -name "dsa.flash_interface_type" -value "bpix16" -objects $obj +set_property -name "dsa.flash_offset_address" -value "0" -objects $obj +set_property -name "dsa.flash_size" -value "1024" -objects $obj +set_property -name "dsa.host_architecture" -value "x86_64" -objects $obj +set_property -name "dsa.host_interface" -value "pcie" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.platform_state" -value "pre_synth" -objects $obj +set_property -name "dsa.vendor" -value "xilinx" -objects $obj +set_property -name "dsa.version" -value "0.0" -objects $obj +set_property -name "enable_vhdl_2008" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj +set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj +set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "webtalk.activehdl_export_sim" -value "18" -objects $obj +set_property -name "webtalk.ies_export_sim" -value "18" -objects $obj +set_property -name "webtalk.modelsim_export_sim" -value "18" -objects $obj +set_property -name "webtalk.questa_export_sim" -value "18" -objects $obj +set_property -name "webtalk.riviera_export_sim" -value "18" -objects $obj +set_property -name "webtalk.vcs_export_sim" -value "18" -objects $obj +set_property -name "webtalk.xsim_export_sim" -value "18" -objects $obj +set_property -name "webtalk.xsim_launch_sim" -value "82" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/../ip"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + [file normalize "${origin_dir}/ins_2.coe"] \ + [file normalize "${origin_dir}/data_2.coe"] \ +] +add_files -norecurse -fileset $obj $files + +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/cpu-pipeline.v" ]\ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/register_file.v" ]\ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/seven-segment.v" ]\ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/new/pipeline_dbu.v" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +# None + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "pipeline_dbu" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/alu_0/alu_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "alu_0/alu_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "dist_mem_gen_0/dist_mem_gen_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sources_1/ip/dist_mem_gen_1/dist_mem_gen_1.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "dist_mem_gen_1/dist_mem_gen_1.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Empty (no sources present) + +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] + +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/cpu_pipeline_simu.v" ]\ + [file normalize "${origin_dir}/lab5-cpu-pipeline/lab5-cpu-pipeline.srcs/sim_1/new/pipeline_dbu_simu.v" ]\ +] +set imported_files [import_files -fileset sim_1 $files] + +# Set 'sim_1' fileset file properties for remote files +# None + +# Set 'sim_1' fileset file properties for local files +# None + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "nl.mode" -value "funcsim" -objects $obj +set_property -name "top" -value "cpu_pipeline_simu" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj +set_property -name "top_lib" -value "xil_defaultlib" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "1" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "1" -objects $obj + +# Set 'utils_1' fileset object +set obj [get_filesets utils_1] +# Empty (no sources present) + +# Set 'utils_1' fileset properties +set obj [get_filesets utils_1] + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xc7z020clg400-1 -flow {Vivado Synthesis 2019} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2019" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { + +} +set obj [get_runs synth_1] +set_property -name "needs_refresh" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xc7z020clg400-1 -flow {Vivado Implementation 2019} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2019" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "options.verbose" -value "1" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +puts "INFO: Project created:${_xil_proj_name_}" +# Create 'drc_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} { +create_dashboard_gadget -name {drc_1} -type drc +} +set obj [get_dashboard_gadgets [ list "drc_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj + +# Create 'methodology_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} { +create_dashboard_gadget -name {methodology_1} -type methodology +} +set obj [get_dashboard_gadgets [ list "methodology_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj + +# Create 'power_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} { +create_dashboard_gadget -name {power_1} -type power +} +set obj [get_dashboard_gadgets [ list "power_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj + +# Create 'timing_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} { +create_dashboard_gadget -name {timing_1} -type timing +} +set obj [get_dashboard_gadgets [ list "timing_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj + +# Create 'utilization_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} { +create_dashboard_gadget -name {utilization_1} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_1" ] ] +set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj +set_property -name "run.step" -value "synth_design" -objects $obj +set_property -name "run.type" -value "synthesis" -objects $obj + +# Create 'utilization_2' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} { +create_dashboard_gadget -name {utilization_2} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_2" ] ] +set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj + +move_dashboard_gadget -name {utilization_1} -row 0 -col 0 +move_dashboard_gadget -name {power_1} -row 1 -col 0 +move_dashboard_gadget -name {drc_1} -row 2 -col 0 +move_dashboard_gadget -name {timing_1} -row 0 -col 1 +move_dashboard_gadget -name {utilization_2} -row 1 -col 1 +move_dashboard_gadget -name {methodology_1} -row 2 -col 1 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/logic.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/logic.png" new file mode 100644 index 0000000000000000000000000000000000000000..2ad9cdc52f0f6467d56b05ca61a2bca909ccc408 GIT binary patch literal 124795 zcmd3NWmpy87w%D{L?onBq(Qn{N~F6>K)OLVG$=>9ySr235K_`z(%l^gICS3O_kZs9 z`|-{Pm}kz+n!Wd|z2be>3RY5(LVr#48UzBNOMj720fAn5gFr8rP+kI8gd2i*fPVddyuq*sG3{a;gY)-&ej^z$yK^DY?vnXPPp#{71gItC|49YbPORm z(|F}YMN>M(n&ow5Q@7Towo^K#KQ+sdFG@oE=ssL|2STVeTVaQM957hq`wvbvsr{#3 zPp?yx!5Lez!`pN2JEQ{yl)&481Cujt`NjWEZ~4xd|2y+r6@xvG5d=bE{{P~VC=4_v z!q$UgBB6Tz_IYFvh%8DW&PZdL*OWwqCKaaNEFPY;@eQOF+0eXHq&G?t^U@#MF_WWgPL?racpazGp|%ZXQ=~N^ zqQH(XS4%+6j@!T010;b!x5DQYkA0f^H}^hQ0i#Ln3e?dS*j0v9k!}4^@$INSvN--;Q72Pe9GvwvW`f zg*R?J7qDuP=DzGAT^ukj zTrR(lOfl&r83_zfT}i0eVLodt2NR+g7VFty464s1(wsp5o1ETlO_RXkYlTM-RgLoq-%%l1_@q;PereQ#V8Ag0IOT zPYJ=4U;8YKTYci=GYZq!L76n8rX+=-qCD*456?oD4h;m7Kfd5qWu6c9z+4yLZQ;rboo z^=9SYvT=i)E7#hJ!o<%q{aVplN}t&E-e*2VS-RnYzma{_6*R9^>F!mFXLCBd|HQ18 zazadDTVOuO*t%XBck7?$mAZl}8Id;b#A8WBamo7j9om0ecI4GI;ajR{PB!82xq$Gv9U3YLK?5d*!vcL&^g@z!FI6dDqCi+ zZml+7ZKu!H^!fbH+UrG9OAiaeYLpc4ZoEeqkCnV^9#U!#eg?x$H4?Vv?;+QNtuEyr`(c;rx&ti>%jb#Z z=n9;>xV)-GM(6S#g(!Hy3;aM1(YfC&cewj_;8a{j^;!(oBfWzLPH40u&= zETi4N-L^Zm^TBOF1A!FUIWKt9P_N1mK)e+Gr`C_LPGSsQwe;I)KH$%H41v=`pI4}8 zA~96B$I|Du4p|;mU^t?XgQoxH3Hn(&ng(-f)Qc3pEn22u{m#}VRV>ie|5?~eJFBPp z{%jBqha+$iJ>UE(a*v~B@W@r6pgsMC|`mmV}=I%rh)4ELPoxxwtOott*-6=zh=HcmyK)k>xfXTvfU~m0!t< zu{7Gbx=y6j8|eI*ntEWezK=SssYu~+bqJHsD|=WS;At!8>@laAuDreWJ;10V<@$0P zM(pF{7~wfJXkW2)=Ihj1b}tb)R<+YM+I(Wv`#W=q-Q1?S^RKdg1{q*%EX80yo%2hq z934;ByF)e0b>idWxtzDs-H$q5xb)%vN+?$8RS5yeWv#P_utHuRN; zauM>D^vmZi%AP%8r7Waa=EoUt$YY{#H&70OSh;O)N3CVP*_=0LSD4y2UvIxXXf9sE z^A8$91c6$}Jn+-rNh)*OExN&4u2SR*Zs0qLnZiQC!Uj0qx%Eb2?t&T7lu|xt{j`G# zOii%XJG_rttd8qlCfo>qFWNDQINXNL(R%sSHN8uUA7PBs%nQQIy_QTOO7dP+(rXk3 zGC@qPV|~FphEar0=c#IavwWIqPs1ip51XNt#-%a_PdS`7KYN)8)3-U#aJm5%@(?&@ z=JhNov0H37t!O%yk(O?}J8t6O;D{6mj8d`UBmz!zb8`bR$RD>;?S=0SYmoen78@Le zG6_K*$OFLzCtad@5u^36h1NoLf%2Rbda41-_)^8%(6938ZcF#&sZZDa6IX33(;46Q zU}hOSbc=s;zrO%|BKNy^v-Xj#XaC^f>2{{AprAnb{-l#qDnjt)m#*MwU_gLE601@B zPekriTj0aGxVQ)<;DJ2I2Tp%}{LaNm!cbmPQI1KOmv?SKEQLZLIheTU`%p&zu4&l! zHobg``EwCLjIhZFG`GWRYPgQRrXq=#x94M)3-IbpKe)a_0*>U(Va@1tnKrx~nf%+g zZw`x=E^gWh&d$!}qZtopgDg)wB2SHb1*u`5O@8OT{KQgl^RPU!|Lxf6Z=z;4CFgpB|0B_drds53&CMk=?mZk~xaG z_(bhe6L@B7O5gipDuxQHL(Ne4RFmb=YJS&oqQY}GJe-T{Na9XKCS75t!Exgw-r#h( zt|vP;=$vKj-)2Wd)k>e zQYU?U_gDuQi(R3yrq#)1-=~Ly0-B!LXemy4Mg|5V_HSQwbciS*#mmzjTP#ASe93nU zy)c3U!^=aFnd!7mMT&@xn3$N3jt*}7<-@Bx|ED*gtQn)@0EC4ZJGg`N4t3vDxJ(Tj z#iB<{DYUk(h5X6-^vv5x)qIq|ko9PwF*Zj)qxs}`2AN3T3Zn-J2;~HT)waSAzWypI z+HPIhGX3x0zok}AdEI(DeNoXLbRmJ(Gy94wEN@3sd716M8T{0GSPNym{G)J5@!i70 z03Sm^ROzA+{X>jOuKr|xoXo;d=?rAW(SU;uB39X z!1vE8ana79AK*|Nyo7o>aruA2J+)dN6$)!wTU+z;sQCH${VZQ(@vIG1b^4y2#Dq5g z>?E{OId(5z4XEg3lIBdZ%~oiVp3H&CT{u@S^uhK7b9Y$N`Bbh7x~4Cc!w zGV9d#9k~`36kv;?OsE-}OttcV2@&>4M1Evr>Veb`{4EgnIp#rmr|kHlB}IXFRXK^qy$jTH8H zyxFOTLb1eNt(}Zwn3Svw)VK@Bsc0vJf6gs2{t1Lez&3CO@~*{LMPc`0u9>ur_u>I> zq~+xF8XVRpi&Zz)*WVy@j-(4j-U{R9jqQpmRKp>Z&yRuLd;^|K>s$+pj1=h=H2`)G zV39vdNc2_9`FbU)44fK&`R-I(ol{-VFQP^x5tSD-i-PR)=f7uB+C2gs_?cU;*CCKs z{_TGq7acwDDSo!IxvkgkZFd|=x*TtZ?3)>_|3o|cc)j;ucKrU;PSfi5FaJ;zsi`2C zVyO0SMq3UmkV_v=;L)8H+vmD4->+sRZp{IJW`jViARt!-Dajz3d|SrFXkj}r_~r1E zYVbqkG$KgK4cxkLI)eU!WXz|N)_9-`^cDq3&DDU^6%)uV(W=Kk9HhSjc~DO&dZoW0 ziL}&ujSGYj*w3-tF2&i&0h8wA0SU6D?}hQx57QU@KwdOvG6n=kS%pe6?x%~$s1iUl z>qiE}j6XUEOj2^_h;r31B_eVmYz4(3IqWj-qMLm8(Es8Q1Z<7lfKTI#@=XS9T%%uu z2l<~Wi3PYiIKfL5Jg`(d)~+3ScVo$TLm1@0mAm}}`}sFV!lkLCO~0)^9m~-3;m4BU z(H5@(?0*4~2jJ%Yu*0??+1iBVo{TAKQwJsXHkPh$i4zLIAN_nH*m?*i1OO)sO2e!G zWMNa$FB)By=~mU)$k@$Qq^~fX(ks{2>cjLv4`?P~MLq@B!3EgEy@KhGjUu{t3RG!d z+@n#jw@&(j0PmCi4`7beI%V3ipPgM~LqizEUt`3*j-`!oJ*HdA0yFzP{$%@%lQ})N z%Q(L((jaeLI+aq-sz^uyVe9zyX6I1bxC7I&PeSCw|k z^YM&rY(eoEU2Ih&38Wr@FLyBrOe9XNNiSO0(U*rqr*6@`)LxKtDGa3zC6huh3$AOo zWuR5FO%I04<(0DHEQxUD%}(s1Z(WTnfM{<(C9;BPq|7wz{yC4fjipI*n6D(*7!-r4 z{8}T~dIZy3JD?fR(Nc6`NY`FMsYpzWbFt0!X^)I;viXK*tGE5#r5D}KNW9e0wz!GI zIuI6ok^+>*A}(yu$GfyuD~tWI&!`mtw@awxHlsW7sj z`cz^8O<6iY_E_0!$Bn!BVCf!XSl#qvLo&E0*W=kcAaW?aUv=}R_BiQ_R_0D^c`w4K zGAV%ZX{85{_ZD{f)Y$eQKu%`X;C8&BietpV`^>P7zx5)6xp# z6|fKd$UASCQ3w=R`HfXMniWEAstewvCTN-yW?<5wQjDYS(PQC7?~`I?DH6$61yf4KoM~)w7 zCKnRqTFUPRWse`*WY0qp#|qiHVJvf-bN58BAa;e;t1ubOdRXbqd||N#7vAFEIZC?j zo%g^O5qb@T=UIuyDFu^cCpPZ>uhSg=d8^F#)esjpd9W##f)5tFEMn5Ys9Z@1#20d` z+tw5F`vg@^mtPcehNiNhQx=mWbH==}5?;1Cx(FM#ad0+GO4WFnE2oMojyc*m3m*{p z&WQu?+HN?3iH@f~_|DVnh-_jLIZxDF`_CU`QFa`?LhdqfrROgUSsc}~V$3pY7D5vV zb(AeS-$m4LBJ25e?Z>j2RP(e`Miaq8oYuYDb^12$Zih$8f@V&Yrh$taVwu^Sn5wR} z4UrPF^)lB1w(Yvulf3{`=j4u`aU=lD==Qq;3D_!Kg8HpOj9zy$1AH@ma}y)ppO&$W zldg$Js2XROH1=iv8+roPHHioA^ABn(xC<;r-geBryAC4wr(6uJW6#&OE}i7(|8hV9 zeT>?NTb0M_&~6PWSYe{}9~QZ%*T{YtY~R0)Nx6G&W_=|Nd-qJWh$PFY#fYi;_igFZSW zkG`dMu_gHnos(OLaoCb~TQP5BWTd*9J$KxqW=?_qo1~CXKI4Nt-_>txt zqN@W#kkccH@0|H#3(GsEzIT^#8u`~p74y)4zY){ciY$*Ny8_YIDUWMC;tsh2%Is|1fq;`ln4bo%Ywo(ogh#l>-J z1Bl01Wfu4a=$u){I=%9QaT)8wmH~Fy6w52~vN$0_+gWk7aAz821Aa4v?Y*yuLo*dh zUPA{T05p=#7yoqnofwLJPIb6Td`U*GrqX5+TUgDPGM~y475VR9*cv~SYKHKX;tFWt zk4pABnjug?qC~}(E9DSXB{+IhfDHs|S%$(m6d$yO?4NA_V3jkYQ&Urul8V5u{Z@^I zBip=6RiL_LK2U;qlDu4kGv*WgCrxWxE`>(Lpf5t()y&Z1khHxL;xNnOx8>ytm||*~ zD$stzYa09*ny$C_HQO?Kx$*0x-ntPs^2O~V+F{?WG-bq5!rPK+0v%8UkhK3VVTI;mYf{lhM_rr5idZ3eM*}%BX9=K1lAYDFXV3u zj-2~je?;7|Y@t$w+z7TJoT{CKYHP~)k8IdxLom0J!t*dkjyJ#LuUDOokWdVbG@9@c z8zEm|>XMnGX5X{DizS4+uxDoSVt=WLZwLkuFBs9%+g4PYDH26nZFmiv>Q2{pEA~}G4|QAi zFxjL`Bgok3)Qn5&TmD!K1|XzQ2j!K0FD(5AKOV?6dpPU)eJv`k(#bz~bv=)O; zmXe&8;QeqVdDVQ>vVOCeriNxYf3wz!QTOqdj>h9c#J>3v*WRZ6r!VtO=daT)^|k|F z-(#ZnFIc^TqSO@7nI9 zGsKHm9@|C8eAc(7>XO?$&ZChl2HO9SgS^oI(}A;vUUz-if8!`C+jjr0IN@)Jm4L!z z6KIt{M=H0?4S}49*)#&}va0C)0OihY=*nfH-CCz=k6xcQIcSX!{!UC zcQqAQH5Ghkeo?N!qFlQjUAyhy?Mm{R^!&P7sj|Atseih(A-(%%KN%KipO*6N>(GHU z-C}Jo+a?V%HIoD@!TP(Hjg&gP1aMf0eR&DP-j@+M3|qeLT_-n*mu#r$rnuqhH)>Xn<5_zC+r3fu?QDfLsEDI6wKs))t~ z7{G4Nl%d&iHGHGz+xh_Py|g|0_@E>Gn6Cq8YD&xbuh()s8H^q$f+*<~j zw%3OaFk>H0S@pF``ShiFT@+c>57kb#5X=t(CN=Cww&m1KSCFYGRy+>DsW$IG&B`YL zvA}-@)X804j5vqVTD3&%fT4o*fD0euSIy$z=&L09eU5%Orp9*pBPaoOb9q$Z_uCYt@K&=!Qh^i?DNhJsg%zVl8yPq&&g=*+rjg_?

      zAbN{@l7m`;dIs=h*;aZ-j_@PhdI!5!&y7+p|N29P-L91S3Uw6BU z?CF6Hc~X;}yg=_KFy5A_wT+Y2pTg`GCOZiah+9e^Yd}|lP`OhJ$2r6c5H%gwyq5Z21 zEiPtj$Qiv;P24ZGNbezYCqA4z8TMG{-bIaX@#MZ;zQmT;%owZZa5_YNtgejm{qY}p?Vv9w}aZr_%KK`wLa(|4}JzQcDp%CPw z6&aj;kYC12=BBe#(n+J>W29fadZYx1hyT?AOins0;)7nI0dB0=ldY4FXJqbf^2xv> z+?1pwqSc`tx)J+3MDVY)MTSCt0Yqp0SD%Fb%b?<&8`pbn+loyaWyp?8KWMB}nk~1o z?dbSSqkj9y>go85VYsyDvWs4%#dkLi4M`i7qM7n;z|(gj#pC@@LX3xO4@BY}1>|+l zN%n+&V~fkTv?*gE;XBQ%ypY_9PMgIsRLzw%A}%UE`pflHf!IaJ_gZp;VkOQ$%_;O; z_P4lt&evH%zsJ__A2z2cyykxs6wdLO9#4_3`BR4RKPZC!YKuRk2F)ZfoKEx9CW$Mz zJ$K=IH9qcBNmLwDU6EXGOUxLZymxA`QgsFrLb zVi!|6^;0%fVD^8rfoOd<;HE{!gc=v!%OY%9J18m&wsftTvn>1N_wiC$TqW!t*5OPd zQNBPN#R_^cdDBh`K{Sh~z!Vu^Ju`nn_!{Ja`;1^Mv38eoX(%agi+wmB5rZUsk8F~& zEzhPw-umfuQ8}+v#EpYw@(tweKKe9?5$j5}Ygwr>C;ieD*?_qXP7qsm)Yl%L6xFd@=++dSRWzT8DOxnLNN^F*6^} zTH*pwHK(EG#h)3N_j)I@Ko$M+TT=Fy=b}LI4Cg!LZSmZT2qyw_S5{3#XE3Wt->JbN z2;o=TW@)T_)--o9`Y)?tqDmn#GH3GkU=|QB?s>(F;VmazT--*zidg$PubVSc0oUf! z9^yoO*SW1kL$Y`9T*OK)$-Uj$EkkyJ(Tmf9rBwfT{48H(_3h&|N z$~qp$2;QH|hz?Fxsq_E3dgWKeb5Qb&3_uP}yZQ+8+rz0(_d6n*YHDd-9Q6zOD*qj7 zYPH|@{vA}d2~$#T?4lQ0L=Xvq#~u9juI8%0A|dT6Caq8L3)~dZdm!JCacQCC4=qWf zJpP2d=;giO2~g%qcjB-=#e)SrQF7`Hw91X}XGRis1WH_f5(MoYj5Q(EuCK3`>(*yf zgGrUOwfW43lDfJiq+?Jh3@Q@=Ey{1Uc%vvcrFU^*?r>gFQIU|4z%l%0%$GI1h=Hs7 zAbrErON(=j7n&bnLA2)9vH=t%u-R?y+4Lm&vl_?{c1vNbiGM)pHBn&(rM-P#%pJI8 z%4Zb!7l9aT!kL*vScHCgQQv1LzNH>(T;CYHDf#GUsa&FD=nAFfh>9 zCna6dt+08qYcw=dGVM!U@TdcOzq0Y|U3T2fBEZk@wshrXiyginArW(X5sn5@Aosw> z6#L@yd^`rXdUm4oydf8E&dPev%{^_wi7iH#4^XlCRmR=j_=aAtQa1MXER2k?RP=xv zeH2GHcS*^-Au8sRfRTv&m6B6EkU>#J#kv@IIY#WL)q)8cd40TsSm+ASr~r!3!^AZF zja^xWK0k&EPzzIX-+M=?UTtOrEki>?P0hskctC-0XKO*;F9B;L%e3`sWPMCv5X0e8 zZFr+&>$w=>2apRF;t>-sEHy+3b~x&3XlSUas#;p^&4p`gYtPNjCM71$co!4=_3Px^ zhGVzlUshN^z33;ro=X!zyPB+{m?KE}oWMX}SH3q}i2%*9B4p)|H|nw5+05)IHYsi1 zTwhyjv)tTRUe3hD1x5b%^4uU!r2_mwwoNiVq#Jqh1`zZT4PXOolw@qV7yJPRYtebs zcKLqk;SWHQr1kT42GHh!i0sC-;50nDOqCd~5K(lp4oV?vp&&+Ha5c9a|k1>+U z0|OY=w#VCpNKYx2wv{&fl~#NMH>0hA_*h!S)}0K`nAljpw@`AVS3OBH2V4Yi3Zdoo zlJqwnH8)JY7sAFv>l64yQep8O{#AC+g81g~DjP!5Q$JHJ8d~yJ*=o#qF+Ky!3M?iC zCymT7R(Z-2F-7OcytaX+M_7gntT@~G&4xXXS(ozk=G~?J@vyAAU4YTNn79Ue;HY_4 z<=3#At0sfS?wz)O=kQ6$yrqGe?Xgt!C2e;4lk{vcQ z4AaSO>y`TG2?!G)kQ^@Q@&!lD&CDLRSbVixJT4aO+l0W!i->4=VPr!5A3o%G5=$yu zSy?^TRaE*u07U8j;~gxM&)Lk)jdv7&8-TpamQoO(n5e0tfro?BSM9ZqP6(gA0k`hW zYxPCC^|>meSTAlZotVuOE==yVvGyb>Ub#IYJ!RPA(#y+@V29CqXWuN;ZZqs(l6jkj zzQ)dm6bc`V81SV!H0W+9r~r*IIOsYh?w}U zk1|p&ou7+_rspf`R}YFeoaN=^GX|bV*QXmm(Z(%6i_h9{=P>AK;&bKswqZG2L#+i5 zG-4o4VeanDL+?BV$pwC}{U8b}^e$q4iqO<6<%wBbfaI0cye;EYSI$izqomC*CP??1 z_vC>3Bp!qYLaqq|*x>nbMum2UP9#Rv)5?YJIMdpde}*L#Xx8^cto@dMV0R?n6yfBQ zo#1P-1x(My~^R!mZjNQshFPGe|QCY z9|SPrdM+!{a~7O5R8$}J8czNkR9FMtvb{a*k-66qD9~bO|7Qi2iGei!Y6+Y5K4IQ+ljE3G;m?;b zh3oHn8Q*=3dJiokxwury?eo^!qOmV; z7%+GJsGIrkdS~BkUsiXG{tAzU5Ej7 z7|)6&@^qv4F%uJW2v%_LB-VFYDt5)#u>-&HY6Xh#i0zvl9lWl4!9%P8pUDaRZklSR zWx|y@9D6gc&1@e#>h%o0WowSBhC?PU+YSuiB(mi4OH4YFxe2TbZv8lG2eg8^B1$Y; z@6lV7tmkCT?09;h9<7fmwjb`hBU7=do(5K$h}qFOmJ=uiGvXCpyeCbEtW0QS4sC@( z$<7S~zR>I6JlGMjHI*o1ZgDCZ5|Mc2Z++#9=M7+tj8y)|F*)N6?mq1M#dQ%HP9*Mg&!nziZ@0v6(i2u? z(!1=wlMZ;dI<1ejrTNx@UGogBD+-*Bbrv!@KAe6%i}E_UOxeMZ4f-tI>=ho}zJA@_+$2*jIQO>h zhCG!1ogyL9Y6HK>Z9aHnP?RtQyJPOUk5{9%2hLP^+V9oiFQc3`w!Uy0kq90S8~+)t zibA3GJ|~@n52Yr07M_z!G+(hYC%{WR}Q>+e7^-dyhm zgT_A2Em1a0o2cpw44J9AI^R{W2@4QnB5$$4ibc?M{@2_^Ud96^g@n|iDJk&V2 z2REeN%{xo{r#YJg+_G;nY)YzDN?RtW=3uho% zZGE}@4PhEr+^r(?Ho_u@-N$b2<9L<Fa(S!RSOOS?)1Kj<qnPX_^clLhN2GJ`?@*&^Op7T zy*ROm_1sutNxRzAcv{rd6!wy~3R;9-RyiiNa&OrC;uv1{*QCaEW?r4f81+;d+z$_H zZc4sQ;!{D`4hEQIh)POQ(rinR;3zzB2A&tEBr`i+f53LCj2O`DW&3oOfuK%wY8_6j z4_h2NTNFA*Dve?dvR>F?nJ@iA{17;Oqf2T$z{z&l>nkuYNhTSdVDiDC$|MiPfKhM5 z-s0b)X~q#F*MW_=%1c_LHT_R2tq@6VUn_cYk#Ow+$@6neoRro8_B=!5f5J3}Le^g@5Ko zYyWiEw=Ns%jPIY`s)u8NP3Bs6qxoW4k_l$i4Rj~ARFm4O)>pG5E-OOR4Q)%|x5*m5 z7M{sm^c0I(w}QvXqc&x>*4DPR6CQ*&aV~wdceRu|=V)GyY?98WI zSq8%pGNr#6)JLm;_inmDb7d($skD)RO<4>jnj;Kfq|?@Y_yQKKPfBBV@&Uf(+%;V< z7%01XMSmZEmt1l-GF#JRd1fgzK`!DfgBs6g&1o*5H%({7j@^Of)S)f{@?euer7qxI zCd+j*zByr)LES*tvtZBXwjyGoo(-B-2ojsuxL%gEJZwE2XI1O-9>QE+yE!4}?+x@B zS`GVx793>7V0}1wUzT4IOUqVVti0l#s-l2NEgchKh3q4Sg4+LOw1T>iGBr@WgB9EN=5bIVI zj6ArtGuoxsQB`?vR{Ux%78{-8(KKoNAyRZ&RD|#TI&5c)P{`5C?{BUk*N!f%l9!!4 z;x)JM&X3<|l!+YF0~3jT6Nw}>nc$tKLW4Bq)x&6{A=jzaj8-O{BnswU zRyj7;e`@Qx7q&$j6bT;|%nR+@9&bYCHS$|Ziqz0DIc*`ahKeYA!U2CLE6(%?y~|S{{deHcpzG<2xrb@pHqZ(uaXX?cZ!wDer|Q0MqDv|6aRfXK%&7 zr|)#jlCk6L*^O~3)nB5D0PGJ9E!@GOE(zObHOp=0k zoPa{1<7}&Jq7_0pNp~vYN@Lpf{aEi&$H++Pu)`v--AaM%vP%!8(~;^HQ0!-B(|2re_XeddE0ZG%M%NDgbk|HUI5tjob zpv$bA5XflUTWrr%8wt7nB{pi_2_*QOIVQU9aj|hn2;5#qhGFFthjj3YE9it-X6dbQ zDP_=tK2?fIN~1)YhbUTCi~~T;?}|UiO$PvA+(!B9bDliBk2| zvyo9*DA6k`X>zb-h7D#TL{wV&46NzUs7q{BT54@o!Wha^wluw(=5o^qd7&!xType@ zHZE7~-y*5U&h?9YtKzgL6uu?_8U&yWO2U2I0vr&iJM`JvLQL#7sVpF@7Nuzu6%`GQ zM@No^i!;aiW}whc?`9I*B!Pc_o_MvGWbzs{(&1MUwW^kwj+j+N6gI3jiPKX{ts%I9 z(rnYLfLBhC0^-oqS9^b*_gdD~s!Vc|o}ys3EbY1rA=tTK^H;y%MgL{c^>z8Efxw>j zGjqy$Ub52ac9l<$u=9WfRH1z$S9`OTrdqr0)Z*_IN$=Bv!nNSA@e#nhC0-AvotEyr zT}h{WsC!ENzOcsP`*76>e&2YKbE8NOo{=(0cfT&pWO=;aSu!+TgpY2C%twU^JO!)o zh@3tkICW6_&ieF|x84<+4COo}eB>`I9G^i?3qS>p4W7K@(n@O6hmowP?hghRyf!+8 ztS(m3k(`Pil;XI4u-tDc<%ljTlp%~=~Gc#`yJ?^@+jQ3oSU07 zs{uNtTGG3XrDbIP33U5?29z+AwyTIcAvTY~qnAQXeRBUo?Ckph;z7CSffeBQW>&ro zEfGBv6W|DuWh)cqtQb%lV!?IN%rGa{`&>sF;x)IS3|=A+O_8fjpcwhTexY5<%I33y z#YSi4maMDX7=JXyq}m)P4aBRbU{O%_l|wI6R}-*vW!AP9wVHX&mhhP zqxjVnPU76X*0ioD@C!5RYm*6*qv6!Dr}M`0z&{t~S#;>^i>9opsR8Ebzz`D+mMFSd zNGee>*fH#rF~L<3@xcNRgrA@y(y<#yd6rGbXO9#iYiBzZ?$$&VeQ2w2FgHbqC_Y2z}l_GVGl z;?NqmN}UPkn&3+jJlh$AD4;@V_@ChQTqLxu$lEM=OB~~XIpj|pC9^# zt2Fm>?;1qAHupOYJPT=v_OcMf@||o2-M-8>i)?cXPhw4rBm(MfMJx<=uZ|Q*M+dZKB<=D;;K?Z0kn1@=CRXUQD}Zf zW9Y9U-MfL_Sed!{p@js^lPppO*y*Q$k!rB9m%4}~10V}{p%+D=^9;2!RMI211X ze8~41^BQYQ@OJG(x~_;-@pXixSGW97GxNJr{LF6%_G{LrmCga}nY+Z3e_EWD zxjH6SUU_}<9hcHM@X4i*{_UsVm&29lQQzuV;7K2!D$hZ-75GG@#P)S{ECs`@p2?^r z(Phmze084~Rtbb3xaPG%sNxTjusiFw1JltS-6yaoi1Q|@5vuo=SEA)DrOG@`i|VJ9 zRh`E^wn!95%7!tV^2SZQfUVrmr)(QrX@fwuZ+aY3iAQk%yE3?e$V3bOax!^TuDD@u zGKuj#r|)UV#9~HD?yuQX}7;ulW!O2DR=+Z6FAkUjjEt`;6P@s?@ zsGVTiTnT!GY94{TPRoF6Xx&Q8D;>DK!MN0aZ@|WuYOIV-!1^ZF?$FNAT&9>wT|l?1 zL5_j=bOfaZ6DC(PBG9d-US2A1S+x0vMp)3`s!35Q&hr1(0!Y0jxsD8obw8}?1u%9( z6M%&2)@Jd0pN&*Xkh)%cpQ)qS)17%>`)l;4N_Di(1Z20i)yWaxF9K5Z?FSVQo~))h zm^FP9_BR!zcz=S2RyZ~}d49bS`A)1SoY)+yquc^|86m??p3%Ws+GZ0tyU`*GZ-_fn zH{Q>m-2ICN6z{(5(7dji{u7zjzbH99huJLH@&e!)I@0)@LqbChJ@)cLLPFf(+u0s3 zUXg6MjYM}zMJO?}jxXXnewI}v(=TRL(FGIrEQ=vF(nbQc>JLfNDmm;|$;%zGBkA~g z|C1*6`Ew6|MV*WYz?yIOOH&H;!AmY0rRp#x&;zV20P_6yi^#{@wD{5bAkukwpTbYBcuwS!e~aB%&Kk3g>@(2HXhrVljitgWp**X?T- z+2CwAHLE1quk;$LyxsD&P^O2rX!Bi*uw8r@ArhsZ;Xnqw5`iC}QMI#2m%q^PRb*B? zVJ-g17|TE#FHm#&EJlQGi&rWpiBpvC{MWow?&@LoOybiR^Y&2``$%p~m3ag(DpuCD z33*<9*QSSQUHg{fKL-GVa}g+VpIuTS1Cszfyf&{6mZnUSIw99u;E?)Ovc3Rk-s!{p zfNVr94lwjt9mGs&FPfHomRx3%hqnteeQr;C$=ePpn*L2pT)agbQ@j`Pydu?9e|UOv z?>()r*Ko+>iOLHSHEob;aL!BSgQsdl)ZX$ZV62Zk}E9O8%1yz$_5Ep@fXv5cANJbI8ZdgFznBcbgPV`eZPx3Qi8i>fB_jfxrc z9V(Ct()Ib=7k0sB5b-`P&l;9)TJz69iwRd7rEA=um( z_O>1rdn6sR3(;Z~+-tAyc=1!d#vX&_t>ClDHmlPp3Eu~iw`J^fs~1{$ZS|k&Vaz}1 zj_*$0L_GO!o~$Ti|YhS9BGpy8{axLJ2|f;G8hO)}=mT5!Yd{YM1q z&IyH%0rStaHv+4^BMA|5-Vp@6$nB=h#4m_rrsw!RYR-OGvKGQTyTVwbgJtVR_r(kS zVc7VNhnw4=;qB_h>3%D;Zv*ul_%%ZU-<1hPTzv_L`jhHmHA|w`KtCc6dGC2(` z(QIAxEK}n}Z+{Lh&L{fH^yV6>85-p)3n~g#JvV6NWcAUS3JR{kx_dq|$7-l*Tt|2B zHAd-IYIAe-1NV5@(b(#V_IW{O(ou~VCocK6ptF)piEQ+RJ`~c+dPijqUL+3U@d*#)FT|M_9K;$x$|d}pJl+;(6oibctb%}(oq%a*{efJXJR*v zi9%}`LGuf_bIRx{ENaJ+mJ<0g+^m?OFPevx8-9iEyDyIZhSxIJvMd>W@02^5dww zWVE8ATcsS2ij&dhsh{NUn1nL^^VL)GJM-bykwLB3c#eO&ROj1NK*6Wn)xDK!+vx_g zp3k=sGHm`c1mY1f0ny{NjcY@6%HL*(c#+?FqL=U+J9&s0zb70gV7+YVJH96HrIx}} zw|#68Tl&loMNgcBH$!OwfiRI#FnfYP$bWc=BJbCB>xGouOOzvasl$6;@iCI_huqLh>nas^z+7VbC#{LpR4+)VirM75giD7ABcU=Ahg+W6$%IlX{eUF%$Z~4Q`f0)nss_MH8EI*`kWQK=f1R_z2TZ=Q2 z$>pQ#a?tlI4)?!iX~Ysf;&w;cekzvT5;iHr=-i7KYV z9g4@FIiC7VWMd@?p%E=>SH))GIJ1@&EosvnGpG%@3R7|3^B*%jZ$|xWR{8Ib8-9$m z_fMf@dt2E_o>4k-jb>My+hK`=EiZCx+4?(JW6F;E$`k4-%l&*UdYkpb0x(zzMcj-D zI3p`|Mm%=&Qs*k{3R$nRw;35jXo$Eb`jkD+)6W7EC~d!v$M12J8YWfjLB z>=;wctpU${EY>9cyhoQI=$(H}LTwmPoum9qE)@}h_jx-Q$&IUn)1*><@!n$Wuyr)T z=lj$lW_;toWF|xR=%~})Lf7lxMbKBP=<0eF&+&rYHTG`IY8(!^phFPO%4DHpbW^a0 z>{Ww{@&1O5BrtoKTPX5c!>%BUZ{VY8R7AgAemUhx+nZLs z>LYRHvFtnZWD1j~5f0G>+rIN@Qib!>x;yt#&oNg!$H%{0y_Ovs4#-Y>D)e}7mC0c} zd-9u_NT$57k(UD@!sdyd0REbB_3?(>`Qxe(sr@Azydkzg?kc)$l`3K#Z(BCr%14X2 z+2xPzkGb`=W$Nx^F9f!?3Vs;E92)tMzVDrhDAhJXbtD|}*<0bU9Lk2YghKeeDxN>g zz(CIeO`9O!?nOw-7b)w?Ig_jdCi+k0Zq}ofIzdanVGvchUvokj-AKaja;7@DQmixX zwS6+%;o4|L*XF#Jb@MW($@{Ta+MJ@trh9{NtvsHpSo z%F54+ zfR~|vquO|VGt&=BzpoJ)cML_9x821m2$I7$-4NF&atOd)9goSZI55PMu#+OlWsQmS zmt(K%8@vWF%EI~%gvMg~;UP1wWfjo+|9J8gqO0|N-pNVMidaO|o6jKxB#~bJq zQS8ptckW>LQuP|jCvf1=RhiwD&`WJp@UKb(0X3a8_Zw;JjGR1WWA)_H`|pRI+(KC@ ziG!FUC>fQVld~8>C25f;>VEP@L81F#b(oxt?7&dRkp7+--3%2r`Tf8lof=u+&y&1% zlM|MG4Z$mDB27v^)JgnwUOd60F|(q_A!=uOm|tQ?bN_Q#mRa=ZR*X0)Pu=eO2C#_#{lZ@$ zhMvf7%R;&nZL%h=kdqnl=OtIv+cL^k?V%Y*)Ms29t=?yc7^6gwWcx&sfr&N9->P;mltx*Ntvz7^sl&+Y5h8j z&i`#lI(mL|UVz3aQ+LLt7}o%gse9r|A%j0-XoPxAv9aLshhpO6on^K=(^50G*V9i! zE!TN=LM5|b(8wnyBphx{yFn`O`0-=M<-TUe<&Q0)1wsm3eKv;NmJ8`V$Y?lZqKk1a zYAg0A=w`Yr*sIDke$KpksHm0AJd9qNf{MW-=P&oI4mDK&&T=S4>}9ihl4oOq8w0MP z*|Jyq<|VDTqjX3@rA za3OfKI@8^U@aAyXtKw9po$;WIolGQENRYj6S6Eib%adNFuaG6#9o8;tcnkfodba1v z2xF6uQ<>3iZ2j3vW|43<)w~7Majm-?HWjqSkNQ1qTgi`)C(_r~2W{Sm%$cU6B;ima z85tQ^CEcd?yH%FM?5?-i1CK;)1drc~mIMm!mfow6E6pk{KRERHptEc)HrF&!{f75t zSud|l_Aj}O$@_?rG2U1iW_+};d-Z40w?AO=;_I@UGf0!WNjbXV+HBL`A(owV9h=f6 zL8tN`2#sm%L}c}whtOVqZ)XWwDfhnq_L~1-V=t^m8c^@9k2uvu$%WICx`xhE_Rm7_w4U<&mVPCN5z1!-z~3bTjpkrzx0dj;%<(7 zQ}|{=ST6G+hU9}sBSDzd{pQw<10?09FF&0le)4$7T%Mb<_?Z2XeY`gQePSe9B41{K znAMgixW=J#0Olj*{SMZ@L%*nfCfFe8$`qGWRGQU_v&1?*Y{3mGEWOS3lFZpKvp>nn z$)NtLeGG%GNI{?#YkUnetMc>np(bs;JenLYe{p`aS*WUcVB<E^G- zcX7^0s-j%X9dE{?@>jKaZZM%i_Y@xL=!({ZyaDM%zUT!>_$#=fBwic!e9h4rjQ)MA z>NA@tQpCdYGE;1Kfo&|vW#La`r2W~!YKCNx6?Y<^-98;bNVZm?_U+rZZ|tW0IVyrX zbH!}osBa-aSr+d>-_u-&#!t(WxVcIxWDD0#%ET_mDQ2?IbmK$iC#ZM6Ymqi$z52*n zLs0pH^m0#;N2POsy~5u1`Rf-}Z4pF`Bm(NpkF2L2Yq)hhmOGyda*fZm&wSDr zSOJ&ZTNpa#?%t$VeB1l{c)OCBDXwR>rPfrSTz6xAT?nT47<-4R{ zA)QK&5{}7Voz8;v6g7-Wyaz)DiJJH^52Zz9OQ`gX^=rg8zE#Q^d}(fu<-5~GpXMJJ zsBIaB87cSD#^#b&HclbOp*}gcaKF_F{DGyVrB$`-NS+#a2wZgZvhwo5E+W!Ji^QXy z9U?y4siVzFKAVY{goGQ-a|d2CUI7M*INo#OV6!t;DLVxmcWtYY=!N9LtHB8BdVrBHpYFP=(8IJ#P=LZR!cav+`%aQt7C_|Av`+X$qCnqTrg@e!9Id z##$!1t!4CX-yzB7Ku7wyZ-K3rs}<`sjoiX$7M;Qn)#{?f+8T*m$OD(-&$vW7IX1Cw z*YuV`sWpd%9rma;@`HghqvLo~>f`OB~A!eg$zf=nyxfC$^wS0gC?1=+%`cZfL`hE>FxJS;a^8R_U{ZJz zJU8hlZWK*;c(~i)+96m~duQj(P%Ku~r?b*Gp8%cFqp~!rAyQw;1qT;sww0nDTpKN1 z?o9&wsq*$lc#P$|5cJZ1TKqd$D!kz?PQfRdE6H1dNC<3~^AvJqZ>(l&zv&rI9rV?} z9|SCjwxQaoUP{C*go(u?FI)W8An{rO!3hmM@6Me&FJFmPl$X2guZ7>9*_Jrdq-g&3 z{W}bVDju~WWHlhVe?MnCb4@UH`Opwa;*4;pCAvlN3EUt4XR|{?iD_TR8i~d62tsIR zXkK>5JZA3ci|2SmO^rm#_}{}?$+0_U2>O`D*Vs(L|9o$2n@h555wQO!^*z+ccSrjg z&x&ZKf{Hu7CVkL?|KqUpeYxYAB|Gr6Vh4tz5{UOd)L+0A8hlOTy}iAEgc3H^*R$wV ztt@m!-(howcZFbx?S@d)pDJ<=^iobv&KWD(jqhxm3RuHS%$=T^dexV_y0+E;mmC?< z^w1e$u;3=iQP1CI#IuqD_!ZLsI_R<3+1Y`aR9k;4zvQ9Q69TVz8wUU*eYvQ&_V)H~ z-V9h(^LtBe4p?yi`0?Yc1SvyC3J>M88N8u7n@QdP2@Y^bSC{8701>zKmN9o#1@i8=L6O z!cY7h^)7p|TsD8af-!dI8$)zbgyQ-}10NiWE-TxqmL&sfW;d^^Q*(QJj-HD67Kpv6 zu(NJ5Bxz`SfFfMPX)UI?`Eq42YZeA$WyyYeKuGwyTJPq^HMjrw$639+uASC@r|{c< z>*#oOurdhFQ^@n;y_#C2cHyYAB|K39!Vy-1N^=Yq1*OQi^{PGOE`&9>90kYo_TBkT zm`un+65gUH37>f!-v~F5ah4(M0j)B>e*LoE7%zY5aWDv<17fhJySr?e3hvPUe?NB< z3fIR<#oq6vmzVE?<{Iq|Nfqogj9vmwlN}6wNO>KaPxy>^*KhFrU>wTV_yRX#Q12j}qi%X|bGd-b zJlFxC{x21JxADf_{u$(M=4l=^@O3au)0>}cxD7w~Gm2JGSVZKDa?-nvagH4I5OCQ3 zYb1%eetv#8O%@PY&`?o5t}l<%U===+3jw1Mt3dnTnmw}cAcP7)QLzWc=-EzGSxU7x#k(ngc`4 z=2~}`9Bon#BsOlf??JudK}3POySoWIuYbcwu7EFrzmdVyr#R1mLZGs?w(|h&#?`+b zt2sfJ`RZc7|CY&2lMDQTnRrz-HNTzJ4)qPDUeCH-5YZ|7PS%QNcza%2mDBkjpd|VA zabVA{udo08%VE;y4QODIe(e@Gh3N+1kujjI4>4f<@A}oa@K$5?R?MueFQ%Pm7Z(;l zct6_FAu}sI`l6+!)TqUVR4EzV#RZQ7XZ^QNis9zxfCy0&$2=;CSHQ`nrlum_#vX?k z0~1H7si_5>x5x+x{>{yKufob$5ihZ7K6_sN9_kQ?x*!L}L-||TFY5T_O*+Hc{X)#5 z28Q#Q;%tM7@s8f%A4+?B$fiv)2}zM>WfAPoyJcFz-C`#KTH?PitE{VkP5k*&@xU{= zVQKdT5g!f?1xf&t=lLC(PrseT(N}SK(Z~rxe}MDbIXG~Ku_MP2)ZqrX%=_N141nnq zlaTypx)VO;`kFkK=f}n{`D=Cf%T3S8WUZYe*>Rc{ig=;>8?&Afij-NG?aF}8t^6wy z^h{o{(~XAJXnOZ%HrDMwONkrf-+Sm)Qm!uZv%g($ReH|3TxqpN@J+UmySvZLiKF8N zoxE@AmO4zLL?`RiawBRE93!jJvpMX;7Nm)kgA^NFZoSngqw|0F$fj3i0eLmJQB+h^ zS2kQKe-h*yrUBjeJv@a@k#1y647Yh-G7PJ;te%L|!LQ1xf6Qkqg~9uZb20p9l4ZdJ z(GT6M!6yD+%`#c}mxIv{Mcs++-qq05)I60G^TfV9gL#IC&aZZ@Uk9_s6EH{Jn0ugT zXJ=$&6ccMzAmtrdibzeRxPL!3A)%|H$df5jjs~(MSS-kd0}2b*x27B8SPdIYzX#s< z=B{Y^|NNSashFgu8I636-Ru>RM~VFQ{~*H9E5+lHkugWgrM9q?<+$YYmF6T=lb)J} z9vI59$>tm#?R~~7YB-U1RabWvWy_6qG1H@vqAbg)U&W2yHe;%a@r1mCE2FK6u=u-^yjx8X;(uIR^&F2M#(9Sd@}2Hw1W%)7 zIbs_$8`AvwJUVi&b^(VOfTN^zd_u?)NQ5c2+RMb_K{5B8@pg# z9UC)qliM*XLfB<*0iug*>w_X8O-3y>H8m|e<(T$7v&nb(nS5wrw^gzRrDSD+cMyd} zy!5;PnAq zvFbtMny)!gpv43|F*k8p_&p2;d-O#mZ3`;T#&?_U9v&PXFk`3B^gq%gCM|6i-Y2Kq z(FSaD0e=3Ivoj?0iJ_(#QVwS+pGx0m>kw+O;SzH@!+ zu$fG5Ch;dLUPFHQQRSuB^*g<~)lI`$!{Ez@Wf z-SyJP$7g0{1}t5`Vc};2mpQ9Z(>MglcbgLsDX@nj{IB9Iu^!a?>g|QuwW&wDcgKe= z+y>+BO0&?R@-t#98YWg(O*U<={B?Xf7Za-Yhe zW&-4r|I-2#7MEv;-^EoikBbkw-uV6V=g(iilxPT=uYUGp_(r6qrNzY^Z=9mk8`zqe zNe`=Be}lFuZf+X%e~0G-KG3&%aBu*Ft3#xo+uA-IK3Gq+d)(TM;@#}VH1RhWzx1ae z1qFrRsW|_~9tf$uy|G;{d3kyJJ#`Mrzq3Q}UF}u=Qxr&`Q{+ESJi|w2qG-Oe*Voqr z{(wkVWiT)>VBD(F*<6}iT|IsG0|}w&{=$HMZ-0Mycvw+E0UH}TIVI&jrZi0S1V1?F zryb(sBd)3ncWrECwFbKtMs(XlKVf=$`prAEn<4w}9ZJ1um3lJTVQ&Ci8tlmd-~;(+ zx@xOYOxUAlE|1l`43%F9bZl&QNQeM90QX&; zq}eFo4jJnx+rdL_Wg#J<)=N*uH}FYF67ojZpo##z3d(o@Mqu?xL@apNvF9O;055Q} zDf!<}Qd9qf92&Yst;R|WLm#lP!=@b2zhT+@mQNu{u^2WS{QVwO`KlKa7nd+Z3ei-< z%(ggjNcv-GhBm}pg$^0rnpk(@L&b9q1=sP(p?rDEXF0u=W=2QR8w3+Srv?Wp_ho)J zhb433&im4;LgXzi z^Ofk<$IG))QVda@BaHaetj(|~gilTx=U|96wpzOm~`VzjYdhJF5?91)VIYIeiZABUa2sA+ZCnP0l+=Ez| zzny6W|LEfC>Io3dV!wwp;EvMLr>CdY(7Xyn<9}gt0+PZ4d=xdJyQs*o+9rQYzPJE^rtrP(dy5%I-B$@4ma|~y2w>VQ;0s! zv9{6o=jLD*a9KVnDL(yZAffOswY#h#04@m)z1NV=`%=ayC5<`!V>Gw3yN0pi3OPf! zhs|*_tW1b4^()|Fv(+OQV3?rNF8!7M(J4rdW^ZrL!QLK{p?>hnH(7WRpIwc` zU?$9)|L-AOch>N?zx}!3k#jf&6C(C?Q|+LjOpPjOkBHzcgNJ#!<&=dk#WzUB~SIzj;3js zL|qcR4wjQk?u{5Yq$EKf<^PZgHU8q5-A?boyN-JGGzb{op$m_~oNT?Bx9a$MQ4Q zl~U!z+Aev>vaWYEG{%yC-0Ey~m@2#C#`Da{u0jWx$jM)%ZLnVG;@x6J={_C>oGxoerCO@yxcS?yYZ(f zuB(fEDLa#PWiQa;lf;b2&&BZXXWYg}l5D0V2x)3=PRl6;+W>!XgI>VMT$tMn$+GL} zG^qa%(IJC=3^3YcjSXOv7Jn)hfoVxePChVHyZoKgqsUfjjD|qJgX(s^jI~SpazqYt zbJ_OiyP>P=F#Q}NzrBOQ8em%{Ch6hfcWw$HS8||;dpWtdZc2Lyo#1-Bj{cb6dDUhQ zCGF@Avj?O+>kxY5*o=*uU8{qFWT9ov*VnhGxcDXj4|qWUwu?wesE2m(lamwp{-=jx zMj5IrjhVMsoQ5gKc7*$(-h~&31!&~_{QT0=XMmQM)4YsY++9Wsw6?Y&d2_adVni}A zoE$Jar2ddRYVd>x6)(GBXfWW-Mf&gMD`~mwGJz4c z{vp^UA}Zj~_m_I%Vrilt4G^~B1(w&;blg-dA8-N@!p zPH1E#zNb61?7)IVLVEC#l&=I(DWV1|Oy^#TM5V2o|=%W}Kx!#{}FV2`~==~Ci zv564#9jlH1m4TATPll^t*1^mYq@?CM{=up#ZT17z>x#xZk47v^9RaBt*@-w~MPzh@ znwjpuS{cK&wthl`R8Ex0?PL0#l3HRmmP&KIOaLC+Y8)_+?1$y$1DU8{M7PjzeYLTS zjOLbZqoS7CkiOl-eCUa821qS_#)NM#^xUZW-C#8$bzTn}ocywB;<+(wX9JVTfiw=H=CjKBf7R0IXH9?G+4WoB3xJILHNXYK;h;<`j+ zVmR;vNxWZwgq|_||KE54bS9O^cnf*MTc59f#+R((Z6EGaMt|)HuhxGcapwKsjof@F zW8!l(@{b=-9NaH{@#?mxCmx}WJ`Ytk{Wuw5BRm8EeH7TZxF8Ume@L~txcoLY^cc{n zJih&RSCMU}jjAacdU|@l)6v)}t5AHsllW%KCZ-!rET1uPdMrkmz0wn44?p{3pV5gVy-KPkV=O>{ zqrr7`V^=~>m`%;MpaxhOQ<)8*_P4@N5*2+ri1~;Xmr#A<(3a~0W@QWbbn3|p@eY3*I=fY`F zkA#dS(fE#y#cm8|sV|^i3LSey!wh}2q2o6hpqB66rLvn!sHzTpxX*+`#;S`cT5wb}Lso35P=X1|osrIx#-ZeKMfM zN{Il-XBLZv#k7yiL(?z9h^J=hNmO=p^f1&~x8}e>{Os$a5OuFhNJwZ|clDuLHah5) zjWKLwmHHDMLn{A_szfHxzooFCw#F_9<1Qr|?ziuz(}GF{=sYsv|JELint`(*1yTk4 zXshR?=*5ntqM~9{`(zn6%Y_aHK={L#PXW0cP1yBE>5Z<+J8_@M-JfIM zt#2-Owwvm~bmF*2NRv902(NQHQO7L%IV0`jZi;9!7(!P8HD`-UV*D3P~IDL?e} zt0|ZYx3b+#6EOlnLqop6d+O%1jUGZ8W$2igui2O`&t=-DPhyH599)XbUb>e5svL`J zcK@MML&3s0f+$rGtUieII1bbQbkWer$0bip*e-4I)V1Mh%5TZ#(s z(~Qh&=h(ik{Ocf@>RVnxAr`nh|F`XyDucEGj_LcN}#*vOFBt`+Ez$T62i_ zSFZzWxQlBAXnA;?AXWlFdzVgW1%P*mKY^_Ns#yTl2|FyvA#__kJ)S=81A8ehDl&4q zPSY_uufM+jZH8gEOl~kRrgpKHwDxTz_@U^tcR9U9hmH;7QL3V6(g$CSm$rUw?Rgoi zU{>cFd_oeBPI=%Vl*VKCciWWZZpaj}I}6VCr+QZorpxkfhPD|6(jYcq9Uiqtx%PLW?d`!CHE(_D_~V67M+;F=zYb(nKO>ZovtxH2 zWt;G=O6tVfoF?f#Sk>*uL^Oml0;{eRY@mLD66XiI5^7;=5G!K5u{N_goX7`4Ne2=v8Fh?Ez1!+R z{=6FPOVX5WVZc6FSpms-mm=uI$;(@kv0TLeu@O*na4=gl%|fQ%{2@{5d0COjf5Qd{ zL9GBM{InDoZ^G#VsN(S=ZZ*}_hl(DzVlciq8}Lwwx>)R$+&M4F#eHjE5Ta2{N6&Ni zO5I2C`dQl3m1#|J1bRJ(kms4HSH`dJGwffuPiB>EkmVM5 zJa*kc9KF0TDSQpW49$Yuz^lrI32^Y>JrG%H@KVaau#vRY`Po@bJ=%Xx&m$Y0a#bKMu)@6f}gd%<0_F z+2|~baH{Dn?*+ME(+Au$&i|~K{?Y7&vxV+!G2GXQ2)qxcOSZ4jKHZN$CLZ9tUijU$ zc~nC-qM)Ss5<4Q$Xj(MkS&h}-f5)|UTig{121;E5u%CL-M&bezZ$-U^B_FIh`YWQS-{`rn_hzv}pV6I0KQcl2P+6Qt{a zB7nnBg~`d_$}MbduV%04TUzX#?hz8kF&6j72~(|zVc1LGWqZ3hG5YnZPi9_iLD3pf zAiN7sK0Y*W0Lz-17%#=CN8Z4iu%Xnnwo;vl9TiE z&>#Q!>;my5!ozf#0TtZ`61DRaoKXj9sx|{0?Vw6TN*wuo%HH&~^TRK;UvtE^T9Y{j zW_Xz#dVfAP*Yh~sFL5rae9HVRsb}BC@{6{u;loWX$IYf1rM)XuxBU#vVQG%v#WEh} zlP0Mgnndc0mcHz7?p$Bg&e{9Q(Pf?f%@Wh`kz>sm?;;Ur=Hrc0KYH=tHV)%x1c4-m zz*Qxx|F4k|_H$DV1han4#xpPB)a7R|zc{i7!&h5!AkHUm$B3dIbCI0BOX}arH@=NX zEl091uLAfy`L%B*49UxP@y)td0(%Ll8hLtX$&mpo%-LEaeSC`%^Rs@9^;Xqn*Vx$ek~2kk5zecF;!X&_uYAo0_frQiFE4CEAToVmRvBQ% zj*u-tx5}#6Bi!8|<>t=W4adeS=1=ia7AI_<6joSvsz_H?hxO7FH4041$w?@Nwi85Y z#4Y76M*db#(jBA`AEGYL%cYZHZmc{HE%lrie3~Ly5#6}T)V~4z8#)$N;d?j{6N52l z@1%dIW_wWkOu%xb8YQxJ3B!NE!nYB5bqCInFDi^LVY z9N2!6Rv9wrY+mcAHecss>J-o604VW=E6a4XxB^{=8J(9~zwC0IonwVBg=x_xSmSyY z_twZIX$X`PIBA82A@wz6jE$nnkM@}!wK}YKT!xdv<~BDkfqQUua~t#QcL3g+ZN%#E zc-E^GSPd8^X99`@V2!VnKVUt7^k}HceqGF>C57r1%2UH{6~9UxpBXnM?5W{WESB%Q zt$D6M!&?z)c~_$n3nlMQkOiu?R&rYZ=K_JJu`cr`k>@yul8FM(8<;x^%(EqxZAXgVy!Q zKZ+0ie_2j9JnN;9zXpnQDnk-2(3J7Yx1y&9*WIuc2Z2X|dBk>6+O|!FZ>70IU1vlo z_aTyqi18j~KxBpiU9S9xNVyz>z@ce_@6XG1nIEjL@e(2|xDx?50?MTmWbEK?-o1NA z)PN_k;smPdB}P}| zVnjQ(t!3hh$A+TUoyn%;*37~ZK^~3AXWyS|tBQUQxwxF`YXMOIcZTHBR=B78^&VT6 z+k2ESLs#njU@!Np^YTr@@ATIWkDL9`eu^}{{ric&g#NPGUGq}{>Ge~leV8wRPIK%4~#8paMv1N;Mmjf3sS7I+1+RW1`% zNr_c7Uum9LTPaP9M-y;di9DKi1))F42Y~#l0%#Lkf36$x_<|CDcSyxlH$V z2j7a--RYc6+9w>`f9$0fer}^sKK_75$ATx9m>cqHUC6x;3q?NCpFIg}?=&c|&5=aQ z2!DHt$Kh|MO+b9{Yu@Bvdr9wXBVX*bK8a zSqVcX%?FK2+|PpC=+J#t)zvFN8v)oRG>EzY!OkX}=B;{3jlRZxST2ymhqG&jJ!ln( z=b>5XA^Y1aX1v>h%rq}5)UOuotT`Q{O(8dvPd)nJ7qW&41V&cVAAnsJs z8xFBFx9Jx2sLaPvVDzsT6wIgTNMiQ>-oNJYZID5Z_1w7w(ip%T8xEM?!dO|4p=|k^ z9##1URgc^y+fCJ+pDTU(c}3GC<#*;MqlMfIV)43(&{Wlhy?%@NQobQ0eE1MT)fp&4 z5=`TPSB9emuRyK}l^zt=U0q#bS0^t(N(ri*Fk&u{h3=i7FD5GzcLD<0-c!c& z5jLJa$(QOO889-G#^@Z8K1J&Mds;bzk0M^IZ3m|^?)Qt~VqpA%6a$Sb;~21V0BG?g39azjv-7#| z9hL8!ODz*(j7TagB`bb zS^L(m{T+O$4Z=dSJ8zzj*NDv2^`EfKVr@*E&MY?8vIvVby~NPrRER}I4M=do=vu9p zURyqoOcZ#}%khhT)Xa*dQ;{JhJap0|KJi=a?6;Bpf#&o5fM!=P#ytG5Qvq)wYOol$ zxC37dDYGZAY#eVhZu?{;H?oKDjl|_z@F?Kn#6@wklQEZ9Q8JM6rHlS`5qo$WROChvNJ^RnVQciLJrG5n zgP=Y#lJc(FlkUVSGXB4kd*@n;LTp|O_!nE(EhkNO`h@aA=EKvWI!`f@BX2*Yx)e*? z*>$tA=}yk82oUq)SnCiH(I3cNIx5m+{`~XKogV~&H5hOJ>5gOQGH#E#SK6tkKH8%T ztAAp-O1B<9JUP^ndwQFTi-;Z35kBm!jGm!)qe?2?3;G6(;yKj3H}3#+5N9PIe4s}! zQZVVM^S?~Arl+&G_4Tm}kF?%1bUr>Sjcp8^nzp(5okKrLbjZ#@)dsrH2{_QOxY&l4 zFPovjaya*fS-R1YC?_7k0m&z)r-M~EqI~;%d$}rUwpLaUJPkyC(WxZyZ0>tao?Xm} zmFB#%CGRX*<$u>3%JheOUBFVmU!Kj5_-Ih2Q}k@rxRLa7arm03Vq+u1j7RMa@=)`+ z;xP!~!Z88l^zfzJ5l)MZt#(-KzIhJ)8f-9E2F>+=t%hd`2jKUv(o{skC_ahSrC9y7QoWAk$kZIl-AbkNm;gVneX-n zrAEzAaajqyrMmpfPl?`^z>IHIRd$$V#fWPNr5eCH01mPRvW}F=S(-!pD|Vt1o_avV zdU_LfcaLh6`1oNQ_aRODNsiWK`l!{7;t|e2g&lEvcBcIT7mh7GIr)_;GWqS>a~LLs zfq_BRx!Uw}M75k5Q0B`spYS`uQj`xQqv_bKiKL`ffR<1#{;r6oN!~9p23!(I%PWBz z1iuKB!ney`zW=8M@P8|7f;yo*WLL>>_+*tlbr<*Uv-k_g&`^X-V6^inmTVrHVP}9%x@pZ84VG8#R+3{B4T-D<=@3R$S z)G&>ctQ&{X`GpAap9|!Kpu1GxwoIK2jf`f%fkSG`1N!k=GW68UJaFA%WC9LAXhFGm z6UPqNmxKy*wq}EDrvWns-P|X!z+B}t)@A;>_xKN(y^HmIa!u!Dnzcg!Glx?xMnMxa zYW3pzbGT9r+|P?n9Bjk9TLhXCeRqsrw#Q8k%v||t>}Ts@t89O+8)CggNq$n(H_vYi z+9Wtd3G|S$J&Sf?MfFnDurl&;a{NG~!w7=AczE8DIa!$hLv=XPV^drVIehRfW9RoI zlL_-yPBPihMaTKA5rUpE0j+0R;o61GP{;ySG-|~IreYFe*#1{h8l}fD{C0-G-HU`O z7e@tk&u=ss`S?`df?ljQ0Hy{-z>UNPDg&KL3OIJE3Zz;fwg$D^@y-rA44mzBZSd?TY4nz;9cpI7+Bicio#*&fu?Wk%z;k>8Rkrb(>ko9;1r9MiGxFW z9np--mrSQ$zuNk7|NXNn!TiYP*(Un&1()5`K3fPA^F4kezGZfw`w9=sKi#d%R2QbF z)+B!4ily}#U?kT=E0>isNaI;pSPl*GC3wP-BB`kQ28^cpH0 zbzekb$-eK1kCFF5FjOLfu7;i>|M*O|bF?X;|8x48#(N94(O02r?-B1Bq*ua$ico+d z<)W~%5Qo^`W^{<-p&;^we~%El4tn$`U^jtCQl0Y`$*vwP)F1cV1DRVT+~uPAR@WUOfkA& zmZ&N-SZ8ebq^tS02XNL>KE~q?aKAz}%0W>Vmor1crF`Qn802>Edp-|kP0p`k`P=76 zlm}MOlS_K<-aSw!zW~`4$a8PHitb|uv@l8JEm?AnM22b-qh>p4bPpxeIAn)y$|K*E zpr&tEM=E7ps{wWLjXwg!m}dkdB9j3Z7Z=i7NEC16?*jv6bRT`!8U-BF%~jAbd`1g1 zjK$vXekw1+^SmNn>O&1cqEpISmB2hnX0Xu{?cXujBTjXGWgRx&Q>pl3i-6UG!G^I; zDTb%s_(6TrBTS6m7uu7`6K;&ryp0TdyyO==#Uj6Q4NZT1$39d3tQ%8adldV_U~V*_ zEy2%>=taq`l9+zs(uSP~MU}bLcmHzzEAU#f^hIl>*-tI@a^3VM{<@yGhIGpC$)`0aI61^IH_v zjlOANNa}6rd^Ph*gSr~`$niK8@qXZM>#)tmW6MX)iAD4LAB7-2f-T55KGbh)uO)C1pet$9sK@|P@Hw`Tn@rS(?rdQE_+FMWBE0Ovo zZF!fUApVN;9A?OU;H#iJF%ad8Ru<{E!X|Q){SfIlsro2r%X$hofX~c7gp`)>7RzlT z+L%SmA_Q=luJ>;q9MqEFsV`QtK7oJ@e20wGz+MijFXtznSC~I=pF|^9#mCg|Q@@t@ zCr{jkL69U#4m02hiHSkfX;7|v@$I>h)(OMm({IfN_r>LU4V#;ii?DxY9^@r;P0$rj zG$aZ)E8RoUHr!zsU{zip_%*G0$xg*85T~tM&u2H-zG6K1bz(w~F%}$jAykt*9Imp> z8mV<~{`QRo64Y{_ssTaR!P;og=xFue5-S27-5Uy~V+lpYc&;x;uc_p*9!`ww>Fd(% zkO`BL$vM?-v`J}+^I7&^{j1r29nH2w(8cuh4HA7`%o7qkf-c1#%RqKcT8y@?1$@^> z7kN+apL!i_36*rczD7O~=AC$;!3Shf}JMg%dBVg%N$fLq5{kA{h@3v!32a3 z^v?y0%)P4bkyqAeJ0|1rp~Sb{awb(N_>N)zP-63zJomU+7A6)}?<+?OihKb(Kj=R-99vx>W{ij3rSF^`K<(BEz$YIFw{24^ZS8RiGL z?+Ec;vJcq29mM^d>Hd_TXH2MlW+0?YA9#K-#S3~R^QOjP*-Qb-SO?FCX#Jfump@|c>4FH8Bfmf5Sx4_&k>TXu_1< z|NYX4;?3c-jA$!=Yo~bHb(u3N*fR85>SemZ+}!=7MB&EBhyIV?-5|B<25QT$?ba!`mcS$$A+yDJOeA6);9x0ypx`F4YYvtsN~gRDw!g2 zAR`;M-4N9t8t=Nvah$wsW16;g@6qHTm{*uRg|z0)Mxth;EWT6Sf01^hlGf6v*NArp z>wW5k`<1IwJVs!ErZvAe8=ZWluZlP$B9?k4*p=u5buWQDk?jR{tv1GzdM6KswO{mZ zyZfg&L|hdEfkg7AcdL7d3hs`kZZWbOV2q#ZyI=B#$Y9M(j*)RT!0~+Hncv-FEg-48 zn~|{xsX+n)F+X}G;;~L#DJD|0{66(;HgiBq=&C&MZfmjCTR~S&Ma5WR+K5F>vv@{# zV0bf!6b~=|OSTh!4|q~Cv}&NHG9f?6%eScqzBMryFtLpJ`W?85fKQEziYmF*J|+R# zN1ps_RMRoaHzKCq8K#40qAO#s#hCnG3U(`*%M+Ko@)uTlkst{iHew>Sn}U3tRx2?N|s2+2$6XIGOznQPs{ezQgnmygR$g*S5c+dZt*K0z$Aqdwd_CQkuEGO zI9+WhPore8DjqHk$+<*-)0d2h))zo$G5U6iR zKZ05d`tes{&fem&ai(#^yknsWkxYGM>)-(Vq)SVWzhOhuG!D<=jp&ZFj@Vd)1An*A zrrd5st}xQG!D1J8ic08_M3DGM##qfScv!6*1PVq;xBs>e2zU&p`dGH7VgL?hpaf@S zVp=`F1vcKOs3@S}V{)NE{$RlP-=`7h({l}aJ(B{HPkh!@>xN&1+)h9`*p~h)Nh%tN zvlS+ofpk7&cvv~Y6d$#VAbbEN{rQiz7#4}wVe9olppfhOYFoo^C<2|Ov8gEn%@H~9e$(tq;ejs^HH~78 zkIHu~*wLhZA0|#hwW~^-^C%c_L}BG6k>i=hB@kVB{#u^b9w!!lH`Zb*APUxv~|;K4S_!(M1F%VNVTBm&Ew{p;tx@gk6I&}4M7zt5c1=eVRb z3ru(XD^;+l!ndi**1)6NNviWX9%VQSO-J zB-XGZ_ej&kUr2kU6?{kBMXx%oZ zv-%Z-*Y2GYT(9xwt4ex`q8zBdRSqpp!hiy=CdQ_@WZ#R>wQT$xV^eh`0FNx zqsp&ow~h-_nlK_fo5GvEn|`477B;f9+ydHi_D?Ia1!E>07dudJ5dm_XQJ!odJq9k& zybE%kjkU->U5D_tdWN<`)x; z-6;x7*jR)gR3T}l$tvd5MZNxwcs^8yCh5qnv`?B?OHJ?6R;b;;D14{he*2tyU(6c7 z4M2UtBZoU?U;#spEI;oP(Ye80eN4XFU9~BE8Xw0fNwmdqD<) zWmzIzZC_tsP0cUwm}R04l*MbNbl<#o`(tC0(czt@8Y+aa8b=z#cyn-1*Gqx8#Re~t zU}wr7z%pZTU{5NhWM$9#RY$H6rNdskeH` zg`|Ovy*=;-jPJQaAaw@H*kOGZX2e#`zW(7)ogQFF3fveO8Kfoe{>4osC*#M;hT_ir zvMytk0)ex*E5!$N7jUxy`wv=(Ko3ec z@nE5@k{41BPMRGm0Y}o#q_rmnqcf>We-}imZ6G4u4l}T7EqUZHvitty-vJ}$ICGnT zj=pTML*}Bc{hQnCIFACh=JX2U`5$Mlan@T}I)!mYV;hWd7oOM#uE)KY<|QH*dkrf^ z5sTS}uj&tHJmU&tJ>*&pPjfvC)!s1hU-N#Ubn5h%gYUm@AtZ70^0+FR`Wbgo^5-fs zZhY_+g~&zIHG^$9QPZYufm-pz>8<}~`3uG-U>>=+zE174sK&NoC4A%H-~d9qfRHIO zBZG%@=mP>8Pyj^Y5^)7{c6{# z-ur5r4)=AA4m`=~#Jvd@@9e_7tV*{oxF+hT6qZ@?&+=iWI@Z?3vITAIx+-Rl>>_o~ zJ+Bx&)N?mWG#x3bWzCgSP>g(YYM%a(DA++xH5*GrNU55&1^Ig3l!yQsEhA zE0>ejj#AMyE+J`}`noU8k93$qBw5V{H`YT#f!$}!&(F_=95gFyMdZBjO110^4Gn>C z&eylT%WUjD59p|ZV?kM2ng53yN8{giB91Z{zB{Jx2XNT;2ltO63DgSlIz>N|pe(eD z?I?c5Fb))O#7IiM!~HuDxH5)edq^;(=HVCiX2kzL`mjyt^DwgdAS8$oZfi4sL|F7M z@il5dj=i+hl%F3`w1>6@53?(Jxm2@4`StQs zqx+Ced*HbE>$l$lw$$#JG&INb?H8phmKZ1r|B{@%>RaW`?Y#fab>T(2DAxO7*F(5G zb~DrLiV)LR`NFYe-$o;gU*V#LgL9OZmsjiOWxU4SwRY#oa0m`<{?rMhZ9wK;rUb=Q!at!3_zK%u;il9Di9JjafY9iHs_E8C>x)+BNaxObAB+ZL*yZt#lRU~KapW-$7OKJUd4%%iTT-ZBsExV@pVOW zp1(BNZy_Z+V`82Q|-o+37l<F(}6$^)Qstk{e7 zOD4~x1HVD|9cY{Y7!_HBDR4=F86tRtKpFBHc>|5J4sFSxZ@&SF+G~xAi~cRW+iR)? z~Pogr9&M()iswiz>Za|CTu_Xq-D`{#nAxbrv(AaiBqH<9pRYD4AicrI(Y8 z?`D_Qw-`Sw%8djaJq8OVHAR*_8(K+5Q4PVKpJ1SEDjT6bQI-3-xmC8A{5?N! zc!*#JBb`WG+4!@}}9!)Lh$hek# zQ4oNOITva_5~-y+M|qk&5sS7+XluM<-JCmXwUe1#8b0`Mo~vlm4# zS|Cigw+fX^D;(|0u+A6EvrurC20^7wp05qkl$D=W(xPw7X3XwPTBVj{xN^cN`jQ-@I=|djdPX}tk#SDH_~u;h$_JYtWx$16zAFE!n5Mgz*Usi9 zacuYOtj>p83gd#uC_8YwcCGUVoD=YxfV|!s?lYQR@epFnJc2Wy+R$xTDi(QfoXYFl z4K7)6REXAxmyM=J%I8K|V>hPwm=O5UC{mnI4JKcjYJ~q)C_lMeC>lydkYG%LHIXjL zQjSF+l3?t2`#vWVPrPm*;spak^I1O&;FAEzS8HS=$ngY@lgGzLCn>eq@$qqB+H_cH zHe^d30R*I%FZ(7YG#HYW)?J+94*L0W4XmO2U2sIFwB3o4XokQ0{C@Js?~2!mQylGsKnygWu!Y z;+T#UI|TEaAMO^F8y;39{&Vy}sl7I@^~=0ZcIkRAgR#XSzq)js@%2{1XG#Ct?Zkcl zGM447`|%(5FNBS@k=AJ;&Iz%aXKuFGXG zyahGmh`B;5#ne$_*x2SM?;uXE1bJ8zu6i!{si>kqJvu0ClQ-iE%I$anbDp#M^Ki6! z!;5R!Y&{Q~Xn?bM_oP}zUmy!I8bW$6OgY_Q_}~-klLUM5GWP#A@8Bqx9r=qw5%*K? z#(zlyg@r(rZU>|TaQvH^`udrfnc&<2tNU{1y7{H0rR6`j5SRiOu;udFX}P-oMrNA$ z{eM~j(1xk3YzW}>*i|mt<1yDVQ{)>}Q>fvoWaBdu{npT`9+<|d6n=&iX4fcB*r(T- zW&p3cU0+$zZ4`XYF}K1F-~Sttc3zXy=ij-s&AyZLM~g$LAhB0L`$ftbwXx!e0*mQv zc?(gN~1d@q}pUTsz% z-n~>%LhWZKms3|5yocVhwq{(1Wx6BnwOvuxz$&LQfv~ncS{&}uY=19c=ODn4`ywY1 z!sK^1dT@tF%)14b^J~6#ucO&0#M|?sjGwua&{>OnLJM&)J5A^6T0eCEbiFR$-icyD zZRF4Nc$ucsmSFv#8Bh%bl{oLYDk^=YVec&Z-R*C>20X@&dQdgpm!LD(;LE{uvdx-{#|06$A?V zU4=*VL{L|?bq{Z><=NXhchX*!>t;lURAlhJ*8LsRdg_q421zi5(tP{L$gy=nxzxJu zq#js+LM!=)Kc-`HSJ;QRa+;8X!Xf!u{^pv<3kLb605sVYmA$Elw_{OV290-fj-qH#C9T=fgx}&ND=jf11oy8u#7tFN;ra>^#GchjjlZtk zmKXGh#YJ9$m|ewyl}Nrvf`YWl{&C~ZmUXLmFJr@fC~$hVLpLT%7jctY{w>E^hJ5tc zOExpN|6o~{@8r4JpfuyBap)a<+?2oX@!nGoDg+p`juI|K%|41 z$>QIpsNk%^NC1+`BQmFf5{s^y;u3658T%HZ_mi7Gmns{CvC!TY1bkmrNTJImQGV)A zR|;fxp0C<^LyKMOI3-y;YU^1~T6 zs-2`f3roNkC!d-Uw;!hX+)xkX*&xN8`Yi}X!;lvOZPeZ);I9BW6l39ktSsTPUJy6F zw&`vjJ_(c)qW6cDKt#z3WN5%{J>1&3jlj2kXmC20 zpsRtISg?F4fW9lLjwfj1N27CTZ_Hvn9pcDqNA+RNwN=~H)$e0|HYzrmhukJK5GZy6 zz=gu;ZVmL)R6#`oxk3VJk~$1$^7xM*b?RI#4Gb26Fzx|pnLs6MGy86+~I`_=wkNl*``nHX%V)blfM-dlmf|0J6Zl5 zMlcSmkflM(l@&id=RjhKT5%2=PIeoT!Q17(k3<1~LhuR`IkAu*8#xkT1G#+yYWH?s zmlQ;T$$w?46gJadXz0IT6MWH)|6s!s>>YcWsmdMV@*62^qbN!E5Yd{476KWq*$_CN ziv_j$u>ES!(nHCZhuK@i^SLD@>oSu;kpwYSOGKJUB_b6qJzJGA&7Qq+uXNY<3`|T4 z-Afzt@$ZfD#AHosEL()&>x{fqj}ztT!G(tJ@vnZp7{kAb$N@Gy4k2MR5cvY#sTWY- zfozuy+jhY8*d+TvRWnc>4G5;@{gf68^%TJIbif48HXaBMKHutM`CZBX)|7$+M*O}wMb9(Gg0-FyQBLXszQaaa`-rmpha&|yF0WL6r z=u>+01_%>#0KP|@nmE~U#Rz-dpQ+xS_64GkXTr60<`;=|TR%<-a&TYS0p~ev3a%RN18~!u;yI;`UHXOULkIbQSloVP(Q(pzrtRJ z^PNX=LB2~n)+;KEhW1rz9px{eLduXJ&iDwU7E+y8|D7d^I84#5Zf+o@QBg^0u(wwS zcyWQO?WAre=jO&cMBMr2uPG#W&K`VjVeoqad+R^1Pj9b$h`7lc4Hz7Y_p8L+df85c zPY9_$5t4G+w}#=!>mfZ;{^j>auj+py{Nm~9%`=20;Ue=wqn*-|T#JZ$I!*~d=2}%f z{!M@wx(3h|z{^N+7)Lz=cx*s3iHC>BJxf7?2_(d1q@;)qL~v2uo^A0>5xTdfK$rsa zlFpRwj*(vt-_prR4A=*NTlxrSQ~`N{MH?E1eRlf*uKrB;(W9fI0Q&;0k7wS(AH-J@ zkzL{VQ%wCDO26E0KTzoge4)O|O%6iG|42>#_i>kh*aG%y0;P1aLoCUcLnUAgaS=YVEO!3AcwE7cg8;Za|a<*9Cy2F}XI& zBWXZvKI zo^mFvN(Z`-W0J!_>X}b_Hr$j8{qFDFiX4kxqT#acd10~d8;y4%CGkVhTX<2BB~QB4 zu?WS{Aryvz-3ALiE-feOknHatarmS5ZK?ki3S=NI9k9}X3hnhQg#`3#ef`}4dy9qc zRryJ@K@FIo6{-u&Hi<(e%d`&M>)VdIUb&kdqDgw^E7B+=!ihHb{Lzw7?2XS76!#-s zBBAa)aw=UEb4~J=+!(&c5F&cce$7#mLiE^ZGUyNCRJtK&^MDYeaG~O~Ycc_5c_%*!b#|?g&{CE_7=|p!TE&jY9|44YP%zZzipt7fQjLoZMyMeLQ;ZuH*VZK?L|TNw{Sf= zLxgwhbiw=zpZPuAmUj44^2^ZCT+WIX#KC;bo_xlvZ(+!j!t8fTr4j#HcW$esEcW}g z?!TdE`G(X`C6hd){L}CV-1>Z6d0G^tIGaJv9sc!bxtkyQ4FoqUA^(bYEb<ow*W&!*D5Dj|_3dwmssFUK+_%Lblb$LG4?`BB6sQS3NUm$5rG6dK9m+77H92?#&uws4Zzo@p~2 z)+og^_kt3EAeSez)_HdRubiVXII>~G_wa-g5eS&hF;MpbGA|hfq=*ML;n!Qo9@ZGz z6!4X5H#Z|H2N%8pPAYz5-Qh#*Dt@=ct`n+)kbhSu&-I2R(x5@Q#BoFoN{OB430qF` z>~&1Jh}T7Htma>-+g#9xV95WnW;KU79?#L5&+iCePUPxS zmhI>2!zkoWswkj`w?SD<(k8iQ^=+4`xXafZsn}b`tiVL`4{QwXM+D)-;9zr`N-*Hm z)eT<5H>O`tBDS#k)c2laBKUP$8M>nVzvn@YrBO0xPIb^AyWzQhJ|V<`WqUyk`(=(e zkcrbuE@Xl%*3YGTfPnO8+0NA{1EadJ1Yee=1Oy-buMssI8YtOG*r;iKqZyp73zZkw zqu(0e^0&fF+vW>oF;a8Jae8Q4JT}@+MSJw*o1X=+FN-}x-(yT_Qao;nQ3YPnFW9%4>CMCWnj$S z%HRDi=uldOo!((g$J+d$kC(mWm-@{t^sHR^mdwa!Ki6r^xQS0TwL+|tVg2ZEO0-HW z;NmbyyRp35m|@^y#aj0)Qj0<-uVnFNCH3_mnA|o|diXj7{y0ByZ3becf`Wp7?7`z> zHf&_oJIQ0|v_#TtQLUhPJ>yPy4ewF;!CupSYTU9D(j0juPIZnW7Umx@$GdCt0>_&Q zOyBa@!<^rAY@(vq?BP*f6iFwTc6>YF{L*+m8;TGm;RkBJJ8mj`A3=59tiaOFWJ!g7TqvqmLl(kG4M~}pup>}tjHrHZXp1+yS zXD}iI7j^Seqecj`-M=$^ZA^jpVYYOA?QS8xS<=`hN()~{z#n%HTqQe$>F0ZZ(|Oj% z7!UM&;KZ%cD^q2X-b1Uabmr&D<)qS;$keNC))DSq@O9@Udcxca4fG&`Qs;fE6@=HQ zfY8x|zy(W1(J_Ft!Vn1*R2FHgI_7>dnyJ649M13T5l#3dP!6X|_oJ{}R&D)soymKC zA3E%&O#y}iddIJW66<)z<{;+<|F*Sfk?Pf^N?s9SENk6Q&6R~ktC};AaUy{e@?o}@z^<_`f-j}vNY*Qx0_O2(+qqlvZ*gCD}zEYAttXg{d|3a)a#X?+Rw5w@O;5($NXiT|0#73 z&CEZw_Qgm`ve?EHLHW&H*=-AP`X`ldmG!4IeIbyLSTmKv$Ny<@gqq_NZbF4D+mbkt#BxEX>(S$hC&c zrR4;{`apLB;17Tb1W0#V?vI5a;=p#F!E2YoZVY|LT)tECKEsN5`>sLDz@X8rD;ntT z0sR^DNEhbj9;fQ4JCW}{BE&a&|6L0Tx;XuzbJEkXu`{yt=;$(?qm<*;0VI&3;;#a( zw;&@N7$*ikZGHZhx-wEoJ+mHoj(9yqn@%qUQalXS#(d5u>(*cIx;~1?B+#IAZ~#CB z$i4!kZ#MvP0miCR(E9>?rDY&H^z-pS3714YqWpwzo*eB=pohkn&V-cW7>RKo>mkH{ zs?(0ybQDRafo7Ovb9A*;C=9Pa(oyU0v-6I-q4*c2)BSK3{>~ z9_%g$Cptbpx8RvvovgF~#4aeKkn{`WmYtz>IOvyrm>LP=20&%>{e2&q|4 zRW&uh#L!SwwB61NYz%&2pK|gb`uXF>viC+T0EmbIr=L~j?OA4*n#y6H-}(arvP-l1 zMW~${)x+a7=NluE%FQpIs8(^S{$A!1HV66$C8Y*&`P{Z%Q%Xd@qIXQ%dIa6ggNBbQg%GDVb0;x_c;aj!k3+^~5*$G3Zz&;~K$wg_r;?ignoHm0A{^bde8-kTcIUKrF zbMQeq0NcVlxVD$hH@au%r3fqfuHB)3k9A(P+5Obfu1J*)dH zaFO8wnBB0^y8?jTtMnKZ)Y(!$b@5eFnCj~<6vT`EnAii{bs|o|kq8wV+&pMS5^q7h3|aC$Q8Z3jp%XbT%YNe+^s@+Kw-p@VGm7!vUH-zVL#@*i(+ zR|C(}(?u0{FTj5YCJf*Q6l4Ien0FD5Zb`0vo^(9Jr`v>p-8kUXNrfx&$YmV8yw(Ap z8tbnN$d+PPW3bu1RSDyIy^g~9;_ow^p z%S)Zk#47u*ea9wBU_7sT0^dTt0Ore)+O>a`JpwIRZWdrYd1Qd3=xlFB1t1 z3k!Gmi(K@QCH$fqnv;9JmB%^$psD%$cD8cLk@4;3VV3i*!@oG>c*gaNw0A>wUXqab~U8rJ-nntU~M)#ueF0t-9Jb*s8sG?nxclo zg75o1T@z;=6@EXBF}x3~ygGI$1aTdod0QaMncQy8m$~dq&u)q*^%=de*-eh?sJ7*+ zB?rN&&|6kq!Cw1kIdyOmgO97asG8DFd3PX#%EvB7L~=6Tzgk*R39bLp#XRNxUNdo} z_zP!5b;fUIio(g-hJH1hkhM?T$%hZln)lzV)%UW~-{PLkGBvQ#Ng;o`TE9waP!2;J zLasFvVyVy(1|$bCDk&{xMM8=MtX+NldI*I1%YMo$%Fr-zC<-!_jSHc%(W_kZp(RZp zB(F`+l7gb5E`GxmD#+4|y7T*ixS<0+3MPkl-B|wdI2NiGGs0OyUXJR3818!qY=J;R z4!W72#)-JXP_mGVvFi))IMAu(2bZIqRX1F{lzs%mB6LgD*?Dum)>8?X^LkH)9R)K~ zldP2gmDY4iR^yZ;FQ+qAA)?dfI6JjLM?*V6Q^v<|=k~U>R($*0>Ew^2aC$@-lfeLy zqf1`ip=@7n8aG#$iCQLlf7gT^l8U0~c8A=?o6Vl^=8}P@rn8clIbYuhS~v(?ZrqnY zjdGsN%^2$vI|e=JnJ;~=VsG>`;C63o%zWnRW+k= zvsEGfpSKa)p0ZH2_zc03Y|VLYk=QQYsj4zxC2BQW|36&y7o+u9+NJDC!`MFIw(@eL zx*7|kjyOz)RYq)>l0^+a8o#y$EBLfZpA(RlH58<^$4sR!1TE^85#wx4c^pQMQz3Kx zF(ociF99=(ncQZ8Q1ySfIR(v+SPG$Pv4B%=?ZFvIY_f1uwxnR57-L>O8&=!n-4IU3%ck%?(D1q3V|B7Y)4&gv*0H0I~#)ak19 zoY^KH9u`Q+Hdb4h?{K@iIEz-w;0b(}ka-~}G`h4jZG&OJxn1(l(?5rvlY;V$^4+BnJ za&VC$J!yV+XWXuN!DP5qngUW!^9_tmmRXPGJ3JogH=V_d&XHagJA{+4gRl4l-S-13 z%_#=I$OReRPF1r~jEK=MR??X-nR-6pUEgNk95XI`O9j!jm7Z^uWimgH&{4EA4k`aV z5XkoWT`DDKdAzdS$;0oFBkErLdzn?;FFsws@_|@pFQdlj`G<6taKAq5DY=k&^|*wR zY1pjDQ(LN4{Wzo1u!tt->@yRxE6as;R!c&2wc}DoQW72k0Rb5q871ZM?(RqM&hTKH zElPZf`Vv+tc0geJYSE!&I6rpIz>d)Y-Lh;^Rp%tsfHB29ChJQlx%u~C9MwfhBFD;$ zxugmeWLa69c70w`>sE}K#i_-`M$aR?C}~_GqMeNmx1o!|=&YNY%oA1$n;HGz_d!nn zH|N)q)>udI_x(#`GY`|>)2naFi0BM^k3R&ol+JlUXIEwhw(4EAH8duGh%i=`4q^hD zUEsC`5-RGrymZT^0f-gg;Xwj`w2Ta}rl5wm+tmQi4fO77`QB9Pw~1b1!>8e!d!1 zNfA9upBRvP9ki*_a9J4c)XaE#zs)vqHPW&OcdXxZw`^j*oDp=w6>@GJS=wC2u`w9m z^R_Z4$fG)FZY5Kj=)02%_r@dr(c+gL?sXi zVRxI?`0pNu+dc(y(075o-u3osB^}O@b@H_E?r>S0oAUvT7$61!NdCoY2Yqna0P-?> zdwXf=F!d5?ZY-RIZkIdMH*;!5RS}L(rVXUJQWW33!#v6HBR1Gh;WMoH8`m6bJu$^> z@o9(^*)I|X4S{M3jP>jH-vaX;kgWl%dwfhx7N>bP5Sa(~``6k%En_K^w08|@PO9k` zk1eWew>;Kp_*(YgbedFsgoxt^Z+*rpGB^tcs)|bM5u=~xpf=rL%=I_pAcjClNJ#$0 z)Bs@zJSl~q&rG`7CZgnZW~h%mN;NzujxMU=14VMh5&bcQDbUsB68>P zVmeOQWi;(Qi7_r|?zn^J!)sa-%ejWyv+^p`K#n%Nlc1RpJk6`clf%Mhcm88g@RO03JPrU9srU8QQ4q9j#=9O_5ZX0|FF^EHU^A=z(@U5!Tv=te4NPaCqFb$ zZY7RSR|JxbR74tkuSAW{R=Y@&q(H;auKHqrEt1={Y0JG3{*P7#A&d2q8L6@hHbgSI z&(LL$z;4cAL{(@GGv#%PK^u3 zz=TZ95$rR7^Fk*ZQ~N7@{DqE&b--!e_-miuCA!c6(&4)KZ2qJ#8aO!1zc=n3bh~?> z^>2ImZBFlMD&O*$__1I;Hd?-^=s4VYYT}6&dT=?#{6qkugrNUK&V77%P{S+}TJd$U ztQf&#f3k)eA9%%!-VY&$r#4+jIZYpKcZ;1b2Dr4J#r~}9?^oU`zj3WufIDgN_o8?6 zJ+jsfpAyk%+>+X33>QK*#uF0oG?tL^`Wc<{S0N-j8`;_>X?fUbz90$KQtH@aqf|Bk z>&?M14q}UaQgfq zE8om38KJJ#(;)g6q7-ol;`8!b4!=p$?g3h*qvPw$a)a&>aCX#xbt|O!kWdS$$`+wK z++h<8^j}#+#?{igln)iIvQ3xX-7og=*s00Pup6G<9gG_@f}&R7Ut?amxY}9q*<5#(D0%1}v<%~@6AwT* z{v;B0N+0K+F2~(>i&U>S*)VGy_0ionmOl6)- zC^v(hV-=g8tF(8*gjT1kvv}D-^Ra{QOX{@cxAV8~?C@jgcMS|z#UzB}zt!+R%QZCanK9~kg6yTsX_^1MIrDs=H&0Lq9=o)Hjz*P%c zJUFDJ8HtI2!HEw-0KTwj8;#N1?c1{oYN$@ycnqyvn+uK1s!v)tmP3Wp^}liI!?bOD z4pL%X*ZCP<1S4_g-8m(NYsX8bJ3p(Qk%>ZDC_E_Y-q@}E>KfDgZ-uC&+qcrjv%z7J zpDim7v-eb@H=A5zP`pdta7GoUJNu;+?P(`}(dEw|jxb@40hyY??Q%0$zu~8@kPVJ% zg;l`B-qD`5@7DZIu@8Dk+w~`tc6!=(WOo|p0v*qDK z54%$}Th(`C_6T644k5QY{vld-y(?k3nucB0i+mHEMNj!tdyPz;OD!$-k0|;C2>!J9`f$8(JSy`%)?@JmQm>za2J77lwO05AP9^eC43Scn(^@}5s9(Y`! zzA0~M%`}BN5u$6*=6jyaFqLJh8CRrMv zY++8s8YKJYG*!b|5nkgSWOB~UeSYzqyowNa zimx_SfR6QgymIzQYKxf2j(a67hvo90Nn{Ld!zBKya&5dkT*Ffq1p3XKQ;niqy8!ZV zsdcHus*6j{gWyhylEk&9LR~*EN&%xqi@lC8IakT!dOP1zw}WGh8%)E#Ym-OH|O4Tm&09RqAP=$zSQ{5cy^{eOHb(rULkQi@Og}CYGr-2tKGKu6S0`;A zw!TT5!;|YJ6>PDf)OzwdVy^{=KjkUYx@RmuDMqwA*_DIh-|T{aHh!Jzh$s9c-}j~| zD3+QqrlzlNwN%ggT%p~<~C}bU;<~N=?p`ly@%4m~sQkO-WjFfo< z6T{!!+ciG!5#tZUC4b4huH80{pB_EqDlV$qVKzJ9U>#a~AIg%Ex((@2#xY--^hOM5{Vw#So61q$xUl$knH+&4v{e6wHmxsh% zk%x$Y*?S(3nMxx(D~6s1{gG0`mi^kL#p0YMvHr%#u2ijOS{F@~1b0r%f(a{Gp&G~g zm6qnk`j-qYF_XWZk}w4FRRXX2m*~D(8W#s4BAE|_Jl?m}dzefxfMk``nw4NZjN>{a zRzp;Of5#x>gn>1F=-7L(BdGmpW$%QH@MXySz=Am`O?mP@0vc0_h z;k9LAQ@8Pfb9U@|FzV5|-?^qire$K<_0`+pm)ylqbFNWw|1fh4^RBn@nw-q}5ASvKsBJhj`r|}6@E>my- z%ju4*pyPIOlapCPri{Z^-K_RJyHV^@NpV>{ze=uldhP^NvH3bmhP%gGH}2W@$Dd}! ztd{2)j~50$Zv$)$ZFHsVlYf3Np3^cKHPW88=kchyxcEazMCpK6e{)|qe~Mi4+CMC% z#tU0EqdOLEqdR0o6fX012$mT9?d|H4S6jo$ha2x-?l050ksjvQ$a)=IXac%=p2hsU zNQgA25g`wCoD}E+Jc)`QgOL?q&VHX=S~}7@Xx@j~9qHLY>#qRy!j1*`Lo|XJMA3_{ zV>9*|&hzV6+S>z;cHM#~CK7bS zjdPn#_d-(olO}~%!*1atciSxxobW#!-oOWFF z4eO-eZ@>MEgc5EW<#Dc6a9{1rHG@}iu-Q(~2Cu%OOqV6p)R<6cxi)6~qh~@c?yWwS zUjTQiGM#~7Q}f?0Wdy{x4|nWm2haV=7@5^%bAOgtSn3g!ozWgPXGx5yq7OhTXu9~K zwb1WcLzBLHhS&3m`&Uh|LTD|$BnPw;y7aBCb}5_%i#yS+%eVQFq5AsQ%+r&y89VXo zbE+!mKr-R|)%_~#ar0dIZ6Cdl$QUvNfrAzISD1@*uI)_xV>E)=sQ<$G>Q9N@B$>Wz>=r7pekI$hpy7g-xvs+(q z5I1NaiDY{U=75%0()JrC?C2Z){V|AtOQ=j!tgEgki z;b?Jueer1W4{UlS{*a3D;KX|++ZVL4vPsFw?|=dmBtjZ4Wq1;LJ09xjR3e(0nVcB$ zdt-YZ22%*-q^zU~oZnM0{sU@(gb838&>TV0)izz_cLZI0Q`0p-`T)%mK*7%jsYQbK zwo^X*YWb7Ve@_Z>fk?+&ASpO}_M{V(w2D>D?Q!3tmS` z%k}pw$BC){WRq#T+%a6Pdr!z|Z9aTB``IxrK^;^^-(nJ~iFy7Qs>#nnhr)+4_vp9Y z;cgx*@hHA|BX-55S1`7@vlAH^NhRP)55VSN?mj#`oSP6(R~!5ZtyCAT#;GHn`n|vJAycVU8Ru_LVT zaZH;gLJ>a}S{@VfrxkE`a;(xoYCHQYPkJl#aO!BIQY!3r)aDU)ItnJvaeANy{*Q~L z7^;;O^g^4CDT#L5OMcN%^QgMAxY_?l(^-a9)wNxFgLEq(NP5%K4bqL2bV+x&fV6}l zt#o&HcXxMpcX#tmpZECo;Sc{9?6ual<{Wd3b9B|r&Pn)OrrTjF$Hl+yeJFY_gs#{= z7%2yi;*om!PfUQ-4d?y)oZMV-F)^TJf^NMUv&o*-RpPc+Ol=cGvZGb>-Y`K?Slzk9 zP%S{)d-d~Z@z2ORI0hdfx!|#(Crv!f{~Z3g zYw+319bWp{e5`2u{txR{-+B z|GG{=0ULn%0{IaXmaS^k#I}PU`HOHYpThEza8%X=jA;fjBnUDlp!d;ic>3>Du-lOI zO1Ca60;`NX_J>=2hbdX&h=PbNi}DZU6!X!BEN>&7*$@mx#ipvq^7O63f7V!2q;mgO zQ?z|u+8^9@TK4^>W@cAjdt3~{t~SXin??vew)L7IKJShwhynCgAc8=9w}Hse=x8a( z`4y_pvX+2yEo!8KMf;~`y$zAQ@i!~3`zv6bl5ldhY?=V9uPDgKoA&hplLR;qm$&Mu zos8*nzoU)bpvIMa>Ub#XNd=KJa8Q!3bZ~h1uLBnyJshAiFe$%IsZ^!PQb((p=z#wX zDtOU>3Ope2mj3Sprc9&<7%`(iKi1*0U2Zh&<}04O+ooFSgW67mK;qB}4g)ptydINL zy!#t*L*RT#F04=QtsXbQm`VF2q^=#3SaoRLPo{+mr~cPvcWH$mv*?_nSaWr#k+q`Z zs>^+hgOzD~v-uYOcM-L85aNr;z_xhS3%~5!J&ssfq}3$MVE7&U;~aF%mWTnRy+^`28SRChMF2R zZ6nFHrz?{ICnt<{Bq#(3Z23Z~SrU=qp~o_Kp*dw`7!X?m4i=WeXpa`@zn`+q*32z3 zh5C1oOvW=r!7!fw04Pr1wWRH}92D|d0XP{jgZ}|i{`(JyUK2DMSb$~-&@ETp_n;OPdfM4e8eqdux{cmj{7|9es8U)}^GqSE{TgaM_N;O)Gf1ksIA|4lJ2qYE#4P0AS;M$4y<2fq| zXd}-%#Nb=FJfZ>14l=SW(1Hk%r02J{=m-dm92_Q<^Nwm5sppc*_3`2rO3lXzc4%w559SQg$IdE%DAg zY*zKZ9=A{9%i_f4L&=Go1w}qjwB+WhF?gQL&kxJ*o+;jOo$mzlS8jJUa-IF+p%3WB z4p!QaMPH#7g*8_330lN);{7D*iA%I+OyWNCZh-xofW5vt@av2>FTvGu_hm~8g^X?o z3@#r5S?V-i*PRo{S%H*mV|_iC^QBymNQj7bj*g&1DAL#WO{9m%;GCsX=TOT*Ye7K) z7>d)5SdQKkKjFm5tUedRSBl6w(7_my5(qB$C=37ZDqzkVmqrI5i>OgzB2}2=6 zk%h*<9VUf5yAze2+Rs5D@^&3{X~;ft+3w*6XUb}YIomc2o4-meN7 zn7X@biMQQRgHCNA0cvV#0m`nBkPvvPL6_3MhE3;_BVd?ON_u54S1bC!Vm#%D6;ew@ zPyh1ulD>rAcnW5;LDrBw18zaqW}(b4&(GKHu-(k>-{A81p(vC@nxG~!IZ;m}G$vcu zhtvs-)~`-bNUtdCj2~Qd6op(Nc^ChRPyaI*iFXOE)p>+b~oKKh(tq*-E@Bz76ozrwAP21k|H_s3g|X#R9B2gxB<`Dr>` zC(SG8bByTlChN~xsBze6Wcro;p%S9qDOZE=sA>xiE-Yyn z&z8cWcm(Hhr(%-@@0b|^$z+&la6(>-QvX{;!=4I%9K7E032E1D!Y>~BeYdO7M9Y2Q zNuT>6VAz-xA~3-jq(I=|VaHqldwgr<^VO`*0%^?X*;^ z8Kee~BW<)e@ry~XPEM3{F6sS>t@JmybT2snBPTB`3KaCTcQsCUXUQr_9%_3X8d`NW zRk0Dl9AAA31Ly6ka!J#na z_}JxU8Z0jXS>%5|m5uiX!Xm~r$Icy!F+ct)EETfe@FSr-Ha`H0)Y=5VmIsG^XnfHu{Yd;9xu4V6s(;AXjaqcPEEriuV@w@n*8G6k)+ zy9tV7H(29S9*@0%d*>zEGJR}hlfy+0=j;=mu(_+&3S${gcP)><4H*jLrb`oxd>dQW zms$BW*3-{ko?3BhGjRrKq_mOIs`;!~XDzeNf6q}T$H&dUs0XLyAcqN_7JyS!TD~mP zsI>;Kx*^L!aMO_^_yl7FVtKoXTzH5SJbquG*9c}5p_$DNL1<({(fC}LX+JR4 zu#p69k?l=g)7;Y{42;)Y9dSy76uX-L2iyZhjmO*ns*8(IDVBwjQXQC4dXG&llEV%sSj;^D8&mVJ#K8xrS@@N|ge4Qde(y3~2U?5} ztcj(h2A7wYXJ**JYaVPl0f1!MN(YosUUNL+F+q!vs8zsxcRb|6g3D}AQ%d{tpTk!S z*mb|3=+nG=-Nkb!k#-WiRAzlo3(UA|8FEwr@Kse^eaQ8vRscgSVTunQ?OFmyxw%Dusf~e~Y1;3Js5{zUDUGSVVGShP10&UmDREBwQz@~j z?sm@!A!e8U8d$$x+|E+5Dv7+mV&8U*STE$Q4;_#;)p}i)!w9bm@LPOA8U9KKH`(Ax zmx^qJcvZZlq0!7&9$jB=bvZlBR(;D-?d6ev322Ci>}Y!nb?ichjC{mCpkHds<$ps6 za9jZx0aShFfS425q!k}R_!m~1A&^|z&i=@?gNGYO9-cH|c(s}%i=J-XDHAGmCe!}& ztyTrrclWE5XLM)Yi?Wx&tG;h6=?d*1vw#Eb6g*RyG9C>!SdfFta zBqVf)e*ExPL4G{6>Mo$Xt?|88I=;(i#B<`gOdAuko9QIROl~vB=OA1Zv0-Hs9S~(I z9cckhyv&RYeFKA95VX$^P;6qeM)~wLuJ^u=3#Iz~t ziy4xlki`By2E#HXSl1Aj<|(c5je+|kSpRa!N6|jNER*bx9A8~p`%xe*T;a16GzM^9 z3mS{^7JLkgySu_;FRR2%v3(dCrVef!8G)nC;Nhib{zS96#X#zMi_d2;yiW=1a{F%L zf`u4HrvdkUY_5Q#G`<8v1_?g{8)<2XiMc_}sDT5_J1xTG2d1$YFd|Vz#}AGy=F0TI ziWJv!$<6o_G^FAJMYkJ;6sQjdb*iQ48@MDOP}ljcfeGje1bS- z^zF$X^9JoD9pi<6FFD@~s%rnDfgj(wRW~;FO?}AwSuNMO5BgMZlZsY?$od&@rXwk3 zISInb%k5;4qW*)UhZ5usoIcQiVpLG7LC>LQgnd;s!@5AsX5UW3bKwM;<|LV zMk-#Se5Jj!YZR~bA{U;TZ&Fa2yYta6Lm?k-%|qcK1f>5yLL@{4mFiWybQxQqu|%t@ zszO3Tp?+o@Scrc0@~U&galHbZ;Gc20oUT|XC}^?6+R?# zA1?#D9L%44ekfkr@L%iy`>Eid1^sqp@G3`&Q(iV#C3sAT@zwWsv|{s(Q)+DX4dX!V zv|gt_@QOeSfcPLVnga%3tDG)qT?h3G>b2Hdpvgrn^M36C!3Pl~5mp9&)feAwZ&oXo z8G)`bM$3JeHLfH%H@q>Q`Jn6N(uJBHe$FqUG@E=)f&6p)XLAMA46)&)B)?zbsfJjp zF*{^Ed|?cCLJUFwHj#Pqq;+<09&Q89Y)w}PtXE48f8vHbNNI_^-;rO6A-FB6OF<-I z>?}^$boZg{%RLZYCCX_IZa4ji5n@8b=(Nqc(oL3;=(al}_GDPO^XARN4}1rm!A2}3 zQ4l%@WIR9OtJv1deSnJA3d+~wC!@ZwBSM2+0?%;IorN!)Y_kdr(&EDI3y~C#S z+NGqUARYJpkP|5ua8K;^|rD!V*HHHOs_=d;NAmXbz!IvCkcIEYB>%k9r_y3n{Ae z@dm%~=l_;tzACXfOUUS`EfG|^BFlAU0Wqq78cuGs8tNr=w951H_P~kWw1jGPQBe_S z;W+<6JkEhGDvuW6yGhI!(6@cj(IEt+x7gTN@W9-itwX)}cUm($yV)4V{eB_d#v};x z@u&TD;!S*O?YZu+lIU`|fX!L266M0FCds5?qq)8gHJzcHU&*=8=Cj#yosoM7$oqoP zNGX4-^T+i2Xf;}!B-bpY?v%XZ{VjCWON|)~7!R0QP;Nv`9JqYk zW*t^0!~f{-!5L%x#p6rUzu{_%VVY3n{{3l|O`Ik4iJN`g02nv`!-vZAQfg$|9#xxA z@24KFAYgr}>u?PLuT5K<0D#`21zbKj)OAfvj$Z7)0pmHWYpHt{;83}Yoxm>!o3`jU zR%`1EvH!mNihYF(HRrVli_pyCVwuVBpscf{#Z#;I0!0I!BR_>%wxk~OtCF33>^hH> zB8-ikODx58%)!aGp)$5!1B}H5)cZKDT0-uQWbUy{c+e#B;lmkuzzj1kD!Pv@&s10J z;@6+N_358z)7~-}@AXtz^hX382rqPRX`zS-3r1c{XgWR44|*O?{C>W=@=e2)J-oK| zPs`5!r{e(92sp7*VlZ22x(C}c{(5N#a5w`rp2ie9YU*z-?k)f__~$=i*V~ihogIp3 zNs9?Nl{X?rPDA;GZobNdo|;SDH^cef{CMi|m|l+^>#bjxzYJhEI1}@^iah4@=sPuY zCbP7#Oz*3J(_)S&XI@cx7uD!mh6y;~J3cF0CUik8kqdIsIWdJ1A=)1MX0A%d>4RVg zED7bjY?@>|Z&s~OmXi4y;dvXv5a+fe%JU^HyOBv7RvFXZ83Y^CiXYT(zF$!kM_}H6 zI1Pl;b1UctfuC`g#1*Rl>CrA1M&GApUb*`%t>c_mPFg~ylVbG`3(+cTjR%f>m=$4 z7AAJrSDeIdePI8WiDML`j|Flf*XPf}$=tK6tEt@&T~N3H(!q=U78wbNnuZ2IMZlIS zTj5jUFre7xC!;~JrWf_exV$U0jc(0eh|j6`$i&5tewA>~HeEb^9<~2=QN<>F_JXKN zH^@`(ENn916*~~Vre=KI{n5ms=Qig7`H}AZgJNzz$;(6(cX^|;5&j-TGUD9L5XXjO z*cg#k{eUL1;H&wVp4HyQ4-yv4XtcLC2oO2yuu=K+=R0qFM#lmY{p=z`|GZ%5>lahe z?28kxiz`)YlyM`(X|F%s>KBIrE0}0n(Xz(ca20CTdxphLd*=%_*(`@kZH zCu?q~C-WBgbYO`7rQ`Jr6<>hN^^q$ZeNPYm#)cs-y)DTzWqWH=`%3kQ)oxImx?=syANK){hYH9PBUXLrAqg$z-nopEF5U}q;{F-A!pEgh-j zyQi}84VK{*qg|ZwjfrbnDH69pO4YoxxJ(`QX{jV|FEojH`B+mvTSxAMS5l&+6C4z} zT5r|qwSK}klC$7{ZO}#UD}Rf?%%&FI-rEG{KsSs*Aeu)ooory3PB^=O$+0~_@rX4` zUvR<8lmIs-Hk_QysF!25JN;OOG1Oe;&rKGVSjYTZ9ua+!CkdN+6rOFK6`TK(b z<5_;@M5oXY=~2xw<2g6zj8E0fJ`leR*RbMfRVsA;jFwk;3}r~06Tz_KsedB7n?rS% z$PZa!Xc%vx#*Sw=mwY^^Jv4YDTs_3!^v+j4-0UZ9y0W>_Dl$t=XLhKq)*GcZ*r?!( z!``NYRrwWV1%(Uy|DfP9z0>JDLV81&hyT-aH?rIP3S0CJJL^uxG}8-CJx4 zq&GFJXQHer(Q#y&tTT!|@mDt~>F4pkF}*q)f;C#KpFFBSKV5eG$Qfv0dCG6N5VwO- z7wAmPWX9kCgquubU^yeLukTMWp*~n&M0c4tYHP=)!!!S%o$%X6y&nW zp89u+g$I!w${7)nK@$Vi_5MY~wd1%UHiFx{+tq{LGhcjih8c+3l1HvCyuU&?z;p_KQADh$7wocjT$PPBo-34c%zrB+mSs1FL;^vy%ef7--(ix2IY zQwmimV!l5!xk{35EdEseU}pF`gg#?<`kyVDt&BV&|I$Q&)oJ^N=Z~;4u3N1wEua{A z0eC?1(ZJR8qZLX|?VC+CIC^ef{@5So2<>ywijA%l3c||RWIf&3xS7GPa|;q$PkXoO z!IO(6%dN5r9@nErED?ED{BG3rmfANOS=U?2EWG7ekruBliCHG!6zB)O$_|8wa@y@a z87ap`H@+_?PMve}ygnWHK)UF7_(H{@;x_h&p8eD7aUE$Tewk$!+4Ji2t98F}$Vt$9 z!)&qMJ?_yI*3wYldhe9IZDdO2k>SmNFfp9Ns><3)9J|9KEj`8q?1C?j2C?7vI~*@N z$U1V57cJ?cN>Sq&I85=xWl<0ywis_!F<&idV8pxqAq?T;LQvC!qQogV8DG~ZoHqXA z$ZJU{eT2etQ)PL1xMo{O^nLSvPcCtt2^aM(G=bJq0k8249s;aZw78*2fxhtXA#Ra% z-V8ECznD7jnOd*u}HEhtO;e!Mfb;ZeQo#M#2aX7LmhuOLsz5}m} zenQRfP8>T{^6(S>pxGZzHU|@$8W=Q9-;d;{NN0N>Z5*r>=9Z_H7CoXEKRnMxfVKaA zb2n7nI+|1F#@;H6ArEKT?8EAR5oDyKr2MS^t*8qB34EoV?4lh7vkL0sX~;LBLTiEM z5)&c*j|r(#YC`@O=k3rBHwwEt1eM2q8>u8Gq8LtEjNO>qnYdk+1fwd6{x;<+#Djf! z7+7*w&xE-qBSqsY{^%w^RN3^!fT8+Enu(+k*aSneSwr!Rq#X0rg7s%&x)8jQ9RCTE z7mf?g=9}LI8$}_kf`OWfm3o1!GAd+R{7%_L$G_9WY?R)-o~;|g%IfP!(EJJ|i|&T~ z?D9m7IvINCFm~Z51urzYaznAmbO=1TFc=TzdXw{#GkX8}{R7j8kXPDmJV0}K%=0J;14Pg1_&RXB>wL{5d zHMvIDGq>P_#X6w-Jg)~3gK*sLXzJ+pjAJ8hbg8Fp$`BIe1zH}%215n);Hv0x0P>bN zR=c24>~_@;&RSvqnm@&!H$2b?#oLs4Rob49hW82a2*%+HvX~E5y`SU6F6nl(*pg}h za(g!R=>nN5`s}cjAtvO5qMIJLtK)6r?2^Uo~6r5Y=?{tbQw&s1>s& zA~46}q__1DY(tbl75usS;dZ&*`S*@+?(MLYrL7VLw{>(TQ;$J-iI#GjCm!FV=WV-wa$JVzw9q^TD&|WL888b++<5k;cyj zR$&(|RYgC)&{0ep{50{=aI>e)awOae0B6pduv~{vN$su6mY?lYv}STpdok6ZDmm!l z_6(@q+&yP2j1oI45eG_ECybu!8y}vCzUAnSo=KseAn2|C*yRgzp|lbFlX}oqKcP(% zUSv)41$B|nasQJ{t&UTOGd1dJi{oI-t3t@}(<7?2Xg86jCyyp7I<=(rg8FZ8^0yfy zZAmv0-tt3tu?4fezroW(Y&33ayny!TR{50-Iq`v=8kyVqrCj9@)Tp9bEvv=ezGYGJ zB*ysrco(_eylSt3+pea`>)`3p_(M=GOzW_nw|F-@D|U_W3R#wpppnGtY^2#E(G@l> zfG5cF&w8gSxQ_M8NUq>=7hVt(zB&$6clBJ(O7F3MiB(qr<`QZ{%Z6dTj|XiU&t_+5 z^~70Jmlf2rbq?>zk1>2nv@Co|7myRAUkW46PtAP5WOfp=!dJsZP}QRI$b?4=BiN;X z4q}kkS639WDJYPCmzJ}`>(&pI;BALXwLX{5Yxg{XAVx^&*>~;M+zwqvTn1BtNJ$){ zKiyRK_Oe(KlwoCvi$V{_ui7mCt_{#Iaj<##-fR81^MYr%vpL~&?Y1c?wjy8%i!1AO z6Sn_1GJu$3%KNPtSMZdy;MkkIeRKM~YoLIl}pQt*RJgMC zD_iR;%^_EHCS0ne7@ZB)(SGm7ro1=pvEzR;tjchIxEH8PsS#N-bFI6yHTx@#gft-M zly+BnF?+EU8U}}T|Ft!GJCWmimCmPkTsLv`_R|8YymSS#=&v-u)MVNwUz^r`95O^6 zzBPSVd0qSr&&IS^?_uXqh^18e*PzypKg@F1Iw!69sI*kQrpR)yY~^`DbAgvzS0Yei zu%t654Dq`WKZ3_*o06XW)-~n+=lc6xRTOmX!43@Dl(XK2e%{0n)8Q$bA*U!lAECX| zfanN0lgZLXf%82VEt7jzX=*Xyq#o8t>H3vdM?|L821X3We%0lrxh2_jI>E6cTdhI` zP0==XMaVI-F%nLg&C1j5o!!iW@>@GjA6vh7Z{da2X@z%loO)adBtu$n(sQ@E`{m!} z5@%|ObClOGRwh&pxrJ1aoe$g;(h{sA`nj_sK?;_7Yu{xku-yoI@Ei@fAeGM4`fx1q zDdv!qW_|s7LPt3>6n>c7t$rxq*+4lN8rF9H9V7OZLL>}kADm|cF^M`Q0R&` z_lTSSX1n7YH(+#=k@#~D2Wa9T3h({*J!X1${>p1XeVFk9{fblJhabU?unA3X9XIGB zf{#9e99Fk8-r3>RqOceGi5a|7)5HOU%0KD@^A!ge)I}|}VtWKspD(ZArNo*fI&am`p7g!m2EL3U4FgPSZ z+Z449w-*GAq)trx6lboCY3%vg+R!)vvhX-rLG6^GW{z;cBIp(KC!tThyuynNsMI;m z@>{b^IlE_m{}^o{A4RF#%=ZcYI9wc3JRX-u9X!jad8Fd9rX7 z-mRv6O%Ws0)7}a{MWgcaa{Z>O^>77o$S{y1sw<6DNP-`}f# z=@e%1X7cGGUM1c>npvX{E>1Q}e@|{;V7Pts7`+NJzSd0^X_~@fT+=tHK2xb7vL=70LGJY+hzk zcVHHzpp;T(5=#^c zBB&tnMlga(@Z)G(?57`!$*!=lu<>zm(tt1uScUlPmW-^d?)z%2#rb_+?hFT#B+AAK z#BZ;E)Ws^{m;!%Xg!}ajl#C*lgu>M2B&v)&nS=r{*E1tu$Nr=vA(Ss5w!WxmPDe@N zz93d2dzb)y5h~9m7WKBl5(ERSWySb3ESFyeq+bvC=^kF)OI}AyEvL3?%R*MQm(fS2 zoloko3`etNi5awR++_>Vu5}#ux)XYuJ)df4!%MB{Zit%~ysi#nV^HRucJ65@i*ud{ znU6Dd)aewdqd|W$ICr{#mo8^&c$M)-7l20}t`B9Cx!BzA&b;5ie^Xc2!-YHjg6-j9 z@qG*!c}7!IV*n*ve~vqNpA-Q=YHscS*kn*qgVY#+j?~xJLjW|Q+OR(YFzgo=7iXz* z{1LY$hmVHFgq?|(Myagz$lw1V6a0gPO69-F83d4atTKQ7{6Ct(bTWNJeAj+Y#8Q$g zBdpE|7xhhjdFR)LB|-MZ2m|4aMdEVJh`G;(uE1XyK{?hmPUK!W81VMC=sVDhV%5bJ z`b#GI<|9>@>ME>@P8Ik|VdR^;6=gY|1X^B42o?OqWFRBPdS5(>yzgc5Mydgb9AMk^ z_4WVZ2(YoQpN6Z-%1jq)id$P-0eR=|-?xdwFG8m*VVj_g<8Y=p5%5U?NETQe4S?0; zNlnB>up@JdV3OXzr)qLDlav_e!NsdSg@IMnlodualQZ~N`e=)YIa}abkqI$dLXJ1d z6y7_dayt}J(*(GLsXRnH6W6yaxQ+}==N_`pm*`KA3{lY@-9Hal{t1WX)So}Qbp3uy ztVzel<_M6=pdFPMw5O*D`a&#M8Wy(t*|C)03J&rW)9dyu9 z`RgIbMejS05UJI;u3p0|hXG?*%EI)rbfR!HrS?j*<-^0J`b_h#+o$j+7fqX)bh9AX zP2mMg%@su_v*D)VStaVwueZd`3`hfNE6!3OVwa{3I`SjuTBP-}HP&j?FA6D6ZCle0 z;x|<$-7l4She?WDgudUR_?l==80X0DyHayEJ}c0q{tb=^KioHM6IykUFZt5i;I{v& za?%QpCf2E-1rFJc8QmYA#=TFBGi3MO)m<-d^>|gwc2ee<^P~R@zc;%3fhD@Vy9=H& zSAY}=C*rlYwcVR5->fp5gn<^kMgnI>s-@b=ylyoDALCff6hLJU&`X0dbVwe-1|P6_ zK+(WIL@px;qykSNfKI%Dj2&F~L&4`o1?F31)A?&<(8j~4W%ty2Ucy5F1xXlaWo^|MEtYZOnPZyrN6xA^>xp^M zQdNEaanT`d%!%bI-)p&27bGF&>-7RKQy;nTAZ_hXIkH}ZBI_X>Osyp-Vlw|BbgbLT zT}8Wfj=Et&K}6+&!SU#0rpnP<%&sXKiI7+y^xWAOifyN>>~6 zpmh-F@6t(}=a>csy}1H?|G2K2li zOrst~7lyrPd34J>%<(hPSB`9!96JukgC86A^b3wG7I%tQ%2T?vSU>d%2AGvT419?I z$BtU{_S?W79Dwqn!ueDicmOzPkizge=s$fj11(g*?}mhmn#^S2pPNe=JNW!AA17=R zgcpVVQPja7jAt8>cstG9=upeb*;d_Cj8XJFKUMY@Q}3qAj-F| zymE!6PsUxvcjqJ|*4l5cSlmIp zk^jfpTCr@tQUM6;!@$EAPMbpnFu?500VdLF0@LTSyQ`S<{~8$;KE)=&qY(2Ot$ftc z*ciFEyp=ph<04Q9M39kIN3138-f2``2^#7maIJMvGWF^H9drRw*xQ7=W8IeM6+|_J(_LQ zm=oj@II7`U7rR%M^QXB6)+!A?;SGGEoDKdeIY$$N=eLST3v*I_c+r|AQy6U<0Ex;T zM#7pcucG{EnhcgIcyRZUlM_k6EXuxKQ$~*<+dnH#9gh#xn*j%}db$2DX^O=Pqu|z7 z{^0A8S3Z3F#B8Zpr^6Yml_nR^vIox4g#`rK+-6=`S!HOVLG4i=@YDldY(99Trw0Qs zI{X4YeX^|mKp*4k+D$KW73QbI<65o$%5b40tIQL`6S)#?tn4JP(Id9m{55kfpAlUFjAf}EkdIP?XpQ@^2-|EEZNZ85@6{*ShzYSMN zY$iV!TA6@?2De7E=jE27(-{y-Xd#Lw02NMBX38laln7**ssfYfUKyPMrAsK z5<~0F=Mne)u#yoZ1LQ5hEZiAMwg6auYwMTx=veyaHEIZ?GoUra*@F*D6wJvc+jXIO zP0y{qx2meMpB;9-WKVqKlYaL8ZMA@k8-vmOWBJ8nXNE$N7xlP`d?)$w_2RPYBYa>% zk#yKub=TG=49g3@i~2maBwN2C^%jgMuSIs6zy_zS<4_3phuKr!hH`_ei9vCap0B-j z5CQr0{O0B`5zhNP{@~~9FD{}IE(3nW$y5; z-Lrdk4{<{SIaWo4&(aYsY+nUYkFgzL^V1VvC1zNWj%|!7|81MG?EQJY6#FaU5~6jz z*K_O%yTR$hOjF`v0PRxca(jCLB|!rFY1#Lon=(5-AGg6rOVX(aog-fBG9_x|FJHcZ z-~y=c)6~>7Zvm$2b-;uGm82kDZEk2t_Abzz{Mz;NzWGAcesC7D={H67Ke(8LPM3&0 zL3Ml6`Xv8d?zPq3aMSTQ_3im@tp(Y|zdl_ zc@e}+c&nY6GmKB98_st}x}{w*EM zd^&vK`*AAjCT>R9xp-=_)O+cNYj}0xR^6+zva-g;H2V|mPrh1Z(wSSkOs%P)h*bnom@$oygq*4%pL|JmqaeM%3y2k1`D>7R_$owUiRv4Sx~Rzf{Oqhc%a%~C<-n`i~>w|7KTMn=)*A(S)Y*q3%6r-Onn zF6`s+HW9iOzVRq&seda;V|1VB=h3V?U zLn%%IMkW^{7c2A!;04n=Cs)8E)eIOFdJ9Q0D@;3oj+i;}!gXmJ-lE^cxkRH|OTlJl+!naKPMgv-)iG7ET$Jy*p6G?QBAQOo zZZSkmBUa5kQBK5^d-=pq$>5i4VPcbVJ!?}457*z#?y>vAM&0)}HlfgFrElp=aPMTf zC5Q3*u+t@G3RCPaLwL^kwWdGdx#dx{ePjaOX5x&VQ?-`4%-6UL*#AP3%cx+LRgZQ% z>vBQQBgmCtX?ZsFw?)*k$ro1?Tv6WUxO1bG8+n3;YH;nHAcCj;xcJ$$?7m7 z>klb$Ei9Af7x{l8G)LVf-)5swz7ctMHn#osWjId{riF!+pXQTd!3eYrW>7>^6H-k} z{z|}XiE{Bb!9tZXYeK(Y?dig0u57?pMMT7?3%NRkr*QP(5G_`rBqIY>8O=J|bx^u# z$^IyMII}N~C7M5N4p14OiW=k#@9yq^UNK{s^c4F~WOYt2Jh4VbS?D=tam<*GWaA#q z+W)S@*NAFw@tWgh(B?7Fv%R^@<{Wm!eKlLo4bv(bF7f8^+9E&I)86y;b8NEMh`I1D zYp&#vwuhg~ll4^YRxoen8%q>pz8+Y}kc+2q+iq-R7n*%zPx0<_W5dZwS}5CAh>vgl z#a>)6kWa$tUz|f9PhcucsdZL zKKsZY-UpEG@_R6v?vD#H+|oH7G(H)xnxJ1LLGKo!Csn>+YQvE*-X8Gjj`?Oq=f131 z7_is+wiLH`X0lu5JlpJGIP)6m>3tra;aOtgi?o?;HP8*%`Eq9z@-BXF4^LxZ{x?Ie z@wQ4HE1YJwbdLMD&BdiDYXY#R`S?JC0qHI9v!&KxK*wv^G!^FD&ILyh1H=*S>zf){ z^5Ww^g!eus6^T(qX6NQ!-n$zZZ0=$sU?YhFXX3YFCwqGU=uDvXAZWVXl8HX;@KU|# ztT}D@{%y4{PsicYAz?sGb+s0_;9$=Hn1|rsH0S(&DKjlGn=TyS`EtJtn2LZ5sjTx_ zt({3rhkR;>-`v|=Aw62&i{9w^bap~`SW9%{aHz-M>h`NPKscH=unwcJS zgi(OkxfPZ9orJ2{r>K}2M-0c`D$zzcyHwS*MW`@Ta#=+mKUYTW9L6Ue zTrveIsbXzmQFZ6M=X%O*Rc6hXkHW@^`KxcBhwPC0my zM`>QT6BhdYpykthJ*nh30oS3D%l6xMt=3M$%Ut(dNs?|D*p5w0_| zwIMb@1@7z|?C zAmW&QrbykuFWaC}q{ajUUBGV+7GX1Ec((7Wm`jYUfRQn=USMAt)$9<2wTBO`aQh=O{Q;J!gLlwkmj;(tl;dJWOVt zSAc>AT_pOO=lE#h@1@h}pFIZLg$e1a8{;z@pj+DL5MjX_FSL8Tw*NxLcnbxh&MCY) zY>bdU{f0nX`nOdD3uCZ11o!j`EjrD+K=2#zX>d9Q3jI7t@Pa0yuPHq93kwg2x!OP& z_`$Cg9;S_^kJc*P2Q4z%KP;aL3(G3A-76l@Ka%si_oGq&`8K(qfSlvGg0wLU!JSZg zZf#>VuGPOkW~LO= zZxL*{(K#Z=qyps;C33ziNs?P1o|v0Z6);fd%8E`hI@6o73SgAdmf{$wS->YdxCq`t z#d4nsbxk8i;-+?-UZPU~NcV-|8tPH)S8ePLbql6dnqC2?b<^o13pVkz%UaO?^F ze(hl!0=!7RT&9rKe}~APN^@ex{!cfgZr44VG`WP7>FT3qQUTWvlj~mn1}&Ha@Anea zH3CD)c?ckN{`ANG=wG6b-QM9SZY^5$@tov4y0ObFhOwVA+phn%CW@&elyBJ@CFQ59 zIpv-zbcX!R?iBr@LYO;TI#+j6`Z|n`c(jQ>mh&OKkfBY-I?m)V6+Hl|b#k(^KSd94s1_C# z0V?JKkakKm>o+zxS)7j4Y-~=!8yrZ*Ha0edgoF^tH)ZWO9s1m^hXoR%0yqe8_{ytC zM?jx&xAr$QG+44XrlkpFD|iU4C?|ja$J_$ABNjjp79KGO9kZ)QU*_ zVU^N1HO^x&Bt?)b2=X~f68bPa+l|$waf0Mw_6FYb*_7gsjD}8 zd6cUy<;oJXCpH@oCjjdt=q2g~?U^*roQ4mSNYtJdOw@@^!O)V<|7>T{Nz8DN z7NBfM&PTt_Ud`xkcOIlmo9_L~3Nw&hA;O)M_Nir}WL3>8lHoyp9ght)p@rY{A(lrB z)h?75?&*kWtsA=LZ|~Tv3yR0hnB_x@>ZawA$4vT3WeOkeqq~pg=sFD=y4S;P7oyD- z#pT)AT@sZM^E{}X1F3aT(2aDqxO;R^Y_84E2qgNppHQbdxm8tHu>iBZR5!@lDb|&)Ik)QK4Ylf;bb7*x3|P3uUbpP`G_sA zHno^O`dx+NHA0HoxZg&ticy)uRKRxqOpv4{!-qsQrSU8D|`1?Er z6)zhMgx^_TO-@@nGdLF@KS#AsL%9zKpZA&(Nn~I|mAY0M$JH_@n1;6|u_mQuS6J#v`0@@oU{IT<*7B z7U`;E-`&5UIwMOg$bk9N!;MqKYt?p%ef8FcO{$l@5W!{1svJiG ztl-Mh6qy31sU|X1xF{)VTnd3~XI^wQ`&4zFgt0r{={)|eT(TsERbjD>viY@I%rCmt zB+--4yD7fD!lwoq7%=Ud=ixjIM<{TBmRhDp8`)n~UcTR)x=tD*X4@KH5Uhgi>Q3?r z0|5a>D4Y#!kxBmU3Dyd8C68>L6XC4vT|X2QP!ph0VjvLBZe-0Kf`rL84GlZaq`<(y zk5*R;2XKbH34s*`tJ zn72ov#OrcFJXYLFhKsXb%;`K?YnZ_cJ%#ykTqi1)~I2b4SJsf#3nw z%>@NP{f2y(k)Y zp6TEkNo1|-W$Gk#-KOS?n|U{Mr2oFtsLCQQkNe_~w#dmbAM1#@tM^*#p|lD zC%?PVkW)iwc|`N`_xZr`Qwizi?#28pc2I=k+ zknV1fPU&VZzrD|O`0wTW)LL`RImSJ3*Tn@H~!4X~_^LRlIn5lb=qIygx`F z!J;Bp`NIB{4zD%U(Ubt7Q#)%5qaJ&uWcm*Z{SttGI4ls^@3Gj z&q%dJ9WNc-e!QX}CQ=Zvr-3%Ros-kkpLfLsMW4u%g=)na;SJx7TZrs($-WgXgb@&o z1<*Ved3kw{qii3L7XhjqP7aP7S`d3eqnODLAdI9pa1s+>R|B46@ScHWiA(#}xefL8 zCx8(MG+m-OtZ&{J0EjQB`#~Qa%sCzz7Dc4x9Nyzb|Cl?tg2x6QA~!#2v=`JC`bTWl z(wPe3@t_|??QPU8l|9oVy=ixPavSu?0W+mtEFG7Rb~!$i41}jAZY&!k4vr0}S!B-^ z-wr8PlpW*FEwzLmpZ)ER)U}c&H83E1&7M!T4zb78S9;7S_ebn!%$-yS^{sDAt&@2X&tLeg@Gb0{bMZ8=jr%Mt!5 zZZf@4Oa2MoO-qGUBh2>5ZdbnJ`ik@l20kFCsEC%1F0^OE)y?hc%I_nCrR7iSnHblk zw!j-#enB=i;6{i~X3?v!sWGb3U@2UL^yw(ERLc4JNMkI8MfH;VTI~oLBd%sf!Xb)Q zouLqQJA93bdO_r=-0HU#q?uUWT)VfQWHnQ6`%Pyaqh>A&i|A))xO=?YUzu%C37b|N z&`>cu*|p$f=bL&LO4;vom-l@iE0fzS2R;A%dn=~WPo6)cYs8E_!;2#cEUX+?*H`w{ z+3h8GW-cz-%1|C2!08ndy02MnO{CFI?D--9fhZO058Mpn<`J!29-pmSohv81Qddn)Qjb+~RaBZz(cY zAG+-gH=^?K^!O=wS=P4J#7Vq%-cw$7jMC*nc@$D{@a__0y2xU*$@$uogT~YRQ;`Zw z2gSj+?pn+I)>NYFh-;8{cDG2>LF)2Vo7j4c;*seL2_2m#R{Euu-lA@CXb3oysK9pR z;^6S`K)ZW#blkN)BwT@zF%d5geX;_h$uwUL$Eb$}fe5{zKp@Z00zdhHV5r}k^X*#@ z8fvxN__0h)N)AKCTKv*#@MAi!LVF!K7;4650aU^olCq_idKqA+j5JVC}}_e_EjS2kby z<>`-Y#s?F86nOXmw{GtocU2Fkoe>XrWVBZ!Z3(&pUA=93FJ1RZPkY;Xt?y^b?>o~J z8|LcSWpN0ZV#0VGCrhR}>hj)8YrtyoEwLdE9=uQ2d!Q9EzS^{(P+663Yu_Xe3X$4A zHYAX#(f^qBAd%PBrjw&&*R)JS%D-|!deyx~CingJkKs##WG*nt$Wh13JXyNChHaP~kP+?Tf{Mt7+lVlyBGML z)By{YYI|1fF#^7^;XU_$vghsm4V{YPeoq$@x!s|^3_ipi)k`wnjW=k&oZ9M9eXNaK z)kh7J=eIlhoS9t0b@y=F&MIJ6m=y%=S2+C+>If6jD%*6H+sc|MZ1i9=(0=No3O?gI zk{t-SFynI|<>f9bXL(oujSk_wNFr{ief)!ds$5mR*G&@@|_8#q- zL9(qmH@~^LKsPFfAkD-Ad^-5GBqRAVGpH0zP_pl?OgPu-UlCy;+ntfTTP zv$D2{FOJ|&3d$&pd#lHOn-0=f&B z%Ct#oXJBD{7nmW~$x!OiDQarYw2f>)m)~(6Ip+0x(#|M1=iBf3<*CHfmbVqy-6s z)?4!#p9jgsCH)cW(42jVN51F-&X9v(s?`?JWQ;{QhXK0ZDm&@$M}+XFW2VCFdS zb8*w}b-3^Vq_OXfjujR^N*M@5Pmmul{iSA(%bd136)XmwiX2qt-iYYAfg>8pFn5>Kp6l{12kms z``3E5hrZNY1jP*bQT2ygfiE@2Y;K#sLTx*qoIig~EiBxX9V&;~VlEPTSMrO)q)ENP zq;5h0AqaLpwY57#fsM`AMe%csTPtb7C(?0{*$0-3u;(dk1{wrUt-;K)6h@n0gixrm z?`!J0yj@&bL_aRdUpbJ!X?tAHb}v(=!V9;QD6IEM7wLgOM$*1u}wE!RF?jS z3IfZpFv7&+W5c-P$}bGMWbc1RJvCIyR9MiBG1+{KKgR#$8NJn#i3)z_y|n(7MNGo< zm0sc1Vb{YcjI1m`%*70K0pw3GS*MV#6AZeISe9(TdLiF8DA5gsD6+zLM?9WKDSdSA z-HjVi{^}g>V{}(FbT!_RBdyrsx+dk8k0t0U;gE5KeAs?IhOqV`3P(v z;G74Rzn<=HfMWu963EN?spmFQiV)c+RVZjEz|#}Fg*-kzwz!;Ld+fM{CDGhX;lw$xM3Tp1@uSzN=ipx> zx**9zVfFfgc6s3b|NiiI_?SQ7LO%yC!}55;#GvRyN1D#6{-6043HcAixK@Q}lAfmj zPYclGv3a%;K!=0WwA{IaPTHpbLq%#a|2@s*!6kj_>@V-VK$8o}Tci{(q40ho;c8-b z_ldw|4x-Uhp3PP^3-jBIgfPowmc98AuCdILOP#Ab@k0^s>xRP`TWy0G`qCNv?~OS* z5@Od;p9SwO=TgnkGbh~bi6&1toY#uF^!L6ODZSWn8heRFmPkl+_;eDXd;_5H>+9c^zDHZ6Ef~_nHU2B4d=h{%+W-zC%_QVt2}DeiF9LR1U=FK z;HFxDk`$0Az!9DoOqoXaN^^6?@|8g>iQsSLQd@=(JRT>?ObY``rIa^c+EG)|)2GbY zfp%xc!eiL^fuge!`9Kk+dNE?CP|;*#Iao23cY5Y>#x-QAhBTmDUD?Pe7Zk(q-+N5% zsq!oC?TakiZ_~?Ht{eFvQ>gVgoZ)8E*yN?&j@7-Vf*KcF4$`lLg$|AAk0IEBmSW+u z-Fam3$=nLEE~YP2A3xG&LbyI-&Mhfk@6M7M@G3=3kL^cXk)fi2qa(mvx*7~gmo~@Q5F2Afzh6|yX>20!Zc)vzrNzk)orl` z`u1N5dWC4Ct-AfQzbj$i-uY$l`4yUtQ6)2e zhK4AW&d8Xk?*M56Ag_?h&7XCKzNVq4rzgd80goM^sAV&4{C|V}?&@%k47BmO178Uw z3tt^vm$YzQyd#wr6Zjd*rl`I(A*&uPwS;J4L$X%Smr-{aJo276oyAt`p-?**TTdWP zeSWX;>ri^`NC~=Eypynpk9x{ArtBKNk?vjpeO8SG3OCcWVj>*fT+mnr!zT%RxD*EpUMwjn35A zj1XUa4)e$1g_%BNw%9PTh9j@|sSXmJ>M~S3?&}Q2zCgYdEGFD`)tkfia1S(Cg|sGp zdxo|Z|1b&Z?pv2xI?iYJvxc>ye#MaQ@7tb}+Hmp@lRxDsJ%SiUR8&-Sv^WLH{P}T> z$gx9S7LdjVpt}P`nS7oXBV05J-+{;^Bsv;_yt<#wQxKo?XM>lQw!=ge3E!WuAbidv zX1fSaGPep$dVy9?!24gx0-8{xdqdOH2}9ewPO>>6F|O_QD#tWRICu>^yVsrtLjE9F zPx@_!f|={v#HnylR~89%rMoL?>_4TH3S1^AVRPW42#ktMXDAm>Thu`y0RRa^za9OS z%helzf6&MTkB*Lr2?*%0k;urr3}~4HBo{DFRW&71F4+4au>H=jkahx=3`^oGNLqG7 zP{s1nmzvyCl4fS=;kW~(W><$>YJGe#Y1`r#g^@Bl1}DCA#-z8@#uW@!$Ki(E*-2TV zWt2PF*S=Pkf%Fcq^e3pTDtkV--?zmbn3iSai52fJehf4qAub-awHf|UEXQ1AZT&7* zCP9+~>DfKXkI=a8i-))F%~mS4#rh+i*!Pq?!B)Snt*+%J{gY+EQphFC9%`#^v90Tz zwcg7YzoiySAJWtD%B{KvpB1A6eVj7cXNN&UBH7}yyzeJDWlikzMIYhD^?ld zx#hEkrpPC78+q!JMseib9^ShNI+WXgoy;KwQkmuTaOk@BP0ORg<*&ng-S4Ze0ACcn z+60`3K*%MC=X(@5p=a=L?2W?$MCYOsXk)h9JxVa(-pgkK9fGUBW1^gi$jfoj+2tOOn%QXqb8s&W^w^bgwr5B&AzB)G0wweW=11^ z^74?|GrzH|wX!5nZDSCRoCfXWzMzepb$p1AvwQ7F)xGKYiC2gBn}MqfM*W@q=$02|8|69VR+F=%tF9ZG zNBpIx#tS+>ZRVGfWL@9SQg+OQjI-6|H7{>+uuq- zHIZd}F5SO(o_c%hR<{Zicfa#xI9C52_8!lpGV1oaeQ~aIBYVHcVNple8$XbTU=*m- zR=a+1!Vol*ko{RUcN2$$1I`T=3o7{dyQ0ceit}aXGrQRO@8YQ+G-|s^>O~>q#PwR* zK^B@9V>T}bOFcLtV%>4u7r7Z46>9BXdsIKPQZDeT)2656oGEi3M-v@pN+j!Q_nf_p zX@`54M>A^==U8ULzqu{&p`~&Do@!;^Cx~JvjKn1*y#H+lE9q8Ket-*s3|0R6jDFyS z{4Gh;ms}%rD1d5pbrnbuUs}O=+zw!wflD6j+&N0zz`y`lCCx1@FKzQ%?gvzFeaU=a zIXM+?lYaH1Sg_-x0DKb_6;*}~!hmsge0;nxxvR0~98lOZGLrIrc8do6$e+r^`~m{t zVdF7rPVsgwV1)70CtL2<;e8!BdRgPb`(&z8p$1+QQQ0MQe6_B;G?{XdfJ?(hs zeQ(v-lBV~`?(po+x&vwVCovPj%Rwq$s5nSld3gAm zt88UH*s~NIKVWQTX10`Rnpa#}%4feRZf{?en`>llz6VsUo?c#a<;NRZtoUbkTz#Z_ zjsimB_#mcb%5j4d0}&GwbLG7mfA)kq&@+3#Jh{Ao|6WmX3=KvMcWJgnX9_{GWTN~Ijo=vsI3FZaNp6eRS{eM2K@29eIA1@%$}KMiMX zqMKgOy4LqcbA<#RvzNGIO@tg5u5)xwrEPMPDh>&ek{i2ko|$B_6)!DW%n@`21AnvD z)Yll{aLr8D(Pzm2q4>}uUV#vK#T)C#$Itp(Y&_T)9a2fRkjY4#?uHFUeV!}tt*s#)C*2F2g zV2>jrF7PZ*^#yiTMyEAZ!&NoKYGry+Ud-F3AE{eOD-mS=Xqlh{jp%x-1;Y!wydO*o z2lJ!|5OP=8_of0lZL)6mB%SND6J*a*6(^VgAW~6*j)X+`-ZY}6k z;H%2+Oel8n>LewrcfZPbc4m~FT6J|<^B~J&DYjB8LiJ^1wKe2W#q02XsM$%W;H)4# zGqKbWgrY*Bar zrP*B!vYw)5WAH8~F*uC*m?zH>8ZHsFjJLHdBe%@$%ZG(Jl|sUIPHX|Xxfpepzeh6_ zW?jw?Eat^aK6s3*Ak&=fu!WL-q|2j7)wG1oa7h1g9+vPYfiN_J^k6s+Pn%EYn}(|t zJkkj5EmQ(2%7G$cfpfd_4%Y}}a+walW=L6UyzU3*^Q|pjq_jxVsoBWfP_5F*hH{Tx zVYex1Xee^YGF|kD66Y^`d*0v$8kokLIeOLx$^x7DO2C@Vxk+G>kceiEmF|qA(tq&= zh&;hZjMf`n+b0yVVClC}`0xCs-wqmAgTZxQZ!and?+U1fGzj+*fal3D=vWD^A;RQ{ea>%l`HQvJI+65v~L-zcCb z6Qk{xHLSXmE^%6zFq!bUslqSCbfF!opQ+<_O)|DhQ7gR5tSg%v%+K=B_G5%E*6j$$X`r);>?fqEb-j;lDubvO7(dGPW4#MjLg>MO>~%qDpS78 z3C?tFOyF}nsc22V!Y&W%8>`)v54oqA< zVfqw9!yO9PceLj{sE=i$u;$S-x%im7{UiuA#9XchXAk@%TEjTMH?^td%@RN$MfGj; zhoI2r4C<5KY(YP-o!GT{*%)F$^Z&^2q8_i2Q?RLK+O(`MKH&U}>0Qo0=+J66zVFDJ z@yt#|qX5gG=DR)ARUj|$XN;Bwfk6$zPZ~k;@JK%=zdIZTDqt4lLl%;V7V^EB!2T`J z>how40s(Xd$PK?@YLANb|jP6|zxYO%jBoL`B|Bv?aT z`-HckXa?mK%R|u?Jb4n%>Tb|#7l!cIN)5Xi-(_d-rA8-R5nUCmt(m@Yeftr$`zrOh zeC%@fv&5dk;_R|Ry5MT1P`Z%UNHcnJw4FiGp$*BS`$}KU!Yp#f-MIi%^fxk%h6sV~ znq!zPto={6mbjrNm;zh!i@ic)`T43b0{yL3=B_a?!TuTT2V#dcEn}wI>gIl4e_Bq_ z(J|wWUV`tOULr4-sAi4eN76V7$7ez5q| zc8{4*^AQwVzxQ}!en6iU(nho48CwxwvVN|KizAe($QsL?}zZKf*g1h2EO;t?eBCxNoicHq(~?Z;zz zgwXMd?ON1HJW5+d8$4)aXf^l~ado!3k+Wi)xeDh(MMjd-y*gTiy)yFMs&1z>^*C(gwD>fZzj7%cRSo5{7 zS})LU&&2E=xf>k{gXvnc)q@lg9G-7X7f41y(fsT%u~MsrL&DCG^S&&%R?TvM z-^!H6ai*rKq+m1R`_E;WS4pFFSBU3ztbeGEZ%wB*G#8cpQ=JeNCd|{ zb&_DDGsJ9xCq^?(=4%?m;39VOHRqS$(ecz2T>MDb*LdpwMT|G)<&{5l!s{DCcu}%h zHo-X~0Lu9U_HfqkHI1+uE=DNC4>CN`wcbT8NXq}k7ylB^HAxI(nk@SuPKAu|GYi?n z#_pSMo`F`m&2|(`x`lICj=e!}JUQXG5!C>}wEXmxDTg^XxRO)Wxc(<rgQYfcjqD?KS&VT>y-2|KkY{sS#ibV*w!A(OFbN=bXNe2OqOVmPcXr|O+^ z9ZiE*TT=`s-fMHC4e3g(=3K?hHF*C_!g!WSCE0CNoU56>WfZz3b2IB7Y}Za@@ZUy5 z7=Wn+xWJj1Mpjmy=n#IOa4RUR@5rCs?N(MD&X`UgUP}7*Zm_OAkC&t&w?t(fA!=3G zO;$F(D&C#4(D;N@UP>dlsB3o{%&|s`XZ0%bye`B0>sp-}ZpLK4k)5@5R~U|$RuB|= zpAH7>6{Td{)R{@V8Kl*TxYq!!{5u7r(xvFte>)rRV?u>hBtWefrHCl zdYK%{ir>D`Q{fP}(Ws;wB{QXCi~nraS`IVPKnRpTl^+@XgF<#7)zbDNoVq<6y(-U6 zcW=FNS{RKrY^DJPiO|SYnZC?_CrXVL;5RUxvm0t-awuwrI|P{UZ||%f>sw+di^~oV zHLqM~!|1WYT3cIz^aLcg1c`2(oMgttoUuR^&9 zz9Ex5qb{Q=6QHZC#n6RB!r!LtX5B<0LlV|y@b?onOuz(mwgqE_d10XI?L*`EX~t_h zB}O1E%YzrhcM-vuj%I~T(uN+NG0SLCsVLN0d631Ss9@fYNzxu+e${2VBh$pNlF|6- zE5cguNTz#Ls{>P@@cWv&s$Ye~x6k2&Alk3pva&I}YawcHosD@UMEUt>% z3^9%{ae2j!zDBSrZN^O%55ru_}R}H<y>m0BnEHzG$-3&CT-?PG^x90&#~dgDt61W3%ZHuiknoq=VIP;Jv&q9 z3EI35w8w)Lc|x-QxzZL&b>WM@V1;k+xrBbnNpmIbJHj_fmy32$zLqznr5-iNIo+85 z@a1j1oXzzwfxC2ZB=PXK8E#4y7nBQyJs8JNi}EgoYvH=^T^fOUE8V3rgG z-c-*Dg@AKU>S4VDH2xok)-ZQ-<-5}dq4ggx)Yx?XjMfu7l)kg=u$E)))|4D}%W~k! zm-|T(9QFAMvrBcO>m*(FcR!hsYSjW5DV|JzU{Hy+dbT=bl6;Kg*b$W#dTrga;;__l zf1>nlzc!lt`h@e}nvCd<@1H+^*Xx>2=15d$Ji^T&Au<_TibZDTfgBOdKv|gGD2gSj z#;KYxQ*=_1qaMP59F|aYvpn;fu8x4_=FvX8QsPHZve!X215b2pK$uM&?IidCYSQ+ZzB-uj+Q*(sa~ImEB;qcp2g zmzLP5-m#fn_0}z-p=2l=A6hv1{r6&^in5}AZ}*g(*=ES97;2c7C8P?eAxbjb!Q?U% z?aBd_6cf@{HcMNTY~S%Z_!9LGB`29}-+hvMCxMA8h@)0{s3+OyDUPo*ey9mMIsHWmy>{7|a>UFvg_#wg>K|Ae z;_ajp$jz1PfpS*GRdneJDGfD<~f99UKrC}I} zp!GX-1HwPXgB-Am9wTuFhGQoWQm0-&Ya&bEZ+072fj}Q1SOioJ)=mFR^p-{mGREtI z&CAUn;T=D9AA4ix5ew~3))XCb)h1kT=Ib*C0&f-h^_Q;{;o<&L+=( zVza2Ba{rziAQ9PIVfp{>2j_VtN9@g-fgNMI@5Xx=(qZoq!s+A0hHH{`x-ImKW^!L; z59T4n5NLI?8;srTf9jUmD(H&&U{0Cp^N@@kd!N*BOS_vwXSCQYVhSi&0TTj|+8Yk?VNhz2x z;bU2w#w4ss^E$mLgcBoAhl?Tp3scVgqAnF$ZBACQ+CCB`)WaByfLf^lgKekZUVef|3NxA8e>Z%Rg!t}OHgbvBh| z3Cd3Tx!m<~RORVL}N7+3V zRZm`9&QPQBcxdb0@a&H7*zACl=;AwtWT5R`p)+w2LKQ^6SL63x*Vs`Qjw0GmR!D~Y zY-&KxVuss(GCmgvTi>`3wx6&*TK0z>Oq5syB3=)w1tz8%QKU0$76biH*`-V*g3*D` zuM=dpA*dfo#kE25)+c(7w{PDH3a*ZfM2hC1{95sYC&pH-{ebNbLY}^o5Q|15namL@ z6b~r~xf{(s-gHa4p0uoNy!SldZb`EYA(+xD>QGP8{;q4!>~vnsDu_p#vr=2{e|OPU ztl~Qahq}TBT{pJZO*6S#S8hj<`%ISu;Nq1&d=4k zx+D5ijWexKU@hM>m%fI*O8qxk4i5Hkbp^^CKdkbQ-c3+T0O|^ua*gj_R#sMmH>IVe zr6&a0JryI+pv!1IQs$$)we_3w>B&Xkd<;~{AdT_^!a`$qb7O1OnHehV*YrB!R9lV| zL7$36pnUuH0Up7RYZYQ`t$%IRx#gGT4V|AaObZL~ZS#PFbk5c*9?p@7?tJD;sWSgc z5x#G3Ir{VD5a|i-U)=@Xg4UeslJpNW@g-jZ45cJnlnHH*g}iHe8I8No^vy``2u`-^ zZC2bt4Bhzn_(U#=y#$bM{%=Nr)W54jd}@YC0Mpjrzqx@YLRS|s&i+j#A|pX43uwE6 zPg$2DS8{PS(3R?5)4zFoMbEbF&&A(E?|`C+Z%-ZCc&Rn!83?%|Gisj4m$Q`Hn5Qg= zj*0X#3g4|w&+)Nci$D=m^b@wC18p=50pgp;(ABW!-z|E!;zG`jJ)8oSr+n5=28l+< zl@j_Q#)6({&{xORP+7ZkPQt{Um)2fBruT1@0Crik= z@>dWp*5>Z;p@H`HKLb*D_=mjyD0QGPre|gz9UhkZ@Zl9QGLe8gd%+q?;ogbJuf)_; zFyJJ>!{f4=XH{2MCya!rWZt8CU$3s+mO$}}1m|=Bo+7a|Kf{!&a;GNUc82o@?T^`9 z4WynxRNsjr)e^pGM!~f>SsQGw2Evc^l4g5{_cyOkPK5KJudnqlOfg zK6`+0Hs!7)rcTiM8)=1gjbgRxW8)(k>-{c8%6TYX^YLvCOIC2t=w~X{G$f|qUOprL z6+>Y4uL3-4@JE9Srg&f;l_Zl7FxT}@MOx^P6^in2-{6sgK*TmT;NyZzpif*B5)?%s`?Rlv*ji?tzD(iRrhrv3Bs z{%hX$3a_4aRLc?pIJu-;N-^f7K}XsfA)!MU2v8E2ss}_1!LO*;k7OWAMnZr20+A5W zz}K(3FZcH8ni$sUk!*BsHwZ*?InW#pA>Lm$OLWHv%NHW}rlNt@_p_GP&Adm99Cdnn zy6sxKPMMn4=g*NOe4Mj}0#k{W>f}vaTwD+>2<#fs3}-;tj~pZl+{DCa!Q_Zx zKUlISUwp+W2Edo0HE~(2I}DcBf76*lyut8 zr%cTFtUf!9n7QR#QV0Fjt9t>REd$n+=kbuYi88a&o z0V14FpFe-GwH0#MkprqhpwD4TUAB)e0u>~zh!MD1W?Ww@D&+v3{7qvWH%S|S8 zHvCv7+t(Q1>zmSPfD3wuKm6KkCtHaY1Ze=d&&#>sWr>#0kcMl!4gUEEN(X-nW zQrRrP`~rFf#nz||yGHOgCioDcQ23HQ_6>zVWu{$1rdu5|@L3)5Q613}b%u5sQX*MGL=2gK%Q1Fw0dPA>L+Z?;z z;u&@2d+EKnw+C%-+|~7N{4LEDp0Ukn7{6o82s*Fma09iCdNqwEtQIFo-LGQ}$Pv zkw0cX3vzPn7_GTt$pO7aYx34qX7US1A(!q+!9!I*f{wtIXB=PI_VW%g&fe9nWgJr$N* zK1-AJb{j_~I|ECzo>fD{RlHYXIctYQf{v^^ColGrIVZor8O+yD9wsZUnFjT>aoJa$ z+>CWR9QaI1oGPT$#W)!b?dW+^$+^g_`GgYiJ*=2}T236R5M2=UMdqq*Q|qx@)V|Wi z=54&6zOrnfcpbo0RF~Rdqf1Ann6G58IjZhkQ{&+3${i_rm_G~xK{MwNwf<`jpp&y} zp>w@HF0OGQqJAZ3d6K_84h6GE+pIoQ;6kZxsyCKkhll9u)2qW>l6vzC-8*C);{zOx zkFHN8r(=HqS^F{!qB|VOzWPVj0(YaXt`5}OAg~w}5fQwp;9xTSg6PRFu;Ll$zqr+G zt@^e-TrtK&YD?sU_5Iz-K(Zx;S-*HNGD^hHqI1wBks6bzK8ZDOpw(*x>c#ME;Y z605>ah)+Jhlgd@>YqJI1-fw%Tl$+l)vzhoMKJO^{5bs3j!w?4nVT3&M6{%}1l3Z(;6(Osb2vZ;7K&U3 z<-{cQ3c2iQ+(Zlbj=vx6{<3h<6KxYJSgRI~8eEe5<@~ucvwgOU7o?s4oRn5@bH!vBAY{Xgh!5a@u*f!Uq*2nXxk)^4au9_W1 zbl3);hi$tIr|!p8%GTizPvzu>OaKXJy( zbZ%C1qd=d1f=>+D=U3i#YT8b79taNIC$bY>(TtK2IJd;<0)cZB;X^+RF{$9gMKGWf z6}l*8d%Yn5FM497D|8!Py(J8Q{&m&scBKmm=)wG83_mu!(i5fnJu6NJe|bKS z;xo!MR@&8=%AF8;j)oh0I&0I{saU%ls2+}@)uZWkJdUme*PRD8aiydSw;RYy%T(j?5qZK#Ds<+ zy64+*7@VX~i2h2$Bzx<9TXUWI_P?a=Q#Yhtd6U1XJGP7c-cfiqSiM18mgb#9(nK}I z^ougBtSimx#&8>*s9AF&nxIB7#*HjWulpoxe8KdrDj2c*U%h*Uj5shTFfQZK+}f-i zuWG<&+E$@d6qTdhq^0&nbq3i&akG=wNhY;N2aI@qeSN!i9I&!=NMY%*O4S>aIV`pZ za&3~MggBeO-Wc9bP0+?l0}pXEC}+U9dVPHz$a1iX z%o!&QF7h+~FOqLMPk7)cBKI?py6tJ?0qMUFhYSOLZ3cHG!TB=UgA1j*f~3(nvn)K) zv*&M*alkx~-Ce0*AkQ=n7#k+zM-heC6n=c`l4(H%@7(W7b%37paTtMBI49%vc*OQ`7- z`Ub)*DpvZ4Z{agczU*5xI3B-9z6ymvP-6T3q+R!T>x}yZmgkc*m9bXHQO{&@J212U zo?z?@Tb|>x1Tr~5xdDo9W)_y{kPwY(;N%X!B!K^rK;vm^bNmq%i&zzXjQ-1?XA3kI-c_ul!saLMZq10sh7M2{J(NCH@ zec`hQ`gL`_(ZjvmH+brQ2kdx|f1I!UYOCCgGErvD=f#VKr6LF!&)wZ!@cA08=R6X> zf2mT{5J!M{Iy9tfU(TyrjJpHpi+O>~EVaO{T`$&et9qWS1<{T9Te#r+1iXREx16e( zty{ZWs%Q?gKS?K8njOVn1P%&@!&{0%UGc!n4GeGb zU*;^4`Za&=d&+0qmytAORPdOxM}2N^+M`x;j4z)nD#$IXY9g@R+S~mi6|9mZJO&Sn zh|5n(*b*3j+~n6;v-cgFfhh(Y@S3z&z1#ph3zV2QnVLvJn}B`>d|VW?@JHjH|xV~)37F!{aq^sVMr%d6Q_a#zG14#9)=4pbge*{#OC}xT4ZH= z``_@Z5x}FyFI&BN8uwLe7duM{a-c!O>g1CtV#!`CIGSofE0AHNZWr{npzV`cy__k2 zEw!A%NOP+dr*|!ouFb5dpz!>3vxba}3?%8zo_FV?A;{6EQeWE1i#kM|tb@$FU#$x_0bTm+gdefJDgjL`QD)||T*X}J zrxIZ0JXvjf$Z%QxNQ*6CMR>^mpfromlr+jA0`WHt`JOF7Q&MKBPHZu|z!t=sm*NLW_!bd@BUqhJ=d`3%D*M5F_uvH9c-EFI*k4kDc>8baq#gtVaMrp{s z4(K5Ix3t}xf$(IPjqaer0by3qhycePK=-@9{8FWX0-~xCpP!$BOMqKj5swU3ar?6p zS*sXEp!DuvGDmFjN?7`bu3dbJ$ezQ&N7>z&lVpncq9;>-@jW4Ak;;`QMTqnY+C;Kg z@h9CjcPB6i24sh-sw$uazqz`~SE3cja>sxb!1dREg-x9d-A{mmh}~{0o^TqevjCWt zy(;C#Mdquy!LFLI56!w6>sNT-QAy@b>^U|AP5N-Az|vp|gCawuB$zTsqhPGbnZ=CN&ZTlpu)CUOj}&dl&W(9 zC?l4F%hEwHuoL8 zTc#YZ-nUn&#OueMeb?#x@BXdX<2Aw%>_b#ni|M!EBDZqx?>a`g6*ZpYeU?h6DFF0(X>*(N_j1#B$OZ>F!=~AF! zcyuL8zK7%g!(2+LALxz%JGwwVU9xZrI1m2)&X^bstWekhaH@Xxn3n&X{KD-hMS6dI zz1Be}K`&7KGJG5s<$a!l``>8?on%fZI<;}m!rI+>wX3Ohvor{jt!XlH$E0W7+n&W> zF}zZT-(o$oWcJn%7z7M7E6a8_$kr-~oyh)$?$D8S^`gT;BWjcdJk?jcYXBZujVU%Z2{WxAt-(NM+U=Foz4M z+B(@5vT)19>;jBLnO9ld0}u1efxbC4h=8(QEkE@V1Azjd+fI_h)WPRw5uQ~0A)VLm+G4cAGCDob!8gzAFtR3VfUbEiR zzUv}~KtUj|kX*^Q79(%%X)X0UtGQoP;Rpf2woG-_aAxfpT&8^uHxb?AfgdW+Pqb0} zGvhwdXRw(Jf)@e;fe&~?L$iC_ur@k0G__01Uj>Z?w$9k?sMQ_)ddiME#@Fi)~!iebR~piNuVUw#>Ebpns$f zVJ*oA{Ib}> zYM+;9V6eiZl;ppE_iZD&aeSy-+{t2Vu29da0T))}_aARI8q|M{W#h^=uqi!5dd|pd z=#!McQc{(QP%w4aZ@Q8SSHfmSHsGLHTNGIqGc_h_*|4(Xa5-Vj?_5JOjuWA{W(N5N z(5Dj}S}=r#%a&l5>>SKKvw7kky!v%aTi-IfdA4Pt&IesiI4A|-y@9kZ+=rOO; zgh+d}agF;5Q^x~-)m=ZC&z>VM1c2*31$_X-5a`N=w$JY#5vZuJ1^|qDG*iIR+8T6@ zjuvXn(o<6b&)eBM?8n}F7sLIdpYw|MonReAm7}#_H=m)e(=_{qC2fp_8Qpu?aY+AP z^-jb3Dfl*8vCn7u%6We&TUhD8{nrqE7J|*S$CT?V81mvZIZoYwm!6%Ek-%QYc;O7G+r8aZn)c%-S@Xn5D zou+)gGT+h^cuTSoA|!JqbN7$T$A>QHuxIM#jK8m*Z_NtX${q*HYt=ideUFBNTUvu= znIFRdO~ZR3j~fzF)d>!Wr_Dlp`jL(MX&Vs1hKZug2}3K1#) z`u4*ywjhvHh89ZBmtEBT)azmO`U&%og!Don_TZfWfAqjglMC8mb<6JPaH<)$2u6E* zyPoG?I8kZlWERcZqKQ1@H^xRr@4rmu#ZpSG$~F@!R5dhIl$8PMy|osEafq6VYX8dJ z#l=PACoox~gRks%J6R71LqDpinX=-i6=4olUGd<7u{ZD9O(bQZ_xHmT7gN23YrQX zb84{16VRp9jd20X48;1+$%&1r>A!6bB;tZ(QW%3UWggXN+-P?34P7i1^MM0SZ`a?P-aOS^y z_*DEPfhE%2)m2T=rm*pl0u=%40=z!gZ zKT+nN^{%_S8!TJMK{s<_Y!)S@7P6{EP4dE|$T2Pg%&aI|+|10(Xo6m3V*f+aSB7QP zb=`u3q=bNkG)Q+h(%s!4Al*oZlG5GX($d{s(jnd5-JHeqo{v9z8L;oY*IsMRF-M?_ zd?q7v`i?G_1^&77ci2n`@Vw=X%0+;{6$D<>(a^lAYi~WggoXXoX{^s^g0n#&YMsr> z@y-rAu(R#W9|7{*Dj!k_;LRmU@Kr-KPZ2=yXD&Pl2qAo)_cVH~siQmWht4m^h~%(7 zH!kn3SmDph`uv57;gG-;+&h9Fq}KNa2NMhHwq2D!a|AdYfDmUjG00?xtwD^RU&f{t zcp!n%H72m6nO4)Y`d8_1kO*d|gGNlaHjkemhAK5nK}7x8=~@?P2Tz(W{yt6bLqe=# z8=09UMMqEU0@I#j@RuPUY4<@DPF>#2^tBrn6vffeot2iJo=;1niC?}M=TygRO|oVC@7%9`d~?JlL-Z zK^UBO7^!(p1vOA6Ve|Xz!^9Y)i!;*$lG0;tD#m6Sv;~fK3cjdfrp0&2PruzNdX-L4UO6T+A>Pq4@K^qfr$yxUmE(t z-{T8dl-dSItJXF|X2&WCF)@ZLI98UHTo*_rn_$^MwC9{(UFlSO?E$W1H^)mUk&&xg zTU%gay1VOQGj9O$guzDuS_$iwR$gGG54879jg8AggAgug)hrsBEqVt{HZ8G4*W{&pGZOg@3 zJ1+}Gjw<0?|DK5)KnNL3*QS zPrBa9Km9bn`yve>ogT^bEHq26fz>%!*?<53O}pbmbOo;{#26B=+Ftg4d77G<0-$S8 zZ!hS@7ED=|sT)N=J3_j@P>jqr{PS_+{^Q{k zmuJ}Nb;Ipp&)YXs82+w3Tzj_MA>KtUUwMM^s!V4WO|BQ; zz)TCoUZUa2nU2bqtGCBW*cXNF`Tbarr6oDtp zR?z53-y$E(Z&cTmxnt9lV~zLLf1|79CMXxBQB7Er$3+XscHUR}@z7GDMa9nOPkOIs zdtsFmAJ3Mv9ri28GF`yiVJ@6j_dttmv4(bc&W!hH(tSjU4E{M|I(N>;!{Y&<1MqeM zZ5Ky)2#rTTYo*gJHEjmafPfwlh@IHMcnf4jgh$+X6M|e^uzx23&kE3#oSp6Me}OQi z#}b9#l*NDUe4C^p5=TW$uXnYU_~JYA2z;k_^A;8fvFwcr2TF^&)efb;P}q}W8sCAy%T(yfF0c_p;x!fwX02$7NBG%xzfr!%i$m(FYxSo_F(}~P);yWoSFUJh&0sG)XLMLo`QfW*a-OXYvKPd*=1`pn_AFC?7 z>s5Imvf4k2=8PMM4IPKEX(m+`cY$Ua`6`8(MX;!Rzx6$bYD=LjYJz!oR%rYv}PJqvk zA_yjwK#4ozLGan-E4MQ{xtongvPs))*CVY6@%-Oul`>8@YBXN{d4U13uuUC~4zzP9 zLBFVPs@8OLd`rXkk2_D!8P4O|xyCcm*UQHr|1=|1$7$1nO68lr{wlZ-VDE*EhPJW0 z`&*gnWJv%tj7dpJ<~5pRF<}J!z|v}BdAYTC|I`1r14sPi{P*wP4pr*liMuO)G9CPd zB3Lgvq*Tb&$eo;T0Sq0f{7}}XclQwxQf$i0m5EYOYNv*ULA5L)D~r$PaSLEJ@Uc%` z0&Wx`Jf zhQN#*Y*BW59HJV>8(ZhrsPtIf^}U6ghUg(PwH#+AD`G0(Z|Qw*x$ zul|A69nXIr?o=o60c)Gnz4ksc)YQ~K{`;@mOv%#n5Ew{{hT&`-9E3zx>(F_PKGBN= zgY7r2L%-fRx4N2zo*tRV5%@F(R<(j_o-~O7HW{D>tFEpFxftbb&p1RZ;1K{gzE{gI zw|~&4zl8H%GomBi9@ilC%QiZT(F`Krf}zo`7-4xcOa}ei3n~IkhYx5}+P)8ntZE$p zxLn@jan@>jmob#*Km1OjSI56QxXi2KN?82`jYjO|romT_3-e6{h z5`$Y$&Cc%puhJOyF4-P_C>tiY3_I-i_`?YR0T{M?03yI)yZVEYR;CW%im|b=y#!t2 z6~^+LVkyKYc&jPgHyN$@FPBYi+?R>B-y(vXz3uQgJjdIc_>n!%mVVMNKmBty8)ZED z#0((^1@;pN2p)f6p&UshG%-2JS>}&3Et*(MDZIK_Jb9^z-KmnuC)Q0u&Sm@8?I*3?;kZLHr2% z@BBMO2X+a?YUQ#rGFEn&T9yWn;hiTPK)f)JImlCSmR7g3V?WA4j&3s|CRI}$mrQESrJ@J#JpucklLT5f&bH!^rcM2|IpOyJQA z`aEKE_!P<_MlT-C7Mw7=kY%q=dsn7c=E9SvXJ0Sx4|W%9qB?$5Rz)RX28UX1E^g}u zd+@C+F5(h_x)uGy1d?1m5dUh~w6K~_QD9ZN#EFWl3AfU(-ec$8o6 z!Kq=*+p39epIMT9(*qA_3h`gz2=Sv3&<1D9_2{F-H5c0~{xLZ|-Y)UKpD7WbRwH(I zuXbftEFO=g+&w6dlAl{+tTP2UO5mKJjqhQ9-)ByJqJ3{6XX(H2N5dNIP^ZZM-6LT6 zWPDHjg_+s$j%mK)txo+9s5dMIZ_L%1ua@0+TW+`*I@$iz)v;$`^fAU3u^ee0)?B*% ztidZ69VP6ph%Msye7xV2a_5$=y!R1zpS--e`RQVW`*`)q_7kS7H>T%I*e!RSXx2nW zo!H9pGSaq(cuzYs-1)0L9>fo%j*YAahw;S*9Xzq7RV*Fj!5~__Bk7I97LS%N3X&># zyWYmfPvc@<+-j8a!Aa4N%#1-oeOLMi2duG><;8Haeb_j@(4GvAUB>VlomG#}92>f@p1DBBa_V|LognmWN-(IEgSnT(s zAWWytUCag>Lyn4E{&Cvl^jM$jKbD<2ZV3>TA>eP1p^^O+)R3rLVwTlQ0U<~E|8LzF z1T0A{d-BgwPKc+@O7PHMsnu)yHm$C4!^OdD`5^%E!UmSlY$$QYcKarQk#dy z$6G12T>BshAy}dr`7}It>yvABmUI5Du19EWXT=c54@t1`&Oc4iU)!xy4kj!_;CBGZ zW6;7=Qd1jhs1ip3c#AKiT%N+m*B2OB;o{--e6>>(gKvD-XP2rgw6&aj5nh$-R@<7K zBm9e8pix@eS}1X0LzO63iNWm12lZ3~c^V)z#JI<>fUsL5aJZBNh?h?+?_^QF(GD8AcOlTFY>lUmVPfWeKwEoG z2ZN$1HizYMb!-N`*NZ}4TLGSm)hcXMXHAp$%Vryr^;6@ZP#GHaA)`u-!YVq-ruTM# zPsprWGIx_bB{#d(fMaiz`d90c;=)-*aY(%{9n7%0*WgxTy_zt9;}DR3fd!hs|LZAp ze{%wCJg8#^0NiG#nWw0ttPGH**PtxW=Y&K=5P%&ckRMXF{R)y!@#N{995l>*nEY5i~-$-%G;E!qox9*{Q>VFs!>H@F_wkC zwNN=Wr>c@WU0z&zuQap`+O<1azokJpnN*R4vB}8Fdb*gh_r_#*xM&DHJi*Co3bYVD zSzw1(he3|`>FFLJ50{#j%P@+9#d~)wd)q@0NMd*-9qALaj2sd)+u4dEHj^7bSUO#S1Go~sKw`p^H}i83_>g|kUH{xlv}d%!;hQ*A&p%TM*+s82|k1&9ca zB0XXk&`lv1&Q1m^%$m!V7!4xybiGi+niK6c!jOnh^y*A`f9#BWzCU@r2tfRmYJYx( z(0Y`qrh!{YI4HgW$_a|5q(n#7`h?e{*`vjV3vgCymezI=L+b?Ogf${86dt;qMQ-_I zX8qo)7z;Gu5KwRYbw9H1>W6LpXe`b-%IMhV4-dY(!fT=WMGd_9V39q5!Nj}wI4l-3 zG@x&u(aj>da@)&G04*bU)6g(6O&GAah?U3uFWE=2*4r?yHHMLKf?>~}@nWTaqCy$$ z5g+o#{c&RJw#hk<=BAJZ8?VgIW&{X`M#L`Qh0Y1mHdEr_rooz?k91^hw_BW?yvR$? zRir=%D3p}AxIR|Crx3JGf8uu~f~I)KeR}wma5&*onMNFaC;`nTI6*Sv@JYQT$%LIc z_f+uBlOg|mv`N4k8Wem>t)A{@>pg(q9AQt1+vk3{d$t}zKQlE2s!C=+Z)ov>(g{(R zHXZf&Vat5xV6(nGV+DX>eICA1R^c&2E+tjddRIT@{RPI?3m@_Q@4~^D5g-g=;kbaE zBMlM)QVMlViTN@JaB#Q`I{g5GGB>B5COb=K=Tnv^_bdNmG~`{2B-gF}ZH|}uh9Kn% zd+N^kY@*X#qGyf{F%*#D!M`3GnHE#i(|G(|+U2uyDQq$n=(Qd`aDIYofQT0-X)G-* z4G61E+GvhMjm_;?Z9xPK2`5X)YZ1vw?Cp6UAAg`GiknF<4*WKs-%19Ts`+0%?Fbp1 zt*E3F0oDiDS5z?=EThuWmO#h~a6sHxU)S$H2-q!R9Kh-Js8aEK^NiF z%(QFNo2sQePbW~Wkz0z{Ie&41gC=>a`&Qxs!f@ZKh$08C92o9qQvSEbObj*HfRdDp z%MF+p0zB-<%Tr5h0oa-Vembb`70{=TXJOKQw3=4pE7b+D*lm0uNC6}CXSVGW{x?Fp4lh z3SjfTyQ2q7pI0-P2B_@7zUPg|f6Wuej z6%5nt3)hV|ix6N?-|*J@n`}Z)#r#11T*=1$8@Z2&U7cNBV97LcMLKn1vtG4@vU}e- zs=-X8&)1Qm?Lz(cGP>N2ES>Q`Vx2zole6MegojK;v7@j6q1iT#oT`c(;M_F^T2k?FZSIEkI5X!0ZA&3<4G}+nfKxc#2 z`1Z($O46jp*dv2Mns9%&l>xDDE4)53H)PcA-JJ0!2na3|aC?7Ti^S>7-*?XKkb7RM zY5IpBbbA#uk6OE3Z2dcVipw(LE?=0*q}T`SWdNwr&>;44ZyaIvodI$mh#5&u#r5qN zgX8rPGm#GJfP&0Tvk{YHviw4EDDKXlpZ}xGPp1|V!YV2wqBm4P-l#(4_0s1t#Vm@> z9qi8s53Z^Q%o5mc&TC6yDi>^<(hMD^lk6B_UVc%J7Z*#yUr6nTMVQU|Bfjeb)E-c+ zy?w)FLlg$yetC*lZK^3t+6<*Bvubg+gEzU3Ah$uZ!CPrk61;mtO^KbAeZOM>n4tv- z=y`{|c?0x4I(UOI5THc=l29D9&}_7SKDW;nsx*Fer*`96>o_eZ!NpvjRcpoeX>v|M2)?S z+-27}kB}f`P(%cgsRH^!&xhSN_Uy&mek8zL2kxO?+h&rHcU(+mmX)<@y z9@%g0%GG%?&lf%JU-w*iQ4q9!yGbUB9!6;MXuB)sx7Omg!e?a@ceXzWZwr|l#;7Vo zK3r2)B2Bv;AU90C>KR~k_rCwWGQO~`o1>?Fo*@uiO_IXigWY%qM{J$W5h;9`RIxjf z)a7&3ofem)fF75e3}ndnU>!*45c{EY+VPN*ZUWbRYV50v%J= zuEmg)5;9qAqo`-gK^0~mW}PLE+uam>NId@b)6T;NrrZ-#i}h1=4QA?&HzRXocVKJN z$pvcXU}yHF^uOOP+;vt_O|8FwS4)bKbTi)HpJ%T}7bOP|D}8!tdXBw&G~7Y9av8sy zDMRxYFbw2!^&9qh$Z>`dx1b&>u8A#L-TzC__FP7&zZPNgqRl=+>^f?)f+s;yHY_1N zJi{TU-Ef^FuF>1@Ucu;|~XmaVKL%rg780+Td-Y-P`lB_zo9Lh)lx) zm`k`TXD6po?RpCZJ(5Vv9`ZJNZRUAp@JXa-m@ChXV7kTu>&K2 z(trPKn!&(_DG6*bYNe_n!g#vG$ko_NN`u(SJayJsZ82onZ0!t)b+%okP)bfwIems{ z98>Qgc0U026&O{54SU3!z@9*j}}+yw^6GVwEG+d6l~BK+)PQX(e}8Tb-qObpT*UoY!k;3_NSsc7ElJ{^nx z+t4i8tmrBXvBA5uHy3vHzlg*pIBe2YmrC-WQ_|@kf0v&2Y5n^$eEYllVITSV$|Csu zvh0|qcMy)P0Q7TSb~OQzCxD)^f0M1`&6Inej<)0$JKH#3QQhy6i#KXQg6RfC8hngP znCs1Kg-rr!T0^?y-E3Y;ge5n#0i~fLl2D`|f|dniT)d8MACU;!a7Kvzrm$h2ZMIK*)Y$O6`c8M6MKZhzf!o-) zzL-O6kWzxud8k(%+N(25G(|JDZq<B4!{je6sObDO8E1}m=j?m8c6Mg(PQFDjzm{idL6i>`NiP@4cl;jLGr#*G5{kN3 z)E?%zInH%Zugf2-ILQ9k+1IR3gw{~8ex@F5PWu_DY_z@TE}rZB7}ll)|85{u&CA1P zy6k++4w5eT{3UI$&K7C+(`G8J!`gZngP6C?TJN%6c0^!1s8`YlhoL6y70QZqxdHs1mntYkM2m zz8Qd=d-~?nH-Z)frnTnNzU29NSuO6Ug1haI=y+lAv_jOXgm!4mIcuWrZ(r+oN3u@0 z%Y_G?a1S=}sajEE;kTGx75Dt8_cqtXD;e2)ep>)jJB9umWybMVW=yewtKyrjjjv5cvCt37!>!=&h}Fz z>-5-KCT$d=Su7u^saGQYNdK$jo6kkjkhp=)2#1SZ)~%`}L?-e>QPYXm&7Hh6;0Q3_ zphT&?Pm9U^4M0(ljMd;!E9I34W?%9YH1zcBScvN|{6vhYn+OtqD8&OUo;m8{7SS2L zk@V{^SOF+ZMF+~i40mUSi#=2s_veGx_swfK!`HB6BR$Xp)lmguE0Wz*LQmXWG88ptiB-4_8BU z??v0cBz@}Gr-Gln;t?3}zam))mbG(vZrPH;6uYGq$u+wm=LnxXxN^TaegZsN8PjDV z;6N`ztRO2JE}G*?abn(HMCZ-OVK0+?GO-KoNqZ~Y^&~%iq;t~pYz58eX`d)1CjGI& zWjyyA8(aSY9EFW_NjX(9^Y5v<@t3sbYwfI_L=l@7Zj!}OO`nugNrNIOPE9$@dBe2U z`eH~r#=a36Y!y}jxAhzJ^cs$UgcdLs081WB-SJ6?qxzSZpR>*$o76o$N4V5NBGQAb z5DS|*M#hcLdn!h%fiP7aY~MhJ{kq41DMovH`!<5*qRkvbY^)z}I`q8zoNcD8{_ETD z7p>Y%1WbJGB&)$vQ2<$h5I(dfuZh>8q^aH!TS8Mvz8`z{%jul#-xYrn?|;soGS8#* z!&(m&-H!**Pq;=NO4&vlY|P9tq!QlVFV_*XEa1pyVE9v3CR;qsHV&0=rS(ydiTD0! z56vV-%&CR5U$lLjK%2?qIUIgsBd)NEhs%h2|L0p7b2byC`>h0|-Byy{QpIsXeD~KI z({)~6CkF(r`nM;v9)EQtt8Wh(r$v5ci(6oZ&O&eKz!GT}5u&s8mRRQ3q60DyZh!%h zse&&ZyneK|T?{37{2BN*SOEs=2;LfiZ-IevHE&T4+Qg)EyCsqjSyu<+q1d~531e3W zutbh$#9y&4zqBj^LOq?v^y8cl05HZ{IpV?2lWfcxx{2UI8cq_{{y^=r*I_$a=!wC_G8Dz8DU9W-+(#*R|p#sJ%k~3^$ePHe4HSULw5BezJRXm zH>QU%q79ukJqHdpS4C$F?3LJ`Z~+E@NeGDaEuJMoJ-GkJ1yGBphDAb>m5?yYx5f~J zU5n>y@%VKTfqVDh&*vG;_SfCPoskabY`hj(bl!OwYCuhowNPW{n03hsD_|oruBs*^ zC$2>;Lf*S6q~JqY0s5u=jZ(D>olc^Ugd1_XD|z=nictD)KSg2YUrdfFh!8*iu7Or- zb@lWY=HATvo}Mh5RsjN!A5;5IK?jsD04vJ$RQPQ2Y%`ydQvPlFpUjJ8UlDdzt>bw) zf%J<_PYD5^r)Pa&>S*D5D`LbWjgC|lRDk?gHg6L@{_$M5Bv!akXe-m*=%^a~!iNw` zG1_PQo=yYRt%BOt^Ug-%)nfs3p(w?C5P(39zCd`Ke&CBG$Cg$q~n91 z3OK?66*N3}90~v+uXfu22<7GBdB))GQ{*ZQ@9_Uhglu9K-0OnR$Hp3{)_mR3pqRek zbHd)t)nA+Qt<`FNN&xft12K05gkJe3diS6A)HA4}C{RCBE+y9-Srd~y6KIYbVbreM zbD^a@U58`STAz~EfDKXjQYs=_#9XlR1x@)-m zj{abUT!G_EFhRvmB(^8jgc4oBmeJ?W z5ooco)z7Yv>`aDSl!+0vZn0Qtb9gagFWn`r#sfzr*w^V2IBd4TC4Zg2J+Kk2E+YDJiX3yGPPKa^DvTpi_ZV`#28=ha_QfHr}B~#@`d8 zcpT+O>Kj(%XpR3g*H^rqjkYgrt`B$T7!^&+l&Nxw@xzHo&v08@n46y3q{zLMH`(rT zZdN?93c@Zs-lwDpOtQ53a|VHjUae3tzKh5bh{ls8O#JcGHhjjShASCm2r!wH%e)go zXD&=!`1`lZpIoA2uI(I|`q_XwJ^ZsmNgOVle>VsuE^7SER%Qmq;`3ZpFRFgF6O8M{ zo^8Ue)$aKXwpkJ*ot}#_+!)FcY)=(2lYe(c-lw`? zqO!gEc|-Pil}=>Z8Az@A_tPrP8f&tv9j?&q$1S5-b+I`OeQ{ru(t^QC$zsl_4(4my zsFzrq2-&+8$S9!*%9Cf#ttB??5Q9V@sSy(vW|<7wz_L=A+460(e7I_FkS?8K1JNHn zA^AubJ(Jg-HERW=eeA8;j00*j0m~;K(+)~tYG#J7xf`Jl5vywRfl=z1d$W>}-Fzd* z(Ioq%mANoU_r-5h2X=#c31;}-sJ%o^)7qUtR3`*0_>WzWOJ}@RmGQj}39GYW`e$sB48RQ8^)Uk=I!*KMFct*x(5TyEeN&`NQhPbQbgXs_@_rQkPN+39zj zEO>D`i1}Z9wnwm_b~wvD$tkXzVcJZgi z7}vNR`m0|Z2S=}6QA)rtOLsz6a!l{~^%c}Mw zQ|U?LX1D(BXiZti+<0@u=)M${-~!caS8dl#P5pok$IMw+TwYYFq*VWflo0E!zl$I~ z)4r)R#k!qaY44Aq;VpY+)V4h)BmiXt1Q0N~#>M{Q7XcCuvlOkn8=ng)JLb_s;PtTx z92_js=1Ih|%iEFEe`csPs4|o2B2lFO0)mHOiB!u)e+8y!85)HYOj_QXB_DNtVu)_I z^krlXbU-o{iU`{XVaW1RvKV_C@+$1$`HwW9^DaiKf$FSr@v%O`*}IZA$l&C&E*6m^ ze(inWd&9#!Hd(J);gYCtIQSim(PFjD+S}u{xR{?l70(_#TpgGg7@X~@fk`gBh(lbr zUFzlqoX}dH-m*92we6Jmaw1Q)USv}ob~)EpyV@r&$?CM1>x*x2O>HaQrGIO+=rQSz zECUGZGsxrJ?veOREeQVW9R<;1JT(`h?BcH02~D)TY$j= zl1*CMkccWb#TO&;gIjHWFF6|jXn^f0E9J72>8DVH3eW~~g3 z>b;fBu>L!UtGM%4-!P|Sruzn{ryzuGX(X`G zrg2)GH`70hQ!xI4CF;hOvUzMUTm6CcJB)uL}}@21ZXj%cIq2H1(Zk+d&BCj6YqWuFc1H9K0jfY z!_W$`5yBC$jzwVx3juM2_==XAtY2b_#g8OkOptT`)p_B*-ao=AC>+WW#4tir+F-4|%D~5Jk`0Y>P*%QWQ%@4F9+rvpb_$Qzw0N{2+Vj#<53Rr>IWYwp* z_nj~YAHz=_YgNTz4bAQwTKFyblCr;|2!cc2%}XXtWz>sEw^sGs?I1931qOBekEgys$x%HuG>+Qx%mwHofdqU}do#QMr2!^= z34l5d#-uqr`!W`ZMp%OgmtBlRd%RF$jJ-o@Scw?0Uq0E=n3Q~zMBA(6mTrk}a?g#Y zl**HuOyW{GSb^mXFES}&-i_{lc`BsPcXSK4x=5iKnK7F9?1~8e@;Aff71id#A*!{% zLUycUMMJ?NJ7)XdHB%QDcl>;^uD0Bo>0$N29phmvQ!d^K`?KMuA9E#R_)w$bJjB#` zvw;4(?&LSG$H~s|F(2@m0c@t_db=DYc!gCD=7c{M_X&ZH;btdlqN!| z0rlbcB+%Gn2WKxlk1r7eah^)CDuAkw06>d;d^T;6nuZFAUx?KoksYxqw#- z;FD3%(H;M`PGl0YN9@#w^Fq?`2+4=emG9h2Wt{jN+DyKxuKxHzgFso5M1;^#z*XIkldVnU2k0F>ao?D5G6a&i_g$?HU| zLMWntt4)lK{me3Sb!f3m?`eIw7!OTnQZy9H?sT<7C=luH{vOCQ5-T?)il^5(=ulvf zS+_aLwr~RsDRr#ObnR#j)($yux15Cn0!}oVj(4Cc7Ny0fh=j#AXCwu6)Kk?|EiPlt zRIW6w0zDwWar_!?3Zj01r;>d`vsH03N4O!@yDkPFQ&%EgS)@jL=%eMZT5AykPrt_R zLX-Jfg-TH=TA$pGfsZF2n7aTm>d9+Jc6K(DPcQj5XI&y?1Ll%ay$z*?}-4I&*6E8H}G zNG`MHt*v5Dd>#`Q&Kbehr%H4OcOjlf{{4TY#cNM?5c?GGlRFpAC>!D$k?^WO z_S!4A6pR*O5V7=aY+lM+0#YJ@`XD9-4MYwANkVy9nr3M)gEZ*}R?qZ`*3*+Gi&nne zBR*p%VL2&fom}P%#G@4pM?LmOK*b$qW9XmBrsLb1xI?J_K5*4t(yVE5;&ku6EJhXs zY@@8f$Q|(1@YyWY7j!?MivVyfAtB-6_O^fP{3HE`kATPth67m+J7dR7yH%rOgK@u| zZCI>uf`bJ|XhSU2SY#67_toNHgv+vTR5ra+&h=qjfQKPiS|HvT7xa6%O$Dh)82=eGtR`q{x6TIP-|Vb~!>F z03|i7+aIr8z{-RLbdvV^jff&rctzuz1Xn0m_vZD*QTDg!>&5MlpcsR zelheJUHd8fgsND|a0Z5;b`W~viS^q>Au6bp$c8}8BtEbBj`Bd$)ph(+ZY)Y=b<@uc zFQxukQv<8CYVWR1+-uvtSDaMD6V66V+D%GVF9;x?Z1bc+?eMRW;+-AR>jluDHsx`k z2$qzT)NFD(0w^V_Ai&eTAJ4?P)Qps5Z7BX&GhmsUn^RE}ENh08nRFx3`E)aD%@Qd- z4(?jlPB_Vihk_B8*Gn66?Fv~b&%^m8t4dsBc%v`Ye-qUQU`likLQmY>YB`=?sj6T> zi;0TKxHI8Hi+feeV3t0H5hJ^vBk>0se zepxaLdxY&DKYoDu{^R4tC>WxUwUXjzPVNDeB(U|v z;X;3p7hG#8&=--z>pliUkXg4Z`1W0<+2mL(W&hxQhZ2taT@BJuB{(bh_V!?jfKKah zt^$O*F2HzK2`14cvhydV6}m(P*eeSxG*s+kuf`9E#*;;hidFcy3eS1L=Xx z9p7Mshn%xR!7C!|^DBJMwl?mE*b7$QD1U_2v|!39r=S zqx4VcY$dOmRNmpUEx!v}Wfk8@2Q@yC%(O4d(Cy3xMMdT-t)A~CFa^a+RRL{YlmZ>_ zP?GImBLqlPacW49Zh2{)USI0WCL4+T2SdN zyt`|P*aakJnv2Q6W;@Iv5$JM`!G5_l7zZ{^Fd$&f!we-?b$Op!W4T&ljLfsnYrob+ zVrr#gpd0TBMwlip^`{}SFOC-;_-lA<-v{(fo|jmLygQ@I$*UI?L`8c+jOdJqf;;f# zc{pi9fO_NXe8VQqnoB_i9y~w)i!U;tt%C!g-7W+BPZXRf(+ysCoVNaYJJUG^$4yu+ zFWjEqY>U}3`Zk`qZve|H4LgE#Yk39~a`38|9|eI7D^4J`$w4-&(FB1&;Oe8JKUf@y zN>%`;2`~);5jlWV0o2wqi3~(MJc|N37}d?UU8w{WNd$H)kfH6v_fmSClZz5h@v!%_ zW_yD#o#;L0NWHcD=n(1p^;l|`q>S%X>;vO5#>^qt{^3dScMv6)HcOoNP5J)Xb+LJ%7hQw13C2eakuan3Rs%ew|KC7LxwN96p;;IY-txq}B0GTQ*;p5QkI z25U5O6B@89#bBTawXTJ#h+sHDNkX~la-5szBO~@}vSON3+4@7}nf_2Y)0IE03e$8Q zHUhNZ$NGtcKb5^jcUY8Nq~Y~~LDM9D%BfKirg%jWjJk4JmwD1*P#2yWkBg+OX`vGJ zgHviG7hm&t;h9v4uGw@Vd+y(F28M2*7ljr&@0JLMml+-u|6Alpu58!w6-PxTd3*PF zxmQyi>zd_9Gr|v(aB)(5N5|%uUy6l@u&|Nhq{!8q9O2m%!S}P4S3u?tW>kO!OHNLn zb*iC}2{bgt)8;xlIw^WvB9oWE>EaW-S$#tTFoy)@m33CN%TEkJo;PPz@dll~V)RE# zZe4=~qjS(`VwaZ;7Edr0V7>sfMB=2`X7i>jY)bTew6rn>ldnb|HBAszG+GJ@QR(S_ zcP@ZT3(W4hfi!|d49DiN3zBi%5Z9^R?Qx7yHG!9oLbT_l=Va_e;wwOL}&CZ;m!)X3!90p z(8OC87BAo4l`LERA>fHm!Zg$1bi8~-(0j?C_4o58V zQ&wDJ^PD_&fc$X5wY4=MarY42WDx1Q*!v}hKdHF_e z7R-$FDvcxn+Y$&ghm)8=fkqf5K9#4I{K!AP#}Gtou;m*ht^NJ_#Gz;8NC~FhFc?MO z9!5}IZrmK)y;T)LKNPyo;YHXGU2#4U7Be#Ba-%`X$T^(pH0HvO3}l}@Gcc8x4d~KY zx|=?1Z@^jDXdnL6ekO6XMi+=>+{)`;e7j}&4N-FO`;Yd8uvVilsVHcYa83H!wyCrO zCT4P}r3O>qVL59TNn8%WY@(eR1Yh|F1OR3JeTq#h@Vd5ha>54$!yE(!Sf9wDEo8)5 z@jOMpNOhXpiVC~k>aPwuR-icqt=T=;zCmANYGN`wj3N(&8^CN7RQ%(on}2AeP@wwk z(kkKRJQMFbyK}4E3f+GsRQcboB}ab<+FV2Z`#`JH2vUV}fUgJa`}bC4(;kUH;XR(y z_sOQ2(d*F#EuoHgJXg`Ii$C}QXhMO%Cuovr!Ab`1HDHQ=gAeq>z+>a`{2YhXJQncO zEdtRN-dpG&RPF_v4-+R=M=rg*Av(Md7Ax6qf^wA6hj8SR{xQ((8vd;8|9)-#XAV3d z!}!(b(YI+O@-hEQ{F7pAABN59r$;(Eu}RsH`@U_#D4#_o!ER3fGEO)>G1zM@?i9j}cvrBTtFvs(G^JR6>>ybFC3phNhO(g zzI^*GzyP#>xP3LPxA;p~WlI2X6WA6-?|Eh0E! zk_j)&8oXL+py3Cx2~R?@Q(`~T-o{g&cWa5UIp$H_DJW+soeU!EUgZs`gzA%lY$Ne25Dde;IhwnOwytCg|Mp2V=1(W3QT|WJ4YN zZ}mJpO1J2`co6w^Z)+w^3)6~<4PSAolhuQ=f)c-n!L#KVs!>` z%1TN`DGU~K)EIY-Qg%D=kb4){@)vS3f2M8uV)E@|ZDnNXO}V7haEaiylYMP7VO#K@ z^DE?YCkCM_kv;ZkW+{$5W~DMlp7m%V5L<0NT?7KCT24C=MtN@*b974Vu(3yYt8ECl z9AT$toPt@yM@9@JbbQ z=#--V?sH17i_BGDLBBGAWnQTX5K32v{~U%B8G)_Y{%mh>5*7>XdS)ZUO`e16Qa>#~ zU+a!hb2vCKiyC9%^!{Q~u>UrtmAeo=k&g|+IE_15_>TW&BKiy;12f`~KUQ9ZBk+=O zl&-kcdsFj0IHADuod07Wl)>kjtcN_qmY$A`KQJQla1AIH3SE5A&(FJI>|?`8N6QW- zz;Fh%<=|Oyc|X5W+GNV)JMH!nyQWv(L*LcQ_o@C#9kCtH#6KMA>J4TJEf?g{q9Yd> zv%yWT7w{zV-@S_ZVxRVxPioxPe^lh_=RI7DP376J3ijReg~X>2510?^JBBVu-#4I2 z3p;F)DkKtpsXOFp`@n7cuk(O_$On$WO8L^DQOGlEOoBf|CWP%=T54%-#wF^0dbM4_ z5~*Q@c$EH^zBFRZma^=QOoSpR_+u>PhcYGAulHM?RM}sl57#dWN8iBzI){j&gY{b` zy~GhDjFfa6CHu#8^g#0KM=Vrj6Xf5M@{!HIEhe4O!~Yz1bbm5#5&-g}{{r{$a(yQG zcem-l^5<%C%%=>2F0xcg_3FLZtx&px?!ud@7;v!$id@D;G}WRdkwxX*K^6yw7Y-V1Hsu2sINZmmx( zdDyK%5yJFMRC(?!VTG<{(>A-*xVp-OVLUUrO95l_so`Nbu3`!)-dvzLhYjax8mAl) z-u=%hv^i#}um`ouSjBqAccS{VU!tLY%0*|wgtco(2V%}~!)vV;*&pJ)Y2+MkLJ|Cp z0c6A9Mw0U;_sZyEY{gh)K!FRenm4fRiRM)i*8Gb4_Of~}QbbB#bZxaoyQ`nOx*?YW zE_teNHuStMf{(ea9D_5mDXZ=rTeH>`MSJ%g5%pd9APn zT!|($wJPw9CWJ+nZTyZQGYPa6TdvR78q`E8@b43TSiONjF;6<=ckNYK&weW@?aylz7i32GaVD5l^6rDSBJRHXm~%I>=YJS0@Ou{7?ay8YQ9_S^TX30x%> zzXSa+Il`G4uS9whp8Q0Gd_``HmkCdChbq1qdKy39Ax=bPw=`a!BTZ<@#;!1gw=?3> zN5=jl4oaafuzh}X1s0J-B5ROeXg;nuru<}E+k@jlW@H4>VWGHptGQaUjZUa{T3OZq zudBBJs_J{gMh}Qm(k0!UO1B`=-3`*+A>AM%-5t_MO1IJ=-3`*+UEjjr|K9J;J;RKS zvCrOXuXy8$4B_#YztkCz`GoA+t4O}A?24%RVW?xT-0sHdo7)enK>UL6uPoMt>g|b& z+f!b4i%4z+VrD)HqhJbCIKCOJGWJBR!h4=0y#RZez;*l4HSCxt;r=?+_R9W8-$L6K z1OCpIytB(<3S*DOhdWAIi_6Da8XEmg@(72b1t^BNfFVv6u6JYmJO*=VZ%Mm;_4Whdt;%kZy(v+ zU+G;@nxPP#o5zSqFN~ z`V)=w7pG4WmHx(OzjUP9iVsm|l5{5ZTk{okbO<|G0E6UfSW=y?4O4DG$LR)(EzzG0 zB=CA&7{1}Y@bzr($-UbCAmKp<|EmY{NbrC)scnlM|5QGoEfp1@36__XP+tI*hPOA zB&sX_PUCStnDuW^V41>*h@kj4rKebqgyBf{$Rx%9S^_LapgBjWdP@=Ro!~T|?2`Ls zx;x_Y4>d93ceXzASD}Q8!IWZ+Z@-$Ry6(_WofTk{2?IFz8r~mdGy`ki@Nzym7tTf~I zBZ0NCKjztv&&k$hW7bVc_rAQ}Hp<`^w^f6N6W$lUL5b^INNRR!jiz2yqWF~^c=DPO zk&-X1OjZg@8p)r8d|mhQUV|iXhH~o8$aw3;)r(?F#c7K!FhV5`qKcDNB^J9n##M^DulpV!ww{nJM*Vn64#RAQ+ z6r0Gl8(IYS3xC~~m8K*80qpAwwa;6GNdkm1v7h$U->@U3r5_OHu%j8!7KLJ`r1)B9 zaEnLJ!I?XBI)^*0qU&7O@G8cVVr)mXx!WC59W5X9<2-)Yc;w_$kwZ1WIv7vC-0>b1 za=pEMEycnKT|^c4c;yLL=z%s9L{GP5fg=H8s4xk}2T5n3L;33EOY@pJRcl@o>$XSt zv$aS*=oXKTqqdF&#tK_v0)f|IIP+{Q^W+!lN&64}d3_OY;kwcF=_QiqBHn`W3HWb( zm|`fLc?gHkfSA(Z;o&918W1P5sG0kf?NzFDHDh=gk+n#o!sNf2reB5u<5%DHHBOb1 z1$#;Uy}YR6#rtV&&@Gewe<$eja^_n7!h*KPh7PD}Jxh8>OUFs(xxlZedf!)m9P|$; zB@p9%zxUv4wt|G!Uip6h(*Z_nWkg8{MJ{qK^xpFhe)+$v!3md7gL--fuPYNRZ6DKH zWo6|gX(}Mwmizc00C#tbSbEeY=ce__m2uD;nZtwuuk~1O(vf3gU|S$xWSYO_-0dDQ2z3s0^a>kxa(~ zdam%-c>O!1^E{y8ZuRoN3w+H1P-682fG@6*g5cuf0$ozg(kZ6z-?4MFKU_DC`xmLA zK2|c;#>3}RwbuGZDa6GVgolX2WrzGb(TtoiKe~B%fXa%IiOHO|Q*2*cbab|25hg+q zct}RE1{jFi;AA3H&)jFTCHX;>#3%&zB8RGz5jfKGsP=z*X#@#exM(a;hI2jAosR@r zk*=cR-}UuC6xSNZ>Zx$l(AgYBXv5l*5l=5%Tb9@qIAOB7|Ih!o5+aKReoD^*?S+NC z-5<6#H}PJBMUK)yU|TfLu2@9r3@<$d|7$^i!2V^zDjh2no>`=mY|GxE#x0&Pj2>bMz6bRFMvwhA#LM5D3HZSN~fc_@r>cd5RZ1$k}GN89JZ31)sB=>MhcJ5R-Q$yeuw?u>A26$ z@!(G8(I3`%yBXCO$vi;rb8qnm9SDv$Hh?(CFaSUSlYQ`<9WAz~)#8`}N#%U)@p|Y# zn*H8^7soF5?)+=T?t8F^?B?Kw3$5Wdi<=E$$HOC9UTU?d#6a@4w1s;cR#O~9sxKB)dM~B7_&L!DsAxs{_j5;r(UW#Co|IK^YbW1)Gts9 z!)f3=AE-?wyeDV8a$Zc$^`F!MA{+0k-2LP7l@I;?ZMj@*ELF?a6HVS#eD!#4N%BAC zZC#)`2UNr|Jx?X}ke(Y~|EQZs!1=R9Vc!hscU>a?(iD%!Amkcy@UCO{6h{(`5vZ~> z20d^bkLzFLd-?e_H7;3TRL%2yufop0Z&zd8I=VV!7byI+W~(*)^X;0hkBKLajPY$Z zv4Dm{R$27urMnA|tvUTD=hb-WM07E#F13VeG0l{HR9IN0a+liZuEV1`eilA#a^Y0W zQ+a!UJ5X{vbba(qL}O`HWxz+HVkc*cKi}hMCAiv6@Sw3X&GIi(zDUz_Jl!kl%mk8Q zDZPjJ6zBP~v>Zh-X`=Ch@3rpB#X)TZoFUF|c|(V@o*BC=PQR5pVmr>?rEZx~NZj7u z0xhMjf=usSuo0A$s9>`e78h&QSj1;$>Pbs~1NF&nR2NQAI`lvSuAs3Q88$7C@G)^B z$RXZnEBQ<*QJOzJrE2g?`t{=7loCx33{$5#I>*?t9 z@(qmEN|P(c9#Q)3Cr+8sd}33Xo0xl-PSzs)d`1_%tIN3KhjMSvdR1Ph`yUM_TebJ5 zH_-&cbgXdAd1c%C(T0?dl*SDnuHLr?MAOlZ0>oOGtv6d;_(qlKJY1ZU=Xl)Sg@1d; zu#!)|g!RxzGA*YOvus}sYs<{;%&R>n>9Mjkteysa;60OJvLB92DOBh6nN5i2(IXyS z`bF2@EeHf=fyA~i)C(XS8l8}E*@+>iZWsr8uBxCRdbGR@_7=%W^rpM(wa5eZ>OQ05 zl9JdyBXGp3;t8+g$Z&V1B9~&`xR6ZVay*G)evWAI?2hqLCP$uUq6Tts z@lf%|{vl{RVfFrHMZ@R**XOSI@21n?=wxQtmu;4;`t5}&TNh#zotB4(z;PHm_Mo0C z<^7@YZ|N~}{W&fDk%*Xs$J{tsoGn*(dbJibhYa9?0zuA5LX; z9pFKFp@9Fyd+HpYu)mlqjVgxp>mUY%5wGm{KLkcOE6~9P#pTmzGGxOC=iR%vvM^;7 zE!<~L>)lURn`XLgYy}vL9v;1fgNHeD2I$1;(rHLvp($OGSTA zVXJX2{e|&Q=#8Tj>vD#rq)V3EBe7At0a6&C%K0JqwMMm>f`o(w{-w5t#&ZD=5Fg^= zFhTMe%ukL_PWevbsvsy>sar7dL+WAnpM;H+h|&T!ck=~1e{UAzrw?%1m(NFL6r(*B zuOK0p-DNlWblm6~wG6>TylO*-6j1U(uFVLr_pr$UcmeL*UDg(L*pybZrvUntN=ob^n$xzT{{Iv=u!!lOjhU!IZ z%Lg-tD5n(Bzo#c)L!f`&5UfJSTyW10mC9fD(~^m6F%D3LTf)@&jZH?z-I@A zNdS@i0G4#>d2)LC!`ZMPh!X%s9X3n{1>&D$9BK$3syDvL9N5hxR6m_u2|Zl-l3GS= zYCMC#>z4#fXdM)ID)MU@MsN&2R2p_S|6 zfZ7G__w+~T*2^d^GiAx3K*b9WADIGNDn+J{D*;Mn9wew-5sZs%4@8eaoxe`aImu<%I z(x@S>O?zZmriC2on$&NYdfi*(nr=UtM1V*!5aTtdzSw{+T%S>k`-S^%Q32?AIsrgk z(_YA@q#DcVL(r-Oy96kT#Y-M&^n9?6$og1SW=J#qDIDMQCsvRv=e&GHQu03GCb{4j ze^E&?chp=$7y0)fw#WT99>%mw7e`P!AK36@+T(`3bssx;A97xtz6G{<)>6xL!a9j z)mUb{-Hpc-4DT*Ki>gyiXH|con@1Kx1>SE!2nm3KJixdBnZ$`XqBp@Bpz-AMbhiw2 zlf;OEkXXi;3e@M)#oJc*dgfA~o81cvtWT@Gi|&^!b*s&7Tizvq44nzr>)cRbLi6FIXTc1M@a*^-;Z1Z>mZYnj(5kaqTjjEA)*Iq%}#lfLXy#}LIP946ND zw5IdDEhX`QQG)`VhC@7w7@&D++j5Z*64C<}Wt;IpLPF*M%b%mRr$;bJop#2I=c>)Y zQIzDw9|bg&bRIB2EaB{;Og(XBlrY%bG(a12m>yW(dm(S2|M+Z#T{N+DLl6j_1?hRy zWRD^XT__q?YJ0fp8JmU;@z}lKsgbWW>^gLu)bL1T>~lqiM;>t(b%q9G8!BQCtk2G=^X>6y`P3DB zUq&5yDPVBHbT7y&YRi+tC{PH+VA5ubkg!~h@BhX}1B(8EZEa6!+>U@~w&b>z4v?mx z!Q=>l=msY;Q{{$lHi3T*@YQ>cph4vd6a;oQHiE9kYk>+xVto9w{?hX@T4=z4@VFlG z0Z~1m#$Hju0<`cfUrR>CQ55rjTb`=OH9f!)8jEAzkoYd1x0xUQa>@YQ{o!>A;{pY8 zG70fHUY`9gPI!=)A^wY+&|%7GZ3onTZW+e~w&HCW7R{;uuHv(|4wz4q`9j!yC+!i+ zshZjH`GR1{pqQ4PsnXZ#47MXv+i}Ud^L)4Ec0QqAWKT2Eu?n0R9*#Che`QodMND&V?bR zcZ?ucoSt@V_k{w`Yak2)wyFy*hezK{<5;74>6nf!Sg4fjHb=u97wr* z9IoDT8_!4-L1UI$d!^t`ESbk{O1Qa45A}ZKP1$4icZyzffFLfm#vo zZ;O3VPN2%>0ZIu)$~U6_sSeU^1fx4ILVy96y+Mph%wSN;%aC^B1p2VZn3 zNcM3QiYDCwSCn$$;S4pXidsHbi;ei9O}QT0Tuqs|G?ka_cOz}TeH*oek<)X%<+7KF z4r{Bg_&$G%9{g~JN~wT+%j7j{_*mDhK`E}79Rn+R+rUH103X`g#WHo8U}}ih^0=afPIL~eS`do`n7j7ZNCYk9A_#13u)vX z%t9~zChH#XI8H~;*}VpmTGd{gqky(+jyAi|WfL=UxP!_F=Fuh&ZOI^0AxotndIpYJ z`E{$U_01LE+sV@=Mak^TuZJ;N!|NImI4~JFFeK(FWW>!9%IKk;!~Z@@e(GJ14~!O@ zf1!nhRFOAi!L-fvd29eOwg6S;{G^1M&hR0N4lm-4FU;tJf{;fRV_26f-MFa7$(`e{L=4Hu_s zD6zr5=jX0F|68W6cpIH=5qSq0{lp=!OQ!kSDRu<@mO6-4!8VMk zxVN1c6`w1e$s72EPyzp-+Mo*|UXmTC`SMcf46Zxeto#Z%J3AX68-srF0{CV~DAcRG z1$B|;eN8QO0O%56Y6Hj99;}x@ zJ0Lsgj*9%8<*!>SI5ok1hkzbg>fmo{HAh?i+M?Q=>TdnUi-=xpi4_e;L+g(k#yYVo zN)i+31U-|})6)|ZEUyiZ)HOj|1O(s#*&xBVoQN%18XRDapHB=dEQ-|`Uin$la8=sO z){e`{mGNB$f|OFbZMD7I4E!WYDNG z8zqC#(9zAcc(?+@8e*oVd0ET}-*DCbpv@1B4ApIIX)zz~hgpjbS5{G%Q)P{dY;0hk z+pid%%<+_t;@yXNk3mKtavd7OufdQ25Vd6hdHFjK9we#&=aa7`_@e!X2IDRZ2X-)$ zWe22uqJ}G?X%&zhZgBKGNwLF1knpkb@QRh`%u{U4%xD-GoE#m27uyR6DxTC&xwKxI zEZ93Y4=ydf!8{LFE1t2spJIjg*m(?~S{*K`1Q<cVm5hwcTj*CSxwZZ33NPploR~MR9*KEokj+{70a6x}RQCDo+sr z9OXsA?+m)?>3hC1YgZg*ZF5LdDVdmT0tw$|2Xd$Fkscxs1`ZDF_gBLSKTYcjJE$}2 z-IknJi5`=I{*H#mEE24xg~dBoR)A4@7VU?}paSL{R16G1z}^hRqPe*nZUW%_tc&Rx zi){4y7uk`4b$n%hc5`)^g0I$w4o~_}M+Z3&(yOnZ0T4U^P+*j;m^cfTB*6+?NRAtW zHbwh33H<@pfsod`6&Dp|isFqWjI2m6dv4MzKa6ja&7;D-)q&EIs3dmSztriI@O`&@ z717?YlSZRbc#nYz;2I3dtSIRKMGZjDIvy0jzPMp4!%~G>s}>BJu~cez5D}Y-iVA=e zK0Dii!~{y<+B5(O--hp@ADlWVqC$;golv8!0L}loS?h+0L-t;HGXb}b1vCA zIDn~i1Ix4`f&NVrsW{QSc$Z?0Q}v6dj_l`pV6GN=T`s?p-lD#DA>7Y~oF zxkwbIOqeFOD+ddUeEBpd2ZtsAs;=wk|5!(mbqsu=-haLD(<)7G?`f~fb95@dlwLyv zWwsE7;t)YVu+)5@D!&oWE%FyxIzr-WA-L>$5Ama9RQDucs0gSDRtxo&0E+?d-WMB! zLVUQgQUP#_mh-6SK~pkEzyp}k>g?)!R$kvwFpUQ!C%(Ki(hZxz$M zRN=}~e2SOtqp5=v_Ksr|u;8nS9;}N}(1Gvx)aoDN8+u9<(8VLx{s(m5AD^2XD16=b_Xfac%|Y~BHB2z<1h6G+-E%b_~jkv@uKz^0bYt*o!J z0fQf2HnR2G_K3w8rU&oG&N4=(oH~R@02zt{^3Dqn=)sVO#k94RWBSysOgd6y!u~yhn9|iCaaTCV2-mDqYYk zd`%qq5foTVv9MU2Xc*KXJcO7yPhJZ~{wa9!Mf*6jGEs_&BTMA47ni*nkCXkZf1@7d z3WCk%PC}BPJ2q`WOH!XWRz!lC;b2I60775L@*k@$Yg zYVnjv{(AW>*NEF{3Vz89#uEm(@H~!1JShXTusa-s9`e&IjDGl~ujX=BoC&f~-@zdYeA$nH?pP2v|H zn-bA6n2SgRELt)ev}^}*!cKWLIyMe?9&RBBggObSeTSwL@l&(OEP7~6mYG@c)-1lO zIH>jgD<_Z!?vr!kWcUz`jX@x~?(mNfwp^~rt=G^oG5Wt@3QL11rQTEclYZDI-1d@& zC{ZT5#%#T;I#nA-hK9gM5emH%?lT|S2=2!c>P?dnL&^C=@dk-+OTKOb!;?Q zdB?0=zA7h)wo#<1kcRf9%*#Jr<9t|jC+8T&G^o3QuL9aNuZ#JX7)bfC>dGe@FS)~<@9--(F)(xQ|68j|fh$ZrshE$q$1e8?2~wZI5n z8q_bg>7J_?aI>%-?cZ=&JUDude2CN7;9sExe+YSxnx(~A-)M{7hz|`5EymULm0wAu zc(ceChSV|p0mGaLzmrGOMV3a>gu%k*K=dXuuJb(FWju+MQzOC#>UZWT9!1yznS&w( zvigSppzM29okrDk@{o#OaY*5rk%TWXC9NsM_dGjJl*6)gq!5cTBJtG?`d?KW$4iz~ zH!geVT3gr#f_#~uu556mssGx!QCKsxot)?8^8nB-IB&Mjo(U=#8^XblIrj^j; zz#j-~`ch|9xJtv3YW;d{8b^68uo` z**VXor0GZrxZj+4H7>=Pc;8>fp2%8t%`Ug4UOe7Ab&)gwNq*R^S8uZ3rc6TGjBT59 z>9weB6koBZUyv~g3$w13G?9{>HAwQPa65}1n@VOSfy+`9N@QYjdq+VDe$A4f`QKL_ z;XPLa0!MUE`;0`=v#*U@%$3AyDk+W>W%3lVN9K7Mva|nG?7wY#J-EL(Fk`&pV!rYo z;=9zc+CvP$EMLA4Y~R9VkIC-)#| zK+Nr&C1>mJv*UjHlk8a2WirJ7F6s}rf@Oi7h=auMaTmP#46`dPj}SYlva;jrHSj|M zXSe?*H%~|t&+`=C^B9VYN4Q*fq)qTO0UW@6AY*20wg2Di(^o5Qm6S6}E;r}N{)!pO zUK*mj(JyEYi{qvfyVuXG98E`s>FoL7$=7^=Ju%$@-n=vY{tQ%!S_g()``@n12$cU_ z&H(HIq)uvZ4Y8)aCC#gyo7TxlIlgu%)M#Zyk%#SOf!(5JW{`kiOW7n7(1XVFc~aRw zUPlo4aXY@J3Xl`KI zh|Km#OOkZHf2j3T8l1}`GjI@8BINRBXfW=Q2w(m^NmG#Vd{e-4-=B1XCoaI!X?F!) zA%fIBy-cYMo)tPM>WwQk*7RL;C3`U(PIAi&k;|~H9qIVKupPJb2owMI+1(5r9Y1>{RufXyP< zeZ*y7l}-(jk~-m3Sj`9j>;4C#S{ULm3v1$8Eg}fsLo$7kVW1QgqGF&Io4`$PUpx;# z_WymG!i9Oju~CGK^r+g)>ODj{9YLu8df@l30Pxbl6_&kVmpz|T8Fxx3DVdjQYFdHe zBQ@#?8*aLu1rAux)3=Nqz-YkJD?SG{pf?aM`ke%h(OhmkD($u7X8W%Ic@6lshgVAZ zz5uDa)p31t+>JjKfs>sId_1&&nhb6XByvYI$@~n%S)I52HHqbY4Hb<1G9B5#K!1)+ zy#}Fwz#4l$;Keik2Xp}K33|>v4Gn?xL0+Fxl`9vgkV2d^6{t8}BCiWXMMqZxMdrZ3 zK<|g!k0K(#$_ zoDB*#Al7;YFboccH_l@BUa8lX78e6Il)>^YgG$X(n;V}5SoHrb*p+Z&jix#qUiGad zQ@f`!_m0r&!PFtUPYE-_s0)e%CvaZoQ*xaOwm$Y35clO7i65!K7YIy-P$3cxI8K8aI!(CfuXITtiHQ!LZ z#sj$dj&?u0pANqn88xbMu}VifWXPg(^YUtT-{JOP>$25{!XI0hrb;FU)DncF`e1 z+&6U+whRNR%F4%lC=h^v0fP+cB~Ml^H}62aCza6N=v-k#Sl{q#EfTyoRDy*Zg$%FD zS&q=lU%+(2ow#NB5b;$&c7A@hJ_}8Je*_^fkeJ})4ayIM+?nTn-f0De49FjI?)3g29@=u!x_MC^l3{v^$xkm{#5`-8fSQ; z65bKsqKQk+nLDJO*Mj07a3f_K9@qt|!p`nkM#_kZ>3B>E{6r(zo)8^P3itaU@#?ewzczVO@Q(|<=G%`4U%D2x(p~LkHj?LkZXK*` zt^ebu>byB;V)sEI}z|cX~p`YlmDcu(MT2 zWnMPPP#bZ0(lzD&^emmwa@hKAGi*ZT_|pPxGIoEJ|@Exm^g?ms;AN{K!F$rJWK zcCS{06)eScWOGUsuasWh&WfvIFy!FGv*_Qm*j+`IdY1+J9-ueO%*;v>-oilqed932 zCH!n#{haso*F@FZh_IOg&Bs^v%1dz=ggFaTO90^$n6KNmVJz||SdSvvJ%Q5iBpVj- z{Qce75oadH?pouk_Ft4wkDu;}zT*p|owc#{Z)ZGsh<5lz7BGvOdaXSWO8jPQ6#K?y zIWT5SKaPM&c-zGr|JdN!75s36)c&)3?5CF658X`fqVv<}_~rYnI)a|KR*CE?|8T({ zJb$(qF(nHCwL|dnZ)Q_%Ugp5i%)@-n(?QXc^G-VEzMb3MZXW&E&#<%MeXSRT z*7AkCjt(8W@jhux=AJZE93*=rxkG-mULMr+4r-qFqtt>&1LcOtKmUaI{qt#S+1set zaCpOwE*kDXp`1liSZ+JNjcS3GlAouzLs<9JTHIj8>rA&l`y!U=SCJA}4)u+{<7k1$ zmM?buY}45*8#rB}%*W@<)j)h~NJ-D6j!kc)xU0^rPr zWq`_?#E1KM^t4ZiA zC`5U)8NE$;znBJzGM_+5Au00leBGmwBFU67{C4-%^-f;3@+RTv;3B`rU4vx`oHU?X zZI5fLz1QfW1>_zy|)b*wAG$IGTC zdW)H$?+Q#DL|Crk>_v&=mt3+UVd>Ra zZIB8XyqT$h!e;Q@wJ0ox4u$EC4B5&ESU2(z3m)NbuR75A*L{-m99-4QKU#Sum&taU zOcbmX^C5Lw94=_6<09AvhIEXpi3dT$>O*Way9)LO4pfw4o|u)eYb3C%&}NWRtup0g z)J{{~-{0EKxwrhJ>F?r%6t22%Y)Z(TK0Yu#9F^)^B!M?Yp7))DykiO{4AuQ}%O&3Z zJu4e?3Lz?FSO77W%1;)g5U7o?Ah;C!4?!aj(|N(T++^K7F7=Ube4F5LVj{H9TWGSQ zr`!8-BhK~qr9FfULPC@5ahjC;{jBZjZ&&5r?M0-193(t$fxT+0O_zgdmcwT~i!NT7 zI3|XNrmJl!&E9H*lNd|Xf{TP8t)uDcBHl);Z7=NPtT^sai179sQ7j%4Pm=kOr5GH) z$mYqx&a>VuX#$2dXtRRsheLmf+!=}3Au5Swjny08ol0EGopStPzI?^^M zNOw6NQYT{x@5%YsM-@W(5sTGjA!3mvddzCDq2nvFh%e480pHnR+h~keR0cI}fq(VH zbZuk-t>5Dy>AWd51KXFn~ zkqHhiClQQvMP}$lY5bmi7kHI8UV$?7y z<^UJ=3-d=|+#>>jcR3(H-&(jI6GAJxYB;0_?PjJLJ-Us6lgz&e7e8$|%`2Dd1==7y z%Pi@(Gs}#|OP@54_T*HXUtJ9a;;C4^~bL!f%t0t+$V$*zIr`SYyH2}`vOjKmtfB8y5gr>o6YULR8XDR zb^GXxHU4$Br6iI-B2@KSYpv@_0^!0zW2Q_FdwtLQqz0`j`afzB@iuO*oeMGz@!gxu zVbg76bz%lU#UB)PV+Bo9ZELf5P0NO_m#d-lh+8hR@;H2khsy<9x7mCf$UM##-kzMi zpqAB&K(QsAC#Okv6c1T{V@gN=UG!L1@~czN4@A;)NHRNOY*}sK1yi7WswG{UJ_7D# ze}xzZA0db@?M{1&j-IOedhPNLn1W-EgkeUR%&ljD; z$%$KPx0(kXsl6K2ct6qj_KvcyjutVePL#eeD3Hr2WZt?uT1-hG z*qUaAxc&vY%ucN8&+XTMiCJE*b{%qz=sYbG{yEd%=-mp`(V>sGm#aFK{J;Mi@N_zZ zLgczWh^7>?vN&VEXV?aLjS{?HS18&gHm!Bf`gU)RlC7yh5`Sc!lTE1Qz(dVc8wa?c3|}5@>Ze9LWj9B^LajiraG_?5dBNJX?0;;{j+d|K!fZk1>w(~PYw{jO`he?Z?w>uaK{21A& zX!VN>;5!3}wEvAUM#gs^E&>09P;&@sA9<#R!zR2cD&#$dlBD@x6LTEeNhf!E>jaY4 z%Pe(#mX!@Xcfae*1GFkazoP#8wfQF|J_f?m!&D`ny%QyA@YQu8KD2;1AoSG;SrP3c z$Cg^IdYLllO2$i4Vl1-lKtudVXhOAVTMo}i{c}ao8-)Fd@oKa{;0z`!$T?ZxyuOUc za|TFcfXML#{;Ryz7~fP9FB!ZoH|LL-c^8cgxE9JmeQ6~9A5uNo@r;TZIpbz8*fWWM_jF)-|2)Dea>BeJM5E?`O%Y2!dH4QFgI6&B?|#!<&-;&`SW;0Ys0OTd)5n zvzpqB_G`QEM*6hfA9C)jEdLA`%ZCC+D223`^dxsX-P>lr+iA|=q`jtmV=X1By{AK@VWTQjX|8S5=g z1t6CmDHx@jbys!E-c2Ac0X@+DXDO^cc!S7J1tkwyF5~i)tL0d0bZB8f4kG^7hwn6~ z1SUeDxdgsh)1!<84n}Cf!X4boLF;tB6$h$C_~w3|22f23A@(*4Z?*(jC0AE6i6x`5 zp2<2z$79fRDp4(s8Q1{Kal1t<3GEJykU-Z;OyZV_zi5LdtUdPEHXr|2py$s8jW9{c ze&GGa0o0p|FC?JhXtpH4hJuCM}=SlffG*dU{Ks;t3$AJUq9HuFD6rKMTeX zFit^wqmu^IZmP}4ZEj1uySo93CY|3ywN&+LZ~ADEa~ZUf_&l#GfUOKr{b>nwU;X{E zL7NB{w@9(*Fpn5apetsuy|{mt$Q#T3_fbtZewU04fPetM1ALe6?(Vc`Ih>x};e`W* zc7T2H1`bY2N=kroeF#L$&4F6lJTd^bgZ?Bimdck-1~TN6o}g_Q0x;Ib#wUC}t6}%+7G0kXAz*`zgwFx^ zUG??#3m@wene_w!jQ~Jukodut=w7(u4#)z5bLbxx5Q6@;N;QH7g#N(F?qFvJhk#&V zX2u2DO^eQ2079UrcXV)2I%;;vE&BYl^v|0qT((vY4ILR789Vz05HmA+v$<>UkKU(( zm#)f)vwX-*+hY&xEkHQ|n88k=V1q5@F6itva1O|xAGN3ffPH$kvi<3DJwP85kAWc( zVX9m+Wqji^kMUCIIs2U|)dF2IK7M{{OeXMuT;Tr|u|^IGCwBqE2#n|xKuv+c;Tx`7 zXUN#Hw6p|psp-bX#)%1K01vS234Pur|5uxQ0fgtFUcAaJD0l+uia_1nxXf2#w-&n2&=7W&XFK`K<5cWf{Xmcn%H7$)Hao_--yDHDa zBP7zIgax$`=jw=g*9G~%n*T>|cMc9!z*{5dV;Hd4s0DBiKp6lNPh6Y`CL@X~4Tvd% zX1rpN(@2&O5Hx^?gUd3%=M4jI?-^(W_Ph#XDNRr*o2%&P2#JI^S#%(44FQBiY28u^ zkFy2v1aon50lwOf<~X*+i7>CjzkWRhT4`5TuJ-o7zJUNE3J4rsB4>O3YD0k2NFH@&6gq=6U&sDw3xlEsM1P^@dCSZ|MhGDVO*lPzK8d; zo+XB*vS5?bM$eRIO|0T1NjTB6 zQ!+I*=s@}+lIvhP`HzbCHNy=9I~8bSQO3cs^NcNjDU|uu?EN^Ep@sbT;uPO7+zGG~ z4d?z9X2{cwE_p>w=AUb|4}rY$kq{A5CIhGYbLSa!biJVI-ylJkkYLiWY-unZ)@XB) z9DjR%t>D*TOqdheC@9mUrv7>(moAjz9m5u~a1Zf>?*2uKxI{Q2+rFt}1~%?A+rjLR zM0oBLgiUr7&Kxn%@;66LV9nJg-d)*Z;lnV8#^$j^qKn`WS5?Zs{?{oK88T+0TKT z6R7O4$!871hFEVLXM_c_SD=r$v>bp5iv_|0kMCUFsK>L&;3{co zzABHrKGuJSz=u*d-x!DWEu17G`=X5Ofi3xC*VMYh$N5<$#6OMG#&0>A=)f#5{kL_K z8mUn1VA6-;52$zW65f{fX3+1ug!E*MR^YLR=8w1b$%%dK<_IWnwbDM#zMa>OAAIofxEjZwbbe(AR>hOfH$v7V zb8#!UC$av8=)o85|Y#(K5WsLit*qitS>lT<>p^576qxaW8XTR(`?YES^(# zG0TD3uZL2a*SBJ2b2}RFFsED-1%`n}l+`nr6i90Aa-8xR#zuE_7=i`I}=~Sit z)~a}OcsJWsmvY3f#m}ZY=i2Zv+UdT>oL=(uN7Hcfc6eafgHh|o^32vp`q8n%@#4Ep z(?!=&S;#Qep=?VgCQo`--VZ)qubm*_ddI8&hML*B`C*@eq_RM3!!E)ey6@(u^FdaJ za;}0m#%@kCUhI&^@@*!_mn;_f8OIz&I_$jwaKug}S`Nw83e&pXPPQIB)lamccbgtD{i(%K>;K--Am9dRm2ic657V`JI+MCl z$xKY$*S@+t5YqO!zK-qoR84T9W$|&vp;nVg;A&pcu;Oe5hYoQdAIuL$g0MZn#DU&UGyt%^9j6FXP z2lgPb`yGNmyy{Qfz%<-j8^|+_*l2lqTtBV@sUYnt(A)m8uwBNu(E@j+>bVQsHHve# z1pRqohY2zhHI{)R<w6;0$}riE#G_tXqC77l+ivD5L|k@Ry5(Cfy{aQRBT8dz)@*nMa+yHrCpto1TN_yQ z@&PCyFhms<6(viDhMYG88t2^|5KdeQvwFGsvV}@!9PoHPpWWTwf@+0ksctzZUifEN zD9HKit7zE&-{_n^f#GQ<&!0c=e*73i5!q=p{cqG9TELio?zFl!E}MzfdDe9LZ%`LL z6pYXQP#1h%>yXfA0%AR=t6tm76n9L8~n&_vyU9R>pLuOG`vA@7GZb#-<1 z^p@ikmRO-sOaPAl!rklBr%%?_j7Q+BiI5r*KeJHFWh}J$JOS|dnJx^3qzvR4pkfa` zY>V4oZ)2DRabB-@1Cs!Tfo3NNcuSKO#u^F6vw%JKYW%- result_$1.coe << EOF +memory_initialization_radix = 16; +memory_initialization_vector = +EOF +xxd -c 4 -p $1.bin >> result_$1.coe +mipsel-linux-gnu-objdump -d $1.elf diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/ebaz4205.xdc" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/ebaz4205.xdc" new file mode 100644 index 0000000..745b2b7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/ebaz4205.xdc" @@ -0,0 +1,56 @@ +#set_property IOSTANDARD LVCMOS33 [get_ports led_g] +#set_property IOSTANDARD LVCMOS33 [get_ports led_r] +set_property IOSTANDARD LVCMOS33 [get_ports {leddata[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {leddata[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports uart_rx] +set_property IOSTANDARD LVCMOS33 [get_ports uart_tx] +set_property IOSTANDARD LVCMOS33 [get_ports {btndata[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {btndata[1]}] + +#set_property PACKAGE_PIN W13 [get_ports led_g] +#set_property PACKAGE_PIN W14 [get_ports led_r] +# G20 J18 for 5 6 +# DATA2-15 +set_property PACKAGE_PIN L16 [get_ports {leddata[0]}] +# DATA2-16 +set_property PACKAGE_PIN L19 [get_ports {leddata[1]}] +# DATA2-17 +set_property PACKAGE_PIN M18 [get_ports uart_rx] +# DATA2-18 +set_property PACKAGE_PIN L20 [get_ports uart_tx] +# DATA2-19 +set_property PACKAGE_PIN M20 [get_ports {btndata[0]}] +# DATA2-20 +set_property PACKAGE_PIN L17 [get_ports {btndata[1]}] + +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_GMII_RX_CLK_0] +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_GMII_TX_CLK_0] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {ENET0_GMII_TX_EN_0[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_GMII_RX_DV_0] + +set_property IOSTANDARD LVCMOS33 [get_ports MDIO_ETHERNET_0_0_mdc] +set_property IOSTANDARD LVCMOS33 [get_ports MDIO_ETHERNET_0_0_mdio_io] + +set_property PACKAGE_PIN U14 [get_ports ENET0_GMII_RX_CLK_0] +set_property PACKAGE_PIN U15 [get_ports ENET0_GMII_TX_CLK_0] +set_property PACKAGE_PIN Y17 [get_ports {enet0_gmii_rxd[3]}] +set_property PACKAGE_PIN V17 [get_ports {enet0_gmii_rxd[2]}] +set_property PACKAGE_PIN V16 [get_ports {enet0_gmii_rxd[1]}] +set_property PACKAGE_PIN Y16 [get_ports {enet0_gmii_rxd[0]}] +set_property PACKAGE_PIN W19 [get_ports {ENET0_GMII_TX_EN_0[0]}] +set_property PACKAGE_PIN W16 [get_ports ENET0_GMII_RX_DV_0] +set_property PACKAGE_PIN Y19 [get_ports {enet0_gmii_txd[3]}] +set_property PACKAGE_PIN V18 [get_ports {enet0_gmii_txd[2]}] +set_property PACKAGE_PIN Y18 [get_ports {enet0_gmii_txd[1]}] +set_property PACKAGE_PIN W18 [get_ports {enet0_gmii_txd[0]}] + +set_property PACKAGE_PIN W15 [get_ports MDIO_ETHERNET_0_0_mdc] +set_property PACKAGE_PIN Y14 [get_ports MDIO_ETHERNET_0_0_mdio_io] diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/echo.asm" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/echo.asm" new file mode 100644 index 0000000..f656d71 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/echo.asm" @@ -0,0 +1,43 @@ +.section .text.boot + +_start: + addi $a0, $zero, 0 + jal led_ctrl + addi $a0, $zero, 'O' + jal uart_putchar + addi $a0, $zero, 'K' + jal uart_putchar + lw $s0, mem_addr +_input: + addi $a0, $zero, '\r' + jal uart_putchar + addi $a0, $zero, '\n' + jal uart_putchar + addi $s1, $zero, 0 # loop variable +_loop_input: + jal uart_getchar + add $t0, $s0, $s1 + sw $v0, 0($t0) + addi $t0, $zero, '\r' + beq $v0, $t0, _print + addi $a0, $zero, '.' + jal uart_putchar + addi $s1, $s1, 4 + j _loop_input +_print: + addi $a0, $zero, '\r' + jal uart_putchar + addi $a0, $zero, '\n' + jal uart_putchar + addi $s1, $zero, 0 +_loop_print: + add $t0, $s0, $s1 + lw $a0, 0($t0) + jal uart_putchar + addi $t0, $zero, '\r' + beq $a0, $t0, _input + addi $s1, $s1, 4 + j _loop_print + +.text + mem_addr: .word 0x10000000 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/component.xml" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/component.xml" new file mode 100644 index 0000000..419f413 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/component.xml" @@ -0,0 +1,500 @@ + + + user.org + user + bd_main + 1.0 + + + CLK.SYSCLK + + + + + + + CLK + + + sysclk + + + + + + FREQ_HZ + 125000000 + + + PHASE + 0.000 + + + + + + + + xilinx_anylanguagesynthesis + Synthesis + :vivado.xilinx.com:synthesis + Verilog + bd_main + + xilinx_anylanguagesynthesis_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + xilinx_anylanguagesynthesis_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + xilinx_anylanguagesynthesis_view_fileset + + + + viewChecksum + 1446af2b + + + + + xilinx_anylanguagebehavioralsimulation + Simulation + :vivado.xilinx.com:simulation + Verilog + bd_main + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + xilinx_anylanguagebehavioralsimulation_view_fileset + + + + viewChecksum + 0903a133 + + + + + xilinx_xpgui + UI Layout + :vivado.xilinx.com:xgui.ui + + xilinx_xpgui_view_fileset + + + + viewChecksum + f92e9879 + + + + + + + btndata + + in + + 1 + 0 + + + + std_logic_vector + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + leddata + + out + + 1 + 0 + + + + std_logic_vector + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + sysclk + + in + + + std_logic + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + uart_rx + + in + + + std_logic + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + uart_tx + + out + + + std_logic + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + + + + xilinx_anylanguagesynthesis_view_fileset + + src/bd_main_cpu_multi_cycle_0_0.v + verilogSource + IMPORTED_FILE + + + src/bd_main_gpio_0_0.v + verilogSource + IMPORTED_FILE + + + src/bd_main_mmapper_0_0.v + verilogSource + IMPORTED_FILE + + + src/result_echo.coe + coe + IMPORTED_FILE + + + src/bd_main_uart_0_0.v + verilogSource + IMPORTED_FILE + + + src/bd_main_debounce_0_0.v + verilogSource + IMPORTED_FILE + + + src/alu.v + verilogSource + IMPORTED_FILE + + + src/cpu_control_unit.v + verilogSource + IMPORTED_FILE + + + src/register_file.v + verilogSource + IMPORTED_FILE + + + src/cpu-multi-cycle.v + verilogSource + IMPORTED_FILE + + + src/gpio.v + verilogSource + IMPORTED_FILE + + + src/mmapper.v + verilogSource + IMPORTED_FILE + + + src/bd_main_dist_mem_gen_0_0/bd_main_dist_mem_gen_0_0.xci + xci + IMPORTED_FILE + CELL_NAME_bootrom + + processing_order + early + + + + src/bd_main_dist_mem_gen_0_1/bd_main_dist_mem_gen_0_1.xci + xci + IMPORTED_FILE + CELL_NAME_main_memory + + processing_order + early + + + + src/clock_div_pulse.v + verilogSource + IMPORTED_FILE + + + src/uart.v + verilogSource + IMPORTED_FILE + + + src/debounce.v + verilogSource + IMPORTED_FILE + + + src/bd_main_xlslice_0_0/bd_main_xlslice_0_0.xci + xci + IMPORTED_FILE + CELL_NAME_xlslice_0 + + processing_order + early + + + + src/bd_main_ooc.xdc + xdc + IMPORTED_FILE + SCOPED_TO_REF_bd_main + USED_IN_out_of_context + + + src/bd_main.v + verilogSource + CHECKSUM_ab2d59ad + IMPORTED_FILE + + + + xilinx_anylanguagesynthesis_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagesynthesis_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagebehavioralsimulation_view_fileset + + sim/bd_main_cpu_multi_cycle_0_0.v + verilogSource + IMPORTED_FILE + + + sim/bd_main_gpio_0_0.v + verilogSource + IMPORTED_FILE + + + sim/bd_main_mmapper_0_0.v + verilogSource + IMPORTED_FILE + + + src/result_echo.coe + coe + IMPORTED_FILE + + + sim/bd_main_uart_0_0.v + verilogSource + IMPORTED_FILE + + + sim/bd_main_debounce_0_0.v + verilogSource + IMPORTED_FILE + + + src/alu.v + verilogSource + IMPORTED_FILE + + + src/cpu_control_unit.v + verilogSource + IMPORTED_FILE + + + src/register_file.v + verilogSource + IMPORTED_FILE + + + src/cpu-multi-cycle.v + verilogSource + IMPORTED_FILE + + + src/gpio.v + verilogSource + IMPORTED_FILE + + + src/mmapper.v + verilogSource + IMPORTED_FILE + + + src/bd_main_dist_mem_gen_0_0/bd_main_dist_mem_gen_0_0.xci + xci + IMPORTED_FILE + CELL_NAME_bootrom + + processing_order + early + + + + src/bd_main_dist_mem_gen_0_1/bd_main_dist_mem_gen_0_1.xci + xci + IMPORTED_FILE + CELL_NAME_main_memory + + processing_order + early + + + + src/clock_div_pulse.v + verilogSource + IMPORTED_FILE + + + src/uart.v + verilogSource + IMPORTED_FILE + + + src/debounce.v + verilogSource + IMPORTED_FILE + + + src/bd_main_xlslice_0_0/bd_main_xlslice_0_0.xci + xci + IMPORTED_FILE + CELL_NAME_xlslice_0 + + processing_order + early + + + + sim/bd_main.protoinst + unknown + IMPORTED_FILE + + + sim/bd_main.v + verilogSource + IMPORTED_FILE + + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + + + + + + + + xilinx_xpgui_view_fileset + + xgui/bd_main_v1_0.tcl + tclSource + CHECKSUM_f92e9879 + XGUI_VERSION_2 + + + + bd_main_v1_0 + + + Component_Name + bd_main_v1_0 + + + + + + zynq + + + /UserIP + + bd_main_v1_0 + IPI + 2 + 2020-07-10T08:28:05Z + + /home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/ip + /home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/ip + /home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/ip + /home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/ip + /home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/ip + + + + + + + 2019.1 + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/alu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/alu.v" new file mode 100755 index 0000000..af53a11 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/alu.v" @@ -0,0 +1,67 @@ +`timescale 1ns / 1ps +// ALU +// 2020 COD Lab1 +// ustcpetergu + +module alu + #(parameter WIDTH = 32) + ( + input [2:0]m, // selection + input [WIDTH-1:0]a, b, // input + output [WIDTH-1:0]y, // result + output zf, // zero flag + output cf, // carry out flag: WIDTH bit + output of // overflow flag: WIDTH-1 sign bit + ); + + reg [WIDTH-1:0]regy; + reg regcf; + reg regof; + reg regzf; + assign y = regy; + assign cf = regcf; + assign of = regof; + assign zf = regzf; + // assign zf = (regy == 0); + + always @ (a, b, m) begin + case(m) + 3'b000: begin // add + {regcf, regy} = a + b; + regof = (!a[WIDTH-1] & !b[WIDTH-1] & regy[WIDTH-1]) | + (a[WIDTH-1] & b[WIDTH-1] & !regy[WIDTH-1]); + regzf = (regy == 0); + end + 3'b001: begin // sub + {regcf, regy} = a - b; + regof = (!a[WIDTH-1] & b[WIDTH-1] & regy[WIDTH-1]) | + (a[WIDTH-1] & !b[WIDTH-1] & !regy[WIDTH-1]); + regzf = (regy == 0); + end + 3'b010: begin // and + regy = a & b; + regzf = (regy == 0); + regcf = 0; + regof = 0; + end + 3'b011: begin // or + regy = a | b; + regzf = (regy == 0); + regcf = 0; + regof = 0; + end + 3'b100: begin // xor + regy = a ^ b; + regzf = (regy == 0); + regcf = 0; + regof = 0; + end + default: begin // error + regy = 0; + regzf = 0; + regcf = 0; + regof = 0; + end + endcase + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main.v" new file mode 100755 index 0000000..4de7ac1 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main.v" @@ -0,0 +1,116 @@ +//Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2019.1 (lin64) Build 2552052 Fri May 24 14:47:09 MDT 2019 +//Date : Fri Jul 10 16:25:12 2020 +//Host : petergu-dell running 64-bit Arch Linux +//Command : generate_target bd_main.bd +//Design : bd_main +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CORE_GENERATION_INFO = "bd_main,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=bd_main,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=8,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=5,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "bd_main.hwdef" *) +module bd_main + (btndata, + leddata, + sysclk, + uart_rx, + uart_tx); + input [1:0]btndata; + output [1:0]leddata; + (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.SYSCLK CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.SYSCLK, CLK_DOMAIN bd_main_sysclk, FREQ_HZ 125000000, INSERT_VIP 0, PHASE 0.000" *) input sysclk; + input uart_rx; + output uart_tx; + + wire [31:0]bootrom_spo; + wire [1:0]btndata_1; + wire [31:0]cpu_multi_cycle_0_a; + wire [31:0]cpu_multi_cycle_0_d; + wire cpu_multi_cycle_0_we; + wire [1:0]debounce_0_o_state; + wire [1:0]gpio_0_led; + wire [31:0]gpio_0_spo; + wire [31:0]main_memory_spo; + wire [8:0]mmapper_0_bootm_a; + wire [1:0]mmapper_0_gpio_a; + wire [31:0]mmapper_0_gpio_d; + wire mmapper_0_gpio_we; + wire [8:0]mmapper_0_mainm_a; + wire [31:0]mmapper_0_mainm_d; + wire mmapper_0_mainm_we; + wire [31:0]mmapper_0_spo; + wire [2:0]mmapper_0_uart_a; + wire [31:0]mmapper_0_uart_d; + wire mmapper_0_uart_we; + wire rx_0_1; + wire sysclk_1; + wire [31:0]uart_0_spo; + wire uart_0_tx; + wire [0:0]xlslice_0_Dout; + + assign btndata_1 = btndata[1:0]; + assign leddata[1:0] = gpio_0_led; + assign rx_0_1 = uart_rx; + assign sysclk_1 = sysclk; + assign uart_tx = uart_0_tx; + bd_main_dist_mem_gen_0_0 bootrom + (.a(mmapper_0_bootm_a), + .spo(bootrom_spo)); + bd_main_cpu_multi_cycle_0_0 cpu_multi_cycle_0 + (.a(cpu_multi_cycle_0_a), + .clk(sysclk_1), + .d(cpu_multi_cycle_0_d), + .rst(xlslice_0_Dout), + .spo(mmapper_0_spo), + .we(cpu_multi_cycle_0_we)); + bd_main_debounce_0_0 debounce_0 + (.clk(sysclk_1), + .i_btn(btndata_1), + .o_state(debounce_0_o_state)); + bd_main_gpio_0_0 gpio_0 + (.a(mmapper_0_gpio_a), + .btn(debounce_0_o_state), + .clk(sysclk_1), + .d(mmapper_0_gpio_d), + .led(gpio_0_led), + .rst(xlslice_0_Dout), + .spo(gpio_0_spo), + .we(mmapper_0_gpio_we)); + bd_main_dist_mem_gen_0_1 main_memory + (.a(mmapper_0_mainm_a), + .clk(sysclk_1), + .d(mmapper_0_mainm_d), + .spo(main_memory_spo), + .we(mmapper_0_mainm_we)); + bd_main_mmapper_0_0 mmapper_0 + (.a(cpu_multi_cycle_0_a), + .bootm_a(mmapper_0_bootm_a), + .bootm_spo(bootrom_spo), + .d(cpu_multi_cycle_0_d), + .gpio_a(mmapper_0_gpio_a), + .gpio_d(mmapper_0_gpio_d), + .gpio_spo(gpio_0_spo), + .gpio_we(mmapper_0_gpio_we), + .mainm_a(mmapper_0_mainm_a), + .mainm_d(mmapper_0_mainm_d), + .mainm_spo(main_memory_spo), + .mainm_we(mmapper_0_mainm_we), + .spo(mmapper_0_spo), + .uart_a(mmapper_0_uart_a), + .uart_d(mmapper_0_uart_d), + .uart_spo(uart_0_spo), + .uart_we(mmapper_0_uart_we), + .we(cpu_multi_cycle_0_we)); + bd_main_uart_0_0 uart_0 + (.a(mmapper_0_uart_a), + .clk(sysclk_1), + .d(mmapper_0_uart_d), + .rst(xlslice_0_Dout), + .rx(rx_0_1), + .spo(uart_0_spo), + .tx(uart_0_tx), + .we(mmapper_0_uart_we)); + bd_main_xlslice_0_0 xlslice_0 + (.Din(debounce_0_o_state), + .Dout(xlslice_0_Dout)); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_cpu_multi_cycle_0_0.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_cpu_multi_cycle_0_0.v" new file mode 100755 index 0000000..5e81974 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_cpu_multi_cycle_0_0.v" @@ -0,0 +1,86 @@ +// (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:cpu_multi_cycle:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "cpu_multi_cycle,Vivado 2019.1" *) +(* CHECK_LICENSE_TYPE = "bd_main_cpu_multi_cycle_0_0,cpu_multi_cycle,{}" *) +(* CORE_GENERATION_INFO = "bd_main_cpu_multi_cycle_0_0,cpu_multi_cycle,{x_ipProduct=Vivado 2019.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=cpu_multi_cycle,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module bd_main_cpu_multi_cycle_0_0 ( + clk, + rst, + a, + d, + we, + spo +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET rst, FREQ_HZ 125000000, PHASE 0.000, CLK_DOMAIN bd_main_sysclk, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) +input wire clk; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME rst, POLARITY ACTIVE_LOW, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 rst RST" *) +input wire rst; +output wire [31 : 0] a; +output wire [31 : 0] d; +output wire we; +input wire [31 : 0] spo; + + cpu_multi_cycle inst ( + .clk(clk), + .rst(rst), + .a(a), + .d(d), + .we(we), + .spo(spo) + ); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_debounce_0_0.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_debounce_0_0.v" new file mode 100755 index 0000000..01563f7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_debounce_0_0.v" @@ -0,0 +1,77 @@ +// (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:debounce:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "debounce,Vivado 2019.1" *) +(* CHECK_LICENSE_TYPE = "bd_main_debounce_0_0,debounce,{}" *) +(* CORE_GENERATION_INFO = "bd_main_debounce_0_0,debounce,{x_ipProduct=Vivado 2019.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=debounce,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,N=2}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module bd_main_debounce_0_0 ( + clk, + i_btn, + o_state +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, FREQ_HZ 125000000, PHASE 0.000, CLK_DOMAIN bd_main_sysclk, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) +input wire clk; +input wire [1 : 0] i_btn; +output wire [1 : 0] o_state; + + debounce #( + .N(2) + ) inst ( + .clk(clk), + .i_btn(i_btn), + .o_state(o_state) + ); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_dist_mem_gen_0_0/bd_main_dist_mem_gen_0_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_dist_mem_gen_0_0/bd_main_dist_mem_gen_0_0.xci" new file mode 100755 index 0000000..3f7d6e1 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_dist_mem_gen_0_0/bd_main_dist_mem_gen_0_0.xci" @@ -0,0 +1,104 @@ + + + xilinx.com + xci + unknown + 1.0 + + + bd_main_dist_mem_gen_0_0 + + + 9 + 0 + 512 + ./ + zynq + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + bd_main_dist_mem_gen_0_0.mif + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 32 + bd_main_dist_mem_gen_0_0 + 0 + ce_overrides_sync_controls + ../result_echo.coe + false + false + 32 + 0 + 16 + 512 + non_registered + false + false + non_registered + rom + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + + + xc7z010 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 13 + TRUE + . + + ../../ipshared + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_dist_mem_gen_0_1/bd_main_dist_mem_gen_0_1.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_dist_mem_gen_0_1/bd_main_dist_mem_gen_0_1.xci" new file mode 100755 index 0000000..aeed882 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_dist_mem_gen_0_1/bd_main_dist_mem_gen_0_1.xci" @@ -0,0 +1,102 @@ + + + xilinx.com + xci + unknown + 1.0 + + + bd_main_dist_mem_gen_0_1 + + + 9 + 0 + 512 + ./ + zynq + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + no_coe_file_loaded + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 32 + bd_main_dist_mem_gen_0_1 + 0 + ce_overrides_sync_controls + no_coe_file_loaded + false + false + 32 + 0 + 16 + 512 + non_registered + false + false + non_registered + single_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + + + xc7z010 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 13 + TRUE + . + + ../../ipshared + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_gpio_0_0.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_gpio_0_0.v" new file mode 100755 index 0000000..547e727 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_gpio_0_0.v" @@ -0,0 +1,92 @@ +// (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:gpio:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "gpio,Vivado 2019.1" *) +(* CHECK_LICENSE_TYPE = "bd_main_gpio_0_0,gpio,{}" *) +(* CORE_GENERATION_INFO = "bd_main_gpio_0_0,gpio,{x_ipProduct=Vivado 2019.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=gpio,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module bd_main_gpio_0_0 ( + clk, + rst, + a, + d, + we, + spo, + btn, + led +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET rst, FREQ_HZ 125000000, PHASE 0.000, CLK_DOMAIN bd_main_sysclk, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) +input wire clk; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME rst, POLARITY ACTIVE_LOW, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 rst RST" *) +input wire rst; +input wire [1 : 0] a; +input wire [31 : 0] d; +input wire we; +output wire [31 : 0] spo; +input wire [1 : 0] btn; +output wire [1 : 0] led; + + gpio inst ( + .clk(clk), + .rst(rst), + .a(a), + .d(d), + .we(we), + .spo(spo), + .btn(btn), + .led(led) + ); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_mmapper_0_0.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_mmapper_0_0.v" new file mode 100755 index 0000000..25e6a71 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_mmapper_0_0.v" @@ -0,0 +1,124 @@ +// (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:mmapper:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "mmapper,Vivado 2019.1" *) +(* CHECK_LICENSE_TYPE = "bd_main_mmapper_0_0,mmapper,{}" *) +(* CORE_GENERATION_INFO = "bd_main_mmapper_0_0,mmapper,{x_ipProduct=Vivado 2019.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=mmapper,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module bd_main_mmapper_0_0 ( + a, + d, + we, + spo, + bootm_a, + bootm_d, + bootm_we, + bootm_spo, + mainm_a, + mainm_d, + mainm_we, + mainm_spo, + gpio_a, + gpio_d, + gpio_we, + gpio_spo, + uart_a, + uart_d, + uart_we, + uart_spo +); + +input wire [31 : 0] a; +input wire [31 : 0] d; +input wire we; +output wire [31 : 0] spo; +output wire [8 : 0] bootm_a; +output wire [31 : 0] bootm_d; +output wire bootm_we; +input wire [31 : 0] bootm_spo; +output wire [8 : 0] mainm_a; +output wire [31 : 0] mainm_d; +output wire mainm_we; +input wire [31 : 0] mainm_spo; +output wire [1 : 0] gpio_a; +output wire [31 : 0] gpio_d; +output wire gpio_we; +input wire [31 : 0] gpio_spo; +output wire [2 : 0] uart_a; +output wire [31 : 0] uart_d; +output wire uart_we; +input wire [31 : 0] uart_spo; + + mmapper inst ( + .a(a), + .d(d), + .we(we), + .spo(spo), + .bootm_a(bootm_a), + .bootm_d(bootm_d), + .bootm_we(bootm_we), + .bootm_spo(bootm_spo), + .mainm_a(mainm_a), + .mainm_d(mainm_d), + .mainm_we(mainm_we), + .mainm_spo(mainm_spo), + .gpio_a(gpio_a), + .gpio_d(gpio_d), + .gpio_we(gpio_we), + .gpio_spo(gpio_spo), + .uart_a(uart_a), + .uart_d(uart_d), + .uart_we(uart_we), + .uart_spo(uart_spo) + ); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_ooc.xdc" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_ooc.xdc" new file mode 100755 index 0000000..d300e73 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_ooc.xdc" @@ -0,0 +1,11 @@ +################################################################################ + +# This XDC is used only for OOC mode of synthesis, implementation +# This constraints file contains default clock frequencies to be used during +# out-of-context flows such as OOC Synthesis and Hierarchical Designs. +# This constraints file is not used in normal top-down synthesis (default flow +# of Vivado) +################################################################################ +create_clock -name sysclk -period 8 [get_ports sysclk] + +################################################################################ \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_uart_0_0.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_uart_0_0.v" new file mode 100755 index 0000000..b09a339 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_uart_0_0.v" @@ -0,0 +1,92 @@ +// (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:uart:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "uart,Vivado 2019.1" *) +(* CHECK_LICENSE_TYPE = "bd_main_uart_0_0,uart,{}" *) +(* CORE_GENERATION_INFO = "bd_main_uart_0_0,uart,{x_ipProduct=Vivado 2019.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=uart,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module bd_main_uart_0_0 ( + clk, + rst, + a, + d, + we, + spo, + rx, + tx +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET rst, FREQ_HZ 125000000, PHASE 0.000, CLK_DOMAIN bd_main_sysclk, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) +input wire clk; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME rst, POLARITY ACTIVE_LOW, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 rst RST" *) +input wire rst; +input wire [2 : 0] a; +input wire [31 : 0] d; +input wire we; +output wire [31 : 0] spo; +input wire rx; +output wire tx; + + uart inst ( + .clk(clk), + .rst(rst), + .a(a), + .d(d), + .we(we), + .spo(spo), + .rx(rx), + .tx(tx) + ); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_xlslice_0_0/bd_main_xlslice_0_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_xlslice_0_0/bd_main_xlslice_0_0.xci" new file mode 100755 index 0000000..db9564e --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/bd_main_xlslice_0_0/bd_main_xlslice_0_0.xci" @@ -0,0 +1,53 @@ + + + xilinx.com + xci + unknown + 1.0 + + + bd_main_xlslice_0_0 + + + 1 + 1 + 2 + bd_main_xlslice_0_0 + 1 + 1 + 2 + 1 + zynq + + + xc7z010 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 2 + TRUE + . + + ../../ipshared + 2019.1 + GLOBAL + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/clock_div_pulse.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/clock_div_pulse.v" new file mode 100755 index 0000000..eccc27f --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/clock_div_pulse.v" @@ -0,0 +1,44 @@ +`timescale 1ns / 1ps +// copied & modified from https://github.com/jamieiles/uart, GPLv2 + +/* + * Hacky baud rate generator to divide a 50MHz clock into a 115200 baud + * rx/tx pair where the rx clcken oversamples by 16x. + */ +module baud_rate_gen + ( + input wire clk, + input rst, + output wire rxclk_en, + output wire txclk_en + ); + + parameter RX_ACC_MAX = 125000000 / (115200 * 16); + parameter TX_ACC_MAX = 125000000 / 115200; + parameter RX_ACC_WIDTH = 20; + parameter TX_ACC_WIDTH = 20; + //parameter RX_ACC_WIDTH = $clog2(RX_ACC_MAX); + //parameter TX_ACC_WIDTH = $clog2(TX_ACC_MAX); + reg [RX_ACC_WIDTH - 1:0] rx_acc = 0; + reg [TX_ACC_WIDTH - 1:0] tx_acc = 0; + + assign rxclk_en = (rx_acc == 0); + assign txclk_en = (tx_acc == 0); + + always @(posedge clk) begin + if (rst) rx_acc <= 1; + else if (rx_acc == RX_ACC_MAX[RX_ACC_WIDTH - 1:0]) + rx_acc <= 0; + else + rx_acc <= rx_acc + 1; + end + + always @(posedge clk) begin + if (rst) tx_acc <= 1; + else if (tx_acc == TX_ACC_MAX[TX_ACC_WIDTH - 1:0]) + tx_acc <= 0; + else + tx_acc <= tx_acc + 1; + end + +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/cpu-multi-cycle.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/cpu-multi-cycle.v" new file mode 100755 index 0000000..36fb0e5 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/cpu-multi-cycle.v" @@ -0,0 +1,155 @@ +`timescale 1ns / 1ps + +module cpu_multi_cycle + ( + input clk, + input rst, + + output reg [31:0]a, + output reg [31:0]d, + output reg we, + input [31:0]spo + ); + + // internal registers + reg [31:0]instruction = 0; + reg [31:0]pc = 0; + reg [31:0]mdr = 0; + reg [31:0]ALUOut = 0; + reg [31:0]A = 0; + reg [31:0]B = 0; + + // some signals + wire ALUZero; + reg [31:0]newpc; + wire [31:0]imm = {{16{instruction[15]}}, instruction[15:0]}; + + // control unit signals + wire PCWrite; + wire IorD; + wire MemRead; + wire MemWrite; + wire [2:0]RegSrc; + wire IRWrite; + wire [2:0]PCSource; + wire [2:0]ALUm; + wire ALUSrcA; + wire [1:0]ALUSrcB; + wire RegWrite; + wire [1:0]RegDst; + control_unit control_unit_inst + ( + .clk(clk), + .rst(rst), + .instruction(instruction), + .ALUZero(ALUZero), + + .PCWrite(PCWrite), + .IorD(IorD), + .MemRead(MemRead), + .MemWrite(MemWrite), + .RegSrc(RegSrc), + .IRWrite(IRWrite), + .PCSource(PCSource), + .ALUm(ALUm), + .ALUSrcA(ALUSrcA), + .ALUSrcB(ALUSrcB), + .RegWrite(RegWrite), + .RegDst(RegDst) + ); + + // register file + reg [4:0]WriteRegister; + reg [31:0]WriteData; + wire [31:0]ReadData1; + wire [31:0]ReadData2; + register_file register_file_inst + ( + .clk(clk), + .ra0(instruction[25:21]), + .ra1(instruction[20:16]), + .wa(WriteRegister), + .we(RegWrite), + .wd(WriteData), + .rd0(ReadData1), + .rd1(ReadData2) + ); + + // memory mapper + reg [31:0]mem_addr; + reg [31:0]MemData; + always @ (*) begin + a = mem_addr; + d = B; + we = MemWrite; + MemData = spo; + end + + // ALU + reg [31:0]ALUIn1; + reg [31:0]ALUIn2; + wire [31:0]ALUResult; + alu alu_inst + ( + .m(ALUm), + .a(ALUIn1), + .b(ALUIn2), + .y(ALUResult), + .zf(ALUZero) + //.cf(), + //.of() + ); + + // datapath -- main + always @ (*) begin + case (IorD) + 0: mem_addr = pc; + 1: mem_addr = ALUOut; + endcase + case (RegDst) + 0: WriteRegister = instruction[20:16]; + 1: WriteRegister = instruction[15:11]; + 2: WriteRegister = 5'b11111; + endcase + case (RegSrc) + 0: WriteData = ALUOut; + 1: WriteData = mdr; + 2: WriteData = {instruction[15:0], 16'b0}; + 3: WriteData = pc; + endcase + case (ALUSrcB) + 0: ALUIn2 = B; + 1: ALUIn2 = 4; + 2: ALUIn2 = imm; + 3: ALUIn2 = imm << 2; + endcase + case (ALUSrcA) + 0: ALUIn1 = pc; + 1: ALUIn1 = A; + endcase + case (PCSource) + 0: newpc = ALUResult; + 1: newpc = ALUOut; + 2: newpc = {pc[31:28], instruction[25:0], 2'b0}; + 3: newpc = A; + endcase + end + always @ (posedge clk) begin + if (rst) begin + pc <= 32'b0; + instruction <= 0; + mdr <= 0; + ALUOut <= 0; + A <= 0; + B <= 0; + end + else begin + A <= ReadData1; + B <= ReadData2; + ALUOut <= ALUResult; + mdr <= MemData; + if (PCWrite) pc <= newpc; + if (IRWrite) instruction <= MemData; + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/cpu_control_unit.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/cpu_control_unit.v" new file mode 100755 index 0000000..117851b --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/cpu_control_unit.v" @@ -0,0 +1,202 @@ +`timescale 1ns / 1ps + +module control_unit + ( + input clk, + input rst, + input [31:0]instruction, + input ALUZero, + + output reg PCWrite, + output reg IorD, + output reg MemRead, + output reg MemWrite, + output reg [2:0]RegSrc, + output reg IRWrite, + output reg [2:0]PCSource, + output reg [2:0]ALUm, + output reg ALUSrcA, + output reg [1:0]ALUSrcB, + output reg RegWrite, + output reg [1:0]RegDst + ); + + // control unit FSM state names + reg [7:0]phase = IF; + localparam IF = 0; + localparam ID_RF = 1; + localparam MEM_ADDR_CALC = 2; + localparam MEM_ACCESS_LW = 3; + localparam WB = 4; + localparam MEM_ACCESS_SW = 5; + localparam ADDI_END = 12; + localparam R_EX = 6; + localparam R_END = 7; + localparam BEQ_END = 8; + localparam J_END = 9; + localparam JAL_END = 10; + localparam JR_END = 11; + localparam LUI_END = 13; + localparam I_MFC0_END = 14; + //localparam I_MTC0_END = 15; + localparam I_ERET_END = 16; + localparam I_SYSCALL_END = 17; + localparam I_INT_END = 18; + localparam BAD = 99; + + // instruction[31:26] instruction type + wire [5:0]instr_type = instruction[31:26]; + localparam TYPE_REG = 6'b000000; + localparam TYPE_ADDI = 6'b001000; + localparam TYPE_LUI = 6'b001111; + localparam TYPE_LW = 6'b100011; + localparam TYPE_SW = 6'b101011; + localparam TYPE_BEQ = 6'b000100; + localparam TYPE_J = 6'b000010; + localparam TYPE_JAL = 6'b000011; + localparam TYPE_BAD = 0; + + // instruction[5:0] function + wire [5:0]instr_funct = instruction[5:0]; + localparam FUNCT_ADD = 6'b100000; + localparam FUNCT_SUB = 6'b100010; + localparam FUNCT_AND = 6'b100100; + localparam FUNCT_OR = 6'b100101; + localparam FUNCT_SLT = 6'b101010; + localparam FUNCT_JR = 6'b001000; + + // instruction label + reg [31:0]Op; + localparam OP_ADD = 91001; + localparam OP_SUB = 91001; + localparam OP_AND = 91001; + localparam OP_OR = 91001; + localparam OP_SLT = 91001; + localparam OP_ADDI = 90002; + localparam OP_LUI = 90003; + localparam OP_LW = 90004; + localparam OP_SW = 90005; + localparam OP_BEQ = 90006; + localparam OP_J = 90007; + localparam OP_JAL = 90008; + localparam OP_JR = 90009; + localparam OP_NOP = 91000; + localparam OP_BAD = 99000; + + // instruction decoding + always @ (*) begin + Op = OP_BAD; + case (instr_type) + TYPE_REG: case (instr_funct) + FUNCT_ADD: Op = OP_ADD; + FUNCT_SUB: Op = OP_SUB; + FUNCT_AND: Op = OP_AND; + FUNCT_OR: Op = OP_OR; + FUNCT_SLT: Op = OP_SLT; + FUNCT_JR: Op = OP_JR; + default: ; + endcase + TYPE_ADDI: Op = OP_ADDI; + TYPE_LUI: Op = OP_LUI; + TYPE_LW: Op = OP_LW; + TYPE_SW: Op = OP_SW; + TYPE_BEQ: Op = OP_BEQ; + TYPE_J: Op = OP_J; + TYPE_JAL: Op = OP_JAL; + default: ; + endcase + if (instruction == 32'b0) Op = OP_NOP; + end + + // control fsm + always @ (posedge clk) begin + if (rst) begin + phase <= IF; + end + else begin + case(phase) + IF: phase <= ID_RF; + ID_RF: begin + case(Op) + OP_NOP: phase <= IF; + + OP_LW: phase <= MEM_ADDR_CALC; + OP_SW: phase <= MEM_ADDR_CALC; + OP_ADDI: phase <= MEM_ADDR_CALC; + OP_LUI: phase <= LUI_END; + + OP_ADD: phase <= R_EX; + OP_SUB: phase <= R_EX; + OP_AND: phase <= R_EX; + OP_OR: phase <= R_EX; + + OP_BEQ: phase <= BEQ_END; + + OP_J: phase <= J_END; + OP_JAL: phase <= JAL_END; + OP_JR: phase <= JR_END; + default: phase <= BAD; + endcase + end + MEM_ADDR_CALC: case (Op) + OP_LW: phase <= MEM_ACCESS_LW; + OP_SW: phase <= MEM_ACCESS_SW; + OP_ADDI: phase <= ADDI_END; + default: phase <= BAD; + endcase + MEM_ACCESS_LW: phase <= WB; + WB: phase <= IF; + MEM_ACCESS_SW: phase <= IF; + ADDI_END: phase <= IF; + LUI_END: phase <= IF; + R_EX: phase <= R_END; + R_END: phase <= IF; + BEQ_END: phase <= IF; + J_END: phase <= IF; + JAL_END: phase <= IF; + JR_END: phase <= IF; + default: phase <= BAD; + endcase + end + end + + // control signals for each FSM states + always @ (*) begin + PCWrite = 0; + IorD = 0; + MemRead = 0; + MemWrite = 0; + RegSrc = 3'b000; + IRWrite = 0; + PCSource = 3'b000; + ALUm = 3'b000; + ALUSrcA = 0; + ALUSrcB = 0; + RegWrite = 0; + RegDst = 2'b00; + case (phase) + IF: begin MemRead = 1; ALUSrcB = 2'b01; IRWrite = 1; PCWrite = 1; end + ID_RF: ALUSrcB = 2'b11; + MEM_ADDR_CALC: begin ALUSrcA = 1; ALUSrcB = 2'b10; end + MEM_ACCESS_LW: begin MemRead = 1; IorD = 1; end + ADDI_END: begin RegWrite = 1; end + LUI_END: begin RegWrite = 1; RegSrc = 3'b010; end + WB: begin RegWrite = 1; RegSrc = 3'b001; end + MEM_ACCESS_SW: begin IorD = 1; MemWrite = 1; end + R_EX: begin + ALUSrcA = 1; + case (Op) + OP_ADD: ALUm = 3'b000; + OP_SUB: ALUm = 3'b001; + OP_AND: ALUm = 3'b010; + OP_OR: ALUm = 3'b011; + endcase + end + R_END: begin RegWrite = 1; RegDst = 2'b01; end + BEQ_END: begin ALUSrcA = 1; ALUm = 3'b001; PCWrite = ALUZero; PCSource = 3'b001; end + J_END: begin PCWrite = 1; PCSource = 3'b010; end + JAL_END: begin PCWrite = 1; PCSource = 3'b010; RegWrite = 1; RegDst = 2'b10; RegSrc = 3'b011; end + JR_END: begin PCWrite = 1; PCSource = 3'b011; end + endcase + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/debounce.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/debounce.v" new file mode 100755 index 0000000..8c91b2d --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/debounce.v" @@ -0,0 +1,77 @@ +`timescale 1ns / 1ps +// input button debounce +// https://timetoexplore.net/blog/arty-fpga-verilog-03 + +module debounce + #(parameter N = 2) + ( + input clk, + input [N-1:0]i_btn, + output reg [N-1:0]o_state = 0 + //output o_ondn, + //output o_onup + ); + + // sync with clock and combat metastability + reg [N-1:0]sync_0 = 0; + reg [N-1:0]sync_1 = 0; + always @(posedge clk) sync_0 <= i_btn; + always @(posedge clk) sync_1 <= sync_0; + + // 2.6 ms counter at 100 MHz + reg [18:0] counter; + wire idle = (o_state == sync_1); + wire [18:0]max = 10; + + always @(posedge clk) + begin + if (idle) + counter <= 0; + else + begin + counter <= counter + 1; + if (counter == max) + o_state <= sync_1; + end + end + + //assign o_ondn = ~idle & max & ~o_state; + //assign o_onup = ~idle & max & o_state; +endmodule +//module debounce + //#(parameter N = 4) + //( + //input clk, + //input [N-1:0]i_btn, + //output reg [N-1:0]o_state = 0 + ////output o_ondn, + ////output o_onup + //); + + //// sync with clock and combat metastability + //reg [N-1:0]sync_0 = 0; + //reg [N-1:0]sync_1 = 0; + //always @(posedge clk) sync_0 <= i_btn; + //always @(posedge clk) sync_1 <= sync_0; + + //// 2.6 ms(*2) counter at 100 MHz + //reg [18:0] counter = 0; + ////reg [19:0] counter; + //wire idle = (o_state == sync_1); + + //always @(posedge clk) + //begin + //if (idle) + //counter <= 0; + //else + //begin + //counter <= counter + 1; + //if (counter == 19'b11111) + ////o_state <= ~o_state; + //o_state <= sync_1; + //end + //end + + ////assign o_ondn = ~idle & max & ~o_state; + ////assign o_onup = ~idle & max & o_state; +//endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/gpio.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/gpio.v" new file mode 100755 index 0000000..a818097 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/gpio.v" @@ -0,0 +1,38 @@ +`timescale 1ns / 1ps + +module gpio + ( + input clk, + input rst, + input [1:0]a, + input [31:0]d, + input we, + output reg [31:0]spo, + + input [1:0]btn, + output reg [1:0]led + ); + + always @ (*) begin + case (a) + 0: spo = {31'b0, btn[0]}; + 1: spo = {31'b0, btn[1]}; + 2: spo = {31'b0, led[0]}; + 3: spo = {31'b0, led[1]}; + default: spo = 32'b0; + endcase + end + + always @ (posedge clk) begin + if (rst) begin + led <= 2'b11; + end + else if (we) begin + case (a) + 2: led[0] <= d[0]; + 3: led[1] <= d[0]; + default: ; + endcase + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/mmapper.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/mmapper.v" new file mode 100755 index 0000000..dd4205c --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/mmapper.v" @@ -0,0 +1,64 @@ +`timescale 1ns / 1ps + +module mmapper + ( + input [31:0]a, + input [31:0]d, + //input [31:0]dpra, + input we, + output reg [31:0]spo, + //output reg [31:0]dpo = 0, + + // 1024*32(4KB) boot rom: 0x00000000 + output reg [8:0]bootm_a, + output reg [31:0]bootm_d, + output reg bootm_we, + input [31:0]bootm_spo, + + // main memory: 0x10000000 + output reg [8:0]mainm_a, + output reg [31:0]mainm_d, + output reg mainm_we, + input [31:0]mainm_spo, + + // gpio: 0x20000000 + output reg [1:0]gpio_a, + output reg [31:0]gpio_d, + output reg gpio_we, + input [31:0]gpio_spo, + + // fifo uart: + // write 0x30000000 + // full 0x30000004 + // empty 0x30000008 + output reg [2:0]uart_a, + output reg [31:0]uart_d, + output reg uart_we, + input [31:0]uart_spo + ); + + always @ (*) begin + bootm_a = a[10:2]; + bootm_d = d; + mainm_a = a[10:2]; + mainm_d = d; + gpio_a = a[3:2]; + gpio_d = d; + uart_a = a[4:2]; + uart_d = d; + end + + always @ (*) begin + bootm_we = 0; + mainm_we = 0; + gpio_we = 0; + uart_we = 0; + case (a[31:28]) + 0: begin spo = bootm_spo; bootm_we = we; end + 1: begin spo = mainm_spo; mainm_we = we; end + 2: begin spo = gpio_spo; gpio_we = we; end + 3: begin spo = uart_spo; uart_we = we; end + default: ; + endcase + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/register_file.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/register_file.v" new file mode 100755 index 0000000..ca528a7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/register_file.v" @@ -0,0 +1,40 @@ +`timescale 1ns / 1ps +// pCPU regfile with debug port + +module register_file + #(parameter WIDTH = 32) + ( + input clk, + input [4:0]ra0, + input [4:0]ra1, + input [4:0]ra2, + input [4:0]wa, + input we, + input [WIDTH-1:0]wd, + output reg [WIDTH-1:0]rd0, + output reg [WIDTH-1:0]rd1, + output reg [WIDTH-1:0]rd2 + ); + + reg [WIDTH-1:0]regfile[31:0]; + initial $readmemh("/home/petergu/MyHome/pComputer/pCPU/regfile.dat", regfile); + + always @ (*) begin + if (ra0 == 5'b0) rd0 = 0; + else rd0 = regfile[ra0]; + if (ra1 == 5'b0) rd1 = 0; + else rd1 = regfile[ra1]; + if (ra2 == 5'b0) rd2 = 0; + else rd2 = regfile[ra2]; + end + + always @ (posedge clk) begin + if (we) begin + if (wa != 5'b0) begin + regfile[wa] <= wd; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/result_echo.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/result_echo.coe" new file mode 100755 index 0000000..6905a11 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/result_echo.coe" @@ -0,0 +1,106 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +20040000 +0c000050 +00000000 +2004004f +0c000034 +00000000 +2004004b +0c000034 +00000000 +3c100000 +8e100180 +00000000 +2004000d +0c000034 +00000000 +2004000a +0c000034 +00000000 +20110000 +0c000042 +00000000 +02114020 +ad020000 +2008000d +10480007 +00000000 +2004002e +0c000034 +00000000 +22310004 +08000013 +00000000 +2004000d +0c000034 +00000000 +2004000a +0c000034 +00000000 +20110000 +02114020 +8d040000 +00000000 +0c000034 +00000000 +2008000d +1088ffde +00000000 +22310004 +08000027 +00000000 +00000000 +00000000 +3c0a0000 +8d4a0190 +00000000 +8d480008 +00000000 +1100fffd +00000000 +ad440000 +8d480008 +00000000 +1100fffd +00000000 +03e00008 +00000000 +3c0a0000 +8d4a0190 +00000000 +20090001 +ad490004 +8d480004 +00000000 +1100fffd +00000000 +8d480000 +00000000 +21020000 +03e00008 +00000000 +3c0a0000 +8d4a0194 +00000000 +21490018 +ad240000 +2149001c +ad240000 +21490020 +ad240000 +21490024 +ad240000 +03e00008 +00000000 +00000000 +00000000 +00000000 +10000000 +00000000 +00000000 +00000000 +30000000 +20000000 +00000000 +00000000 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/uart.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/uart.v" new file mode 100755 index 0000000..887d687 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/src/uart.v" @@ -0,0 +1,175 @@ +`timescale 1ns / 1ps +// pComputer UART I/O +// input XXMHz, 16x oversampling +// warning: not very reliable: read/write together case, ... +// so need special software care(better to write one value and wait until idle) +// +// write 0x00: transmit data +// read 0x00: received data +// write 0x01: begin receiving +// read 0x01: receive done? +// read 0x02: transmit done? +// *need to x4 these addresses in assembly! + +module uart + ( + input clk, + input rst, + + input [2:0]a, + input [31:0]d, + input we, + output reg [31:0]spo, + + //output wire read_enabled_out, + //output wire rx_state_out0, + //output wire rx_state_out1, + + input rx, + output reg tx = 1 + ); + + wire rxclk_en; + wire txclk_en; + baud_rate_gen baud_rate_gen_inst + ( + .clk(clk), + .rst(rst), + .rxclk_en(rxclk_en), + .txclk_en(txclk_en) + ); + + localparam IDLE = 3'b000; + //localparam PREPARE1 = 3'b001; + //localparam PREPARE2 = 3'b010; + localparam START = 3'b011; + localparam DATA = 3'b100; + localparam STOP = 3'b101; + reg [2:0]state_tx = IDLE; + reg [7:0]data_tx = 8'h00; + reg [2:0]bitpos_tx = 0; + + localparam RX_STATE_START = 2'b01; + localparam RX_STATE_DATA = 2'b10; + localparam RX_STATE_STOP = 2'b11; + reg [1:0]state_rx = RX_STATE_START; + //assign rx_state_out0 = state_rx[0]; + //assign rx_state_out1 = state_rx[1]; + reg [3:0]sample = 0; + reg [3:0]bitpos_rx = 0; + reg [7:0]scratch = 8'b0; + + reg read_enabled = 0; + reg [7:0]data_rx = 0; + //reg [7:0]data_rx_ready = 0; + + always @ (*) begin + if (a == 3'b000) spo = {24'b0, data_rx}; + else if (a == 3'b001) spo = {31'b0, !read_enabled}; + else if (a == 3'b010) spo = {31'b0, (state_tx == IDLE)}; + else spo = 32'b0; + end + always @ (posedge clk) begin + if (rst) begin + tx <= 1'b1; + data_tx <= 0; + bitpos_tx <= 0; + state_tx <= IDLE; + + data_rx <= 0; + read_enabled <= 0; + //data_rx_ready <= 0; + state_rx <= RX_STATE_START; + sample <= 0; + end + else begin + //if (we) begin + //if (a == 3'b011 & d[0]) begin + //// so no way to set this to 0(cancel reading)! + //read_enabled <= 1; + //end + //if (a == 3'b000 & !fifo_full) begin + //if (a == 3'b000 & !tx_write) begin + ////fifo_enqueue <= 1; + ////fifo_in <= d[7:0]; + //data_tx <= d[7:0]; + //end + //else begin + //fifo_enqueue <= 0; + //fifo_in <= 8'b0; + //end + //end + case (state_tx) + IDLE: if (we & (a == 3'b000)) begin + data_tx <= d[7:0]; + state_tx <= START; + end + START: if (txclk_en) begin + bitpos_tx <= 0; + tx <= 1'b0; + state_tx <= DATA; + end + DATA: if (txclk_en) begin + if (bitpos_tx == 7) state_tx <= STOP; + else bitpos_tx <= bitpos_tx + 1; + tx <= data_tx[bitpos_tx]; + end + STOP: if (txclk_en) begin + tx <= 1'b1; + state_tx <= IDLE; + end + default: ; + endcase + + if (we & a == 3'b001) begin + read_enabled <= 1; + end + else if (rxclk_en) begin + case (state_rx) + /* + Start counting from the first low sample, once we've + sampled a full bit, start collecting data bits. + */ + RX_STATE_START: begin + //data_rx_ready <= 0; + if (!rx || sample != 0) sample <= sample + 1; + if (sample == 15) begin + state_rx <= RX_STATE_DATA; + bitpos_rx <= 0; + sample <= 0; + scratch <= 0; + end + end + RX_STATE_DATA: begin + sample <= sample + 1; + if (sample == 8) begin + scratch[bitpos_rx[2:0]] <= rx; + bitpos_rx <= bitpos_rx + 1; + end + if (bitpos_rx == 8 && sample == 15) state_rx <= RX_STATE_STOP; + end + /* + Our baud clock may not be running at exactly the + same rate as the transmitter. If we thing that + we're at least half way into the stop bit, allow + transition into handling the next start bit. + */ + RX_STATE_STOP: begin + if (sample == 15 || (sample >= 8 && !rx)) begin + state_rx <= RX_STATE_START; + data_rx <= scratch; + //data_rx_ready <= 1; + read_enabled <= 0; + sample <= 0; + end else begin + sample <= sample + 1; + end + end + default: state_rx <= RX_STATE_START; + endcase + end + + + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/xgui/bd_main_v1_0.tcl" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/xgui/bd_main_v1_0.tcl" new file mode 100644 index 0000000..0db18e9 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/ip/xgui/bd_main_v1_0.tcl" @@ -0,0 +1,10 @@ +# Definitional proc to organize widgets for parameters. +proc init_gui { IPINST } { + ipgui::add_param $IPINST -name "Component_Name" + #Adding Page + ipgui::add_page $IPINST -name "Page 0" + + +} + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/constrs_1/imports/lab6/ebaz4205.xdc" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/constrs_1/imports/lab6/ebaz4205.xdc" new file mode 100644 index 0000000..745b2b7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/constrs_1/imports/lab6/ebaz4205.xdc" @@ -0,0 +1,56 @@ +#set_property IOSTANDARD LVCMOS33 [get_ports led_g] +#set_property IOSTANDARD LVCMOS33 [get_ports led_r] +set_property IOSTANDARD LVCMOS33 [get_ports {leddata[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {leddata[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports uart_rx] +set_property IOSTANDARD LVCMOS33 [get_ports uart_tx] +set_property IOSTANDARD LVCMOS33 [get_ports {btndata[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {btndata[1]}] + +#set_property PACKAGE_PIN W13 [get_ports led_g] +#set_property PACKAGE_PIN W14 [get_ports led_r] +# G20 J18 for 5 6 +# DATA2-15 +set_property PACKAGE_PIN L16 [get_ports {leddata[0]}] +# DATA2-16 +set_property PACKAGE_PIN L19 [get_ports {leddata[1]}] +# DATA2-17 +set_property PACKAGE_PIN M18 [get_ports uart_rx] +# DATA2-18 +set_property PACKAGE_PIN L20 [get_ports uart_tx] +# DATA2-19 +set_property PACKAGE_PIN M20 [get_ports {btndata[0]}] +# DATA2-20 +set_property PACKAGE_PIN L17 [get_ports {btndata[1]}] + +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_GMII_RX_CLK_0] +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_GMII_TX_CLK_0] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_rxd[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {ENET0_GMII_TX_EN_0[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {enet0_gmii_txd[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports ENET0_GMII_RX_DV_0] + +set_property IOSTANDARD LVCMOS33 [get_ports MDIO_ETHERNET_0_0_mdc] +set_property IOSTANDARD LVCMOS33 [get_ports MDIO_ETHERNET_0_0_mdio_io] + +set_property PACKAGE_PIN U14 [get_ports ENET0_GMII_RX_CLK_0] +set_property PACKAGE_PIN U15 [get_ports ENET0_GMII_TX_CLK_0] +set_property PACKAGE_PIN Y17 [get_ports {enet0_gmii_rxd[3]}] +set_property PACKAGE_PIN V17 [get_ports {enet0_gmii_rxd[2]}] +set_property PACKAGE_PIN V16 [get_ports {enet0_gmii_rxd[1]}] +set_property PACKAGE_PIN Y16 [get_ports {enet0_gmii_rxd[0]}] +set_property PACKAGE_PIN W19 [get_ports {ENET0_GMII_TX_EN_0[0]}] +set_property PACKAGE_PIN W16 [get_ports ENET0_GMII_RX_DV_0] +set_property PACKAGE_PIN Y19 [get_ports {enet0_gmii_txd[3]}] +set_property PACKAGE_PIN V18 [get_ports {enet0_gmii_txd[2]}] +set_property PACKAGE_PIN Y18 [get_ports {enet0_gmii_txd[1]}] +set_property PACKAGE_PIN W18 [get_ports {enet0_gmii_txd[0]}] + +set_property PACKAGE_PIN W15 [get_ports MDIO_ETHERNET_0_0_mdc] +set_property PACKAGE_PIN Y14 [get_ports MDIO_ETHERNET_0_0_mdio_io] diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sim_1/new/bd_main_simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sim_1/new/bd_main_simu.v" new file mode 100644 index 0000000..e29b86f --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sim_1/new/bd_main_simu.v" @@ -0,0 +1,34 @@ +`timescale 1ns / 1ps + +module top_simu(); + reg clk = 0; + reg [1:0]btn = 0; + wire [1:0]led; + + bd_main_wrapper bd_main_wrapper_inst + ( + .sysclk(clk), + .btndata(btn), + .leddata(led) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + + initial begin + btn = 2'b11; + #200 + btn = 2'b00; + //#10 + //btn = 4'b0000; + + //#1000 + //btn = 4'b0010; + + #3000 + $finish; + end + +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/hdl/bd_hello_wrapper.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/hdl/bd_hello_wrapper.v" new file mode 100644 index 0000000..8e598c6 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/hdl/bd_hello_wrapper.v" @@ -0,0 +1,158 @@ +//Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2019.1 (lin64) Build 2552052 Fri May 24 14:47:09 MDT 2019 +//Date : Fri Jul 10 16:28:18 2020 +//Host : petergu-dell running 64-bit Arch Linux +//Command : generate_target bd_hello_wrapper.bd +//Design : bd_hello_wrapper +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module bd_hello_wrapper + (DDR_addr, + DDR_ba, + DDR_cas_n, + DDR_ck_n, + DDR_ck_p, + DDR_cke, + DDR_cs_n, + DDR_dm, + DDR_dq, + DDR_dqs_n, + DDR_dqs_p, + DDR_odt, + DDR_ras_n, + DDR_reset_n, + DDR_we_n, + ENET0_GMII_RX_CLK_0, + ENET0_GMII_RX_DV_0, + ENET0_GMII_TX_CLK_0, + ENET0_GMII_TX_EN_0, + FIXED_IO_ddr_vrn, + FIXED_IO_ddr_vrp, + FIXED_IO_mio, + FIXED_IO_ps_clk, + FIXED_IO_ps_porb, + FIXED_IO_ps_srstb, + MDIO_ETHERNET_0_0_mdc, + MDIO_ETHERNET_0_0_mdio_io, + btndata, + enet0_gmii_rxd, + enet0_gmii_txd, + leddata, + uart_rx, + uart_tx); + inout [14:0]DDR_addr; + inout [2:0]DDR_ba; + inout DDR_cas_n; + inout DDR_ck_n; + inout DDR_ck_p; + inout DDR_cke; + inout DDR_cs_n; + inout [3:0]DDR_dm; + inout [31:0]DDR_dq; + inout [3:0]DDR_dqs_n; + inout [3:0]DDR_dqs_p; + inout DDR_odt; + inout DDR_ras_n; + inout DDR_reset_n; + inout DDR_we_n; + input ENET0_GMII_RX_CLK_0; + input ENET0_GMII_RX_DV_0; + input ENET0_GMII_TX_CLK_0; + output [0:0]ENET0_GMII_TX_EN_0; + inout FIXED_IO_ddr_vrn; + inout FIXED_IO_ddr_vrp; + inout [53:0]FIXED_IO_mio; + inout FIXED_IO_ps_clk; + inout FIXED_IO_ps_porb; + inout FIXED_IO_ps_srstb; + output MDIO_ETHERNET_0_0_mdc; + inout MDIO_ETHERNET_0_0_mdio_io; + input [1:0]btndata; + input [3:0]enet0_gmii_rxd; + output [3:0]enet0_gmii_txd; + output [1:0]leddata; + input uart_rx; + output uart_tx; + + wire [14:0]DDR_addr; + wire [2:0]DDR_ba; + wire DDR_cas_n; + wire DDR_ck_n; + wire DDR_ck_p; + wire DDR_cke; + wire DDR_cs_n; + wire [3:0]DDR_dm; + wire [31:0]DDR_dq; + wire [3:0]DDR_dqs_n; + wire [3:0]DDR_dqs_p; + wire DDR_odt; + wire DDR_ras_n; + wire DDR_reset_n; + wire DDR_we_n; + wire ENET0_GMII_RX_CLK_0; + wire ENET0_GMII_RX_DV_0; + wire ENET0_GMII_TX_CLK_0; + wire [0:0]ENET0_GMII_TX_EN_0; + wire FIXED_IO_ddr_vrn; + wire FIXED_IO_ddr_vrp; + wire [53:0]FIXED_IO_mio; + wire FIXED_IO_ps_clk; + wire FIXED_IO_ps_porb; + wire FIXED_IO_ps_srstb; + wire MDIO_ETHERNET_0_0_mdc; + wire MDIO_ETHERNET_0_0_mdio_i; + wire MDIO_ETHERNET_0_0_mdio_io; + wire MDIO_ETHERNET_0_0_mdio_o; + wire MDIO_ETHERNET_0_0_mdio_t; + wire [1:0]btndata; + wire [3:0]enet0_gmii_rxd; + wire [3:0]enet0_gmii_txd; + wire [1:0]leddata; + wire uart_rx; + wire uart_tx; + + IOBUF MDIO_ETHERNET_0_0_mdio_iobuf + (.I(MDIO_ETHERNET_0_0_mdio_o), + .IO(MDIO_ETHERNET_0_0_mdio_io), + .O(MDIO_ETHERNET_0_0_mdio_i), + .T(MDIO_ETHERNET_0_0_mdio_t)); + bd_hello bd_hello_i + (.DDR_addr(DDR_addr), + .DDR_ba(DDR_ba), + .DDR_cas_n(DDR_cas_n), + .DDR_ck_n(DDR_ck_n), + .DDR_ck_p(DDR_ck_p), + .DDR_cke(DDR_cke), + .DDR_cs_n(DDR_cs_n), + .DDR_dm(DDR_dm), + .DDR_dq(DDR_dq), + .DDR_dqs_n(DDR_dqs_n), + .DDR_dqs_p(DDR_dqs_p), + .DDR_odt(DDR_odt), + .DDR_ras_n(DDR_ras_n), + .DDR_reset_n(DDR_reset_n), + .DDR_we_n(DDR_we_n), + .ENET0_GMII_RX_CLK_0(ENET0_GMII_RX_CLK_0), + .ENET0_GMII_RX_DV_0(ENET0_GMII_RX_DV_0), + .ENET0_GMII_TX_CLK_0(ENET0_GMII_TX_CLK_0), + .ENET0_GMII_TX_EN_0(ENET0_GMII_TX_EN_0), + .FIXED_IO_ddr_vrn(FIXED_IO_ddr_vrn), + .FIXED_IO_ddr_vrp(FIXED_IO_ddr_vrp), + .FIXED_IO_mio(FIXED_IO_mio), + .FIXED_IO_ps_clk(FIXED_IO_ps_clk), + .FIXED_IO_ps_porb(FIXED_IO_ps_porb), + .FIXED_IO_ps_srstb(FIXED_IO_ps_srstb), + .MDIO_ETHERNET_0_0_mdc(MDIO_ETHERNET_0_0_mdc), + .MDIO_ETHERNET_0_0_mdio_i(MDIO_ETHERNET_0_0_mdio_i), + .MDIO_ETHERNET_0_0_mdio_o(MDIO_ETHERNET_0_0_mdio_o), + .MDIO_ETHERNET_0_0_mdio_t(MDIO_ETHERNET_0_0_mdio_t), + .btndata(btndata), + .enet0_gmii_rxd(enet0_gmii_rxd), + .enet0_gmii_txd(enet0_gmii_txd), + .leddata(leddata), + .uart_rx(uart_rx), + .uart_tx(uart_tx)); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/ip/bd_hello_bd_main_0_2/src/result_echo.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/ip/bd_hello_bd_main_0_2/src/result_echo.coe" new file mode 100755 index 0000000..6905a11 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/ip/bd_hello_bd_main_0_2/src/result_echo.coe" @@ -0,0 +1,106 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +20040000 +0c000050 +00000000 +2004004f +0c000034 +00000000 +2004004b +0c000034 +00000000 +3c100000 +8e100180 +00000000 +2004000d +0c000034 +00000000 +2004000a +0c000034 +00000000 +20110000 +0c000042 +00000000 +02114020 +ad020000 +2008000d +10480007 +00000000 +2004002e +0c000034 +00000000 +22310004 +08000013 +00000000 +2004000d +0c000034 +00000000 +2004000a +0c000034 +00000000 +20110000 +02114020 +8d040000 +00000000 +0c000034 +00000000 +2008000d +1088ffde +00000000 +22310004 +08000027 +00000000 +00000000 +00000000 +3c0a0000 +8d4a0190 +00000000 +8d480008 +00000000 +1100fffd +00000000 +ad440000 +8d480008 +00000000 +1100fffd +00000000 +03e00008 +00000000 +3c0a0000 +8d4a0190 +00000000 +20090001 +ad490004 +8d480004 +00000000 +1100fffd +00000000 +8d480000 +00000000 +21020000 +03e00008 +00000000 +3c0a0000 +8d4a0194 +00000000 +21490018 +ad240000 +2149001c +ad240000 +21490020 +ad240000 +21490024 +ad240000 +03e00008 +00000000 +00000000 +00000000 +00000000 +10000000 +00000000 +00000000 +00000000 +30000000 +20000000 +00000000 +00000000 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_main/hdl/bd_main_wrapper.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_main/hdl/bd_main_wrapper.v" new file mode 100644 index 0000000..2b83c94 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_main/hdl/bd_main_wrapper.v" @@ -0,0 +1,36 @@ +//Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2019.1 (lin64) Build 2552052 Fri May 24 14:47:09 MDT 2019 +//Date : Fri Jul 10 16:25:12 2020 +//Host : petergu-dell running 64-bit Arch Linux +//Command : generate_target bd_main_wrapper.bd +//Design : bd_main_wrapper +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module bd_main_wrapper + (btndata, + leddata, + sysclk, + uart_rx, + uart_tx); + input [1:0]btndata; + output [1:0]leddata; + input sysclk; + input uart_rx; + output uart_tx; + + wire [1:0]btndata; + wire [1:0]leddata; + wire sysclk; + wire uart_rx; + wire uart_tx; + + bd_main bd_main_i + (.btndata(btndata), + .leddata(leddata), + .sysclk(sysclk), + .uart_rx(uart_rx), + .uart_tx(uart_tx)); +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/alu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/alu.v" new file mode 100644 index 0000000..af53a11 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/alu.v" @@ -0,0 +1,67 @@ +`timescale 1ns / 1ps +// ALU +// 2020 COD Lab1 +// ustcpetergu + +module alu + #(parameter WIDTH = 32) + ( + input [2:0]m, // selection + input [WIDTH-1:0]a, b, // input + output [WIDTH-1:0]y, // result + output zf, // zero flag + output cf, // carry out flag: WIDTH bit + output of // overflow flag: WIDTH-1 sign bit + ); + + reg [WIDTH-1:0]regy; + reg regcf; + reg regof; + reg regzf; + assign y = regy; + assign cf = regcf; + assign of = regof; + assign zf = regzf; + // assign zf = (regy == 0); + + always @ (a, b, m) begin + case(m) + 3'b000: begin // add + {regcf, regy} = a + b; + regof = (!a[WIDTH-1] & !b[WIDTH-1] & regy[WIDTH-1]) | + (a[WIDTH-1] & b[WIDTH-1] & !regy[WIDTH-1]); + regzf = (regy == 0); + end + 3'b001: begin // sub + {regcf, regy} = a - b; + regof = (!a[WIDTH-1] & b[WIDTH-1] & regy[WIDTH-1]) | + (a[WIDTH-1] & !b[WIDTH-1] & !regy[WIDTH-1]); + regzf = (regy == 0); + end + 3'b010: begin // and + regy = a & b; + regzf = (regy == 0); + regcf = 0; + regof = 0; + end + 3'b011: begin // or + regy = a | b; + regzf = (regy == 0); + regcf = 0; + regof = 0; + end + 3'b100: begin // xor + regy = a ^ b; + regzf = (regy == 0); + regcf = 0; + regof = 0; + end + default: begin // error + regy = 0; + regzf = 0; + regcf = 0; + regof = 0; + end + endcase + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/clock_div_pulse.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/clock_div_pulse.v" new file mode 100644 index 0000000..eccc27f --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/clock_div_pulse.v" @@ -0,0 +1,44 @@ +`timescale 1ns / 1ps +// copied & modified from https://github.com/jamieiles/uart, GPLv2 + +/* + * Hacky baud rate generator to divide a 50MHz clock into a 115200 baud + * rx/tx pair where the rx clcken oversamples by 16x. + */ +module baud_rate_gen + ( + input wire clk, + input rst, + output wire rxclk_en, + output wire txclk_en + ); + + parameter RX_ACC_MAX = 125000000 / (115200 * 16); + parameter TX_ACC_MAX = 125000000 / 115200; + parameter RX_ACC_WIDTH = 20; + parameter TX_ACC_WIDTH = 20; + //parameter RX_ACC_WIDTH = $clog2(RX_ACC_MAX); + //parameter TX_ACC_WIDTH = $clog2(TX_ACC_MAX); + reg [RX_ACC_WIDTH - 1:0] rx_acc = 0; + reg [TX_ACC_WIDTH - 1:0] tx_acc = 0; + + assign rxclk_en = (rx_acc == 0); + assign txclk_en = (tx_acc == 0); + + always @(posedge clk) begin + if (rst) rx_acc <= 1; + else if (rx_acc == RX_ACC_MAX[RX_ACC_WIDTH - 1:0]) + rx_acc <= 0; + else + rx_acc <= rx_acc + 1; + end + + always @(posedge clk) begin + if (rst) tx_acc <= 1; + else if (tx_acc == TX_ACC_MAX[TX_ACC_WIDTH - 1:0]) + tx_acc <= 0; + else + tx_acc <= tx_acc + 1; + end + +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu-multi-cycle.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu-multi-cycle.v" new file mode 100644 index 0000000..36fb0e5 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu-multi-cycle.v" @@ -0,0 +1,155 @@ +`timescale 1ns / 1ps + +module cpu_multi_cycle + ( + input clk, + input rst, + + output reg [31:0]a, + output reg [31:0]d, + output reg we, + input [31:0]spo + ); + + // internal registers + reg [31:0]instruction = 0; + reg [31:0]pc = 0; + reg [31:0]mdr = 0; + reg [31:0]ALUOut = 0; + reg [31:0]A = 0; + reg [31:0]B = 0; + + // some signals + wire ALUZero; + reg [31:0]newpc; + wire [31:0]imm = {{16{instruction[15]}}, instruction[15:0]}; + + // control unit signals + wire PCWrite; + wire IorD; + wire MemRead; + wire MemWrite; + wire [2:0]RegSrc; + wire IRWrite; + wire [2:0]PCSource; + wire [2:0]ALUm; + wire ALUSrcA; + wire [1:0]ALUSrcB; + wire RegWrite; + wire [1:0]RegDst; + control_unit control_unit_inst + ( + .clk(clk), + .rst(rst), + .instruction(instruction), + .ALUZero(ALUZero), + + .PCWrite(PCWrite), + .IorD(IorD), + .MemRead(MemRead), + .MemWrite(MemWrite), + .RegSrc(RegSrc), + .IRWrite(IRWrite), + .PCSource(PCSource), + .ALUm(ALUm), + .ALUSrcA(ALUSrcA), + .ALUSrcB(ALUSrcB), + .RegWrite(RegWrite), + .RegDst(RegDst) + ); + + // register file + reg [4:0]WriteRegister; + reg [31:0]WriteData; + wire [31:0]ReadData1; + wire [31:0]ReadData2; + register_file register_file_inst + ( + .clk(clk), + .ra0(instruction[25:21]), + .ra1(instruction[20:16]), + .wa(WriteRegister), + .we(RegWrite), + .wd(WriteData), + .rd0(ReadData1), + .rd1(ReadData2) + ); + + // memory mapper + reg [31:0]mem_addr; + reg [31:0]MemData; + always @ (*) begin + a = mem_addr; + d = B; + we = MemWrite; + MemData = spo; + end + + // ALU + reg [31:0]ALUIn1; + reg [31:0]ALUIn2; + wire [31:0]ALUResult; + alu alu_inst + ( + .m(ALUm), + .a(ALUIn1), + .b(ALUIn2), + .y(ALUResult), + .zf(ALUZero) + //.cf(), + //.of() + ); + + // datapath -- main + always @ (*) begin + case (IorD) + 0: mem_addr = pc; + 1: mem_addr = ALUOut; + endcase + case (RegDst) + 0: WriteRegister = instruction[20:16]; + 1: WriteRegister = instruction[15:11]; + 2: WriteRegister = 5'b11111; + endcase + case (RegSrc) + 0: WriteData = ALUOut; + 1: WriteData = mdr; + 2: WriteData = {instruction[15:0], 16'b0}; + 3: WriteData = pc; + endcase + case (ALUSrcB) + 0: ALUIn2 = B; + 1: ALUIn2 = 4; + 2: ALUIn2 = imm; + 3: ALUIn2 = imm << 2; + endcase + case (ALUSrcA) + 0: ALUIn1 = pc; + 1: ALUIn1 = A; + endcase + case (PCSource) + 0: newpc = ALUResult; + 1: newpc = ALUOut; + 2: newpc = {pc[31:28], instruction[25:0], 2'b0}; + 3: newpc = A; + endcase + end + always @ (posedge clk) begin + if (rst) begin + pc <= 32'b0; + instruction <= 0; + mdr <= 0; + ALUOut <= 0; + A <= 0; + B <= 0; + end + else begin + A <= ReadData1; + B <= ReadData2; + ALUOut <= ALUResult; + mdr <= MemData; + if (PCWrite) pc <= newpc; + if (IRWrite) instruction <= MemData; + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu_control_unit.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu_control_unit.v" new file mode 100644 index 0000000..117851b --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu_control_unit.v" @@ -0,0 +1,202 @@ +`timescale 1ns / 1ps + +module control_unit + ( + input clk, + input rst, + input [31:0]instruction, + input ALUZero, + + output reg PCWrite, + output reg IorD, + output reg MemRead, + output reg MemWrite, + output reg [2:0]RegSrc, + output reg IRWrite, + output reg [2:0]PCSource, + output reg [2:0]ALUm, + output reg ALUSrcA, + output reg [1:0]ALUSrcB, + output reg RegWrite, + output reg [1:0]RegDst + ); + + // control unit FSM state names + reg [7:0]phase = IF; + localparam IF = 0; + localparam ID_RF = 1; + localparam MEM_ADDR_CALC = 2; + localparam MEM_ACCESS_LW = 3; + localparam WB = 4; + localparam MEM_ACCESS_SW = 5; + localparam ADDI_END = 12; + localparam R_EX = 6; + localparam R_END = 7; + localparam BEQ_END = 8; + localparam J_END = 9; + localparam JAL_END = 10; + localparam JR_END = 11; + localparam LUI_END = 13; + localparam I_MFC0_END = 14; + //localparam I_MTC0_END = 15; + localparam I_ERET_END = 16; + localparam I_SYSCALL_END = 17; + localparam I_INT_END = 18; + localparam BAD = 99; + + // instruction[31:26] instruction type + wire [5:0]instr_type = instruction[31:26]; + localparam TYPE_REG = 6'b000000; + localparam TYPE_ADDI = 6'b001000; + localparam TYPE_LUI = 6'b001111; + localparam TYPE_LW = 6'b100011; + localparam TYPE_SW = 6'b101011; + localparam TYPE_BEQ = 6'b000100; + localparam TYPE_J = 6'b000010; + localparam TYPE_JAL = 6'b000011; + localparam TYPE_BAD = 0; + + // instruction[5:0] function + wire [5:0]instr_funct = instruction[5:0]; + localparam FUNCT_ADD = 6'b100000; + localparam FUNCT_SUB = 6'b100010; + localparam FUNCT_AND = 6'b100100; + localparam FUNCT_OR = 6'b100101; + localparam FUNCT_SLT = 6'b101010; + localparam FUNCT_JR = 6'b001000; + + // instruction label + reg [31:0]Op; + localparam OP_ADD = 91001; + localparam OP_SUB = 91001; + localparam OP_AND = 91001; + localparam OP_OR = 91001; + localparam OP_SLT = 91001; + localparam OP_ADDI = 90002; + localparam OP_LUI = 90003; + localparam OP_LW = 90004; + localparam OP_SW = 90005; + localparam OP_BEQ = 90006; + localparam OP_J = 90007; + localparam OP_JAL = 90008; + localparam OP_JR = 90009; + localparam OP_NOP = 91000; + localparam OP_BAD = 99000; + + // instruction decoding + always @ (*) begin + Op = OP_BAD; + case (instr_type) + TYPE_REG: case (instr_funct) + FUNCT_ADD: Op = OP_ADD; + FUNCT_SUB: Op = OP_SUB; + FUNCT_AND: Op = OP_AND; + FUNCT_OR: Op = OP_OR; + FUNCT_SLT: Op = OP_SLT; + FUNCT_JR: Op = OP_JR; + default: ; + endcase + TYPE_ADDI: Op = OP_ADDI; + TYPE_LUI: Op = OP_LUI; + TYPE_LW: Op = OP_LW; + TYPE_SW: Op = OP_SW; + TYPE_BEQ: Op = OP_BEQ; + TYPE_J: Op = OP_J; + TYPE_JAL: Op = OP_JAL; + default: ; + endcase + if (instruction == 32'b0) Op = OP_NOP; + end + + // control fsm + always @ (posedge clk) begin + if (rst) begin + phase <= IF; + end + else begin + case(phase) + IF: phase <= ID_RF; + ID_RF: begin + case(Op) + OP_NOP: phase <= IF; + + OP_LW: phase <= MEM_ADDR_CALC; + OP_SW: phase <= MEM_ADDR_CALC; + OP_ADDI: phase <= MEM_ADDR_CALC; + OP_LUI: phase <= LUI_END; + + OP_ADD: phase <= R_EX; + OP_SUB: phase <= R_EX; + OP_AND: phase <= R_EX; + OP_OR: phase <= R_EX; + + OP_BEQ: phase <= BEQ_END; + + OP_J: phase <= J_END; + OP_JAL: phase <= JAL_END; + OP_JR: phase <= JR_END; + default: phase <= BAD; + endcase + end + MEM_ADDR_CALC: case (Op) + OP_LW: phase <= MEM_ACCESS_LW; + OP_SW: phase <= MEM_ACCESS_SW; + OP_ADDI: phase <= ADDI_END; + default: phase <= BAD; + endcase + MEM_ACCESS_LW: phase <= WB; + WB: phase <= IF; + MEM_ACCESS_SW: phase <= IF; + ADDI_END: phase <= IF; + LUI_END: phase <= IF; + R_EX: phase <= R_END; + R_END: phase <= IF; + BEQ_END: phase <= IF; + J_END: phase <= IF; + JAL_END: phase <= IF; + JR_END: phase <= IF; + default: phase <= BAD; + endcase + end + end + + // control signals for each FSM states + always @ (*) begin + PCWrite = 0; + IorD = 0; + MemRead = 0; + MemWrite = 0; + RegSrc = 3'b000; + IRWrite = 0; + PCSource = 3'b000; + ALUm = 3'b000; + ALUSrcA = 0; + ALUSrcB = 0; + RegWrite = 0; + RegDst = 2'b00; + case (phase) + IF: begin MemRead = 1; ALUSrcB = 2'b01; IRWrite = 1; PCWrite = 1; end + ID_RF: ALUSrcB = 2'b11; + MEM_ADDR_CALC: begin ALUSrcA = 1; ALUSrcB = 2'b10; end + MEM_ACCESS_LW: begin MemRead = 1; IorD = 1; end + ADDI_END: begin RegWrite = 1; end + LUI_END: begin RegWrite = 1; RegSrc = 3'b010; end + WB: begin RegWrite = 1; RegSrc = 3'b001; end + MEM_ACCESS_SW: begin IorD = 1; MemWrite = 1; end + R_EX: begin + ALUSrcA = 1; + case (Op) + OP_ADD: ALUm = 3'b000; + OP_SUB: ALUm = 3'b001; + OP_AND: ALUm = 3'b010; + OP_OR: ALUm = 3'b011; + endcase + end + R_END: begin RegWrite = 1; RegDst = 2'b01; end + BEQ_END: begin ALUSrcA = 1; ALUm = 3'b001; PCWrite = ALUZero; PCSource = 3'b001; end + J_END: begin PCWrite = 1; PCSource = 3'b010; end + JAL_END: begin PCWrite = 1; PCSource = 3'b010; RegWrite = 1; RegDst = 2'b10; RegSrc = 3'b011; end + JR_END: begin PCWrite = 1; PCSource = 3'b011; end + endcase + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/debounce.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/debounce.v" new file mode 100644 index 0000000..8c91b2d --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/debounce.v" @@ -0,0 +1,77 @@ +`timescale 1ns / 1ps +// input button debounce +// https://timetoexplore.net/blog/arty-fpga-verilog-03 + +module debounce + #(parameter N = 2) + ( + input clk, + input [N-1:0]i_btn, + output reg [N-1:0]o_state = 0 + //output o_ondn, + //output o_onup + ); + + // sync with clock and combat metastability + reg [N-1:0]sync_0 = 0; + reg [N-1:0]sync_1 = 0; + always @(posedge clk) sync_0 <= i_btn; + always @(posedge clk) sync_1 <= sync_0; + + // 2.6 ms counter at 100 MHz + reg [18:0] counter; + wire idle = (o_state == sync_1); + wire [18:0]max = 10; + + always @(posedge clk) + begin + if (idle) + counter <= 0; + else + begin + counter <= counter + 1; + if (counter == max) + o_state <= sync_1; + end + end + + //assign o_ondn = ~idle & max & ~o_state; + //assign o_onup = ~idle & max & o_state; +endmodule +//module debounce + //#(parameter N = 4) + //( + //input clk, + //input [N-1:0]i_btn, + //output reg [N-1:0]o_state = 0 + ////output o_ondn, + ////output o_onup + //); + + //// sync with clock and combat metastability + //reg [N-1:0]sync_0 = 0; + //reg [N-1:0]sync_1 = 0; + //always @(posedge clk) sync_0 <= i_btn; + //always @(posedge clk) sync_1 <= sync_0; + + //// 2.6 ms(*2) counter at 100 MHz + //reg [18:0] counter = 0; + ////reg [19:0] counter; + //wire idle = (o_state == sync_1); + + //always @(posedge clk) + //begin + //if (idle) + //counter <= 0; + //else + //begin + //counter <= counter + 1; + //if (counter == 19'b11111) + ////o_state <= ~o_state; + //o_state <= sync_1; + //end + //end + + ////assign o_ondn = ~idle & max & ~o_state; + ////assign o_onup = ~idle & max & o_state; +//endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/dummy.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/dummy.v" new file mode 100644 index 0000000..a2029d0 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/dummy.v" @@ -0,0 +1,12 @@ +`timescale 1ns / 1ps +// dummy PL test on ebaz4205 +// 2020 COD Lab 6 +// ustcpetergu + +module dummy( + output led_g, + output led_r + ); + assign led_g = 1; + assign led_r = 0; +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/gpio.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/gpio.v" new file mode 100644 index 0000000..a818097 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/gpio.v" @@ -0,0 +1,38 @@ +`timescale 1ns / 1ps + +module gpio + ( + input clk, + input rst, + input [1:0]a, + input [31:0]d, + input we, + output reg [31:0]spo, + + input [1:0]btn, + output reg [1:0]led + ); + + always @ (*) begin + case (a) + 0: spo = {31'b0, btn[0]}; + 1: spo = {31'b0, btn[1]}; + 2: spo = {31'b0, led[0]}; + 3: spo = {31'b0, led[1]}; + default: spo = 32'b0; + endcase + end + + always @ (posedge clk) begin + if (rst) begin + led <= 2'b11; + end + else if (we) begin + case (a) + 2: led[0] <= d[0]; + 3: led[1] <= d[0]; + default: ; + endcase + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/helloworldtest.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/helloworldtest.v" new file mode 100644 index 0000000..8a57b91 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/helloworldtest.v" @@ -0,0 +1,29 @@ +`timescale 1ns / 1ps +// helloworld test on ebaz4205 +// 2020 COD Lab 6 +// ustcpetergu + +module helloworldtest( + input clk, + + input btndata1, + input btndata2, + + output led_g, + output led_r, + output reg leddata1, + output reg leddata2 + ); + + reg [24:0]count = 0; + always @ (posedge clk) begin + count <= count + 1; + end + assign led_g = count[24]; + assign led_r = !count[24]; + + always @ (posedge clk) begin + leddata1 <= btndata1; + leddata2 <= btndata2; + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/mmapper.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/mmapper.v" new file mode 100644 index 0000000..dd4205c --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/mmapper.v" @@ -0,0 +1,64 @@ +`timescale 1ns / 1ps + +module mmapper + ( + input [31:0]a, + input [31:0]d, + //input [31:0]dpra, + input we, + output reg [31:0]spo, + //output reg [31:0]dpo = 0, + + // 1024*32(4KB) boot rom: 0x00000000 + output reg [8:0]bootm_a, + output reg [31:0]bootm_d, + output reg bootm_we, + input [31:0]bootm_spo, + + // main memory: 0x10000000 + output reg [8:0]mainm_a, + output reg [31:0]mainm_d, + output reg mainm_we, + input [31:0]mainm_spo, + + // gpio: 0x20000000 + output reg [1:0]gpio_a, + output reg [31:0]gpio_d, + output reg gpio_we, + input [31:0]gpio_spo, + + // fifo uart: + // write 0x30000000 + // full 0x30000004 + // empty 0x30000008 + output reg [2:0]uart_a, + output reg [31:0]uart_d, + output reg uart_we, + input [31:0]uart_spo + ); + + always @ (*) begin + bootm_a = a[10:2]; + bootm_d = d; + mainm_a = a[10:2]; + mainm_d = d; + gpio_a = a[3:2]; + gpio_d = d; + uart_a = a[4:2]; + uart_d = d; + end + + always @ (*) begin + bootm_we = 0; + mainm_we = 0; + gpio_we = 0; + uart_we = 0; + case (a[31:28]) + 0: begin spo = bootm_spo; bootm_we = we; end + 1: begin spo = mainm_spo; mainm_we = we; end + 2: begin spo = gpio_spo; gpio_we = we; end + 3: begin spo = uart_spo; uart_we = we; end + default: ; + endcase + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/register_file.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/register_file.v" new file mode 100644 index 0000000..ca528a7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/register_file.v" @@ -0,0 +1,40 @@ +`timescale 1ns / 1ps +// pCPU regfile with debug port + +module register_file + #(parameter WIDTH = 32) + ( + input clk, + input [4:0]ra0, + input [4:0]ra1, + input [4:0]ra2, + input [4:0]wa, + input we, + input [WIDTH-1:0]wd, + output reg [WIDTH-1:0]rd0, + output reg [WIDTH-1:0]rd1, + output reg [WIDTH-1:0]rd2 + ); + + reg [WIDTH-1:0]regfile[31:0]; + initial $readmemh("/home/petergu/MyHome/pComputer/pCPU/regfile.dat", regfile); + + always @ (*) begin + if (ra0 == 5'b0) rd0 = 0; + else rd0 = regfile[ra0]; + if (ra1 == 5'b0) rd1 = 0; + else rd1 = regfile[ra1]; + if (ra2 == 5'b0) rd2 = 0; + else rd2 = regfile[ra2]; + end + + always @ (posedge clk) begin + if (we) begin + if (wa != 5'b0) begin + regfile[wa] <= wd; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/uart.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/uart.v" new file mode 100644 index 0000000..887d687 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/uart.v" @@ -0,0 +1,175 @@ +`timescale 1ns / 1ps +// pComputer UART I/O +// input XXMHz, 16x oversampling +// warning: not very reliable: read/write together case, ... +// so need special software care(better to write one value and wait until idle) +// +// write 0x00: transmit data +// read 0x00: received data +// write 0x01: begin receiving +// read 0x01: receive done? +// read 0x02: transmit done? +// *need to x4 these addresses in assembly! + +module uart + ( + input clk, + input rst, + + input [2:0]a, + input [31:0]d, + input we, + output reg [31:0]spo, + + //output wire read_enabled_out, + //output wire rx_state_out0, + //output wire rx_state_out1, + + input rx, + output reg tx = 1 + ); + + wire rxclk_en; + wire txclk_en; + baud_rate_gen baud_rate_gen_inst + ( + .clk(clk), + .rst(rst), + .rxclk_en(rxclk_en), + .txclk_en(txclk_en) + ); + + localparam IDLE = 3'b000; + //localparam PREPARE1 = 3'b001; + //localparam PREPARE2 = 3'b010; + localparam START = 3'b011; + localparam DATA = 3'b100; + localparam STOP = 3'b101; + reg [2:0]state_tx = IDLE; + reg [7:0]data_tx = 8'h00; + reg [2:0]bitpos_tx = 0; + + localparam RX_STATE_START = 2'b01; + localparam RX_STATE_DATA = 2'b10; + localparam RX_STATE_STOP = 2'b11; + reg [1:0]state_rx = RX_STATE_START; + //assign rx_state_out0 = state_rx[0]; + //assign rx_state_out1 = state_rx[1]; + reg [3:0]sample = 0; + reg [3:0]bitpos_rx = 0; + reg [7:0]scratch = 8'b0; + + reg read_enabled = 0; + reg [7:0]data_rx = 0; + //reg [7:0]data_rx_ready = 0; + + always @ (*) begin + if (a == 3'b000) spo = {24'b0, data_rx}; + else if (a == 3'b001) spo = {31'b0, !read_enabled}; + else if (a == 3'b010) spo = {31'b0, (state_tx == IDLE)}; + else spo = 32'b0; + end + always @ (posedge clk) begin + if (rst) begin + tx <= 1'b1; + data_tx <= 0; + bitpos_tx <= 0; + state_tx <= IDLE; + + data_rx <= 0; + read_enabled <= 0; + //data_rx_ready <= 0; + state_rx <= RX_STATE_START; + sample <= 0; + end + else begin + //if (we) begin + //if (a == 3'b011 & d[0]) begin + //// so no way to set this to 0(cancel reading)! + //read_enabled <= 1; + //end + //if (a == 3'b000 & !fifo_full) begin + //if (a == 3'b000 & !tx_write) begin + ////fifo_enqueue <= 1; + ////fifo_in <= d[7:0]; + //data_tx <= d[7:0]; + //end + //else begin + //fifo_enqueue <= 0; + //fifo_in <= 8'b0; + //end + //end + case (state_tx) + IDLE: if (we & (a == 3'b000)) begin + data_tx <= d[7:0]; + state_tx <= START; + end + START: if (txclk_en) begin + bitpos_tx <= 0; + tx <= 1'b0; + state_tx <= DATA; + end + DATA: if (txclk_en) begin + if (bitpos_tx == 7) state_tx <= STOP; + else bitpos_tx <= bitpos_tx + 1; + tx <= data_tx[bitpos_tx]; + end + STOP: if (txclk_en) begin + tx <= 1'b1; + state_tx <= IDLE; + end + default: ; + endcase + + if (we & a == 3'b001) begin + read_enabled <= 1; + end + else if (rxclk_en) begin + case (state_rx) + /* + Start counting from the first low sample, once we've + sampled a full bit, start collecting data bits. + */ + RX_STATE_START: begin + //data_rx_ready <= 0; + if (!rx || sample != 0) sample <= sample + 1; + if (sample == 15) begin + state_rx <= RX_STATE_DATA; + bitpos_rx <= 0; + sample <= 0; + scratch <= 0; + end + end + RX_STATE_DATA: begin + sample <= sample + 1; + if (sample == 8) begin + scratch[bitpos_rx[2:0]] <= rx; + bitpos_rx <= bitpos_rx + 1; + end + if (bitpos_rx == 8 && sample == 15) state_rx <= RX_STATE_STOP; + end + /* + Our baud clock may not be running at exactly the + same rate as the transmitter. If we thing that + we're at least half way into the stop bit, allow + transition into handling the next start bit. + */ + RX_STATE_STOP: begin + if (sample == 15 || (sample >= 8 && !rx)) begin + state_rx <= RX_STATE_START; + data_rx <= scratch; + //data_rx_ready <= 1; + read_enabled <= 0; + sample <= 0; + end else begin + sample <= sample + 1; + end + end + default: state_rx <= RX_STATE_START; + endcase + end + + + end + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6.tcl" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6.tcl" new file mode 100644 index 0000000..16fb188 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab6/lab6.tcl" @@ -0,0 +1,1304 @@ +#***************************************************************************************** +# Vivado (TM) v2019.1 (64-bit) +# +# lab6.tcl: Tcl script for re-creating project 'lab6-softcore-on-ebaz4205' +# +# Generated by Vivado on Fri Jul 10 16:30:44 CST 2020 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +#***************************************************************************************** +# NOTE: In order to use this script for source control purposes, please make sure that the +# following files are added to the source control system:- +# +# 1. This project restoration tcl script (lab6.tcl) that was generated. +# +# 2. The following source(s) files that were local or imported into the original project. +# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script) +# +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/hdl/bd_hello_wrapper.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/alu.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu_control_unit.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/register_file.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu-multi-cycle.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/gpio.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/mmapper.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/clock_div_pulse.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/uart.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/debounce.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_main/hdl/bd_main_wrapper.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/helloworldtest.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/dummy.v" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/ip/bd_hello_bd_main_0_2/src/result_echo.coe" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/constrs_1/imports/lab6/ebaz4205.xdc" +# "/home/petergu/MyHome/COD/lab6/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sim_1/new/bd_main_simu.v" +# +# 3. The following remote source files that were added to the original project:- +# +# "/home/petergu/MyHome/COD/lab6/result_echo.coe" +# +#***************************************************************************************** + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir "." + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set _xil_proj_name_ "lab6-softcore-on-ebaz4205" + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set _xil_proj_name_ $::user_project_name +} + +variable script_file +set script_file "lab6.tcl" + +# Help information for this script +proc print_help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < $::argc} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] } + "--help" { print_help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set orig_proj_dir "[file normalize "$origin_dir/lab6-softcore-on-ebaz4205"]" + +# Create project +create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z010clg400-1 + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Set project properties +set obj [current_project] +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.accelerator_binary_content" -value "bitstream" -objects $obj +set_property -name "dsa.accelerator_binary_format" -value "xclbin2" -objects $obj +set_property -name "dsa.description" -value "Vivado generated DSA" -objects $obj +set_property -name "dsa.dr_bd_base_address" -value "0" -objects $obj +set_property -name "dsa.emu_dir" -value "emu" -objects $obj +set_property -name "dsa.flash_interface_type" -value "bpix16" -objects $obj +set_property -name "dsa.flash_offset_address" -value "0" -objects $obj +set_property -name "dsa.flash_size" -value "1024" -objects $obj +set_property -name "dsa.host_architecture" -value "x86_64" -objects $obj +set_property -name "dsa.host_interface" -value "pcie" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.platform_state" -value "pre_synth" -objects $obj +set_property -name "dsa.vendor" -value "xilinx" -objects $obj +set_property -name "dsa.version" -value "0.0" -objects $obj +set_property -name "enable_vhdl_2008" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj +set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj +set_property -name "part" -value "xc7z010clg400-1" -objects $obj +set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "webtalk.activehdl_export_sim" -value "8" -objects $obj +set_property -name "webtalk.ies_export_sim" -value "8" -objects $obj +set_property -name "webtalk.modelsim_export_sim" -value "8" -objects $obj +set_property -name "webtalk.questa_export_sim" -value "8" -objects $obj +set_property -name "webtalk.riviera_export_sim" -value "8" -objects $obj +set_property -name "webtalk.vcs_export_sim" -value "8" -objects $obj +set_property -name "webtalk.xcelium_export_sim" -value "2" -objects $obj +set_property -name "webtalk.xsim_export_sim" -value "8" -objects $obj +set_property -name "webtalk.xsim_launch_sim" -value "5" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/lab6-softcore-on-ebaz4205/ip"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + [file normalize "${origin_dir}/result_echo.coe"] \ +] +add_files -norecurse -fileset $obj $files + +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/hdl/bd_hello_wrapper.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/alu.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu_control_unit.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/register_file.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/cpu-multi-cycle.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/gpio.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/mmapper.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/clock_div_pulse.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/uart.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/debounce.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_main/hdl/bd_main_wrapper.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/helloworldtest.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/new/dummy.v" ]\ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sources_1/bd/bd_hello/ip/bd_hello_bd_main_0_2/src/result_echo.coe" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "src/result_echo.coe" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "" -objects $file_obj +set_property -name "used_in_simulation" -value "0" -objects $file_obj +set_property -name "used_in_synthesis" -value "0" -objects $file_obj + + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "bd_hello_wrapper" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Add/Import constrs file and set constrs file properties +set file "[file normalize ${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/constrs_1/imports/lab6/ebaz4205.xdc]" +set file_imported [import_files -fileset constrs_1 [list $file]] +set file "lab6/ebaz4205.xdc" +set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]] +set_property -name "file_type" -value "XDC" -objects $file_obj + +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] +set_property -name "target_part" -value "xc7z010clg400-1" -objects $obj + +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab6-softcore-on-ebaz4205/lab6-softcore-on-ebaz4205.srcs/sim_1/new/bd_main_simu.v" ]\ +] +set imported_files [import_files -fileset sim_1 $files] + +# Set 'sim_1' fileset file properties for remote files +# None + +# Set 'sim_1' fileset file properties for local files +# None + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "top" -value "top_simu" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj +set_property -name "top_lib" -value "xil_defaultlib" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "1" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "1" -objects $obj + +# Set 'utils_1' fileset object +set obj [get_filesets utils_1] +# Empty (no sources present) + +# Set 'utils_1' fileset properties +set obj [get_filesets utils_1] + + +# Adding sources referenced in BDs, if not already added + + +# Proc to create BD bd_hello +proc cr_bd_bd_hello { parentCell } { + + # CHANGE DESIGN NAME HERE + set design_name bd_hello + + common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..." + + create_bd_design $design_name + + set bCheckIPsPassed 1 + ################################################################## + # CHECK IPs + ################################################################## + set bCheckIPs 1 + if { $bCheckIPs == 1 } { + set list_check_ips "\ + user.org:user:bd_main:1.0\ + xilinx.com:ip:processing_system7:5.5\ + xilinx.com:ip:xlconcat:2.1\ + " + + set list_ips_missing "" + common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ." + + foreach ip_vlnv $list_check_ips { + set ip_obj [get_ipdefs -all $ip_vlnv] + if { $ip_obj eq "" } { + lappend list_ips_missing $ip_vlnv + } + } + + if { $list_ips_missing ne "" } { + catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." } + set bCheckIPsPassed 0 + } + + } + + if { $bCheckIPsPassed != 1 } { + common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above." + return 3 + } + + variable script_folder + + if { $parentCell eq "" } { + set parentCell [get_bd_cells /] + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"} + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be ."} + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + + # Create interface ports + set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ] + + set FIXED_IO [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 FIXED_IO ] + + set MDIO_ETHERNET_0_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:mdio_rtl:1.0 MDIO_ETHERNET_0_0 ] + + + # Create ports + set ENET0_GMII_RX_CLK_0 [ create_bd_port -dir I -type clk ENET0_GMII_RX_CLK_0 ] + set ENET0_GMII_RX_DV_0 [ create_bd_port -dir I ENET0_GMII_RX_DV_0 ] + set ENET0_GMII_TX_CLK_0 [ create_bd_port -dir I -type clk ENET0_GMII_TX_CLK_0 ] + set ENET0_GMII_TX_EN_0 [ create_bd_port -dir O -from 0 -to 0 ENET0_GMII_TX_EN_0 ] + set btndata [ create_bd_port -dir I -from 1 -to 0 btndata ] + set enet0_gmii_rxd [ create_bd_port -dir I -from 3 -to 0 enet0_gmii_rxd ] + set enet0_gmii_txd [ create_bd_port -dir O -from 3 -to 0 enet0_gmii_txd ] + set leddata [ create_bd_port -dir O -from 1 -to 0 leddata ] + set uart_rx [ create_bd_port -dir I uart_rx ] + set uart_tx [ create_bd_port -dir O uart_tx ] + + # Create instance: bd_main_0, and set properties + set bd_main_0 [ create_bd_cell -type ip -vlnv user.org:user:bd_main:1.0 bd_main_0 ] + + # Create instance: processing_system7_0, and set properties + set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [ list \ + CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {666.666687} \ + CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \ + CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {25.000000} \ + CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {125.000000} \ + CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_CLK0_FREQ {125000000} \ + CONFIG.PCW_CLK1_FREQ {10000000} \ + CONFIG.PCW_CLK2_FREQ {10000000} \ + CONFIG.PCW_CLK3_FREQ {10000000} \ + CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \ + CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \ + CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \ + CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \ + CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DDR_RAM_HIGHADDR {0x0FFFFFFF} \ + CONFIG.PCW_ENET0_ENET0_IO {EMIO} \ + CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ + CONFIG.PCW_ENET0_GRP_MDIO_IO {EMIO} \ + CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {External} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {5} \ + CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {100 Mbps} \ + CONFIG.PCW_ENET0_RESET_ENABLE {0} \ + CONFIG.PCW_ENET1_GRP_MDIO_ENABLE {0} \ + CONFIG.PCW_ENET1_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_ENET1_PERIPHERAL_FREQMHZ {1000 Mbps} \ + CONFIG.PCW_ENET1_RESET_ENABLE {0} \ + CONFIG.PCW_ENET_RESET_ENABLE {0} \ + CONFIG.PCW_EN_EMIO_CD_SDIO1 {0} \ + CONFIG.PCW_EN_EMIO_ENET0 {1} \ + CONFIG.PCW_EN_EMIO_ENET1 {0} \ + CONFIG.PCW_EN_EMIO_SDIO1 {0} \ + CONFIG.PCW_EN_EMIO_WP_SDIO1 {0} \ + CONFIG.PCW_EN_ENET0 {1} \ + CONFIG.PCW_EN_ENET1 {0} \ + CONFIG.PCW_EN_SDIO0 {1} \ + CONFIG.PCW_EN_SDIO1 {0} \ + CONFIG.PCW_EN_SMC {1} \ + CONFIG.PCW_EN_UART1 {1} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {4} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {2} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {125} \ + CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK1_ENABLE {0} \ + CONFIG.PCW_FPGA_FCLK2_ENABLE {0} \ + CONFIG.PCW_FPGA_FCLK3_ENABLE {0} \ + CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {25} \ + CONFIG.PCW_IOPLL_CTRL_FBDIV {30} \ + CONFIG.PCW_IO_IO_PLL_FREQMHZ {1000.000} \ + CONFIG.PCW_MIO_0_DIRECTION {out} \ + CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_0_PULLUP {enabled} \ + CONFIG.PCW_MIO_0_SLEW {slow} \ + CONFIG.PCW_MIO_10_DIRECTION {inout} \ + CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_10_PULLUP {enabled} \ + CONFIG.PCW_MIO_10_SLEW {slow} \ + CONFIG.PCW_MIO_11_DIRECTION {inout} \ + CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_11_PULLUP {enabled} \ + CONFIG.PCW_MIO_11_SLEW {slow} \ + CONFIG.PCW_MIO_12_DIRECTION {inout} \ + CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_12_PULLUP {enabled} \ + CONFIG.PCW_MIO_12_SLEW {slow} \ + CONFIG.PCW_MIO_13_DIRECTION {inout} \ + CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_13_PULLUP {enabled} \ + CONFIG.PCW_MIO_13_SLEW {slow} \ + CONFIG.PCW_MIO_14_DIRECTION {in} \ + CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_14_PULLUP {enabled} \ + CONFIG.PCW_MIO_14_SLEW {slow} \ + CONFIG.PCW_MIO_24_DIRECTION {out} \ + CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_24_PULLUP {enabled} \ + CONFIG.PCW_MIO_24_SLEW {slow} \ + CONFIG.PCW_MIO_25_DIRECTION {in} \ + CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_25_PULLUP {enabled} \ + CONFIG.PCW_MIO_25_SLEW {slow} \ + CONFIG.PCW_MIO_2_DIRECTION {out} \ + CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_2_PULLUP {disabled} \ + CONFIG.PCW_MIO_2_SLEW {slow} \ + CONFIG.PCW_MIO_3_DIRECTION {out} \ + CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_3_PULLUP {disabled} \ + CONFIG.PCW_MIO_3_SLEW {slow} \ + CONFIG.PCW_MIO_40_DIRECTION {inout} \ + CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_40_PULLUP {enabled} \ + CONFIG.PCW_MIO_40_SLEW {slow} \ + CONFIG.PCW_MIO_41_DIRECTION {inout} \ + CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_41_PULLUP {enabled} \ + CONFIG.PCW_MIO_41_SLEW {slow} \ + CONFIG.PCW_MIO_42_DIRECTION {inout} \ + CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_42_PULLUP {enabled} \ + CONFIG.PCW_MIO_42_SLEW {slow} \ + CONFIG.PCW_MIO_43_DIRECTION {inout} \ + CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_43_PULLUP {enabled} \ + CONFIG.PCW_MIO_43_SLEW {slow} \ + CONFIG.PCW_MIO_44_DIRECTION {inout} \ + CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_44_PULLUP {enabled} \ + CONFIG.PCW_MIO_44_SLEW {slow} \ + CONFIG.PCW_MIO_45_DIRECTION {inout} \ + CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_45_PULLUP {enabled} \ + CONFIG.PCW_MIO_45_SLEW {slow} \ + CONFIG.PCW_MIO_4_DIRECTION {inout} \ + CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_4_PULLUP {disabled} \ + CONFIG.PCW_MIO_4_SLEW {slow} \ + CONFIG.PCW_MIO_52_DIRECTION {out} \ + CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_52_PULLUP {enabled} \ + CONFIG.PCW_MIO_52_SLEW {slow} \ + CONFIG.PCW_MIO_53_DIRECTION {inout} \ + CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_53_PULLUP {enabled} \ + CONFIG.PCW_MIO_53_SLEW {slow} \ + CONFIG.PCW_MIO_5_DIRECTION {inout} \ + CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_5_PULLUP {disabled} \ + CONFIG.PCW_MIO_5_SLEW {slow} \ + CONFIG.PCW_MIO_6_DIRECTION {inout} \ + CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_6_PULLUP {disabled} \ + CONFIG.PCW_MIO_6_SLEW {slow} \ + CONFIG.PCW_MIO_7_DIRECTION {out} \ + CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_7_PULLUP {disabled} \ + CONFIG.PCW_MIO_7_SLEW {slow} \ + CONFIG.PCW_MIO_8_DIRECTION {out} \ + CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_8_PULLUP {disabled} \ + CONFIG.PCW_MIO_8_SLEW {slow} \ + CONFIG.PCW_MIO_9_DIRECTION {inout} \ + CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_9_PULLUP {enabled} \ + CONFIG.PCW_MIO_9_SLEW {slow} \ + CONFIG.PCW_MIO_TREE_PERIPHERALS {NAND Flash#unassigned#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#NAND Flash#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#UART 1#UART 1#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned} \ + CONFIG.PCW_MIO_TREE_SIGNALS {cs#unassigned#ale#we_b#data[2]#data[0]#data[1]#cle#re_b#data[4]#data[5]#data[6]#data[7]#data[3]#busy#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#tx#rx#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#clk#cmd#data[0]#data[1]#data[2]#data[3]#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned#unassigned} \ + CONFIG.PCW_NAND_GRP_D8_ENABLE {0} \ + CONFIG.PCW_NAND_NAND_IO {MIO 0 2.. 14} \ + CONFIG.PCW_NAND_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_NOR_GRP_A25_ENABLE {0} \ + CONFIG.PCW_NOR_GRP_CS0_ENABLE {0} \ + CONFIG.PCW_NOR_GRP_CS1_ENABLE {0} \ + CONFIG.PCW_NOR_GRP_SRAM_CS0_ENABLE {0} \ + CONFIG.PCW_NOR_GRP_SRAM_CS1_ENABLE {0} \ + CONFIG.PCW_NOR_GRP_SRAM_INT_ENABLE {0} \ + CONFIG.PCW_NOR_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {5} \ + CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {0} \ + CONFIG.PCW_QSPI_GRP_IO1_ENABLE {0} \ + CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {0} \ + CONFIG.PCW_QSPI_GRP_SS1_ENABLE {0} \ + CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_QSPI_PERIPHERAL_FREQMHZ {200} \ + CONFIG.PCW_SD0_GRP_CD_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \ + CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \ + CONFIG.PCW_SD1_GRP_CD_ENABLE {0} \ + CONFIG.PCW_SD1_GRP_POW_ENABLE {0} \ + CONFIG.PCW_SD1_GRP_WP_ENABLE {0} \ + CONFIG.PCW_SD1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_SD1_SD1_IO {

      Mb_vjstR+E|72$=Xc+aN=5OWOAu1(#d_ES3@^h(%fZpVMd6A?4WtEQmIbYs7{Kg z;-aA^BP72AdD-YPY*Y!y%T=2o#@TXI@dYN8aD;KJgL|{G9o`IFW+dIK%}(;3e+&>7 zR&fK9AMjS-BJm_gw&MJ%{4j@K9kGx46l=`!wh6YcxQLent}EI|oe!lA5$l+Oa~2q} zM7uP`!q!pS$iC4SC;9E;x?|bI9HZ7X8Jc8El^gTJOLkNDVQ_z5avTR|*Y7f_0)oDPE-t!_C$6nlP`T&W^7wc0kyD zefXz*1uSRg&()=>@T48?iv}6yhZgvaas8@n0ZRXmle%BD&{c!xSWCVH;^Kqbwt!}u zdw+{-*l+qSZ{}dndxEbX@Pzf+iMcD~(6O?|sNcccMmP&1&$8=82#MMQ&V7b&rJEiRb8GCsKzQj*RvaUXq*m$uYVVYy``1Iq3LIFER*KC!c zsPvWrf)`eD{e_%rKrh)OWf#s5WYZAam#&4AI;>frH%wz6X^w=9miU6EAXd&vbL0E+ z0gYc(qwq80eSI@um=2}*4+1k=EWkpbghRzxC|fhXI0DHFq+&VwD87df6K<@G&f?QT>vHkdFDB4D%Ea|Xz@HAG7?n*K zTIzhAchXH#lH4?^-ZW7HVkri#STzvXy4%_gxNo4~0lUlX)hSE?h35-_tIEMH_#xux zl0?Hw>&R(TEw#?oPj8v!q>XY=_9Q?lrenv3taRli?J=!j2J3a@E-YmVfS~1%gFg6z zUom05z5DHL1&4*LjRL#kfnc{sn=wgd=n6=LHA9RGXfdrI7C>khTx_LMZNU#(=QS;F z2^_!Yy>TpurZ7DW{l0w2mdq~^%#Oz8p|)m;q(mpuGQBj}s#hA}Vp>~}a9PjHi6cLU zau>}cLSr4t*=ErlYnfy}0zndJJKnrNxA1;rvebgz?6RN~Z%C&Qb9TuiELlwh?|4L) zAahWTGLGTxcPpHhr&%;6z>SdTfW6t|Ma+j5y?m^t@>4Z-ZNvVcA{QNoZupYN1+W|z zD@s0DCnRc}H6+|yESKZdgEFymH0*cwIBQysL>0b|Hq9{If`mCT8x(B;9h_*qK|s(g z0cajGg0sjl7Nx`VGA4tEpmYDHU%b~Oc+sQd z1$gE%N=wSdIX~94H7~E%oqZC$K5|hW^3Z_tZn2>@OD>t* zoLn`-OcdkFN2#4TT@l;|vS79-NiVT+Dl z^ZC;po&G?odBci*dPG)TxSQ&G{X_lY)bb>$t-jwnI!c6z%udn3X$);I`gD2iS>kS>kYfk&0IcY;TXLC~m()-~RiTl3i&k*qfyr2Y4=I zkcA2>${^nvrCHuok)^$EM&`zSblYy32zcEU`K@b|#0EjZ?-@%KzEkbMMt)6ol_j@( zjUWPBw_EASZEIocDx4_F)k>PxMc+nbel7=zE{l05}V3qR~Y;^*dh}`{0skU z&&qXfSBEwu0mPI=c{0T6d!fL>0-u&+uD{v-kv*yVD|=m5>3bBF_F-;G$LrdPj~LPF zNnxd80^}gXG=gV_DhFyc+ZivHqV}(#)MLU)f&IUKYOgJOTj|b;sP?ZJ;3^E{IJ?+# zcwAk2vNQ>hY~bLq6(LJ~^5t@(@=jCys(f*Rw|){7w6%|YvQ&v}wYratuHde1YI>Jy zwscFi2Lk)7V`1L9f6{_PJ!&L+!B6cgA~_#vH-tfllUU+!>9-D7tvsPb4=C&{mX5-h zh`y&uI;gV1HR}XTR~s2b$@R5b3D7BjXo_2dnqap?$4H2SA`)d8-jlN>pU#7z+&;3{ zc$cbg)!Cj|ZMeaw-(hbZ#KPbvF^pVY@{_I5zyNQ)K36QRr&|}-Ziu12jsI zbE~dObFwQD7xNM$Nd1D4fv+6g-zSEI?vr90W;pD3`P4oV5azRGY~E61^KSfu4~J~= zkjk6lcTy12-%oK8l1RvH%{lf@S-a(p-OesgB(-9#_UEtr24yMR%890TKHh|Lk3Gml zidvo*Cn5x0AuZ;us722n!;4F|nTT6Gn38lqn$UF)@qQxr0EGAv&gg_7BEPnr1S!%h z*8peEe9%q5zK7KmN$F!?8+Wb0DvkJsE8)pjz>3(dbfU|rW1W(LBuT;P)| z-^+bH0@b&BCmL^UW?+oDl;Onr43JP=pm>htsI zcPMu(V>Y}SS^7~n>J)4UB_7S1puZ44=LlZgtBT}gx@m%&`I@rytzd>7DUGpV-S05_ z7vqgDyu}ij3>3yHn~x{8*rLSD0c?8Ufb@E|k5!3n8Pg9W=1`cR0X7ks@!IuV4PS$= z_Goj_X#92J_~V8Q52)$;|TOI*}#wS?{V!m6M~gM1(>$Oy3gkSn02&9R|C zf#*HG(+9?&I=}t|pq~cUpKZ5eo%iA~taw!_EsbM)^~RSILbJP;Ms#Az7Zh7{N!LGt zfGFkfo4`)@l$%vX)!G4J<~#EztF=D!D}m)QOvU3f=>GA_RYtJ$<6yR$voGhc)Z(M3 zN!ZYxuc+Nztut>TuZoU-UxvbcLN)ejcBxlNmLVH$;TM{3A-~Ai1GXm*?5+p=yuj&6 zb&rRrioSa=Am&DIlu#;V7#hZ<1GJWu6M-$nF1ACRLV37k!IMa+h{Y;%IS4f>1o52; zO$TGDYcFcK%}^UHS);!!ykeOwR0zACbJ`cDk$c+OsUk+aABGWE$pSq?CWTngDa-xR zAG{aSc`em4cYUu<v!YzCM`J4V0k&GeG!s(=D%=H)UryV7WFa7t3*&yoY%@Mu0>hYj^U=DY34d8u6!i9H&1Y|&jRjisD+}L*A}6P?mOj$n@Zh7 z`L5TPC%|+#>vU_pd~lj-pvl-cD6QqAy_g68RG*NGq-sdYpuL6+AspiGF26c(Zn@9} z@|aYxTe$>|uQ084T#oq8lafr}cn0tTi*lg#B}%;D?4@?(jw2y8YyjkVj{1{pmGT=4 z9rMznllBm;lysgL)Bo|L%USgH*;(^+lz(XJ3QUhV3WcPSsLb6OKvzqTKW3Lh$7)ejHSxDV zm#9O5#egco_dLptf63Yui>2G9SjF_ zj$w)oP*c>V09UwcwqLw;6n^aeo#dPOn_5#ev{V#dR9|m!B8I+Z%X$N( z${=roC#7SnO>}XPH2zFMoO1}K*LPCNBZKPQS5xq`a1w#&YI{OBO$4#%V`Rm@mHm{f zUgwJI1y+(SDVEQ;VyNg<6+ulf0uh1PhtoV>&gY-h$d9+zyCknNa=f>{R#HG*0>- zH8N`ic3I?nxNY@mRnwKhb*lTswp=Y*t{xUv(?jmJJv1Wov+LNLw%!eJYsN3m;@!Cj zfpGPXpQ)rFzc0nGy47f>Uluf@61T{oiKjvLRuRHqgt&)twp8FoSyG;%m`L@Bq>3nV z00p2MQN86<4PfpjsO!SAi*!D%_^lcx=GYa~j;ygcL`gQQQb*aqjqiTnnHtxU{Uk!q zo4FvTG}35ORn+el-hafme#F+X7h(KVzmnEMO!Pq|cYZWUF}R_0XHQnfsY&2csQXII zf3J5)r`k=cSdgtIMRI8^kJ|O#YwouVb2LR`qoHg)saX$%_oPWl68I-v) z=l3z!z$Ny)tzrMs^;_~(xR^#LEopL5Ice4voP;LSo+jgrifh6zEZi4d%$pLj6FIM2 zZ>g{*u+4FQ_l5T77j^@MPG=MFMenDACo^?lApXLIB0z`*$-}E$5w`orA zsoMo=>5Pc(pxN2C`jKR;_p$4Y~Ms7sR1D2kb$bKI6X2pn&XJlP+wGeM3F`TES6}^Ol9zBiNQ=UnY5!L zrXB*aAEO45Ubd^N0~TZ-3M!2xjW`b7jlXn(cs0zgcMta~$0uY|E2>g$qWyru zTtKev&R%B)op+qwJl_Jgbn~qz&uSXt=V3_2^{MOvfp#u$-`@d+YEGRAumN0u54*rQNNGC*00-Oz-&-J73>7yo|=_l{knB^~i)RD2Vv0vs@-;69BW>*?ap#IwSpDSNJ2_5Vo z5CDv?WcwG)hgUcG9~P@}_+K!9UM=>2%<86GS+#l{qRju&X1E<||KFs)>(nako}5TC z2h_}1wS+~ZM;4oz=oTUTs|RUpa?;h=xv8y)*pFmBT;=P5+XXTJN*fvGy+fj>uhdF5`a`jJG@e9;?;w3PDw=aCyGo z{j2UD0$`2P+uNIlhK6kLdUt?EK69|+f73Zr>gnMzk->5F^Yh(aNhx6m9_wEjfTZNF zogKsfc4B9xe}Lzr z3KugS9o`oo-r1eONQ?=jECPyuXuV>MI=U@7Nr8rT{~!Ri3Dt3KGQp@5|4b<$A8Aj| zgcHg6-{G>@5vMU|BtkK)xMrdkO)ya!9Og( zGmT{|`f?=E|0BaKB?I}dHh|E7v^Ae^c)XklQ&Cb54qtlR&r0GU{6o*@TX3vxSQ^R8 z9_MRlXsDo3scQLjxINJ9`pNFq_SQTRpK&<+@ODPT@8NR1qkLCeKQn_&BpB!Sq(9#| zII|!u@voV1r!U-se_s)n`M=zR;`E22nosB6-QO>?`J)kZ`M$Ph#7|Q?>Y5L39D5l2 za@-##`r`Ba^VcT`L!AiixxGxe?l(c(CE-P_MzlQ$>-Il zV-)QX{+WO7$2=q1;LFoCk4;Q`JdUVb4*z2MS2q4^>wf|*;859nQOljVOj%eI_GXv> z4F;JQ79XS7etEGCaar(v{$z-S8K2X`@YgH9%a6K&9kSqU->Xf5Oo10H{;g#r`QqEp zl&W{HhlLtv@`u4yXY(>4ZuK_Y57Fn?LV~c@AKA`-Iy$D^9v-@AF zKTCt#?|HWYgIZ3|{qlU-z+d0HLXm-xkkI{dW3}7+Nx#eOYOUq9;TQ3GyCW!#IS1+K zZu#d&Oa1lm;iRtn`Lp@cr4Y4zCa;;?OtRvahlk})oi4Y{G=t0A2VbS1ej*~f0buW+ z4|V>w+aEWVo8Hulxq^Rrw?9AJD}Pe^f*Y20%+}^GGTOwU?!8{cpXiK@*p*Jg$4+{@j%cbHB$Qdb_R9 zFY|n^xxVkMgp(*pOH0cODPI&6kr%n}L`6kmGwH1K_`aH(2~&jNaycE$7D?{!f0h{d z-yO<}M)0I|vwh6oh(@4+fo*Me_S{X4i6h|Ld<_4X-)O)1xL;7s^Tj5@YB5_F=@yOX z_TCRgMZjUU*{h!U_)u)I!)4wQSWU~9qz*xiBCEWlP~91n{^-Pkz$`-n>z#ujkXhPNr2egD8VjylmzJ& zxuMcbCe!uw?Ho{yr|ht)g-Zb8H#x)vkQ_&M5%s|EfBYB(@WrJwfRL%Ep)GR4(Vg?G zZ5#pv{x1Exo|oH^#KCRmuhp(?27VpZpMmc*3fZ(wOp6wrSXfvsg3Y^FFd_BU+dZ{d z%z7i}qA|ombJtVIMEtN{aCx2tsEc^?ThC)hM!0@fs_A#S@OfP4qZW3>$0s%w<~qk_ z;6C)E+0MyUUP9D*eVMd7u*P0ZVgloS+WrH%Qf!cc80($8r210L0Bp3C3lQuZt%rg* zpfyRw8zC#z-bPI#vu}k<5Y}4jr)-`ANnfa&B-bh$IhYKDp@gB3@Y_7k{Cu~yZQ1{1F**0cs$*sx4H`o zi^djHJ6ks={EyNJV9`9smD&MTQV~ixZX7$|H`oMF%y&Wab+vzjr~N;J{tf+a@bCkz zzoewU>+K73FI$D8Zu3_w}R0`6vXn$!xOL z(>}PVzuw~QS33*3sNiuGuiLqnsAzv4=ahoYhg3%b39-01WfSexbS zmHy`Q9gflb+-4Asa6Q|q>!=!@hW8ymt7BpKn=}6=hkeBfOi|kJ)??$pe%?*VP)kfM zQ#bh^l|p@;ve`poUgf3(DPL(fLyk0MA?j)en2wW$6(QCoKuQHr_iQ8@1%v$!xAFhX zm~q$-^wu!|)!!mu*4*nfEe#q6j?C*-m~!UuJ=@Xle5E0bNivSm$WH4I?)%Ne@@CIb zi}WY`e7a`24ol+J2F`S2f7^5H=fh}gF5v7>ENs0rNB!X|BDjrQSXf750p0YTSI^fU zOMrEcR(Yhqkw1&~H+rs`(ltk@|3*}4$F?#Docxs`;J*=YiafLMUTmsNfF3BT=S1JVD+tP`lKJDv(4sOXDUL^Cu2$BY$_4o95) zV5HVXW+VK@JJ!4h;2YFB{2hmQ2A2Se>@Xw!g{I1Wpkyo)u;fEqakhR9*}GxE72|y6#gK2x;*ml7T2Mn2Act63p}wmx(AJ z69LFtaE_xWH61A^R_{S{s%6Uz>-ZZTvC79LUGBdXIZOv*AzVxRXk2_3eSNLQOD`*0hV^D*b^zXgxh0N{&jC~N1&E5>x zI4qrq1Cb51F&y4r%b=#D9{hh-txA9GbS45{i2Of}n6+re`cLvJa{fPq;$bQoc*A$LIjauvmI@CxN+38T2%Hk~zb!C<3=EwVuaF5cJuA!m zY>6pW!ueM~3fccf+gpcKxpm#c8$?Ax0hLA&R8$)2RwR@V1*A(rKvJX|MH)o9L+S2L zm6YxV0qO3BZ*IYJp6BMgc1?MsNP(dy3S9N=!*6j>%u2;$_FkX2tt@Y1iZ215vr!! zg{(>IqAwNuw8|`7QAaV`n5x5zrmu93g+8Y`xbotB-=Ll$A}(f2mm$W(!@GJ_YLV4Z zEBm|HKH*dNJkl$0Sw~F9-wy`)d2y~Vw5u_jTWuY^EG>LN;TdA(MK@y=_G_*avJk&i zto0#t*jnfZgw&ZR;X}gV_uzE#C~D5881HTl)(|?s3KmJp4_2m1?nfscpPt4iBa03Q zU^W=Y>+0${+~2lX90&v|>Gv!A=55pvK}$X|eCetsLCfa4xMd{}*2hW3#R zCJ~)SLnDEFv(TT*q}_svfg$?%agz2c35nKNVIRs{x61bBQZch?FbNobj@NkZ)igCV zkw0?5KCM*LOf>QA%kDf9GBfr25wWQBR>|eu<(`eyw$YX!mGW|RGL1pBT*l+ofGg)m zi-lrmyOKKrD>@vk*0r@g6YBL_SXh8kI!?9h?WBhi-5tD>`>vXjE72VqEj{dbNJU<~ zO1(6fAxZurd^qwQX{FoPc=J_dskuJgp(5kK0t3A_({GDykB<(mtgIxsSJ57w4h&z~C_8p_Mdd!)z^Rq)Af=dl6)t&G&rz^yzhHLizqu>E;3l2ghzR+vrf4Rc>@N*%^IC#RC1= zzU+xwZ(@LlMON!mz)e7vuFs?)R#l+N293)36Qj5MPSw4nB6y4aXGno;~# zMC8YZ3s+q*39pcm9oOKiT3T6kSDM62hWh#CXt$CUE42_P3ITG9d*TmkbbMms%$YMl zZp29@|9u}pN33PVE zGl5~vu$~jotv^A7Ji!%$&gr)hJ7!-p0Yi65-d^O`KP2QX;&7+}|6Sh?g4g-)$L{0M zBLh6d`wtJ0U(wL-|Bj7$&Il6|6Qkjh_;#Hog1_0Df1~OCd62$1D2{k)o2otdH17#- z|GuL(RtTYO{OajNFkHD7^xw(Lk@ZK%3ytMjEbG>l?%}7h33%GqO7<*ft}=>MzR&P| zhcfWtde1=inAZujp;Jm@r#x|>Q9S#j%aiMVO1|ol@AF)of>#GStH1}OCnd4l?QPWi z-n?)cx3dI;GKhjzE0rUj%b6#~DcJPK`-ORn=W8{GX-8SPJT$xMnIJAI zEF8Mxe;hBtyBjA>_3D|T!2cM*h*@OPWw@+2W^k!xuHoaWmRr9- zXVmY@l1hA<+&x!TR_5l0PF~%gtBrfHMmyRrp0yKETqBgi2FcJ895y5=Ds z&I$}l2zlNWb8~YDB^~rC#cu`ag0KEHE*fQ)rR8D!*&Bh_<2$$(X0plH-K*4%Egv zO?xGcg!9hM&USmVi4cs$MMc*)H(%XQj8I75T3v0YH=pf@|MKPiU$LlD{l$L=Hj4iq2>0Ha;R8s zOo5w5-A8yHvrzsJ1qK8N(421F=GWOodS4f}=1Lbus5Av9?_1b-WAjT{-%$=#us<|+ z8vHT2W-(%Qhmr9)I-}7@SzaEqvWz0dc$0TN@ z;pMd-t+3P0hgpm6$){Jyt8ZwS{rT1DG?GK~^rsZRc=4i#j}I0mW(4zVKaqHfzgNYc z(!@W)q?H#`5`; zadhndKe7IPeSLj1Ogx*7qE4RgotzrZa>C<|nx*jlzo6$TVtQTlzVZ`BoviS0J zuaJMJ|AX2q6MtMICMM1~2snbt_AQD@EdT#Avi&pNET}bBxBg#YGD3pWkgkIh#M{e$ zEN579e{=k!m+m6Ig=Uxj2k}phll}eu^F8TI`bx!bUzwX1#Kzv-J$+L_O~;FgwfRD1 z>Y@vj)}t?#mdwRreV8s&xiju58bmYOndp-t`1zTDfI!(shv=(UuYR3AhCaLuJxnGy zPfuhxnraR=^PKO^lz94dBv%`&;q>EK>sV82zq?%GGMfufNN;Iu^mKD;W6{kY08n$t z`T6r_1-KBq;ZRXPKmcIDj*gBznb1RTzu=rOI<|UG}S=rB(k%11mSs&A{U93qE55G*tJ5(<$ z4;#t->Yb_9=+S!fkea#fRD4>6Ut?pRrKz5rPDj>rNCw;6hLxtDM9_^7JW5MarP0T< zEVN_l=+%oX*9Ce5I12^}jYe&j%PrQYnvp@D(dRzgI}Dkn;=0z@^78WB9MuN`Ms)v#bbUo>u9B0BjPj9@=w47+L zh^S~VjeHJZBBP33Er=3M=dm)1KaL4{bnBV{KGw~UlI0R(d-Wp#<{9$K;NYOPmR3s` zizhv?zHv6B(e=|b>WG_LV)Wf)&A8ZjP9fbQJ~1&^ z%Led;KV+C$F_;m@FC$H7QrL@5&iotXP4*heDoW7>1Dn9*?(WX-25AA3+U&r@!~{N_ zlI2uWC;+aXKYuzpA`U4<;@9|&cUybX6)TVS^g_j0HS0eGQp@&qUcTz=>S|+U1q)_w zJsRLXAbK{#p~vheBXBf$4YT-<_)ky9n}w)3>*aR+KOV*{%G$H%l^VuN=(B0w|IGvJn1V|*49!guP4BkrIty1Mip37Q&WN$ zD1v+a`gI(fv4sUO-ajMA-YBj;Yca&fv3%#l8xy>ed2Bsr|BU#&oG(4%%tXyMeYhoa zdFbhl2J&9J&;p(6z(tOHeV&qm+17y_*x8w@Fab;E$!VGX8_Z-L|I*_>e{u~(>h0U7 zTMpq!`p=5$eF}sEl$DrwP7w{W?=2{b_rxR)*E)WFIjO8=Qp>eV9^cYbzp4?ZmpeDs zao_ah0<IKjAPZ^ncOfV33Ys@9WNCq?=hh=XXr!e)RkL3P_bW=}}s~`9c|XA?JKurayHItuN^QZyZ=P{*SPc z^&wrbwzhVrVqr^`@#K#{h`}E}e*8OlLQ;cbfv)8)4TRkE@&(B!&9!^!^0`x?+EFjj zk(k7OwFV#dWCy@6Wl#RkZf7b6qu0rW+BiLHwfk<#(4zPBW2ond!+%K>-1D@|Gx`)Ms~j_ZOJb zWfYZ^(i9D#vPzVQmPze~9fN-9Qnyh?x2|?eM6u1b;V@|sjr_N&OA;E^f4l(U!|%^x zK-v5VUi`=x9*x`s%9Bev(&l)l7>kLC85%AH+>=tV9CHSe6rJ1@{}DO{hX3PR85tS; zZh)i6xGh(JBLy5`eSEmhx4)1J(ROZo#mC`b0_wnU=GPs2oAYe?eGDd3Vznb>(o}(x z$zC2Fdq179C~n?-L4_N7dXoi@Az9ko*OV5%libwba=Y%8&QCS*%8Q4KX$RrXX8Q5Z z?y?#TAVp^Dtup=ooLuczsH>&Xjephqx{}%N-*m@ z=-(Wo$_aLXxtjBq{l&((dTM3IKiW$O0=Qh*yn45Mq;(?sjCIQ=mS= zQK&sxQdJ@i3Ulus9h!(zX=0htT<_eBnwQKoNsAYSC<Mw5>6l9QF zj$7j-Z>rU3_Vke7Aqyr>%;>h3wYJ_%d6CMyH*<4%e7Mx&RZhy$@^EQyUETEla%HC3 zAUq;VsLM+RQBY7IbUP5(Fag?xLsAJ{iGbf=92C)_Q8&a7@?vzh)9xe-RN8mr1#kxc1q3cX^2sD1sYh)8qiOKv8H$ zOBG1P$;nAU@vXl<)b@0Z4XV$Ycw;OTIlLW_Kp&f;0gZ@X=RXt6?c4E2qZKZ+uz>S3 z?tQp>?_Ma29^S+-NtbSZVxo3_Eod5kr_KpAPblV8jvIPEoDvfV8PUH5{&FbX>FDV* z)6z7vPJvm>!<>Q@(I1mqYwm%902C&CdKDTvIy}%2$QvbQ z9j;ux>W*POV1wh9?&T{(O+a^bRsEE%Mn55~Zvesj;J$z&Is+TqHlPS1Z=rM{p*Uw#)=CnDxX&8N>iW#wE&MfJo=y94>-X=w*Og^8bt z9jB}dpN03xv-IfZ11-SF$k>EdWql;}X@v~~A;&TA5MQwNoASj%Vg9DrcxP*VG+j26 zZrkkb>tB?FeAD7l+&`zIP41DM$?7XT>nY=nPp3kmx#d9fTH=Upvf9Dl8T-4i7YQdt zR!U{q8rE?|yAoDP_mK*J@Z(!>L`NhSJuq;!UW8yCXl`vCEif4T5lF2!{00yd)G_Pp z>!K18s(Cuk-@bj@T>cQ9Jh-tnn(ufrShWI3*u7f7a4q3%nLs>3xgzkPBs%)w#Ti7| zv%dTQTpWFhzIM4|nT=StJfy{aKY8{GhY&IB)vu`jKC%OuES9$8EzS z6?PyDw<62#;Na=y<>SK2Lvc#~^35K_Zr0WHbx$9kG~ZB(r3?}DM)^)uq|G3^~d-3%Tf6H^|IrOazVx%-G!y4iZ^55R2>dk zK+=TuUb`4KT`zn*JtRNut#$Z5s0UPn3$NH97%NJot2lWs-yTSmY=n{ z*&%TPBpv(a%GwV&3&%6<;h!HV(AqXXWD3MGQtXbc_l2@Uu#h% zUnNvKW;cK|OJ>h+_1)oXs_uNAQi&d^x%8>x;&&GX6GzI+O(!*!7v(H^HxK+Aaj-sK zUQ;ZebHs5NNBPO)pltLdu6D63F7DO|E8)X;WVye!=soBziXY8;c%F~>jT`*mUM~sc z)nuu}E?qMf6%_%24;6O*4~{Zua+;c+o)@X*1mX;;R(?0&prPo!CzUWW00b~ncL2zZ zT9Qo<_hb1M7^w%A55gT^qEECn-+LN^?~Z;rqwRC+D6O)wPKx|vt*Ws4S#PV#A6#+> zZ_QuDwM5=iV87Xh{G2ErE+a3$yV1+ZXe+S(z&#-z=`aY+Z zs+_3vt+tjcU}<|r(ipy+4^pnfP1DIm#rDrd>biuB+IoW~@H zsZ4UlreI<+fv6xBY@H-c6)#DMi~B-G29J<1Su(jkF8?6%)szKp#L@en>Xq-fF}Th8 zjy)U~!sj=Oo6*p#Xf{UedNUmg%UAi1{Cu}-bJ%0Iw^p|T zApXrf<*7Kfm(|v`VY3jXr{7NQJa>V-N8o9u*>s6TdW0cn%#&qpu|3u@AnyW$gZWmg zuPzQ2j^^vh-o&UIj`U0{zKucNbKG~RC1~?oj0rw}Ncq9d-|Jq6!BuDeY^brnyJ8Wu z(kT`!fSM#4Ooz))!twUk7=W9_{N6BW7Sj8g^ya_&j>({RVTa^fDYfpoasIu?MqSwRD&d!|E3N;jHfo-8o z+E5Tl&R>Lrx-M}}w-KnQxutVFdbx6(lYY#hKKTeUW;H6DnB8oks{Nro%1zRPv0pVH1@5ja zA7>m7&WUZnVhx-d2+dnC_TlY9&_x>|BlOnYhzaBbHVTbQs(-QNwpiO^w$V`-=fmFhvvS z?ikpVd_S*^ijs6>_<6*Fj51P>Tdm5G!(>VcvLq#C0z9ydPZV=8YC5AY=#fPe{(qM2N# z*+REXCq`9+E$dJbGBiM55UM7H&+GSVB^fHdaAe6mR-0PS4^J;KxJ^h@@s80UT|j-& zNULd|=3JX)YxAXz*^&0n&O<;<+qKan#0~@ZeZm6*%qVI1XDkR0_bk>o%T+BRJ;Kg^ z)Z(~%M#tNWyH^fMLqaC4j<_elD-0GI8LiMvC>(Bkgde#aQQ)#P{HHvy52PhnCyRj* z1Lw^}a$8$l&HMczan3umK_uVb->(GlgohJ|c387RwY zIJC1^INB1z7z^bV6wBnD@pNNjW03HCeSJ#=<0UzOCjbG0jh!S*!R#6j#kY}Nzq8g~Mvw8UND>Gocn)bI;YWAk zf8(@2KQh4!DG(mdl;PxJjt7rwr2gR}%sft5u%>1vD;uVKemf>IG8L0tp8^6{IO{Vt zgr~E=mYcjaN_7C901)r9Fj`Prn52A4$^27SisdT z)k@4vE)G9LH{N~w^4nL{rmVW4qtVod4?V~q*;v|GyfOCet*GS&`CIXlLV-f(r-SzL z7G*<&{=I31Qtb|oxB|ZFpoNzcjrl^D#u{2NrLM8z;jy{7&L8gS)pQ7ElpWFyxnWdG8{*N+&1rXyq8T#%tz->G6-= ztbG~lO&~T|(IJP4BH_rq^bvhnqi=; z3*+7Kgre=bd1TG1R(`WbDD5r=nPoIOixl`nJ{8@}t$gh&^ouuyT!}RpER>!P1jBztyXID)m3MXMT3wFAuXd!kU&>b%dJEL3+e{@v~LS zAWI#l*#5nJei{3l^TJbnxRHg52t?IgAYu`StmiOIOWu4uZ#7$i4BRAZ6GtB#%*{1w zZRt+QV7qA49KsP7Ct#PGloF=oY4t+;vrOdFy|6b4iSSIrOJhAfl_>S57xYKtQj*Fe zo0^BSXN2TC+EwQk5;I&`h%2){t}@I(1y}Cxe^qx;1xlP@Th5K8iS7^MMa6O^&gF#G zNqk0y94F4K2_AQZE`fNe0Aj+b9(#E55&WD2&w z$lo2nLohVgjc=F8eR_t8!&4@B9)EQ|o;|dQCxf`TF&%7%7sS$m1}3aVy;V z^H@qJclftBy}O0uk0LjtC!Szkz$Ou{Z&NBbS|zinNM3Z}^gOFmVaRaC3eW#h_@z(C z_L>Lt!2to?aUzD@ucMHZ%>e2DXkHmBsr^mvAbM_F>Swiul?7YzH|eFKTr@%JtGz=` zSm2D9Kl-8crz5QAPEX$@T>hO4p!Z&*NcDDMGhgVtDg2Saz5Wwo-c-d6cXQZiHYIy| z<9xaH&YWQ)wG_yt%7TKM|bAO!NFm)*;>fSx&Qaa_t?k)CVJpfdw6<+d>H2ENAY22A-6S8q2fY^ zK4=8k1Ox;`L`1)hOgU;_QU8`@n;KP zBy#bfqyC2!d{;wSza6w1R8&;0)+hrbqv+634!xc<(B-d)HhSdue2moAKly;PknTX8 zNLwYth?3f>KD=aUB50v7UmO6WMJ{jxk<~RcsHv$hB)(eA`k``3TMhZfn10LQWkVxC zYcoe@5jP(z&b`AhER-EJkd-8-raC^nE%w{z66Qb?{5+fE-{2*(p$Oom{|U1kbj>vS zTfh9$)Lh?Fs&f0-fCmVI#F~^^MnaX_xQq5hQ$*W(+RCDn!#~EozJbSq5O?r@BESv6 zaa%JWl8*faz)Z{yLC=ksQ!}35j~c5{%221k{-4Duc*xC zu=}q(#?(Rif)@{#g2B3iq8c+mq1jpabYt+H-ukV6=tu#DEhRi+H9 zujGr)7N}i)B*N`yanMv3|%^0f9qm zyK=O@WJ1UtXJc+Y284|fjc0CO?D^rundx4GrsJLHC#^EdB?WskC_-qC?F!wm+7}lW z37`Ldlx>oiN!dFyA_XXLe8kDh*pBLAZaCMpu#>K-`KVx-gYNU!A`fhA6sGi6M~Ik=XB^K*ME(LmOHE6yjgAO;EQIzz&rHQ_EbjLh z@hn!V2#-giDtNf4uSL?VT?4sV;8cY<#RWJf7q~pqr@TI@l zrjtWcw8Ba!LAtMj89({z_foNi;fba=w$=89;ID_Jd_$wh&pv#S8#gwum z-Y}dI{Ox8(KlOZnHokl=@->^hbsQTUD{!UF@3fpI#A zs??r@&^9C)XiWEvlO#Dl>g}Wdxa@K0JA3DYrTMR1Mr!r?cbFI2bpG@&H__a?M}6-e zkl3c=0@E#q0C3RBYkw2ibG@Vc`*C7`+OfZUT{Aue+G=~Vyi)bp&}PDcd-J=XNG+o2 zPy6bFTuTl42<-8{h)|_r5_lk->;s!i6D;=Xql2$-69RmC1)eTXE^`=59-ok9h1T6D zsOQz_{tPF~w}K>%o{W!G;|&yo6}D;VT?q*)=m%t@FN z99=P&uZhZhI%jzPH(%A&yKR3cUmM_s5_0{-rl4c+Tkh}PmIS$<^+A_}>Ms@=GK=-u zwo)J2=g;L22Z0|_uRI!tr1hmVi1D@tqWQx~Pj8-3w#-l=IOXqD^^o2mdZ@Z`>7t}q zNZ)c|bWSA6qKIgtGxkm4E#Lv#GUX+|7>=sodI3SW*kd-eDZ10wC|ra{x-s3dT#>8mXqd!0W3#1WvF z;l(;q8~wSiWaz>2YtRG>HTV?p*Vfid^*(|`;c&d|;EG8o`TTh{=rEvSGu8$n*?BK7 zFJR}vPW0&$wu6Ji34V!LrBkIFB6d))aQ0aB+2XOfzq+^C(HM*Y>=4+8XvDNUBS%Wi z=D}H(AQ8Q^uyD{WOlG(8^*lK8KVVbbxbd^?^CLiO!0qM(>l+?U40iPY!on7_dgb!b zm&(YHoo^9Y5)Eh%M6*r1cN#uDzaANPUz;nKB>3|?CzeC1jl$eDBRp2aJK#|Tu_bTpXTPgTUWbCT4WNJ6ui~2Lg>i;#8u_KQ1_i6N&>h&7jI_W%McG9)_Ke*BuUyJg* z`4`J>rEJDujR)Q%iIT}A0Omk61CtCq{4FrQ-LDD)Vrgk|5*H*4GB;45=~c=?G=HyK z1R@;iJ`Tam^z$(1Q!?@1&(F<>Uo(7VEc6n(V}zEOAZC2~aN&^)raK6U0Q157O`wMH zzjBV@QeFIKg+C+x)|Dseh0fTZ_`++i<^%GDR@?3Of7bPR{#03re>3!J3Lx@iprPO? z@=xxp)Vqn)*JjucoYh+^g07J^s}U{AO4`)%?=P zTU7l;6jVf7G&q;unwSg^9r4*%kdk)xAE6vX-41QIpM#y_PH;sJE&Hsy2yS-VR)U@C zi@cN++L~06-F$kH)bs>ms%zb>cU71#4crh&2^t7?L+fV{*NhaS%sn__xAqFvyf3fy zR%>d%SSdrkrHQ*ZdNRVKm8sSEs=ENtLes6$_~>YG7zPwBLNpw8N1{)k3KcoV+g(9b zr!|Y~zLJvqj)8!}5&ed`8-fD;P^@ zankL?wTiquv$30KZEtMgumC91p-c`f1qxamS}MwI*eFgdxR6-Q(kS(~A^aa4*n-lg zQFqy0;LCm&nAIT#WnSMu`xz}vd*u|~_P+OcqR+X4}M zQ^*TUxYend6&@jfkN@W97Xe^9o&uYuT#jZ#gZ~|Hyvb%LHSl(W`wFDY+M1f?NG>*T zD}!`&KNLWkyW8H@q78V>youQ|^xvzLdPn-{tO1pb=1DiL{W zXAi@p%h7_b?1rXmvwjfA9%yQCUOP@T7?JdmBHJ!*|0?>dGZox+^h!llBT(GmwXp%y z99RXlmZLb$sJOW!ih006+tnrAm0g4E&w^aZ|2a#w(%$W~Fox$CsGEaJ)!`W-F=}Fh zpZZM!&tjX3r6SwkzY`dbzEUhQUQMIYk`mAuG(hTg$-LrO19SZFx?&S3a1}4VpYweT z2Y`@mF7#hTdHq)5a)liOjra{l9FcH zKA*Aat*LP?rwwj|(hYO3)l89^(mC)Ahn;SX2QFwX@|E_7V54-u zd*jA~yVqbL;jy2FY_&+ni5g9;UB)v5W;V?-6#tQVH&{E_ogd7QQtXcXb zsUpWBhhr~gw^A@)5ijz!|9tb-h;9w{m*;vS8Tc~cEF)k?Q8X%Nfy*MTAQTkpdmFP0 zQm~4zGwVD9Zv-@E=EFt}R<+-SF*QkniV9*V1_lP`RHGm&{+gfn++#hTEq4HBNIPh& z1Jgs(P1q73Gs)-a_@<^(kN>qW)s$&?dW&Ly*sV9KQM@&|%|=1d;Rk=GEL7jhQ-V)SQwTsZKSbpA&Y5&g*Bh&Qt+3wz zaic-NmACyXj3V#;@6h?5!59K=mCW$1Ij6gSKJvl!RmcSeN*C3aTYad&(7~_d__g+{y2IDYPt((>_EP@HnbrSqcb1GbZ?-DSVU4$_}9U6ZPGL zbQvjV`gglM-RA&M79Bq;PlEqJTKva61%5LY>~oLMuu%jsaUP(docx20ee#p9q^Kdm z!LlkU8IsAsx%q&KIbQ7!7KN9}8h?fgr>tSh|8KwZ3jXvdYf=*1#=&0txwQ1Paa7E5 z>YuBY+||`ob8c1(#%A67KPx%G!BW2O?0sEUs+eRAVC$-*2G0Ay8v*C>)al z9DYqs!rF&G43K(#x)lQrHD7^NAO@iyQIIf{a)CarF=X_XgS^I3IfAwSuw5AY5dwkf zgnk1R-BHL5@qSe#`J-9dGni7aT`Kj;g1JoIh=>x=g_0Te`Gb@O7nZ67YK;F47X*Uf z9Lx(g!W$a}jY--=aGIz!?2z(;;PFsr)b7p2Rz6AhB#BCt@;zt1r$Wh8l}Gn8jQPUE z$#V5*(4D`52gw1fNymG=mGC_KXxWTL4mw2XXDe9m-(LgO+hv&p))lN@W_I=+*gTn) zN7kUU<&$ahrCdU1X0+KqhczmP8sqctBl&PHRl6soZh{4fU2s99q@>VAQ(nBz7FeFx zqf38a=Hh0(v53!UNVLD>JRIJ z-IoXlFI7H!9grz!**DQA(1}{AOX}j}=*t?reFd@M8x|$VhC*T!L(e?Eb7tnIqYCDX zxb$*bc4_H;ntZO|a7jK?K=2gz%Ad43YVWBQHw|S~MTbR2wLB$+xU>aoGIWllN>gpJ zUiGYj9Sv$g?Wh5CUF`1eLUl#)B`z)wx}w3essXVG>Jexd%lqCUCG~(I?G2$%UFs{` z;9AiiJsa|Usr|1zQ5#>JldVulPJTi_h*s3$v9fvXO}>v>*;!1do_2h8vIiMhH~Vnd ziryXsu@EiIx7 z&1yFsk@&ZeFPetITN@B?ZL1#~GC(ogkP6~pKV9NXAw$JH&)$e>WqqEyYv!H?iTK-P zwX?;TsRUPPY-`nr>naGe9f|GGCTP_N@_H(&$`9QFy-E9UdJnI84Hw^#_fqjfjO!O> z%yIO}#Y-L@?Jw)*b3(sUY&*Dx>NW`Lf_?N;R&4XKf5<-M9+|~ZWw5AmZXtI{BzCZZ z1OYcifRE1x84he?fJ}a9=75WV!o%Ht7s>>%tGtD@0F^7U(`7;e6HN+u z3m$mTlC@xsFAxwF#S56ihLi&bTaVi@rbLX*usXZkxK2ilH>)S%Y*6Zz)P-9mqfF#3 z2m&LN?=fcEXD^@sq{yYT;D+LjT}~jZs+1CNPWjR@9FzhkS2!N(&6_uHa-XZayGFcr zx7}+>oOT1=_zP~Wv5#?|un7DTf0$ok3)?T4UNwr(ffwgG7@~mcSIAOB@n?ww$OGN* z;9dU84aJhYPjx()+>sptMk8VZ@Rp;w)J*8OaR(!ELwmdLa8r9boE25NFbsLfy++-J zlphEC<7Jc~;+M^4HIGSzQDGSoL<=O_l+|qBV<6B**r8=nKO`1F;5;B69!3z6qoTX) zT(Zg*iHE)@Q86)S@|=edAV~3iSS6Yw?i~@4jNqO5Pi3SqO6ZX5F9kv1A{Lgmp|FsU zO3|Blc5^^VZ0^LK!y-Lcb~v_y)dGe#F7W)r774{-7E3Wc>V$hClIH>9hK!*Qv7|2 zv%VqBx-Z%zO-WySmAR*;&Vy}Ct10B=PQbq)s7@6Y+)$> zXLNMSG7>nxuyD+IbKxSYdLt1%d82)0dbh6e^>YZ%t#L|DDF$O1Puzq0)LC`(tv5tQ z7|5wYfh6>6tHOn$odAOE2VLs;sdt|r%4_gOlfltn#Khsj!G#8cti?)(w)^F!S9D~* zIVK;HIpo9!N&B$frL`w0)GAnI^KG2uvRFERQW!J(UjSPC7>d}_r%~+2U&bbo^NNY7 zxqR;YdBBmwQHn&U>fWxG6QbUa%idB;K(0jGjEK}vn<~Y`v_wU{!VDv*E)VtQFlLg` z)ufiyKiwC)DS$98P!x|3F;bp@W&gyVauP{$Rg^<~?O8N2dF%E#UJ?h#-Tjt=$n@3K zdSy{{3JPzLW_^unfG=lIQ9qLL8SY4nh=}-*^7NHDox7xKiEzC{42=LUzSl`au&=_l z%CP|2Xa^cbTBAz&P}KzwN8fm*+IdK6#CUlHCV!I0Ed6TFQzlK$7$Pk6`+$i!+6{!85Bx8clt^%3?;8U2gA>C5|MNi$ z<~1-(`taYTq%<6@P^R?1;QS3@u~-DVG5D$lm~D4f00D!mh!PrI-N4Pt03if27Bs>@ zAq93XJ188shPELhXf`m5i)(+AOGFjNXnXpG_sp|p-uJ6`2s@OjfQ)x~si-FBYD`_l@l_Rvr9(CJ*dLcT8K4qM*i zwaLa**-WjdffJmWuhUL}h2;a;MJ$>(vVo@eiI&zB*uf7E4np(}1%-w0+`dg{7z9k& z_3MY-vZ~Dy9LUH3r55C$tsw(!?0HGoGtNSLlx-_ljkDC3#W83sl)eWpuWyFA-b;6; zroHGd;%IXkjuR@Xb`Q~ezq?&$yxh``-tLL>D)2_{d#y%<)Q< z<(-FQ4?(A3z(kS+zeA0L3`he}a9qpdTU7M)d=5ut4{kh5?LM%s$dw8A_t&7kry&I4 z6HLX$e7Au-WoIWaz|z;oMnP<-pP;Q9@KG^1sHi&HffRZEI?zBsCzk~rh0SPBCQ$!9jKs5QPrsA)J{FcQ#^7)h+RLsK$Y#GH}DUD zX5uCq;u(+E1Y)MfkpLCp^6&($>3ncR47`fJp@*qPc9Z|+nDOf;Ux?0griG!YB{QNh zq9LjnfDb`M|NVQn$oUa!6Cnadu^;Odxb|&O7$_0y=m^J`fNl{eZRj`;O5ej-pIeL+ zz00bx6`>qQp_y(C!hXe@i3)>!XrHK2e%Z4LVZsA?aPSC|5hl}(9M$b5q@HpD6#YHT zi#fG_quyssntvVria`8i{O`W|*JllVMQ#`jc>{OoUN=mvVqZ;7x;d3y*X>OGrw0Xr zcwzDo7#(niu=zfgR#CBsHmi3JVq{XC(XTmrclM0!{Z-bh<%&hgyWvv(J*)*pYgcvl ze+N^eu#!^__8N;^97y&X4;}1tRmC5tD8GFPwJfr)^v#7E%bgE;da#Q16N`!Z`g&+Dm@oehiZ(R;j63`4=odzYJhw%UgJBC9gz&Hzs=6ZWU_lN0>-)+%0ofKRs z(x6w#bhZO5V$f-kA)hOB_Zl5=p>9e_N^qzN-|nxg<`ZB9m@l`jazp_aG@Qlp;1<#b z5%W~H*F(1Kx+m#>V+=%7{)8?wX#e-=>o>EgRf6Ma( zqi^d!kwYki^ID%%1wyF|TF2Jfn!3+Ah>mc~!ys5WfaoZr~5-Mq`wKF0{nBxGcp4uvQ5Pec|X9q4Z~jumU|LP;Q$HHaF8UF?H7KArE^5 zZVB1R#!pVy+E=9gTrLWE8~TT7u5}vg-^?QEz2tvyEtX_$zo-8Z(}RQY!>5sw71&(Zw3-NHWqY9o9Z9o=FuZ)fdcO=hW?+fu!=Vxsl)VjF z+(5ha3(~sXh2}RPnRM=&v1q~{9_P`axcD2(J`z_1XMGwhUbqoT3g5>c+D;8K2t@tbkGFafF!Z^G`*@pFY(x($>^u=inf8*ah$h)$P(~rOzDOc~~**K*qnwyGpa&j+TOpc9_W21W+HQW>jTG1_keoHB*w zmC`&$vdimw7%if}2K`lwnKx_$I5P@xelEZ^SY8T+t<4SO6jMZ|we4bmT25?Kn^MVCHHh{A?8^;b|h2E zkP#)X@%b6MPFoB(qv7PTot+&8Z9-Xk5y51BKb_Y}KXzQejt18Rsy+=4I~y)7T+PeG z0U1CD`V64U&Wwx%PFRNc3LNnFG!HmW!38=>f!74^3cIMMwbiHGkdz=Bo-}-mjDlc5 zUvPqEx*shqPsPNBp!rUW^6Eur(8rKB3AG!}@ARg_&U#(nvYTsy`uZ6dsUyyRiBe4v zy?$T!Os)vA_4R@P1b6_g~T9al}PLaKx#ci;@RqWx*TeEN!=aagfL^Ot}l zD>IvyDdkLKUX$Ye`4$O5E#=~nTlDuUYD2REVsC46v-qtm(7hDR=b&-}JE>dOo@Z1( z6KDkl`o(_~6y6Ne~#o$Opm?@sS~H zNv)C4PDU{Lp8Sn~tKxsomqQ@Z0x$516;7rZ$KLX6-Y`T25ueR^{MTo8oh2hb9Uc6W zWk)GNC(uJs#VhnTA>E0NgNh)oqmg`9{TE6JIcMUHvVg!ko;#r5eSH7DBjN-DI-F{5 zJFcfPoI?TLgMUSQF&Ur!>aK+)Q1{<`X9;J!D$la$10cbSPm0Bu z?ZK%|YNMpAP<^4waC^P`GKm`oXnqP37jPa(Gl~Ef1tg1uBxli>WJUf7;Pazhtg3xI z|He)Xk(IyX0~lEVkzN9YUkbtPY6g%Ge`8w2`?FN>oN}Mx5mpJUu3YCuUc~A@F7kmg z<(g*;P$m1~S3Hi26M5E!2cjL~t~`&nKV!X#;cy`6l(IHYKhg9Yu~m3bLQRW2J0JEp zKn|H?X&@SDsHvH3$AC5j4X+c9NP)dx0q5&Li#JPocw{O|h{;sbE;u-0Cm^>zooE%C zCBpIdpbe7$bI^iaOnOZdt6^+LDuChuLW18u)$Xlr`_iUd@(z7W}`*Ksd8kBRZXtP$~D_1qUq-3BXrWPkG#u@cWx{b_W78$i!>FY_*ZTG=Qg^%h`NZe78^ zl1AX;l3&YIu;f@U!`J@I7^pyy&6UqEK^!m1?GfK!c1n(A6s`S56ZXE0%q~5Itzep9 z@d9NTpxW1|N{s*$Gh@^QIFjn${$A@BW><9PnN!&)cu#nA?#mF{u*$a;+B%M`T@JjO zK;6%i=`*k{0gz44&nYO6)+h~6hlN|V8OHqbo}8oH^Yc7eMI zbKL%{aY|JGhO6~F0Q4X=gX@_nl%e}bkq+#z76s|X#>cyZ2?E!>16mEhTKV50>{nP7 zTVwdkV85eK3+|u$ur%bcD%n2u@{)UMlF=!FW@$>JB8r8|b6vEJ2TEnWKjDVX^`5@J z4gHFiJXze1ZEQ)9N7+ULdrpR5#uqophq6A{3RxQ8<rv@h+92`vT ztnk7CGKLSMksw zlg9hPIiufi)6vz^2U)eS5)A)Z&Iss>@4v^ZmV#4%+jKgi;H*#vLG_+;9neR1kzQo9 zr4OG$pVxa`l)91zvQ<+{R`{tv$BYsK4f4^ku`__o0pdFCf@1nB6yD541uLo0uD(k)N?T?^_8*KC{U@*(h zep$DbtPZ*sU2UKfZzKQ+c&c&-{;U8u_+xx?acZ5UdtvHK4fiK()699llP?giz>4La zMg79^m(mLrOF}fo*B>{_+}U3+y^-$3 zj-M1FbL*NarC*~zIJWBz+*r2z1$ZS0QR_`aTQ#eq?Jo>*ntMq0l?oBsV4yt z)dC`mS-%~qvA?@Is&E3irb zYf0#+q6Pa;=ah-zflU7niWQuAzUilBqsj%VCAA8t-k*o>D;-Azi?V(VGSjN`?l3xq zxG;Vn%YJThcBl7e^u1c@V71vmZS2iVd(|!i+M&~dW_y5nz2#_Mxb~~sN-r0!<@>UYBmnWzi<$b)bP@o1?1{}*S zTLdI{|BHTTpgr|++ieX61qOmt5UAEFOi5V=bU)}G1nL(sfdus1#c%-=j9+g?#E!P} zv$Y-4aHmO2O;{FasU6WA%$n*ytShX1Qt!NEr~Mn-UHJXO9#j#HlK_uU?S1MZd$sEj zTeJunU={;?eawcsuOLI6^lfj9b$?YHXGfG~d5`qUI?o2UbmWc9eszlyn*?VE*t7&6 zzkW`WDK#em31SCOfC4hXz=B{JL`-mw8an#=07wUXKf^x70v|b0g7Fl5-M4@bQ%;I! z{Zm>jAmGV36-ZTanH9+k3k!g%0dWvK&DYNlbQuA~AT?rwAr?kwN;78ZO@bN6=hXcp zv~d1F|C4(Jxhl< ztt>eRz@d{o4!7r~?Kwb(!^Xm5GabtW#d9P;V*&EzCdkE40M=u++{&1i7#X<^9Ifs| zKuR8L(l8gs;yE3q`DHX3@gU172^r3Wy23B-1=y?}~Gqg)Kq1o-H{wc>x|`P!BL$n%iV znSWkY@*jBb_CFsJ_McA;)4CefR@2l1oHj>+mH|R52)JILPYH+wVC9~H+O;VEjz^P7 zRI>%A=Zw>o-%2iEVDz5>sxT+#3Tn}oYmrvsS ze>s_sXY4=O0p^Fv6YbM515m^Qe4yt}VxI~mCDr*}paBZR^jc4Q;UoBuC-T(vHfV&| zj|iTYWBOVm8?TN_9i!@y`NxPtxUr)ks5JS{&mW0WKDdcxVSX-9pHhU)OI?aaKKJ;^ z9y#sbXTY4bzQP)LFM>-t_wTQC^ckn${+S}4)L@W(<2yq9ts3ofGBo%lxQ#QFAO7?9 z?Ep9iVS>*A(wqVeLZp>{R)ihEKl}GJU|L)}59d>?%w(3$_j|Jk0N>cQj!E?@2~eY(a%ncR@saaaM@U<`Qqkl7W3&3>=;?4X5vQ4)~^?Y-7OGyRFHVRK{Q;WR@K70K|ZW+2Gsl`A? zp4}4k zK;jkniKzpjvW3IOeEDWvRte$XR? zn`MuRU%hxoGq;nmTiGp)2SuK(dZb6y=6AQ)bRJ7LN6G>dUL&Qv@?Ohl<25BiH!Xxs zq^EX=tcnt5)L5`MT_&aTeOo8_i#4!aFA6>)^1qf0!JnT61EH|o#XHvA6IK+xr23=Y zb9DJ7I3cvMOetBWqQ`smtlD_0{9R(yH|*x-9uh=FV41(6X?v?ot;=uT?c5(&Tz_-Xe6hyFBWmqTMpM zT&PFH8{v5F8wR;^vJVch02{&W@+5rKkBHX&Q@VKt*c4|a(xDj|T1w-0PuHQe*%Qje z*}`&HcX}#!c>=z=_VL_x589Py>niy3>XGF@H|@87GX4_XmDT2$i@d8STp018P&I32 z0yBAfU*D^M1Oz*`S>Xh&*h_5mJO$~2zfzD}^A??xlXdObhM0%j4B-^S%UMDXk|Ai^ z*g9Q6Le{fV>7U&yJp}U%o4N26zb!d`N^I{_e+ybL`W+qGy;epv!TQB&0w&%NLD%-> z-kU#QC6uT^j3#%P!ouW&yFQ>&B+_@!$9{(L)KVhdYyA zBa9?!4YsWp{acr0%V>zcCDMeOhqJ5W$ZnldLzB@I8#PcO=yF2ECbUL<_+e+7-u>c4 z?=r7X%(uq+&#&Pcu1|Gu?mdnoP&avKLyLlzTh2x{wB%Y5QY>(pE|*HF;ss|DWcNIzB0*Xz?3!0 zwvczn3x*$kR%d+@zCygH=Cu9y;OJ;)W#@D&Z$KQUg06{MP?L4r%oz-Q$So{P1lcEV z?{+{A0O+HE6da1P1o&H>Q7L|QClt&V$tNbUewBauq0Wh=yXDv{1y*{Gr`B*$_<++s z>FlsuV1nj8uOcVdYW|ohf=%jn8P&SEqFzv(hy?HMo<_S+OF_Ob*5A?d(Ltr<%eaC^)$ASWViCws@&NI^3_-ICjY>-3Kt!t%jtzyE7&z}+(tsjFQ$?_(CE zt5WjW%S$>yfY#Br)P9p73FY;zt*=Ji-4@H+OZ+T2sRY8I1;!6Zyq2!cU%%3jh)K6t zrxi9;A;(U#&wY`0AWMH$$IRQZ{QzAR;$6+ZOEI7gSxzndPl=VCqaz`co{w6Q6d0;f zSXkJ;C-1{5BZ+|wJ^U<}6^2ZjOjvhVVOTu4phw==!Q;FtvN|FgG1H*Qe`n=?_pJ%o zD#qfQfu;(>{lQ_Vi~GV^NvTu&Esx?jnX2~V=d7_z-qI<$mLVx--)&OnqUt@`0G7-*BlY4Q_6$dFIr9K27khT#^VGT)w3e?FRPmbQ+q1bd6+g zX8mm}%Y>4y#nKIqbdzS1_g!z?OujqdF(Q+4>wK0G;do5e{T@Saw6%eSh11KzVkmiE z^nU$LOu zetVl`J&s<$p6o_V)JCwbF+7eUAwu4N&5m?2LKO9a2yK1i!-*NyOGFbX0YO3Aeg6YT z?FFBiBhNX6D!seYDsvZX$)?$<(f3@i?6kBaiX`OeErwa-Q+x@3um|HmVPQwk>c+R7 zui;+01ur$tyE@mCL0y?h*IExXjcXgJeT(4@gKpeCLDdduZvsP!08LpxRl9tLKHPyZ zy11GV!aFM~&UvGV0IGD^lky~un{YU}8t7FnZ?18)l2|;>xhnK)j(GV6^vcdc(J_Uj zWA(1ToGsm1oK|>jHgPIZq6wkkHjQkzwUU&LCk=GBWaowQ4yiIEacoG;jrNudByj6D z15i~b-_7m;)vdDDyN!0`b3Gq#3QnP_|9RQlUpKha6cI&8c`)-&;3#)eBMUxgcqlTo zp((v%`ce~R3l%_Gqvm*K(#4*^R!wU?&LLHVi_?U>hc%NeX~&cDWZIf5!*2PKlr+oz zAw!WtT#Wj+#cY;%|K^}(KyGU4eqR)V(sE!OZ;wfl?H6fsLvGIO?ySIBkTz?uXKLv6 zM7L19Lthk4^7JIMIvk>oB8Lj`u!t8I7KUr4wb{lwfxGhl=j7!!i1EU~uP-ibkPZk_ zC(T%_maNO0Eog#dtf#8?zli#3PMdhLYJCcK0r9=fqdOFF?xv6@>y0nSR)JIlUdmw!PvnP-)XP_ny-|z4!wYde}DxgX+9!*4(r6*+5qV1$3L9DO>RfuTlSZ_mLOi_4kMwl8RzyWOkMZoe%krBjI+9%eASe(f)0CeAb&) zMymTN=5V7dV>|XZ-t793g)QiK9Wob}jk+oBoN4C%Ed>R+{K7;|PFwS;eb6##1VkZ$LJl64)C9&ugG9OsRHF2Fl6i zhmxt`nOQ&(472p0TSr$YAtdQdFHgP@G(hsdO)n?iTckt<8Vhkl;{6Xd78MH=${3oy z2;4b()_{{YJM(vd$vqOw*6_O;aL#M;-5D&_J8rI{U*@q}dV7g4Oy-%tO&M+h?b@v# zyk*jG4*Qp9mlw^R-=m_iKm=qj&r0UV3Ht4MsZE%yWmdJjUY4ovcLiPUP6&!QUN7?o z%x0eg|MImnb-aI9S93YU^Pu~vh3#)1x-jZN*kXUu$D1nhBcLi_eM@Q=&b9bUxY*mhySsuqthqjL zAzk6|w#SGysa0DT@#46!{GJDzlTx9(5{Gu8&6y|Y{MRUMbjx{9k#k%j9pQjULcDl? zL9c3R9fMn#H(nOEibBw+`9ZHFI^2je@bRzS@2YAEIxKXEyG`FWY%PqijFE~ub5qey zs9WcB$(Gl{EV22G122M~N0WJZ9J9H)wNm*cSPjjv6>~By9xZk_yxQ7Vp!1r9)Bd=8 zXW4FBu%R?{U<~PmHxE=F1PCyH{rd+X37}Ay6;tWUriqB9g&dv}lcY1JGn0_`@gcMy z!11k2BIS53oP&XDFApXky`^gyL&Uu~z3#@t7?c>)KAMBsG^W#Ody&dSk{1MQ51o(A zXMJAFF24Rge11~Ix*#A|nqM_fMsMY%4G(G8JDzbA0F9Z4&0@f>m9_I3_hc92vcQ{fSul{P$ zqdUBMseWPD2EzaDn?HczYP(hFE4Hk&^Zg!iZGalU{?wWEbIiA4Qj#?9 z_q!<>hV3Lb*R@O>z+k9$w;gr5giBWbb<4x%jci5(X4sehM~r`K0djHzKj5dS)@zYs z4L2frsrNE|1Sk>M%gl*2xqB?~*H^J8GaH3>CUM@d+X3>~>o*WHx~2tlkZswD9|0z%n45J@1~934kx-e-^%MTESo_2zu6bKi{1 zSu!XN7hB>6ekfmBbeF(*(V(oP#0`ldprPSxxqNJWxg?IKQ-xtpvcmQ%0uX*+#0RDx zF;G(Gf}cI}6t@Q`rzHa#V$1Ay`L*>gMz&)Kua2;ske=+61xQvZ zcUD4%y(B1!Bb+>4MPkmp7OChIdp5*)LZ)*{>^pj_#vHXY>#w2KN1vMH0t8XA@AW{< z=5Z`*rHqa;kiGDDH&9H*8}%tbq&Fu0gwk$M7825+nr*Mj4|9RhI=LAbmnbq^`q|o= zgS-(Hsb4;LQD^F-=Yk8qN149)Jo?@PZBnl@IA^RDT|;focrYo$L}t>n1XiiB1KyEK z?XK-opB~#Y9qsFz`=>2A;BeU=>&AScq$yx6w*?IVnC%5zoiiObZS_HQU(7}4Z&xZ0 zaRdj7b8En$9q8cO%B3+GuK_PJUMBy;sYp_=GINniOeAx&B_7Owul(^bp znt^29VwVF24YXvuyFl|t9XizE_ByH1J~5GxO&>O#;NJ>}Y#;JB3=}z~>3p9UNv<8E zEu8Ip+S`Pc-IOTv%a5>mxHgXx6Wc0`ttz&D6&I6mI2l)|WlWk~U|^plXzfqTUak6C zWXWBWiqKo`O;!~=g54J())Y;ME)8c(xv0a!GXV^>JV}^k7T5n0_;8ulx-bCs(zyq)Z+}A-8?Jpb4G z&TD^)r7^1}rCiR2`r@jDM~ho;zXiwh*f1ipdsMd4vOV4se=4!jY6;T17vaZ5|<;$KJ;ISzj6;FUZasF#?F`TyUPAZSwsoIi0XMbcab3_ZYiE)0LNztISmidH^zBd zcb<|N8_Pz?Ef&VT=anu_U`u9)aHmS1@pxp-Iw3vWwesXm&@_78c|zwd4rrnvluTJZ zyhq8n6Rlj7xsw;>E-~~QWDlp?HLeM(si1IK}Z5VZN%c+;g0;5mj_zy1T156(tc8Syk>ijdCNEwicck<3H8_qK=uolb$d4%BKw_$*rUL+z14@~Mv@U^K zbZPCu;FJflbg`^HSu!#@AoDL`VsUWf6l+3XA%PY*ITE#U=Vj$(?&aztWg2UalITG* zx|Pf=SNrYt@v;o*C^?6<+a{uB>2XK^cqS1GL&!rMGr0Z-I7{@@`4uwP+qIf`3b>Er z!^w3JM~%1WH#{zoVxhuSrJ{wIM`Sxp0Gg8?d9XW;Kh z&QG7vWJLq?_M#OQd#&X1Via~a_O{S5R!ija;t*0?vIBc$MYzWrMgm(}awL@Ily;*) z&^;r^VK5LDc;RMB1_;Fz1`k_pMoI~nXtyNbV6=2EOSl}lMbOkFB$e_Fms z9-&{PaOpymHM%B5QeS(k%E{RP^>Mgih;>7wEju`E>4I9akyc&O8-}IgBV(!WQl_)f z^9oueD`a8sh~&Ld7TV)D#ajoo=ZLV;V7R(iH%B$hM>*+Hh2C!=c#+oQ8R8o%nLZUpT0 z%{-4Mln(NuNM8nsjH?w~4H2%(3E2Mn@XOe$s*iP5WaQ>`YVjppOzu-e zMtw|n*!=I-hN5&YCE)N(uhkO!Q(QzsAi$od0Be+c3QCVVA{g`X(DsB8n&U9ZJ;` zNcLqSANLbh*n)Gay|=2+ua-Poiu|wg3Tl`fyhvSNDIJa}q^Vr$LVH5E7kXx+1Yjo? zk`eVR$7D1)w^fr}X1 zBbo1(={)P$B3c6WU5}1G9`guDAM>di!^jAPhzWXy#k3Ccu*NfwZm}|N7Z+H`2hYXI zBHDLM-z-JUReoU5wna^wy4xwiAH6vm(Q?f|ap-u_$We9iKE^!KiRwLt!|tG@d>s?* zHjyDLD@;f?%f{){h{`Cr06xqv``7m`o)U2z9}1OExO;r-WaUZDSX|HhwaNL{KR;tL zeF0C@wf-)^|I-zDdNi4?6=iOp^UFAUEv&qojt1!u*>C3k7A7)@G4e&_1;h!7eJ)6mNPBA<>(S%iZ6Pkb&kk~UC@GkD0e%?;fi(~PPF;X~V-WExCLQRf( zFA&6Fm}gzEOi@O&?CjkTpoW>cdtf4I&?&vF)Eb9!!pB4-J*>}zT;ZtW$4^U?a=D|# z7Ww%@Xdmk6h8cpv;cKKGJboy2YlQ6uY|dUaHNX$l)URwl!&owY2k)VKiqTi{5R<$u?_RobdIx-0fHB|JA zH6}V-N6oRnBNa*A{H^5#j5Lvl3MZ4GH^ zjF?sP64b@1>ltnYB}(3UY!C@}1W2J@ut;M~A3uy|`*FCn_EPjgwLHTrLi873$&{?R zWY)>>?#fP*KgOh+BD4iP20LhIlr%r0;IPr0cx;clq-Y04874KUTG;?$bk#W?py#iK zeuGwr_G!HHe4j?ycCUUj;P4mgJ~a()`5l;O*eQ5AAQ7j_Tb|;L+a#Dyv);%2eYG!Y zfkjl6jn1I3#>b{>@NTjgQ%}69c4Y@Gcas>teO&e!Q#j zSBQl{VJ;oLEDa?8%q3JZ1DHc9$(_IchK5Fw@Q_}Sx+=SHY}{yH%f!lnsADyRI$KFQ7lR*Lotu-=BBeMx_iExuGA8VCuM7WJvCPW z5*j5&R^;C^sn{_H6Z{T$uBspfpgMwR1uHOCL^Devk8Q3QI=8Wfh5Kn(qtO72XrWt# z^ckS`C9q+-RfW#TjTc?+^4E(FgFH`y^M)Q~-*SP|eD{_H-oeheDyU~;y%G$~_po#H zo&ASh#t2E^&S#d(brz|k9xhPn6wh>>*rN)sufI85cG9B0u(iRuxp8>|iR5*bY4e1b zzG`=8s`y;DQB2u$Lr}j2V{)JV16#9grn)U5MOz`nVdx>fW8J zu7Rtej?0dvXK*30QH}lXOd(rkUnfo)+>J4lN&u@C7wd{9Xqe^Hi!?qHCrO7LV9QC%IW@`0(~+vz&rjOr*j4|!*r9$Tl7iR4^uollG<#B zFcU!(cA^6fPOoZt*P@VCip&dRb*H9F_HS@*%ce~$qp7-R|69jz(Fa&;l$hjzAi5da zLhC$`j%>mfhL`mpn_B=J1fn9U$+-Jhg&YJ_v6+rCdZTO!PtVaNx6ZEN$qyK1pa0;z zf>TRxY$REuGK%)j2<^^jZ1iinwG&K?4 z;jnWZt*KA&)XIB$hDEXK{Cl-PJ7cz55ito*3dc?zAs5C2Gj|*qL4_9JlblTdIPZ37 zbPFLDZZx|9#u1}H2^cW?cGAqXnWEw!7?2-ZAv|NLuq*FwE;r=~Tn^+ker&sb5 z7d2I0D1_3+0c){N{!e17lETOJEu5+-S76WdlWVJTz(q&EpfuX#?#eVON)eOdA}?1) zNl}%|Tp}}9Cb{V%)p(fQw(fN3pydM8{JA=RPS|YrBoq!WsbfP@%%cHD!j`1wv|;{! zsHo_OHxhjxA<&2624mN|T3<(0(1@WF_7Extoc^r9CbMT~alWs0aNP;m-{;GlC@@w@ zXlpCKmSo7zULrd)pq^Ds3s8mD9kx`%GhQ*$M7cWbuFnq)1|%Vde0cNQeq9aWGp(pD zXZwcCZkd-Z#x1y$SI73FxxM**s!!MPo5+065ssj2&_l9Di_s5kSRWxSd9&H_xK8@u z7Z;l=J8#D(Q~ZJTNpFNxtrB;X7{3}u009`ks(VfA_M!NuVbtUckHh`ds5+^)hG<E_HG>R84rKKC^lB_ z^EuX|h0ftpXFy>Lmg#EUa#Af*y=UV5MJOx7kOmSVcIuZ-J0LTI5#VeuaRvMQ2X{il z(oi@+)0S8}Axj>aB*Mh#Wfi}1!JI$vu*hGXWr93%N8zI5HiG=G5p^$EH1D*bxcirA z{*u_3=;UqqvzSaR$n_x4GqqEo65b}j@8{qjursQ(J6T^vVfR-yWVW?F<^zZj$+r7K zpZ9cmACCPlgz%s2iQbc>`*gWifZhQj&`>);(IX35 z?vg$w30{ltM`TOw0g?@-9w(TJ_Pd=Xp!ILf^4H&XbI-TWcOT46a-$dp0n)2BmY+XC z&!!8cU*yzUgUACkOq--;QRxgVoKw5NMNE|Cl@^ugP`)9;HWCl>E&76K8M!VEsXnkX&lr3=ASTJ5$ zAF?~#+OD|~ZW+vRjG|NDg2czo%%m0-SkhC=HQ$9q@V8t|g=gjQ5l{E4=jKmOOqxPDH`+_aPEZ~!U&Pg0I=^j4egvR}Sv`Gn@@ zcM<-EGP~Gz_^iuf1f&k?M2oz@3>RK(l!Y80Q(RYluY9iT=k2KN8I&yBqtwAN)}c1Z7z8|p2q}4koyPa--qB*%w5V z%~O-wh`hA5R8$N~(*;ebYN{EBAC=Q&4*Ged5vi#1%sKj-6U+G0IK7(f4>Mk3NW(_- z$`m+YwM2(}rR7jgldI}^Mh5SlH~u1yWx&YD)D(Jg-`8=H#>Tc=4DYpPt*{!^u3O^1 zl6L%+*P3W_)VgGJx!#bOsVY`(c43)rbSKC)DGW`IUER!VLSgEoDc@VHXoo$bmoG0+ zP)>L}X;aLWj#7w)GF0;v5d542I^C6dTdU`9-FQCHgdx5{GJo?bN<1@S-8N5y-qK=( z`VF-&-{hp8m%7`r-@>F>Rq*gu>FmIRiD-BD28?%S@nt~=k7}Xml)NyZ{|XD8Zw~U3{!-CpbHHiIg@T#6JT+Bkqb~{+;qyy?vJ8Qj zuTEWAS-zv$UXM%?YOwnGxb_=_n=8{SEU0{G)pF;dVD9t!_Tc{*&mi@VXm@9aJ|dv0 zF+TZvvE!kEx8B$t%Dn)mK{z~URw3))X6g=0L9I5m^VTYI)^1gf$p85KjS8(&Q|rXc zNWMaT#Z}_o$uvco%KI=x?hbcrYml(4KmQ%O!_rkcTi74d@0P;G6QS;YvBl*R+V&$p z*e>Z_ui8yHS%UoX5?d;sHU6M0{m|5*95%=HRp6^AA2fuQ<#(LN8(IE{Eysv0xs+a< z5Y9i;hpd!V)BOf|PEJ-br4($0C|G|gS?g3tLPcI=)$Hcy-!`p@8w%h{Omj&CIoQh52Upt7j>Q#25L|9ZD^Zc?DJz`=p-$*hyq zPlNvA3{xI+(c|FyByE(5IZIAcMrLhq@5`jTJjYJr{jQ+vTx;C!Dsj{=zWw~=@>yE! z{yv7IYQxeDR1o^q;Tk|6i))MI{|iRyIS}i&meeH-rtDIGl zc2ijJMxO4|@kSGoo3lHIS$Z?!a<#@E5Oa5MnTUy(j4CcR2X|qwsh;V`$h4FT3*Cee z9?Ta`Z6(tS1z2{k{0cyhjU{P0UOPzV%UZiHDX?eCE>wRM(Mj#-S2tme|B(En)pDR0 zvlG$~n*H2Ud6G}jA5Y4Sc^8j=Sq04al*`j7(}N>k2Th5fg-N^GVs@{jB^WfB|LIww zUze*XXmF}f*H(*nkJJ#mP$?`K@7a*!Nr~_(8jDlEa~p4sLu2-E-JdX(rNypTm3ki0 zn_Fly!MdlIAAN4`rAK;jdxpXZuZsp>rcxAz71aN59S1E0=L`YQ`B_F>fNRrew{V9Z z(|*hQ-i@)$Ova+>qWp5%j8VUzu6Nh|2Fzt59p)Am7nj%=a=hCq#aW#)s-tKGm}u|z z5AKsAScxSl*UhRdp~{yhL`Umr;Vde;RJE!ExI4g4WWk8hq5iX`CJWTdE4BHq2waq< z8@bbY`+INz5#@;#O+_J#guUQA>tAa)Sc>9svGtM53l0vGOn#?ZHQU(aD;ZRDpr$wS zh{39@jV?9HkE>bC%Fh0We}LCz^)@Te@X?t9A?*8# z6|)wqz>0QucH&te4g;{*WbkfH#rE7U>n8L#9|a>%ehnIdvBLM#Ubhi)c^#_R+F9Az zo12?ssGt*Rd~6I28@M0Jf@oKb9~f`qgf4x-bGVz`4;Lbx>Pm}^GmQZTvo}SFImGd8 zNLUXp*?*N1k3e|gdVA|yX*O>bHF(H(sDIXdbhBRAsaG|Ns9P&{{8)YYH@fHyk@)0< z80@9I=CaDzSQz*SU3PV;cP*Z$9YLn3LLVgGVxhf1Iki11fIlxE=O8w?YvAQ>ri|;h z%MfO?YdpyPQqRxV2x(fts!;@WKJB)psr@m1(0lIFp=C2uCj0tt&|?p1j{foi*tJk- zCv)7og49YBjW^@F)jGSHT>NOvZol0Np@5t_ua=hC;t%-^bY@jUdM$g`syZC+k zJRHB9t?TH-@>v+1$bP7r1T5sGS%S+8g~EN;%`3OlL*o-ZEXEjoJ!nUI653E9!JByt zcJJ2AY5b(R*5VBCzIg%P7Xr6U`ZvZvpiW!PY2eVvE;#|yzl@mUK&x2g+?}%1955gN zMy{;ZOWbKPz$JyK8Q3WRI!dr78lUQlzT5FZq;jngPgzQ-sqTs#(iFY4j;1S$pC)aGO z?p`DQ=iW)iv${_0f8W*;7w-ajA(r~Ty#dYMZ81VS4J6v}iL zOkrwz3b0F8FjAj0WpuBB#`Q_HhZz^0q?F{0MYW>WVCorLi6Y!aA94N@zL3uN*J_NMmbgV}(A z?S{Oughi&K%Z;iY=kxcD40YDhmTzex;HKT;4o^?drk^cJUe6h)x@TU!N&q2`LOS?u zR~$NwvcY3NrcK^!a*qph#@OH4Rxvp$V71}0ba!*%rz`5upe^_G z#ywFI2xvOrEN3~b2WCJG0kJNcck6^=oX%~(7r(b-|CGyrIEzAl5|x?6J90S!RPm%} z@)$8ey@1d2dbzdZIw`4{zCUk7JOo3G$U%E{8k!tzN;}S1L}J&ZvI0YZlDj(LPT&$_ zW50m|OO`-Zk{pR`dVIVoWll+PGItV>Ji8~aW_<6{IN|TjvyTVVv=kKm^5nf2t{LBk zak=dih*p=wbaKxpox?MtE1BgN_Yp#Dj z>GginUzoptO^{z+fCEqVxqht@Jc~xzk^7X2la4(-{N=GaU)wj3T>>1=Nu8Bv(I7sVZp9FawHEN5Chi=$7SXuv) zG5>iD&o3f^2ps_ftxQ-+Xxo2U_SA%~m|bwd>X2y+3Jdnjkq0RiIQVc1FCMXiqa^J1 z7x+!HdtPI9c5g3zlU~_3F`~)>gc`%WM(v+jR(tL$yHBTDpZr3js;1)PTTILmD_9PW z=#UUb9^y+0D;s@&^Mc}_e3_NhLai1t@dzYAZt{q{LeqM;n*Mlw|%{s z9R7f)j?>h2Xug=uC01gkcF68m~?6( zF#2zkytFxKWsb|tuJ7IWwOg$Ih&U;lUR+&QUHyr6z^~Q7*$ruYY(1FwnM3@qU#CPk zI2sy-2F!7u&4kb6;B)^^kWY|OoR>0t{j5U#$l5!OP3qUHIQo7HS6G@`C-$Vi{61-H zr645H-Byt@U~;t;-0A>&oxuRMTU`SK16f)0Hs-{AqBhG0-@)fF{2?0jNuk_giX~KZ zMbl>U7#Keq)WW-+^ebX5*l9?{cqwNJYE|N5ly75jc%3#iqiH3vUey7L_o$ZTvAhoW zZNRT+!R{B^4nh^PV<*1q)k8?AS3$qRpTOjQ@YvQ`QcI2Yx7PRXI2-{n1*F=1nmWls z`caEh3K28#Mya5xw)Qf?Te)8CH+3I6)`yBCB099Mu@c!bJxEA<-At|5&rkw86*zW0 z@5@*@nW2uV(CyJ?QBmDVMM;J54;;$6%&NKC`s!-06`GShU6$(9naW`K&|9+W^GZv9 z4o%CDX9WlUJ~d{Ji^qnBY*`=OoYUThqLADwN4K@be|KNzVJLX^oRm)TaBr)1%Wj6& z$i8x5yuS${AQ^xVe!eD(?4G?nHsj$)drsRoG5wckH~(Ql^9 zRR-cvLy%EUT(84yP=@@@O@E=;-m7?;nl|MKgfc;@TksdGWXz58ZLLAf;uMNzHmw9i zP(7s3M*1aG&`Kik;!v`hEU~t~P??v^=WDHmvDW<56mZDe@dNXMJL>emCPCcTX=nmH zKZ2H0>*g<8F)K#PGQfVp0w3nB>o(WXD$Hb^24kO?X z|3Zjwzq1C1+3MV6-?oUH%~r8m5k5c;a4xe9T{?`fU4dQw;??rveK%LjdCc|voHv&f z9ZG~a2!&pc>c`z#vj<9^58YL-+jJ^$J3FfY1LW(g<+Yf9c)_-EZ44X@?k%oT&gyV~JtAvsx*z-5$%#I&6EGyV=hefxmKZ*l)K>w)e!@YCM%%i^@#bNGD$8dj z*b`=FQVF=&ziMcpRnz|Yn_p;B>yiO%I!fvmq(6#cwxy@vTe7R$*c{-i2XAgtvja3y zr-6rwFQYm;qv)i+J9jZU(2>AVfP`dLyrpb4=iI0n;`i_w^(bu`my2Mcz92hW0&j6l z@2~C5yWq~OtIF11ygh1GxCDmVu3(ykeKxTXlcHXIM8gg%%76am(|lIkthKgY zDo7q7STqHqU6M57+_XS;ipKG^4^UA6NKE>PxjuXNq2M8&Nq=)@J>Yaz?@19+ysSz3 z313w0%WyIl9aHe@RTMwj7pe#V$Krr;W<#&Jbr_~<(8#QOG|6|BtWXn1e2H?2 zR|=1VGcAWn65_^|f$WN@%edgXkWIdrm)PdmfXr5});eg$*HgUMa!=S|->b#vuR^QC zhzk@p)gmE=7EcVkU8srC>Iy$EmzD&5j8Jz^?&+Hu?7tHAUr5kPRV4UC5?U2hRL)Yo z9%sBE-P6sj-ebB;0Y z`<~;@5g|{iBQGz*l=KflK92Ns0du9cW6i>M+IEA;eWDpCeA_0o zhePWaE$gdf^DRV7u|6KeCkjK1-6-rI`8^?H34e|^Jul7n9s`pLsSF+0i=I|FOY8M%k8cjK)kcm(xe>nn{%sbuTb;^4*sCxNH#Fn4hr{a7fkH(}iXK`Dc6Lg*L;o+nyX0=fo13_FjQ%C-FJfOZ zx8mWWle<;bXvk-(W;L;@4m4+aNzJ}DH?M-Hwos?@*F~X$mOHFe_i1Q0%A>;Njl8-I z9zQ8{nAq7Q#+J+Bc}6CEnHZl*dw@T9Nu9rYNH2tz+#QSv}0!6>#(w{!p6EL70W zl^Lv?wgeb)OLa>jA0^hGg6MG+I|a-})(uJnD~61M#kIsWSj(Ck7a-G{{XrYkrcQes zr7R^KJxX!kD*|6Qi)nB7eQ$qiLK zG@;&~?>@U>xQ$*+GZ6bPclUaV}P3!x}rU&25%Tm)UHO)K=3q$;?w1%Yf zrDPacnB^WJHr@qMe297wNUhc8KQhAg#5A^PiO_RMsdhqF5%!H0R8G~3t==EV6oKP` z(RX)hd}7EITNAvyI^!UXy5+Sik>Y7=dzH_;yq8+pWNAs+ST0md4SCKI@0YVOlTwG8 z%opk~5uznlg76*opJ~n}}`< zPBxj09zY2f_SYq33+QybbFkJ9ZJDyBWk zzmd5Tx7$$GyoZ+t#?s*Y=AM(P%R3TeQ%jFa(4Et!p;n5rM;H_^UL&CafSo~#ov6Y>FVD?aCHW*$9ruoOeYW0bO- z$@2rZs#_wuL#RGkck^zT8e%LBL?ue4N=p2)FEj5}rK3`Pn9TT6VcC9GBW%K!Zz4|08+Q7UPx|vz-M`9XAbm?$nP)Y=i84_^hDDyY4Vy zUdUc^ny6WwKRTKi!_3m}isQK#?dSKU>so|WBUTCOWrR~G6bM7Z#b8U?s1+`9E8vpq z{a<7herRZ06YEdi{m1;vZ;NJ%vEbV%{QffeZo;gOlCjIEfPToBSNaxWCI`lDj^fMQ z9Ql5bpk?mSMD16|g1`yb%0*?bY0KU@ab4OLco4ZCrgWjEAwAO4OxDt|-@|%7)SgfE z;|k*;ULLLi>!W#er+ZAYScK!#Q;Qr)@($?h-b&3ePwq*6p(2%_MJlKWO}ev6ukeuw7u3(x#I?D}jjFf5M*hwMFj z6~=Y*I=%cMt*sp&Q&E(LhgXvsxw?5E zWbo7f9BzuK8uT8AC)CaaPzwN|^|p^5rknpJEQuBr4x$i66>jrf-MYocw|ix%m?a9p zXWSUf!Ay<|!5)2ItyMI+(|GRb>9w=;mvP0ul$L?h2Qf|%G%&feBcsM0Q;L?*(AL&A zERsLyY&w@I4!+ zPxEu(mx;y2zgGX!KMy75YY1jdB!H2B{Z0^=qS0 zTwKhhr6=1{Zqrf%LQN6$esJK&6NM5>3JPw+BVn=F zNtK#Y&yl-K%tb6qiFNU!0Fr{!?xfRLXiMXLEA;R^Tjg!7hZI~laTimiR)J?AjvXN+ zJdiPtc+uNK)o}sQK5Tg{_}${G)>yEf;@P>w^0jkNF_c!{N;bHjzzoMMMWL>Ypiq)3 z#KYbGQScn?TZ6+AZqthOr`E)CCbGmnd?9pHRGHS)2A+?&dXQaGKAU>-I06Ny^%WPL zTz4sUzlGtp*KL9cr%~U_8`-83L;)d%?Vp(xe#qPk!ivG{?0##F!RI_!_Go##ZRLa4 zM|Vt4PIEKQ-p}SpOl|iORMD#(*nt59pu}n5&Brh zNCPp`!d%aRF=#@iobY{A6&y3hrXC;6zKJV+pvi?A<+oK(S~K_B<}b$$dlKm_pJr=A zrp&KS%#%m+4775{ex=Dn_q6WCK`(p%()}l87*;HrwyMn7hZekZ=CKubRrh+ig?2)9Sp6vDOE!V2L^lvo0!Z#osN%ZT3U zZ)biRE?w*F{B=Z|lbp;-oRE@|FVny19A8|#ou$`d;KlB(rPpW6?;m$Q6xJB2iteCEGC2SP03_l28P)k$JWKc&5$R- zBrnGf-cLZyPDB=h&6TNCzCP(!IJ=%&SlG_}BRQ}Eom}&GwPp&>BIG((Ok|jt=J&Ja z;W*YMhFkM3_}$87SGonry{fcmiwFv8BwdZs5bPY&FRw@Su*2*z-Oy7dBI?*lcv;r^ zMGBDSa0j#1_?KUxCV>2bP{TRqMnJNx!&sUyhKTPgqobYbcg{(sTn0?o*0v5l=uTh( zhOSyru6WbU2vdhBNCw85HBAHH^XtQn8%acb!!?$g^i2Di=zGF(MZ`4e<|jG zJ!}lqd+6jUH0I~V&7HM*Hc@ZO@_e*Bx~VE)@P*F9jgzd5bI~@>J;9eEcFDb&*oa&` z+g;?4OS4epO%x_S&3RAUPOkSDAwpa>=B>=nGjmbnKmJ_ZH9?D$&`OPTGAzBhL~ds( z@GbC5Je}4Wx;~N8M-Ki5%L*k77v4|v@6D}}maD@iE#7Nf3B%#l@(Zk~{xv-zGBrxe zT6}|(VsQC9D{*`?^U0`t6Bm)=>|`U`+d)(P1s?XTca1I$BmTo7hC|36zf@*73Ztn9 zlKN4JGm?FNsh8eny&MKK^)E%PqrM~Q4~eN40zzh3LVfe`w~QM*f7S^+CI;In--S8l zd6&TkVrx8f`}*c-!GQ3YaItxd7zuPY_7&|lvLlJ2n$Zc-UvSE{;n^$qn2H#=jGhNK zHmN$oP;uzEAG*Rj$0eUkALawH<0H{+roH;&Jo?>gKkqbe{g2Iw<5=Xo5WcT zL(LX7KzDu~toWI-GCWkK(4JydwCflR=8y!<09U+NL%Gh;d(2J~(mCHJG3iHl-XH$3 zwndyO1NZo}dAYgcKLKM%mfCy!y>beJLs_x4NhOLXo)RQo;q3;4*%4KhmPvS*E1kr8 zr4A=%aQh+6j*2czVfSgev%hBtK?W8T>~7_**RSJaGB(v~6nqLEPF(Uck(FC7|Dv^e zA&4YFBH*X&ukk7hMy}w8AeR`(LOz+sAd)X=3jo>_%j@R%?VNuB00q;fEH%mV&oG`! z3Y2B7yfSf&#`kK$gNl!KU^Fb`DRcDt`^jG52~AAW>wo*SRmj}NAsTX9-BY@9YWU$a zSx;oj#CSBY-g`|N%_scXM<_Fs0yVT99arubLvG!H8NXE_@iKTyQ{y~g1gA=h)cj^0 zz(lYCIMdU03@Yw)_cr6>D>Ag$?j6Uli9znL7$_kXn>i56=WB7O7yJKkHao405AQK5Df&tm%YKq?yi zz?JRDaHtOe_a z2@zx1=qN!WY8p9|OqsI7<;u%Hgi9Er*I#E*C5n1Q&pck}f8~_<*B^gC*CXjPBS7XI zIqF+{f9sJr%a3P> zccut_M15_@rH~rQ%Bk#>%SI0nX5z)gXhDr}z4&CIj6H8Yq^Yuk&4M!AMTJ!l?T527^71 zLv4AW$dhO6vj=EFfq`FhbD^6twD_d9o|7^XN4Sm~ogkhU&9p9>$>D!3c7uZGEf-Zx zTVsU`^Tqw=7Z6Xyh7-E-3+(KQbLB;3_34(j5Qv%8u%POkqK!+pXDXyYBL$$^r)5Lc zqj*PE@p_7=9O&^0L_e_4nJQ&?`%`1#(D@MjWWD(&p{Xadd-ryQH0#+iNGSYYnu3ld z>1BqhE$X>*h~C7PUxb9`g@xyVl}gJCD;acqGB##{fpA@lX7=IxB<$k-9ftPf%hQ}mC`Hl-Utm1 zotrb@L4g~vukP)23y1Xi9v)(>)56j#<>51+q1l zzG^qCxExxad>+(cm=R;B$R_)6bCU+7M%pQ(?(-hm*jWL zS%ZlD=64npftCZoR39eQ(fb0zkpzFz%CjQg9ED&P8aayzc*>gX&D z6-%adPD%%`eJ=qD>Kz6vg0hb_1%Abj#@hQx3$~ef zKNxk28)%#dE7hQDSYE9sA|mEjc64Nurf`>#kf3!ra*Xtb8~+@_Rqgm?oClDfyN~6= z=ZJrUBu|q0ZP_@~i%xCa@gg8cDb z+aE1}F}`%HMkgupJw6Yvo~P}DE=KvXdK2z%G7ZO&&Uy_2BpcP>u?Rjs!?rEZL%Ga+ z5`{r%1o|!$I<8a!ecvvxnIaYL?{795{InQ%3d!t?dYm%*-jVF@tH-6Jq}nkI4Grzs z2(MAY?az=IdsTs&RVr0n;yQcMnX5rJ#_6}nl_(|ez#vOgj7N_jPp$9vRU_ww zJ$FVAuvv7(7ukmq2o!7-lD`l*wQAImWoy=<)Sk!ym99lR8C%&UNR$voHTS3VutA|S zBp|!8>x8Y@nt;$48}ttUF=JlNsY>b3|NF*apNSx$-!`950bIhyAwZ>1?@#Oq9{rcp|M$y2BvE*)?dz17=mxWPigfVDKC{OJ;%VdRaQ zw922vHqOgzEE}-|O9#hLrsLrR{xmOL94=*oH3m-K_Rw|Lhi?)ZNvnWy#(t)1a+ec$ z(jXnKj-4*%$a!l9TKpGg@pKhY-v^p7k=$NJm22X`=cXoMw!cux5k>Bw?`Bor6$2om zPe9sQvZ`fHC*OCmPJ(F`XruYwJ9w^)_iv@Kcdz6?9D2vI?CMusR= zNEZgUfV_u0g(mXp>6Cj&TV=2@!bjrVaH+i%i6#hGeJLX9V?U7hB~A7b;Am|v(oc^q z&V&b2$;=2>!pYU)K1y;18ic7Xt-Lp%rFnqG{BP4z>yh~&l)>Yd+xxmo4%Js*^vKD^ zKIn7oV6su_*UA!q!)GW;$bFwg#xh9orSx#8`7S7tc)e~ZgHfzOJ~;&i=jKnzs7yw% zB-mX87yBvj*@KSz5sbzq;FbU_?!fRz1Qp~l>bd>U25DIo>F1TFQTt)OHw%b-pmQOE z9ve$`JXozylgSu>odr6vgUt;QF76KK*zSUA26?&Ff-sB!VPJD2kkCMx%O6oham9ir zcze+)@)J4EhgTxxlJZ?v+f;LS7oKI|Zjj&d5)|E-#|KpPchr-pEw#LEgHo%UblnG& zuZ>nPnV!mP=C-CkFkrLUcPx_qJy(PuEjvQuP9L$eJc4VvGN^ec#09*yp_i@QuLi96 zjw0x63{DF-aBysuj6g~Zn;V$xfVL0)>ea{h?}suP4?rv7@`E*D@*um(x~nCH7Jg+L zox-d(3p?8t$D*kH;86{F6NiO98iHeYf7s{2#k}nJXdmpyo;-XQ&R{5`um1o^R>4Jm z|D(`mZA??0?^RNFcmAEX{5vV#B`IBTkP%z{uEDw0^HhKcfTw?w&zK^J8J|6SQQ)f> zIE&ioE+GOz##9*EG%h2}u+`17cquB^rCc|7=+ft^Oi%VA)2!b4ZKp$U zU8>T_wRY@m{6Tv%RESDU9$hg&6@ZO=^XA+4?~ZIW2Q#?0cNv`6Y>dV}rUYPc#<7_n zums-)X>9kXDrJ!1i>Vtb#TJ*;FS@F**u@pK_3CrZL<-aQ-ORx{&xIP&{HOn~np{(h zbi1-g%C!cFs#1HQ4^@amgyS`}q?TT_MuPd!SRG0RFsKw|kZ$b}w7$52kO!EB29JjP zY~G@~Sfy%1MRE$LojZrkV#{VH1T|pa$;pQsw|ed>0X=!^Z&N{%)+J@TQroG-#6}`# zEhQ^&;Qc)KcWA;uRGg=`f%Z0)b7>#)BH7pJXNEPEsJu6`K3moUmj%E|LH?rD3t|(* zB?N9GE_9S1wPb(e{EdYhX%*!}0vpPscER3U={1NLA}ddo{YN3H=Pk8V~4*Ku=W z}FH&VIKMFa6c^h;ve(km;+F3-#OjTC~>F!$qrCj-GLT?KA3i zF6TiN6bZXgp?3N3Apb(l#&-RS7cXE*Of?2kTw>b8XEI3`q}FXE*q47Kla7jpHn$V_ zABFeU3JL>Y`2!YYoN_UVX&&Ra7Z9#k=H|Wu$QyEYAz$e|*NbqC$2EIZ;!mjKLx$Z5 zxi>h)I<1UV_4M>aXOL>Fd0#Ra`Am+oqiI>J6yNc5rsK(j2eHCh1nl-7oxw)RajRb! z*xlKkz^UetN5M@uAIV^HfqgDapAGHiPi0^y1VQrhl`Hr)ZJpB9X5LVwHB_llzl=mf zsHwGmqiRnx`EsnU_E}fMlqvJ@Y7Jw=)vyNywVuRO9q;PRd#g+8KsbF$KFSrD#YRLZ zw#nRE!+7t5&q+=!_>0J5bkcI8`_{zcVA!jZw-8kTZck(u~OVS z9yer$+b(9dTkn-F^aC?Abbt(FcxZ^@_`q`ddOf+a*Ph5$j8^?$9LDaLQEyA<9plGd zQ(k&M2{|>aLLW>EaA-eXcRBJ)PM(IiE!-j`BBBJYl9RR{PxQX6E_o#Uz68t`?#{S` zgymquVqg!>5Xd?wNi^b1T|#1F$E^6J+(^@EuttQ2znnW`7v{#n^o5z=8hgk;D~OSo zef=Ir)qHyokZTyBM*6JeA`QXDPVCZSgiyMi2<@b0swAD_5$Q4j3>;BlbiMujrdMAXZIwM+-93QEZ#zH@qh!P_A<+q$?a^9Dg;l<5 zl^W{hVp)^{m@xOz=b1j@BcT8YQ3TczkMDZ8xxcs_A5ViE93|73*}ZSwY3VdUji3cW zE-ga?13*x$S)wMVFr15H9E)Qx*NlFXa(N=9W?J+N&r$UaB$l0~+PFzgAgdD<6LXn| zV!?4;6m}jkFGPACgeUgS$H&FZkPQzH&+5bd-VeI1^#sy2Zx{A4vJ| zAs!kQ{@1GzV{o%gy}yK_AgBeB)!Y#@1V64I49-8$L`EB`4rRE}ijq3;ycAkw<#QiCdStseU_Gi*x9GTQ>>JY?nJAv9&a{Qe z_0c%cZT-D#y-Sg~y|}XFa?w z&p#1UI(9pL=TP1`zX>-g#<82wsKo*$KOFkirtJq8`x$vK*L0js3_(scFkLZ%g$`b6 z);c{-U|bII<#;{j*y@{{we=Sz) zPNl8^n~8t&gpF#BYQh&pVa-$5Duwri6cq=d5&?I)>d966ih||xeP0ENEyt6^hx@!e z@fz|jTyeQL7wD=V8XD2#T^b{EN)!vMJcW+QimT4=n4zNn={YXI`XS(- zhKx(6%rWwP;aYlr!Xux)_^+?jNg(^Xpgx14+6?Hi1K6scT#;^&byq&?=aj;?@$%n9 z9c%C;KK(DQ*HP#V3Fu9&+7HYOO4QbQMa4;oYe)tjZ3S!D-`?9*ul$3gX>wk0Rb9Xj z%n0{H_$GkO`N=iZ=Gwy`6O{qAcMcMtm7m)Gz~V&c!2#f$y!>t}>vA9GF4$bl%E+Ky zJYRG29Fc!mnUAj5Q?!_=BKh-6n%?YFVcCw(+4XCH!AyneMpuy&SfRMV5>$BwxQC{u zW{U1Fi`_?A-_}4^H*3Z6&-bBL&L0-}9mnexDniaU{?ZJxGdR1q+?V5KUj$GwWSig& zgb4T(8W3j8nE}?Y_{%M(K2)iJ7ZAKF8u70asMGNT_JsZPrB`BdvTB)qw;DC9*T-PJ z?OfcVqX-uA5Nrf9#=+p;>cECE!WgD0z#)(!SzBBC$|CbR@Ga7#$BXay(xJxzKHVu& zEa$fq!1;!Qfx#MJQ*bc=Ke#P$ne~hR+Yq$!X3jeCTq1hmaA&G|hsG4UeuDbk}%I!y*ZZxgs-IIRKa6@Lzam#Pckq{|h@N8siR zu3f{asSoSf)xq0|A*d0)Mq;Jv$!fF*1K3xRCI1&FXFC(8M&{yp&*>=6?n=m^ z`fPgT#(SE^J0WcFUdKnv$4BEBE@s&UP=P3c1(x$G0L{P{es3JI(wSNC^BicK`-#LK z51JOi`qi>{D4>{*m!IF^)vN6O1;HTl@%F!qJ2P<*{5#LY&WdqvCX`kl?)gL%>$Wl) z(l=@bVryWt=qTrY{mKEu8pUe+dwLrDFKtU0V_y~-_0tY!0k-&kdVRJSlJ$)Zh>A}M zd?yP!ro5L+EeQPzO@>Qg#-?=}xK*tH2=Cn&H4C=MhdYyg+Y9~cb@--Z?RaQLB(p?0 z>TbxA5P5C*RHeThpPznm%WHmaj!J3gJsg0Kpz9>^_Vt8Q&uQuD*{Tw0-C%9!1&^hp zaMXE!cRI$8h_ifhz=~xU;@awLa*WNMN_2GehLx1m$11fM8Ob~8$Q1x1Zd$h418&m# z^mxgl5nFRSM>o9&OY;2rSQE~kJ zul0zC2yU)7z+@O}Ge7#o|6%!Yg&!t^U1BB4lY$t$nO-hC>4g;M~|&8gVGfkITzd$O1gU?)rz$`YfI9ix^I2 z+oVosyWD�upgi8iEwV*5Q$)X%*J*Aj>~M&58ASg zT~{??r(0H4R8Wu^GIZdWWHXiQ;5|(8`>UE_9M=Sc8m(Yqp3*mfBzosLdDc%F_hqZE z|8Bwa@vu+I%d>(l4R+BFFYnjToF=?5{9D&1 zIwuseCjs&M^8P!6Y7?xlgy#ru(_BQjzNY;wKl#}%-tG50 z$u6L54L;yqCO+#}(%jxwiWbrGDL6PdD#|D7^2r!@6gzQ$mA;Nu8E}IeY7oo|3cYgH z1xae_wQEkicXWf7AI)vpaiK=EfETAqfzj=Pp7xr_RY>%aeuVoJ$fUigN$B-6*PTDl z0#WqoTnk>|aJS(HJZQUcM|bw20*lj8hzu>DkSI&|aeymbdGc*#!s|(0U7bW*!_=Cr zirrLVhzd04_dIcyN4}M-q512QI-Oj3@*s7|tJ;utvq4w~P9W(;ln`n^I9EXUxJK~Q z75W04T}1@FGnIz9{kNW|h=~0c)^lL`BjAM5AtAc-c=-y85dVnk=ObCH=U_9V#}idn zzO$)iZ@&lJ^;HyZdHLIizCR5x2%Z9`>dhC=7r*-}NGw6(!4{zdfGv&&26Gd&UZ*L< z0wfiLH2=zLc)8=UXzClbusNRfLhj`H=p$gjO4x#e{y zYZ|B2m83W#S4_mzJKjaWf+=zFaPbe23kzdi|1(SoS^5SfLCIn`H#FAsvTi6LiQL-QNfK-FXgCIR11pdyN_Ih^ z2$_6r5;QQ{?}BKv>gQs0Gz=EoGw)6*&pId_E)xT0WxrB&sW)AoTieGE01e>E$n zQT1hgT}U6mUl0|mG1Zh{<|Mf*Lpb!6KD~%Rd?v(8>l|mnX0DzrfpzHjI z{9Msl1_k!A(ea7VjV#YT-<5+pv|mh>($)x=dVbqIlNNOFS2EL>FADBq#1@^7qtx*wyfonjzL$(B<7R0fpRXulV#Fk&E znEe%e{Id`Lyo|2UBZqlUW4+HE)7I7&#SNIWq2b}n#OU9%(d>&_kv_kWJhPj0j#s|} zn`ju?F7uu@e_a7`G241xW=Q4uM{nqg zwtT$(n6VV`{`s*_7s6&3gA)r1dH{wQf3pjiG^CgyXDIj@d9#_MmR)`tvfQ>9&I(9- zvnr0lTXZPM$gHJ9izNRO0Z8Hg@#Deq!mx|fjo#s%)zXNor3aXA*uUU%Q%Y-kzsK{v zC-wmio5USASdEwH?t4DZiY^0YQtX%w0hup&KMOAN#XocUfkGyQ`a$C63P0B3q}UsQ zt2h4=zfdx!^EP<*C};E<{xebo7M!e(KUx6yx7+gz`qLw@jF)tm%*KLNUkI9+fyB8U zA0HnOyxZ8=-+$)ZxP2QKI#uSh%x(h^-v9$?>I;n2$@M7CaU+>t=_ii<6|cOv`Vo@3 zmKLCy*{ZqzLX2|}S~*xib2N-;nW>Ob)i!uXyQyGVHa0eB&AdAmqPn#>xJ_{fc|Hm9 zf^!#8j7H1ZA3rvR@n8F15BaL8o?ZihsA6Ka*49q&2VpFB8J3O06jMfPvq&LubFJ7(tQ2GT8{{2w~2 zR$Lh*tQThS@$g^`9ppxH1txq7POv}Q1U^frQXOCIHed+3eKt}9Df7BM^Yin?KhFM- z_7_52Xa7X_r=Xr|myW{GxN#QsE5#DpXRQR2FEve(l`}ayIlaH~BfL!5zYtZSnSr*~ zI;)wC1_%DyS?3YUYTqZU$|WprL}hg%CYR@j4`>t=6!{8HP~u#tc)Kx>Ut5g23|hX@La;I1bkYt z&?HPG33gmiL=m#RqGn7#dPQ?L16$wmv`v5H8Ra@ZT)|o~;NO z35JGhYIrQ6lhAm3EH`)npDCvh*(@?a4AB7OX=_7I`U9wUz*ttjxVgT58cJ!t_*Dp( zSFT>oADWt;wuNkXZ*Q-pqy*4~{EiAz!I6;>aA~(MD^E>LU5q|FH~{c%!`{issZ1qn z^qXBS*d#N>2x4Jjsi>&HR)6l~f|viCtRBz%ex})P&Dg~+)eYl8DA5O{8T9XCb=+A2 zD3#-AN7Jqsk{AD|s4}P*k&+~+=fnWUuvr`ckK?zPP{=`y9xgK1G%`x}@$m@?k{qnw zS*gZ=Rq*}$cOdQumgM6*Aj3AV^S%v5=Nv3zF0MClcL46$MhimdR>)xF;22S(uBoks z#u65`XEUkii(76T6&2Od$ZN4-dj+f+aY@U`rtWYJ-ba3%ZBeY-;Cv4D-dvuC``dm{ zBY=nQZ)I_Dap1P(ziPd=>xCWr6P2<->mna7FF>dpQMM!c$7&uJL{vC~Gt6|zOVWf{ zt1e20lJ3bd>?3K0`+MPxbbwJK&MjQx+DKGAx4KE!Hl_q>EzL!qgqs}0S!p|SVq;gaoj zauHlcqfnsIQlx&664wAqg4E8*q)kpvLOITRzH>ZbX+!&FASPNS^U18Q(r{#B*zSfL4SiJdCUy2LW!NK7z7qMU!QFU+&3f)9h$D-No?3SF_7+Bnkt9moCL*c; zb^=P^E5wjVz}e{7u34ZKitAZ=dX`oh_{|gl@$uU+%sX58tWceTY*DQJ=L06w5i3gv zp}+(e=}+v8JS44$UsfSY+uH0^jTklAUdU}z(9s9z(C-fGC-~@q0AhDDOG`NKh1`0% z^zsL)-(k2M?M_1>kuQ`8?tst$%x<5*kFO0_f~u-2NOet(j3BSn5)cqzis1vjC%|sO zT{Eyq*w`H5XzXvZv-OdE@6HM%TQ#p!KtZ;EVrT&~11rV!T(_YZ+xW&tmkD{$113(+ zZ8H;TsL{DQySi||RvRQLz+>4tIJAW`2#)|H_us?pTFt)?%09V1(NI#1w72^M9SR*9 z=jQexNwl42PUz6n(9piziX6FC_fz*%6}ZRYo9DgTcV`0x;bzl>Z*GwE18 z`(IKK71<3=V9N#lwl9>d-*np``(E4FFxp&^*`FPNLM1KDFjuJg$Jv&PNV_W- zIMY`+ewi5WGzQO9*w77A|6PxN0y1*Cx*V9po&X>jfGQ6z{{cy?EQJ!k+Afd4Bxht~eE9vGmGtymTwY+5kyraQ zw6}12?O;x}0(NJK8LN_nt_xF6!j7gpdwbXWYlf7tafzU%q?pA~I3T) z4I+qYpx&)GTr?#zBei%(9weC63liFvuCAbdGZ&~#?d*1eQ=xo>Jf*IpVxp_df6AvI z5c6vSxpjqE$Df~MGC~+GZZ^v)=(d+vQW^@S(IgiQ{}>Pe;P0Ft$KK4jOPWh7kWD&+ zq!IR~V<_e*m5Q<#xdGWlss+hK>G^tIkQQZEOH}Yyf zzQ7KAyR&mSrUuMJWG$?v^$XxCBB6I&o_TqB!BFfemoh$ivJ4SBqd@jyFsxxHl(jqa zK0;^&oG&yKns7gMht>SlYUZVa0^T1^Al+BG{AyNeY7@vLzSjMhm>)bJ6&1x~JRl`2 zt6NO^*3FH(nPU{3<^erM>HvOEfGGEPx6K95r>?1~X=X-`xCXQ}Q0W8(1(68?nCWXBON=XXLt?6s*8Q3|4fRpb*?f8V z7(iiC3JS5vrybu6yHlkJ2?>E@Sm;jc1=)+Gg$2Q#JAeTzZ1wl`6~T;DRaLd5AtOr! zS4V2<6+n_RfOX>v4N*nCaz*m~4PRehxFsQBVeokZ`NH1j{N%(0ynO$!TYB{t4F?Cu zWw|ohggpaw<4a1h`ILd^s+9>An^)1%Kicy0@NEzDohAUl zN&q%jYP)=k>qjK>I_Nf>Ag#jGsP?!D&&WtKaTszZXoMM22qZO<+K0B*Ndo(|b#<)~ zOl|%B@@d`JXFzhLkhNA%Uq=VcjL%qh_HjXBE>rJ~Xm*wTg-!O+C4>H_50RG;s7mvi9Vy@bqRcAV`q`|@d7!FIuC&y!=WzN4W39Do7WXhx| zG6L3Iz!eMfNd-_IOi{dPAs`_5{UeMdO9_q(03E5gP6ZV;WN8p!;Q|@|eLA{apVgW!{8s_lL}K(T7iPzu+&p|Hm*I)c^G0B4(dv%A#Uc13!Q&w@#>E;Hd4e;$q)E~eh6_w~dHR^<@2fp9eTckvV;USHuhKJLB{W$IaU}cG3)N&Y6sV6*4gazoG3+%U_LVU?7~9X z`!@nf`v(WF5Z~bH(Ch7a4GAv@mG*(v0Bwg}W@AGGgyZJ6Hq-uBfKh|Y$kWr)KP0*+ zf)kb#?BvKGlnyclg&d7qkl`3mK_$$eC_$sIAn`C5Sy$28ULBPTim6?+h zFlLpWfe{}hUW4`bO%doFhq;-mmRiE606%w8G|FQAw~sEotdOP(AOo27<>mDj6D;jrj;39pc&2a%+0hmhsz2TV+V>Ee`KG7;=;=ZB1nMX8^7dW; zU9plG6np#Kk+{wlAUMW<$0D`y$A&WTmCU6d@Hb9xCeiHe&AN zbQnOw59M=9DL_AKYimDD%UI1PYGBK`TOrY=Me74e4?HT!EnsT~2<-PBC?DWaLhx-C zBjQGl{ZCm2=HJDdRb_4+xtpM>lJSU&YT!$!#S+6&if)4l1*gSKWqDp+T}8sUm-oZF zUpY7^-hwIw^y~EKVbU_DMKT!P`g=DQzm(NE*xsJ4-TXTyWqoF5roFu#Sij=Bo*o-m_Da?# zQv>vw_}Vx}CXnnA6B8r#7MNV|9T!nhoI!8}z{S6QEh00L!5M^N28MgebI0S_%M`X2>A zHdVTz_q)67?Ch{YX=pl>ME*^9-_-UY6GXduHP{Qv??BSVQgX5A$iP4idOV1mu$_Gr z(gu3n+B&~OZwQ2s05lFE^(U}EffNGL0&)|rhisocJyF5q8D^8~$ptSp&gfye_!j{T z1u3$d3muXvuTb3tMMT(~4~l?dgu3+BV+AQljj~iLK>Z{N2qUm-Yt`9Yp2&?3_Pv$u zZ7~4>xl)@&hy-C*G2-&_q|&+}4}rhnfaU>tc^^a1hPg+eJ15!J+28>_2! zApDdUA3yj19&<~UIiTMAwFt_i;Ex|0YGG*l1_qjHp}BSAAh3*~oGu)>}8fpS#$G~g52vA6v_LnrO>_S+u;woHaGyMQ|*R|jt(GEB#owrLI%}?2XH(9 z$R2$4S-FyTKillMPV=MoC@Z<1zSfL)Lh;yKeS?FKZlDPUE-Ws-#YBtM%QKv>gjrKs zTH0KD`8xT~C<1xd3^bPO1t7-+pgZj9wrGkJ^4Y4C?ZI}(ufMBV z3zak6*fswp$DSk})f}vL2tau)DgDgMvRNt)6PJ@gG5{P=@$PQ%JIl1SW<^3W&ioSK zwcosXb9^{@+|t^*1cK^UuXYZvPJ$L>8!)$gLs{6xK}!m_a-&fZC!P;EIXPci_uQtv zB^>(Dhr@JMR(Wo27goI)Yy6-cx_+})`!5Jq!ZtKW7V5Kwb%|KqaQGWu(E-Vfpub@fBIX9vFW6_A8x{eg0mg*^?n4>8>EKU4IvF5cL?uOU`#O1p*zqpYmFHRqM~7Sq?w;o5t`2ZaIitTzP%0b+zF8A@~ZbxR8P_tIDM z-EP7Rf>7|-HrV*FN&bk)9tLFL)VLsG!n%GP(3$GZdG!CO)`Y;~bog$t*G11d1j55$ zJxp9Ds3(OI0e-WA-4w|sK^m^x5?)KTV0Kzh!ZI8MEL2=P0Q384NeQUue<&&KkiaSA z)fM~0%MEz8*htCIiC`6wT`o_+#9(&=bQJ3hi>REFn;%^9=FCM z$g?gJ!-1s#2LE~uz3NO5jBz=#Q&x`Z&^zT!f=i)26|2QB0Z4h2m4|^={$&p`#?m|I ziFtT<+(SZS_4M?fom@OT7is=14)NqyX9Z&$zBSW87nr{6?e4b3aJoPe{Am+86rc=| zlaqswLS{7o9`F5Jyb1-vQNM1CXf#`YRn<5Ut;!Y7hxn%7h}~CU)k66OTNgYaV`3~&VK`nvCkOZN<3?`p1#`J+ELb(6h*N|=h+PmOq7ZhB-8Z{SErG3 zHDm_r1nCn1a?lYkU0xPIxDYSMG~u!^uXH_!6wfPB-oKCR#&72Uu?qqXgDL;NU}I)i zP`BjSqiO-*4B4EejZKe1KF`^*hRQ9B0l-{zdy=P=3l)ot3oQ(9b^Urd9Guz;OBEOy zE3S&6!a+Sh*qo76C(IG3f^vt(vRn=g@uxvt0Ed+=%;Aj38tCs*Kp}?&j>TF0oAN1{LkemCXkqO2|24%GY4!z`Eb1+uHNE%+VeVg36Po~w)O-&ZIw#QI_s)aEyKGCJ@(xXRCI&zUvYNI}CAHH~r!C1keAp<8GDxkW%m{2W{>+5v^OmI1vB!YMW;O#yf zfEcwD09*(ZnCc2c?Q@gc6+7m&s1P`!m~4b$LzJ zLc)hWLa6GdrdcoVlL~$h>s%PljL8YHhDyMX|&vC zx+(Y(guBb(EK@+{nwW?Q25w|EeXaMon}Mx$+_!wVGPm+Snr%gH=b? zkY4D3|G)+3U@F*xz_+q;(%8KL>)vv) z)NaQ7y#eLYuOB`r*xioeGWXjy%QrHc`}qK#t;`_3Atb_Z-NON`A(Cn>*f+HiU;?cQ z+);#dYdC|~ADPQZ@(HYO-&bwAkh5Vxuv{i1K3xU~M8m)58^y8Ce@Xr2i|twAD&U`oyk{I+UZj9J z{RsdjSYiA-`m-#IR4|Z~myeGz zErwn{(5|;Snl|RwA1%P0b zOrBHq`8&81|LX8rl5``nX*)VP22>`q`j^1j00m!1H-9q(n*aw1=_-uSa7sV7FWV6S z)&uS@$OFpX{^>kDSvP@s5!kmN$H-RSOi6hKKOH6mJ$46sdlU=j4pJ9D^iowNm!;_w zje<5dI(oYoVrsHoT$Y1MLOzX#hS5Q4oa1Qe8o$NEPY@aw)?~g$OsoJ(ggi~4qHyAZ zH0o<_XBZ?*8^3eC)o=CW|Mk$dB3OL9{)y5iy=-V`z-N7JcJDSmb&rLh|2Ij_A9j$d z!xRBLc4l@~46-e#Ja28@Q(}h?4c+a);9vptJn*1zrJ@?|tvA6WJhX#51uf!c;w~SM z6&Y1Tn`Uq$*D6h`AqooSfzf@(MtO#${#@ zwFYU8F!hf1)))N;-u6Fh7h6TFW{6hc;>83-R^Q@iMb;bFuSBgElM^5W zKfg7k$IumB>fXB#&3=3>v?+LJI63wX3SRkqs6ON$6meJGVl$hlD5>{Pir8jymC3hJ z#)y&abA49?Y8vHjZL@7Ib>Mk}6FFf0B1Ak}grkey{3QtG0Ixv+u^F4o!$s5{$U&Q% zgytQfqH*`$gVg0vLEjAtv4bl=s+xsUmgMpzEsbdLnkC-zB!WBype`jP1t|2BYS^_O zL!#mgp$qG^`Iuy~Ty=sKJ-S?+_}8yTiIe*lf9XA7JoMr)48t6p1r6UwP8gixFQl8_82{0GKZ6u5@nV7WVVL~T{ju*D24+dB9CXXL~hXObArC`7SY1BMiZn0+B zLmR-8Zr=OgeVhyB*w`4TIv*x;hBKrsUY1rOsK!bQ3%jE3h{CXCR@%AOg%VIKZaJ3M z2E)*Q;K|mN3Nm4WJm@SrI4aa3fcLk;{^+%xOPmA3&2+Yt|Bi2Vt1f0~UvT{V?C>+^ z%U))rG{aha0%q^)k50jZ@F=87!G~!!;b~tgiP|)IGzw%j&~`%E;FzQ?je-1^PYSQNJ40_zYU5M)f!36}K zu`w}Ne>smIe_OID_ct&(I9-+ts|{i0Wo2bQepsA6TMsN&Wq?UU!~ygiVf{)o49v(F zhuti@j0O`OQQ#r^G^IYo5PT8>p&mv>(bCXl7A?Np-uFu?sY5Ue#Wc;v&Ou6iG_5z; zAwn_1$Y3-r$5MCe)-WR*cku^zGcdntp9g?4Y>~dY9q264dS^7>q6D8+^)%>bz4G2b zn&gs^VP$v9bfJ{V3A1R+6q0S-EeFShnqI@vEf&nN zW(8O3ZP3VeL4nc??|YUjSq!v+Le>y*CjMd7+u!S$d6v@Xny*U(W)l zlGFf0CaLSCqs|lOjxY4_%dh=Zevus}h-9XlJrqkYoH+ApFDBg>Jb95_xbTk1{yQk{ z#-(J=Tc6yf5@sdWu3x{4_?H%Y2=G@)y#7{^rKY>?@+S$aeu}=cy@19J@J*hbeY9@B z3B-e=Z)?TLi(ZPj9lhh%^lp@l>|jRPGm6Vwmh{mr)=6#mk`3+qwU0snaM{qbRD+Op zCGq*9q=^R9q&JGQi+k`}+>q2f4szKr!O^DHx%8bCh)4Zb#h2+{QiaZYdR``4^M}DA zWGXkA5xsxH=u_Zam_`(@qAMp?MI=~F@k0{=D!I&(*ZU9k%+(Bm3kRvGU`RztK{|3X z$PnBtx;SVsfJ6MfHXl9@xCU=G&Mp6cVs~?+*%-sk&#xSR+2le+gjhsG zL;;Fq$dq;={HAkRb@O4!XRs%MILZn_?h?=llpDtT7j6xZg8$vhhSi1Nyvs8u$Ii^` zDU+0KUW@(ZD_5?dZ~%yaU$wPAH?LGVbEdPe?^F0oaE+)k;**n4X?6et6~Mp zi^H9E>XbLoZNg#_8j3)fqc$ez&$r_-ot>Q}l*@nwVO4XSog(mVJjMV}c*Ix@HT6jQ z_Q^bugN|zr3EH57DNKq3Tj_sRbCTd1xw#2dC+!ynSrI5=K7_waR81>+{aWYqi)%Qx z1Z{>d;O9m~=>Xdpt%!y@ElFu7R7ChWnVFgR+RJq_*WbP(5wjy0!M3O(2Ix zu&*zUMb?pPsE|NXATN%05Wd66jEoGR2v{~LDJh-4?~K;zfh8`OpB==R;7SSWpmLU( zE|v09eqLTnKoJ!c6;R)+E!tK9XG|uh;`3JRe0k5L;6VAs6GLAj1x(6^o!(#k@QiV= zPLz)i;b*+Nb{!&b>+XihQVH#IVtGPJzN)e^3&Db;>-=&aOmMCPNcrp6muA5Pw*okm zQl${knEB~~d0!9U_P`(gJ+Ok%N!VQ)@K)Gg;p_gh9%0!gCEI$ae;aP0XY#v zEn<7{w>pj6P}niI)${JxG0U;o%FyysF>8es`pM9up^I)ndUI%f!o!U<8~IsSSa^8S zbeFAK<%TEroyUq4^{n^YR7i8z`8a`gw|~dQJ9HxW%^oVqv!S8;(vGfl>zcQym8TF2 z160bALL#;%56n8oWZ(rhsHCi{Z>jGk%&f=dJdU;)M*X^P>!RJpH*RWMz-W3n1kGG; z|Cd6#w@Mo(u`30HhR(pWieh3Ez7T_tFMbi|oh0vP>FHxx-Qm6-P(d7|_9|}#wf=X7 zgeuc?=M5F$?NHaD-8sozgAdYO9&)k&z+N0&Xn=q~8l+`MM>8=oU4ZZMlQymN_}wW1 zS(b%vI@|XTGP}IIJWBEZMnS}#j%X+4{0k;%fl#mIs?&(tPoN}MrQ5o3qbbZ87|loc zAIE9RP=3N-Lb-9{IjF2&9Q-fnbh|_yBZuu_o($d#`&qM;o37V%C5`=bV;Ets_*%0^ z|C=A=$JtpT5W5txmeTEfxUpMR>~U%;7bBw%mK>_0BwlwfFA)qpg*Ht>;wI&*^74~0 z@~}Y;?C~NvFDUDf)rYDa-V?Cgb46lvc&@GjWjh@ukvCkzhGyuJjiRn@C#K14+PwLy zU^8Z^G}4u+!KOHnGid4MmrBV1g59Jw|0lqtl(2ro1|XtyvR=XqZ`_%pen~I&&i@Xl z(Znyz!*eD{sn;TDzGE8D18yh9_Wjx@!oXGlB1y(f+IYrLK9lggJO@iG_y|W<7p!b+ zaKzB~fK$TmfPQLVY~KhxJ`{Aq2$8(3Ig#o063(0dNWv#sP+U3~jNE}FrG)z4avp%I zsF$&YP*6~O(ph}>SN|QfQ;{xw^nu*FCcMLdw+nl2@l(?U?OxNsQSy!3x9h9O;2TRx zahbqsdjaQEfE{GFY7XZ#o{73r0_n!e_^$}~_tf2Ye`8Yqxz*x0fn8<5_%PJh6aYU? zp7b}oY-EIXyUbz{Car4obNO7&_LEn$LEHtQm0*TIspF1VK!n92Z{kdGv@Ua5?pUK? zT3Q-Bt4Y=RxsJ28I151hF+w->(_If}h9Z_>`JEPGBZcEa%5Mt5 zq0M^%CcgiA&CKT3;CjFS?Mn|x`8Xcb*s|vQ`K|Tu)W`R81|E&BUY*$U>9rc9?zUva z_lorRopG5v9Uv83vtr+L>_O*<*#WzXzpUCllXI%aTBIB`J7B2pa8XE4*9;E6>bIh}; zTwv{-{SEF7#K*;5F*AehH$a7X>$RoXof};2dNy4!t(G_Wl{c_fA0`=x89QZVtB)3_z~V^!6VLd7FG-n6}Lc9K4)=32Q(Z^$dFUq8xcuaA&v z`t+?D^1mA^*JGQ5j1h|3OO>lJ)m#3flAb*P7`#i>q%m-nRN|gA0>kj-k3$L!k-XiM z7L-TOd3{NSZ$K0xYv}us#sNRvi5PhYfEnc!I}Yks5hyJX`Q6e1%U7(xA=C>}B@Yq@ zLJBDp^aTFzgpeb@b5cFRrQfg=R7wlMZWpzqpzp-oW?58 zE;c!tTM1s{#VZFruL$e*TR=|cdbQ?H$jTO=t(?8eJrol--`lriM8d8ScJuD;ZX`^> z=$#X$(vf}=*A}2R#oD!CFS+V*igq`d6g0zl3kxB3&w-Ceuopm1X)}!snJGa3a22^y zg4Tc*%^LCmH9apzMn+UAfDPGP8i4*pM6_ZB1aNAF<97v4PHbTk{|QlMdgH1%;o^~O zoUB1l{n@x+FIK+AgI4Rt(Yv1=lI2dTso7x%0wKO?#R`$RiH`AlsXL;^M-car)kY^W zmD&6(^+e2?wQFk-_7G-_k_m#a4RjBIP=ie<-mCKr$|gt;$|A&C!N6Vmr&y!q>Zm7J z+WQKHdSHUFkBYlPumRaS2o3W*5NjxhKJA0$xGacELp%T2(W8KgTyNf7xtw@`e7my2 zvP;bEjT2oQ*StxNe4+4F;)cWp+&^!IUN$l$r!q0M_`(#VK6B-Kt?TGTXZQ*xz4G#A zW&0nzlv8uOkm7rzi|Q1Gzw0#hx-_ErRU7{nLh(S)S>drJP)<5}r5 z2e%s}c=tyfe0erWX)R_~G?x^MO?aFuaQu{9)J%bhveL4$551$}?m*dK?STkEOxxb^ zyNA~E>>*>+<50h;f5NvV@JZ5}x!Gv|w=Kw^uuIPS+}4H;!Vh@nG4fP^TcvxVz$yae zWT8U+_pn+&yU^al%PR~gDh^MGtOt5$oVKK;hMKZjS8e~fbwxJGiN1Tu?E4k$2&x3M z6YJNk;f{4~!ce!4%??FisH6iqBiQz)W;`1i8GFnjXqhnvy-|vUhmcU|Jc-2818LPfIyVdYM;lpzG`DiIb0)xI@c;54(;^z=)ko}ipE z@}i%5c$9*(lLZWwvGpz}!Vt9@!3qE~@hK^T)W7OpxrAB(f%udRR%b6VgINTdAT=W` z^YiLC8-LLpd}9}xm?|GngDnen8yn^z%FC;2^uLs!vJ7iT-VCkoWUDwil&d5c@dwtg zilxXOq{O!jeVtL-ux{DHx4sgvasPn<>?<%k2k^j| zHT-HLT2?c2bHeX+nUaR4Z(zV!^IgMbte)XT6_1)oAdJ~vAto}+HHeR{lhB*QhYv_; zoE}Ya3WL+TzeeVFl@A23=u04Eb(N3*va)ZeY2Mgr<1PAv!I=I6@45Lq8|R$Sf@>(2 zI$6Cfc!S?Ie{v8tMArq7u6J+{^80*n1$LskpUUbl384`hJYcMzqRAodZdjk~@dfq%P6OCJo?U{kDVQ5g zDe~Mg0^4?mAf}jPz$!nHQ81gND?foXRw*+6iRk4Zw)6Mj?SjaN*LH(>kV9o-4*_!` zjPHoB46Q^5Y7k$L%<>W7?T6p#)^X*FI`_Gal`6F)QS`O?k>lbxQ;I__<*YmJCx)8b zu<|sTW|)W~_)Ik63>X~D#g0E%5k@uAHasq-%xgF%)K$x>YGz@Pn358KxQtQ_6e@Ul z_&DiFX%JgqFz)K?9ED818M!@Pg(z0Q4L?;8L&bv7I%Oa$3yN9n+#ma>iU?I2Y!dnh zH9@?guL{W77oiZKdH`e`=$^Xz`c=!9zhl?3{Tc&<=ZE_G2*Il*MMaXy8`iJyzg!T@ zJB?Ab)%pj)G@#uM@W*0%oS0~F<;v%6y>|fsiu=$tzJB@s&fKCFxUR2AD*P{}=gPI? z_j#09wm?FWqxLvM`t49re4FJ!wwO{dkHnr_iLS&{>+Sb7zYd5gER=lO4vqJC@+ z?v^5wjW>wRRFyLd>sRe6)#aiCfI1#|_(st4g}+PY$kA`dB6loupb7U9pa%YhJc8jr z=fMzO|7p*)w@0Eg$Jw|Bzw5ZfcrVnl*?yMMcJHWLF?}He6@*&zsGQGRF$I$sm7@n- zk1j;r9y%*oH$iv2YY}GZS<^VnAL*mKL?fi#QR}=_Jw0RY=7moiDczx>6RBpce_zvl zQ}CMwG0~y=x6cX>)yHPGRvsCscq}?SHrUJD>PNU>-?G8kv zv4#}>&e8ha_WE0${eQ(=^B<{P>T^idSx}%Fii?XgNv)xv2rxvixLe8=osb$`vV1&> zU14|!jd|SuB!?;zS!|I0ER`+AW5##seYBqc;lqbv4Rds7Onm$}`%2q&z)tENFs)7u zor69!OYfD-YV1atAXIvnoB?e+PhSgVAv}xEN-If-i`IU59UcIR9o%o!JAM|adHU51 zM3MUI=Tjx9)bm5KfSq&rVeahpn~smMvS}P0E>tXfsN<4ZF8pY*zX3r9Vf_SHn@SexN5#h|Y0wVX7PSCf-N0xXVm z|5<>js3?x@{nTE_w+ic2-R#2z0h7S)-EZ{|*45VTW@2LTF9AJ^JRp1+r2L;fdzN%m zNvRG3VnA3fl&B*?YOADQz8@PKD==?(@gm_A)YH{1b^v)<^yW>^=oDhJ%)KU~aW`Nm zhQ&633D&3#k#vq-3=FSIOWELa-u3gvz;He17gQ$^#$b3^A?4f0s95>xI&J(g*yhh= z9e;~(NYt<=Mx&^v@`hfm1-gbJ8^K#dwvL(EFw7U7d2ks(0Y5%It_8n3sFm9u9@C?} za2*jG@p@W8wwCozerW+d%*6HKy3*VAagF$F0o(|^)yf+{oj=snD3G7i%w^!@lpPpB zJmwGJyldAQrf+wh{Qd=Fs9nX|dU~uYQ&n>Q|ACzDc42{@IxY^i(7TcnYOeEd03f?k z;!4mX1cLA=2n%Da%BiSan%oMra7#F6(^x~cGwv@82?9bwhH0wC>QGy^Px8HO_Zc3#M~`XWOx$$8*!RNu;r(8(-%ZY%roU#{ zPvQQeELW>u{c6Rya7DL$WvIER<7Bw&fn^b4TNVdj!A%7jNpaf%ptONQ`GVzv-*iR;?X8CXDJxo^5}#TK5MiY8+|9SB#AZQ0}@? z;;0tA^4VFPAvRXhi`qXV#4!IYwp+DH2ll}b+U=tDnQ*sBMD2jNC-xV29+eGD_LBTi z=*P;O>`X@m>oNV7)LtH*DEjZCHVu*qwdv`{_4FQnN;3tg2;*FEWfh<|s1u|LRP$`p zeAaFgM`~=jeHXyJ^h|#so@h+SN!Y!zXelYH8X8#mF3!QG4}1V9 zd|O|iy_%X%@6~ttSJlp)JBNn?M|`zG8XgS*b`X;9*`r)v(i1sqFTIGh(ntmBdT9vT z8Cp=2Tp;*A5d&X9ZS5KI2b&&C1S$oh*Fqs=3m185X=#WR$oGZ}lZe>Zi<+7(&3%|0 z{T+BJcFUzPDyEP|;;Yh}dBpT)z@o}o;katS1&Jv~#**v+$G}d4ew3Y)lS(`~HWmN^ zE65|bmCO?j`+MB|JxPo3s&{nh(?U&ix7JLv5kPUc=<6cp`p}n%h&VAyy|?nGvh%FE zHNwtW`_t){4+9z6!8Cp%gBEhmeAA9XsFzn01se}_IZEWlY&&c=!1l0ro+!p<+TYzB z7!hGIdjdN?bSdWF+mBtm*o|_`yD`Ir{{Ok=Xb8E9Slzv5hx(&%Ia{j_&NZX3M<}$;ZPd*!Sfd)^j8&h1{zi zrf;R%x>e-+o6TU&J|n7t5Srn_^-k0B28M+ZxNsKWf3!u8Xq^aAOI->R3c=diQHW9< z5d0!^r8Rpe0tt~F4oyvPFm)DW9$=wGNTdgl4Tkz5+jD7xg`yW{hELEQNVd%7bdceO7Cx16C! z<$pV<;SHBhso?OHUyAS-`Or)d!no#UjfC3Zi}!mTEOovr#y~MvED+k^+#3An`l#p7 zlFR=iU7S9li(7d-{fRH@QvHITd@q?KQ9x-ka%X?fcVK(7W~u(*!q?rZ<5fDQV`n3# zBOK)DIIvF4lOc@b&8>8IsV)&dAwWi!(NwKrb$Q(q3(ucYI%l1p4~||bGzlyxM6a3_ zWp(*-4Di>VpESb31(8BtNVef#05=l-W+F+#>+cC@~HEfzT9r!>^ne`R}Vs|lthefNrR%=%u#$$sj+*5ka6 zc5D`SKp>%NZ7qn5d=boUkfxfJU0Y_2>_OO)q3*B+V+JJ+o-RnF!vw397NSXkiH$>g z+8H{BU@4&DGoPPa!FK=&V z=7qKC%>Hegkyn^!uxQ@&jQaR9SDP;~-wACG_IKnkokM>Ex8s0@u@%y|@ZKSdhgjBF zbQFy3C4GHpWD^q-Hj7V8PSOfn6Q){#iV)HRdHFzRj=ib4xEPzCZJuL!Fc1FtW|0ec za!ezX2TqYzKj$Z{AH*WpjO1DNcbAH33dI^^O`5|mg5)wq1qDVI6b83FJ#(iMzk6`z z6T?g(MhCh2C?>`T*l+GvQveW1VfU*>VoZdv4KWr+F}Myq3$}h6Yiro1H~;byOI+36 zuoYB&TV=WXWX-Gl*D5Q5w&m-$%Cls9AP3s1MM7ktQ%HY%dpG9 z>b!2v8kD4s2s1$sSXLi@`P4QVn$U;{S+7)WNXYQ5slD_d4E#%tep=%`Px!&T))jr- z8XD>adxh-|4mOMi2Up*jm=f+oP?AXq;ldSnCqhwy*ZBNsbE98F!c*Ot(M%j6cj!dz ztpRS{5gvBOA!ReI8zZE19}ZQ!v3)#+*Ksn$;-;gLjgF@D`X3*;_bZ{NnjC8~2`O+k zMtBk-1&5a6lFep7^6(KA*c1cS7`1g65x}#Epz(4jkn5Cm_iv}O?I9V+7($r?y92TA zYYl+^0c$B=yDUdtVpUSN+M-?R^YQF`hJEcH)uL+1N$MIJ=sB3Apv@5{_m|_z{=|Lp z%9SS&iUCEj#8VdY1WyZSd*EO~LQSufkg~TQod5)VXZEgMzg`3|hQ|SjCkMd>B_*-K z^0#Z3Gj$DSv4mm#9FlP0D+k^J15~2J;=&Aw*A58?vO6fdo3^ulq(d9yA#m_Vva=O$B8;?JQQ6j zNY;IfI<8=*lN0C$F-8G12S_eK0G044ck(qN-P#ZVr;t91&Hhlp(}XpO!<3MC*I6RX zWfGYh==`TX-`D`m0Zp3g&0;*1zs}#&=eOboWdnvXTwgp<8Ui@pQTKAzZrL5NNqo!r zL<#!`-4pK=fTHCLijG6sG;{qrW6>SOt$wTrfZUV^aWRUD=1fKYVzHM}0TA0XXQu3dScSFdkjpZq|Rj zo|r0S@>t+3`-Xzkxye%{vNu+4s5S^QFfXSSM^@vLY4T*WhfqbDGKa4tHV2fJBU(U$`&-uN$OsGif`fm$ZrKv;w|vzqdND^~NT2p%HD&=ufWoDe z1e?z{ry?UfrjV0e9TJ2TOcRm+An`m2&FBt63w?3%R}u3w7xOeL1s1W7hHW8V>nA{? zm6w)o7N_CA^4Y3jT0=%g2D*l6C1RQ&*kxVZF1|f`E<(M~wHta+LsJ#sVl2*GHkb!e z8N}6r>Q^xeib`Oqz?8WN=}syjQEa4$3!waEG3$ymGL40Z9W8K~^wMR^0RJ29-;U5G zyOEYX_#!G-;M{(q92j46>QsgMMn)WrE|fTX86`ZGfiy#M^yxahSe-ahDoRRx>UT-W zA3F2`+7DQ6ae=;Uvf1qF>WU)|dqDz|6rn~Qe=~r%py}ypNoe7*qujhHAt`BYxmf?F z$EjUdEEVF;)D4uGIF5uMV?_5yY7rel4}iOYXl@wzuMtpX9MS=pFV$!W3I^D6Z)?Fk z`VUB_`n$RU!or%PBsXM9--aCrH{k2&l#kCQ!oTeD$>uG#UH{Pn$UXp)pxVi}DnYV( z2kCFTd_0KQeS^1}AtE5E3@Iraa9B9F6y)V!eO$4y#WXzR7M0h<3(HbZNlIk%$)B#3Jn3w=7f$D&KZ7t~yqBFUmJBQ__P11zv-Y{I(R6WH`ypQnrY@;}QWm zSwjMO#h!u_^6Y@G)rUCDjLqr_X+dS<-}-Jiyde)C6o&+qC+NG(3>NP&?_;)Sg}%%y zD(}zcCV2Gn!8+GPo1>|4U}~dQgqy>2RilynP*O(zWHG;XcJgSyARCc_GEWtOd+*J^ zBa#d^xKj#c1!vw5d6*EDl^gI7b%XCe&LM`=`}lEpN(LsTqsE5zQ$H?Ex>_T9AtQ|< zAm>2(O;-`q~g2%vp+JZE+ zN0BdXy>_!19~6sx{zKlwqYtG4{ChZeCYuKx0srlx`ZhWRwLGC2EAMuKoLy{wW(3KV zzQxZG8-+-+tXwGc@msJz$jw9HDDIBa4siv9cOFPgMjB6gxT{F2-Twl#Kqb8v&lI6e zg5|ImdZsTvXxVLSZNWkCMA%?M!kvQp2hlFMxtW<0Mf6U{H@x;fF%ya^gd0JWCWwXz zM{VNFHqq;_TfI@1BL2n7)nYeURYxv z(g@%g3l^Fw^bbKXfJ0P!;>SgV4Gesp+$rUgpOD+2<8mt0g!>a7NpW)ACohR-C{jpoVBbLcvAtS}asWb$`uoE$Z@|wUeHi+yVZkH| zREZ!wAsPoMcu`kZrD5~*w#}OlSPy+Bil!(u3ZO$kVR{cWFRn(RniaE)1rO^9(X{Sn zjR?Jq^AjEZ(*x#CpO?rYn4F1o@ZERDGd3{+^+>(5MePk$**6QppD`#H_w*h0)d0v- zbW;eDkh5htB`ZrLGJYz3Qmqe{>CniC?;cEvMMj*`zz1a@wyEIs$LXDBQb${N{{w_z zAG}2iH3HxrnNz2dA+`Sv4uxRwjGkyg*=sLiKtwHu{hmPoAYFyfw*hi}`S!j(qH8w$uy~atc?^W+j2D11Is|Z0!jz6}aTZJ_JjpvH7c-H_ zRCOveeFjJAF_X;HTO2XszNX@V>Ir(m5D!Qmqdb$QH6h5D?tYfS8;( zfhctFnoplpVAjH`SMY~hBUJ*(Mp`Cm6)XwxZ6FWv>c}f}dLRjq0(MHmf;E`s`ibK| zYeh1vq%aXhObbT6rCH#Z2lW)YT8($8sO*lMy;53Eqgj;a{aPFD)yzlxIpuXXQ%o9T zJStpN&ceBf!N90#T3U^>XS+K))9}<_Au)w0ngrSB#vAn6t4tyDQaFuL} zOMs`LG9N~cDPlZjgZ5({?*%yBDb(B+(%hc_6Mz^65aVhRLV+;*m>2*^RBd%>f~47p zGrqUp_g@|Hv6DP@JN^cRRxB;IMSp4+T zt|NSA_M^DXXHEMIbb4q@ZTR=?E4#qG*LUT5YQMX8cU|;?YZ*K6zF2?uM0RH8M^B$} z^YSYF%wIuS11NnrGc$H|)SCj zM+ypt#I+IYXPS;>i0039Yyj|fKg0K~+it1$l>ppi@1*wQ1O%NRCe~V1gfb98jN$!i zQiIpR8R_h1Hqy7YEE5^DmI_DC6@XUc$^X>MW>}m9_nXU$QyUzle*Nmzm(x%qfqGyi+E>AIua-Z)&wvK7wN(tJL{MOO z2?_=W@mHmIorw7)Xr*f>u}QYyp)pl%sZg9t`iGLBXL!#yGF~!yEFrUu4>R(DA{xpw zs15{xxT2*59vqp(?!EIzT42FkSzcf=K8=5@*<8oHY7q@vwV-(@)3C|fLe~K0^fRm$ zT;i;~36P(G0K=sZ_45ND`~?CHn;e5QL6pUy_poaZhnl%ho&u~7jksot)-yc}y;#ll)hsN+@vBcLWB(SRZ1?8qhSZF9{_h?W2 z-H$U4wv^)kM6%qb)3|ap9zEcq8GU*0W??AijJf4Zca~!>w~NUSaXrbbfI|dzDNJ+J zbaWiC&Pk_dQ#hGdovboQ)9vi+_V54@b$!`Pf`@3vljD&8aT9fzlarGTAeRPvA6*1t zeF5yAhoS@&6Zp_86GC-EZLcwc5ROD55KST@Gw^D(39D%oh4Rq@*vbcrz2a1~jL>gx zE^khG2v6>V2QOd0#?qm)%8a~S7~#aSP5j`ulyS4Gvu$qDtDbFGO#-wa@FSzZ-!a}l z_VH1j5naUm__vu9%qm@4ky!H;(Yc15$$A$rt|h?)48cpp-Md4Om1H;3U9--j#N=v18jE;?oS`E-_ zl>wyy4;~-(B-q#0)rDa+t!t4XkIWGnsiN1Ce3P0ZRu@cfys*U!7pl&&jplrNa2_Gk zsD~hn2N#i$oSfe=)2XXF91Erf*B29R+G=85MMPrI76O<}NX~y;R%QPY{Q&E0)LURs z1Kpr>!dBCm-3*BqFFK)QB%iL;7zooyNZsAG_u5wt&c&!SI z>e3+AuzVC|BjP1-07?WPq*%iO?Cj;ieyLd-#%4ye#~#o-<+ei$2-_hWV6nEAJC=D7 z=g!?j^-Z)-`}bc#L?8P32?#4W0+ExBvdnTpqN@;l3eh`f)zpRA*bD(mqiw*^1HZ=v z5$0ILmrlf(=pRJKS`vjJb6CC2P#Zy_gvb&83-2g(=_&szHd$76URy=ye&@~}Zg2CH zPr_T@Ha{z;B@ilQsjTV#c0TcCWLGMmKXbc{$`KY#Xk!bAVHy|!qCWr!k*)l2@S`Xu-yZl9}+k-RF*pj&@nYqAmNq3qr-XFzRU+x)tAi}iMdip|!Xq^vZUjF8VbC37?mVm#@ zCY{a|!}yqiLd*l6oD5P%{WmjdJ)Wxp1;|VP;A{L=K$n23T!eebi)0M0F7LUmdVDvN zGp-n!_7T~0;);KRR5+jzwvT%iBWFiWtQc(b}0AJ-jpnk;Wki^>VTv}@rfNy?r}hnC5-Ljtr% z$hNHd;`WMGO0opU(c?@v{;E%kc%K$t&|5;*ym9j6!b3GSvE>o7lCy)tN0XEXNpn@z zCt0(P^eL4273YbJiJuz()w>SwBJzGM&vU!}?I6AS?{oEZF+<+zfXogp>L2EEa+Idm zWPFTm-11JuFfj9)dVb;Vydq^ev$@VpE{7AH01cxBR!yoCE{!J1 zIUkwZ)vzo+O@8j`>-;-hZb3f_PBOtEfz;hUBp3a7@b0I-%^y5RMYM6A4z?e&Njas^M77RJ}{P!twAh7K8$ue=&Y#8<+P<~-#gV(GkSHn_N9E35xw*me*ahGY@_(z@r2v6Tvi#wXs_YdLVZ zZ13c={PII=Z>QFvO-6{M5qerc_IKUtlhlw<0v9L39)MZn!D>eTiWCBp3vd}YGO>5+ z=tQ}~XKXi!Ilx$8h%kUDXdcsa=-^d{P7)D7kTfJJr#=jke677d7up=y%RtAN6PZ!x zAx{TjNXQ6!N6|qiY~FOVMC>w4vnC&BmX#t!!1Abs@5YY${0wAdSLj!eIXnEDLh?oW zLnXw01_tig;O6Rzfke9RA-zNR;Fm;G#PAYC;`67jY3TYCm6T8%ts#vKw$jtkByz5|8kc1p@!F3K6k8jg;0;IsK((p)e7lAY)r;~`Qh02F zQ8Yhqm2Jy+YB3aQa1+(m*6vtGxWEtJSh-hW*>7m%G&n`lQD6bPrGk;0$6bkilE5Xa z!7PBf1?(y1^GG%#AFA&gFCpZ~x=_p>rUOtGqsSKWJD`6~&ot@Ut3z^m_G|?E>X$Z% zd(g(8A~#iYhepmzLPuFSNch{}pwo|@)4JaP5AKl((l#Gu|JQ2Nyy}{xks@oSW-gnW zdZV2|qK(1(Yi32w6O>KzY&!3YP^_q4`UDB-)kfqm-IsBrpq)a^0I9lOd2lC!L+W@A z_83a9rN|zCKYDfWj16n-VJ$a4DqM9JJjH8e0)|ImVjDx+2;tAxKk(YN2N!sbcv7gSlzBhUcrioKCH%^9~ zgJW!TG%YJwQC=QyT;%x-V`d;s`HygBJWD9O>Ucd)+9$|+ESpo(zOl{U7(0oxcaF-{ zOed>VWRV(`w}Nw2=205}==uK1ymA!DE%3u+0ngb?G}4;`K2^f(oqcu2g{b! ze8!@2i?7X%3;^Jc%gFe-L6ejQmy3-xj6FaO@StrKrvYyXMKzwgCOAca)ym1;6_y1W z2x9=yBQB77fJ#YkK!X7`?u>^@#Uy|7QLZ%{%ouRhnY zf+K4UN=r&Bsi>fvTE1%HER}=tIpki@=w?Pozd<@Ro#Uc*OGePN-Q`mxKkgxLLbIt+ z#AXQ~xB;e1WIMQ0V#~WDG8W{+Q&CxYcAJ>P)bsar@L>P3%I4S8rT&MGODQ8$z>=u4 zyYFxuOF-Dx<&OO7L_;2&xYxP{&YngbM^zP!6?hu%2&q3f)=??8Wi5$%wB9Z&dv54; z%#Dw3E|ee?Xr`K4PZWG8Q8@E3b@C|yY$#e509zu$!I*8nC+dz1CH%g)1H^!5b#-+k zqkhDc0!L;(6$+_cCaO)FipIuA6Z-#*&FupQ7EUT>6y2vfKz6Kb&*h2irF)u1?bDUBqxDP`MB5$jjI-VXa38l{m61qB_UU_=wUs}QQbH|+8ASHTv14zc$oXNL*ExB>>Y{t|4srJ^^LYhw zQU;Y$yyAFP&RUW|@|oCt+SWRUzZGM~Y<%}+(0zkO0Emm?mfvb1l=5L_rZpb9C<(6; z+?JN}-ED0T_Kp6>f}Y6Yka1*;7)AC_TW4pCC&Zzpc7|&I%6K<7PA^;Xsq?@c8>d|q zBWXF)3UQOwq@NWF*-q=K^obeUvnn0fvI&iCV&Y{`LfB5NLJM*eW$0Mg*ihULv=(Sh z{J10$mHJybuT8XIzi?qcU*7`;A6Sqbh6J&!3-fccD1c!D>YCNSj$#UaW%voYV#p^u z+i>IHc1j%!=N}%`Lx!R?Kk*u$;bHtxS><6a$EmNzctyC%+dSo{vNq zPtSFoUQRs$vEaqx5}J%>rb8O-GLp)D-+E7+5gtoN(EMF2xlqb^534VVG1^Ex_+M`4g9!gfGW!8qr~)Q61%4WtO71!5F*NJrt) zhX7>z_U)Naf#K+$nH_WrECu3+>zMCxife|Z1ZelZS z-h#su&uq4K?p~1}o9fXdYfV1D-C6$Oa+(x}kSo~C;Nvv*Own3PZp1yK* z*Dk)+hcOp+WOR%RcA;*0;$!x#@h#_-m1Hk&BHqd+c|v|Ni0@U}3(z}AKtWvuDRn94w_?OTx9bLZc734>SGwj@5 zuJ8`yT*BtizNObkhj1d$^vJ%CQJY(3C-Q>k{9@@?)qRxYLWvR-8WCcYg^bX%LQEh= z_mC0#EzOIvIe2Nlq{s7+lNY?IJFjM@C8l2Sq`CKDDuu3ZXuG-l>Rq^kWRc4vHmem= zXFPL5crI85$RenU)+PS4U3knzmV9BM_IIwZKlOn!Erj$Z3WG0wcgn<9le_ zVzW^2h-en>B`~UgIkfOU$JfnLFQa&Q&FrXIaA@>)x9y8Hx#n}8m3H_^<@Ba8* zR=M=>1I@^U|F@uX5GN*Pfh$9v$_J4n&7_dsKlja?^oFG%l`(c+YVW*lWljcrEY6W{mdu$r`)1+R1jQ4zEXuM; za8Pf2%l{p0ns)no45@jwma`840-#l3+~V#)KAD-Apj?qmsW65M#=4VneaVfngIM-W}L>@D3EWMzH&>+E<2w ze}Jw(a4{X)hf$PvaJ3mR#zLPKHVQbIU?(^>S2=aK&EP` zScOrutk8V7ixTU(db;o1YQ~#?9J(vIlFXunHn6j_lh!;fK%p?{WSY8TkwiIc*-x=@ z7NB`iOqrvnkH9$u!%q6u^#HivU%xSsXW`IncP6~#QdPZbK_6;EsG9IAFuh7Rl=Hq9 z?lhZ3eTJ{%Fb4^|FIGho1HoLN4#iY8$RdX?6N#n;1x^rl;$UVsd539ikQU#Gtw<*xRcTgYlKZrW|@SNck-THD>URTq=((T z=dMShP4?u#;KhMVMMJ6|b(YJ>oGWbB)O;$RB49}DP?!ZyFcgCY7y_bk27O|JsF*Mh z)A5AbHKcFfdY_GWkJ1X{$4Z+RZWBY$z@R6!16c!4C#Qc5+=D0{Vg}TRU%p(Z5GAOB=?+n9>Wq%VuU+9>cw0%+F+a1R_U&%| z7X{fp=4@1zVD)c;C?|mQHX`(a7>*95z&=j!hn8%7TYliRdp`fm0=l2t&0U9!e2l&s zrZ{jW8XB!CQ_HkCenV*_d5UJ_4u?>id+W9~-qCDwGUxv0RV`m1fqF^w4=f#Pu6(Yf ze=eT4Id%zImB2x(g4=*E5CwqC2nqD3w%Z)kPIC{G$*bcET1sn2-RL=J%S4%6dXT43 z)jFp%6{8gXc#~H^y*ZQ{+e#smAm#-dH$F$2g=Vm*gRNm0)4S#!YDZ#g(ne3(LNPAt zG?&Xgg=mIgzF{MyN;M|FV92w+Ay5H=Gois;o!O@=Z@jE|7N0>hlB$k&nr_Mkjt7h+n#Nizx9pR7I;5?0j&C5-&sC}`=bTk# zqSah)c!fZFhRABQEb$PcIO@;5bje8GFi_es{r#habbo16#`R=9!Ld*3IEDV3UDtC= zg8r@Ra^jm-ID0nZLa~oki%mE3T!=cfDKOK*%IfUR^($W=*&y=a(OWP)^fq5M>RLcS z3jsaHhIV46@?5%z;XgT(Pa;W|{#9rbphZU>d8~gRt}T6!m$z-Qeyi=kg#MS~lFz5< zzSqskW#}YSB6=c8RS*?zCITPC=Ley zY6LdwqVtFTIC7FPB zGY9??xw2oz$OE_Da*J*JyS&8YiG1wL5>=G(Cyp*gV z5gR>xLD0nzaSTupB1A(dojbpLmE9cFTwD1~&!e++p*#zO19$P`sAFNX{br1@5}O|p zGb?%t2@R1xr|$S4v^+doSHRb!Fd)W8VU9VnkAPzNNkP*CCJOCI4U$YT&m1&=r`1Ol zc6yy)g0k*J}V)E-S?Ax;~XQKY@-)^aal>o2`7ZiUH&6k1Pv4T1s z(qi_t5}U+Z2+PIK+Q-|MY1Qjpb90k~`V*)L9BUBmYv$RqdwZ!(o@jTPZ{0dVweR_i zCcl)A9|v`rn=2BxHV*GrimIj&v_O_J@J~oJ#NaR(UI&a~p%^9_^!!Z9^Hq_DA#C8; zjv*H$OkD;N)-_Ivk{L8pOz{Pbm4kZxsa_Z!l;IEX3jl7-m|!m(&z+3M?3WQRR+wCa z?lCe_8`L>_;RUE9OM1YE0ZrA{kCW#H)`z2ar&l2qM;LmPo~dDI{HiRC|IVq{1dPHW z()Z7)s;Yv3L>tThRTiG+o2bE2Xt|rOqS-42U&p6(Begx3*%%nk0|Hf=W8^ie zyZmtYpqPZ&{S%#(PW=w0R$nTcsyox}F7i7sZic^dfvM(-l@(&yyHuF33f1qE!n=(2 z6uOJ{(ZhkoDsh2rdbpm9*5)s|$#zW*W!%7L^uYw#1pDu)*qqp%g4RQkxdP`0cJlq~3$6_+#HKSzq8ZPmHw(*8BIBA}2wG0039k9&+UmyfjMYg8lxBnSk8Dk=h%g+T6wLm;<5A>IbR+5BvE z2)^905)ze1L_{2)m70M-$RMK77xMOT8c@>t-` zAds9cxAQhPZyO{?fiJb0OQGZ~p%$p)Wxe^c*Trr(e<8RmnW%?#bW{=>+)bgEk$eks zv7-}z^Ah;)2VH^dt-pRy|9pu2*S9k~FLJQl?T>1*CdWH`@yagJwQ4ta?RCP@JZ1zh z+Ioxi4q*Z{JkMAO*VW-~!MvTd!sSlKgIVB;!Rk!1eW;7D&%#PlW}}%?f!9*=-a$H| zJWlN!4*nsu(YfqdF!f#VCLO;H8>TEHLG^BiK560C^=s>R&Ll9k7kQeY^5awd%-lGh zahOnJOWF6O!QQ2jI9e5I>lT&C{o}heyuRO2m9~aT4-Q`Ql=-nAJCllq3tf?3A1qoB z3)u@>3C+Dap!Rr7*U>X7qnOiA<>w(3I@;GOD8Ikr)5b!&ngpI%=o1}}5V)?&9>DtYn6a%QEw!F-94WAW zs^KYLY1r@8r>+|!J8NBm&_Y#fe^G4pmZo@$jc)NO7spd2+A_0|4`#MaSgkQ#z9aQD zKi$2jr=zpAYv578T)1VlIvvK|>BC5qA=3(Ft9+Q=7jH?0%~3_g0dD4lajAU9tI=Fa zPbQKHUIG4dv~8D8S0UvsYI+>MBUtXQREqQr1z8_u8uU&zFl;Ghr2LPf;~tilNeG`Z z5j&dC<{JhoFu5MiZ90`mF@Up_rD(JNTYX0N}2 zz<)fqORq83cjORzS$DBC`PCBn+ymWj-+$4mog}s>a?#@izhCYcmfPAbGQWS)pH?xX zd0q8LMY45NcQCa=h4}IlOsjI~GA+1;S&+wup7+V6!Q?z)N#G}*KD4~)Ba=rYi4^3) z?saTY+ELf5zpPTZn~!RL?hnIsi_9SqZz}oTjAW%1e`#Tak93YNMzUADN*VoCRrYt< zRt65V;#7_}-FnPMqrS->p!mCQGly1t+{TyDnn5rIN=k}O_o z9(h%7ct$=nA78Mp*c#wGyD}D$a@qHMX_>jOzHoAJJ4IkRr@P%{@$Q=2CS`3)3 zE?7FfEDZ!FJ@k6Rg*=VP^2uW)KulGvOP#hJhQ7i zx0o_;+dREg-2CO)SSr?bB49;B6B-3)8tkI};G_@|L3@&cjnZ zTaLGk)`^v!sdC3+h3H%kTWg~v^wU5Wg+bLJ0$z{}1`A?ElvrV2N8w+ZapMf{As4UfJ zvV1eCD|0L35WTFQBCfmGk(I7tFSg+*w!!R`(mYLI6y867%9(JFr)Ol@pk&wNS*4&7h%5R@sDhT>yX&8xki#qSt}bH_AfN^^5)JRTH|5pQeN94@D8aTV)| z9sd%!Ua{9Scr`eLTq8KA6t=edAs~=dyi?(G42N*IcTV=?D?_wxY)eY>p&b^*gdGkKgwdB%}jLdej zXe?7mdMxoY)cXmKk@N&f&&)_;RpR6hW*dc$g8bILct1sr^EclXW~Hq`cDuu7jxsRV zJGJRg6Kh-}VuRud#qK&^jU06HM&?U(c7hu!T#YU<^&b`FalQQcge35It@q9t#i7{e zW2^>e>-p}9m_&QJnf)FTUYf`^we}NiTmpq`Uvpsvg(>5+)*N<+0%}(uG{G8J2M{6% z6kV&Yz!iw^4?5pEbHUrGwPiczB$tKC_=Eq7B zxvy=_8Q2V5uf7UobgrxM(jo1?vHWsdV0zIOOUBjUK86hnN=1r&gVc0u`S|?ozP;$1 zrs^(|lJ}!g9MKGl*+O&*ZTi8k-%_FUj`PYT$}~A9=2hdru=gj1ENhz<_%(PQS2z-5 z@H-E6FU35IsLgPTN$H_!Z@);?x=N7#aXq)&Ut0UK=~mszO#j<+Y@`#zK;rh^^_VKh ze3wJ!w_k?W`Q37<8ovRY!u3GmfFQ~D=mk#AtMxKp%@5*qkCO{CqhoCX&tEk!nc0R5 z)w+o}=)aq-?II)`t9(UOXVqZ~#>XgMD~C)alPppxFHMi=}ha*GUTUK6};doG48m6T_}ieyDGt>dPoB7ZJHx=8Ex>NVQHmS{gq= z{^b=y;P85`(~xG3ixn7-{%(?_=RvWV`}Q?5S4))SOb)a|c8B};OaAkp@dRH*^~|T5 z2u-9?DJ!Tw_5IGWOur9OMTzyOKxxIPwnMG(0Y9p-ko|1;i^vfAaBm-xY{f;rZ+55; zKTqH!w(K)#79Skc9glVyT{Yi_K;B*o;ar+gWhBc8BRnzBT2bJRlOzxE74{>nfz~vn zRAT$Ts!3a>@l+}vW-^Xd#XEX_#L8+IAx62JKgecl{W+V{ETlc3pIvm*Dcq)g+VR)G zcQ+G<+86mU+19jew*~5~-nN>Sc>M|wJdTB-k~HL8ChE{T?b}y3&fO`sgf($DC+CQl zwOCzdzn0LbsdXyEW?2pFi9;-J-qwyJjC~0Zfnd2yQOmr;aZ&6oYQd9^1Xgw(axd+NbnT?*b>o8-XgI%M<&M_x^uQwcP_-gKw;P23a1H)#a6lx z1c`>JN{-Pmdyl?vA5hKgyP$dPR=Idn`V9{K8fs$hoRWaoW$3^!+_$`nM;p@{SShlt z!+lcHHK&ThAqv?!$+;71zN*4dmnXVfe6BoZF;*3mjAc#+-PIFqW_Dc8ZX$}g)~F*{ zpUH5Mv;?XXTbRp81Xf9j(eSZM&9$S0MB-qx^_D_BXI|b$!_BhOhq~FShh3QP1*p(L z5z@0I=)6q%;GGZ5P~`;d`$5$^8gNSBHspyY0bQ z1^J$LAP@`GtQB@49AZ`TjAR!sP2r+mb}k|4YRm#ku0c|8&peLdBX6U(18#K}__*Ul zm2L~Jx*Y+il%tPU0S?>nYmq`|p=Q`u^id9rj1Gpio-8O@@Rqv{j(oS$efRy%?$|y; z{#14>OV_*5ftDjvxu*+?RhQZ6Jwjr8;JsyG*rv3M6y%@#OX|I9Ou6E^Rf>djgGk3} zPxm6xK#p&|ARs_~13Ojrl_)tpx!M%@r_3HV<>t*-rEMc|hRbN&! z)x?m-9TAqyICSuRM9L>z-b+S0wX>zm&}Nsx00s_ht$HkNo=sBUs}T;Mn1yrlQg7(u z(&LeddX~o+Jk#|b7d<9m&ZSnmK0;KNKig73Awdn0u&mab9x_82s*CD+W5qYvp&2A*X5)qyk(fZq}xMd@!blatXOOSc!A1m2AzMa$-Wmz zb_?QO?nOtSz?QWl;vGmAvlwi9fQF0DI?d;LGMzt+@q;xRC3at7dh}wEM{_@GHmsan zk4ybexodk2e@rNn$WsNIPD_hJqcg|qPW`seFK65?%iK7l86Gd-Mm+HOb&88iPu*x* zQtNcMG`gIPx|-?cdUlV4KcD$A0JG!mzTyTQp0HXypOyX?rwT_6{dd}G)6b<9{Di0u zHOP*ekv~V&I&O>ZFEWwRo9~)sErdqIdBEF#IJUn9hoSxe*bihGk+*ZMxLNG2%ta^# z$k$kY^Ys>}usNPB%Ad|hW2I#_Rj@@m|3LsbwZbvs@bHHGNs1CS#CIavV+? z?VO}q%?H@!v6r5q?0?PFXSh6>a6M?8KQv{aeWOTABxyL^Iud&HD?d%Qf4p_!AfHJ+ zGiyRmr2kDLdF*5_FW=a&sYmiK^T1_JJi%;whKZoa(3qTvH;v|HMq{aOVi>z{-)wV4N@V!Q4uFGgQ(c69MJ$7F z?qR5G9<@E{2Y5;S>zZN31B?frZr@?l7#bNBCK?AWhNY_cg7(&X6&m2ThRZ*KCV!S% zJg}WV01Z^Y)lX+#s+W3&O?z%m0PFOA_#MCnexTd00r0Lz@Z{jRzVQ1}8QMthxbCUh zLNy!IPxH4T)OD3jS?7ocvtQ?an8fVhS0YbhEzGASna)>TpAuN4(y~Z)0aY*#ma|mW3 zXrL{OT5yw|OOFruHi5Ws#}~puMK{z@Gu6HP7`~mz6;iqKo(0c%>mhxG-TOtukrNbu zDKEQh9B)Cc;Gq@yL6YgoB+zHNp6h@IeIAzN>y`1-2b;K%p|sGokjG2g^x@}*Rm)2C z6uEwOv_xyvhb=s`5JXcWXBL&r45y)^9hIu#b$=Tk@NOSu1-9oGK4yjfmrUSC2-(!z zYh;M~3D${9E&ONrG4bIK(ke&m_b(xkVeLyeg9ks#ANG)bKs?hN+}hM{@>9F&XPQ2; z=PPVSfWPQ|E-ifLuE(KY6u@}-q`Xm)(Yxij`#N1UTwDGfpT0LPPQd>R6De|C6SQaL zafCUqpXO@m8HZT)%MDu6CbkrD$E6gdmHyPuZg^C(FsJsve{mlXyc#OJ<( zHTGBErTQCAic7xN1mon)?|>qlQPj+?GUg27b(&#~Cd}ZCrEq`@J$3{>%UYFVN}RsB zr4!$_`V}9BtpoveW|1`eoI@0%kUAti}*BVE5H9>;#jg`OX8_)hl5~A1>fTO!VB>i&i&j>p& zQ^YpVZ%@VCuP&*9?by1^7r)!$mHV;0tZ%Sjum&pIn+kEpaKuyR&mHV0rRq5q!<;Oy zpy^phrca-)5#gV0rYqrHZw!Uh1Imp!G2!y_v4DZ#WBOyP2w!1v@)g+-xdFONC_Ogf zQf_I&Z0~qr0?26y8N>I&m^eMq<*FJ*L?A>{F*Oiv*zPWUTr;Ctx(`oY_zy3?A;0rB5 z`|uX#y32#LIW$C!RFjbFNF^x;zJ%-TvTe0G+62W*JqDU~7E@YU=5OzCSTcvjII3Kn z4UQyTQea2Q-Gm(v9@~;W2SILg7hW!L9G}Sde)tM;SVC6g1PdopP1IFs5tTH_+@-`(5PJ(M@b$GeGaMR z2ZK$tv+AMCfXY;0RqrK4H(BN|KZl#Dt_XUJnApQuzr?1CFV3$Cr`XEjlsZnzs-_BA|>86ZYow5u}Xw*%cVh{^z}!E z+t6g$uY~kr3>g!c1#ip!l0;^V%gZX#X!?Ckrbm4x)8ln&vEN*^w*$0X?P)Wn2*!8_ z$9Q~JsPmnrB9l)q!wLP+C_E-|Y|ndKch#m7Px%rgv$+9=f0@nwy=ZT?$ToktYVExz z^Gcnwv%{_yU{npYxEj%29G6`SDm?9kKJrg1x=N`y4)sT-NHMiNyV~tQ@EdoTt_Izr zvzz{Po8#)vRR~ea_!xpPOkMEpBGQ|(1r_pnw>B_NQpIlMX zQ=x!7eqUNR#rNnFo#Qi*fZ~EcyRV|r+&uq`*Ke;;=taw~R_mRoEds0teqFOW{1gV3 zw%rDHe9l7#MZ62LJ}|R)N)DNzjRZ@S6z^(;mv7CoDqY+P;K%PK7Ro=CVRY?iS!MBy zl$eD^2Hh81;e_-3MdpEq)|O}S9f`4>xS7hu+0_F~{ORL|IaM%&ZXydU^~mwbzW&!x z{fcQu`Sf=oVV2R8vm1l~8{}J!U)pA^xi#{(eg-46+xfHxrG<+&Vi2>@fKvjRZL-JQ z-bW9!@C~D1{nvLf-y#F$ck!3nYbuu(?HYU~&3`7qv>l{kd7P@D1mP?%vsH`+s4@>S zY0U%EE7sSUpb?2V8($8-PtcR|xE&6KSC!n+#S*KteZu+psT%~e|3kDlq$?cq9uQDd z^k%IL=HFBV-~AH0#a{#Ba?>*eEmlr^&|-^cUm6xeyoLEl0=<2|`$MgdMML`+H7}mz z*4%N3e&oew28dJwR-cs@a@kJ3>@tjH=h;0`$ok2f=f2lO$3zRx1D7ZB=7FDk<|%1$OitfI>xLeB9RcxBSlo~>TbK)^61zhmLnhoK;l^1oIvp+aLbfB+a5O(hPE@F|o!|taV zpyjN-hR?i(2aF$@fQHi>P$^`<(nL@=$byH8raPbkvU9WmBg#*CPc__9&#|<$=Bc+| z^vB2?dGubu9D+1X@1j5(dVf$YMD_&~i?zsgvle$c`vq7`fU%+TtfpvLsY?3~{KkF? z_m(W57cEmO15+oETAu33mW}iC8y-!{w;{VaWqoBv+25O`^H&{YWpiAu8m?}lD=UeE znK(!R-pKN>(zm{PU5kGY^BFYO56OF_w+IH4);nzjh}9><&6;n7=r+#>SnpV z8dMXD+|-SYC5>2CYu$b)XSnU-!W$aqOH`BRWJJ;PRZ5Z8pbPitT#3o~d(>OZhbrIB z0WO-k4X}=&H=X0HETaf%;qwc{sBJwU-i z!zwQB3%V*MlKi)JUsE-UzIMR+GbiC|MIz@s;fqgNB+oz)7 zq#ipK8>wUG2tGzmqjrv1JEy!H5ukdBl#!|ClmZ3BOZ&*2?kQ6%WwKXkUJ1p!YlBc6 zK*~k9eq8ICweI7Lz$e_>GErME_!*(@}i7TH%G>sVhPVtdpL3ZS+gkUUid& z5LiAs$O;FtH;griG*IJK!Wo<{g`=N^dM6wlRYh=XHEjLgD2SoSua4(gh5F0QeR|<@ zCCg}H}h!LiAjhPqA-!}E_zmI<`2VAT^FYS$pY0A zmzq?S9U0^p| zm;j2*&!yi@a&z-Zr|Ii!=?^m3OS=txB-=7#vC~Jw^nxn!cV2>jKskwRK@)Twx++L@ z;rSJsh?~O)1G>V=xC0^tGKZhF;to$cuW&ZODrLBxuO;G9Pf_v3+ZGRx-lfD!Z$q>! z>ocIgetQ9=EP9L$bf*lQmiG?9Qf%)_jptXaIY~v&V`Np!UCy86amuL#*=HPcsO2vX zA+zG&972TL@PvUK&&zeg7hp{RQS&L%zBA#}S!HgDbghHRk>dD-s*2*bkPcVprA8s$ zAKPa*jwYMLD};|a`ciA`Ett+H5&$Ev>l(0){PeB)Q#2sdmcnSLk~`%$r!Sh0FI{)) zuEy(PJ0s97kvlw~E6%#BwwIx|Q#5Hq9ji5`L&x!SK^4DV%PneoxVX|^2BSHWIQ*!F zMtE_=qvY#>_> zZsAAA8Ly$}e<)3HTS@HJ${ACYc{|YY4HK|@y@JX>Ed(HeL3Ap^B!i|?7k>$)3DvXR zipv$Bmi19p*{~d0u%2s!3#m7gv?N;6%7WWgL9Z*TP|*fzueUHqRf?T`aZ(Ly#uVVT zV2rMcDyrp=nW))S7{a|L&C3S2n0wTy4JD|=++RO+IX$6mU><*iJov_QvT!3fk2&M{ z55po(DS&SDg=ln^2?-}ZJ-L%I4}fku@#L+~x~Fa5$B64{?e&2`36Oo~0Cu$e+@a&< z!{w8MjpDXz%_@au1}`jtA<>@#+~`gh^E*~*IKJ4wK3tPAd0PfG?g>|>?dpP0ypz$w zFkW6G4;~mr2;$7dYN%=bRIM#*U$h5`0vp6VafeTmb_>+hC5LPg8v3?p2_t3w>xW>i z;jMpzzX!sF3jWRmV~9!l^vdT+X4{%)on}S_FEht1UeR8|J7=$E>UkI%4kA;W2>5Gs z&|$qCn1Q~zt6Zl{+`tUfryKavo4FeES*r5>XWe-0o71Kz`QCTmslU3pF?3kL^*zY* ziw76<|L&o;ew=|Azd0<3hi*D>@PqsMe{qcb(R@FMaC$+9xwtB8BWhd$6^HKIwg8|q z{;Qy4(?`cqhH_?KOF&j3BGv|Q{<^P^`yhoP_7>wys1Bn@(E~t@-`c8DIUX^vgR*_m zH&++%Xne5$v&x-W((@Kz6g+EuuK=wG)^Oj^^+kT26<54TI}YTnhIS)wR%Gd(Lp5Hh zBH}&gx+9eiX9>CNajeG3ZAS;o5&`zbrcdX9O^0IdB*x1Yu1rK@Ws0sf`G*#zX}KJH zc8KK{(x$hX-+N3U8HF}=&*MEE3WQ8Mp4TNrVq|>S+{SYDkX*w5#w>#XuT_>EOfmNrE_pya%pNCY@gDlWoDaRFE01)V zmdr=)xV@G;H7k?eG0Ds4c%_Ppp@6-Rg}o=@QP}C<@i~Y~+a3?S`6n~r41EfedUb+> zyg@%~VekM_@bF%tTl%Q+6LJBjsnQEmqjlqrm8;WJKc#KK1Nx#b8gXWC@ePceE#F!@ zCl$inaE zAz5MMd(KJE-Tgou8+k4V($DPTdqQQuim`s97?008O6sNg*2FFfXufEAMRFcsj;UZE zBxNgcwaqv0)}c(MNae%SesA%|M7WP2iQ(jiwRwxA23;Q})@Xn4L<^AM+uV4!zIp7$ zs|JB=sV$!QNpP#A+nVyr%S6Pd#TSijv6ZP3t4{~AVmg-*t8UtokEv*qVj#-ey2{dv1IYBZCHYPQ0kC}{U!LEPsRPYKLcR-MK;$uN-Q2HP=TdNGRD zlzrP->c`FJEX-2@?f@tSj-SuUE3-@gF-NT`*rL;H+xRT1-=}|Gky){qv21+NR&DbL zr4|QflcPyk7COQN9HUl1}s$^C-{YI6j-ec z$YtA7T{quUgn1h*(FY?U=SL9<&3P0yF1~!Od;w1jrotJ%u4ALDEZh>%=7WKf8o`t!K^l8Mxx!)-o*nX z91+G5-Pkb~W)fL1Z2i7F6sz&JBvD$Ji-}OXQSbM5Z=@x`6xn51UBNfxd7zsn7J7#E#5b}cu z)BaNEaqtE$?Jvq~;oG+wm~RUR3TKXJ$N~*Z!~D4Qz%;Dr3wP+~-nSY*Z!m6TVv$tX zJ4M#-YRy6k#;f4=F^{I7AZy{nlNPgS;hpeUkmgu?7J#gR(r{Pc#VyF&E^uL1lzqeW z00rzNT76lPKxz!1J=8Nm>5^mkbt;;?zJBWwa2w(ti@zJNmYVx2*Ff#XR-bKPi`sB6 zKNowKE2OH4$kA3N820OWW}(-e33wH@8Vt3z*O)@Rdur^3Vh`f0aMKMW>MmCHs=G>m zVNNkeRJ=b$$D~HtzFp6SUpfPL>%Iy4>BQ@!+~cnOq10z!-WUE|5v%9D%6ujJDK7K# z6CUn_G@MpFO|(M`@JOHmW7LSJcmKqzggcJobAOpZ=khbqeRms>#>Ke~jIwg$O*ndt zKWY!nOA$?xHD~NbJCPNWy54CVuHxa<*zzis{NSD+(&2IYXPka*ZOQ(p^)din=SUyG z{+?acm{|=O+gZGYg@BTpa#TqDGvV<)$b*dU-=ZXxUn)u2x1hpsHZ6DzJ8``p7fREh z?`SX%1E}d@0{ELc{CE@{+Pe@kfxER=0pLxJoKx)bO`qgg`Lx zve%jF5#hC;bVC&dg1%fVn$;zI@4VFXz_EsNouq|_7+!SUrQZS$n=AnsZW3!h+&wIVfwtj~_PhGyFdC8vcL+dr49 zvtlCE?u)$!uEbq`Cg5Q8;slvIU>=#pN-0444W*M9vQO*$?hep13m;@Rw1L{ry0eW^ z@kA+~x5DkXaB>`*B{M%2^slDI%}g8eg9*+rn{!6@fHRY6vSNBlFbwvKIy(&T5f#~- zf5DB$7x>_|h){GLRBuBla@s?5IJ8kU_=7vNHx<|tTh90UnM5e(3@2USR;JO*%CznT zy>N2jTtK2a9~L)l?n3EZAdqeq{cB`_z_J?Vz@Ft{TWe6&Ru-`J|Nm8abOh}vO^{2z zEWUdHfjq;Gpc~5&lWW(v+8;X3pCX8l0R&|OmRwz(WrI$MD3ttVB}X;)9f-vQ-8$al z==~D$u?aygqPn^vlYf8!um1r7y}4dM#Rq3WX$aFJe1g%;!pTS~MC76Ehymb*&Lx;4 zNFinfohltJ256C$o#O%NU#e^dU9hYePM`)!;d|eiC!=V5YyuCDt}!8zkE&3w-Q~{| zKu5evPgC;lHIefM6ijD+4NNc0o25ABF9-u3&SO;=AOr8iNT5nILd&yOc2`x%`=~O3 z)Q{}{u1$1I?{K0TXL$P7^JJBy((M&S((55#~;A!PA)1Uv8 zHq^5<*rk#K4f_`Mnw*6OAgIy18D5TNz4b;Ot46yN-^H*By1=f(%9e zSjT&lNx{T#)66o>%Ym`zQJ!C#322Hzjxu4^n_~DL{f}Z8hj~O7(=+emahsfgAdyWi z7XnldI&�qM>wSur#g*`*FQ!o<6z!6~Iv8IQjH-*41oHV7kOo0m@xS z0VC*U(03dhB``5IX=&BZj0c-B$t(9VdeUGMSS=mi1NvV0aiVg7codLDsa>c163z}Hq%{&i+mFPpj0s|q!X3OVj$#W$mlu^Z*X2eMf!6(3ebr% zCccS@Mu<{SA8j@Y+X6S9aL+G93^PVruJ75hxk395eEx47tcOd1a~XP&LkMgb?v3?e z7eWyVs@dX*`RrMq5NOEIBuP+D`l!pc1e}i!m#%?@X2OpeRJW#Ezyzk{YV9y(pl0;1 zqWd1*3oJr}2IYhZj)qdU!1#jDp9Nc;^4eB17kjI2t^l_Q(U zUSLUj&TJT+58J$$IWx>bNmN$VyF)z_rM1u2ju(a?$up}84E{dAUzw=$5ZiEtVZ@seh*!0LwhytNY^ z1=zy63cTH2m^a%bs#N#%+YVUT=TBG^{Z%Q<5nDe3k`L^H9 ziv^lDIHLP<|8t~HJfJPW2~~Ih7h6F7?*QQ`zn~55opy|BN%3X>m}BHPR$-XVb9zjY ziBgA`nx#Ti>^*9+Rp;hI{H3GBNuH;OCl^2-312jbuT$(F=_Ou$F;DiTWB+FGZ`YF( zEMYB{B`kggB!-KR99$>HdNL(bGhw1Y_=fGxY2VS;T6od-&J^~Or{}R-f*OZ7zMo&n zSydvv)Sfaxy7_&F`)1@*@j~wYF(0At{0fM%`L>%W04n=SFWTo&*r-VGe&0}{) z5nE7}Kl!C`<$)~xN{_UHqN^rM?wzp}O52xQt%gorMSPg`yynwnI=?m1{iBX`AprO& z_fQ`d8FcVQ3f5UKyE7Gu4<%$Cfk$avmch zkM|B!Hx2G{e7x?UC=?_}PywBo@2Cg6^&wQ};BF?^l#^_lddcMBEPyepk!M7Sb%)N= zSx$xoqsHz~5qN|97q^H>fFsn)n-0lR0m(sH8)}{As3oJA*0NqBH_gQ+@W}ITV_9zD z)wos+A}UJ6gN^_~cBZIWhyLI$Ng(hDzh;PCdD_jvjKaz$z|G84`)h=gg@f-|6SKHz z>9Y)Ga^t_1Z2Q|ZLEIJt_gZuWbp*YjPGSKETT=bUc4mvv{eCNL5~^|u50 zHHKi78jfD_p7N0ZvjorFMJ0O;ho|@1>z0eL^H;CH<`U4dgR53v&B%ly_F%ad~_%o9nfJQ}IuWzA~UXl$jzl>VmvIKs)LqX+CXg!OjD;|1jKsT@>-;`6}u`{eY$=&X8CXq@X zjLRAeO&TN`RXGI~70xJBcA(8w>2H$;`+C$0BAz&>%7=usrXKw*nOTJ{=XHK?bx@k|+4uj|uLVu}|UPMz-@oyrHimYTP`$`AG5`<}J(B zi}r_VciTF{7Kl9^Rzn$f~xMaDO>364cu7L+Rq-b zJ*+PW)Oi#9GV3cFfG-R{*2U@4joSM-u~*Nh@#eb_l}*)Tzm)-e*9YI!8{XYJkjBLD zA*KryNy#1q)j^ibSC0c@5B;LKSurvfWb15G)!#r_ts=hG>#%^O1MYl6d2X-Dy}-u$ z=r-iX8Kx!t^naJU5El>u`E<9?^#u?h{Jc-z7Z36Nv(vwa^Lw5t8Nn8m{~7b4_+MEo zb40peDFF!^sPbufQ`{YV7_@qQq>0=~VW&*C;D&3+YF{=9y$Df%1vQA}*Exl%nQXfc zuMeiD;rA6I`~Ow72gb%p<(0+ngCJ(?QL}%4s_}e;hsWtcZDFr|crPoSd_*L#Ja%>iqCky-i z16ll(o<|$4ta6I?GEX4Tz{6NlXB&kM1+2}{q zjvEJ|(T@+mor9$!C?FfFC;X9&sXj4s0g9ZBv*UQV&Sl+YznXof=QgC#-zr_|`va%* zv96r}!YMfZyj&S5q>sOL@f5f|Ya?~~{Q9LhHsmew9B9iTODp*j9I8G&e)VH{-=43m zh1am?Fi=WhVJo!WQTs~7?q(o|H~&mj%TK}c}imth&DDbTrto6S4^Ou126$F>Odf_ADym%9F@a6 zMlraQ{Lw{?!?9)xxc+tHAHs|Ha~e1ikKO|n`YEL)*nkdzasi4T* zAW^^tEp63;M>DfUs84>h^yL2~5G<4iSk7B2&vg=lo0gtX0>jhW2=HhA&UbP8v5?64 zG)0DD6sfKW*t(ve`=ri8{;G^1U*YBMI1ve1CiA#B_RF;t!OrmwL_f)>z&|O2>Cjd) z;>G4g=0s=|C&b;uX6rzdLmQ@5^(g7?kf!kU;jxwume+Fn?dNkd@Mv>l5M>;%OH!r` z9V6;Kn(ZC>FdOl6wsu!Ef4Ji>qMz^oNa+hJTi5?5q)@(N@VM**SuD=sPm-VfnWJ*n z%4KZ(h{t4(6OnNYz~-I6J?DM*W4!Pw`G>D=I%{k5=?r%o=F_0KlS@<#Lyh`7T}r z7u<&UESL9g z*~79-XsPzP;ypM0`z5gHQ->T=p?G-xa^`FkF55T(m0|I!=N<(87znI8_*6{%;oiW2 z__4CvZ1SI-JAsGr<1gNMz+Zc%+O;yk#(-9ln=(;O^y&fJ)rjtbk(E4tjzBn81vO~))DI$*#M641()HDzj@jMDcu_X?)OeE>T4GOXV2Jw5Y=PgjWH+SE0wmkc_$dLs0)eqfb4#2XSZj zmB#5}?gTBh>(Mf-`s(5Z32YQ~11Q~UGv}}G@(Ex_bgA5@uB#QC0LF*4H3`{PP?j}A z`FLUengPLjc3eIpbYNGo|JWIT0JXN6%1}^evA~q;KKMNkzr&_ClItdH?rGNAI7~n( zuy-!Us3okR6p`Lf;uQx#W8x!1d8~!}SCjri8M|It$b6lKlkqd0$w%A{z72VfPbrCm z3a0eKFcUooF9t0oW}U5xN6l`%OPX?Q7TKiHT+`9n#dcg#FXB5_ey~gmNDZltvK2Y0uzDNb2 zlsGvz@M~||xr2~@07BmB{%aBelQQ0TK)9OK+|ibJ1bI987O0?iYRRztvN*y-pwkWy z6TzCxsxh%Q_PT`F`Z-8Ec$M&<>NPrUd;zc}B2Wj)k|j=5p6{rD)ob^A^_uSD%<@b9{N#jyjzfxREtcm+Cy5ns_-^X7UCP zY>Q~pX(xQI=&|=s`O?SvIZPZ!dgrTX0{F}NF#`CWw60om7TX}*bq-gj z0#;l4{lnaNQuR8sl5q^&l7AIzLb!#D@0riUkOl~KkTd^5-9O9YzwchIq$1l%m6McB zz47vMw(nG3rs-@?8(q`P$U^bE0MJV90UsVR6Fjfxb>4z-nS)8I_dFj4g^}XpB#u7V zGP|-u>bTxFsJwg|g8u$l^%c1~u9n*is8z&i-TCEf^D>~I?NgQr)&2@t2SDjP;!Rr( zjo=V1smORc9pSH;k5`v=2cm3eYWrGk`o}Wb8rU#;gxchX2XshGB)FW$#m}jheltj87>$g#t5+MJ9by+ z7H51fM(+u_?GE*Vvj$wpJ*1kx-~%Ec=#|T*VS;5V9{@affc(2e2YoHC?&srJx(nH9 z@Uyug2jE3M`~Q>lU-_el^qDdHIFe=L`J>RmGl!Q}jk3OvZY5st1!sMq$bs9#cq=3C z1O4*TI0vv8gDr$h4kmzw>#A(wsQQFl<7xK`2%goCzkJdbm^~Y~1HXqxgjdxmj_=k1 zqr5-VlUSfpH?t>~iQAu^R&8&Q$VeIOefx^_IUR01uN67FXifQh%b|npz$;)HYAG$- zc^Jc`EfB>CH2h9#^+d;i7xwGDw)Eg`Fue7iOsyydlG-t4r6?%pm&4pF1|q$P_b$?1 zJ{9dNM%*cn|KL{AN(`vTw#%x(&8f5?Q5OcVwGJ3rUA2MRaDk8o2yb97uIp;|<zq=)+wV2f0H1kYE4+r3KSc2 zuJ*68N|Z2})iC~hX#Nn=18%=G7#!+C%9ICt4AxjRd91&F|E5fjy`;a^&mMF8Wtw8H zW({cTy{iYeN!!Flsges-LI-~yQYXC%_$N!As)K>S{c!?|qWaIZ0M@KN9|^3*tFMUx zd^C!QXzx%6`Lq_8!{c8T^s->a-ROsy*hHah%-qDMdgUet-QrNXih+C$+DOda&Nxc1 z-)DnE0r!FvBpbR`Ti7`4N z{fuk`{c^0u1Wds3?)KMJyg)P|G>}sZ=bp7aO}|?EoDVc?VMlsEE$IP=w{Sz~ah^-9 z^!nIF023j>^jSlA8I#se_y*PVobRqlGOa#FeQ_(p9KZzkU)$yT+=8=zu3NvjzpLm_ zN3NStF3<%9R>}VKM{|gtXLV9lzKJzoF}x8r62xc!CkM`YTMu)$0#C{)RNn6n@HYOI z#&O60i0y(M*EPI2enpL#*v0ex>Dt3u2a;pUJI~{IZ#YV~(}jK!Ly(wf>+$rK@^&nv zWte96t6sNsaX1-)B&F_V?DHyhLOqUjCp9ABYSu?|Ix+sgG`r_^22@mk#@FoS027c- z@bn)wk|zQBL*{&B{_y^V3fN*P123H$GRO%AsE`8l9Lvk5vG>I6B9w1S&#Xn`W=JM7g0{XNloZCigP1tu=GyjbY zo01cv8|7Hr_C8p;pKcKrA>YE~MJ(R#L8kP0t9>`Z+T+AkQ`F6cd-+%}Bx|OH`x<`R z1&UwYZb5>Uu1-d|OU2;#39(lsD*e|7B|(($pMfQQa|EsZs{89(clpm(Ao^~OZ#)N} z%HJGhgGu0IzmLqVcgIrUKEnFsLos^FPZVO^YyUz|gYm?_B`AkWL_$FeDwBIc0Yg-s<)n1xTHj~dC z=H8e9M9{tz*t!kiStFe?$~}wRFT!H0pS7?`)VHvtJ0iA^4=|)-RzczE@^NuGh(RIu zJu`Gyrs1;Li;_%L=i*}LJ^mS;uTBE{sPk+nqFqj8Q5jktpypiWHB#v>+_^RW> zbNwv79s0rR>tUTW8s-&%Zfx|F{Kd%wzVL07eUTc+ZPLt|*3j!ru;CIEa66sw-*)uM z5q|a3ODHc$l=qC`fp3$t8lBYRaNS*gbKpi3`w8O*Dv5#_ogd}U#Ay8QTS?A$ON!`# z-mY!guIuj?T((4bnWU1we!eA;FZg5Gl2xydLMd9B`gohRtK)%Z1E=a(E>^D;gG}o; z_>SX)Hcyj?;Ad`RMvorxx)cU`)A=G}3z7t#H0$Irgr^6!7oL!CRwH|-&XkeBXOt`+ zx~;WSp-zr;_akyo5u%sSlzXE0$0TK`T4gNNs`GKDE;p{rMbGUmPoboY=Ug+rHgcXxLj6=^BymKG`L29<8<2I=mOb9isSd1jt@ z>U}@_Km70Cd{EDvefHjWthKJ|T5I8_R}xm7SUoIq#7nRATEAY4{bC1jpTo>V~nyp(7~V)m)P!)juQ8?~ms%5!AP;fi&Y zg3vt7x_%$OE`5EouQMSHUhn+3j^afuOEgf7<+XZ{6fqAIq|j48R?RSRF(-7L$-R5^ zm4c*VL;}KH9t-M<^w8o3v~3=ug}?5V`8O9;idu9sEmF&#eqhv6#gHBMO|h?yTQ%a z)K}~Iy~^0t8wQX^yR1c5PT@EeMv$w9)f?jTsNWtAWib(_XvgZe$>jzGbE;+9o#q47 zZB7V0mTs(O)(7OAj3J&;eh`O0smkLS`KZ`tE`dm2&gbA-*Pahp!ITvLCIMnJO;fX~ z{y}tHY@~aTh4Z2Yj2V?Dk~d5DW`4o1i?z(!n6X=GaC~31`7D{KnAP7l@QTu)Jmib) z6X2R)!7hS$lE?+Ailisbpc+)rj;+eEK3-1aWt&)!scP;3kRSC3NZhno=wbo`+KimZ zNwBo@u6~43&(PdIe*!2fpT%kAM+Y`T1}BGL+skmL+_I_j{p3AwzLLgGqZ}?^_TyGy zNWqfgkO8OG@81~u+PY}a#Du;-Q;3$!i;#yzCPY=4@W`YHK}aJ8z$*Rw3lv-0b0dtS z1uE0J>|UbZ9byrrwX6Ge33pCmS}B)a&b`N|+@l3(0M>j|2Sxkc$6u6A^`cN9NFf*R6(}n||ZH1*pa`QsRDw<9`7X`yn)fXL2!uy|&H*}G7g^Q!I82IWGJC!&h zMjbtXIGT){CoL?`7v>CSLK|k>YU|bWH;FtC4^w)eQ-gi&R<7VEe{}$FUPw&x-*b$Y za^=)}^SY5iF5JdmOS(Wwia*(>sGy58(UKPiIXIj3Y3&{`u6O#B>_6^)W=zln zY3kPjTPSGT7DzKy5WUv1!EP8dXL?4`SH#PW<12Qsb$ztYg`f>@g`Ry6=_m2#>c|(S z!I1Cv{H$(FCk)C3B-%ihNqpbGX#dyUrAS`%Zwco72wFZVG#Zl5mH z*y~ySUk>(%+jsPA1DcQ3`M2{)&)KmSga|J?MW9ZVHni-wGOFb1i9*SaW|xgIG=W;D z2`MH<{?XyR^}+WO%h)?E9&|B{3~zKyT4p_1c=|bOi!o!py|j7OB%gx7wJeWvO#-Au zkVeRdx58h1DXR%>ou|q`=L`pyobI?- zl5#%s_iHc;@u1#+!b&K*W<-!LGU{_Lyq8o+V$$}DSpN0QL%Q#Wr(<;jgIFY zq|7Cnp&}k{Ui1e_JV|}sP-wKC_1y!=m~E=KyS%{z9lLlw2o~D;XWK3vKCTk?oEqU> zR1%4=%3g!&^&OZKkmgMh9s1Bj*%_;%`RQVzRM*z8qt;B;uQUjYEIM)}=X`C#K)RPL zO*oJfiG+}Cw9Ln`AJsW8^@`^E$AQGr3X#J0r)i&{?qB5asF@8HUwUgad?#74eI9f4qItMM|6U7W(R z$N=DH4-{=bUD1A7;*Ci^v`xok)XSAfl+xg_)#A)6I82gGEJ&=}mO%iEzT1q5d^Ydy zOfaTM3QA3pqMp;v50oo>3XX~^wtF$$3pUVMv);u4P%o?hS}s!o1t2V+BRf0877kYX zI$z~kzg>N%p=HhRI6l$dV`fSQ;ua-Gj!st5c31Ad*k~qG57FB$i0Qf zF?S75g?)ANFytHcG4{Q^)91m#huj#uXODqKnl`)zKhoMKl7_m@*0`s>qI5LVH_Xbz zf28CTh@&S4H@aSE8Nu08&}X$DUD0%%-Tg($(aFE0Eijp|LReVX>P)Yl>S0$6CjiG%KI_dGQb&bPtJkUS^6G;&01#ceRz(>o; z_+aUCDiV_)8iOXw5Zt4Pg?Iv4IC2XBbs!>M_s&!qlA=}B@sXhKoCf%3 z+F9mxMMnMhy+ayJkcJL$N&bcXwUg{A{3lzx=?LcLkNZ>No9VamCzR!*@`t{tfXKQl zXu2WRmg8KwHNZqL>u6`Vn|?^C?v-LuNuDTK)T8iTB2ly8_Wpw}6U(S9jx;66jvo5g zw;qf(eXr>e2wE#C*_H;CEu#XOdFU#>hQT`C_?pSbh4s>RF&g9yHMijB%T-+{0UvBP zO`Bf;V)>gOTXybK`P?vnT;JW3iwJusZ*uS6#h&WPb{fXiT0VZX03QL8>i$8y%p5W% zx+{2n`Iv$vx&y3|fvcd56^Zd!Xjm3N!cQ>V6?NFld{mupprhdwc&jF5Y>m z^mUPcV}!3~gGCs4z=H3=(-&xH^^%q}$L5%CukItkD}i ziV0T0p`#`{T@Jb;H(Io_KN`NTR)Er|!buvZz zt5A)!bV%yIbH2^kWKzZ=-fXSyzM3-anVyzmGlX~hmMA}4&AgHM1O+y|$X2lkgs^a1 z$^2YI+Y^?(ubu7+q9?iN4Q&Qw(x1Cb2K{p0@K=jja~pX!9FzUlaT4jx^!_G2vli>C z6lo)<>Rr#x24eEVgBhmgVP0seIx@|%eP+xK^BZXsmG@_N;WLs4#0zK~~J<;Dn& z47tiZM3yX6P!Q*TD%)`AQ{Dxo|@2(U3e-UB7} z+bNvedoJjYshOTkb9!-@@up~>A5EuQVO>pC9)8&2KHbx3uOb}&y8UUcVyOO0t%cnN zj{{>7H%Fk?N&a`oXIgz&uA)6Bes(J}lm5CJ&o~>y%HEk$k@rU^D}R*ae=x6`hval1 zow6btQz$>%UdP11F0lE=A{fA~0t{`M7MX3Hgelp0V^ApW+p87v0oW@J{6pj0xB7+4 za%iGe>?y3|L6~kt;|dq5&48bRh;;p1LEv7xV_BWxaA=RFP7M}7KpU?$% z8aVGtZ{%66F`~*yiMR%LPMLJEePV`qfY7c&dV-5c9ri|NFIN&MApY-duFZ?#&Bu?_ z1&jO*`K_<-Y4wfkeBF%DCdf0NJd+}?QYp3hF}usgw{mdr&b9m2l-dPB|GzZ3C~ehA zcl69Dv5Gd99|B&6>u_&ZMUTkKi>(h-n0`@f!@m8gM0#Rra!ZKbg1W6&fl5PbX0u>= z_)RL~M51&~Sl~OoH($9dgFF#lLJux+vqECloUw6BfFl~(tIg*;Uz1F19^Mz08b)o< zAL1qPR`1?@*lt}-$j0Yzv8M2FKUnlG1quyy+3f4QiRVwtef1c zDi3(S%q31sE!q{D_fFS`MCL0}kO)I!wpdc?M>*JdBh3DMF^?y}41w`9X(ug294MXb z&S4OR=m<@-9|^4#getzgUi@g81BaylBMOc(Z@jHqA^*bSVe{4HZVjidENky@XpjU> zQk97o&f9YOsB)3)-FpjZS{A;{DHEmqi4i`rPL@WXE_sI!x*Q!0?CzPr=-1_ITl9~K z12C|5rfZcoA9QDGUIcY`m@y`nISNua{$UgU5C?Z}x ztcfRdI=^_66=E2j8e$FX54bf=8sQwCdriZyfG3bFTf~@MZZV0hNJ{{YVNz`h|0mx2@$AX=Ozn~O_co4?;6FyWYdZD#{|1H3+gU;@OC%LB4u@t@?= zPU{qZk}&lWf|%pdSE)fo4oZB*U6~@^--@lWUynWs1W8}DD??^iTJvtrDN9~YvZ|JN zy9k?a+h0-7x=<<->h9dW^^>CS9GeYj!UpZe(rkk@*e=c7zDP@>$yzBbrS0-~Vxa{~ zO8?8-rl%`h4jFir@y^H*?-Y6q-IG)&9?Ne@xVmqV@`U+<`ZynguW4w^%M6H z1Nc(NV=~k@IfinX3h1t^VnbwFF@_xYD0mJ}^s}727}zCZ@)i98s%ZqTeJGBn>rRON z`KhB+CXsl6$Cmbzw#5uPNmR?v7A2==S ztG#tg^f`DYs}yS&yLf*y2}D*q+?d9`Hp2-C!~hc)JFcVxqBwhw3AeL=mBYq|u4j^b zp$XZ&9-FUt(ZJ&Xhy8DNz@nhM#)^v`zA~Cj0BV-IabK@p3*Bj#ZRdR%wKLlNV_dWy zm&1fk1CqY)r33SIM<4C$`3aB;2hd^!FhoeuleugqbLD986O)tNFS}f0S|dKH{EBkd zPp_j~#}bu)Y+9`OWy@)Gkt1uGwjdsOOelQAW>(GWmZ*KU79XL+Mq_U;c{R`~`eSb` z5jgJ42pbzMkCX#AO%P)MA4TNZPx~tTie7#W&Y?l`BZRlq<1c@ttWlVs{Pt~li;plg zH%$D5CwXesY<2DYpx?1#B%v}^jd(^)>2X;ys1z06{v7MiU8;9c+g&n7km)K61e?e{ z1uRpjK8>ugA2TPOx zKSi`$(*a3CQoKvEIjfoI8YtOWm0v$9@$!~u0eylet2#U8Qs*;0zs@OL!dkcE`qp&` zACw^YUoTy}|b6^NOa%(dC?|82j;y}?~vUw|x10n_r8coU?w z8tyZ)>N5NULz3okBA2UVwj3RPVtms1&n{)U(3mNecQ3Pn(jinU;w41(l1_Z_`BPt& z@m3PKeRt63;9nu<1m{83Y)gBq<23A2#M9xowcV$}XemUv0Z-JGz~Z<>_Q>&a4rg>9 zp1nA^ShQ!aU&k%R!hMK~SS3Kdxl-{3kNV$W(8E6-KN6(b)X%x#1xlid&r*g(P4V0{ zHwa7ThjncQY|A#e=Z&*A6^?^T4rC=+2LClGeICR}!c_&8G1Zc$#INrI2t}-#z5EO0 zqS8=VMCh+~)&2n%l-iy%n-fR98A^!42Vju)yytNpd%?(!Dim41t>jAx2qLW_~IR6rensiG6H@%-v`1#d+gCR;GaYWDMn_5yqOzia`3ko!di4Ur*O1Z-@Y(Bkh?TBT09-fT`TrgVZo`oNW~kcr03r@T zJyW6}S*j6`iJZLp?+lfX%ax&WjxRI@Oo~$8G2F+|F3{hugb6!%d9}nJ1OoB@axeC- zyrErK`dI~kpsZ*4!@#2}Vx+*&68Y^nDS{-65kRN$t8A}pJN)~IuIbOaLt8I1{U-wy zNQH1q)cPOs-1(h1ZT_w6HACa@K4tC8)r%1m0Gwn*9)gJezuFj@7J!xd6GtBv;S_19 z3p!?MMG&I}glvv|W9SMDydkIVb>VHptl(l24Td@%OnV&iIiDs}&<~BVTB5Jut)eDK zg#Wv2Y5alDj{&@8ygbcsKfSmMcI&TzZR{ps+Zs%{Sw>L}+5a4=`5}z}A~l}--+0~$ zP{2T#+gFo>W|HV8)17q}V7EkL9-LqO>OR8T{3%Z!LS^Ep9ao;3fua7Po5N+7K(H0#W+}g&FJP^O|Wc`dxtEnQp2ChEehKQLDI0dCg!5Zx|zFQ z9#K-wS0`E^%JIAUpug9x;qrIzUGUuM5<$ln6Zj~8h0}GtPMp`4IrkdRwkBtC4by zF^vFz(J!%|3Kf~;gZ`HYE$30tPur?O!q4>dZGdensvD^-|Fwfe_l{XwZt|8g5SD_E zy^ako-*(OpMq>fKO31Wu23l4(uo(n5cTmvECPgtM|8T=$ZY@V7{U@6O5&OfYe7N8Z zw#^3d@^DT}Xe;{p&VI=a4(U_lDw5KVT@3F1qZh7gD48^t&>biO$RiUF_faGl9J8NfKEdQg@_wNB| zEDFJFzK0kW*$kwgq$TsKZtSUl@V4oD?mvt~!HjFg$i@MDL&Gp( zKnS8CtwwvQ8f|TW)568b%EQLU&%wdP$Hdvq@cub}47lju!!mQL>;2BCH}C#rUi!xL zwXjBN5Zou-^5uke-Z@Q*43TAiU6`N823L1BfPfg~c;KK#D-_@crf7CslF+ zLIy^v?oQABQ}1m;ezz~>!o;u~)r-05YEM?hF|~(5Ww&pAtzA6F2GpjqYLOB#z#)La zmKKn*Fm)T<+((G#idOZFk5YLLc-LPCVKLCztBC*4xT|Ba0z~Ek6v2YwOqAh_`KB0)#;fjDI5EQ-rZ7--Nu1`z7D@t-!>KML%Yuf-hr(wkYqNFtT^ z(os339SToepCI~l4VPBCJ-MZ`>85u1wvi!xsk!a_rnEDZGSO=jsd$V4k`We&9o(6z zM^ZeN^w&fD`rf1eK_N~J4=8^y&wI}P09&K*_+#&eP0biJD01huR|;<){_c@4z-N>o zF|q`zCR1elQ?gUr_qinV1^Ek;Xa9YozR<*s?6+Ak8m$4E?c&V~Ki7{lX8CMUn0WTz zb=_DPegOK;Dfrf{9dELc2H3&j6Y)%qUS9iam`H*fJ zUFV~qKYfe54F}?2x!s8ot+QKN*(YyRcvBde$|9GK3-P zE_0dJ_!pCpM$N7HDYaUzuszHl(t5N3YTgV@TyF>+q$^)I0ovbhjf(?1?VzPx3OF{) z@ei(+7Ns})^I&80+_JE{uW4KZGy&H`#SIpO5IqZkN!1u`97I>xoBBT6{gY>ChE$kh zH1xBNnM@5$iP1dmX5$SFx`=*P6K;{#ws@8nICsZKvcu`kugiS-aL7$yg^Fg&X!txt`=wIaS9Zc%Z|cs)4%@e*Y`8>FfZ;E zM0fg|3@?hSFw!vmoktUzC#&L&BJ%-Intb1V`Fci9pxfU*BP zL_k-56a-+s5k;%&k+Jt5y8u%zr>v&}8fA0sIdIh}I3 zC4(%S`d7U6Q%stH1``4t4+ajJxqV0Rs`l7?<>%{?WXePifcaS%j4}DQl9l~))x2wX z6g{wUcF=0P(&k;~tU{c4u4HC-cIvA(3j*DA7GT&cP7k@gQ^N+<32;(sho@JO?ncUq zDLt7I82XzyrT7)b)eC**^ABtCryvEYea(ScMSljgUyABCmSX7{z~E1$E=YOBz+cPk(}YHC>IBmThyYR@szR>NY#jn% zDcRL0utHp}btV;R6Ju0h9-`4<7f5lUr4tHyy{`~mczktTGzx_2CJd>t_DgX$24L{@DB#(S=lJf2JDero}r>awB3MJH$B4>`})g2@ENSw6N$myJ93AVPeG!9Y7)=%k>zM{aCes^#Tdh5nh*;&XUfR zOXRY3@Un4p+R9CEPDT#8`}VXo4)?S4qyXm41+VE+CZhy%Je+=G$|&@J*R2nI1*8kD z?Ruv9xwdv(A~f{Us(7Z4`ME2s&xfUaPMHEmXYHJ05enJLD(mVg8hO1#-Ter$7nc|y z(xDWmLx1cy!7JUNfb&mBF7#^HLWBg_<;k!ZUCj9mAN-&l2`JzJ_wM*Nye|ebZ{`6> z>PMDdmyb?(DXkza#AoT+I|X!!-A8EA9UwWF)+l}B@8(CC(tv5`cui=7ONrh+pjY|| zSbpM|DbGRAZCM!{XX#(x6vYCc{S=ls55}5AzE+cf?Kk%Sc;u@zFgw<%G?=@)j(rcm zJcFkQq`vA$1f`we0-BqP+_WQGW#pFGHyFg#vpwCi`HTGXPf#s;`MsK>l-7`JKX z^(9coUxSLXs<5D_%JM7M(T2MF(RiS}y^|Fq>~M`Xd!nS8;AR13JiJ0whfOCECt#7U zqQ#5SGs1LnNQ&RfRC~flfgZE9AsbX20}T?Oy5nKg=!oEa5v6SF?zg1svR+?3MtEyG zL$Lnt&P&Zja*}GNLRNef3f)lE`1g(6xw&l7pt!@uMqXh5_lNiEW{6_rlhh+wR#>co zR8QvzMqi^>=Se9orkQ#(1*A|m#qIQ7ol;V2ScStOoyYU~lnR`RmPd822A94YdEG*I zZug05c&4Ma0Ft4@o68nOOGIL}wIS_52B;AF&O#_Lb`U_mf#8Va@tkdy*e6p}k#(mP zg$`8^LB|xRQ;rqbQU zg-=Q6$M#rxCWw&knX9i-JYyC-B+}*rMfnKh5cq36^!svmVYSVbWej~OJ(?#&eZO&X zZ5C>K&BBaL?I~}l}5O@ z09uF+F9J%BfKO?aFNh^mM?*AQHzVu!)mw`;$+yALYkeWr$9COA7OTLpT0S0iU?kdUV}XjB7i za}NRZtb)2&%mMLkJ#@!Jx45jPp>E{DZ$Mk?WJ}9#D-d1Zk{b37KW{2JH7rz88tQZ^ zyb{o9uQxdk$bn1n6BjUg6hKOM(hy^jJy7iBS{fJJ_` zK9ulAC$gCa+_84ybfP0ikiC8KM7bef2{36bUBI)o-0)q@LwaF~Y)|+tv^viX9aXO_s+o)?|DtkCPl57iETAASxFS4ODt-po znp+ia_hJ_S<=k&&6LuvI5QW$XO>MuKI~1sy`5GG1XZB2VOdRph{sYXrbGrN4Nh-F8 z+h3IUJQXTi(|&M1Q)~axNqr$lj+`uQRn>h1@8HBko5c$c#SgTRm=Hm|h^f#XQ8K1teC)&~mh{22?9w8DgmDZm2<1$AIm=?PSye7;?!APHeRM;vw& zMb#f*R*gq46DW|+1y`0(-YzemqXmY;mrz7Y^Dw&A*kWc9G|Ae6VLOcsN5({BFIdvi zxpZV=wI4)|EA2jT?J%REo{9&sG|12v%~h~y>sfZumWJ<%%*N67~>B z*IHc`fFIwQYh66#VN&0aR(6+3;eWKkfSOqEklD!dbN{@K?-I6^yiMfvMH7VB7f(A* zvEeUv3TLL=?Jj^WPcHn+?#tx^@h#(`M}h}(f>TR#52vDMWw0kBb%m;F0M|A8aR7(8 ztdU;}KRh9`bNF*3L^E2E4k^B)mOpvzLuwePK1Juox+k(7J@*zr>B!AKf&Y=o`YI3+ z&X*VKkvs{O7i=Z^9ldXDy7+g1%CzjCKbG57X5TJ7JZR1Q>@QT7zkOa-ex)_R7(H45{K#@32_fmti=C$WYVEo&m)^|Fus2_+%+x8B3)5LFdx4+x z1*qSF5L}((2GW@^voy|q2BMRW5647e@BZ1fwsphme_ z3mO+lXsBwSJtub5(a_8H{d>69fvYBlf!Xt<>CrU0Ey>KpOC7+00ES=VhZv$>Rt7ka~Gb1>lzIpMgYQ0KyQyzU@Jpih+$0L9YHNOb^bheOEt_cqtb)G2oLjSnW(qhOUo?AA;ae-; z<|Y$4{q~_AyN(8i*T4h_O{qIz@)%8jjVMoWtr{PQ?OUq1R#@6rE?4yueF>2P`KIev zinr!)U^xq~ElYm(X0yYS5}H3oN_gL~iv<7LVMd35v4h zU8NR!eQ<_>IOlqNUAqD|Z${34039W!VIn%2AY>@3tF@SIgL+=d0R6e?y?>g)i1Gm( zpboyd(j(_9VD)Cm5&Q{MMidTN(zGj3CFAo?45I%|zD@JmP%-jxz1>evUtN$)F!W>e z?!;nKcT8|agGH^>RW}xA=rPsAB2CMqC~V75wDbe!%ph$G02fIAg|h#1sOFegYepV`nNf+8$|IwbY&rz)NBOitt&8<;pXzI$ZUgNB zkkd!J0h5uWE(xu3>s&qJ=?uBK=l-t)1w^y9m14R2Lm;yCs)C|6GFV`LP%37@t)cFa z*bjybzLHm!RpVe|9q1pM;N`%709pkBA2D(fZy5^7R~V$iTogKmUK~tbA@!D5&CaBg zc(UZ5lgcRCjco<)6?@Dh7}wjm*2=Qyj(oa=WBVDPGsbknh_pTGb~3@it9n0asi~gV zO{zuiw(kn?$ibg3VV&9@4qI~>mQIe`zk(1{86Y3!fHGx9NV_>;?wos?2>HN~=pF2_ksaWzhTI9kLp!ATgSe`pRuD)qG4K4Cb(5 zFS~N+#&if=NW+FR5qsTvzjCr(cO9fO0<+JA9Fe1BNlP0ad%F7gHOjt@xy&ViMwso7 z+C=3=Vg$%dKNU>s{3uaR_)|`bN0{)Ga7!y2_oYx~h~ELXw~RGkk8KkS)&& z-brV3%PWrBouMczOI47q36dT_96Ss|5EAx&nXO7?dK2qj_-W&JfP5|3_is?xfyGlC zjlTL{%co`F4Eqit{bR(|T^Vv;T`$+4Rl#_6?)QmO>K$OX)|tBkQ%-f{^K-}Dp>Fk`k$n_TjKJ$4sxc|hud21+a5POGi)U%~N#x0x79 zsWdYxHSL4UCnv)8>a`u}K_o$j9na=I6?Qx$AyMlydrXqbu=iqb-h0&WGc!m-z%`^D zt@JNq`+E$n#Q|Eoms?XIVF19X`%`{3PQ}qkE;|q^gWbGbZW-6RF;UOT&u#vd%WZQ@ zhTXO9A7Z0yNnPTK5%;AvDUxwbSvsxLgkL6}5M&Ja-}L}Y=iSx{YhIPAoN5zqKEc!X z-0GEWOC@=g&wuWNwBg0wvmTAQs*{<;b;%5N;uz7i`X{*BvX^=ecH_&akNPHBQKA=@ zTj1mYKHrnn*#OM#1I=w!eqWZez(f*nUmXB=y1gr^VcB`;QTWsdObMvu`V9Ql~16O_-r8p8!-=h%ARP&>tTDmAK9BC`iJ zsHnXPY9lX^l@T0J`K-2C&oAI1UY+T0QWdS2bvD^DCC?5w$^AO()`BzqaBIB%9~Tpy zVV5OHHe7adBbZePB~uy7k;EsLwbs83?L8Knub8z_$qxe{&{rr3Ha%IRB$8$rL&2fy zXpo{nQ|)>=J0H+K)b-4(?7=+`5MN8f)k-V@T!**eI*7 zp)xTmaF<(@N=vfxs~O_1HqAPm{&qW4vV#Gz9MdXcA`pPyh5Mi@*u}N3+q?2mj~l7W zTmpyo%8SK0psKRCJhF7prLvla{%|RzUBkrkAUw_|MF5|HY_y=6nV`#;xiO zspDvPlhOJhs`^sE@ zEdlCZkb@M=L&snM)LCjZ?nDQN%LZ%3gKIRbQaz)9*&d|@y497{b!T4zU2V#rNOz4i zx4s4B;7bWxis?p+r+yH(*gc8aTf_e})uJ04G{auFPc1_79WqdIL?Z>-VD2K^@!@nM z={Fw)(!|cq@Iax^R%Ls(rk#_82G3nbBVV(GoXQRb60`>ZcViyHP4VzF?9;{GalHXq zomG7S?}p4j;e&3Q(+z~#vIaT+*Sc&km3_HunZV`12Ra@)cDsou=lv9WP4%oKh!~zu zr!DT*wKSMPEyfnu4b~wed88D!pir9c?wigua#y?53vZQYF5$Gwgycnw zjjd?|Bskay)QLWB;5b;wyP+Hr(02`zv9K{2E8kZ%KlT~4gO#SvvnaCTlxaew|QEACZkm{Rd(04Kv+cTa)6_YdOfuQCRrvnv@x;W1M5?OSq9K;Q|%R0TEoRmWtS(fsz2JG}~2_u1ovx!nI- zD8F`&jm8K48PsAelAQ(mCvuz5N?c`1GOPfegrdrI_h9^wJTA(_>|T;IpLK`(Z@;yz zVv3s{+5$_kiA!$P8hY@3sf;O)1mJL`UUB%M&cnzmqlO+st*9vk2vs_@@rdTlxU zAyEIiDxu8P^&4Nq%=-S!_SPv}7vUz=OUVZA6V@v)Mh02faZ#>d_Fc*Jt?q8dtEO#C zDOk%w2FY3}mxnVc_?NBPFi`0mYO|D^I*P@lqF&3Vz3|dkfQ^y4W@V@?^q_ldj zw|NDK9#mK-Z1Joh-+fDQGz44ofe|Hgf{-rRHl)gpfVb}|tM|3BK?%22c{wdU=q3mV zIqS0W5=F2bX~@pv9)miniF>#&~uILwJ|a zqb=&neClp&SOvQs%H7`|-Hk1ICWoAM2lG>+MQ8McYO+(WLnW{0XY0c%$Im^DN%7S~ z^c8D^Nq+7`)!F9bL+wDwy&PAA$`w8-#m)= zbh-Ut)Ll0pb#g)%sMv`jHAC9&yr z!?@W8cUFZ3{Xcyk6M!go8PI&`=r(c&t>{s_bU^h zd0r&=9iz#nXX^J@6$1?Oze% zN$F3Sla|PSWl?M4Ymkgb$5qoZNBNs^SJw!)TqVAmE--=Qi|jJ~N0rvA?_D!rhxMko z5){gn^E6_8rjI{IRS(^w8B0tq=Hw;w$oSl@A!G#XRV`bM;PVJIx3heCCz2`4m_WOlgQqaYG) zw^3suJ|odZcApW(#Y5BlTpNQ^I7GR~@4ng8^(~-1hu*q7_;b&s*OyX;?$|KBAC3=^ zMey0zsfl+8n&e;~`tb>lqX4NWKE_7dCd|hPXJ@ zYBMZchM}$u5;9PAYp6?fgMC1PTm4U}bwZ7LbzVkJ%-`>4PbEheOpi%0d?#Z7|ITBo zeHrF|7F`cIu9TdvPaJcf`{C0my9oJC%$FS;K7>*T?2`$ znY9PucIMPXz=}t+VL~iE0XG|AEkr&S4R^VY{4(syfc$}mZWU`uAkuyXE{Ij7z>l``P3K^{Dn?FDR{Ro zd>0!-G3lm9t-bVl4gl!;qM>9>fd(oer^Fo%D2IXwWsRKq12+BRU^%Z(r7J5vA6`*9 z)P1(fZ#)I!fXmrTbGlH9=8xy?og$fOWHPj>!~(Z3y8^t&+R3v!VYA93VIboMjMvy> zYm4;Kn-hmEsdZ*mdHEGkFWbALUr%_p3THU$3OQ1U&*qkrl2gOWLQD!6Cl)~A2}@^b zJjZcn3KE3_6%5d4DN;DcCheD_dy^pAdL zX`dnIn$%UNMy3tdt;16U;g+kMpz#))oDky*jiPQG!V37-hR*;WsN2_^I3rSE%vT(5Vyr%Ri&A zGdc<({C-kPJwil~jG(UqB=!yE$midx~JD0S_FPj_9ej@+4nh{VW)L zbM@uvC-s>n)*gD{!e%*5kFxvQGDvPibtctjhHA`qX(8zf8?@sebXokbx-(6%a%iX? ze{^u*)2dNRSK>{fXNcF@4Pf;wtBT2^=G`SsjVo&8**2N zz^&r~spz$KY&3phST8=>?kP2X|3D@gc_OE6SgYsbTFA4>$mqm`c!#YCb?|Uy!It_s zW#hn096*2QxKwT|qqQ=p02Y4n2aq_aB^Q)k56pweH4koC6hTXg{?1mbB=j!)d-8r6yPcko}Jh?CT7KaprZuC|VVjqr#&CeB$)G9M z+5HhNVV8G}#f2La&5JhU=lAJm@(IhNnUFCGm8`nOiOQsVtWLX=KF5uCDgTrfj1VTK zpGu6dV##|2CpjCHCK}C;6!T7fWjN*SkpAssuzG-tQD?6xXfP6ivV3{ArglPpbug_Y ztY0qX%d3hB2g?DitJ*54v2!(sqweiv$K6j-`AU>vr%>SxC|5(=_qwqos9Wt)jH+&D z{Pk|kIP%iZYCFEpANEM+oLt<_?xaO~00Yg6-&IRfW_%bpch1FRN`2kCY&WH4y}dI! z)sj^{{f3iPifeN^?PB4b3rc5^^q6`L=?JB(S&8z|=4AA-x7*a^N$(}$L=*{0zBn;P zkpD_VE_+geh!5VGugH1YCj}<6glB1SiUOTu&M*Uw%bQL( zNSK_DyX)KiDDu4ZF&;c|R7<;kdLw*v>4SK27~qmiWs{}(fgZe3wNKL|<@H_~JwdY+ zmuW9@U~xQZ`XP2wVH+$Lt}AY_zKKfoUimpIm5=8*E9fzy(N}<=mBQa0%doFR^Vo<2 zuOuRPnc7O3m3M3_mw|h}3}%SDZ-R}cnJA8(BPkf!9UcDasmtT47Yq@k{&FAqlKt;v z=hK|iq=jy-)x~25>JlR}LLMV`OW_5pS%N!F6e#c5y_tZ#sCU&0Vi?Ma z(V%pNcj}Lffgw(&adxcp{b!9`Ua-L=UQ)agsdA@dLkheZ&gzD=>iXfyf=KacE;(t) zvi0N<0^8sPQcUYUYQuTOjyX!_8ta<;xf7YmpkD>HGQ0Zs98mZb-f`7oPsQzwUU9la zdJJr&q*GTiSJjf5z~V7%Oy}=-Ssj@lE|1`Ny*QUYM&FGrFYi|xOA}T*tS4919Otw% z(D`9xM{ZAks30R9TD4Oi=5=YoiDoQUWM(v^NPAWnLL5&pR5~FD1nSnob2n$U3Ugxj zMCW~S3wgScjr99v;@OcU$mt(I;v-!r1Aa6n(n)|BX3XMyNjlvvx39}duDpzfBb1m_ zaiwK7+rmI+`|yY=u9VK)fdmsekeD8NCEKR{OI#+1&1BRMDoiQV47~&mj&n z$;)Bk%Cmn3pOaAaw0pUcrp041qZyLLg=|nxMaQJeQngq_B)2yI)E-ke`eDYaRj5B+ zX2K5qgeN4uprceJgk{mL?BJpFC;?%EcGI#kXuY}{FX>(2Y~jI_1r6{MNDVDi;E}I| zK$>(_`9dWipk}IFjtUhzT3O z)t54Xw&uP>YtzDDUlQ5#`*m{UykGKdtYzW()d-kF4})Z)1C*bKZjC!_o#kiJ@u>QY zDydzzjV84$C8^s<>=iFbhNZTafWDo#bW{y+Fpe=TA2vv{K6|$6c(_!Kut^ZGtCpme zFFz1F#KuvcszmwQEuL(WW%^1QKY#L#9=NUfiT}W6&gzPiI2j^vkCmFE8&t4pI_sf( zVJ~y5PNt^7%rQX39#ng0`s9GN*nY>b2BM@AY0hD)a>G`puITrJv~i|q98n51h{eoxvr_ZQ=7MPD6#fzk&BwnQ!SC`g$QphWmHzy`r>;{= z#qs(uL#<&GQD#cQ(dQ2E8>E@)-!pV(ki%?o*k zfw+v1iaqt-mA%^#Z8|#5*v94P8@N{gP=el%+(kTNbKi}}@=9`gPjPC`Ji8l1tZMAz z{WJ9XdSz0OtuJtm%D9^ZQkC*EghqNGV-~_IyNX7*M!1C)Bg_2SwiBNQR^|uWA5;t3 z-3>7v`MTm#-S<(JN{I_vG&nlk^bG`$gM)o_c1UJm0<>5L2%YVXD;TvVOiYhYVNyw& z1i$^(%sGbJ()7wdzNUJMy)4i1Jz)Pt7cQDtB9r_V^upyx$~m(e5mbHqavwtsJUt9@ zaMI)#4HKB(%Dx_1CQUmXc!bVkc7;%)@$GvA%C`l4GB#Nl&I8-qN#v(r zChoY~ee|+;f&}|*HkRJVgn0T6BW9k^P8D#t8frVv?IZY`?Bc!d1sz149ji%s3R<5p zSQAar$N1ORIu?HGFX||wwHnjc$6adc`A|FfEQQ~u?lXLh8~7Tp89Wqr⩔Vr$n6mr^%qI9>izP6SbOWRs@Ls%)DA=tq*IZWly1dE2m;a_(v5Vpj14YC zK%`qq>F!b~Y3T;ZMR(_&Z1+C*obNg3dw=&nmxn)m*lgA(-*?P0#~9P<9m>fN4n`r& zsP4i^z>)(_{Oab_g9d{UQ7#&J-I!>GU+cw&Nyap!28&4+dMH%!tRdN$eC7u!UZ#w& z7FdTEen`1+0pC~6=eauUg{?}}*D8hwmUdi{^*gV!Qa+LW zY0F0zW`wWM6f>4!$z8j+>Am;{CTb}|8}#xKs)(A9Fh}8JayuMeG-bQ_RunA2T=Rtx zmLqV>Iz_&DT*Q@^t{ysE>OMGYu5fob8nK^yAd zgLD0oc&wA$MA+$ZY=1Kb_vOc*q3)GG`n~7qJpG?#{dc+r{)%0zW}J0b_l>wEVSEhl zbb<2kBF`G{Xt_LK(n+?Qe@D~*b7Zi)XD~QCs<7lm%h-430=eEowV^|OGOp2_XIar)oT%{1w>oG$fEUcSYat|NB&3ao*IAf9m-`;^rT#*?+$c|Ni zNO6-)aW%NK9Vu>y1@x^*@H|XV2@Vb6t>}^f101h&l^uWA(T($`o!^!6p54K@ZZOcx z)I5NqA2r?kHFK|kw9?gO{EX`8MDtjy@^l=(5p=giY-RAs)n|lq*fi3mLNPB8Q~4WB zyPUV>wn1)K3L3`7s54LAxOC7!%gY35M!aNwgxB6bl~pcr^bQY0u>Nw-|5R)2Of&_s zC+4oXhsRjq?jzj2Tx^ipIJF~qFszYEwjGA$V?+bBc?U$HbX)KK8bByY zI9te#x2k-S=Z@~=;9a`0hp&+KvSZVwiv0MEvW#)G=k?)=_i9$%EIe;#)?CO~!duwh z4^J(V5gqJ!jryn48L2FHckh@Hwmi5%5!mv)%Ih@3Dm^c2b7Z@Dg_3|j@_B&(6df0Y zS#M!_x!17x%uvWTh$GC)EG?Ye>SQ&_-Ox5)c9!yR%on{zKyAO2JE{r{yV7lZ3|xIZ8K`PmnX7rez3Dp>k($S&;oXhVta%#`}dt{^yT zJN2q##pj_$5txWNCPj`vFU47arl*y}x~H_s_+H&oBCUTpwZS)6VgQ&$2PvU}%k!Z0 zAbq<#lT7RBk(`j1W#10ahE)he)0#Q*AP!*wZ=Ca|gp}vad{wla7eK_8ay<8o!sq$P z+F&@0ps^rtzvnkDX>q~%H`nmhlD)0w8nZJVJG<$W&Tx$;W$HVZu(QrplAypKuRS8` zLpbBK>wHsGOJi&lbon%96){`Q##N)1p>)lm9B5<9 zU?0ABFhEcf)JM%dQuX`P>;W5W1<1g6E8mYPJ1onl+c);YigdE$|52GrMn4U{D=;|c zP?qDNJ37=$i0RFpOcOs+pv{OIN=O)!Q(9%-X|41h3t_5RR_HSfOEh2n_JQ@+?KPLh zyTzu~NnE}+|2|bR=?J9!hmX_*Yln?lLWxuN37>KPTa_6-o|0COI@V>otdr%~Od)Jn zlcvmqA5KV^M6{Jbx_YJV`5@GJlXkqzo)^PcRRT5Wh<$uj@0S=S!#~j_XggjT3I?bx zkjJwJdD_D&oJbr`%gzx=3tZBb-*TCkdw~fq52lLJvl1oURMm6p-M zvW_!{QM2uF1{KBi+;jPj1T+4Hw0Tx9EB+#0h{d9720~zx$?U7UfgOaKdXXu0uUk2( zhC<>#8qAw6Ecs@|XY88mAL>r^Q@G*g=L~eOF^pia0n2y${<#lgS zM3Tx6-NBOJ=S1*%KvefJxW|ZAbBN?nvsyT*!hv=DTeax?IUyU^*qJJUQHoWQew!@W ziT*hQO;7g59l^1`9`+WLe~Ns$js$|dHu2=)`}@M*TZNAOtzvcJbJ7wk_KEvG*S!Sx z%iXdDL0zf5n~QX?a@=7lkX}FX#?m-G2_sdYkcz7JnlCiwy&@b|InO}&odTA(^WE|t>ADuGDhYgX=;u}sLG0KlIR~5OycLm_DCWZkGy;4 zO>?EkTOjf3yPEoperrASoyijQ6+ssCsKHf5%!8DGmHXqWIbP>le(=w{DA!U5l4QYo zyW9DcYtHve`5-PP^NyxhNLxa`-h4zv41ak6b^RyR=A^5|`0!}fDDQqsDE~%z?T_KQ zjF91yX{`4F{hXR%Mn8?-TV*kE75h8v0^rGf45-#qOtUse@#gg>ZrW94%a7b8^ea_T zRTg_Vjf-vuWZ7=J!Fq1GLFjACNCnD1JJ+iFlpPx&RyC0&lj54wtZ)~pR>09nN!{)J z_TTC#;V6N`570!@w=-YQPOvPdhB$n1AUjq{sYe9M156~qX!g4?*_$3%eZqaDDy*jQ z<3Vfas)fpF;0ZwVXIptf{b$R}*Mr7<%lVX%K?zMpeBK z4f5{vX3qnN*d)o9&6a)Gve;9top!}j)8=$@Z!bJnzgbEO^U@pDnjF`GPN3Fr!%WHp z*zNMw@o#53y;Y;{Ne?ZY^J0N_2MV))E^iu&Wu0g~(y&KT4r@qk)lvO@(d?fOOeQ$qI2H__@t7+m2hc4 zMmDB{KhsMius44alR*9HFe=SGc(=gmlu>w!XDUe7x-d zc+^PUTj@b+34OE}gHG~M{UR&=jA8n8S7bKy8_m1Sz`p2wr`X!}OJ(Up%2Ws_pmVkf zve#~I%AI(wzyi;0y5$ExM&Xjr5aqKJG{`<}>vA>u9pPLOA$Q#B?bSQJ$mH1$VC zx&DIbf&U8AqtB+05f|FRSiAK%o9?CEKONFGbSx8dqWV^i3tx42c_5iqqm;ef`M!DbLsy)IS}eA5I8` zTIYE|*~X=ke?ierp(8FARNAtSDyNK+`&W9$zGUl$VL8vDW9jc(Et2nT*0-R}JiYpB z1**CMDT9yI}km;QX&YCMbmNRY}d!r^-^jtc$*Se;?Pm7OcMrZ`iL^OLLa#t8M0& zNaG`rvW&RKq+9D4eDRIj`xmF2Dvb18zE5Z!(-Q*GJjPqe%E~oAbsrzLTE9Zh`9fE- zrnDC)B{=m&XaggkMcK?9S13V6TU zTCUD|+jfDn#zBpdz?L@#$#=TFq{zNie2eAnCREwJmyROuL7S^mDl*jYXsS#atb((= zj~yILe1&DVYpPDV;#qZw3uIW#?wma>=U(2VwL5uj6!G!B5>^YA>_F7Pl^E+lCF&7F zsUC)z{j`+x8NHO(vh6+uT?j}lo%L$uXTU!)kJ=EhldyjJVJA+lyub0|*H9V}4YOOyO= zx9HvTjj4Iok|ZkJvAj82;Be{jU8*PtS)`4%h(@W{*QxZRkDX5r7aI02reZItG$(y~ z_9cJiQF*7{&jv9|THI@6LQW5v@VM3Zg=^#?3R;D)9gXwW2=Jy~%S7Ss%`;(vd8{E3 ztxncRRH(4IKilOqROp%%(=m0j9lhA-WTn}8Bo>m_l>G5^CMVUw+=hSkggn&(1=-1- zRDFkzKu~;;+rIU7%q4>OMgjZDPtU$0e~xW8g}z(6Noj8*xBVa5Ypw0Nw}L!}wsX)m z`_bW8bn{akWFlJ?1%yZEM{57P&1IUNshJx%~Q3;5gkc+l$|x)yWCr zgHM%mBsf|>9~2HA+s14}Q`7-&_HQ-rW_+575)r4G*ClC7b z7T-r}&ZU8m(L^~oH!gc2zs}qFtI=6#Fu~nID5>Pm4`f@FEK+(o9-bU^gb@;wF)<%4 z>>Lk^c(CdGp_2T;7vwWdz0n*-gl9dp|5USV+)N}evK(xJr1&f1jV6?<#3V4kTk_`@ z`;Ria6veI9$S;M@Xu@v>$RH}^5|)+$!-A{?7Rl4&$0kfRI4KT&K0mF;x2@2M80_t z^o$m-+_U-#+QIUTQ55ct2i<#uV<}r9F@^?~v9~&V-MJ`r)8IO>botcgCr8_(<~Byt z(LAnzGWX#%u~T^ZAP-fWe?eF->jOF|0$90;D~j!AR3G1o;fS!{-|#iHW4L_@+~0fN zd+UB;uoM9u4~?&y3^SA$Dng7n`q6C(L{;rQ`+!}{o44g(iwhlodP+4`%pibpfaV6O zfD}%DWLq;B%fyGl7I?-;?!yu*8QC+r&FGhOUFu(Jy=3o|9*3i#aDws%r!)IVP#%x) zw@yOu@jJoO?-O>|gS6XH^*fncVb$N_@@(1TYnVCw&xA%7^V*{$w8w0dErg=BG|mU2 zHxHpWju+0=yc>GuHH0cJ9;fs{C*~bi)q5aiX%j&7;!F~iLMBTQP6~}-Svg`d_pMCQ zc9|b_lPF4TN?nB$eN)Tti<_ISBOG+t+U1TNBcm11R^E0@RaH8t4o@8~9c1-3VZ)i9 zd0832L=0Gc2hX~CH+LI422SvUkbFudo6)Gy_L&zzlbM_(>E>%kKO^WU*DrW}vFr!p*|tE(&6 zrew1s30Fpz`|cULmb(OnW_{V9sBn$5%k)_7ECV|6l)fgvq*ZtsVqqz?C@WUa11Cw) zs+)^hYorddf>OmibV^wa9=&TqV`FMq<=rH}@D_&ZZP+ASiU}9D^T!{{Zpzw#<_m}K zg-h)aSTnS@jZ`_>SCtu``giMxe?sTUo?-0Aq-->(_eT_G!TewE|ZYUe( zZ47UC>rwP{^?2>N^hOFWqg`D&A-j#oV&49nMiaxFW&{ z?bUQ^b+#XuvZ7D~=_`3XW7fUGlI+y5>75*t=B$;*XgXrUjh9>I)=3sDw-_#4EHQrP z)=DU=(Wd?`gRLP{>>mH z@QmSSWp+JAvw{fWKK?41fL@o`E}r$6VyP?q&f(jv-ZtaFenRV8R8bLyi<3%rz60pp zRGI}}Ny=1}CZlea5(M=UC9&W05txQ55_`(8lg!lGMBm&59?Y5g%j@R761qrIoolwa zg&&L}?vM9pdKm?!HV~Xl{UI&p;a;;dd>Cl1^9~FI8wVa7+P0uw@zmFK!FaM&g(Hue z>$Vs_K3GumWXapxyyy>*LnB0e z=3KL8VfD>D$z{?kXK>!Z!D@lJ3CbYv@hi}YeD$s98er;K*ux~d8q%mIUtQO1oElGV zTn^BbnH_aiCn;l6C+#2M$$j^zf$9imqWy@$Ionb}*VBtJNK#+K38EIt4((=68tdVZ z*yj(v;BQP-6fZN39Ch;WK3T{l$kXlwx+_dI!=3_HAtOsx*jeUR-QMPUnhGy*?J`5xH3}cAiluJpbO_Y#qZsdE}m2TO=iIbpD*4ZO|^I?~Q($ zTmfTBOq`n(F8Vovg|8Vs@fwif&TN!p( zL|y)UEe|g9O@n(0ukviE9?VaoIc2*RQKma2WXLG6aK#g3?=* zu)2cunhz*KC|FL*c!AUMz~O2xD_MNM){qA%_gWLcwU;v-%eFkuPQ$U?{$Wn>m?-k~9;iU~-aK+U`oS5kKt)RxKTbLPFI>V6%jR4y z{)4wD$y5~(ns8u8l7+R&KERb9f5DW&*caA_&L}yP+8pg-kKtb5JK%M6!4TgYwrY}; z=`n|bVDIPzmXrYIGVeiR1ZUybViM^Y=#A2QG>uTKo{^EWCHrmeITQ+B*kkz1ov zS7#J_Tc)fmbzju@ZohdRQG=Wc-I#oR$v>)N%1%v%7Kd=g@Q>Zf7s7GPL~(aMSabe? zN{?bjDD_&>CSV5NE!?6T17J^>Q==3Toc2+=j0~$KA0uu0YjGBBY#59KGtlKx($V@9 zs0hBI;EIyQVt4D1JQ=>2iK8D-R|IdZ3$oBXp*)?P@I>^O&PNoqNw`!s^tEnzU-7Rl zxotFjn{(kU`6wVyTzMJ4|!hq|{bT)otqF?pjXcDX<(}o`?`hF=+tgtYAj{;TD#oOF(G$M_Dnh(5a^|A3aPNFP0 zr=w|89L#UN{erz?gu=%1aSpA&Lfj{LI@6x3(l^jC=_L;D2Pw2Kmo!=w2!uRHOHLK3 zY+G0>EpmUs3mPHoXb1Q39hriU{tYhv>^dB$N>#~I>T&TV1eGZ73%-&>wb>b+jZVPc z0HvB3a=KJ>o8u}SZ7MYDZQ8E_^8p{y{r)<`74817FY0U)=b3r9(tsFmo)APP+Rgs* zekTCLpsjUESt`)l(I}7xWG2;RHj?6YtX;i;&Q@h9RDAy=wT+D!Obl;k_hrR8urM)xT>t$LLZ)+dChu~EHm^RNh%%0L2U&+>lT)m11$>I2= z?wX)LBx(?cnG@DhY%Fo|tui^o+4#-Et7T%HSBoY5IT7ew`PjCWkIPAw9sQ6_CSGxpcfME`KNYI>GX|$V;HC5N zgx9XrROu4dE_|LdfXv_RStpk8d*LWot?W@g?cpJ>oducxN>BSr;hGN}AoEWp+5&kh zDmquVh(|bZmxm7P`rZ*poG|4C2ek(lcilazp$!5{K9Ew^F8$ZOaOCSudl+N3D@PHA z`eVFTh>i8x=$UzXmcG3yAVpoq&o%iT44IkveoYEHbM71k8vEVLf0cr(+95Gqoc>=* z!F6=s&uFV$_#a|$obEH%Ioo)LjwfG~G4kl>yVO~k)ItLWv{0Cvy2;V)b+BMd5w1CE zD84c2ogm+g9ZEnxs*a1{oh@QT(a5;De@v-U4$vIXaD1hQ5@VERu~QaI1LvT_GNy}; zVcC7FtNnQ6ckQKPm=RvXTV&Hu`gSLMCck*>L^{;I<<{3L4QN~Bar?Yy{XS+VA+4^|y z+%Io@*VCR*wttp|ORdCUw9J5Pf7!=qU~^<~6Z`%9!KHxcB7fKhJI3xZ>soR^PqaqB zePZj?C_dMsQB9%6u0;z5cWi|Y@#8GTKmYQ}o5n?pzr7lnoIPM|8*ovv`G*1hq2-4& zx7*p*%k6*W!v5*U*4sOjuae!2#@nr22MYp^rbJHN*@(1?&NdGlv@YK8I&_* znDRPqQf4~EI*F5;E{a$tnyU}RWNJg~-DIWD$CuO?E~XS3rm0OwIB_}dXyv5ayH{G^ z?kIT5+oIv!LQscGoeUq^WIFQVc&u#%IV3(mSsy>?I9)sN$e`@7WY=S6d8d$h4miW+url55er z-&NJ@Ax$e&?>5fpmuzfr(G0c(7FkC_30rwhk^c&K3}M@fecS>3HF`=y6cudVIf-X*6ZhnCg59>VFfmQKbjrSedvo3ZEowp>v4 z18u`cL(ITbi#bfY$nP#B952Pl@>Dtu!&|fa<4R$n*ip~I4^HCzV1L>0!{*)IEN#zk z&rB6HHb6v~OeODX`)&W-FbA_-h*du$KIp9JRBeFwB`%EO!CLlL{2{kA1`Amm*Ad2| zmh8Lvta~$1BOk#5Yb1+iDE;v#NL&g7^$O}_UPQP^cCcUBQo3quopEM7mY)`H4d_zg zs-4l8f)48Anj!j9pGj2Vrc;4%%?0hAH3yYevCNvTHgRYAgwu< zi0Ag62-2yOe@3lK?f1PWeF7sbn>1wfW145SRRm494~{=SGaZ^DkG4yiFI4!PYtu?{ z%=pnL9?5PV{a&$Iq|B`#5&3g*7wo>TI&HDu%COJvL#iTnRBamwUFSjiTzj-X89Mek zNRN$k{>6^}dwjIE^d)<~VgB_0L$ z@t0+(;}dq5AKwMI$|DFvZ*ix%KHq}Qh;hl*!I6JhJKh_e422}pn_SFcztUT)Vf!37 z$M&a-#8<0E5vKNaesHwg+3bRb*lY|fRhB3L0NL83xu0Xmy?J*VN(Pg`0qM7KRc`hW zvhfB`dL7!%H~>f^Vjp56@>NobSiS)c&Ii2Q-`JkY<}=K8_J`)bmZ>?Ox!UM#<>yjd zS^5j;c|Fx{DRTln>3Bd*p@hra?DZT*(7W!Q)v%lTjgQ7Q-E6ycz*Kt#SLEymAe+;kcK08m^+h%M zvk^B>Z9=O%s%-_OdVd!m&D!;QeA(BAVl~|7CvwM5;nAoh(MSJ*TtndHsNi&d9SCMj zx*|h{vyBzlYBtEh`4n-TWcxutwa<4N>12KRx&no~4=7sl_BjDO3<_M z>e*0A?aMiPC&n&N@mR+2d3R+WW2vsoH0|d6sj!LJq8yZz&tIIu%|n)4l0U zT%~5-$Pko1i<92Uyp84J)`5o%`DmMV75h~o((qc>-2w2Cx@4?8Kb;F+z`P(F2rMHu zj@9_bTJ$t5{Xg?ve?BSFH)?Haqu)Gz%JR81Wq46Y*B0(!+Dty6 zgOh#^ks)1$Tee<}t)GBAg`s+FvLg1AzsBSEX>r%$XGn$I&u;A*w*`toEz_TWvs*q! zgFtRsguJ69ACku094r|hL|ZLNBUqOQ*8@e6r!|$X>AXDl(0}hn>oUg~r}H@9lHUk^tE52{F1VjI6As}*?$LNpr{~P!f_Ky?(v;=?fYPYGdc)`b z>u>Fc(7X4OaDARb-l?@YF3wC+MD}O~4OQ~fg&mjFQEAP|&i^j5c)o+-2SohoA~tfu zwhSXpEk_=mLOqj00}#}PVr&=fry90v!NOHcELXL4U4sj!E!!#&mAd1UvGeHN-MjZ0 z)>p?}yc=gm(%s>fBF#%+HSg3OZr84v(Q;vz?f7}k>XV}!JQIr&KJ2!-orzM)X+D2Y zS7ncl?0l-a$F7s1nBiznQZRI-v7w(^62uzb8;}_Pv)962HnqWGS|6vD4MLqPo2^lb z>%1{dX8oe^8Zro7H}wz_%t>T~srsr=@P- z$UGf#v}G(qENvck<8C980u^CzH4E3I!fhX9rZ-5x`UM0_AWxJn1j*wIK67nYk8OaDt(4$1=$*CvdRLc-$#Yx=+$Ow6 z33ts|`-O5bu{L>QZgu`qn+Fa&uvASkGg$;o&j0P72C7Jjkm3od~A44a8oZl$N{K4r-w;%X5A0@Uw zmD2jM9voA@fgf;I+f`{WtW`IBFI+dzk+ckR4tM}=V{fopsJXXq{V;yKnTkz3c~ za-K<25kAybuz~gT$vA(0py+29V|eq@V{l!ow%>m(5N|MqUyUM3*8j{s;coy=-~EaU za7GVXQ-oG#+dFB3E&$;Pn zNP3zdvJG?*9%AzQ%qh7J&c4U9cODxJLtndahWJ;eh6$O@uTR5=k6tw5Sd?`l^79%17X{7t?4Te9K$M zdo%W>KVWF~qBaZy(GOBC0)U2);L2wKQ%5`8HgfbCYK&kaA@2A|HqTB zgWGkc&~IRC1xy+iA4z-L59Br*`}5+p<1vCvcx&V=s+u-`B!mLN4SpLndciVxcgqU2 zIPvgD7Fdobaje>&>YnW%0`kQ|j}pBGIz1~+?&5%+9)ts1whKT+brg2e&#cA^#suqw z1c#{>%)8T6j&e82X!r6=a zWp`(t;+R;F?HR3O|4%&+UF#-hsk!X`FsUZEIuyNQALF78n6@SnnT5-luA*edh zR|skcCLtW?!WM=|>zY`LsA)tPKb2&kg9xpA0i-fEWob{qkp~6#K4~b&RaT{uCtP#z zFtiDI0zyE9eCdVGiE&$o{RH-Eys?%Au&#zeoi?=;jPaPIA zEh^f<=5pA6>K?X3O-8ULv>(#8!q#A+gu(Y16nezNhI_o;9)Rk++jnjTYEYc+fqP`3 zZCQ&&f(2)@R14nk;-cfF-e_3Xd~EwwR)3;cZ#`>D@_^3&dhzZ}6|TtIWEx+3nn$V1 z3^iX$4Lm*SX4b8nB)N9Cc${~b%s$~{SPjk0=8{na*3Q@w``Efy#$J33rh*E2WuETV z#mzx7S)aS-Ar;8($m{frRX%)N_hN|;;g{0?sq62347z^lvMrr>-5+S^&x6>K-`>4W zMlF5_^4JffZ@-1GH`o+?=q%;P;_Qhn#@Z>F2(Zd)lKHVNFsvHHAf+HDxm9@~N|JaTLMkZ^p*^FF{i~ zrKJi;V33{}G- zVmk_#R;^t8B)E);)lzM5i3GT6_nJ|IG-IHKya1r|l-7nI9Swoc$428{nfW7D)By zUyi(uvyh(YyDLZ5%tj;27jtTNVzF2d8h5tc!KyzdR)k#0Cn6$OZAwyZn_V!LrtgbY ze9kp$ZCXB>i|HQc?s@Vmh#kyL1;w^{4o8CmNi%*{4Qr*_&L*re!nqX*##KwfciA`|lfkar_G!ykm!UpqN-NTig)GY7p9=o|n;XBC*#JVv{%p7Yc{q#Y z|MKHJFEDG?2stj*AlG&@u5RD~&*yd6f(;GwKK>8a{!)&uot8M8e6t#Hj{Az7vmW8O zcHs`z*d^?sa(a1OBfs*j+G0z-sk;2i;5L0i$jAw3{60#q-@<-L?Q*`-=5^^S&zF}TCn4nTTz@#dyV@RpQ(SoX z<{MtJ|CK2(7YC$m03UaPJY#yuyZqNNyX{DRA+*<01qO2p4_5Wpsr8Te_K$y>e97Pm zSGR9P6e1hL+WL+r)|-5GWHrYh7QQqXM7nH-sL`XU#8Oq}dl)T>_m6MHU+vp?v(;mH zBMD7c7ZJIB@QM(VctPpv?}tK?eI+i@#y%U!hwz93l5%$g0dBO~w0>u02QsyreI`!z z35@{8rXkhDtX4~G$O%sA4}GR~_6ngfzmgTFEzCde1++=p9Ph8qfm* zSvz@YW-tQ0jQ$j6*{W;E^rlP>H5@Xu60qJBx$7!kdt;a}b1>x}$9*Hlz(tAa7h!aM zA%?o864NcU2OOv`?PEfGXxfDJ!Ls4lfGC-IoE^~tNjQt?f~mJWi@bUDfG+59Q@jOs60 z6`eihF01ozSiel?zo1rd&q@Aj$!Tm%P4|0>$3wSClxee&9D-@nlNI-kM$^40yAHVq zp#XMv0iNYJNL{;9)D`q|;hZ4Fs{dowuvv{I&vyIpY=~Z~6OFHNu=@4`hP&fj=XJ7O zU#}9lNxa}!vM@~M*_iH3>(tQ0uT<0)W!0CC_xVMs^NVQ>&+f@yVju*z!(@_O2|~39 zuKC2=Gw5F}a=2Ma5CWsNTa^?28vy}R?Z^-{cH%!NcZ zm>T4=M=L#R?x}>CaK`X?J)_?9;=(9Xq6(MVkWs(69NWQ(yo-yGNQ(QJjawr3>EIP| zoSOlxM@Cs;Ce2~R@gJlex6}U0F8v_Rd%h8$$N?uI;MKQ*F9`ZORC0G7BI;9^GCF?| z5nIiZuU)x-pbj{^aP)MtUtFu+8@FC`V&z?zB(>d)tie&~%MPT`I7Lp!X?%E&gvz2} z+Kbd)r-C#`4>$3w5Ahz)RX^A7nYYD_eVg!&R6lcXbT-{U{}>?Tu@;bMz*A_dUXH#m z?I*jGmA{RJ=;sW5CuTz~a0mC=l}6g?Qu%%nP7+^4$b)&-ju_*(V`p|wZh%3TJyw>Q zYU6ct3buDdwlVCPqCYDvrO{{5w*t#vw5x$zMvxI@HR>Vy=h}a+Pslo)3n0T%_UES3 zLsniNngr8~o(qp@8z1Z+w1$nHN3tOh9$~Is6Jf@S_T0|=un@)`XBWqv^!|_|Yl-7? zddNuNIs9{yjNEVBvD6&&RL65t%OjO0%I%?yd16l*Q&LW+3j9uw-{u&lhYIlEON58P z^c6;YKbU`j++8Q^)E%sAU6N2W@5NBBX0Y%p`laEiSP0XF+uf@`IFKVKth@E{Hg^9l zR~4O_)2R#?nKdWoFY2{Z_c?8_?7-=8S!FnwWxIuSWlUKIca$PB=3uR*MQ#DFz^2NgE1 z@7H7NsORFM*Q=BpcU8nnBxqAkmgOjEm@(m8Z^?fiR4VYaa1iK-2(|jjGsLf1UM^Gq zv`Rd&|L4Fo-Yh7Dy3X@(@_7!ARsEXX*W-m796*y$d|q|9*62>+4Z|y<*Rw0i$}ho_ zap@L5yk3c*1`6!*4Mo)OdJNIt~u!5W8`}n7f7qh-t_gyz^d?xLaK_@eTESo1qc?0ZKBhxhtg}m z+J4o=u{Yp>g}RQD>vJ9ZRD(y6BDc1#8m|_%7w>MJP6g_;beX@)mm#mZm=R~fH>j|E zeSdjhPJdW>R}MP!Fm zOU0a&>1dNiKy=KLLjL=KOuw)%K~PO?W81{pgG| z$K%f!S+x|`_>#6xi#{Xn;R+a6kdc@=Jd@7Ez`_|#g=4-rUp6`vx9}b$|L1lGIzKnf zOH!mI;TApR-(QpaNfN2V5Q^BPb$US2nRe{na>L%L>LQv|TY7Ksm8hSY=97uKtybu~ zx-Q+ktP_74?{#=sKebwY>?3~nROEDD{dCP#KUJ3ReEhJwF%8vWEseCL=S66osk%M^ z`VO~5)j{BDL19$0!lHjl-x|q?Hx9n`8-w}r%$FVW96eBm3L78$VJ1&Xu#!KMtdG~u zvB-DMkuRVw#?E*UKr=*dl~AZBgyQhXX+Z%)0_7(HZ%EV|9DI}SQLw@F0~ydyhiQu0gV#B zYeFneOF)QL^Ezp0AxZu|wK-~T=C^X3T{gPBK5ICSv|CVv=BFsF5wSQUfim7~Rq^Q| zKD%{MA#`oBq}JPgYc)b&8>qu%fKqdJeIBa0p7i*d^^Kj6R7Iiy`E!l25Y5sBqZ@!2D-bH9SoaRbY=f@YZF=b_o0MBsFd(iF( zo0!xTeAS;6nizaFgW~E@+cg%7BlX{HJ9z4^z(RoGYwG5do!Fu7o?~>-=|}@vZC;z- zyhrhq>&wD0lSRMUQp6)oJtn;2HMkRUlXuSG=#X@>^J-MjMyA@T)sRqKH4f$4O84Xk%$+p0{=-@?d{bXHAkw?U!$yqm5Tg3Y)e+1 z^)@aLoDHZ z$w4v--p7fLH{nd;|LdId8f&7(+&s4ia-^yXGRI(iKYTcI_?auUH z%gfhNSyE*-?qZ(@>2drjg^?YI zkPOB>`TL$p(*9emScp;9`=vi4gaLC`O^m<*p6%szol3`&M3XyYzLw1$eEX9uk!qDS zb}fynHi#B*Onm!HxKGwUJ9n6p{oKxp`!QtSSLFZH=ii^qR*{GoS=&35(TkL_V-^uC zk2e^clMZ|SK)D$TMqJ;z4xDM?o2-@@xyI2SmLvc1^i5BZ)h6nn2d6otQ%^c85ll<{ z@mi8vpOUPP0iQ9AS{aAX^uH8;4Os-w+2^2-zf_5q)78E1U5nu4)2R6D-{q##NBXE!397(o-2$^~2Y`ZgSU(CL2 zgc0em8U|}|ffNf3RbW34Ki4uD@fo+Fy|wid_dk?;1eYxe9W6pO0Gj&H(IUP0iCcnF z?2Bil(SNxKn;LO~w3t%f77(##9p9)q$kqy~FHEM7M{(m%hYeI{l6z)28pevtAhPpT z!wLOQlC?7w2sEC?9=BgLG7nYBiizDV6>n5s?0Ir){G@=oUm?bz`bAms=R^)}(>{|p zAy88ii0RrfX_~SVsJ)NZs&GB@lE`H0Fy*yB`XqS=<^LlYw@m4yO|!Y_sz=KH4VVQt z`r(>45DksxN~$$Swb}0++KWBrWBz)#_Wx1f6(QqZH~Njw3YD!5f+7~SfFQ}~=GcaT zUjsc35~~jZm}Z4zX;lpm=q)NVC7nHbFv<`siI{K7(sDnI0^b2EPG zdlVVVt^Qi8Yh}Xm^?p4!?G2^0A8ASKJp)kpjPJCCCX3PSs0_MYO|XcPf-!#qzQ0fd{#a&(+j5RpW~D5DF)&O6f+9Xb7jZin|WPVMRF|6Zvc>PKkz zdEAX(bhs(QVlG_1VCmUDEBh8^H!ZJNEzawP8rU(p&kmf25i`eQp6EHXqwLLbt;IR= zTWe+aD(;{5BV9LBQ{@}}#2a*w34~a17CP<1+T;99C+ztp zj{p=PUW`ZtEy3;1CPHWU@Zw%~2b!kuL6V*o$H_thzy@^USOj>ZtT{)q1N5o|cj+PH!~a~3B~t;}`n5sXl)Kk*oMg0}!`O&) z%fGliEZaJmMKPyyj*F9%Osx^6-+@pEFyDFFPS zANNEq)v~R|2wJxGcpWS|muL*RA_mnDpcvbD?*q{2XtwqSfUh*EYSF1~4(L`2?M=Oe z@YDoFu3p%e2?<*$$DBWXY(klW%>zFe^Zondl_^$7^WNf*-x_x@2-Nh6u#O@#9lJg?xHNGp- zc@uQQJ@B26#I7mdNFv2JdUft>uHWS;b&Z=iLW(&*3#oqD3$$b+43VXVzF9V3A*6+o z)ogi1dvfQUHCiuBMN!?nsA$LW0GMOhW~x$7y?=(pT{m)=l~s;qlENWX?K6 zH4mkpwzX^9SiYkKH%O6x8+@m|17s=poqE%-jP|jSSq1N-1qajKcD-#Z+LM@#!~Zw z66ot7{7n9`%sZq)F|$Gv-plrSnr5>>aAas>qgX5mV+!RlOPfAmj;0}N>>NFiRUiaS zO%~TnjLVHs1fP3)^L&t3{`|h*&1Z%J4(~N?5rdm=Z}l=q_4V>Kne)D&Wmj}qyD_>l z{`d(nJ8grWnHvP(1w7aL6hnb+71o&<500Jsh5WB(%^J$^v}mC@rJNSWBa{-*;GDmh z_Y6BlPjBPh->Z`aN)HP>6&f;lQC7qagyDBcq&(BK&O4P~A&>1#-sq5Y2;;D{pY`%^ zl4@>hUoW+0QhI(**X`Iitdn75wZr*k*xLMhUSLB_1LsJkQw{Zg_w0uM(u74>6N9hV z;@aNwin0@^672v|{vSZAh4)-KS`sQi^Qf)uKy1(jywHd^w(eFhW067DbJ(@eKI_Hs zMGZq!k-g2B^kq^fCXCn0Eh=i?`x76AL6Rq88xxL4+@&ad~ zR6lIpjYD(Pmyq$DkC}LZ-S6U37Xk$}RwJr@2*Kq7VS_%eZ5dMWjw6e;&%>oeB5i25Ux?T{mot*CQVVsz1fl^ z-y3WgwN>o>pK-}sYIdi+I+!?UaKBJIuuwX{#y55M)U;(uuM6-Sd65Nxr?jK$$m?2; zVUFmQIF#>6_)U(QiRs(v6Vo$y?`lB~-!RA_=Ybv;8v_TXPp=%wb`cBz<1kSX)8pn+ zCT?2+VgW8LPt52BCj4h@g$T*7UAYtWpRR}y{%m5_e~Zh|Burn4(fXqeYV1F%XwmY& zG%m@g=L$M{5r(>Y_8+rJefHXuD~m?lOR8-?NTp_v^A+S08zF-%gl}~XlR_es?uXY4 za1V%n`gki%C0gFSqGAZYp`c(8j47OC&}r2lGH=s*_)DmIKJ}S51!2)4QsY0iA`86; z8F%{%bRt0eH?>|>x*4OTsTZ*V$iOVZ*OpGK85QKt%>hd$%^M0<$DKyr_8p=)lHOw? zuZyO1$fes{`6aH3K_DGke99^Y)v|9fWi>A=ag+3k8(Va70t(!3TcaUkx8STafbsSB znV6(2aUX$|b(9k*+6~At4fqwH`N_8_xweCd2#E2ieZ$+EtsPDb2u>?YiXv6kK-pS? z$Le~%V>Wv{+HJ(T%4E>f-}ZrEV3||@ED_u@XjmvNySB~@I@qNE*Q!S$@us_oQvFSY z8~-P%)lucSoF+cn(1rWr@>Z6h1vWP$+7%}xXc(@WTEmi-cPuU!Cq`HXqM9sJ6e`IZ z7osZez%KWEeZjh8>ht|zrDcM0-@BAYd#2E{l<(x53}Rbg^g!3ojV;wxkJ)n`0(W5g zs20S&wcGX7T`!R65dFzWV|EX52!#@};kt^aQ6D-26ka= zSiiZU_!YeOx=Tnch$+A9qy0VNiwL~_`fVAc)q7J>nyntBzkUopzwh98f(y-3-hjIn z#51X>^WROdQ06X-FFiLwvALs4TEXGM$Dd1n%7aQ(dg}vu@R&f>9OeKLD}a_GMI$}E zMcpwhCVnm(dEcX^)&al!#KhP*P2xmTAt3f6p57c0DHc^|(({}l(B~0NRaVljG_$Z+ zpZ)CW8Pw+?rz97d&HS!6Kh`@tkzbJB#7%Wc0C0qaeBvDkI`a?TPA=WIltq>0qZo@e z>QH#+ovL?HY?7gBx!xFWLQq>Dr~vj2>k}^JHm8x-_3_IPa=CY?gls9fj`(l_sPaDA zXAe}v-lbTzw~917}dr9>-`w;MpPyZ+nTN}^G< zXCeg~SjW&$yUDtgm?ruI4opfU(PEvs!fMvX#v>*Ghk6c`G>#Q94=aI=+ykj|qCVJy z0cOfPT@66Mh)l-j&MKOyUSi6Bm!a_{;*6S_fM)DLgAB`cwKwzF8lRcvt-|8OG<$8I zV{K3?bw)sF6AAgv@2=Ks;%LdfgIP-w() zMGZL(y*rG#a?+A8ZL3+e`j#+Hw-u&7pn%%wwr(y1unWWrtD2Si{3VW^KMt*dEosAR zkP%z7uw{w7&2rJ+eKomqwM$eU2b;Auq?G$32s8MwBjeM=~dzR(cYVjZ0 zs>VxyLu+4nJ44AflcjH{!W|bkW<}2-R0fsExn5aG@63=_KbE<0Fj?&H0SMX0kn~Lf zFg$-{ouTSqG%f$2*3@?Lhn_>^Mo%PCf*25Nb5Dcf63x}-SP(Jaz{>&52Tf$i*z)7! zermCMbIbL@1^skn{oXYucUPP9=HaW2&j%?Me)}4K_|(8cvDJvJt`uHRv$M{?WTl3{ zeX5msFQ-X028E5#@*(wpp&aoL9&Ni05d-Es`GFxoSPE(@Svj-F7NOYip`DV|o5=T` ztQh#b4JgXvR{nlt?+#b!+LhU59185FY6{Pfz~Tl57Wv=Cd!nS@F4>=)_5keg?PPP2 zd)A;xILo=jWYCiLq*F;TN*&kGI!?39o2kY|iBf<^K1Np#G#|NZw1?!~p7$`RK%5la zzLsE26>{=wTVc%}ersB6BN6SrTVRJ1c-PF!5BHDFEerol4t842p$N?`= z1Dmi_ zcos0Cc>hLLhQiLpKC*~UYz5C9>i3b3%&1~8J{x2l9}J$zsx6AXsd9v1&7^d z9?@8dp6fqPtMyFL{pY`+bSTkZAC7-;mH&EJ*?6B?|79{ivE28vXO)b}yGduN%%4do zB(mR~|3Xl*_&w2QX})%kUPlRY>lS=Py2j3|b&{SkxQ^%Pwp6AHLf05DEWO<0@T!)9 z0l;CGY+L%XEM=7GuO?V*iFwIC(&EI946RI;l|^0h)V1dm)M(Ct7w48k3%0_Zdl8o# zFiat%8LW4!xj$uS-f?u?*xc>XjJK@jg|pQ9#t;d~P1iI{IQyWtw5G1?ay+xF^{ zvcPn)hyOK4<>7nMHQtK3lHDFsOLKep%F{qp!?jmv zpZDYNCRQHbM=Nj6Gprxkg?O!4utMW;zXBfRHxZ4C*3*y>hbMJoc`vCG^k3xTKJ%Q% zq<}RY#I&IfC#7D3umX{pmR*%mYyWJY2}losv*4x<1>qU<9Sle*#*ih+|FUVZ#-qiX z2YYK$a(Lo}KwuLd>oV2YZ4`#Zc`bC8EX_zg5f+E3!hIGZCvKU1)ys=&^ERsY-H%uMVeqYp6H70dH;HXolrPCUD)&({|B0@peU6pPA1d-)XfW@ zV$*z%rPhE{4ECnvC6^0rB2yvO&OWRnb;2sTUZ<#icZVQ8r~GM*t{uL4PeJG7;e603 zRygFhN2pxWi)a;g-m6pXmuyW~tUWmPmdH?aH62LTF;|y=rXiSB@&~J2PGu%LQHG#U zWA?eu6CG6d@?}BGU@k;;eIX`QTnsoVncek`f;jrjZ$nqIZu|AS`yWlYl(7c}D?vV; z3-pOTUw7#YU5i$z61wIMP#dwie7))H$4aHG+_KU|o4yV#0wGg8U~}HJui^8qG(lT=!c5aEyrTL|6P)8{a`*Uga?3e#Ef# zEYnk@wORdt2L$Q}f~lwNmj(I-$feX}PaUmL1aA+|d8u^Vl8`5N#O5x&a?u*qXOU8% zqoGvPENoz$DW$dcRfCpcd;At&VwNeT9bFp^H;RPLk}0q{^w3^7ykX3}7_B8z>LS57{RzEb3Ya9G@( z&_?0nGJDQhBrz?JpN#~GZTYCPYo||xLK8gdeqUAt51^QmiZ1EEt@gP;Fi-|+Hz*>Nf!_09QPY>Y&g83y#LVN-TYc8VV zc>S;>RsTBzb*{b%S9YXV3SP!%HW@#3F&>Xa`vWmV9=UI}M_r*-XdJfm*glrZWF z?3rGdsRyU2BaPELyKPT2G4T)73ahx3CWM>_ZUV(gaj*l!>TiB!Nyxh<(ue|E6ux|z z1b;d=K`6_0mq~(`HZ)tAoXR#c0PiWu)KqxMbpRbD2keM4D3~*gR>I)#;&sF6G+mxy zF|Q2vK33|`i%ja=qlA0YI3^i>+A!b1fZUDuav31|BxP5PRUG-vIZqQ;kfQ`D0qsP{ zajtFdbleGV=-k^mn1{gP0|;~*+Y7DzVR$7x$M8beJV)j`-mC@MFkqWQpDvW zeeFuJt-t)`Qij!bPIHap$w*Jz;E<}lfIjAsVXybXRT`p_vuk2aT(gzlAFK%I>ED-k z6~F^)7tcjtMyX*Q;$|o=$>@QttpTqy^-GU^q??5IOr7m*UsZf^8FO{G|GecQsQzoc zIO&$7!aunJGJ;27(9JlfJP(PJw4zSpAOrv$NPT2;OeOBC|2;MnqOLxP$J7`U1GOegyK+$HzgQrbds5bkk7vNMK9Y#OqT2IHj!=dJ-2`Vh8}(qAK-UI^#TbG{c{_g!oxL?W1^_K_ z1P~D4CxrkLZd);@mNf5}Bvt60qTN>pb{v9S*Q~zR7BmH*Cu?}PzxHjJMC<*Z049K- z1HM-6V7*s*ETuU0Iya+Zp~tm>N^Qu`yI^Vj&%*1!f*E|cqX07+T_AQ+YOj{Y-7?ow z;Vu(u%2xSDL$ZX;*5QvJA-RaT6`zlj)X?O;K!(=IxXtt6-1zsXgu{A!00Up1M5hZe zhLUaV(75%Q^NpKn>T3Fl(wqvGQ#^g756i-znR|X-pa&h61tYjrc+fQPzlUE(Cyt0>4%u7M;VByEL`825IXHC%c9!fU&I z409=|lOc_hgydMiBK*3J8#ig!(Vd5cgit#h1zxASJah5lvyt}&?XgvJvQV9op6Iln zni*I?;9mvpsv~d%cWy+ZhW$aDp!oRV_JXmKDW*F6;7mB!KV#6!bbS88poGMt&EhnK zkwM)71o6%w9hN ze&pb_FYTEBt0F+}^VRSe3ClpaVQHT8c;qxixWzO2^VGU==LGz2s1jj=!N}b5k7(M1 zNogu@@hUw=Uj@DfDdj}oj%=(-&w)1+n#d0w_RMimYiFaJ6ma(q_+>4cgK5K(FzGui zqL6P8H(XDuXD2q5A2ZHSHKs4BSsb$u}nh;JS)Sv)W6R>srvi{o2~llKCTNe^iNY1SYXN(puS)4KVp4LfJYyJ8zYr zy)nc3>aPIW#C+VpzJPl6m$5C*QyHl*huF316(qmW2|)RO1b{(7BO*2H0C-z;(D=*! zV?h2dY6{>bp<><@!UyEgLT|72AKd}vOFG#BuLJ;I(HsfrZFiBPIaf|xFLP|+2tHPkU>D;jHwh6ij)42z)oiKy;dRcXvgCtM9{+rcA9X+cSm*@3}Kkhr{} z(i$ZMt2Tc!D~!S-{y|Wm!q#XMXrFsyOZRzTZQpIm1p z{^Z01A$QpU4Rt2wf0x6R;8W0u&&FAjBR1R6i@0PIlmRf*wV!BE1LS|YBVjE^kKr>=V1s@8=LyG)}Yt3s%su}pJGZ$9fopbEBIG8b( ztbd2Cv^e>wsiw3(kQ1JquK;5)U~ZyUG6c`9hR$2;Ix-AS^#mcQItHboq_9VXU53H_V z$4X3v@8EvvJqc%%ihQAql@l9~H_(8ze`Iv&u6Exy#5&-Uh4gtf+;a zoQI$|wcA-U;2;#evOp|Tcsyl)B9YR}kdKdF)dACLe;1g)Y=llr!ZezYSGwPQr^eWo ziXioG-vm&AqLK^<&=f^~mds?C*dpV36?d@Y7gRN*Tkw1>k7ZWGD5Q`Rur?KqTeclM zZNa66R_SFpO8_KRw`}wdgc)tC1j<@Mp#7cU`d`GUl<<+vWmqQpg?mXeIk|`vT3peX zD^-D_yiZJAxL^+ z-kF-*)RaEd9K6WcZWz{vnJ#IRo|cYjKa(JAPAfHLrrQDzXY2HceQH2mNkMHRTRons zsg!e;9RC^nw+?af&OG;`&3aQYLQ&ZS3DQZM#W(c9Rq8X#5x}>R~ zI?daJ!`VMKV{_UkQ<-)Qn2;uqTX}}V+uy}R+@7?Sj*c2DlVfvtL#TDOv#r&QJ&;-e zSA(~M2rem@o1ZN$e;-xWldwV^SNFmqe z)2B$HX+@$nivi7`jEK>$56rRh`*wmMPy!W6g!+x^np*clIsl6^X_MqJ z80iO(Fl&^Ciuy)lqA%goTM8>b7RPJUym8X)TQ#$mf3E|dJmP?E76!)M$`rejj8Az4l0gO z3jub?Ya@YojL3!G+^U$I+13(wUUA>l<)w*+@-biCoKM`AABz&9Mt8DAtDOL(Dl{7WdAwRT&J}=d8(~$tFu^nQ;jo#!mPPm_Wxq5 z&8{f$kw#^8Ca4nZzer&n;E!;7zK@UC(@hk1&Kb*K?-{6NW7(be9@NhUF~{}}PkP5S z$qC(;*bjYidC_&218YiCY>X@_`SLKH31wsd>+=tP0H~I1>~2u+^YA!xP)VfN(r0?ITAq`Z7&28so=M&Wpr$F6^#TX(aQMZ^z7(lSGUJV_IObF5pB?cBGKZ6ad>TR zWx#!Ty5|>XBFJl>*>J%~zNQt`P0om6BQUcrIl8URzXDj1-$AED7K6F+CW*l^zT(%{ z@b90aeeE`VDh{%SiZeO~tn^SiAK&@JW}J|G+_JJZw>FKne=z)?T_Zl^28kAHqC#n0 z^AUhTit!{38lzcTi2e?X*DZz&C6R&#q(q}4S#Ek;BrebD#Nhnkg;ucIJ*y#n^~oM;b;`E@kBk>qqch0JzI z$xMT%Uvftk8WaF_Pwv~a=~h-#b5qszIqM{@YWa#nGqd!Q22_iR*-S#n+PZE4kq4G< zFtGbNFKKYSIHY-;q9M?qS|tY6mhM?!kl#8n!Azf!lGB@pu&TACBex!(rK|ccEf-f2 z-*O68x++!OeJxO>C>W#zq^{#;&3(vXu^#@CLY|Jgu^#KUi1ic7pRM@-0w^WhK#!64oPpJ26K>%GpqaxsBYn z1J{Z_3mE>If5M0XR9po#I%;1} zY8O>49-nEOxz+u?Vb*NbP{_d8(2!r6G*myJ5ZW?J%84>`<>^(nT@z=JZR8GjM{^)PFEVE2Q)*W1&;nHGkA=re7?6X}#kG^Xs#p^6iV6(67@9kLM8=Mfe?*phT8A`UtEp)xQtE;QN{%(FW_vyuj z=NeNeHVo1(rAw#4xe}1vY=Pu6A0oXhY;SKgkrS3k&dreDu}zWyHMFErK*U8O2CI(Y z?o8tazM(?W1dqpA0xOe{igui`IFVx2V$9ASi&a46b#<81Zq)o#Lf$~Z;@Ig|Vef2t zuQ5|gM_zrBCEj1J;`n+Bo}!%EPR6S0R2IvS@OI`R)k{|9?#z`GTjGwWBW8zYhAM-` z-r4fL?n)32%%Bg67bnuyL z44P2)7yfmKysE}h${W~&6?*k~QaRWSZSKiz@Xo0;90lWTAg64SD3f6-+8P;a%6l1D z2$KB2^fw{vvoy9W-}qmkruvI0c9w<%!y^6ysnFNn=fhzTo0Tba9x zOSMKAjYlYJ6=uWFG|ni+&lH`aj4x7;oN&V{t>ei>85uMn8FYgI52+_?2a$wW>A9v9 zQ<4)EJ1iB8U}!@1;V1|>?oJ3-n^bwZG~>*#nM%#HX!q2 z4Qd*|LZYjf6p+uP;z*ce@8FyKCA~{m7gV(PvNd==muxOfI;6tN?5n%G|8u@$Xx3XO z+q@{slUJgonB||G+~!|5Rjt=)T{yd_{Ci~F`#s@iQ~HqE7%nlbhr#*&+RomowywtB z_AgOW)9py1|By7siB+E=#tLYhzC~}r9NXa|Ze`S>ifx1;R^RaK=E%fIPtQiI$SzBR z!k?dmMBvaT5L65j3b>qUIMH(X8?Lp3#w8_d*C+A8-|7U$AoCo-+=@0hy5M~6>2OKxoi}b!p28j!=Y1zAwcYqlXv@D zKLtbv7@|r#kGGeD!+nWD5gLsq>-M3Dj)xwvgX-?FxNI$%RV@ucDX?8(9 zk+3x8Y5+w%vnx)eL=sw}keYjui&_ z%KxSsM4`_#+1tHfak$M}C_|I}cQ8B5*icK9Mx1QU+S*MaCQqU0pzsuXx5(3CzUYD= z?|7J4_ZyrV2|g)U7&0trbbF-*{t=Jeb*0Y&J;EU;R?(0i74J&~F3p8@TG9b-g3kH= z@hSVS_rYFSQFF@l?*^rKAB-cl^_Im@6R`Q-tRZbI$o~NJHbN{n0H6n-n|6SP$S=A-!2Gn@PYpR z@7+pCQL(8wu+eKKYUS;9OYsp5KA#Q5p)d+BABt+i2-rVj959U8i#*QciZ0@ z;6fvFV!~2r0aisGp)Ep;cT{lf9uPoN@q;dtaB`EPHMgk#dK3&Wgh#7gW`SKEAr|=$sk2IHdYF(8L(3& z7Z(?mm6Vi}l^5sd9WK{f{QQ1+MAsPkFj~yy2|*R4rl)JDs)A_QSXxFPq^GCX5bV&r z%d4oMqoWrEe(s@R*b4-~+1lCJ+1uCE*9)pn{`-ON))`Ekvwx(`=Gr-`zquReFQs&$ zWR^<+je21hl=zfj77w8odsav2M`$OQl(jj3IDa&7Pb1zq-- zRi~dPXJjhsV4a_0aL8@Gnn3WF@whu2Zz3;NDL@+4SQZsNS^hhYb+KPv ze-AM4pL%_LO-f1{9UTn`fx0)gvf}c5bx=@H5D^hkQBmRG;Bb)Ol;Z!f1jxC$Bp{Z( zGj}9|PSk{<@KRJVz*np8;5@$%6{MR_eQ6blTK1QIcP zomSAdU|ou`tL0w&Oq^#V!QGTbQnDZNrea?Hw+-KoPZ0 zNa*<)hGmnMrFiY@6tS*Xv+?(!(<>!F|I8v3dGDbDY`;Z>$Me(wu}MIi`0HapM(*({ z)5F4WiSad!t(U~#pN@#=XPdaCB^4ub!pd@uL9Q1L4o>yhu@S+wNiRccaxx?fi34dl z%Xj4df7 zr?@90$*SPFSZ}liN(lJrZCXE zbnbE|kO$jUx8r#`oRUXcqw{ROt%;0zn?pK-wZ>+PTX0O5f)6;6#v~zftM4z4GsD_U zW^Zr5Q-cydfX!@~!e(E{Cjwya`U1#mYG$OR!IUEpOYES65Te7tk&%Kq+{6*#Ml`{~ z*9UBH;>wgAT^IJ~`Be3FkRg62G+ZV!rEc;&p3Q)g(8#k1NkEPNOQ4}yp}wnW$hLg7KUd8Q!uSxii^ntGJG@q;`}iofyM4BAG2|? zmLG&p`qQ29KI+PTEMz)E+N&=*iL3{o}IY5Lu}OrFob`K5>x=suxN(AK<#i;h>8CI1fDF(O8`m$UgZOg9@XPKFqZ(_Cm z)gM&8d3kxy&(9LcG<;8zS)7BGJ1?>n-*>O+$e`83aLR zQrRrzjlaTZcL@Rivc+>M8xPB>I)AJz6lHsbA---XlasG~tYRRAvYp&?pqdtyb6zh) z1rU`lUD7O|T+Y9kM}8T$!Vaz5&jTiy^xrdrdDxYwDdt{2qk^ndm|t0cc^&dr-10o> z*@JFCw+k$rwk{FOxcP|YG*IPN*TMtBH@ZN`U%z>>N|iReQKQKeE?MK{(@Y<2?Ci{swTIy7DGd3@}nPg~vy`CxEX|EYqkqW@8w&T$D) zui3u7pQAtFAcpJu!Lr;h+1}4L>0hgva+hCam-}Ts$$YD;|9U)ipWR$EZ4tg!^bL!r zuCK3n6_nKcrK=K>jZ-^ha?tcCqKg++fwrHzczLe-sRy0>~g~wfY39OP41_=Fm`y5d5Zd1euL5v*PH&-Z^ao;MK@$eBEO zeY|Zp3p15a9}IQ<$_^D3hk1XiNbc;=9J7(;xP2+R`fw#7;3%pVCn4Ps?A)9o;I&?! z?{1ICxMbOM`PppsCrOy`#2LEyH1E;-di1N4GmA_~zH(OUgqfW4_?y;YW~}}zz+-oS zVph1yH*JmdNLRLGc7M4&==yj}ud2HG)-PYj8K!&?+lM+ZFNMF)VbYC)Qy(Ot+k#o1 zk1K5UhrDGcI9?!IY%8nw%VhLL)J$AVbpB@-+`WSdLQ3Hj2Hsycf^X*+!A=*Ro78(x zTE5^O^i%!wZM3w1tkwQ_zMHC=FZ=qVKgV}+ zzRZ=K4PnMB*-e9Q@&)`8?tz;aAAkQg&ST~_Z2U+d7L|UyXYAwnF6=uhyjzXv+RUFY zcMEK5_Ypv-gRv!-DOX_OzswJRu73kcqt#jr!t2cto&KniZ_HtW@C2kuG4Znh6QoJ*RbftjnodtwdeIe@B2?tY1N)j zD9q@U2mz(|>4S}|EyM}ML=Ej#NJU^F#FnWFs+XzQg@Adr6B{0$R1`8FEqC;;FR#JD z>M^iL2J{wrUD|b)CwWaYf`%-HXe?m`4@dsexUkgbq;#qUji+%DwQeO1f7prDS|jcL z?!?)YQr_Z06Usp=+4($zDIy&=bjH!pNlyW~Z#5onkFq=IH`BnA^tD=fQLN?)rF4gc zT`&q=b=YwoQ*l?}u$kd(wg)4zeOI;GoTxd!*f*CUC%(NNNPd!_Vx`krDO8=mu)R0gxXq60FHbX~LYnS5X{J5W!`Fh*>x*p?|mI4ih=C>Zs z^OXuk#4km7%oMz0N6KA>81~06oY8>hE$gB5t3t~FJl8sQaiAO`!Uia=@%g(_HFy-K zKj~5iHD}T)rnezrvl&69pV8S)iW-f##MILh2a3mu7Zktyn*Xs&1w-ZD)|4M1MoRs$ zz%h-`RgxuWr+ItNfPk6(wH?nD>u8N^EK|!^bA!n;A>n$mK5$JE*HQsJ1)8RyDHo%K z|9d#{TqGLHQY{`UQB^w&5nV%jt?<|!Ho(lpEEHY{_O1Qj4*!#i)cQ;^(lOS#zj^(C z;+Lv`FPbmrJXf-S4$VPOO*i)E%C|fs0XfA}Ec0rH_Jx@wYx#4B9MS%`0<0SsEShH+ zUAN~xhA>=`rOw{2fr;7vHl4%zWPLtS-MhLGf1d=46l>3$Z;rRWb9F~Z9JP} zF>I*j-_1W}6C$~Z;nkiErTAyzL)BJyR@G}4<;lxSX=AKI!q&CDtM;#9Rk44v?fS!g zI-Xp_XA=Vq%%6m}sWp}%i9_Y^w9$uG9w!Yy517Z$BC7v3;yvA4&`zkFufC5v6Hsq@ z^}Rck#f8;#HkZb%LqJmLH9b5^(Vu^qw+ND=^dCquP@>_7&SGl_-$It$Fq-eJ4p&v2!;y7 z2>1smj0y6Wqz&%WR-#SIkM!$<2aXu(O2!px5(WK+H8PyH7d#QBKTrpXWiAGAffr+D zq=nXku#c4CVKIT*c)mFI?8|VkAG^b{VMQ6OT1u$$JX!zX9+ve<0*eHhMasE&l^g`S z6U`1y!zhjh_-x?hhBR$?VFNxq8TWl~AUH2WoD7YO2&O|y*MHhY^%q#vg6?w=Nx*^i z({|j)Ra9JXQ5PN`n}W6U^akeeW>fEz3+|KPyPNkJ0FO_d*$O~O zm)0l5f|rEGC-Kd}%KJU6j-A4AzNZHI=NA~f%S!IrtBa|AGp+>4ra^)&2-{>g>CBRC zZR2P}IR)F=azF)i z$;PL=*xBf}7CBo-Z-09mehQ@0z>Y8LVaUviEG@yOqvx*5G%~Ze%?`6=-|!3;7R>^G z`#sylCr)p@-n{7`w7v$31k%KmLwL=8JQzI($uK*G=mHI@xLIQWIlJ+i<3dg`l1m2q zp1rz)945sh6MGE2BKGOv;N--)0SLI*q~&|7R=+!+Ok>VM4vw)|y?YTdenOA@4IAT3xNTAUCu`MmFHs2en$uTLw_h z%KDMKG8Kc#c7q4=x!AxdQla<=>Y|z@MA3#czrSzN@;qO^^~oLJN1Bebw0~vSnMl9i z-8Bu_X-Ih%b=ZPARP!Bq>s)yp#$QDPn2!6C0p!acFSMb|GB_R8_P!K`Z(X7K9iypK&}toy>@n;=Y5+c?g@uJ=pa@^$I?|D{mY(ER z`cxmHAWV7JN&XRLXz@l>TmY70D zh9(yM8_981@ZXqNnI%iG4~jdv;L&)~ac&nW$C3z0-NFb6019ak-s0d(2y^slbDsC> zpA?qcT<2qKNMRMUK&c2I9<)yaC~o67Em!B+*eF4#4-`g97R3G9sdia$@ACe*u$h7{ zTkU!>6-VAkv*55D2(RjB7>uvzoIDaWxQ%!LGCB5^t%e()9@9Ap9x<(NEo9hEh?OA0 z4p2Z$g-8f2rYlNYqlP?GEO&!O-_iR3$i&T5`F?je>--P}1}}kXno1UK_LgmA3+b%y z?C;kbjiFI7Gch&L4xVB7e`k}#Tp#~Cn~E_-(wVE(dj7c`kA^UTROl#$CaCe68x?wci|LUqIg5G;GI}a073LRFZII(XWEJkqfF!OEf+Nd za$sPag?cc_8jfQIyu`B&+*=yW#sY zTYhG-)x-ro{8qL)0Xp*nnu(#2iAj;p^ONRjj#{|MYjveLZEIlqRC8$NQoxLVIY)=I z;Tl~ub%Qy1r^lzAs4~34WUt&KMD;R0@vq>!84)}J4YUYA5E|A@7Yz~_1qHk3D0ZE*Ec+y_6$uoo~5EM~H`q=Wmrj2Xr8R=AkeneF~UtDsqEfY%K({ zkUu;~SWj_}rw$ zb#5do^EzIqB}GnGn;Wy=$c-D)c2DTL<@ILudVhjYsO%5I*T7^r98+g9bt;tNeA55# z6G31q{f5uu3_j#sWY@M-Rq{SgA8{-phI` zBmXB^oaMIN?c3PM>|Yu2J$Q#oJJaF?h>3|o#KS|FuJ&qm$9D%kh9Gyu*CgGJ{djo) zUPcijBI2|TG6vKhVcPb=fzk0#v~L2~TSs#Ej|CckJ7Qj1_8Hp`xfXV&Yz_IB=fgcE zq#&r7}QCOLrJR)Wu1-^~>dc=zt?YJWHm>g^#Z33t=`&;bVG1WEo^ zmXixheN!<7H#=pCY>|YYLPMf1jv-v#aOsv%Y4_CcK484|F^ciKFK8Ip@(cLpXH*xI zGn`(|SGup~?P8@JYygP`rTFCR6*(pD2~4%C2K2RyEEiY?aJ~jhU*6f054aBA=>~imv?zQ51i^dnGO#E-o?l+%V5x3|IAWJqXN8e} zI_}p}TBFtiYqmaYPUfPY)r9N=W!y;OYc%<2D(v(q~RE(HZ;81oSZ4UuU*^ zfbs+CvQ=5KlrpeX#2)c3i~(X{-9YxoBa+k!Vquv3@>0asfB9v)RzSp8MDeXVV~R@;Vsbhc}7%NFUFaJ?T3t>ArSp z*SOzJhg#0jDtrs6qGd|UYa9y1?fPaNBoXCq;=h&~n@q2<337Shr`THF6j!w(1kllw zV~Irk+`&f>xMG56nh?XJ(8+&Wy-NM~wgEsOf0jnwPJb|mMpXoeE5MY^`t|K0Da;8V zkFEHVO5s|X>>L^o5~HFT4rxp4sz#}I=o-2!d%0Pw8yhEXK&cS%32FXIa@()#@@((X zki$wJaL#VGn<$4?Xlnnsk7=*K3t;8xVtDRHe%H$WbOYv%+1G0)A@ERy8ThV?(sumL zJSGFJF4aT6A`kBZ+}#2X$W3Db_f}5vd=izmR!}(%6{3Ghn*ZREQjio> zK08L=^qeFm-Ip{~Dq7N%L$%-EI4jspXZEzEe_9QdOi4!4S?j%TD2k1+vMSrFv%|V7 zuk*>lj$`-ZEHM2wkE8DfyX0QzO)@sydO{7vJ(F#bTxQO>6gjj5_E zHsb}km%FZxuF?1*TfE(?gK1n$H_nu+OID);Kg`Aw=-A}CXa2I2_zo#liXi`{t$#cc z3Pcm2X#>pkyEm+w$QEv>jCx*jT1vu->dIzH^PjM0e%xvV&!0WQLG{caQg4R5`iPNW zK3+eJAuEToLh@;lBAE&G2E!XUxv%DCX)=n7JA*RJ#lz{9tQ>rM4X`LS)1w#HZIgU%5c(2 z%O&ABwA^EHu%udD9y@2wyZ49iJ#xM(9Z;ac0L@!tF@pu=$r9q2J9+I?AXvP;y|+K% zMZzV?8nfEX`nfzwzGb>oqw)YT}#xYxpbw*0MA#Dh@3!g2DKOhBuryUw_vWbrW#qPlw9Qg&v{aiqRK#_>lux`^Ch(VB zADqnGQF0>5OA>6EfnAxQPLb-da$5+SQ?)T~kSAA(P4ybvWOvzbBOP>!U9%JLUU?m; zd4`}1H8bDm9L*#cZH}80taF~32Wh})JlrAI9*jGmro4e?t`p&?(K4yHAw?Y+(ym)k z^h@(Q{;scN8d5Ehs&3wMmyW79w?57GHgnJr=$yX=WlxeMTASaON@#=x8IM_@L4=%k z`=Ph}->e|M{-^?DLPpxf&a!o^GCm*Nc?7#{1Zr@(*Zs25(@hL{tn)aAdKtR2@leqf zj@agthh)iaw1&R4p6E*#VALpe$QU0okEd+0ns$onNTaX3y*2|*_BbV9&11>RB4J|K z*w{Row*9$(`K3);4HKQm;-4D)G|<2@@b+}t3?QfMWXIN0$3j(F8Pt^(4XM)tZjr<; z8#^T>;gm=&=#9>Zieqj{VF^{lh4xcz3DJJoe%kf-APi}Q?e5l{_QOy__8QKJN*Y)g z{8B5gNGU+N37mZ#t>1r+do8%aOJ#IACGsXM6z(rDUNq~7Rg~BokytLfAqOErwkmMU9lFRKNjF%{2xj#_@p zVzO5&^tNv$Cx(c~Yvqp|qLn)tDC@ec8FEM{?m8`PXYEzkzjX;791b_#ug;I)PZ#@k zcC)3fodf-b`dmULX%DpeY~(8X zb-`18)t&pN%1trof+Ln{zP%46s$p&Ty9SX-A(~S(DgO>*aoU!2m2tBErDA8SSTUJw z&NX&cvOKo_o+uDCWk8Gy{nk|%aYNFZ17mpcL_siBpd@@y+P7ke4AVk8mYzopg5Fx) zUn0L5NqbivcsxJzBM!Uuz3f&`mcJ%-WP}x@R7#D@BnpoRGJB}`&MuGf?fF5SFk31; zn-gw+ktF8cp5Z9IJ++pw3>JsAV)u2dKzk&4rM6v?=|h!O$GtIA?BV=n`oml^k_E9o1T-9VG2Uwe*5j60@*$uZJJXT%0v z0DvF>iCVi|mD11;tOb%5+g1WkYFgSL1Pj#KcUA;jHzK~Qy$Ml_rDd4wb$U7{cXMBjX+ zSjMG`%2c=FCj=NG{PiYWx!HzuT}g4Vk7vYs&zrT8n=0Zzy>GiZGBVQb_^eCon?zg@ z60^@ogVEE|3rAvs!;pPAJY{Pez7%f zy<=+3-9Yo`9g$wWJCrsHz}BN_e!fHwDOY*jeq7NI237UlE5e70=y;zVTtyu?1ry3^ zC41a=km7lr_IaMbFUf~GU`P&;2e=+rH_lIo&Z%k@=8*{R-|pkqS2bmE zv4W!$alv9lJkOU~{f2j~$ioTVCwJvSiCeo5la!<+*rj5$Cz93%0JI>fCqK$?KTE8T z!09R}Sh=H(Cw9xWtNOUtr^gro6G(l2T$;y7I1#(< z^jruWax(hkVJ5GJ*!)c#rKe|N(3`8YN0Gz8QLtygRT#VG)x-L}`Gi3?QW}(C-vvQj zuMVfx`gx)A_3@S-$#7mA_?D&Bc)OCUy?Q@i$DvOV?zg4QW78V|KIQF#vhRMZrId}D zO^tgqttn&l{&J5!DqE6>1d2DbV4>2cTG-y0T~Ct1WlPm(H;{Cst$mr~LC%<0An~!% z;fzFsZ#aB-aNu~woag8a0I`SXzbw(Wh~n^iUaAw}`FhgV7I9P+Qs_yHFCvtv?Beeo zO+X%+Bvo=~$3A^rFP1Rjugd{n2rSxiImu3{iSD}T)qI($(snz-I2KUc-czR7mxm{I z7I8-6Yvau$*i&Bkp5~WOj&c#~yZ#vG3~uIW^0cjyCtWC1W;V)rdrpL}@^lB}SMJRh zT8eW#Y~)9GzTfQxkPLW>c3CIl@V4msO-xK&M9DguOOP>V_B$Xj!>o301OKc%4fe-| zL|%PG+6x)#A5Z6UZT!CMG#49fi9wsP)zEgcvpi_gI%3kHfG^WlNlq&uaN1UHYnH>B zYG+<@?rqJ)6sM&n#g&lHLPT{a^y>fGboZ3}aK?L2xp-LD>9RT`#@X`bloFO#Asqnh zzqH@Kju9;^kUHy_H#5hSa$Q}!W6K&|u0HJQ^bDw239Cuy*>)sc1kJOO`&ZCZm6R@K ztv)#I^n<`4rJ!u9Eol@Xc!;pZ^fWD!2mNA4WNI#QCroMG&+&NOC#LjFhphydmorjC z8X4;M8fjid@&`-!4;5N8*}}wI7+Uu0O^YQ$_n^neV~ZYgGi1HK4D)n(|J{ljMR?l} zZ9%BmxZN!rYWgEF5&eD{IaD~2(V;(qegsRAh+|;i^t(>Q9HTRtyJb{G8`n)cAD@E; zSY6XvUvNiJW`^S6rDC+8$J5@zR2_7wFK9By1Pu!G?P|Z7Xkli6wf`P6Xn{QlY3=#p z3qm)XHGEY0^gqaT;s48@*`FRVH4f`AV}dWRpcjwX`MvVRp>9x=@?CG&@6Yp96!?B9 z56WXtgc}POt1HjzG0{BwrQsVyF{l|MCvKJVpRGe{N6-E2qEk+h3GKfIE30aQH%Gf? zOko#?NxG1y_&u#V@@4zt^fvdmO`G1!v6R%IZ1;<^Y~kAMiu1y~P6pf#>j}TD*GD5F zBu6uVupYrQD^B-Q&P(tH;^9A|Ar@b$H5ptl(=fID&O@3@%l6d_lQxzUv70ozaMKTM zJeky>NIetN`15z)LS1F|VG`xP5;XpYoIaN7?BsBbIC-3N8q<>EeAhL7W z6;Xy03DgCUM7KYjLd0hn4AJ(jiMI9R|1u0UN$&6G`t4ZY zy?L~$wF#)mKz@QwN0#Q^*CUl}bdd1Z{dk!|>CFG!VG%(={D-32TBLh7uCg23CrH`! zf5hZnl?_y%!?E4;FlE#voSoWmhaKsP*ok~5a`TF5$}_TNil~hgn9`+&Yr&f@q`Sv9 zRpn`ahr{q}ue0q#8^nLj4bCn46_}QrS;o>wq@>X@M)n=lo`j7Sz$Pnzjg~8jL>Z11 z^bU@P^ow7>EyS(%$G3TPczqzpdcqU?QLrgO2#NLV-~cWt(Bhr?*tB$PFml|gBg)Fk z-i~^;DJ~s5a#xQ;@n{*Wseszl7Q6LkQd~T0Nhz_) zgx>qM6Y2|AuMLk+`BBmK8U!2notoTV?Sr+*c$|$c3mU=ZhKkJogMZF>JeKic<@nYU zljP<|TvlEyK3;>bxHb1C=6l#tar~i5Uh}%@txs-wXC03%(pK*_JSBRo{Kl|f>ajL_jHkU+{zGs8tQ{5a|j$QRbw(z6B=F*5;p za1X&GDkY`az;2Jj_XZhH2K$e76%)?ap$@!jel?R<|?@N#BYUDrDa>!`s8^4)~o81X2<<080_tNcN z5B<5Rb#JCb>pMxnbIW_*A71cMG>kQ`9i$1HzTfl5?X9#MJAfjwv$KOIs(%$5rUc#Q z=jV6+{CWJ2pc?Epq-|cgas|7s-W8j?EbL%Vsr|a|Pk%A9vr}T1rSWUfI0do2xEtNI(4b>7&O^4)vfm z=G(0PaQ)ITR{QE}o2*4rHOX%Hf^{o$e*fRlNw#t%wrrsN1F8Nf#VyoW57rY zeRJWeKX;v4JN=o_W_Nv~c_WvtT3zw$_KnYQni{7s`QKD$w%)%1&d$!@=XG#!z%fEY z4NqLXlK{R-@a}5+fNa;VUyrI|V8iczuhN{D_`G)0As(vCloS$`>~32f8lLcorGiAI zGkMqF99#dPL+PjLt2$y-YC&b2g6-mNOIH?MIB+u0V$F*yw;p|H*h>r79bp@DX`15~ z3c9OERF}a+2C0(rn@I~?+LF$mER?HTDX8VkHtLuu&C=YGO=@D$uR6a?$8Y{@{N`>g zq8sm0M;(H0wAXPS2I*ACv596ql>lOF3wFTG2sh;QtFSQW-1bji+7YdBK>jiRwrzSk zpn>lT4-bF(>8C;R1V{d`VZ$&Q93P0Wef{;<`W;zbmv;7Ik=e)@(|k-jdX|?bgjv}C)mLsw;cP>WN$89MdFGJM~_4mh`pz*Sw7V`_4v7J&sj@HI%y;R?S_03yfpDi(U8e&Chs$~yZ z{PbJ@^9_G`@{{SK_nzJ{&sLNj`0KlW#xYFjvJ#Qg>eVAEkG%71s{71Ip2k#?tgT4u zy!eS1URvx)*KN{O=RR2af%n|0cH_N|pqoCr7^wSA^#7r>b{Yu11^5A1Hb z4Ryy>H&JR4imjLO?3dgBtF$`)HR1aLMALvG@p?(C^6n+Y2E-MZhbByz&`EZT8f>uJ z;K}J1`92_nBFH*v(j>(Az_b27+5?{d|h z-c}lSIwZMWMzipmykPcV9!XK19Ff*;He&2B8!?IQw>^d6RtjNcp?k59~XSD{j393a<5&B7A^Yu z=b!JjS>I#x#kLDhBUBu{$L4+SOc#Koy?gf(Z}Od<7_cwM2#1;5`$zibn{Ph#)Ki`P z5U9vr9u)fJ_W6Hk;gHuZ&-s5uU45ico$)mwBRf9(kH4~CrrX^?;UYu)k6?-HuH3rY z9-s!;7DyuO?u|ry9VGx;4ce2C3;HNhCKmyP`749H14hh4EQE@cPay{J#1N=t_+c`N+`Ag_Na;JkVr5ikl6}E ziGhTns*n5Xku`O3w(+?EdBN6jT~$%+ZR7+2WdaT=30 z7F@X)omYR=&n$1u4m`Z`ufKMkj>+pF{_f2sEC@TfYv=L!@@qY*+jGK>{k`W@VrBO@ zMBs|VOK1qU0ioD>^MHwa4I%-M`hyasuCC4?y_1v`2}u=IW)5UgZdkhJdrzfMVI=-1 z_m!;q%FvI$KVPqlfuybv`RVihqPeF-{&~i# z;QJpgw~6xB-`o>h1vM+o4BGSF zkN*T7-SN(M2g9p%E?_|-B_$=Hc9J2O^9aS(-RDZQ?+XdQ?GD{$kdagk(Gl5gqhEOU zS>K$HvsX}xhC!u}L4*7sx*{?eR94qM!QapV#Et6q+BSY+#I7xyxBc5X`m1k0STn?; z{L&vUKK#G$PNp}vOA0Uj@#2<6DlZo*1hBc*EZQ}_CNH_T z>;30}bJkujZ2jhZd8co)EkEpHiHnQ1Ih|)?M3-cymx!7(V{()(GggoEFel5kynMa9 zv=Rn&Sugt`Zoi8Jkf{yM0i*%+PD-lsl(;yhx5r@8Fg7eJLAae);NXaF@bo{y+5F1rKfc*3od5N)Q|~yYUD$OzyDJa< z)lp!@!ZCv!%FZO8u7}sT1)objS3k7u*}ds}5!c9wLbmZ;{MrZ4&1gCLZ-LG7eZT*) zXZIURM|l}h8SMI~zqTv|fB&+1>we92SpDckZJIZjj5t6nOq&Bi4wNzvmwCMJF!Vd}Ij2kzucapjN-8x9e5W^CE z5)!zp1VGjRN-bm%>swCZoQUv*2D>?9T{s?d)(#<;B*a#>sczv-2fHlxj;mH&$A45P zXGJ1$$3DC5;OjkcAPr=I+6+xFwB?PLj8@a2vB|`BZNs znSg2MH+9j&V_8h(R=_lX& z@!7%oXa78rDA064HFXP^1L~A(*OJMDEw1HR%S2)Yna<_G%2z6sGJHdYBAJw8YQmwD zG_;sfkwU5|@utHCD;9VA`ugh7w%bhz#nx?+5jXUK1hC=4XuGkrm3{`5)TAZG71t;I z@aW|6Qy<-xm=YG7l8I7hbQ+b$xbBi;S-RM<8DzOi%PtFwJvlZB3JKq2rNxZL-uXKy zJm{C_M=|PiqVnot@v&)4{FP~HV?mQjWgV^{rC2EBi)C`2=cG0NTZik>7dOr_NxKrB zS+7M`Qmu#0dgOo4Ub{B0TQ<_FlkCCR%H5U$_5`Rnm5RyX+S_Ouxb#}Q2D>d1v+IeFCu06ZgTehAlk<)}#c}X#vUUQ?v@&yi~Cl7VfGVp?U@D`xHC>%34#kTeJHc+>2-Kwv?h<*qO=t}~4=`%Ak!TPUPD6q0RB|Nyq zal^}-#(P?!XKOcWc}8@a(#nG>s?3W$cls1!Atz6!${a>5UbSc}xANe(+xH#~%wtS> z`OD4I?71W(2Y(N4#j)K#@B8O$f^zn&?{8TWpe#vEZ{m%eH^<+C?KE_lU0vv>U;Z9d zVzKJA=V#fAiV|Z}VlHYrK6SCsZo#(q9~)<_3r|18-q+W<{M^UipAZdO_r>$`>{wbP zi=ZSSz0G;z;>kX`Y#(=j9FdWc0PMkNBXpa--rL*u1Fe>qmzS8BxNO<79*CMBzwg!W z@@IdsbMI#_KKAJ2tH-dy4xP&5xm%Zo1?4GRoUO#QU|OwemY6wnTk(3-F-*uU1tAx% zQ3*HC?sU>F*&SA*fEgc=2LwYk~w>C#eyQ`d9+^rsBv2 zvj4GT$M)IK*UM*IKSpXkyY*0c`%gP3+Hubf)4tkqPY$OVmye+Y@c#Sn4;nP+kw+fs z!ES4)Io+rIBHsgK)2O41V?;NXs4ffsVe7xjM?ZXirgKNep(ywFkAF-tA2*GhckW_B z?bZBx?x0yOfA-eI=8(TW-*KX(S!Fiy;ny}kGS;!<6r~nd#-04?kHgs&?Z#u4zr1Pv zWLFNUF7(w^TY{Oh*NzfIC8%b-_2zT4JQ+Gn4t|e=pM0@9Sv7t08!xO5Kr$52J3u&@vn;emQRc<>1C zc}Ko@{`GIeYLK<2y_A)g|JwBUD?3xPWW+T=pFIEKkAXE>PNJ5qecN6@41E3*Z~bwp zKu6AnI|x%&7XJ5h%N9QT#^1?xR|%loi$Xr%xNPC$AD&2S)bAqzn-O*vfJgM(?St7H z*dP-U65tJkU-$Cm%R`0?*|cdBypHd^_g-gE4g4CY5nowZ`G8lkfvW%!$Mx&i8}wBn z6q`ZCOLX3I2_V4*7F$6CWb)Lx25q;GaK#3Mtm;GF3y`6!SX~^{Uo_ z*uw`;$6w_;=I35KempWyjI6ebu+QHA=%jG)#us0F@nN6RKeoRAOK80kWFaa9SCqh8 zi$vO5$GTkgF$cc=^OD)($0mu+fA{x^QmD3uxWB*pwZv!18qd0afBiAIQZFEX&0K(H ziH(glH#bKXk}h*2+T2zG$g_muyL0DGRLlJ0i!X3Wc=gp+Uw{4eH{N(-&YU^7QXs-^ zL-JO5c=&@X&zP7PWT}oAF~XoiAQYQH#Y=SFa|r-L2u`2o=4Qi&*&5O>L>aAIxn@*N z%;iiFolt3PBNJnz8?#@jOpd9k@ioXZmB}+P<#8Fx_N3Ftj>bA|e(kyC9VXkekNL#! z4~)&P%{uY%;q*r3<0irN;@RUQ#_L)7VW=L;Q{ zJo)hBa~)GcqZ7*8s**133XzXqvi|Y46D387r(^XNp24XZgd;>L*Pgwd&}VQn9yxLZ zIt+m#=r({LzWL@GXf+gWb#!#RQ@5xxIAOvB*mkh+dN%aEei!Ih;HpLF5h)M`T??Vu z3@Tot^Il0{%$PASEPBI5)Yb5+vWUP?`jC|`KRv~(WXH}383{xRUES2d?jUU|i;7H9 zc#a6TMz!cPcC2T6T4a0)zo|SiDY@2q(U*I_oyEVfZR@^lVTZA$Y>Pkf&C}Pf%^x3$ zZ`QgzrOhQ3Z6uyEXdt9iCb_J(wx+4PqN;`B>}Wz#lWDYOenaV1&XO+Y*JXp8oE!lC zU0q$fY)LfelmN_J90;&4Lx+L2de5Fc&{BY{1K_u0$r1QqrU9-|j*yna2ke5?mo>kCw=m1?!7q5%AaDmA&I{-**dN`QxwHJ(F| zX{qu5dJ+VT1~8TZ6{)BG?(=ToHaK_g+_&F;i|mN6zy2Ej+wZ>n4ry2qKm0IW(Qc7# zqWx1(J+*J&zQ#s92Tce5Ge}QQ$HIZ8>4Qp1gkl@`@56&TLxkDDvsYSLY9JBF3Suv0 z)d>nC|NiZ_3)!{g>ZtRH)nvv^^s*Ehlfj{?uU4NS(P&hqSfZdCI}P%*6=hboc1}** zRHR@uC)&rCz#$gzulgsG{>EVP|5?WMuFm^UOO*7^see2YcGd%WJgNf!k`T z*el{pO@YiBQ;4gv_O z*`!B5?qKcGUPr%uaMB zrXX&-aANnNOAQ>FOe|K&)pieU`QP%f4w-*HJL|2;X&W9NB~A&7rp|fotryn>@VW}! zNvfiD|NQIuVijFX@_+2*msgH4mR1J;@!5`;W{OZ|F=5p!FRb6^T6Za z_-yC^e%DhOU@gE80?I*9gaFeD2O}H?urP)W9jfXr;`0A^#nwpxv{PIgZ&qh)m8Jekb8alz>Mr#QP zN|QrVs~IMyl&XT_w)PIBKAB@Pe#z=lR%Cw0#S2$*YSawp@e5~;zFyr*TofJ>npz^F z*^inxcY-@;9K`XzzOeXt+CsIqo2{ztNx>!9+^&adFY9=i$4dEZuU~qGDgOhgJv}qtIg=uBD z)!;!O*oJhjM<0FEaH9;6o?m$31;i=;_~Q@5iIh-m1LRo~)6i7{VPRo7?%^DL7a+B+ zef32yLRn@_Z1*#!;MUEMH)`FM9&710KWFXRR;$L z8>Dyrcgpl%yDj?C-y3|p27l{+@VoX{UtbU2LPXadWUU5XtE#Fh!1Mvx2N>9RggzS%wc!z)M41kQYPDOJL&Vtn>=6?K z^;`mo;s5*Zzkz{)1XD)Og-9ad?EJ$IKLEC%*D80tnNi=}3;PWE3<-Qlb%6Dcv@;+< zz)cKIW;p*?m&-#`4MhwI}885tP>ltRu(-Rw!trtVCau-LjIEu!tf zkN_%7Ba)BGgnc<3SzA?lN=$fY2oTbc4$pA2tot+Iy zK4@AE8DsEfBl8T*AaIBQtnt%NKmGmp-%mdIB$7$`QLEvRfp!ZMLs?xgav{{$|LZWk8q~;!GdGD@#=d#@Qp~=pQyh9HM%>VSF zdis-dooV?$KD=pr5@+$sk=k;K6k*Qcou>;-M@+CR`Rk8MVpnfB6J3fs>S58Tsi`2# zKn|9rMk;|wn!V?Q>s{w*V1Ogs7OdL2aUAlyP z`THi=2I0fSDi(_o)9rD>U$@?^n<{7%d2HR19?`geBmiFxXm}7Gii?Zuvl%FrB#z0f zw{``C11b31HLd4%{1jM8l9%m#_lI-MLqEQVj{|>RHAqlYDzF$j*N0M5m{}uJ*A`_b znwhhhg~`QIlBhOUVl!lzbKRxm$AW`SAKJYmL>Mr8&NyD>p>N-M>-%rM{N{9alc=rW z@{gZ={^Rb*YJ(lwVXk3wx3RH7N>-lRc>yn?W_gk-T4t2Wo<=Sg(9+zyXwmJSDxDV&86ao+UyITJ-_9X zzycB2NysXxK+upI{Q1^B;rS)0XAb=O!|96NRld2?qQPbaMEkq%zT0c54BQT&DiB13 zS+;%qc6hDfyGGFkARd9LH)!dv?n(scm4E*E2Pl8!EW;OyR~n$-4I4HfUlS2fn3&z| za6q+3QJ$AxdI`2NY&BTM{WUj2vGteaiE+Cp0RZ{%3cr%$KKOy2`kYK_5mGiw^S|Y>4LbItR)}#C#jTvl; z(eOv!dHsW@N0~9{MsA~4KQzO0$gB--E}}*rJlrt)m)$#dzU`ZN?61RFT7DCKUf63s z`sgE^3_yIW&wd9?U*J-K&PFW=VE5s*UcGuXQl{{Up=+abR{$_&pnBm>2bm6VD~PUv ze6rIsj6wm>!7$*E18|SV+W@--T?>GwSOQqbx+@<&wkH&u9)(GCWmpN|+zs6ZcMZZt zh8?M+cPfo47heAI$(gfe&073U$e@Q`cz&h}L$0AFCfyAAAlv&5agn!X1&2phIgA@M zYPh>2JL%GeutpmPUtdQaNky_6H3$WRW$jWmiA++;gd&MjAr;i+CxxXnWc;>j&g_{l z{1#nSS5Q!=_ocxy0HyL1PduUbp8K~$u+m@yMJ+VY6C;oVx+ZwQKvIn7)xVICTg3ub zFq9dJ!h>v^ZNYr&%u7uzvAug=!g^|gbBu$KmYs_n33+@ zuHW{AV$-iYiQWt=fu&2A`uh4FJa`asX~T{L&PJ7r${0Lv{mXB^{r0wRzWe-{Wgcv@ z)u7>Sba8csmLC$0P)$@UhpMx1^<`xpKKM_*o3HO6+d*T!&0EjxzmQCF9Av|$C{$`D zmvLiWn_8t*DAiQ9sinOI$8E;bZ*SfD)o+In{r1`nJ$r9(eE#|8pOLC{e{lK3l&Pz$ zJAeK>QqZ8u5Xb>b(+3}XfM*1)FaT5dQ7re&nKP)Yjes9!2)zq@zlVp%o!(xMp1_QQ z-x$@mu~I|7<0+|MFLPjbaXU|h8TKy zrA#7`nfpziKXc~HDWiR?I(UqkeoJ3lZytaC-_r>wHko$(+xK31>4)eBMBxTc9d9XU zZy!8;q!)u~?Br@~CE|;XTqgLNkQFGUEfh&LHQ7`OiAXGwsi+(yRz*QpN}J=fDfVnG z&)Sw%8uib<)5S79gCr5^fY%GTM1~fZLHkD*8r)of#ln<9sP*vS!_%iv2ZJcGmtcwF ztTRARjyDPch)397@G*c54m62>{q+}qzl%!iycb#92or+e3wg~5j^co2P}%mfb5tro zTo4n+*90dF6BCnOcBq%z3An#r#7K0~cM<@w4Z9d(6~IX%pklazMKw8zMYM7ACi+=3 zua81zdyE<7Dopw5}Lr#A}(T?@jNROW>abv$p01=B!HeEl;c>%1m4YJqBMr|D2|`!0Q|RV1>;Yl7a? zsKeG(1pu9Ar)dbx$eJ~4CQqJxFM=Tf9YiD*7w8<3T*zbDP(fxZf5DIW-LH7greh;k@9$sV!R##W!7u4Z{6Axjh ze&08{6dh;~XLHYwT2n-!4285Kr z#)N5uBN(;N`ksxcyUPR11|q)jI%4L-hYx@5x#tXFR>E?Hg@mf7&d$ytYlbJb?|D<9 zyn#u^u>+VLU^}6_;Wg>5xD3>uP;3SgEYWRWO8|fZ5KLeKhgK4bP$mO@EsQ0 zJyCQGS!mD-u+M<0L-Z8zeGpm>oE`Hn4-ODb%pCd#*#*$SAfGgJCU|&wIL<2|7siON zWpU}tF1-8uSz20(q;eEIf@c-*TKGlb7VgVey34T$#nz>Whz9qJ1aO2z-VXvBfSe7S z@I(KK50FtDrC~P!;@`hBx%=pqm6bs4!=nXd0A6MgU%`WSuN+XI(%>5eB|j7lj3;EF zxVyXejkJ*^hPNFC6QW!o4}&KV{z(JnjRElp4iPL9tS-pz2Tuy(d@vdNW~JR4g-~qW z5*N{Upi2PzBVyM90RaFU-+8X>vA=$}?O;5g0(^#=Ycp-ryIYnHvjkQ{)l?XAYR^}D z!(?=a=}&BaV*W%o3p!cRl6HRY$G@Iz;2VuvyZM>5^E}OIdIfgqELBxg?5^)V-yOvn z{q(!@A-^w_vd8jeQ~X2wM$d7$ijuKqnrIY;f%ZN(WCV zur0u~Bl8SQiNJ;oK)n8K9}@DWVKyNh4UR_?B8OfvkW#tr=m_J%5JxEhVC&(q1$G10 z8z43~;la#>;z0#)gyMh}22)RWUjVEKZdD}DL1n^31F$nUHx~yEbPH4`OtgWP_I{&? z2*sxJo{G~ujHZ6cE2-Slb)jzH#Ia% z)m(EMQx=&d6AQ!&nh}phRmlVb35m|2D&-0aS&5Wwrl}Q=CTgi~5s`Tomd31(gi(2W zT|+yWWoBj0)=f={vyS| z0UZf;xDd?Wx^*jPXR-GUG(Ca20m%Z&EBNk^b_P5MnC!vG+&7*yy}ZakvcP-+IRJDC z-ZUr@pz!t5vyPp>XA5dHWTqo0z4I0%fFmCO8VI@#+6V=D8X6j)jZoMV8V+s@NEpf( zE<`Op6Q4kVf!_ch;-SDM!#{DzfK7&6eo$+|7=;eQ1(zeP!Gi~*A021dkIe|h){nv` zhBlN0;1`0620V4h-o4!z&1YU&^IX>SyJ$*N!w%t0^pw5r;t%SA^~V>GOS|%@WUfPrdNk z|R8LNh891T`Gdz;W`W1e_!WYxEy?Z~dCxD8qU%!f~o zw`n}{<>z0VPBpTf^W4^FmW+1L5|OAR%_Vu|ZJOMHj*Dh&=jvi--0??o{x6%K{-y1y z=u=M=ZF}sM2-}YjZ!pioT9x?u3h$BY{wb+A|Jn?vd0(W;)y-GFn&~<8`Eylh zi7aMh0VDGP8`(g3lt8GXP&NFG(6#W3f*b@8R^Z^Z+pB>tC{d)MBlraMqpu;LDgXk+ zDiBEf_~VbEn|t3gY$KF8MgBDmKDhYy?%j)--@RK{V~`;-({X&!aRLxEG(FM*p_QS@ zP(2(c3=}nd;e{8F*NCGA;&V`e_$w|ng8`>w&jYg=o)JP4opU27wR(0wAnqD)5`eh| zEVSAHiSo^*8$~2G4nPp|_c&T?&`rEnY#Y*OI4Sd+Cwkt~_bV z;g2?~dgjwht%8CJ7Yf>Kr%WAWX5lk&!tnZ27ZV#4R3@V%L7PmWGZ=I#mBM6Mj2tn{ zj@gu+Qdn*@eUcyBc=+>w{q)wumTAY%6f|W9@7r@GE+IN4>O%5W8bB(~aqNPJfIhf- z&7a_H&AKXFjdCNAH3MkAuqw^58$UWGtj0$gEx~4UN=u91fA2k<=&|#{2P?=@kym>H9x*PTB)>`0mL)t!SQo~sXtZcXLy|po3Ay}CRSR!@{ zv4a5wM~B{HTcAb2JB276^clRG0D=PM1C0fhbEgSobld_hh3Bg~wFg9vd;r+5Fud4o zHjFSheqpZRCwTYa4TgyZ`wV}@g=SjQ?Y_D0tHswtdOZBq{!<74d}aN@Va~FcqbFmE z_;Q6pO{H~wVJK7@Ng@zS6)F;iMg^V&pHs-tHDn6e+|k^aq(t;hqC|OsYg3?6fI@yU!CVg3i9@N(XdT_=IBXuEdD;16l&G3;>;g z*JabDO$akwo2y!(P%?%-`t{-c`}Xbp=A)JV=FmCp5syDHUYdI$IJ2W!d(6R|-|mVR zkXj@E`1FnKXPM*Ie6#D%jg!384wg1{-c!cd(`wR+B?x^LXQVMFPai$Vf+^xR3S}gD zLses=K%?7WV!{7IqB;%pbu?>EDd5AlO4B}8oHxRfVY+T;PIoKs_L5C6jB8( zqr58n$o9#Tr+>U{n<1iBx;$xwaBz%3c~6`tpwEz1ja?g>0C`=y+^(-Sc-Al$pOpdOEs8C2WbXy|uaNKh_!7 zYw5?YETDydwh)lYRU3bcs-PJeQAq$gstQkivSRMC6)QHJrcQnToee{+t*1S;Vddbw zw^u*3Xz>pX6P|u^#k83V=Z$7uc;?ZUf4Ep66f0zMxlGa#uTYb?{)?X5G%4rD$Coc( z_}=bhsgcL5XJ6T16}Ec8!uhK{y;3frz@^ybMYEdr=*HE?!H>;fv^~pi`7_Hs7-X9% zPrflnvGd^-Yv0_@w_yLW_2pMye}l=;b4`FQr-qmf>aZZ0TI;C;o&ugae1b?J9A91D zuEz#2fZ%_IBNy4qFoOV}L9P`dNdWi(F01=Mh|B?P2z3bT33LqXB*5HtzrD+rz#PI` zjw+*2oQT`u1lEb3N7?{5Vvy2z@#4iB`+=bb3IY_Q2H|Iy{SystlmJ13OuP^Grvv~A z!zP8D0#2TF>sGgxm#@t?@?d>B35Zend37?;n|-R&e`n z2)MsN#Y=QPfFuBH9!UIf^d2{E{M+w;=wNfz`!|55*v+Y6Mc5p_`ue-6(dhKVRPs+P+mnwMuPno1`V>3Va)(~-Y>OOV4}fshZr8BXh+ zA@nPdiZFQrwTG6)PK`WkEwz6SAKUG2BNUq+g-LWpNTA;&fOs1qZP@kZ%$WmU)4<8` z>aIkvk0TC;Jss{WoVfdd1_HJPwp#4_*ocw*i!#ticmlN&?4<5azW4353B}N_fLTJj z11STnJYrw~UIJ1G_3-Vt-(spb$^empJTnkYLFeIEbE|2BP*!4MB4Sq&5fQ6at%4N= zJ#9ExAVPhJ_@c%%{0E(t7T|mQdCJ5X)Hu?=4HXv?f&^aS=1|tRr5PktX1U@~O6nZD}&}9kO^kI!5 zFAe)IU>7jXpwz+B;o;!{%NuwK=p;Dnpvi#yf%OA_9ZWiyXaHqsRWCwrQ2)?&TBQX6 zBDoD06b#~mFroB?;J?dqAW3jsK>@?WMEO9tMln^a0^lY@&R|v|mF*^A2+U157(fx% z{km()){?lRd-ohV6;aApvyE7EDp{?POWH*wBhPV5S3mj4vPoX%zy17^sTmPt)8!o` z+7J@BcO-xv6Q&zBZcslU;L$I;wvN&Ql3rV*1m|n8MHr4&5zG{@V~}v;AjG)5cz7DKa5K_Kecm)EApn|=I|&Ww;eNtx4GLxD_i0Z ze*4+6%Hc~_EgCb(+T55;r>GR-*4nDVl(1vLxi)h*zy0{QgTMZ4WNJo$N4hOu;s!zj z178AA7$8aqauNs#>^=Y;45&F{?*?rLVlA*jfTja9&JfcBAP{OEJ3at-*l=OlELgAr z<}7|U#Bkl}Qwxa4EkHKHO$U_#gB3;_Jb2jsL8c6~2TvUcw1A<%iw;IhKqVnbm`AYE zK)uneY4o@;qGfQL!cc>UVC2Y=a7BWY3;)FY5hcY!V0R+tTI+$-UU2^+!yVv}9@ZOD z)SUnGr{5wPT&;&JdV2kAf8+m5UM*;Dk#kJV{{EG)*n0d_i5m$C40s73q!0CmU>m!0C;AN*q&QYeK_ey)YcH*qfw{)CCxzu$5u4^)QO5ZVdf% z`?iA#4C9fHzxmSYiS`tLM^L8ezt;l-9wGAB`s{5d1{#PGKpl_Uze)$fm+5z?37d1Iz^?Jpj~<>bMM{JG*??I8UJB7pSYD zWkH1ns}Z$q0KNySAv~h6w15*qNDO{RXfIe=h&;jMf--|j#t~%a&YeKaLvtYBcGFmh zq9J7)i-jeJ7@;8~dH2=9kBaalfHqhKe7tz6z%~M-6V6F^P!TA-=>@|X3w9^`2hiU= zhC!0l_2<5M@3p_9m>g(E7EM!mkVG-^erWTSXBPW)=FC4Jij6=;cKHP)8r;tk05$<< zXSj)=PH?&hlpOoMmJ=PoYoHGdgr9_u5s@wI-3X*%j|SoqTQ;~eaBPPyq6L5J>w=X4 zmm@rK*jr%2C{vb;Vg z;zU>;YsmCTu2E2*)y-A$*0PX>XpCj!U?;83c}l5te{CAW(|)3fdqc0an_puf7V@5-zOz z#xk%#>SEPs*=w|pN`?Y85(SvJwG$&#Y0C1`&#u{4x#*YR&!=mRwg<#wBV3U^eus%0 z?^_ALH3Yi>#trr<__g2)gYO7N05El0kOlsU(2jQNgto&096*e#y9t>LYYebA7-aYa z+66v0?84aW@FCO;VCe8S0_KNZ8#V{@C734kxC_JFgw_P72KIVj_c0a>7hq&C(10qd z4K)t{2rMvUalso5DSl8kK~8#>Y$z>fv=1aPWI{DNY`?hl*nrU^sBP<}u{b}BrCuMmWTYJ^V} zSz>(y;=hv^;qQfUiF&Gt8bbKMZ~~45!x1MQXgHjZ5N7+~haYY{Ti{)SsspeEip>yD zr!nIDSySGw8M$oRj-NM;FxL)2SZsz6HPI&_f%`!M*sZixkFgkpf3V?phT`xceh23T zY&$fAZP!DPo6d5=mI|{H{zm))2nK+Z(4g?3>fAveHH6XvHU!cD%w3Cbgi#Ca3*!eVn%XT?xaRs26Y608<3nmfj&lWvkw zYy^HOU?j#YWU) z>&`1ov?U}k03-lC0q+)QQ^1!99~V%L$YKWQ5p*E~P^)RNj-Zl4!Zjud?FBAGI3Bfv z1RfRk8OZEmZfZZdlU76e8sLS{1W<}d_JW!m2wDvj2KWV93OFNJqF5m8Gvv%+hFB@q zjz}t0-HmSze5%M&zN_biOJ}>R=F9~3caGYZPfMvo*s-;SS(S|rH)Eh7(a5>)C0}MMPFhJAm znXObU7q&Gu@s%tiV^dQuqthe#-`PJP78{}1?xCHHnCgQl0YFg@c0vdZ*b3kyup1&h z88*S47&rP|MlipSDS;?sXZ8X@fUsk*3IO_16cktwWMu1-AP9ITj2i?Fp$h>l1oWog zS9j|K-~DG0C0k-`NmuD9HG(xl|&AHzpRB&Z2cm3Vo*W? zgakAa0I&jeTYzOphA-0HP%{kaZoo$y?2=GXcUuoh- zLIV1f076ahVr}2P9ZCXt1Rx_|vH&8113q-a0MTl&OR#2m8WB|j`xneLpc8>%0VN|g zZYVJP)E4+gK^CMZ!*)S?ZAyEW!h**V%ZGMEC*YTY2!ImpmM zfJ*@Q2ZaY44u+jBPXe@xAAR%@@bQSlL6@T|ST=^pVb^6cI<`TU1uQTms3DxTckkZD z#>P9{2x(|bp<<1?D0m$waHnQaoElPp%@2x!m1r+#YQWnG#b!X^5?vD#xQhgE(uXnw z=?1X-*wBF{K(ZI0@PJ743*CN~4+{Z5s4>LV;3kFM0z?9vHPjExETl*S=?=>hs6`l> z+9YKlT!7}kd$-V(s7C{iVB|7m-v<>Wh_$+$NxwG$tqjyTEL1EL?p7qRA^q-7vqD-r z5RzaE18quQ%pBURL!oJZKA0Dw*dEO1POO@w^{Vy#1=KtIZ1Cg3E@yge*zOS|0|O)=?^xZPIz%iDx&dGc z2n3i(n1DzL==;afgArkzVZu=JIEBD{irS%2Z(vHhZCA*k2Z=MZ8gdnSFjfz4QOP7? zsZ60%NX1gQ@&V0fBNST?-W=kV`#}OYXd~>=nJH}OB>_EwJr1mZ@Lyq12e=Ws4JlAC zZV-Ag^yqr&A8tlqI$*KExWwiTqIw|v(K9p|{t0wD(v4wmA}9zYhn(d*6>bIM5vzuw zh7cWe8-xJ8sFxY`O((FYf%$~@7-3QP7+-kd1@JxI);dBC03wBW;^1!Xo4LVGGaWYL zp-DqsY@DVnn(S-K?W=JI);L5S+rWAr#B2;I0r-oMFN|FnOisY;A^4AaG&pw~&PRm3 z8zaJkfmQ>c02d0sVVmoDJxPNK=&qe3kq&V+m{wZSVdNtN!M||fLd4#XKn?r|oREP2 zBV>pwEzn!A#%}5lJSk|2vI9t6Kzmqq1Jmi+rHql-fC@+2s_XD1-jM z595U@aeJFULgg8eMPez1WopWy-ME|w1Qn?{Z4nnCf%{tm&}V?rV~yB(QJNC)1R&Os zPK&%E_{tC~LU>=l5&%sGpnWh3!6StfG;Hy(b+ov_d-no_9f}zcd@KRKBV!si95ghx zb-+fDb*Eh#9FkfF%g&X;>kBmiYzXoe;KTzfKjd@o%0WAG=r+Vep{j4Y5Fkq6l?8Sb zmimBNDYz@~Ex>teK+UT6lR?fqEaT38dQm}JrI3h)BC%Xe!Rrkaj!G^P3dJ&|nnKf* zCGW>eiTcMPnTkrow6qgZD`c3foJ6Je^VIrLDi7+=NVkHg2+@A9`9ZaSk_rF^C^me8 z`d$Df;;Rl5N-v4eP>_f`p$Zx(qW}y+h7(efacqZf1Cn9@5;=D3rNI2LKp+4C5`n~E za^aMKU!beN`P*rO0#O3}r~M~X8Vpw0G$5A(-UKc2EZ};*7Y#KiV_+ch)5OFCjz?|L zHT-_73?U`J`Vof2fu?`rrgC9TaeiTW4PVaW@z}H*w&Mq+f_Bd>HdRwz(C#nZdi(Q# zBMU`Pl%k@*U%q(n{jc@}X7~4DtTF1y&maDHCcnA!7_KObI`F|~JHv}LGbOJ3UIK{X zfCC;@0#=G(k9O+;NFDG8q|G9zqxDJRuPC&Jus`_bfnx8CSYWTfOhLp&>tO>sq832d zn?48Ny|BiRrUsfv(ED~dJxCh@K>-~^)Bxz$yII`j1oYSdFAPk4pk}~irY%!&m(JmC z1w}QqpdKfndsni?)Ln0_7;Iu>Y|JyZpS1bMpbE+Lcbl--x;o5>>T@$QOBw`Za~EGv z2WCThP;_pyjB0N0HPqXhCU37QDJrRKRsY*d@MRg-84%bvvHA|@s4u?r4Nn0zbY6NPwG5e~^ zSkA92DJ-gN;LB+oV>TVrl?&=i3rZVXn<`6+$^<4uNBCIK+bfHTs~Q@bYD;sgWEPg@ zY_hnuv97jB$}!_Ankw=O%9|x*t_hb8lT*}OR$NqG(FSN>_rJqh!UhCu0KqeG8o^wEsf6F5W1!Cv>Id!))&t^dfFNqQonVE4iV&&9 zV4&|o5;P1x*k>>baUte}xEg)9CC7mP8t%5yBlvg$ z+z0p*E-%?U3L?LG z^YuRx9ha< z4uX6`5Jp+FX>9SSYY?cjhUoqXN%`r`4)SlIDi`R*Ky)AM?hPOXn`<2oy45TVs=w zCa*0{iA%2LizG6ZF}*GpGpVktE-7mwo7)>JbFN&7$ggQ@1ffxxf@jIBOS%x2UMFlR zPERjt=eRgpGXKlErk9<9=tM6P0JssyWTX?p8bj7FV0#FGAX6Bc3}zGdS(Fq3Q!QW) zFy=tthXN>_<02;-;0^!*bfGcqybDNuY<95H&Ye34Z3_M;c=>@8Ktb*MPoLfRjBrGN zNebPD3uVS`It>8+4zwgB4@Vq=YCsG^AbNSk{n!Z(L&((wA_hoEU_Nn9(w32fC~lh7 z<;#}={YS(RC#QazaAWkJdkcM^*}wCx1(T=FUooOBJSdJl{;3(x+W9;nimf+hh+3(n znA_T$s|9t9H6`V(OdC5}8ihhvx7B1^iK@1rw08aamCmi1;Yk%@6#{WImWj*wnM>!6 zv}!7BG#fT|&7x5pVR3Xs?B&Qp&Tt$so|x^a%7}<9YL=oGj%}dRAFuLqSqpv0})QM;9#^Wy2xK)g*acPGn-a z$(V=MET8LZ#gr*Elc31h9+MU=ndjS@5SuUaeti8CD@Pg?#KdK0BqipQ$jp2u&zw5O z-_=N3n~|81Co&s4VcPWZ0ggPns-NCdV%R%L0L~fcFpzY>6hlA@#7>~f#hwcWUU=?IyPcmL=6oJeFjV*Sg)WjaW51qve2N| zI?3#H>F1tqV{L7XEgr798_|HsL57(E+7z&mK*t|AaG=ZV?13x%hJ0ha^+f!kV(oyHPr5IW?^5$D8RYF&4}<4(wJcL z!Q+IpImWuDWTv0VLR>J#w0=kcAQ43aIV3iHNNE*pYK}zM`_!E9X-ijD03f32;J?4ujI^G)>={N2`=8HHwr*r{j3i@exWn z$P^^aKgdkfaiC&YI*meOncKU&`;MKvcHR6j!-tKZv*ziwv%T$^Rq&%ml$wlP^yCw( zW{!1JRc2g1bvd_D+^_E|G5F0A05T0M^02gkb%e%(ZxFZ!Y(RkCp|m4H9jjKYg8K-L zBS0R&+l$CQ%s41K6!${Zq_aC%cnFEz)?uUps5GE6(_ej-B?tzj~qApr+u_ zQL(IT&FD0h+S^_8WSt=Oe7u%crcRBLy4 zGdjx{Q?w=3XP4AAlx2hlMb;bp%$z%WjJGXSSd)A)Ft(BrFlF|fF@wxlRIMH+t^p+h z1n`k?ipUlq8vx)T#R|#{UO{Lw_{yNnutTB7bjO)V<4Z<+Kmgz`y3vP*WMSlJ-xx2! zYl1BsR7uE02B-uE-_uV&4MPyzSLpoK$jX3{NgqrG-y|F+AV#qG!*oNDnd`=q2n#W`+)oqu%KbdNJ-S1r=6n!P69=U z4{>tA8ZB1^rN$V;XJ)2)ZPy@pnK>A zctp}tT2wBx@EYN5-c(snbq*NmM6JxLR9dH(k6z-FmH2JeO6(cjsJvcK1P)paglLpjdb^Eb0@iTg@xHwWZOYQ+|4Ou z7F$-8lU-*$a?(h5vuio@G$S5EURRKmSSVt%$V#%Yi~q2}uBPhx%-H1e7UZxg6?B`S zqel+5R+c0tW|uX{C}g>sWx}LW7$zRR9+n)Ig}o)YK07otI=4n{KX{nGyDg)=G%h+W zHM>M;GHA-o@q>(I#VPU8i5X=guJ8DXBizhs4=$(ZfOv`FKh$PDW0%q9LLpHMl}%ts z0S|(6G$LMz?IW`a4d9#v9t3|yrV+f9Fy@e1g#!_OBBFL9mJj!8_ao>t;3^Sa$NmLc zUJM5a0Njr-2Z3-S^qE#fa6AI;i0vMkf53*I7#rY`x|=Dg_UfFRYNLSZGXq>LEbTag zoVb#9BaR|B=uAXbL3Uz(1q@~3*V!5O)BkFQOE}v%UXv6EV%MB1&m}O94T393A8I)N- zC>MFsJ)Q|FV~ z?Wa!jHMwTO+#NikRw<+snG#;N9*eJ^L;%|wM-7}iwd41KQcDecO`(S6M5icZautO} z2dZC&d~JLJWT^^S(ozM9!R9cLAE;KzJN^VeAd;o!N|NR_wGzKk=+HK5xkN0Ht7uF% zn}K_kGTcd}GblA!zh9L`6xCaRm^2)e> zkAw<6r_CPW#8kEAgu&@QdhSqlN=FE>B-W9iBtetmzT4~IrpiptM__tp!4R;}Lg?>CEFwBoosrIw^F@!x~- z%rT1}p6J-m%jxFu2(E)F5BOE>eZ8>QfC2%x2jXEGJcx#S1Q!)LMe~V9WkNAAnT)GU zAJ_h*DW(AQNCzhf$_C-c)1hNV4 zKZe#apJ=+F(6N)z(do60JEo!e2y@l^PdoJ0UNF99ik-F7HUHLra8-*z5#v3+-k_VZ zCYtL-0&q$Jd5EwUl+*O-)1kVw)DK9a>SUhPvRxqNkE}JAYru)Xq|m|?uNCpQ&0G)~ zfu;ocI<_$6321q?fJT8*9B37*^&-h0cLMY&c$mKX?mP5=BLy6@$OY8hO1p7fReMct zUO{<%OH*}uQDJFao1Ew1W@n_R$t$OOjGhqSXvAVO(}*tBa|$GPja7 ze8%`ewu-{|{5C0#DygU@yG)uIU~l83Tt*K|jIz1b`McJ+cyE^y8Jo>jY~Ip;mZY@h5bL4Mpq9#$gC=3lJs!3WL11KuOWQ zUk~Lvtp0ZEQhUw5NTahXya(~}g3hN?Mz5XiuH8THZm}ua%M%ldsrFu8X7ZY5DV@!s ztA+Ko4XqLqO~J2k=8J{=#+v$eHI1%ludb+S5~!FQx}>qTsa@FK)>Ko|qF{23kapbX z*G(4Nz_vpemi|*$J^SoqbB8;#`&?4QfPEu@8v%;i5#jFwYXf!-KpF8*Y@mpuft?68 z84!%ywrxZF18LD$p+eVY>F@6kAU^gZSQUuj-#vW_#u>J0G(#v3&`N|-VC^ALgv6|V z50mtb*mWEQ`yjxj*zqAT?C)Sy0cxgKb-v*3lG9zs&VTI5CpSFx^r{&ybtzFXIZb35 zhYRd6Nl_gieBykT(#$zvgeOOR!%%=UBT$NPfC?TR83`xUjy0g5Cfqe0zaqM(3843^ z4jG)=cop%Q;jP092K^1cG*mbo+CW9(pZMiQp`o$?UPbu6&_+jL8nzjhtyUw1M!sQF zhsz2MG6+f6p*0o=lSL5}#-G~p@8u%EC`E|}?uCu*!yMe9PdcQuRhXE`B?WPGT zMPyIocgz$aG^9Mk*urK3`ax(K>@qkt!|R36J=|X)D#Qi^cM3ovw{EYn;j~VqJ1rVO zZ9v_C(MJ!k)u1L3PJ>DVdn6G;yGdv;e}OMWR0+&vP;AIcg9lPCZxeuH8sCVfFA5Kw zK57!uUppWl*nd?GHH`|ELdE83K( z7Tvqa!45;n5V15Z$tM6KsAB-c5H9?JL)DE5A@Ey5tKt2@i`p~GwRe-f-Q6sQi6b4v z5eI+T9aq^VRw!ls+T5eR{uxxp89RMYHw}Yp?l`T7P2-vCI4w}Q4#Q?FT{79Gy|hB@ zJa5hN(N?meloFv@MPXU`O})Rvr?$3s)^c~(1M8kOT`@>_22>l8_1f!`synrWdol%Y0@M(z;WT1 z8+*gs2>47@RTUBdw2`)faT$+!^x4hhMSnlPa>XiqfmW?rxnlD-X+t)=@!X^ykiT62 zdT9%CYf`0HEF%=bLBxk`Y|dj-NT?A2_yR?(W}2GYSR0c_Twt@P^)-zkobTVO7csW} zow$ZyvZA6%$}r;IitA8|>x--TbcVb*GBmbOYU5_j>g92+zZZLdjE?{q>?FYJfvDE< z!NYk7`w2=5oW&r!!p?$i6#ED^7kCAs!w}4XpAd&-+=aAXguc2Z3TRExJK^*Vl41;p zu5iQ#LQ*eW{@t3%J<%B4U`X4-LxJuG*c}@;BA5D|Ihnz=bZ~aCGUsu)Tq9#EXW!8y z{2a}BrgkoF?ha;LCfnT3&e{YhSBF6X!+ktl9BeJEY+XD&TpcYq433$N0|0+c_KvQA zMp8+1bGMNb#{0Y2SlKwbyV#p^nJk`#i<_IPttlJyuid<`f8*VQ2NDiyc*0TA=(dIW zfKUK57pF0xZM!*wVVl!UTqevK?`EoQt*>q3%Qz0hmu-IIy)BDfjjoI0b}=?tWpYGV zd@auvvCg`*QclH`Ga@6QCW0A-< zcGNI0OAeLHG;{U!akcKidr&!couO8*6_F4Lx=SD-@wVU? z1V_S+bZf|i#9!f`)B;Hc&?V=W=VukF20!xp_P_TZK6dQr!QH=o@V_~(noJh8pejGR zuu`BRUB}K{pE{K;ug^(K%&lq_w(%tlj*YXOF`GkcZE0@hx7XCSi6lr4#9pb??%kS% zL&P$*Ny!>+4Jt*>Z?3JbmxyaBYn#<}4wl!L>ibPRhO^kz?G?%APX}FzNzAL0@~rL6 zn9AC$h_iv`k=#}-VcFO*D?(46iOj8SDhLmZENT=88uB7921n)#OszQ85vR^YWtLYL zM&pAvs-3G*<>gbSBI>PuUD$%ckb}oV;_^x>tLp0OJ9^?LhXw|R#Uy8zE6r_LjhUA( z#FR8PHAu+v@`BPfhKr}As37+2*}(9a%yK@}%F(z!`t-?=#LAYMw2(`21ubk_2disj zUH$eb9)N)nfk)06lo^~|KzShj88yQmqHpGW0ha zAZ(Gyk5d;YF@X@lAqfJ}B5Z*ofuRP>ExhRZ8&FR@QDg<@etO~M&(3DnNo7cwlJFa< zN((a+BSTN`{^7%)&eWL?pE=wiBO#u_VgqS)LjnxN$l97Ct4WPd%q*3-jGZ>c&%uOC z71idarI!jx3ZXzsGjn$13Cdb&?tcDur24%4O0vC=k2AYIC%;j_HKy|UN}7^inh;gU z@E$*XoVRJ87emos9@||XhpH*#~MN#v=;r}4y??yrvLyvw>^pAWsz?T4& zg(U}Z!Lz8w;EEm85RUV>6H+RNYioT-nU_8sy>N;J0Aiy2Zmy zslTZXR}4 zUfyoD#tUUdk$Ze&C1?5d*q*;iROe5r1(>W$Q zc-)zEm83Q|riY-mKkkRt^eM({HsH3}zX1a$x?2iyaPVn847 zqSfFa!o48%2SgjMHFO&=mf*pH`w{*3NUJF&?aein6=kI*2>2nfsko%7RiZC|mr5#; zNF{A3lT_O*?k(?A@7@SxBEzml2o2|4F=x)4fvVN8U$@j%Hwv(=-sZyADwB&_ ztINx)TO_@c%5Cn(>xUN!S`7{W07MaTgV6Loik>W35)}P-RT6G5!q^;9}8f3>F9ffyMyI zE{DU?r2kUrEH>(yTG%@~+S{0$7@L~%`bDeVDnJ7%HkCvqRVb<2^b$?lHj4rh4=|{A zs3uL`i4vS2nw$%eCTns}kcLt?<7NYU8VxfLh+1 zc>Z*7W~-@_Z-BRn=2NxS|J%Xk4*)SpVlXrgE}F?`C`i*GXBj7E>9tz9|z##Z5lo@;PdBG<0kVP5J4m zSxr1gTS{wFiv~I%Xlxd;oorF|ntV&1iuSNTAuB|JhWZx#E^cd)n3`Ld8B>*Vu}no) zi3I|gnyiUmN~NIBQnXe#h&ZnP0Y0|Wc8QiY3slEasS?>2(3@mB+uYHXCTMAHM{lC0 zMm`-ia*UXop$+ssFu-#fP+j7Ce%tu~28}#3a52DF6Bee?4Ip7cE?Orq1NKlr6Jaah zcIY$U6}8F_Dh*!5PGWd)GXi*aYt|Ghg2J|gL5AFF1mv{I+8_-9v{_$SXk@iQVdOMs z{yG?xk3aGFBM;B_w<0wZrY2;S@R4KHQl62RQQ0mLHx?&Egh$3_*L9j+@|L31*sv>6 zX(f#^xwO70BR#JI8?Cy%CNCo;Ju~{krO>$K^sKCQE4VQ%xSCT|drd}M#Fg;4{OWdv zLQt8Vnx31Nmz@$D5ua1ls&~9J!j^!9Aj}D1D%hg&q>x;UxSD}pEhWDyBR(QDJOQiI ze9z=91u0RWW=$)s*JRy`YI8HvvkUUmLC>0=UsGRKoE~!}BB`WVEEm*fXQXB1=B39( zL?`7nN)$BI`_LR`Av0-pUSe2SWO6}0b{0`hdT3y1Vq#oOnGAJ0TrIhpJUC%ZW_)<) zmH6B$zDg}9&(28C%qz-H3Xe+6t!(L;RN*JbIRH)tM2HO}E}~ogO2AOy5qN58k{U2> zmzU)vr4%X6z5Itbs;lxcbBfAxa;ivnV<-F5%G2{(c>WW7SoQe{301~E!+mT7DKQx> z97hLreI;M6mh($v6N|;>Bc_b^F_)BPXBF4A@LTGO^K0q$Zm7Innwj4M@CZdvSzKD% z(Ad~ehq7wk!-m+%s?s%N-zsTUSp(f^+_V60V^KzSetCX&sliUe>n7LKgs%K#c+1aU&}uu;z%yb@~z!=K#48&<$WIM4SV6A)gx24%lb< z(r4G6kgTyJE4_;5GkmC{@wGFbO5T(gb>>1A*~&(ebLo7Fz{*;c8y#ENu9P>G7uHBD zogI1VrnIo&E7^@?H9s#cr%poVr=JfgRC|pW#H)%wdhA@Dm_?U~C2A&@+>&}Jrk3kI z*isl59FkTmrAX^?3#x=FMS1Aa3%PujF}p20E-tIp%)`wZI6!?|C>4f-0yG(dk$}=b zbjT6BJ9%a=hgGzdMxPH!#p=XWX=z0g9=ACqDz31FqHZtB%x~q`*(&l+91F~9=UR{& z(qp4C>%?TLye=~(tyFG8DGLg^lu<{rU`a}|(h5aXmQqktC376+#i)wA5K$nd$ZHFW zTj=K23}H!bVY8ZT!r&){#Z+_M{LI>8gD<7mNvYEMyqpR-Tb3CZ6p`7$wq!QsB*x^l zS~$Dg7n8Asp%fd5#wy{Q<3d}Dr z-@zX4gMGX`-R*fyhKYlZucxgsgT}S?8a8y0tBbvjrKOd%trd^SvTz;b>FMR>?BL++ zY{Q`_REV^V9UFiHvyriltB0$-C6~!Gwsv&$aI-b-bS~>d%=cX%C;upKy!4;BOo9E6_#PE!9MG<iw)Cdrl*IHRF?Z(n;>!#sKIm6-+A z4DU%p=&fyR@9EQQYtpOP9kQXHq0}+0kijev2NN zJIQYcZec+K!w6^ajAb+ZNF_-be5)C&AMz(vCM4FG+A*411T5Fd4=AnlcTGo`-(rjEz8xk((Q75ln zGj)WEJU2G3LZTLk)FxiDR?PM1HpfR6(H%X#?YnV;#rX_GBw*O;q>aDVzuA4F*p#(N z=T3%~nfMNOK`G7~FGWqvxy!jq8y_3GP{A;_wB+5Q`FbYdzEW(M9hGfv>*(U*Y-eGl zT^yAKtcVL#k2wc~oMg6{m9>=#Tp?5z&q|Y%iJW$pnWeS08Ko*Up;&4)dhW~-o{ms_ z9k3jRv4yRJql2}%nWe3bg$akrGO}`TcC<8Rv3Ztu4vzNL=B5_bmZq8$0F7gAt;qtl zw6U@37@fl4S=l?gx;R*(EGdb^G{Ijixd`3S*rqmiR)ki&!`p-%MXN%PH;pY4lvJ>s z@FfRi5wUatqk%uv-U`hJbp=#C9E11?+#~D*XfJFHP;8y%7jA*M1}`NT9g(tjTY7kG z$68zo{EfH~mKj`Uz>)wYY2auOEVk_QN)@tDycj~NnZ&64$ECJpM#Qz*kC{8c zp(Y_ducooCq9`l7u)0yfv$HpoRix+0y~Yf2GR3=SV`ELN&PXd)T4@wpTUlC8nabMT zQe0anH*vA2mS9ZLufg2_+U#m(;P09(zui+Zos$z zYbHg}TArEFU~ENeYHs7Y4)wF5ROe^aknM*L^Nc{LIvD_c{RsxBw`LU2fIa;bo6=3vEY&JI5tnOR#`nGq3|(Iltv%i(!X z$Z4dSFlu8%qOwXVO0%P`q|}j39PCVG)j9bMRJS3X)bjZAXD%j|v@p!=tc>Kj7te*n z<IA9tXJ^@_r+ppst{}CA(BxU zC^W2mQ4jvn#EyJs9bxW2~(%d zS@Q4`%V!KSr%HjK20E>SClVkvHkYFz;nIw&LQyRWmqi0yO(RuAJGJb{Ix00%OH0d- zKmLd#8qBr(DXR|}T3Zn1KTlj$A9wy@QlrW6G5)-UjHsC8oUEweD}`*&vEzN21z{JX z^Xl6hN>b7b`5b3IcjMZaz)MAP_hG)=hU_aB5(@Lvk~Q(AA!EFmMPb2_xpguPK=A+U zT?JTFTi2dpm|^JdET1G~EeySu%1$5pS_?vATh{p@a03_wu<>F(~XDJH&m7(m2e zh8(-?bJyJI2%bL#$$(?(Nm{+?`z_vZeG|Sn8;$=^0xXN+o&v zMWm(0dB1e_&Q)sNrJYWG$SY@$*sQcjAHUEvuAz;UN>TXhcm8R`HGw79($!I?pF_oD zL}__7m|CiJ7=LxGqX0%mY$p~^6PgYXC9uYidI zMS?^$0VTlOA%p}itpV|e*h6&&SZv6Z{=O;AzfflkpdF*DREi3*QQN{{<+|K|NS(b5{q%>1<2n6$XWwA_3Kgq$>)$jnJiPR|GA zpFvPIw`!(ck{ASlWL!{C3P-bV?kqC238}=e(qNpyN(MVd1r5Y6 z8>7;StgeZf9xo#*A}S*_;K_|UAB!{$Wr`yLLNijsf}?U&tgKNFi(OX!v9u9(3BT_( z|0lt|xg|OAu}Nu(;bE~f6)kN|DwD?GsT*7Cs*%|Q8CgO`9Z!> zgMc2}*nXUqfo{wZVs;Z_zGaEDO&TS0k^L_ne@)-mYhn^lD zoXVEdHP$uIR$#`5h9u_8>u4)MQBl`5)Krj@rxYfJ2Sw10TD5Ow-Lg|#LwZt3U=o8Y zr=qEEVQp${tRcrtPRpg&1ePq8f=xWn#eZW}D6S7{3?`RgyU^^QQ^TVLMGxJ}5N1Ps z3q7mwC&(}d2L}K;1a*yZ!JdP~h8Y09hocfvG29O4;Sbeh%m&OPGP2=vMC=EdRj}b6 zJa~XK0L0Sj8Wkes%OlCE>Y1r?L9ZV;`ID`B4DMmArLC*2t^^;ww7jYg#>k0K z>kup$lmr<8-dnV25p)ZW4gwMI&QKK9QH@C+Jsgp$O33zp1%6gDnWrF%c|%a>Zp}QBC5S`;E^H;5NRWw!)g~;lL7cPQPLW27$~FAR?)qo zVisib!pT6Tl3?qSWEGW_Da=Bel$@Y2mW(W!#LCVs=AfT5Kh=uB<`75}yd@3~ou&yS zehL>Fw<(p@ZbAo35B?kNaOK5dQLu*Z+i1v5+T7HKvM0wDt20pR#3 ze}&pt0M^3-L;0l&vca?h4@LepYV8Y8OOyzKiG~bl{1-0{ZLR<|`C+wL;peR5k@)lk z4#d*a_GAAQ|Ld*tm#V+QzpLKM_eWM*Y$*#6-aq#JHj$SG3mY#Blb{+F@~p+l!r=Q~ zk$PIb>?;5K@k?Wbzc_l^lj<;eaes4uvy|^feNWZWH}MTs!JsQWSG3cC(+;%^-g1iPh5gu!uJ9e4Alew#cRV|K->xX+cs33yk@%;i@T7| zgqr%mg2PmT)rHR(Yy_k;F)_jKfk;F+4Y4j*R8Sfq!(g-lqYrFI1-F6U5-b(JLD;O? zEdeBnWNJuCqza%t4G`zBFkoKbhlcexR=d*juG^&{nV(9XAN-~2uYPcE6+SIW)The2 zq2~e71J5uL)KH=Yj$c^J^^bTIf_h(vR3V5^Aub+T(-7nkhB4FP=cRpreNWY@(yta& zg|daLHE>C&2qJA&{0BpG#YYY9ilw4E4=`L6YbMIekqXlz!xQofQUgAH3TLV}Z(+!a z35!X~%S4J4OT(dSYlW;}kGK+x)@=>BiC?@zn7X!hX0iz$Ut){pH027@iwGnhC(Y+e zRFSfM=Qhf@!M<@NrfuzH^HMW1^O8e6J%S6gEKIeOxM?9l;c11;!mPMVf=$PcmU3Bv z!Lhjos7#fnWZABj4$bdVoUEB`Yje4HAJ5=aicJSw9U%(&Hc+idQC~B@QphUEEq?KT z9uF@7{w}au;0vHuK(EK|VHTiedue=~4(pA`=o6C`Vu&VRl1sLJ;D;NHmWcA2U>PftM8O9cTy;Kd>PZV%C_Lm2X6r zB)UKV?gW2$ZOrI8SxkLQg2Li}G$T3y&~nvpmYo~!?H!&{ETdu8%Auu>3>WZK-{6>h zwyLFrLkn$ceyopAGSSk$4f2uwd?PvfE$vKYlYRUX3px4W?h!mATU#AwR*7z_w)Up# zv^ZbiB%Zmwtzu5t7oVtnSsmNf_Lf>G`pPMY5A;G^e4ebVf})vSD`UC5a8F-UjiKn< zbZKWPlNasbm8@XdvbC99Vt{W%7PWlUU}WR3$m2#$^twOGVlyKwQN)A6H9!p*rUI`6#6J!jR4b(7 z)l5r6b06?o!JC2;0?|!q68J_S($E;8@vtHFLU+W`K|=ucKtTMA{X&XYJP9Pl*~6AW z4FEb|_d}PeL-B(*h+cHC*x=f23>;~_kQ6ioJ@Gx_pD*A>xrIq3u=W1lZ2CfPf9!3Cy-jHN)NuiW`eOF+^v!LYS zjlx$6*#>X~EJ87hM%4Rh5P(GBNJJ(zoRF270{95P_fR#U2SCYy`w@5$Ky-DK^aJWXihkF2)^PA1r3om2A>U7BK!&b7u;4*>>NKJMvGs9L4)R?8%PS* z4tjxtJ7XlMR2%0ZJQg^&sA3AHRQFqLs2L%^5R`$-3g`qJ7zj3y1s}2i+X=M*Up-D8 zNQ#j-#_(og02m`8K^TCei|7wx-XH=#+oqMh*Jy$brxvjmlw$`40fZAYC}~Kphu4f( z2(gM+4xBnF%HtK*=3{86<67J2fCa-P2<8aZ0KR~z7>X2ExDy4lBaIBfHstdHi2yGy z&OID57>T#`YnFj;1M}m}!k>(92Amg+33>yXG}dFeg8>1S3L1j2l|N7D#5k5P z-C)TaR9K}ki_z~0^-A2R0o zVW2_S^)=ME#u03=2H=~5hKuwXLCK}YDp7;ii9-NJj|+?&k)-;z7aQu${`5JBfdLEx z;|bw3DEr{i`0`QCqw)=SL8$bB^9_p)A|K8}d>+5((1FhYED06~)MprKh_^%6gE@lv zo0g*Y>$d~R1Tl}0I{-2;5#TTf89^c=BO^iJP^8U*2SK)hYXEW$&JME=&|ffU06@Tr zU^EL%F+3C<5|EdMpYaypABL4yMG~7cZdELKJ~@!rp>&6|A!c z3<31Si50MQftvwm8>$st1%NyKI_QAAaDj1ykHa#C*Buy~8f>Z&Z^1!=afWCD4ku0& zV4ScP1okWFSu;ElFbX(+0<{BxFUUC%6WjnZL;b43J8CfcG_+uYdIeox0GxwC0KU** zTmAKe@PVON6c`PnsQ^bbMQ905A+#-=;L2!woJ%Nf;KFc02-3@-Afvhx3|4#tNIS-O z{0XqSD)d1J2jgMO{+blvAaH4dl|ZC{zr*;3#tr2_Bo;3wXW$phfSCnG37HKK6+}L` z9_l-TGIh93@MV}Uuy^rwz+!;&7B(C>2n-0+!4j+uPlQF%6fKDg+QPbmJVU4(kS@5$ z0C>R#!Gm*Hhm2C-UW0WDXFF_Sm?<#epb+4{KYV4NIkY_x9G?alH_GUU|Cy{u0(d>_iMsukYV$Vh>RvdwKv?Li zpeJlx070Rv*45-cn+KK$0z5Ef;C}G6seg(X;`d&bN%n#0py+F*s>IG~; zfZl2VZz@a~SQ|L}@C?I~20I%bg(^rBq+kQzi(LaRjn^$qB4&M%NYptYhVkL!Wa1ly zm5-Es=tH7TBI8<+ur)!?CsYr;4IGZ!~UR}BRlJ=yE}otFuDDqS2W^lPah45||d z1waYldqnX%aSnIGvy8gEkOy$G!!s%BTW`qmi1*_A1ha!u4@?Jwln4{ztiy@|wV_FY z)HrZ};KNl2OBCf6Q4bg6u{&%NE-z8p3eGfqHAsGhq=cS`_Xzb6a#7SUMnh1E9{=`# zciqxtI*%OJ*G{Vj#o}PT!)=SrLKT2x2LxB_RA|mkAHL`Pzo&Dwc&8I%a zrd>vi>t}@&B#|!IXNW!k=m_T+4jw#OBHdmmgQ17v{6i;$YXLdz6>EUTfcFcFT<{ds z)kN&S{(Uxi{ts?F@}svNGH$Sgo@hX7jTPToviPP}ZOmiJ_tP!)2d8 ze;)22@G_V_;`6pDFBM^7RGL72VSK2dDgr#PhJjavgAwu=nm!6VZrQR0XAT)@5Io?F zKp#Nt)l92^pB;~bj)o5&9y9PJcx=Qs+r2pAe;4k2_RAvCgWg4(tU0%PT5Ep1O85(m z7~Bmz1#J<46xE^?{z27_gnWfYhzpAWcm&AdYHz9g0i2vqSN3my5NEDO2#-`8uzbVX zVWvXzVnJPeq#8(84x7!NF(qA zhdFdo;cu+hkq8%U+_(|ZU%Y5wBI@;7-%rlTa#`tM+J2Wej~BIdkiF5cW0Al3=F9H~ zWDx~+;iN&x3bC#RUh}UX2m1{E4EQ7k&BQ_2KmYs_N+I5U1FVyk`eMFA_mP`F;aRWO z4Q)OBRA3=m8&!XSi{eYf;l#4>QCELMLydr!2;DsJuHoo{s@+hJ{poX{vcN%A@n@j~ zTgB+yPe(iJskYkuqWCBCBiIg4IttPN@zv;Ct-%5ioq~OhmS9Nss=@8`dJD=814RbG zhCmvOFx38mpHT2b#AXB@6(lo2Aw`4+BQXXa0~{B^tO{WXsI=HPL_20ib#pKRSl+_i zD+XieAOD`wyO-nYjmyUkU4HR{2(lck4G}CHTBr+Ru#u`ufE_t)+B8@dsQdy4T7#{S zTO4wHW{-AjpJt}IEgv_q*XCzA)tw{w24Lxe)1e$FFij1%RN)_lLykZZ+#3k>!G;t5 z#@dghhg=!f!ol%?FQy7UH_fos(g(5Cd|WVm@jU`;3;_)EP3_lEA2Yyi10)bk1BLg5 zWHt4%49r<`!NyMeu&s|VRj$`Am*h$f0>7>kU_7{S@EXKWDhN+apax*;fVqp?$B957 z!nVj(0aOW`4rpdDWx>8+u|cq50DeYU3giPp%?FyU+Fc{419v4vCc+P}(jd56=yvR9y3@N;2m)L zG~k(J$2;xrq+{N?wRM}p>mEcifBi<_?SSJEY0mIni}>yudN{yA@I%1;*pN0Qx6tRW zF~fUJyBMAwcV~G&9pkz8qJL%`@CgD?7_mWyYWIPY5}L*?zGKm|~FM@NL381-=}d9T6S)kQ-O$ss-6- zZhsClX*K>vBC=HdQi}-ZBr+XUi%>FCl(vrkB9)__#y5hD8C~97tT3MH+VI$<_3HF%v7&a zGlz8;Ja5$>j>h&YAN*Xf!S4mL66RV1>M^x_X@E@v(t>YFP+P9yuNn!qlGJ+}hiEDo zkJ|Y%=SNFMcosYYihslJr=Fe&4>Bwm*geqe>**1qP7BO5vPmFraU*PEgyn(tg58Gy zBDWV%I>CgEi~eI;97wt!LfwHxxSad2)Q=GsQHBJ2q+X?n$<bH{NdIVXxdD zYYGS}z+;3}0Rmm;Tdvm$AqC*ohwjxVE}trbjRfyObr>3U;?qO3{x~16U_WAzbx}-M z3Q@yUOQ8ZzjTZng9?~h`Dyb-sTiu-E{&&FQfz*JBjW`xGJ8@VpVrGzM5KA~ch@yd; z!0QMP6(k%`4;ASw@IyjqA&Ct*$%eGE&)}C@>N);xA6m^|4seg0L?@^|Rq(Y=2ptE@K;zq>f*6i&dHwNbu>;-(L zqCjQMrmDeRRr;|BBiKsP!Xt_)I?b&VvZF(S!()qe?YmoR33A*4{RB2fAlu+5tHFZA z_7-qGl>WjwgG6a`$TFyebTljps51nFz(*jBkbI4Wp!19(w*%K>nJK3BhttbUF) zUON2G0*L}-tT4r?Yqk)gjHaD~onqRDNAD7i2G85HqL=2k6TwS{9A}uk(3Be`N3CZ2 zs&W^;9b|%n5yNi*Z)!av{z=NVZLQ_A{oc8!m^m()HK5t|J+#1TAY~!a@R8QZ?izj) z=w6V@Nc2KFCUk{{Ona{)*hE7`es9SlqJs?xs+j+l7yPTxJ|GpuWOoRR0mP4}7;qoI zSQ0)|AW9HI0|XYydEkS9oQTO58fzJ_zabS+lcKQ}P>0WjorIDoI1F_-r|?rjvhLZl z2ku-{Ruld%u^ox9C9+pxcQ%Ai@+VY4>>PL&q+p9Jm4sgy$Or}n^|2v+k~NC4{bWy2 zegQoYAEqxn=|vieh$z0{h6&mWvoI7Efvf_W@%zCroq=PLJu%<>Gk@ z7!g7wX3SZ9I_${Jnx;^}~7UMz8@#hb(N!Wbxtk z^-49=;Auq9&(F4oF9BLJ+>H1jQQa86M*(WTUP;m9CWktVcX#5%iQfSHS%vsu8Av@u zH4PL+Y?$|gx^D2yBL(|skE6%G**c(8{{^Q51(-fY=s$BtOg{7~yBwv;NpN01&T-CB zmt6jznZbAWjB?!aGM=A%o)h_I|D+L}J9TuJx#PB1Vu=u?Ihf%OW)5@cu;xab5QR~` zSu;K6&Yr~!FMCzK4;eK;iV2E^Hq3=kC5i(eWYC0={lZfQUL_bmKxhkpON~d?jbMXg z2PN&%CD0sS9QGDM;w2!*)X znl)>FSK{C>-~gaHNdqV}(7}Pig%1AnSHKFtv&j;m1=GO?JxYs@6nk!Nt8KODe`yth zE&O^P6T`ND+$|0H(mx%WrDr(iS`3$4=&@nw(7|)gdq#SnU*_1U_vY6L3^u(mH#a9Y zCp{@8vk0<}nU@e78=st8o-SA7e`1aa9;Y(>UWD)|r<}qF*W)Yut0^nC+3}`IK`mfq zVFWjfmlY{=z}(?{!T}@Fscu4pQNT!$R#e|>t|HiKPy?M`XBv6If?mlD54>rtCqnB7 z77@)~U?(-!8c@j)jv&Pdq0lY5_WZ8I z0k#u+1dGInEoj(XPZz91kP)9ew1l5Nq9o;`XS729xua|;t`9#K@CXEww2T~DjFZ3i z1Cf$O({VW&X~AzuWGenel_7HD0zUhG2u(`LqUn#__OJ7kE#37f3D5SA@6l(*%GG~t zIp_4{^Yx?ahmUd`J!I*g3$AG;xI5~_%ba#&=ZrN-ed`iTtF$b7W?XE6ykUIX0l|ZpT z{|Llpk<8Q-Ve1V;K*UR+g&^Wsk1+0r*~u@T0-23HqG&SqTYt+zgc50#sK`?}KeEc> z3L`(i^UUb=$KsV8WW!$Gd7aE9P)I_wxZ-h22qYbwHg?LfPTL2!>NaEZ+1Ck$QY0dg zSDX-#RAM>z(wUWdE=Try=pB9f>c-A4S#F;q3kfuD=ND-t+nI}JIZ__{@0njtg^=Q9 z1-!X*7#d*Nb^2e|#0t5`lQtc->DbmK?bKxW;h%5!fQCUUR;&=T$E!bLVrE!rfa#(x zvzS!JufNSgQlPH_fH?ru*56aA%q+5CLl0a4rlBPM;(RyQ>Kph$$m#{u5NI^`U>o4k z@SdT@1SA>2jrh#q9swvBwR+K@0ZL_)%inAOidB9D{QKa=u(NB{wWonsTg%4@GC)us z4C1YpQ~mIE)Rl)ii(no&)X(QH^l@_fT-;&GkXBu%kFq6ay1B-2iQh>!pkCmX`eqg$ zhs7aibX>Y>!>nE{lnId!Pp)yCyy-=9p)^s7qGQ~wjg6vo();JpdRDf!`s$WL79732 zzMERM)8*&6nl_GuS`VB#-agy+LqKZ9tO^FrJNHAamu- zo`Mer+ZU7@uD`XRSS5V@@QT3S2q3bJjSXC&NG=BGu}UN0`ddSjo*9}rQbdu@j+P%y zdLzOw9#RJ_J>e~cJ|z5ILXHIV23;eNFY&AKw0N(Vu8B#XPH)<<@x=4^#9(g^|7<#J zwr`jWkt!=IEkl+P{FWq5lH!%HSR6PCrHuQ`zwq$R`JF39b!#pa^5n|92o{eht0u3m zCQsnfi=uK+B8^^aNbA?E1zPS-J-< zhx>~U7*2jPY}>ncuaNW+br_UXRKUT@`j4omrlzLAWWdij2iM^GzW}f)E-|QV5#?%LnZkEg?|Z9WGE58L9Kf{l*ibBscsD2s`~Y zHQuUyS@5APT(}U7177QD2a7ZSNJzAEf{O6#(n5KmpI-+{_Za4&!y^(%hMn5VB?Y>? z56|Y3q)0MyO68n}XxO5&p#m!=HlM$Ul9c2umZ`0+j&!c?y&cPUJxH`1yXnx`)g$y| z3{12X__ht3!(o>Yq|7>X)l7z{)=##&*rZ?94~!;dKe_dd8BjD8#>k!U5%V7SNO@dAvw{tCwk zvy28FgY$#BBnY4&9^3$r7EQ20%V<`5HNeWm_7SK@g_>1B2G`XJQD+%0N5s$ImjF8k z*a0KJW4*364lWFjE@~>GRMIc! zEb@KjF_~heBL)UIw-NTZ|WY8JR623hr-*xG#(aIjv z`V8zhai4E$Zoo&cSoy)54)6R6uKJz3j;wXtbhUZ&W?;FYCpExoiNd?o@G`)8p%qr+k!XNbH})ft z7z-U1vZprj8@S6*4;#6|c-c7OFa%++BclKgrN-W0(|$f&`FKIdwL+=Sro9uZ>B;^4RZI6DrQS-+IHw{p;^Y@>8YL`ekplOX=Td}U0P^T2#k#A(1aqj zW-W}B_!WbhX(8`CBTHlr9XeVQ(qj^tYAsvn$q1H3%Lw#~Wa+nPYpf#Fu334}K@mk7 z%~~3%{7kz?L;$H2s7QiRK0?hY#2{p4U^ZZI{yR?c%2a{(6}3o!8&FXA&Vm+#dcPO~ zpsl(vLO!^;-_mfv-x;B?lk2`}^m$TL(zf^v--{CFQo@<6vO@#&A# zq~99MHQ2lVg@QOgdreTeU|s#N;+m*$7BEQE#v4X2p(3d%htgIMz4RKRXQN%@t*?+thp0f1V=`~hpVgCtXi^k{*1{pR-bqkCxo=h z54bg}m1Wz>`#f`nUSsLHl3Y$q9=PPl^EiP-73Ko+9%&hXcvL$W1~N1h_$^_EqaqyG zF1%#uPk|(B$g}@o)f*L3UY;SDEM{iZ``7MXAKpE__bxKODBb(z<$o?b_!v=CW;GQCee(9FD-@&! zzVYURr-ZubDQ=hdOkcA1WoQzQA}uUA2m~BZlxsp~gNmm>_E(m30RKSYh35gmd?Y6Z z1qH#YjxPlHMkwDP$*LCW?T9!C{x_&!Pzgm*zeIdSQy31L0F`K<7uRO7INokqrG1gr)$`&!cT*S8DH$q_>aFGk;9;a9^; z`|`+NZS2a`BQ%0;KM#hLMnoP8E~&~`Ai=N7ej^wlP2zEiGSdUY<6fU#cj8V;$BlO` zZyszWm+NzV`sndX58n6m`t;<=KRY&_dhq7ig`KNb|KpYg*;X9=!8^`!&bs-{iLQ5@ zQ!Ct;+@g?oR}m*Dzy3b=Dk#6)gIE;r^Wt5Ue%Ic17OKdm5q@_l_kc_nV8&o2;4b(p zP*xv_8u%FvZcd&&xpL)7lqZJH3s5giGS?5)yA@MPPuD_N00ve?B821 zv4y2kh@!Yxx9>aqrIdc7B^kai&;GUJufvy}qf1i&esOX0LSEiDd3fK>(vNx9`TGGG z!iFg@YhlcXi+le*dizs4v+No95pU1z+HAX zP~$i9d)$ba3w$cbqo}1vp$-HaV#olv!@nU4Wf}f=Zh0%2^qMkZKo9b>%P*qX1ZgQ@ z@U2`9n@G{_Fk)hd;?TzjmyR1VX57|)-BOtZ87i4XC8-ZsxO30QToLk^s=Q(mm+TiAqoWKL?NAIY)vSD5GMR@@GD5H zVUQ0E`QRJ@E~zG@BoI*V8292ZL(!~$hLYIZ>*mau>$vGjXsK?DGrrv2y78({Rza%A)fM9xA9)sCE_8nM*pj3t z`_?Tz;uM>UE6e}U@l8jr2IUDAKq!uR^UsOXuOi~V+&FUl9>0zyJ=W#)#w(uD;cw6W zbLQNaU)0%z(FW*TZBke9F3<}QXjKX38SfRM1Xcm++*W_IYC1wFiw&wD9L>mO5#cGt zp||db>b33M!_;KpxKZtMKE95mOMma`<9C(9S+8Wn@pv3$@KN-KZ#sT)-ISL4l#DNr z{#v@@_J<^f6jf2dqGL-9M!;vkM7m*1zUyD7=d|TxyJ!ejr6Z@VYhr4sz-0k9B5G0z>KMUY18_M+m!Mh<{EdhY zj~O!twi>d~5XKjQVv?b?qW}UJhym9ifWgc3KDKc2@*U4n+7K5l>gDeB`>uaVttMFLWtnkKoI#Ss@Y_C`hLjGL4cR`SC@Dg}sAq*xN5Ld|4(% zmQ_?$QKEjW6DcJlucW4`KoLkuDT<=1s*=16fs^z8%9(2cQp2_$ICb;V;Uz^l!3&UvDsedqe^lyZBq$Hv z0_rfn8Br%p^|`n0%&DUXFMNpO%PMB7_pN{Lz6;H*V3#F1VNd_Lc-JMiRB&D^NZ}06n`_HbkH$JBbgWlz2 z1w7rdbjGSfcY@2xY6!bO)X9Xy9QirI-cV^AK6${he_d*%e0WKyvvY=R|Fz3!nE5`u z@d-dNp*%CV9gzo$5~(Ql!O~v+7Hs-xI%(oy2Ym`V?ZdyP4j=cBb?DtqIb`4VgHCii z$3c1?2lt%38$=^Y6PWp>EpJL<6AQFVOsx!+1Ya{d+yCOSamcDJzm{BlmrzDxMyh{s z3Y}^SOc75}nH8B35fkC>AI)rGs03D{!pX@G2}%5^+ZJR*fE>l`YV6uYa2-vhp?KBc zUHq1}Kv#f3fxNG$-BmBxprn9(V-X@W{@@jO-hULU+;hX>!+&nxyy>qUbBCMw+_>)+ zN0;Kz3Uag3k)($!w}7Ew-l=O#R+O(-4E`PN;gf9Cr&A{jjSWH88Zu>448p+PCp*dNe7uSzocIwU(r?+`_%MKmcwRy|tEqiw_ zXrJN!^r?TT=UhtGs8w&Y@+-e43~FN{|E+(82UH7_g~o;=Q5iXgaGE2823r9d?t=#p z&YU@ex*_nAh%}F6aP298xF)>iH7Yfd<>l#|LMgX0r7b}3-{tJ9eRxzHFRLJtS1k> z84y_Cxj()uUj@$$heuTEF=5U`8)97G>$AJ2cke#s`1@oYSyoP2UB$ZFgi!-p(*i$y zW(-@nYVw@T2QF-x*ws{#TM+kT+X&>!bnZ5G(`%A-X9tTiRYpoqufwS6NDnQ)96MO3 zmXVB8!en#FGP0OU1^6T>t?OkM<9(i6VKk(>9LG-Y_V?tDXO*z|FI z%xJ!D;am$#rr*^SLlB-XdP*+`{!jcqrukbpms;YuMIJHoa=g*&y*alvh zu=h*G)e!~!{QLxn2~p?cCVM?|CJi6AbV?5ckF&RZO9(RPmQw93d_FBjQ8lzSA%^`s z+s>x%%6qYzmZln1X--bWt2ZwLGzM?m+#~SB@td?h2QMD(%W^$>+btUir*MzY5k-U6 zu3K)N|L)#n|HASovC^C`Y(N2o@@w+u+deqGTp9J&V>WM}(pQ7af?q+T3j{&95h7ot zyD%92;%#khe_6=?>y+u<&hMkR>I%H1M6QXwMgH6Ofs7LJcg<=_jX3CmrKH9G@*qu6 z0+Og?JL&Svr^gnIwoxIbdR#kp^+k9#hbl+Wu`|&mup&bfb686Jnvn=P*fcjcQ|0m~ zT3zQKK~~4*D_4$9Wxu?3_+Jl!iIQ{LV~;$U=iwRkJSq{ z6ve3=G-5H`;6J;kw%xI%ByKwcI?~Hkdx$>RA4-KaL4AI z=Iy`ozvcZkc-bzGU;8uKO&Zo^?8J`bgh01IK>{btKxNw=<51+H{8~7sgRwM^pls1| z+Pu-$%4AX934&P>$$FIlZURNhut0zSzZ(!-GD^jNUQBREXbO!-me+0Gv9w~X{h*GzGTD)F8O&0VPgZL=W$$H# z2FkDfQ@R8ex>b7Ngab|E5w(o8zhMAyjBq*-$VQXXIR<2$a_)SX45(hPp~C`T7ortKB$BAIRGG?95h-b^oSZC$OqP*TR8m3WJ^xZwq6+%x zO2O5Gf2+vLR9+8JMu9(4RY6wpTOzvcQe`TXL86R2{;VR$Ke|#Bs%$0S5&stmbCq}L zt(GqMj$|s$T6)=N^&|D+A_uIX}LulS@}{iNtRQPr4aL?KE3{!S(xf})03Y7Jx;Vo$?aGZ|6}rSTP;#vR#t8i4K7WiE(3bDCqFuM@cQ+`8y9al z^*XGuFekz5;px(8FCDoRqt$8D;5MoPg;WZnl+Q=XFJ-whunKsGb`Qt?UG;oUA3c5g zMOvr+Jvy42bQ(OScjo)!#|~e7!)V!acsreH)LM8Wkw&(2+aox-SIMhLgs zs-s{PAb+f8MsT%HtbWn3KWdBxs`l|seE{&g2xGv3-qbhJq}LB@DiEsZ10w1!7~vmZ z#mX5f(LL|qx$ET5V3g##yz@$8QlNh+sa1R%vU+BwW-1xU*`+}ksoacg8GUVi73qS= z_m|J!3Dz32^VGw~e>rMvkmy976psM=u7o8mZw6QcAvuO0aHWU6z53M4-^bHCoMEb) z^A=ek&d~}gDc(LF5&5M;OGanV!o$G7lwS`HOzxmuwgM4dkd>$aeu@$gA~noJ7zqXa z;CT~<+A z?TvrFXSLY0dEpQ5%63xwzHfMH>cG+ZPHnfs+j#{}%xFF;mV zo)Qua2rE4$u29p!t~u|+3+H!lobO+_`j&1ocx*52^!LYCt~qqz;L&GavKaLEPj?O- zJbu+ZMufD%>h^#L4m`Ao=2kbbCV#KKx<85;qNWC1+BKQ}cfSXaGZg;@Y(&U_#P3dz z#@Qb#)glUwW|+bl8I_h;?7DfxnA>`%Utbwx#Q$~n%fAOqx@Rz`Ri@{wEQ8*IT4{h| zk`lHUWMzB0>lp;ug4OJ`^O6!swj&&}ZtZ^8@`3k(E~ORe)9x&7wcz7~b2kn; zHmmCW{DzR6pcB)F{T)8*!J91|MGN1e{0$QOP)i<7{)ButaGD`1fLkQVmsKbRUpFf4 z0&O5tdlOhBYu2nm&(eD6zLx!X`M9OQJ#XCE(piO&`eeiK^WB zP}v4$_--Dw;H~+h>$~h9&06lRsKX3kjec~l{fjlzpUAIU)%Jf&H~8udn%%>kz=*ng zD{183In;kQosL%NxoZ0&!^rcuKQqV_I#0LT&{>Nen^A>4Ow|oTP88e;$B!Scec!|? z)%~lt*nsg9so)4-J$-U!$-?QXDJ?^mm6~9 z(Eg+MLQ4~>Y0++17R{JEX~x=1Zc&v}tbafvUZ(3m`xecaF{KpmICIf{rx4NLh_o2@ z{~i9HPnN*XllSG;p1D)!@44fPzvuY+DWfND*t2#1Kx;KPk8mj~$Ve&M4w<`W+oZO-s;cVNBNlD^W3UbI zb!zq#Pv1GecBqYtvXW)LIeXVlZmCYyXgluUi8cLA;M!5|v0(Yub0GD^_Q4Na^>Px9{-QVdg@HjK7`;M0`=24|=ii-5mk( z#im6IJ^VM0Aixg5>x&AEgpnt3Mb;CMh|(1KQf((WGMz}ZYHdVJ3W-MnC&e-hZ~0@X zW?kB9vuW`@uFlUgton{0-n<3t!&^7kfI>M{J3C`FiVTt3a?qx~HZJO2>x-g6+y17ccL$r{6ztJrJdQ>*zwJHo(mF;qj_ou))J1TD-J4_R;@# zpFFeW>|^gzIm68gdVTujUElPIDx%ETw>OU-c^H&cYS$JYM>g!lUFEieqS#|s^ zsYSPLMww@p%vo_M;8&GLi8-IHUb^|Iz_Kg6P~D9gJ}1^3bx9Q_HwaHHN%6k3Wzy6Q zC!a+WfU&SbZX7)MCc(f)H{sraQ*Yyh6m4Ju5Nv3IRYxycGEGe=09Cq1iG#A1{l-ro z)Lye33`V@ZP8?)ik!L5R*4%O0 zq(QB9tE=rnRBhgU?1W*hD;6pWkpTMw0!{dGP*G7)_})dx?Ga@$O?oW8bg1~E)*r#) zL|%~ST9+`SA|l+&`0~$!0m$6zyXq!q)cTbJ^c7ibKK%1L4yN3qqXmH$`^wEd-q@yH z$2Jybiat-@xa5#@TG$$>64_k+w#|)j&t&QW;4>mzPt-f2>IXgp@Ro6 zI{P@fFfvq73au_Kcq3t})kl6*HG&Pg9>7r|RnW)}`smNl@7})yE!zDHzj^~nnxBSU zjuYXNgGfdh0yRb8s`>wtq9`b*QROJ^Pc`RRFMfR8L%a$B-&yto_P?kluHZADVKd%y12Cmt#ez0-o)w`cci#7{& z0W$g@FS#oend)s2)IHSlM_N<8J)vn&3`k@}MN?sR0x4KaSZ`s-gB3lyj@fc2zEmyc z=6D^RHhR(JFJF~ZM);Yzjzia7k1h4dWV-*eaO}*Z9s-0*UeL1>llpY)(xvC{4gY?Q z76JD1{q8s!-sjhh>(;|@>ow1>g}0dDS5~Yzbl0y8wj&Ht%Ey2>qUHs{FsMKNfEb&! zVaGA^mj3a_+P_czwREbzJVD-~b88B-C@H(#Lxvo_(o_)*Q!Clnmp86`GH7ROqiN#M zT(8*qf6qRr>Dd~{5>Xd}qCkNg;_HPHP{=f-DywVDDH?VdwP^L~ZO1R%y1co+L3Ns# z&?JR$0f3_sEH-=e*al8A4MiaUJyUvPLS0wGE&WV z-Y~Fb>*=RrsAg@Ic%)52VxZ@9YOd-~kHv{Va`l^yQ!!8S^TpjG zg8z5A`>Cy~Z$))py8qcNe|~U>u#4dpDJ;s*N=r`7E`_WMad8sv?c4oY zw(q88eRCflIPn1`Q8-yqu1Dw1T6^qnzvR+YD$I) zK>_d%ltUE$i1Mf8mE@+yhR3HB3H;U^T4sDqLUwVbIv~ufgqY~$96`%lc5!xMY(*_CPM_FOFaOR3_xwWt?Gp!dow|1a zww05IPhEHTby~SIj7!T53-ktg1(%n9cxw4uVMl$q_#{QOS!Eg|;gXZ0ITddNKIo zoTKp;eV5Mb#m9Wg8g=g0wO#98txWk%T2!q&4j$XRTSo^QEhHT(o7pro*5JF?Wz_Vn zTbL-3m0OITJwz}5WLubQS*B02`de%ClU%;p->r6&D9STaYQwO z4X$>ab-43{o0gXn;O-U}O{e=kdE!dbBF6c6e~B;PBE|ok`=zBR(nKWwm!|p?(Z_}l zk1oN-Dy7?N=d-7GPOtCU+FYs7=kECjzUdrk6>S||KK42@B`udID@W!t8yRH7DJ$}5 z1k--YuR5b|l-s-OTMPp(tv~r*ApIFBem5?i0^UY&ox1uwP!Q59y&%M-P)VmEJ&24H zCHx;gG$|>v6qiaN3w`bZ_m`8C6OFM7M@`8nVJLt;uF&R1YwVuwwRduB>yERo2r7T% zdhT`XGv$=~SFjQ@?8M}LT^F7UFFp8K?q{d>AGOO3U?^^;$Ni(5S1nt)V$Qg^i?&_% z&ioa^Daq+D9AU#}E?Ko|)yl;)XRh7CkBgn| z|GzT_c9mayPF(+(RQ5&+(vwnYs=DfkY4V6nHZ3YKgGTK*b@AW3W;NF!Gs}BG3iUF| z2O+%={7`rg4ag4WSxeXM&sV6@d*h{d|1NH$RF#cW4BJlKeBK3Y(fQWC1w&gIE0ppx zt>Fjnd-)z8UW!5@YIaJ2>e?8dGM{1kGdp)2pbJ~PdNN-`dJ;Nb_4 zn=5%ko%8Zkmn8&{<{*&vg*8%y+!&Xq!QwCm1 zM%BvFNVWuJ76f{=qOIfE>(7zjA-G;#+&0X-3P`ogw&UUk4XgGhG7MTiGGa^U4E45F z20{bSP>cXi7m7ZTLG?ocI5b3o3v*MvzeGn6)$%g~U4!_6A0p~I@r!R)TAkoG<-fuy zL^Oj$CX~cp-m&9)UiVAyKe%6;L`_BUf}*^rFK^$xdGGS{+C%pQ8apq-_1x(*SMGj6 zp;8jBIA6W%{L?Ry*!%w7<-vu|HXnJJEetS;m;LU)~mv)AI~liF)AGcs}u z;qry7YB_MxL{+yV%QmiCuwc`bkHP6oc0q{qKkL`_p?p%><0 zZs=|@HZzy?)rh;eaN)9h$!7B>bk-8?I0$-z)L(E|$esL`hrus|^C^m8%l_mRoZouU z#(CD^ub;g~H9;AE6|^7pzb&h5SXxXFe}{I-&5lV=5BYd=$A*)xGK0=t*f-5yJ@(m= z*~2F9`1h4>SW=M7rS-ec-g9-idvMR6e_snOmX?)~kyR{(W6No1D#^;RN*HB=jh*=Z z_JJ+Pd~4QO>DSvAN(2j;1jCax8L@2ZwmGfKAE`TP%gi`E0RRBtmq|oHRJM)N zdbI31_OD$FyXr|p2`;_31lDvq*-c zmTcHGz)VH2>x#eE&+K7P${NfZx9wQa(||+J9kF`trezZ+FI~A|PA^R<{-k8RPV?7q zp3_E6==UwttpCitJ7=}!!wz6}FJ3;euYsyzpZV)%I0)Mp6qTGn97)oT&@`|6fhg3O zmX;xa|sT>7Fo^6t%>*UvBNq^CmS$;f4UT-`7Qu-#eXXPpbun>ee7 ze)%^?qEO`(;S(vlbBlz; zFu=Uvx2P8`OfJan5#A#C_H}ZTeLsIpROvIwPOb!>uu%s)UZ97YZ&D%L{Ah{r)nP(0 zYuCx7q##w$SSmL$hbLuaYalOU(Qj-Q-uu@tf=fNXxt@<6x}~?8FxXT@icLuO^Yc%H z;Lk{iN~GGgG!{5XC`R4Z{e9|U`Ss8K)k7@g1*?*`Y}dOPEh#IDLn{Qath=_TU=20i zB4TW4DK31BO|X)h(zEVA7BQR=#1ZmvinRD&Ry-)330zyq)D3h{bDUqf;gGap7smx% z_>**M-{-q!nGgJ9`@pKdRk9g4dt2q-YPKFbv-Q_evL*xOZW&PiZ<`@gY=(%m-^zn2 z`fUfyX1eHUD_iadQ|bfnSJZU-IPwlncW6I&D8 zm}p|#wr$(CIg^QP+jifa@0@k}Z?E-s_3r9jdsjWc{P9zZ&$_PLp9DQ2{`%x|bM7et z4&#TM4zs5yb#(_Fw9wBJ-rmab*4oII{Wv@P3bM2ml128#=XO2He$ zBSjB-cz8fnkXUY`x=JrwH@VD_NX1gYs8>I4I9}X9mkCAEwtu<#_=RsKi>)IvBP1)- zdw08V#>te+|3qJ++3ZfmR6woRj--!Uq>fS=FDoBn_Nx;{9l>>O>c)tC2=-g za4g$jmJq(V$TupZmkeSI*G+XrSE@7U*1HU5xD(RPu042M^}5@?1x3IylbvH`q4-Gd zRDuCQAU0E`Nyxze$E5c)Ht7z_eOo&h};4yg@PXZf7PFtwnv8t68y`p z9)D)D<#`ijcHGlz<=?&8#2WRnH}XIiFGn)$>0#5Dd6^N{NJ02o-3Eia&4N;}tr1i_ za1YL}j~CjVUAa`9?l&4--}&qCNu6s8!k(tKN}hCl_uun%JzXyN8f<$E2S$11gjU3& zcC+p&R6qy%+`&%SlY<@fY`->ohfIx!ygrjCY6T25Bp8Gf<`QN^MnMlv8jbF;a@Dd7 z7q18w#pC(dn1!7Zu>R{+N6hf|@6Q;i+n$!7g5gY}kvf`*s-ZBH&#H3LPpR*+JfT=n zCKtO4-`0?Eg83H$ER8{5*kw#44EIVO^i@hAv=_qbZlY?zX0f*XCwE~C^W_XnG!<%9GENx zv9zPVz@xCv=uZ;c(@r0A`p&e?6pq%ewmsBtG_IK&y$$y><#f3dh%Val87v;&wbq=c zTOcckYh!%at)2Vpb~TCJt)PoHpZV?f5q8FAvovo-c^#H3S2%>F&z!j}q-N}u zr`&?jb;J9h%d)A2*>Cn$8_FLbhSkF4S27>~8K5A!d$&T|D+dGx7&6Kp7KwX(;tVp^ zH`03M1jLxgI3jAgK~C$}q_eO*&(9-`c&qcr9OB`Y$G6{bwqAClEPKr@fG$oXdD=eq z=eGBg%C6%m{JLSQvW5(D>xPo{1wra^C*qN@)|csT=Tp{KRZBW;9rcd$-O$ME-B*NL zAV1F2)9hamce*V$K1q61t8Tw@)UhWszSqBJw0`vnxlL>X2B|8v5SHuBZb-=8sWL+o zW#wbS|ERM}D}WOu4;>yrkAen_Mf?a*);(xj8Nx6@yWl#Qnv+cWJykZ_u^_#3-+X#i zp|u?*eLP>m$G4A-3}ii5>WHfcrfxWJ##pvy+^!pEFpz%K)M$xTv)(5~&hTEcu~-L@ z9&gf>cKueoow` zEAoCjKE<9eEcgb|J=<^076A``^6mf-)}PAZTkG-WA>bF@^tZu;Mj}9Qxc0TiiNQU6 zgzL35$6Tt>)$VZm{m_cxR&_RAv9MhIG_Ae!Sx*Zg5xaGOcVJ)S*~m|CE3QURQ+tO2 z%uVN;E^FeY^*3g=kq|{BTd5zitjw8FkS43(o9EJpD1T_Y29LSE~#M@iwel= z?bTc0dxp*lnpZMqU)~)u zhrf{c&p)7g^Y_$EdUvp$3{y~Ol+GunMwia*m2g}iA$FV950^&>x7@B}ofM_?0*=;P zi9gKh zpIyqziur^@lY~PD32}+o$zt|Pe&hkdVwuq*pkdjt1>(d%4<`yes}X{~MI*H}+=eYA z>)p;bu)yTd($LY3DfYCBNsFg|)^2OszUGBP9>6@sh^X*=6QB?Y?eC_(1Ni_Mp~p1| z^DGEFCo|0 zf2fM(0m;V?$IqV-(33rd2pNsO&aNWvnrQM7|F%C#UL-e7KLwt0^?7)G1U=Cg?m1I! zyAXdel{A*a73Ubhsm3Tk=KhGIdH;#&GpzU9n9t8d3U%RMlZv7MH5*T^P<&xhhMsW+ zLbB-8x5-Fv*Ox0@2_2kMKI#@_ab(BOVfwJUHXq#X{6967Qv%etZiN(QR#~ zv@~-y*y!DfAW%eO4M4%DMc`*NLBP}$efRbMixN?|^`kpFKC{lCh{bma?xJLZSp3>| zpC}3I)@>t?w9!S!^X%qyUEh*3+cR0~uxQ^H*u&l2IO}|)YZWi3$4b7wQMjwYkafxaV zc43wyDc-QP5!mT^x$b$W%Di<&wJRq7=v8Jv-H8o)gLu?v+TD*SllKdmoi89kqnk znmH)f!s2l8fWrj~f3K&VA)TcnTurM~;1+X#uSg5VJwDP?%19rVkEKRY&Ctd#H6$xmkFCS$I%Qe=5?xkZ z77MegEUWFzY;1ysQD{dP_>cYEp!mkoqdy+duTP8v%!kDHI1}1KZ+8CHl6Z5oQi$@g zBSMXEvi$91lQ30>NHuKVd5nXIfoEFan_^45GZ08i_oJgqJ~wcdONkW&sM^@$d)fAE zuKOl$HmSo@IXu-G`4U>TOXrLX3#lz+11lk}4PRYrz>olU3kUy&B@5O;Bvf?=kC2wc zJYs_rEAJc4#efu&N6}~^BXk-NxQMP5bQ^<+@c2dNPsi{+{P+4t zhw&GiMGt`eMekbgwBJf^9rx19+Nt+F8}H05xPD_kinQbaeQ<^J$M`hZY?L3x3u0pU z_H?;?zSCwhJ`1B@L2u|bz6{OLsI^N;$0zTm*cC6=PkJJQuDpMcJxzEbX zOx4%mM)bGf_uh-j`Ln5Q%Ba;~Jad16z3DcJmFib&AEESqNua@Pc)DBscd^^JHK*4| zZi_>=M2^sO+uiuFAUN@{XD*Z9RtH4n4c$W3wb^R7G;a1;kK6QR>>1A}QTe&u&W}>y;K5MI4P!D3X&n(?Ud_E73{`m%OyLF zh6cx-NNeR%tGm>*9w(JZ{kFz{z(D^^^Y1Fge^VF~OVLn}NLw`AB~#WOpvM_jU9Zen zP3Et2uxMj9DI3aE&(5yt$x?;O>1&jJ2U!3q$XXp(j6Pw0H+=ts!Tq+>Y;GJnQD?R; z(Mdu_&sO!o39}Mcv%*LJ zIlhU=bLB-_DV2ln^iqqtXIIVpzAVtK=DA0)F7R;$(i?`0Fso~PXR=P`F`UeO@={3e zp~Bur_lP9tV)AMihG{uIHdpL70>IIjYdq>V9WB@YqOQ3juE4py0v>&r^MAVueA-)} z`bvi7`odDVTB5aKtz@#|t#WnC;I8XDNGLW2ZvHKeEiKA*z}C*i!==1A}>=u`0wjwTJCO3)K$Bb>F?+6vJwS2WRacjGJ}83K-G_oAKCx4dy1U z;p4XAH(&Sd@|XO<(=D;){ao7Tcpb?uy=e1roVsV(Yb9LgsBJ}E&aJXv0{Yr!FRrZj zxs0{UWtO^FZ(7_(Sl@-NrZwYry4-EDcL!JX3>|egs<5jh zAFwanC&fL;1$ZWnDk>-|Bw`bZ>2&-nmCqgE!l>_VlOzr{u2aD9t0WL?o}O077xxti z%RKZkyc*tvj?H;dI7R&>-rM7Id6?N&>mQV096LHHzj=@1)-5x;UYp(d&WN@fiuz$O z`lvf~iwK2G%wL7s@BTIdfeaD+4Oh>E^!z7C1|NG$+x}YCyxc`TvcuDS%d*9tdZP-u z6p1eeogH!_7eWqbUYwGW@+BNYS(qoGJ{9K6OpeWdD(%ZqTg>>6ZsKpmQ~Tq$%_>h< z&*WMC%4R&a#rjOJ;Jl)>s4QGmqI5L8V`6J&14;FVf$iy_A;1$3xR`b>ro+on4S7v) zwP$nUU3cTr{uvo&<000fb!Q95_G|Wr9jiv?Uli@fK}TrDU`)(VEyTj#vHe?;5+|`Gr z$}F{wwcn0+N_P?cDL%54W1c!39GLDN!l;;sFi|a>wwo$!8C5Gj&td&YRP$F8N(nB1 z?IYEy^?Q9zu3c93A=G9&9!0RKMrzgNFIJ;sllJvl#k0TAQoTOKzp$IO2ZAd;x~V3$ zxxCH4mQFnS>dIitbUREaJEI)FMS8~Kpv`XMwRz4{6K*pu%ej`;a;Z9ly)9Uhk~+-6 zBO3}Ce;u_}&5pL2;QOzIKP%puAUYvLH@YB)4kucM9mS>8FM=B~A&U{DXeCXxoV$I^ z)cTzk(~8S>E#lc1yuHWXDpa^tf~jk^4N}}t56Lg5?G`?w{Ramj;e=y=_xs%KZ9_np za6=VTQ|oLT=Os&BZ}xm(;?f7}-E9LoG05?=+o#pO+X{o0LeIrCs#M-e@$hKr5`nK! z&E!*>)?|)@yhNn~YUOM!rCaFia)V(a>}n%$Ph@aTR;$Uvq{?)mMcLNd_K8VqM`*_w zvC_t6z>oNX8wf(O~*v%h9V z5z)o^Jy%)*nM_knQC3z{EiV?e_#jDD7309zt-WUHiuZ<1yTN%OidMNqPXBv#?fYQj zln5&;AEWiDfYD|QpLWU91&{r|<~XmOUw;3J4&W!fPgEr#RvWCwy9hvyJS~JC$=?bq z>0~HHhUpNz++XDy4(f*grXUrT__W_pbwG6nrUEw|;=9bQGn=i~u-YCSID4*R511pL z-~Phh+=nH$m@h5HW##^q=w?+~SWZrGzN*mzYQ|q}=&YM`L}$i zR+q>6wLg-YmdbCJ^t;2-x9ITjlday~G9tX8xIE{4n3ij&_t+>%z8&*^!^+qXIQr#j z&-cMlWIIck?yxR}TAJpVZfaSg*+$n~{%)wBIeZn(!YRGB{wem4nS+jYqIQV6&!x&4 zJ5jwL9UJ^MXLHbJpqd*UkBvUth-d~)@vKY#C*j>sa96qWE#K`dp5I8FNHymL^n-@= z;eX&%`F!b)U4F^pJcRb?6 z1S~J#il2%WV!XCWuCe1X?4+HpHg%u1Dag;l!C^?io}rKH6ck@8A`L=&eIJC1kJcZQ ztBt#-bfEnZ-Afjh`l#ZIBN_*E))$;^`hgl`7e>sl85u}PJt4v%CX8S6N&NS7oT(o^|xrk3BNJeWqaJo z3kymH5Rm024sK?uZtG}|5o*52E5;FJYmqViZDj$_%q^%34@c`~$%nb$PePdl#j2@I zm|9v!O7fCnKRA769yi5Nh1rGg%c1y`4#06RBe^|yb#(b+ zMYi6&g_^lAUH&QvJ8+OTZ|8Puc*(m6wQ1*nH?t?cv+Z9NSmPNkTARQ7I=p%~`P?z% zyMOkC!oMBe&qt=nd)?%hCAyi zi~tjO>+j8>G@>hq5v7jYl;7~QrNuS3hE#NW_GTNWHhBbkTn?5a(si{~TpE^)L!L*I zNDJ>0$pe2m7$aIC?8`VfK9BuW6S5&1ZfNKCuuQ@1-Q%n$RZ435*<3tBizfo7j6SOb zhcXWu_7ReTT%L~6am!GN`p&{4l;GFd>4|vxp=;}30FYu5T$~>z3dXc@dyK+m+rB_; zAYmOs(^NwO-qV%$P{47JhE8|`O;p*te(RiCNSkPK8*^hiziW%tM5z0Vst#y4FWgp< zf{SewyiNP-RynICEzD!|K1qTCq?J@Czo^(Re~wZqD&L(Eh0(dbeM3N@o$o#X4AL`HYejiVtX_4>Y(&SDS8$ zC9gCzt|(MN$xu@K4)br&p(xtw-**_D-(v2F)k-o*)@n4jG@ptIc>7)zv(6XR1}@w* zdebF6tQrx*C6f8cn!m5Ko%Bf+6r+@y;Bl>J#9{$O=B=nO=HpOZQYtD*Fq~uze6?}1 zii;!ah`H6<_=&7U%`Q3aC8O;SM>bnM-tJR5|Dfggkan=yRjg-90>4+VX}dIvaR0(3 zmw~`BpEd0ZqD?p%Zf}2)j0o+`m1X+#k2XPRZtgFfo6n9^h+M4wg-L+^x~JX4afz-O z5!6xQ{cDx(g~Gn?SzkJStF8VoS(cM8=mnI$>Ps!|avjj$q9o?t z?jHR9SfHaOB*z$SwZ2r3KX-Px!xNj^;TCjca%1Z20ND524s!EYn`9&wgOILy)#E0FFwtH^?i#d zKG$fgl5&LiE-u*bQko0Yj>|63gASG)ZjdMDvDvpg67`o#IC<}-F8|m0WK}FfI+Lg@ z0{&7WEhX*LlacSA6VY%{hbTw0qwMq1Y4IgY;~@rzh!a}%Ms5xoz?i?~+LChp1s;pJa6S2Oj@(#`Ya zr1K&{eBgE^Dct#BhNU&ay2UV?FgoKAxOlF=qA9Xz zH6O7sr$yP-bChMk^|l{jgVj-z9r7=Geha+uPbOl{cXz9)$zPw#4f#9|cW3g!rXrsj zthKEdOHUts!+sbLvZ9D}suOcP-kxOx2}NIAxS*h*K5;a5T7~Dt35kAq0X)N&-p|)B z5Vj0iaA9z6uT)f!GC2DPiY|J&r&!{$R-zt-G^!An^+ty(q*~5dN8Z`@T7Kv)LVRTl z9g`0p$9W6l>K!QB$y~>^7{XPA@L0%(l7}$vLX6z(uOn8d^{=XlKNFM1YZDvYd8O&# zJRg6PE7f%aiV^3-hk?N_FVcbP48%)%{q9c_iV{Yn4CylsV{ha5kAD9$Q)8_3s*UL$ z`Pl8AajOLw*Y)OdR1zK^@AZ$o(xoSaOKd`cXQ{5U7($3*=GKQ3>2}!{D~+X4wV0|g zhhL;;6y1MWw(M>NTwCCG;3R?8Yb`sy?Ap{yaf?-&5G5>Me4UJc*E}1*w}^k z1;EVUN0HFSy4eJ#hxEV4_@|i~8cmn;mFhAg+^opS=88}fQk|M- zNYy`@Y^(o{%hDT+pSWf;SSYEZ^OI>w3r+R>;(<_8L(9_EvL0c~6et7YEnx-sdcS^G z@|mualm3PqT7GAWR9~Z3abs3EW|`T1JYSxi-ohJSWMHu*-AuzEzvA;=^*j4slzt5h z1!eo>B>Ych7jM!x7WodOyg z*_aF1kzio4g2Eu$UgF6me46;x_6xlstjmYWEj2}e>Y$`EptGpIFcXov}h zx?HYn&Uc;D-3PT4v`6ad+<&p7u^rr{U7L#PQ>rt?;-MxvDuHtS1r9; zG!sAk>*oEzEc7Ip_=T!}F)}=#PxfBUhHSdsm|J2WE{K@rNWjhhb9W!#>EQ=M9S3^V ze}VW2a1{Ba^}H?gYwI>jiJ&pjxQ6R(Qn4mA-EVU|IVvpzaWp{Y5%Zm{S6UgC z0nYu+nc3NhNy^TD->pGNrPxXoilfp+mjdi060(r^2{Tkb(*UXVg(4u!x*fbNhl4+u z_r(w@RU>SYHdX+*JQ7w`7Qm#9pIP`3Ie;UO6S$ML%7knRH{bR*mC5(2P=uIA#eIRD zgM*p*oHefzm2K=V6Wz?&OSDA2?$OUvQwswF10I*T_Rh6Zc6E}vS@Mq@U+HVm^N7Hl z)#LBjrBUi;!B7*^)ltw#v*AjCNhJ0+ezz_9NUS$It=<#g&1g}r=Fyh}K5+&CABU@n zoDb95t)9K(i&i4rsmm>1>(2srboEQ#mmicoFBb>BM~oV6P78;u%7 zUH{aY*?OV%wlqjRxxMf*>Q@H_in#LdB^*`yL3+%V-kDue(xhvDpDPPMfyNeBYDrJW zZ38F+MY`+uuhwXhQHS=$lzMFh^TJ1V;gsp|FG*@LHQ8F_ zZ;#L8D1YxJ8Ifa<6T=amdOU9Xy1UXj1v}QP;&QrJZ9b`b z?d??J^6FcRw|mhi2Ma=^>joQb`_fA9Smn{G0p$DK9sZ*mAD(43RtwpB=;a`{x4W#t zX5|}^J2zw;WP%xC0#`dYQG!z|@_bjR(fxSwtr+&t zBj1F~ZFg3;Qt|#YZ%D4Ye}c)ohY_|e+;i+AnyGE&;rT;7zG6V9HZ>$0+fQ<=QfbF{=rjpA=T1osl$>}G`}p*UJ4 zJK7RbCZw$x-{JIdEl9?IR!$xOHH6f5B?y@A!_{4I{IR@+61f5-ZzJM&7biH7xTy&+&VZ3VIC=zQ$K4-^T<-9Iu4sj zPq1LgIU*y9vw@9`pYMO;EtQ+X{eE%V zBS5Sh%Yf(jhGfdl1;cvkyZ4&o`}smW`E)W@3i>;&hPO?!ctNfp?|nL7Zud;0rr*jI zd0|B}g1iSybNb`y4iE&6fGCe{3ozA;EpRQ%nZMT;m5x4$zGc=s6goTaO9NA1M8yWo<;8FCVHoJ@)Jci z!IrJc6<$@&#mECGG8q*+USmL<-FP2k+X%I2qT_y6HY)0;F1qH-?g7K&(@F|#p=RI91~k)0lBa&)tEo{5h0Vjs@T`<>kKvn?=f#4Isu9)ZiK} z`urjK62f4CXLh&}u|&WQ_zuj~?Zus@gmOGT@Ivuu;c)06G5l@K-RrQgPS~$rnnG3L zNL)5k1V4&0EHIaEX~diG%QC_32i~sIzO>awoYtcqhFxa9_uz4M6I-nbh}8_uWum{G z(dj=5N;5;BE;r2jdYA}PZPvK{h)cEJHOP{$FsVDAu|LZ*Ri|rXJ^kKtTrI-tPsQf3 zX~#)n3Kq914k6u25ow>Clv$dZMowGl^voTXATRzR#MgQJ(>S=>>wL7+cqs8Jsv%?U zu4tzj3FN3cP4Mti+u8vsQ2oQd%F}&;;*A3F5@C};&)jo69;$HaBZ82Al5kNg(Z(e! z4$@}KTTe%z+(Fru?4T7}IK#QmGn=R?% z&pWJ>bY&mzFGCAJASgmx+SlAzRgOOz(=FE%R`6&pHYHc(ORmUh^MSQqlFt$UVM zmEi)y_UAlTclwGhE=8bMMd1iMA?HHuIsjGq+L|U51mtU{my?Q~uaAfB?~~HT(xQx7 zwsFtb-Q=H;iMz=f(u+YQW+85!zRwsLq*}1>T1wlgvAM#tC;If^b&+hp$c&Wlp6_}* zUeMH-Sm(}S)6#J3I-4z*3KWn8yB=Qi#B&J-@Mpo&N<4I>lK+su!&qD}4OObw>V1q7 z`{wPcT$rJU;`&JeOZ}|SLx&|76@I}1+9KVcP_Ef?gx+mMb8vC&z1fha!NxF><|@^1 zR*vUGj$m6pZ}vvzPcJ-QL2Tz2yZS^N*&J!6`ulWqw zInt@GcVMQUhuSpK;?8vtGA=hAjRDmJ zgRCV2!4geFtW!KXwcNa`xJxqGnDgVUf*hhP3>p%HF2C>RdXt{u!2(%X$P$3v_fHb7 ztSfdxGCU37vB~jBjzI3(8_?#<)*v_mXs|Rk4w~l<{^(!`XbqZ1l?#U|;S1d~Nf36*;^HIyfzbZ4*pfxLh+E2VCJySO7w#B z$@9_l4ZG#Dv$K4jk3+uwqSrDif+)^H(dKTiWe=#9~ zw3?fn;{gyXfVpa!R7S*JzYcG*TSEV?P+~N8wNn>UQnNrxKucf_!nDdYgbFmNEyk3g zo}QkaS|I=>Y6j|U+G5RI#myK#;Bl-%NJD!9^{#F|_rjckA`C6naj6XZ$C z?$tobOPp8$7UMdjiSfKy*!2Ku)On44#{(Dodr}s5zqj5c@Utvg# z3%5x{zx^4B5L$AGKLK+@PXi<+c|Bcbk8v(dA=(l8llPP25)kMJ$d`?3Dz+a?X7fC^ z_3`Gj%M9CNN)2Wy<;(@Q2;M3SHg zYNE|yW+AE5U?Sz_#s#+KsTYVRX)xWd4d>H7CQ!;KK#EpPQeZ(C?ebV?xj@}pp`c?W zXrQ7q5p=*~L4{Jh9&qFj!iK;~fa~bnIo(($vOlXbxm)$xJq(Om7#Rg@7TJB0Y~e0Q zp)pYbZIX9rm3Jgrl zd{atF%KSQ}&2Ix@h;dCSGPlAX1NOiMz)W5UEjj&uzzz2vgpk+qcp^0*nWT+zKRTN0 z8yT6=SJSbK94@l@vAX`ug`8#w@df~ovkgDpB1Ir)4x2~KiltVg6F$rdXKM>{J@#aa zhZ{C6q}62ZKM6#^1V2Dp5km~82MdE=NWR?YgtmfF@{Q94qox>W5#ULEM&D&o5JVv# zTC*4{Uc4wJOTX}hBiTVa$600Brr?U+9{cm>&#n}WG2&LYC$`n(>M9kKZ3NIBnzxPygo1!&}Y!IHyKpsv3vCQ;f9sr58=~*>lc5$^79RK zxr0$A0)Gml08#n-AoKD?V8JcR{4WEGg)98eb`BPvG~*|>t}*WK=FJRh{l1T2Z_xZLyH%)y3&Fdd8sis6 z#9J2Jt)nAd@(Zww8$I5QUd~LTKnmy_;PR)3r__qm+6)WP0wNBJ)qOQ5{-jOcl6b~l z#O9(Bg~pvN)e=j;?|6oMN4wpC56PF~2vX3{z}cs)`ff+_6JGv@-w!*w0e6O3t7K@A z+E8@dZhbIGbRRT>EQ5Rqmj_P@UAW$x5-zj|YPh6r7_ehVrhqcDTBOz}^Y0ZLeP&3u zaI6d4zsd&3@lENx{0v6p{*X}pj23rt7PJ&PS^6s0aOaXV(}*Je9o}#_2bAU2wM(c0PXIycys2w7o)Uk@X*h*Vol=RgX5a%8^Fdf zOtsB5?&nI|W%lYhAsR3jFpGAr8<;i?gF06AFQ{NMa`mOJktCWh9w`mO9BR=ioOYRK z9@sPlQ3;7Jx*}{0oKN z#${IuU3rXv2CyFJOxQM#KmU2 z<>T)-+b8CR?^pE9xZMseF(OxgX1_PmFMu43{9Ay;l;LJ%8`({$j(YngbQeAmyD3;W zoI=H5=u|a~190N=2y^@qx8*lTA`PB}^u8de9bk%FYin)9=tj^+a2&n_=`r9NVn_Q; zEXgZ~1DOFV)_h18v^yg!U#DsX)dgiE*yg%pVD)WxH&cj$*k<;tu7f=)x#OaAACm^0 zPWjd6r=*eeL`XJo&jCdsjqn57Kb8an4O2Jg(MH@*gidlTMX|e zp9X;7tdPLM5IO@};C=`iRu@zYcVg1CUE}=1X#=ye)Ja393hwOW*#znsGuHx}E%0Y$ zmo%7wqJD0HBLHFuot`jqB)so1r{G%fJ5>X&Kxw#lZH=8LaAc|OXTHzKyKwkY)cc@8 z1SRxS9=|gZDypD{;6d6$n^LN8%YycGfV@n+R$Af|ut0=Sp!FIZ{nB%jpOXI-{tH3a zJL!3>j#k-ApAy*&+HXg@za*!CDYswVPzgtiY2eT2sB^>6u|=F*2#SZ8wpd3f6Bt)` zTfA*-pYT2rpa34f1-S1x@7Pbfy#_2eu(+@-NL3`fk~Hk0b?9yK465gNwiWd@K~WNr zNLCo&ME8&^J7q(h;2dyhLSz0FBzQDXM!UUn#+cuSkmHO|w625uasW}_KfoI7?MT@X z^$%=o3A{jyg5Q@l#^!*bU{XTz=E&3db|VIT{%I)Aae7=qdDMPZB>XCn8XW;#NJ$Lq zNE#5lS<`eR;|5=9aKdRqf{U{`Hg#-Ns8-H`7G-P9!v=4*TRpmYW7|pFoX^YKu>STT zbQ>rRD4sCP+n$2}yYE>z+o&WLpYR>VgbJEbrGB=Eqy)?+Zp(Soemo>i5IzjYgvg}O z9E$Z`%orFy`~ic2Wy-iPE^f5J?%OlqFQ5dJA1*K6jkBF$-Iq{M9y8e)^SNM+%|?g6 zP2KXf&{sy83_7K3NBce}Zwm^)2g>?0?a&BQ555G62j})@82I;dg;0S-(a<2{LYaVv zq6b+&$K*LbB&(xZ1wqxGsNO;Et`D+<24`c~bDK(B;Ve!Hcr)^vxaZ~f9brgT+Z3QM z#wd-M)P5qH5T9~4_~Ex5JIg*%x66Nr^AqZ;6ZD~}dWqmbEs!O}{U8Kx=Lk6|3#a`k z0`Q94QP1xL8L_G+YsEXS2zAyN+a{(#`%uK88KU{EeC-2()`DF0d8ss$|G9=68tD+du`Q3J!C7RXZ(zNtRT%U(K=X> zV&YgZG*~sf29J0-cnJ=jpO`4${B*A6lLq^9Q8t28(eluOPymYw&!m=e_VvBW06Ref z$#Zo&&AcG+UN+#6Y#mGEZop=*7v%BY#^_`YseSuB6oU!vX(bdKd=1*YmS~CGfu}#x za+^K*x-#<~U~jY6SD?ww7@TCdvmhhAY$_otRY6b~3>HX%ff53~OSbGrU}`aawntxr zOvLJNnk8m_bw670MP))b7w|fqw7f#Um1!F|FJT$U^TQ`ID`N>$Df%-dQO&Euhmzzf zQiu54TGAm%1g*?|+0G8;`-l5EA?6_jf;~ zL^(M*K`a1j45X^yh%ac6awR_q91ZTPWaD6|^cV!}~%C@>>cr4j9!85-~ zCZe&#C0zWo1jf1I8XER8Ku(@oPjl**Di&FBu=^=5}Vv=Yhj>3w)fUweH2 zPDQb&0B1NHfk`6<76&s4g_b$3fb+4TxCUj!PrS%7+)NNx!Ol>9Oi$I76#j)Az=(a%#q~Q)WoGz z4WIZKB|G`+CRINxMNeY@YpZWnUNY*N)i#A;dcRX8FXZ!hM2lXTaKJVGDKxlbxWhP2 z67~99Le&3Of&!!L|5AH5hcIKY*s{03uoPr}?A;+9yOKGj@B4f>_qVXpvy8nzHLQi* zJR!)6Hwp25=XORL=>+WfPxPaMVA4dcfWAUsHSDEogYkc(%oCyoa1pKEzmwr>{Dj1> z<)Zw-#mvgVqFsLx_acM!FO%PTb{VysXZ5&pJP|L+$oY|35EP1tA2Lz|3k$m?ACZ!P z1DK+i?byi@U5m-)arMciQ!uNH|4EVW_n$;gQp%J2alpaIpy))Dt@JfVM#sQ_90Wv? z#!Dt6LKLKFz_!O<5reK)o7Hp1hplM!U=wN0)>|B(YIHrEuNL~{GIgZISWZT%-tom+ zVN}9JZv@j!1kP*y7YpOea3J<6e&j?E@~A10;qm}$AiGO~d{c~zxD)^+8u!!zwwe>2 ztPv2^L7EWj4`uU#j3EOEDN(2);E#`wfHrocT2n(T%?q4mh-P$}Cod0mipoS?% zMxuLst%iqyZ#LbLV^rEsnN24X!<9?68|~gUXI(DRh*b#l4|@vYXz?5>g5pmzS4U9H zXoxm+Ps-xN050ko8~g`~9qF301Ife0|NWIYfChlPuc4JMD4L&H@D$8WuUI`P4d%-c zhi5b!vd)cQn=3fY!PuR-g2o%N=D}I*cjkZS;ON4Tdv4B_CLjfBwvu> z|2Evr-G}Gpkq7+#TTXI)cXYTN+n2NDJ0>aKJfLN}n0*JKklb@{bybxD^ou7nxeo*~ z#VI*55igDbGmZuG#+O;bT#YWz_igb3Kfoqk`~U6a|M}h-e)I_`D9@k&kEd^pju3dc&_F9EVzP-C!Pi_^i zJdQA?9>0>NC=!7?^Gkm){!;vZ_q5y#7*dDC^R2Sl&evNc>iQpA^E?h88$UXG>}^*{ zHEHix7~oL+Gz}yfH-QwbqXcel?t1lr`?jVgk#Y7z{5O#Nx~cP}DtbD)r<)z*AY_kl zn7*Y?q4-Iv|J&rB02Hi#aT(ZWPhfjb=b0+AJ0T+ib~n3yGTLPk!;d9O&cSK6192Zt zEPl_j+B?;3GTrg*(ee?H&!2v#~|3yze-{i;tZ9ajD zpe{s~Z=RMHa8sP0?@N{FO)uQzjJWkqrgPjbR~_#U$2QvRHS|f|tp9`V7>{QY@yJ7R zc|GPEj7P$de-xgvGbWYW1^{sd)fa%uxO6J{e@p+i{V&-_Ql4dOwA@&3&4?%+xCy$c zd$K6#hPh-XBHr`;@V$M1zER4nXm7`MHBf4SK$HPa1xWbA1Qf&Vh}=)tHt4=lEZ_mE4}Y;y^2A1 zIqJ_9-+$fah@c2h>-G5(#K?lCBsXthhS{qDqs{?+{)W1bENw>9nKH-{^xOvoIo*1j zeO&t_aI9heb!C|0WgjRHnU~*z0TOj=RhRc}#-;^S7y4mk|Wi@Yx7e3%vX>Ezc z8ey*x)u2D%HfS|ZWXd(6fV9nJ0F^&u)?uPzJ~Jd004^~fqT-J~E{HdXrub14oqk}< z2z9}NCb^9~Y>+s^-iO94X^OriaL){D^?E#8q{aM5Nl6#?D?g6&YyLDvS6>Nyy%bS9 zP>$h}|CdM#2Xq#IyzEt|lqp766ne;|Eb8B(*)Us8y&=@IvCXz#&iA!-toxxO5lh;M z1U>TPEhK7Ynkejmf!9ug6MlR1WqY~(K{ifF) zyCp3eK{OM)1l%Low%-8BmwN+{yI&WlN{N=|(O?dbGH7rY(V2-6z#Nepa!|Iufn|%~ zldDmqOkS^(v-yJ0aRTGJIx*9(W^5W|*pOLW}FWOt)5oD zlO2g~H}jbnOxV1?&J!zCG;et$<56)4@JLb8(%f#q zKwL}#&fDW^pyPpKJFK)Rb5RN;{~H+Ds50H2uk{+V+?8H7!ZfCTb-xbs;8`1)zV-F^ zd`-Su+kdcp|NZPCo+oo4Y~U(P4Eb=QCQ=WK5hQU)M}0=F&E_*bKa4;>&hve`Pg=;u zAr+Tj^JmV3BI3H$oosc_vPN%g`!V`jg;$U>tQ4=w+B&{%3nMe4{P`M z{Oc@eg0@V9VcUK9=phEv(=2%7LZP6d`HfQ!c)-Ne=nceyc>aa4@7xJlB9*EKIhUoG zxHNy7MtoM1L{TLbbz;e@T5HE^f_@!Po^ia7g?yi4BI?=M{k{J^^zUEQN^Nh$7}NGm zF2BPYN~*T%o36O>Y?yf*A)nVllIr9hW*LOH!~4tJ=a%(J+Kj%JsOjC5wYuF4P-6`m z@lRNHj)S2? z1aoO0RjS$tXl98orLwA+I4U#8JTf)GL|bZEN*;S*E8~3qq)oduiex69xVY;24woaWN zm{}s%BG++glo_>Pnu}-bcV5jWXp>mL`MV$FM#p3CTz=n2(F7APX=;ijbu|?vVVyIV(e(_VcjU)gP><(!W!ZRp=$V?b zsVPY+EcNvln-l$_0VBIsEjNo>+nU4zEN=^83=I$mx!~_lIExF)+-oa5bPAc$CFQtn z4yTI^_U5#&*`w|Fp1$f(dVd$!$Ng#EwnI9>`D-l~N`X8BBv_D$hzQ{=mAoLLwNVo` zTQ;ZPs;atXggWASDu@^x;hUT3Gre=&7dH5{k*IZ~dt>mI(Xevps@CH=2ik zXkw1SK+J4oe4RPITsg@~o}@4Mb0ZGwh-#DZ!~T-{l#a#VJ*kc18u%rDzb?qo@(gbB zx4!SEDep>5{S4+UrgE~PS=9A&dmcseAO)xQ_$eL2;>_ZtS#6UCjm5C(j;?SZl>)$I zf>kEN!LbAjn<7o1W>&=^3FtpWp@z^lLk*&=qeC_hpsK1Aq??wT`FWhEs+NL51rgYs zP{Ln9L;AM#F8{~M#06a|4b6#f3u zg=B~N!!rG)rDbtZNr|}$kJH__RL)V4ekbK3nCZv?8g=7&D3o*ub;S^wsjVv{81x#r z2_!iebz`Mm-QK})ECGk3_xID3T8Z@Tc`(_BXMoE`unw1+RwN*4dOs_QoRuPgrVgxh zLS$rlzVOF51!kx+m*KBKmhknd$))oylGsI~>d9~p$iZMW2b>{UBrTy1=8Hr% zb){s(ChTh5lZHD^S?(OMd@Ad5W$EG+HJ27O_huv9D7kq%GvjjkaVa^em^-icO>(-T zbgCg!=5(7|ZLl^7fd&w*T}RDFl2YL&OibKI3*?zUL_jhRNy_o>ut|kl^6_0ri8H%Ke561nwvqB~_I)^6^pHi?a#`3m5Jn zu|>uf;4rVFva;gA_s(9=AK8*8sH+H|9ql&7nGZhWyhvGMWpfeQn7@~~#SFJ|g^2YD ziCsPxq;nAW8`nze^tM;$dLYefUXmm4#zXJzj;Q!@;4PmbPTWWs)Cc zlvt-@(Ap}}CO^e`1aP6#(X<*%icr3PQ=g)bQ4NP^tnBTGspzfnS^3hVvq;$1M{PFK zgLY|~S5suGZ(v%AXRs9zRGsBmEF7gh)50BRUkpGYYkPfr1EU->TNx;>c@3n-rASpj z_N0mIr03>owzI3PpsTa9urw|umr!cLWBemTIr9)?-)av=Clr+V+j`TK)!SzPQE z*U8CgR__g{x%&mQau_-qa(F2E3v7K#&I!cs?#wPv= zQ8D4_@USlu80gJQ(oqu@dv08yo94VS12kqAQYn@7_)<+uugMhvNAsU}YX57a0CMIow& zjE9(lgd4n{1X2#%*%_WCNLo220TnYt@effv1f%HKKYGZomjh(7HsDeiXlSH`@%}%y z$^;r0pYCY?mC5w`Haf=Kj^FY1WoTw+XXO2%3+tKT#~P>M?qUpUkP-;V!NWY60Pcuu9R*{17DaQw{RpL2YvHzbva$!l;p z%Do846gjrjW|WMRrJ}AGi~C?w+SY=l7hvG1%TL!*Y7L&Cq8Xi_~AiH66FW`}iVI3~KMVxi>0%BUSJ~3*ls=)4o?H?2;>(RqjG*3fnN1UU< zqlQTM+bj}sF2y7b(-%LLFkc*_+LNxzaJydX$*_s+dU&60 zHUd){>b9LBoT^B$tJ{${jyGAV;rVxIR5T<7@A>{D<3t=qT4J%?>g)wx+BQ)(>W!Ho zxzu(wUsRJpqNRH|3jB$|;~1cay$d}j`{y0r{+Mjgrr;~JHYEFVsd*v)>-V`>gMOXv z2a5%MVxwW#b&vMNgAspM_p?Gi`lxhh&HGbBlKhkRCk1Dk;2fjB z1DP?L=F82p97CnW6OO!rNNE^R9QrQ8tt+|3!O%|O1Qrq!Fmk?}?Ciy*B}e`cwSiU0 z{%MXMZ&*|`v=a%iHn>rh)(a)}=J0A{lF7fwwLtTVis@}^T`+>Rr9YAPk3t3d9_WVZ zpI3!y)OvmJc{tvjH5)Q&xOhgdoEw!_`g0x+Mdu|h{Qf0Ue&_?r(TqufZ3;PWc1f}c z>(i{YW~&Wg(Ho0oYcq+sgi(R=Ye-D&bm#Q?`s;j&w%9fxh%^BnvDB}}iIA3-n@pk1 z2O1Ai{B|VYTPw(nW{iQ*>sA|yP+&GS3qMUsHxcV?u4{GE@tjdcSX@-vQL6F#1Uuua ziNZ~F+QAEp#<)D=-&u)Fq;_5cc(p0~f^y?LdbdCs)jvY6@(yn$Z>xyT-dwnIk%$pU zGb=+w&_A>>;v#9bbZ`PNC7_EYpO=&A;od37IaiNSq&|TxC6BDD+tYR(!#)%-77}CO za%SUHn2Q!ZggpxGaMn!jpcWxlp?LTuo}Y(^}CCb9y1xe;Amq~ zF)Ry#3JH-YO{TH{^4$z8D~Raje-s0;@q~mqU?a$&A;2OZ8?Ey3@$r0b6}2Qh`(yAW z_^|k;n6)!Ikr#Jc3?s|s)S#xSz~0i>R#Xnc&FswOa$Q+QtxQYC+A8w7Y?n1Lke5e* zl%JP3NL*>DzzpIgjItP0*`?Yxfm2~J77j5|&|I^#ur)#s-g&C@?=`Uaa-f)FK{k}f zS1Q&9g6|rdjm|h{3111UDXB=mJ5{MIoea|zG+VnZv&^`eOUj}oCJ>Mx5#^|l(L6E& ze)O;$X*bW3nnCh)zby*TFb&TPvDEtO$D|-dtBjUrl(@(>B`P6&E-p{ z;rNn=z~<=}wkQi**=QP=?dp0vvc#DX^>O;d2jpd9W8?~|aLgA#^LP0^%h4rC#v#AGFx3mH zuc-d2;6{$FKTM8(M^?_4f@9w$ML<9x*(E)iJUtm7ougD8y@SWK%p&VMjwn|(kN2KNqXRF$1_O`+c3kD@CH|C7q+aeoLD z49=zlxIlo%q&8KZ1lfSBx9fY?I9Ht#T1V}-H=Ul6LPU0sYQCYdb>+|7Kw-$+n9_>X zX1h{tZPDOd02)ft81aOpW5hVr@l+; zG|rDLZzEZP1{Z%~n)&yIHpGa_ZyIjsST3X4(Az5PE3KPq6UBrc z*1A;S=Xf`@r(6=rf&(Yryz0-`dKG>4_mYZ9VQ28d?bn0mqOBGISsQA5nPo#?@EiFMQ*?j2bg?vmKIg8nNUeL=_TiO34 zO_chfAgNRicUC+{FJ6T#_NuU^rUvx$`{R{m|JlyY?qlGutFL3EGkk~in;B*(Ty=FJ z8j_Ztj*Lh|Lbg_TSjoGe`w5}g@&ftMiAf;~Eyv%Pf3^<9Lek=EW6Oe3dY}J@sA^Bku z-8O}+8IlUfzTboNLPf4^v89zM^w>Wm&B3DjiOMsw`Wq1uWh7;$Qg`8+StvQsiLGZXa0#W<;w7D^Ub7ZvAJ=c=9iuGu3Y=PF!0 z2=r8Glav;gisl=cnImDPD=l;afN64NH3@zc9Yq#$81;}%0vqC^fu@owD;rGpM>BqD zGb@t-J#kq*746{JUXi)}99M{?qm)Ov{nUYzCS7&L=)tT?p|gpqi%b6PXvM`vyfV5< zBit&WF}t!8EJ1=S0ao{C(wO}+EwIN|jt9qz(+^c-3j~7K*Vo}O>B^U3sq3;Bc(#RE7%b=tYEaz_qtvL~_C?RETj*7xHCA&h zBJwr@i6cUwCKA@w)dda^-@;EFZyLpGZDBEi?(6hjVT%wXXVNsuIo|5jY6#TV?RMF_ z4wHluQ`;$Z)}T$i`HV{Y?)YYERb1?Da=yefZ5Tp>q<4jwmFxyLU<0Hl0GRKZdDd#p{4{-! zuLke{22R3^%}YqXeRLf&@vZOkwk^;i3Wp^2;#UHY%{r4N7kddC#IV*`!=}y$Wq=Ma zKSf8O6fJBHq|=I|D=W3k-|2n`A3N~Ww1%zMXwM*%18cso$^=)61mJ?sqXohc(1N%J zkiD%R?bE_FEzCur^rkyeYmDxoobWdkh_TD3%r~ z)I`T2V(WMIc!B3$efSXsoyG?%0^zRwUvj?%1Z|9M7};hsAoX+h_l?}$6`jf0*)a)5 z4ZMjw0~nzOY=GS>!JIujRMqxx!T|B&N?dFlV(%JzE8CsrTAbY!xKlSvi+mu{+SX#B zRgc!0-h*5Z9h|^b4beDj8Ob)Yg4P-v`?Rvk5}Vz6sUW5$loz9)5MG2{P0f`c$&6ly zjboODiDh0%Wmo`stKJJSl+Q~l{`UGrj88Sog5 z=*p_fhERP;u&!xUVRepkYQ1}NTq9_$rzYkq0&lFSBR5f=k)y{#FD7QIqN1oFrnj-! zX(}Vb$JG$mxtkwY59YaVeyI0{61EIXp7aY=IDXWCC$5@F6b9gF_Cd{%1Lib$~F-_ z$|&NF4GP!f3l7)X3+S8yetffffE&|?D9=%l%L&B}89HG|3?)lkqwt9a|&oLohS z&CNif-QHozt*I%Q9RI1<*vPhWDDL%QK8oF9U6O)_gPl%qZd{n01i;8+us5xk0IHm` z|FE|*lNF1eShBOE7#=gVbUt5{D*?{1L{{w0{>UNS#hBr0;z^T-NgUCvHmgd@OsBCi ztJ6k*U1k(6H^x-BXluIUcG~JVlbc1iDO5Js#t?MR8a)z7rOr1~DGp&!i#zz$L;V>` zOiVPeg@A(M_jyzO69wr6kIj<*Vc2Gf4TlvH78c|PgR*3RZ$M7K^P820g@v6Ric?X( zRtV^N`kW>4ThzhfHVTVDkhNIq@c39*%wHZPv5+1lJo<;AY=D!04Uqy!zBG!8ni@tm zV9F5)Iz|%V4tW(Jc5;h)6qpSS0xj-fsL(HsXHS5Na3Z$leuZrsfm8>X0Y4Co=JgL^F1u2FGY~ z4|h*jrAW`#8zi`feU4?XBqLq>oZsbgTT9q8Qj&(4uGad>Fxd8sqBIY_Xxi?MX+%;N`G%#iN4x#P`a78< zH<{VV!gKXprsS2+;~baP)JA?(niLz+!A1=J@fJ>&E;Vtf<$AHNN-bgL@4MaEvT^Ct zEd86ql_yHe(k+uu3>kEd(9FI4zj_*n?_n$(6f=YNaM+TvGN7yl?_11v2Z?~&AIqOK z$XXDxRFUchR8kxDU*9UI?ZDxHj#6(Ec!fPl^TXM7wkg%hL*B&e2u>#EJO z?_U2b^BXb_iU%qSY9+KBo$(szkSJK` z3N#%1_3MW=4q9J!{m#Y@1FhN_$-Z89ry`gn*2MLd-ah~ z7B*<{{~C|NNAGQ&WM1fDJIJ&kAv2UcM(7K$<}@J?)bkVF-Jv9;Ljy(q(R{%@y#MnD zffpD%eADZ=~v2WTcwcvNEt6WK=7&drG*4h*P12 zdKEf%!Y{fx8|=qxa47>NMManBsEcx={ z?&s$hPAe!3EVMug;eP6ZLq+23>IC@*e_sLH)#><6{cr&))6>(n>&0z52g&}fBq?y? zp!1amsl?kXCZi}Ir2SH;>A}%;+w|&Izx~r0Ul{RZ%`NZ@F2G=+`H>Eg&j)UVYOUNr z0}l800!ZN!pqgY=RGR$0zw&8hbzth@jGtE?V7ifJu~BYR)$1pg}M-$CMa8v+-OViwQ$;_>lv$LIOze@|<}Ughuz#t@1K4GWEdw+s~hsM_43 z?p@m%6%ow^_=>&(s^J_I7=PI;FD?SiL~|}764B6*U`GwE>qD7X2D6BuKiql@&V<2) z6asxgSl}I{{a+m8&rN{L8zSnvaIfcUL*W<7_+GF+Q@x%#ZmnVyG++}A1rk@KzF#b8 zva-IOvHTBELPEt4kxFc{R**T5trlCW*L8e$2J4E)z6m7B+@5C2Q~Z7wvLTIxssg)~ zfN%w2h4!oNQwt>hi|PiXxYQe9FS}#n_w}LkgtB1v>uL7fI{n>&VF)ZLB9)i_OaR;< zkqvnTOCjnwtkxScMqS)ECT5TWUg)W3IRg6n+7sNOtuF6^F12Cx`^h+0|*b^V{ja3B{+W!2Ce#^JDm+F@@E;U+q?q7 zDF{5;rT4L~CO0%E`eFJkmg*`gwxR0Y5(-^zszHzhs4Kz`;ZeHgH+NDxOn6jMMh5@2 zQiZ%&T*-fT0K?-$Off!w&zDp`+&=bBj~UYm%tyvuED_XW;btiIQ=k_N%CV4bGcbsN zcv4Qrxbj08Vfg2Kx4ET&Dw*lIgN0s0odIC`2d=MwPqlP)Z2*6_TDlnP#UL@ngoHbJ z%1*o-7VTXz%5nnUzgES1o;RKIo{w_9-o{gC+uMOe*B=yY84Sd@{oRz%t@eG7h1k?8 z2y%mXOE|oyHpX6ad_wgcg~Js1Npe~2SMw$CBs`)z8pB2T422Xlstzv^caBIvfsY|I zvwl!KDU7Np1R1Igq@l#H9B=&IR&SK$$DMV^Cr2Hjk__1a!eSB7Rp4)ZP&_Z0I&DN0 zypkUk2jnvz33wWvR^TA#P~T~x+jsO0H$%-vGqGXbS9WTxnPer9z0T2*X zU%lP#2(JIL-D_)e6EOYp3}1MM(#)Z&Yj8lo?Ly`2=F1}!3>xU4NP3%N@a{K%y>hwr z{q<*BMs#VRS=esiUkMxj_v$BaS$6+`U!Z!xjx6?PD3IG59`q|#;{)Vn8}p}bIA>Ic z^9jkZa09|^QeB<>#k}Q26z|-M80Tc8>BR1`c8@39K89Fcd3pkj!w@w>2|g(5Y&1sG z>2pjnAvt@d>KLmV58>3bP zQGOuIWHuN2$&ALZ7@Ez%oEY)@I`j)0zB6lWvc}i&o@%V31=CfOw&vW_@IzROe8PPRN=#N(3R;?W$;Fc`%LV4vPV+^t*_0W7>i0R#D?K`p`WqI3d@ZGm zWk8EgjwMIj_2P@l8H|DxJnBy7CIUhVBg{|W?Ay&H)Zc{IRG0Z1m$|UU`IVe*S4PAI z>hche-9{13`5L(`_y!$>gc;r5d!<&deU_+N!|;x-?+BeF3JroxMDYIni`A9h`o;?B z{HAUjapG%i-(i513f$2%9{q(pIa&;IMi?g+c=sImZ*;oS;@h_)j^=^JRMw0TYAvt) zoop3)_v{>U6q-R7P%HfW66%+IR0Y~UZ8cjiIOu^?-Ji&P60AirR$S$CxE&_i*f0Dh@92sMY-V{|17I1~-!3b>xDHro!EDy{OS@(Z{-wGf)&ku25M*ORA1=*U3!!t+Y878N*bITfs|#^mBk+(-E%;FX&f-u1ST{< z?pIou%>h<#m)G@RjD<}x8Fho;%3x3CKR*!(#RE8f%wR|09gevI>2awo&stFWmwC3s z+5Ck8n3~X)sr8ai#Cm*HN-E6sMjCQW^yfpTqQo{hpG@zd9+GgOF5QQJIKEiz9EW3Q9M7LMt`8&68H}DEq4noi-={~`k6TWX-GAvp&xe3wVbq|X z3TB7Gc&;Y1e<80ofh{nRGk|(y>WRwB-d$6(ZfU@^0=$gSpm56NdJFAOxN#feZyf1z zFFiEgmf%my=Qj}1CwGiuDQJX`p#9{p6yah}2VC?m1)nW$;!9LPZa z*vBw)&mJhT$&t%0NJIQEEZHZy&54tXE+5T+)Ubd7fp3rB-^`Aj7! z;KX!cTf7%kE87|HpTrU)k^)jeh&4>)wL}AF2Y6gg{XfuAM`&LeH_;3-#a{_O@(-)g zo?yTtg?78jB@<*5j>0{#KMQp7a|tiqF^<_4>-72BFAPOQ<{S1oAVqMm5D12#oy`O3%!2WOw9X|(~>93S+ax#GHFnrH%~iUDNEoXu1wo1!D2+qB~+WwbzW8oWTh zag^@L8;4_Y4iN>6!GM=`0@#s~9AdvThX?^=s|?zN6ZV#eGWp{CjlDFL zc6S)u*icF@2SA#y6WeWJ61{GU#d{&b(%aGV8Voh*5k4Q>q0e*T^G_I`Kz{^O6rFnF z-{^d72EL*RlsNYVlf{a*@UJ4PE!pX!KiNs<_5v#r$`~0%!7!a53@MY*bBW-c!J4mj zR_zshb>10FH!%!)`MsX#NK648Ked4CY=#M^UsZ$$$7q5=CX5b@m+qonV-6+3E$5H6 zqZ?gKqBkXGOWqHeX(Tz4NsdE{{2_dpZ;QfRIRUP4$WT-RLE@^`&>e2#PqYKI#Bdj} zFOnDymLY~xE(sG(xf;(CP2x2QEv!)=89c!797yB}SP2tqEH6Jz3mU@pA1**eMddsN zk}-!e%jbiv{XBPZgu}yZI07$!0tO_8K-_apWVA9W_G(7CfjIqjDIqF(4q*yXo=RLi^fj` z6NT$`{YDH}zpYiPfBda#1s_b6w-{c4pdAbZ^|j-I5tFxGn~wZ!@%1mQ~LuBV(#r@4tGQQ`^yTVT1hqPilg z=5LuQGICm4+Te|T7P6B0P#2pQ;rN7_M5@tPOBcr5`}@G?H0DFl6VCPhKbT<>VAtu? z1z~AFvsrA>;DTfEI1I)ry9>uxk)kYt+TjoNr)MNrp z13I)^p~fRQjEWe1E;Pj3_$MO72cS}CNDaJBypb_`KE2Bti;n9hRjuT5E|$B)d*D9k z<^htVKH0@?;&{s3$dz$MoQ2(CCEaypU&fEIf#`Dn183wfd^ySNAd33@f^pFoHn-1G z3>nje)j&0v!YvRF5Y-8fCV^2Egb+ou2`3gOfIfs$69gIDNvx`(l?^|&_R*+mPEb1 zk=^Y0k<-NqQ^N`X?YAz}Yu;qnJULofug=~g9^A6m8{F5&d8GPO*C8BNIHEIK50o%f zMpV;?3#mQ=+2-fx#6T%PA&$cX1#m=A%H3f;wCnrv0Jyl3FP2Vlem8Q47(TbW%OP%f8CwV94<3oK1rzmz9GtGwRZRrCdVJ+F@5H3HfavGqTp zT`iU?rE08xbFZJPj`xuvr@>_>%GTi+#hAIHoEcYYwq=hSXX;>xqY?#e7Z?Vh+K zTwy^qh;D(o#gX|l*AO&sLUm!5@#&n&apM>Pw4( z*UK%j6+ms;iu9;k+kJhPCMn<0Oj~;$=r}_2JBiWJj~qn;oE%%|8?Ai}MIN>yl-JD> z>+^TFpkP6TWrp_qV>(My29d+mq-~t^VrBa)_r*%K!|~XG#K}`lE=#$Hai0~^u&Tb2 zR=`ByAhLbponL@|WoZGeO{)&z?pUnCzU*zf`i1VQ^FTf{bd#TPi1eS@ZcaEDMc9zB z5>DoT>(XT={f-9`HQd0-@mNeyC7$13G|t3*AzifUBgy3CK&T996h$=%U*c5M@sd}yYl*w2R_P^sywO1t3nOn*RhX8q8#H2SUQ^euzi{I|e(L|XN!3|8~0{tZ~!12fW*)`sx4 zMu&|ZtUT{YQ{`1%cAkI#K8vc@_q9G(B38`6q1`GBvmf@pS~FNuYXm$G^dhqSy5wE6 zCv9pbsTJDnw|``RR9v&9NC)wLuZXh*xkwcKn8%Em%CB!ZRs84sTE5ehoIX)cAN;0lIw>^jj`Y3H66@C$kS1 zqbHRwhCfdbt?kAXSzPYwR8nyN-CCNYIyNi@w zFIn+j<;~JLO2R-??=nq$a$l{8riOFebj>kl0y7$zHDM#AfU`y*xqkEp(|S1$`UE1T z-V{WvuP^XrRsHc5^!g=9{Ddo@!$@(4i>I-{xC-Z)G3?dT5;5E}N??W@7GkqZrnB=6 z@q_33ySee#7PrgkTULCOGbKIu3$sMDm?$>f#vh=q?4B)};U$O2>=aT#$o_Pq3oqr@ zdgsp=yfy+xtH(dnw(knuAFYbY%1(J$0067`H2&b{gb#Tmh|sPZDWmhTESaLu(LNS8 zyv?Jec9p`rC%6QPZ^;{qOMs7Dj-yElQCasWLl5%hkN|v804?Vx5r2Up2w`VFZqPnhLQv% zJ#JU6r+x7dD-R#6xH6KMZM~lbxE%7s~H5z--{4h0Y<~lEZrN?*&mM8B7m+chaAUOr) zi8wAN(y3?FiaZ6DJO=wv~&uR;DPQ z{9G&_VwG85qzur;P%UIfL%siWew;REan2qLwdU~HktG8}b9kICt-9?#)xU-UtVig2 z3N{MO;d|mSCZ4wCsMJ>SA2hkfUS%=EvR;{DRECDfrm|CiH2OSUyU!4kZs=_Cw0llW zYzEFcYmlzVy&+lJpxv!R>nSit?$=)FcG4(zS=K%Aduo+Hl8@>nzUk$^m&eA&YTl8e z1eX(;+I-li`|O2{JG)gS7>jW^>#xC zGUcV7jq*#;DQ{&8IQ`z<+|_$-ebv*MzQVy(3qe>O939_h_Xn=4okfC^<@GtrN-j9h zDkVW?-=0#b$;Qh!U3&;{BI)h|Mh?pDp!-m3k6PQk<(%Kks1hku%M&Yb^4?IK=;hDb z0A%GmnIsHYus*DS`&hR{s)2sQ9#K!sSKffslAs@YIj_6FXM^I7Q`IW9v90c9(DHNz zJo)>3=rToGa0Q#O)_H5WW$T~olDqC0X+__k0RD{G4WeJ7BhW)c4x1KMzDP0XKKpUv za4%q$sYOjmf5Y(H@_MJHiWYBwvlhda2OeUjJ#UUTR&P~WMsY)}-6;I<%O0L>`|bRI zXt7vpKEln~*=k&4w#DeR%$9A<)?fp ztNw;SKu`uXwZUPjxuyO~WMOf7WNB`Ec&#tO=eVW`IH>%*&3djoKuUNtq%W^TvW);P znO(Fzs-$609W+LZ`(_=v1Jfa6=(R)Ztb!T_HuRk?j(q=_#2^jx7&94;l>as?aPtFg zxeSiY`?sU7Z^Etlo&e@?vFR~Wh+lsaN%J>ld(l#5NC>2nTZuP=3Ad2o5ek(;{a$$g zTFegOnH%};L%TMG-4g3VuAO!d`PNuzYEK45-qiSvRFIQ{uiZ1IEyeaxqf7PTDmgxG zOhHJq278vo%G}a&@K}9$a^0{&xzejmYi7sFY|Zy&uI|pGv1DOqMh|sRDh^hf+Kczf zi+qjOPxq9%JyX@kkNK|*>o~sY2D|yD+JFkECL#`UmM&GDG*H`6yK|d@D!H)ol;1jx zIYNpNOHPpVV)0EOf6y&gFbQM8;6z5&2Qu7DHimWP$m#LCupQmKaXEOXnaU3T-EsM7 zyXCs@Bq+;;X($eAEEs{P!ex~e)LQ3@lqK&+moP69AKCb1Q%4Z5-0;zrA8Rf3R92N( z4y4GmF)t!kcBWeg=2isNaP3QQ;`4_@%F-c)O`J5r&l~Mf0(u3Q8bq=PofS?_*Uy>z zN)4sjxv(umqyU983_pK{ii1gt<&mt9&Y$Rvus>GM72BGtW}K~8IJa5y5c<*q_L2(3 zKbp!-A0XQ%ig_Q-T9sPxKPhkgVvKZ4>f{luR^6_G>LTgc_STmxqT+fd4*)*y4u>w` z*pZbMUR3C%-qXK&?72Pcy2!qs7rUuf&R*<|OC|LVUPBpr$Iye{eM;f+ETZP88jclQ2Frt` zq5Tu)wy;xJt6uFH7E3s7&rb^yD^K=1OjhyEkFQyltT$ia+8Qjy&a1kv1f*WH&Q}B8 z6oHT#)O%8|V!WNn{OsLIz#%$7lgriMbC}wB=2xQnW}qK-UYo>O)5y)jr4=X6UBbeI zMw^2JtJw>pw%_Ynl8%Ji2b+_qj2Bea6g4xTa&u+9W@a7O=h(HqFON&qY%4;wo^Zotr`FB1$5QQv##UaP-`>MvFdt2V8d6%(5&E7Gd zrKoD-^f$ZR$gfTNu%reiJl=PfDvRy&1b%jVW8~Y}+NVC8nF^x>r#oEP4url9gte5= zF_1H|Genr4+o|IJJyLsqO-9P@7;!&iGSs9UuYmHK%;t6fY<)F6l{Hge2`W#v@05H| z!ZC&E!fEjNEI^RfTu=?f7)1~CXCYS1G6;%=lAy$ml$@l>R?Emv)haVSG|9IYc62S# zUJT4iPK_I9FJEo*Gf%&UYk#!WV)hyOoo<-Q3)@Ug*_{%#pRA!AldaS96Cq4TIVK(o zAqZ|<-c8;YBt7qP&3CB6Zb3)q*d8u#@r0wJ^l{uc-MvbS$#$@-$KprjQlm{=kee;P z)4nMzJx_Ovop!$M`Hx>%>sWs{o%hr=jilvankX)s47h`klq>KYJv=xWX6r2D{MSOb zxLWBVN;~U|(|$Lp&?{J5xv9(BfvooxKh!K8DVaCh>a<%7)n#gs)_H8iZFKapuwrMc z#l-7Czb1dl0o^j9LSt|(v+n0SJ5-s}3lje3*4P;MrJ=F%GFOIHh(=8wL9i~rrjK@FT4-7;0GA@aMJd-66xItKMANn1U zjsD6twJ@{};05QS^g;s@QWt_T5k1=sqCK9{ulLad=@E**c&diReq)7~-n z_(yKhlY5CBkIiOrg5mt*LE^NnQeqN&du4HLQ>>f#Qtj(gix|jBnlJs(!QoSx+oLF>Mi*)x>=c)mrQ@e_an-FA zr<)@XlZR^_%9OUZ)pbNvzW3MsC~va$F0&xb9iQn*xzufTPG6IU^k$IQ?63-g)LY_a zO2de@8^SYN9rc+K-nW`w5 zcO1x~hH>g07-l@bVogB=7n)Lv2M34ndB*qEST0q&%j}0ih%{q9thl+2o6KwI9BUpt5LTmW*FwdYT`E>Ct zvG{h^PRP<$#od|Ly*Lafv4wk|$wA|fg>lMZen_XLstVQbnhBTV4t+}N<(|7UF@Asee0j*>`>)8kJ33~4a zhMuK1tNbi7ad_MFD;p)(KShaTWosOoj|Ft;^d`=Jl*_xt+vFRY{Ed43F!PWIR*RMz z%6cOc{!{%F69i}5X1$AH3+>ivD?bppaFF7!=MOjW&*gZD{_Y<1Ww{Rj;cV%s4$pGF z>mmz9xKdW@FW9ZPOXZFI`#vgIP({WJ`QTVUE_#Fpo`46!e7jSt^(EbbA>|w4nE*Rl$W&;s(bS3Y>-AO8 zH&(vmKe*?&-tvN#{y0ymjh%mfeb+{~`p)Bfyuj@DeQaUD^rQ^tx#arf55bP%{_eiD z#*)$GR=1(60&VC^7NRyAZf0(Ib#bBIqnE_;!tDH#frZx6)y&-GU{<9$HJ|vRA2Uym zd?gMEcaxr7h%&7W`a*f2 znXl-7+sn7hRXTpic-r?M;alPJ-wq0P{EbfQyizH_{m1zy2#I6Au@dB)T`a#2j>hAf z(sdCn(kWzf>^RWl$#nPQDS}zb+&s2G_n);1EI!wlNe=w&t(=1;P8nL)r|I-l zl3~9ilbJ>ShO+3g7q5KF#cO7UYC(CN{4`E7Bo1UWIxXiZ_9(ExSXflq zQIPwGA$gGOW>~J&G;fb2r;CErb;GES*A(bITbnfU)9Blf0NL7=ommxgn>NBsYVkbk z>Ya;H(@gDYKC~>xb{?Q}mjqMSB^&u@xl{!TlC72nTy$T*XJT4feu{1{sT8yjhlmhj z6XpkDy1tp%kqNo?+cn9Nh=t@yq&u^eY_VPBbbjmYVP&VxC4F|?MJbi#FmXTahsWp4 zz^=--?;Z)`7?RbpZ|?ff!JsKrZe(joZL^F)<@Rn zRIgOB!E9M|%(2Yzg6inJY@dqyB^v6(_*$Jt`CVXAAYubtD#w@g{{Z+bWmfz1QEiI24a`&mFR zn`lsq^%Wc%R60P=vq%z~*&qtNye>r2YtLC)T3QRgx402*n~&G;{$w?$83z=W@$Mo% zlw>-4v)l1;?Oe1d>(zf!D4Iy1%g-Wb|4!`gkynm>-0tJBe>|GE+p;&OREKcbOl7g) zS2BY=J2nPNH*t~ySQa*;`eKRxr3R;eQBhTHuYrZ4bo}L|E|=d>9(jEcy;k>~B?#@s z-dL>M8iIb)kL??tE7-;R8NWH~Q8@~|%-6-8L-0%l=?|OOgF~^c!S?5u46l2R_jA+0 z^!LYw+`AjdEZ?jo{kwLt1GxdiFKDE&OJXR)#@K=Bih1yLa`)7A1X6`~f1gr3@5egZ zg`U9Nr))3E7_<;3`|Wo#EQe%mk5Z zUu(o$oZ(I&9Vgkr8a2OCQc*eB_NR2>A@WKuDl*EOp0n$;`CN1`JX%#Kb4WlZ7Nr)- zI|@yl|D2wS)K9~`L6w{B;?11}0&Uf(BcA6>X0uhEnHeRzOP^pbzJqa`pNJ2jor8lk zWPtz`5Uf9hdWt*Ig3eCK=sP+M3Y$qe2JU|rw!Z}~G$dpU1f&c%D*NN;?DnDhjgpWL zkmzyQE(qfJ@Jz}t9dfq#W_*$4IVp=Q_$UTia+;DOVeIWav}^?LjhGH|)Ws|N_(`5p zf(FsOG)o4V3&y?A_OG1eN#++Q}NRpggJHh82%UpG=H#thN zJC=E^8<3kHnH);q_Uc{L^6IIQO=Fs`^ZFenz?e=C{7s+g9F4iCM*2p3FtdR(e@qB7YVsb(vX^e6 z>iC*06AJQEq0vF@`ld?O(C5oQ3uukAHCRV~o_; zhNypaka9h*bcNC2en${v3eGF5n4?In634j4fz=R>>U#t?=^a)LmUx+m{pD z%ngSRVi(Hn(kr2dCJJ$l`_+Uk!u$OVg?prs5$`M65)4kD{~q#luz|=pGa4G&N|?#G zQfi!Fp{3Qig;HzZj3Yy&jJbt{)lB0(JNquys|)H*4#ta)rswIYi;jl*IHTIl>5n8t z{u1>2!y8wQFTi$?i&Aw8Q-Vi~nS~iXbX=osqJp3Kx9gVY4HbkVd3Za3uFGwK4 zVP3E6m%QWYQe7Hi=%DD)LNR0oFGF2ga3KBbY%msGr9T5bKL0Dp;)K-$VPwkyzDB(GwhD?5w>^t&6a&ZP3W)+y)A6S5U?S0Z(es9EM# zfP~N4DsD;QU!(aeo9x*#wO16lso{i1Il0ybi;176>yOpxYi-9fi&#Wk0(B+&=NxEF zTXIq`o7|=!OMY$)>9uEi%8#$Ceh9*wt+O(W9n<+Ui|j6w8Sg))zw3tVtrhwp@bhxI z^Wdg^m-;iA=%dqo$?c|~n89RIM*MdB=i~c&)c0D~_cxGy_05RaM#!Y+o#&=>PlTgN zz3v*AyN?^VUC%=s{BUkJH#Y``?6$@DP-4Z@f0J@|eO=SoC`M$fWu%;xKW28ro_WS@ zyN~RAd^z3`=pL{JZ6_*a($4Z~ynMG|x1dpCB0R=HjJ+M~X(5Vm?( z)XUr7&tm(#vXJeN@EvFgAule1-W^6Vvi{y)5c0+WVaQB7gOea<`3kK(_@Yu~Hf&YG z{?hkf;gtx1kWCgVzDk;tt#>cWwZaDBkozhgL*iw z#i6FlOScFs(mc7AxU=aeO|%@dU%tdUnt#Bj%i$`)R5>+sxy6Mvh0o(k$*q4fb0D6n z!&BmV$EZ;5YmC_ST9KMK6dYo%m71P&PPj3BAI+FQ=FE_HO%a$l10y-0AsB)JP6H3x z&ACufS?Op(oF*88C;qiDII%RVC@sLJz7+1qUA3Ius?h<)dy;b!VuiW6k;OH&r1tmj z2pqoszKzG{cRS`=8@Ktx@sMTA;;$8Hzhesv!x=;}RCF@r#KpvR7iyZDvCNfIGb;i3 z>CB2|g}(muU@pK1^d3wsw_ev$!dXHHo!oQ)u#f$(Nxv_SiVDpZomaEUUxz#d|ISr* zUXNu`E-PFL$qm`AyD<g0QW-01_+Nv6cL*3^GE#oTHCAGPM`cs z{f@_~pW>rFeg-b-;*CB&ZFHYAKC`!41o-iR@)xc81{d2s-A$ByACtkXy|KH|L4B(r zogaU;DtzMot%^eQ1=P|!A25T46y9!s5p5J#EgoM&v{X2bmsQSKn!<9wKqWTHe4aW3 z0-L&6J4+Z?$}CyLIcLysYr~M{{8m3d1^NWf2&_GkI0;-V>?9hlliNy%Bj7zZRaCaCB7mV;TdQO$fQIJUA1Y0jr`7*07TIg^jA zI!a{hoHMJd5hf@tJ|#xw?QG{>kKl#jhgQyoy=oeIqViatg>^zFMkGxWq-o_!FwahL zcSkFrBFH#B(@t;a+>xAVir$qBVHsZ{byoDfjz z0u+YyfpQH(mxUb4sjmx_E7}s+Mk%NT??}uqurhzf6-SVYaBF8LRMZLmfE+3^W$%79ZAgvKrfufglJzWp~FsTF@T6!lb)SD97XFmlUdU_!}lnvXAmXZ>DHn$i2@Vuin z)3aXd0R61<&}PJn7cTSf<6Fy{DWgV?7A{@>L+;m(9IvZYhFJi1^h)8Y<_IxRbSC_- znr4Ya7fHbTS+SObVbKn`E;(^-A>Jwg%7_8t4<0wTe~waPbab@InOLLMBJp|* z@pJhyi9zy#xd|q#?8P6sN-9jXvX@n>L-Rs&3?+EpHH6Ef6qo(#O6wy9t(pgK3y$dh9HzqR*}-@RO~ zRT;-7M#dQF3TFtkQGrQbwA8iJ?)8Uj2ZP{vSX^oAK zw%h-OUWXflultowrhBmjM-)R@mCv}ApB2a5dSgQIi z<7D)+MIn_1B5?>1#-mR)5jH#)3W6ly^_UqSm)XP8ilrdraf7F42H4Fc0o16R#7=G# zDa=$tEI_M*T0n5}&3!dBt$gt*M`;le(RYhAtBEt1hbWVTm*2mkfJThx{b&K?_c9uP zWPTXXIbtU3CAxdM)pqyln2~C^SnQwM$Qz|f?(%8Dv=h2iP$yo1oI2EK0j`5T0-A;; zc%>S)Y{4q@nFt}L!iJY|OY7tECF+Z;vpBf&R*5#Bl~eeuP4cifad|aMGnHFG$W7iJ-AdwZ;5hq^D7*kj<>kU%6nDk~rkpD3GBRnAV$cbn`;%FKLEq+b zstocd+$4w`0}rAEfoDXv8{6gg*#(cb_I3aHX-YUj;P(_z?I}G9*^yY2MIhj-+YpDN zTvgOD?+6YS09;*W+6XwS#lVL$xt~gvGC-`TBCjqKNTJoz#Ft+B!W@GK5Rnp>8T6Z| z(uStf1Id;-VQLpPL_%Io3h16%q?-^I@>E3?B~pN`EwRc$r89Sj)QIFs-Qp>!Ani(> zll=+^UK{8uZPFu80TWC{9AaQSlLG=P6rKh{%V#|%0pe2}Tf)%UMzMi&Yi<}-F+uV* ztT$jEGp)R$L+BsGPYHxMVC?CFDl8w+FCw`B$xaGfA{$2rPe27>22W2)!tC2Xxg|FG z&R@7ueIUDw&JXDP0gjJD3dDfOluaCLEMF0$) z>2q(-56CCfDfj!yI1;Ty;@~0yAi@XWVG|d{K-$Ian+7AX@=wIce>nx7y90#d>#EoT z$;9Umn0O~Kpjtdcu?J{HC9A@<+;TFNSq&5L`*;IBU^SbF;dH++=fP#SLdXA@fk?C+ zcRGZkUZgSyTTYu`4Rh4+pTu{SRiu@u(oy8tn^B3)iAYeX4yjfK9vBaI5+RQ%K3_FyFTmNVEe zQlx;qLvHNTax_9@;8zBp7iOlNjg5_oSo{nLbO{5f5gdmN1~rStZG-E%`r>AjX2yP) z=r!AKnbdM?VU9NUtKag&0Ou96M)Ozci2?eczmDThPk@sZ24o$8PZyLc9aCw=>n%LN z4cJ?wd67bHf&r})6GZI|QLgU~K@i#DA)PT9k3v-rB5gFA#9hD;bq0JxH}T6jzLHe1|>$fhD5^*&=#P&f$EeCE-Q~ftw=IogS_))6Vw)AM3vhn~388(jGYNmw zwf+o-Ly1$gASESDqSsM3>_N8!x1s`v@4@GGDakN$@D0OO#R>a%vVl=u_T}X-NgaC8 zt$wpcr2!GzDx%Pi2DBvkiXi?P{5^aIu0Bu4yOkKmvwMMNFG=){WdgG*Q#(~i!;gov zoE%~kTUrmxRtBhihFcK>i$p{2rZ9LBFgFJWe^-#TnlP0FKWyQsoGJiqSEb!(H8xGZ z3)CJMfEQrc7+^I@I$(wd2Tx}4;e|KDZu#3J2R*`Mo*sw1-53D!)p3cZYSWm}r`c`2XHU zK7Fz=G6KDEJ6oU@h01v$;>MLxP`N=TUNq1W%cGs(ksMa%1=H7XadR_c!fuJ{ch8%f zwPQLHmI?X+5=t%{<%?CIh=yY5`0?h+#x7=wI%plmvhjR4o?I-K9#Y_|>P^8;VhT-1 zQaA^lgN;dJ>2kIp)dGiOVIwS@m6a6(>tONu_c(iZcb6s<#YHZ`!}sN$x?y_T7e)NE z4xr@_8@!Lil3hQRTF}90bLBTdztjo8{=|Lp5`fW6+&vIUK%LLc1amfP`03klLAOk_+wdM9C!uB0%@~L#~D><1*z7K?TP6-{u~SgoK2U z;vpSTr;H<;=BRFv)=zG4`vD3C*GjvJ>WOoxRLh{wRY2tse$sRGtzbku)*7{7IJj}k zH!79`HC$xH8K8L%y(r)gL(HLoL<}hErTGeai(%sr?=E#eE$S$iGP^V9e}zEEdH9os zkrB!C0Y&8t$gjGou~AC&$RfFPP+1q_UZIMEACMEKfGQwb1OoPC!pu7CAeIMdBaW2o zGY*9ddAApdD!63cSA@2?kq(?drw=34Dri7I3+NZlAFHm%wTXLH6OH+%3(Dv9h@PzE z87(2nXT!7N3v^q?4$)p678D?*ZvlxMLvc80XhF^lr!fN;As#?<0qF03c{mR}OIEhi z+L||964|v>QBgTLKXDJl$_oPVC)app{nSgFWvXrEE%0 zLgTJpmr(V*ynsv}0h6$YAIwiNpm!Hg!-A#L4LH zWALflEb1%iJ%l7X11!uAUI+>Xs@Tnz(3=%;0y;{13l*^iH11b#AUNbtCyK0S3GN$h zfF=xCjfXmDVC?zv)~e_w0znP5jQs_P(1f&zK(@SAv#_!vt;BG=(^U1PrTN7YVX*=5 zLlEhy@Wbw{*PG~_VlWKUgX_{WGT;qEIQcqwN;n-97b~<>4Dph;g`xQ%3OqFFFxLkE z6)ER(!!j}DOwkBC=LVDMqIvW&L_ljMPLU>W^N=RMfC`B2xWjUgh%goPmIv%(GvJii zg@yRbKvJ?u6OEoB3l)LTaUokG@$*?&hkKjr_G45~(Cnc7^oacZdr0hGP!ySKW~)XH zTwzZ$!M28I>PHECOIl7l9d0i%5{yxdvb)-KL^1#n+hzj!cV3QG65;0^&ZE)XiV6?#8vE-$&{dTef zb^_Yrg28WAR#tXqer5y(21}sh$F@h@5ezf@{)&%`oH6nmV2lKzc(zaqHcqLU3Jd7i zEZ4~PWrYysSPA|V$qclEB?(P|CZ>ap`y>Q2#fk~U1vgh#Mimsl!^&Cf^6@HA_Vn`7 zu#q&h5KD*f&W85sGmC8mg}-qGRd~Z9Dg$rQ_f9Gq3T&f1@dvC(AfeJB+&8dXw~{Zm zIve)>zbK(gU_r-7SGx8f)V>ta-!}~}Vn|R5bC4YDRQ5_m_yux&X%4ONsA7tQ3%KgT z{L1O<$W5#YvMTAZ^A`Q<*T8B3E3_L|OQvAKUa3X*+te4(o}Y@L<&fBYB@kb3z-9zF z$v+~Y)kb)~!hd0-B5g6;`8mk-+eyVCc<=iT)EmM*j0FZ~@GKt03sFZLv_@}!+wTT_ z;irC(XJV2m!>>Ov2#5&TXn6ghvy)~f{MW(g5RkoELU$2C0z&U9B&NCywQ(f2R?||nn_DL;sRvNP{0dB1y8}(-Cuh>BVOqmz)$oX4tDfW>_VZs z-#8&0F!#;xKL;G)ql8XEdo0h-&LY6D7~G)+5+ldrZ=;e}2_7{|204=fT?49xHh(1l zzyVzY#`coDVT%t+BOX%AdghD_RP7K480);sM@3aRx6Wa>k#V8k7;!+3Ji_s)k zIt&nW<=FM=3;#uW1MM98P=wmWp#&$)Y!8kd4lqen9y085v00cB6o(q1tq# zP}H#r`2&;$;(tp{w5D_{ozxo@_&?Co?=K0B+)IHfYl8g$|Hl6h$3vB=cWCSv48o5` zhE7fY&Ew%vKHukSQzH;xvt#m~TV5{=%%8M0-s)zWiZk=q=oIC|w0!MhI)r)~8PU^O zyogcbwE47q{iD90_oMR~Fy!5Y(~~k_P_oQnpJ^00LcmNSgVRuhV#wAg$;^>nLGk~d zI8da5xFQ1267ag2I%k6wQ;flOd!4##3H%&KObaRc9T3n(!AkM`SQ!&7`@>WJ3+3H= zW=}d&zEf$QA7#e9m-9yTb@X3M_ zCFgAjsBVQ~oYjM^ycE?v=Nlh1q$%2ShhNwRIXb)VEi>3JH@IiPHIG@YmZ?%OX z@?Dp>M4*fUVaI7|wF`g0@jbSP#5ZRHXXL8`7V5S{kT-nXVnt2kYfs;p8XsmtoMs z@MJ_-%^A%n?~}h}f7N+ebef;^_SpCJW-g~?89055KKTE2r5KAdK;NU)wx{)SlHp(Jk+KG2J&s&W6bhdHz)E7F!o|sQt zRas?p&~W7hRhDNO9Utyp9GMsAW>}cmn3k63IBHpTOnl!`rfO;$>+4zbkHxxuvtKGr z@@E>$JUqC0yq0gBizo-pX6VXWSFI9@$jvYl(anmA%*Z>VN9GzH%l9wlTl$H7w7pW# z=cxY#HY7I_eZ_rkwmCG;r3TkgOiPcAPse#>pio%esy4lYT(p75IcvjIYhWcaV$phe zoN{YQ;{MBw5)0GHMlUBPf~Vnbk96ga+tl&=WOx%?_BR)$3g$m6k%z2^&y+IQDMyIDtouuPMz!b7N-kLP0hg74q6f-iD5>ir9;=YgUDO<47 z$W_8K(1_^h^!)Ps*jdYrDNr`nz;dRi#|_oePSF=#o_j7xWWJ9=aBWyD4=U5h z$V$3XlU0^`TWcykFUx+oyos{bsNRN)V%!PjtY%~6$sQg3bhx|nV-S#;WBazeTc6?$ zBDXE55B4Zg76KVWv2%z1U>f84zgI;BX%226Lc|&n?eTgz!@$G)=THE=w~r0tQbQA~ ziqvfMWU*S;CgvIT=K9|3J=T&RW<_o2W0W_y_Bush>H;VCN^x{jESVc@&s}i2T^yG8mywVdL%c>#d)+9Yv_6h5q4&zS7=ppCC3j7EI2Mnbw={Z09?j#&KA@$Dj;>n~Y^ z*5JWxVLX}ny?y1nh!s#*vi7#`+<#%?`h>~4z)fH^2W9D_i+scpPdEFfd09DLjFmxx zrwc7oHPbAZ;rX}`3AqdGn>pygcrzy!TfOz{)ZJi&IXr{KblK_A&+h^1lWa#UyYH*!g_^D<(xXnqMgvNZH_m6QCLc=F09QId?CNE znH%g?7*!DLlnf2kH`;e7-R=3pi+KLBSDh9lf8MNiN>s<+0=|uLra5J?5qGSd1DLnFl2Q?QZY@CuGIc z5=kAM^?zumfq{im6?34%5FT>hygje1bRLJh2+=O-My4(NBC|wJ zk-g!$g>}TWFo+u3ZoJy&pNH1qzh_JAR_d(#CKRTQvYqGbmHP$naxoG9RmN8K)}$ko zN7(HU3zhp9Hf3_AM@P348=HSBZEb8WZ0O2Yv{_*1<5*Th^rF(19GR7hEmBl#o$H#J znU_MSXID1nkbODIih}biLC6`IxgyJL_lb}dCs&0PbfVNoIBqH+AedOGqbko8yQO@v zjD>F7zbUPzAwITEpq3@N3zGTq$fX{E$COB}W+8s1QEu}1N5?=xd1j!Ad#R^_M;WDd zS^*Rx0rpot0JR5F64Xwc+s*1*_3;wZ!B$Th+#}nvMTQ^xO>8bFinO%lrsGNPU3}^# ziPieugs7 zZCSGaW+3P+!G|;Vwcx+aCkP=S*viIH5-m%?%Fj=zRRxVi&AsR899Ow7zeatNdc zW1niI|OC?JAK)x|4DG8t$&YTHKfKt%Dp_8tdyhZ@Zo%C5hafJj$!A(e3z&(+^{U&01 za!Hd}XNN+Hy2F}=0KYf`NL#tNxqv_=;D3|=3cvu7y|bf(*f%F$2no%RQUD(k1}c$( zgk%@Mr#b9zw>m?W;r+xPds?jej#mmghg|L94BY2}hdfa3|5j6sUJXoLpFI8ckKq1k zU(~Uy_SfuEb}rjo zPC&>TAiDxUKemM;AY6pc>rtbWFYc6RB?!*XW$`ne!Q5sS` z6YT$U2QWeR*51K!7#VB;m5SBUMdxPSaCvy14y*Ic=tEchplxeFjSI**1$!c|p*qdR z=w0mXxG^7Ke=m8zz>O1Q}B_h)JeQM|vkXpb0b3>5952E~A0;7y}R((IJOgG;* z=>Wmk1vWXwZt4AD(Vfdc;#7Vg?hGs7*@0_+2f|ti@bCwng-$@E>g4{Yfhe@1^DUqad7`UrNgr=!W+sV{ zK;Hzcn14>xb0>5d?MjyuEt3`N-NEWbr%m@&WC&fuKS7k}U_pt5-K)96@o6~B&#BJ- z_Yj-bhx6rcb{igJ3Dm$ED*q|LtB0^>?R#uZY>oDx52i&%Tx*b@r`wg@9-sR74ILoT zAYfbo-Q2)7P8aawcN#I7toe0HGW;d+-GCyPH>49iW84GekRo)#J+8lMqdLq%0&obz zqWlK}FJ2ROD!IHK{{XIQ8k?ol$qdN~p%SvFjhpX0xC^|$X&oR{TvGF^n8j<;cOH*; z{C{p>DhV-OFQoGlAl9p-g(HoGTiokR#tMlc2941HiHOf>*atpf%kaGoy|N9Xkwxnt zaqpi8ViN8T4~|#HF09lJNX2icLSx60yTcX(o4y>_75QCQ%9n~^TD#}*5dWQ;z6c3w z{|ly<+=sm}E$nYM+Y`+#6qriD9OZM527p0DL_`o5&wf*e;UuN|ceecJo?`!t?&qvd zq_RRKisl@)w6=!VRyZNKIyeYk$=&|}RGT^0+-Sem|K#~^%7N*D1Q~_UQB<7i3x<1t zds8fs#>lif^n+djDC&`A8r8p7rgnA=ZWsUW24%#=xdw1ZND_70z(R@z3E|H!tJA4{ z7UhJgh&}NM`e86YL5=BR!t}q%kAjvYU74{q1Mp!8cpMqLo-A`2eq>L#dfFl)V08%Z zGM_K!)zT?l^!6UKgzujmh!q}QUwHs*m;jK~Y65IN1RQ1#%_m@-XhfdmCG9kIm5|`| zzEQ-NzS$=@JmaAV^5}xYkX^igyA@2L0LFL#&1U4Xk2+d?dE{PLXjdAPh>at`$@gXt z;>QSQ-5I2ISW_4tv789@w z`(|XVe6%d;K?eK|8ZLm3)C43X)>l@DQR`UtgU304U;%PcW7eia0e>?8TT)c4prkMy z19F1K=kvu#%IyiBtgt(iTU)*ZVJH?k5s-Yan!oA(=l%W{4Y%$MNr?sKp$9a)YJN|- zI%Yq%&eq&KR1+1IM2lpbaTOhywSVPR+BM%>ogm&vXXb)E27?*#R=vVLrbkCfAf?nk zUtm6gl_nT0x`P!+Pfw4)Oa{Q%p02M;VqTMNR8HWx0J)$3P$aUyLM*TVX61i@lo6N! zeds2@Hr;r10M6g2rRO3!90um7_ld9sd|cTpDv-%DG8k>J?=IND^jUmsf~{aC1)#H z7!G?UKPnDhw02<=|S%4VA0HSgFl;wto2CL;N`gc%$Dro6>0dbS_CM~Bonk-h@ zvaWSL!_C$K1>vytZ0yJ9+4?8y3da0a&+y!7y`O_$DFz0Iz80GlQw~`zq}IHG7F}js z-a8EUEoz{S4c)>Cba{T9%-*F;kh%#S?2^kAGxGc;C2c(^9>W&+=o_-cS|#JS$h^Yn z_Bbr$EM4Tl!bk7GZwDKI=MsPSIBD3mZ#)O^<4|Tc)+nbu~VmH2tKXtIKf3=v@*+7LV6dUsUMS7Bu|t$TrWHg&=fH z#`E|1@!}aix#x^lLiAXTqJzRM9?$w1Gu84oeR?dJ$9$-2)B<*rJYR~q#@HeGiddF6 zZD{KMy;_+;1(0pX$;lmRTHD)YWbZ@KO3!f5#2lO5=(ZbdpK{+R$=KgQ5N(c1^zNT~ z9yfdK@CczcGmf3ihPTFJaZofKZCk9{?iWfo^Uuy}BDsXU3jL=sUTRNXIK+R=C6t^z zA*omMXY8?fyoM8iS!-Lguwip{q`Oz%$Rs~H&L187z4{xl?Bw*)h$j$z|$85QUAU4fV~6x?-_Fw#DORq$`vKp z?I$UTL1G;O?@I-*)BRqSVV79*@9%Kq!7qj4`TdfmLyF5wwk|TJnu;kI(|HxL zpgtJ9RDJNX;En?m)JLT_Yb$M@(Ykljh2PQ6G?}mLvY73TMlCQKe{B>iK^K^iU|rqy z8`XMxE9RYJpO>DNsGPXKMimX^074Qh1=~dcSUf%+4dx}8P)a!9KcgWT-Gc;rN#2UJUGkvT`seITUFtI#prxqf6!Z5 z9u^N#VAZ2-g$55hE-Vli5hss*MG02E{kYjr*$a8+=04!pt$UNL-EQ%b_)SNo)Lm2Z zbChQ=AuyW!3Sl@t|0 z4j6QceV02eMS=ZqV1Yv+0_Zjz2S+*q4h~Fl>>to~fqIF;d8M)-`N7%h%QdgHzT`d`22YNr{zBNzUgV`?#i6_}m_|f1f}@Fx7~2tj{b4veMT( zQL+&8K{J2IWv*{3$*q_Emb(nN`JUc*>0C45*W0)2!^7do$i-(i_abpBFa}9w{8Op% zY9QR#lC`Z7&sE2IucFG1CC1v+CAZeleROnmt^=MW`Tw1>3M9TL@8eOT{fVJfuSntZ zYN`m50IztPSio=UDom_ZPdyu;9g&ozU940dlue&vl#l+1(XFuFy)?>~I~3K`Efa2XjIl2~_@ z;zAxF{#N^`jDYlgdt-KzP`UCK3<2CX0Y3o^N%l9X$x^%F0NY=L1bXc5Tf0Wv{4zuA z9PBLht`GNBm(jz+caf_7nq^H<>EAwX<5m0f4Xv&&FVw44`>6<=0Xl;HsuX+7PZ?CC zG?EeAZ^F_4Z%_wBcD#B+B0hwpV?9hvxYEX$s`#wHQdP zwc#PbiX?L=g~b@Ps^v~l{&zI(=|+AQugMktDjOSiBT`?x9fc|V$56CMG9sZEa&q>9m2PL3Z} zbY}E@Jg5RKM8h9x-G3dqrw*c*o1;FR?{g5a(3qH?7I$0W9tEc7UW7$-WK>kZmV~sI z#_wdXvZD7#zdzL1*52IT4@~YXuPX+kELZCj0a<6Dbj^^TfX!m&?sz)UpBlpqq;_B) z(8vSaoRxNa+7WHi`QNMOQ`Hf`WQ~c$5|m*{ zMWpHE$}G%_x}s?5CMT%#Je%%}GB;X`cFUrGK12OicS(-)_|M&=+uHhXgexshtGgP^ zS07s3Z92^;cvGFU^Uo&l7S`7LKnV?EZuuXi3DfI>%k^fY#j5VT{CR28!R zr5h<1SfpX;1xW#EknWNO5s{Wo6&4ZcS2_hjxG294-P)M<)lf-uz53UpMEz~4Hfl24;f;ab z88HNW!`i{|O@Z}_PHPh$%dZ8{-zqK32y#ignnHf`YiM6&=;dUcAMN4jT4;+Q+p0Np1wr|k=JFIh}GHBn%Hma7eBXIkVf_+gM-p&JZWy0Atq2L zEk4xM)vr?-089Gklq(}(fD$~h*5-^-xsB;(b5CyH5yqzrI>5j|Z7ikvG1`dXg!jY& z%*M-0v=ZFAyRh$to?*NmVgH=-u8kJaRa?Nqin;87ig#-EA)m8c1+&^&dHa259hHcP zzAqNP*7%5i!r<$$_eDy1wnmMUQ`oWq>F?*M45c&!B_21MPy8$yDfWKTI+2!3%u{vw^$E(C6R0myiHVWaDiew3rI8M z2Jc@95Gc)oqXo3Z(*=PLWbZ;oZs>~=&~YixV`qhWSmYShTpObu@~Xp8_$a-+u2J?h|QfyXc< zkNb>>1B>6mY+?bF#5APHe$uP?sqGihTnxU~AY7T0S(TNWJ4Jg$wj2;fl|bJpYmjfE zA9nk$udnYsRbs-%cM-hLvT2@J832M&Ac}1<^$sZ^RCJ+~F%$w|8g*MKZtNZ5aBS_g z-FV(0r)vI<)D5i_?A#sFEF04(RzJu%EJ2o>aC9W$^z9t6YOC;6MNg^T({FS%i;wzo zO-2iTe);q`%*m-@7rs2IV|dABH)0}z%Wc_ukJh}cJK|e| zsH}g-wr>d3mS~-q)qhrRom!I;LuAg{uO!GE;#)k?T<<&j;563;0!8e`-u7Zh+t>mT zU)MQWOASC_aE%}E`v(84uv?z(b^guI5)wcx-ZkjI7anp$`s1du-m!313Ud(04fK=N zpl3%yj`Kdz1f9m~|CJ{mOXAHM8~M#AD;($f-F$Z+I-Emm=*QYJnad{ki>9Tq!F{s| z)@J-$spjmz!G2uP57vEi6|amu*6hec9fz7b!)|lgIMTkb?Fps#_&#Jl)x4_7YFqyu z-juK;W@knxa@1TPHc%plpq}eXq;vNhdrc^GivYe2b+(=A9-%(E`CEvs<-?V{qRHWH z^T!8e=Imj#2cUoALaG};Y538ITv)N?JWuzSlr?Wt^A`fKG_JG%mtZ&9V0wBqbP$fL zIirYpk1-g})}4=Mz{^~bG+984UP*>%)?sG&J3&#z4GZsItHmU1hn~p zkO#6Xq=!!@N&A6p)q!N|c*+)Ad#1q!^6F!pU3(oeUDZP_{Q9Jhc^^fG4}C9!9d;Gr zw9$??lh?jD?c;q__PuQF*{9yVpR1xGbOP_AVoUdKWiF@(2wD>o#%2iFf5DK*J1%os z>Sp|;&g@CTfI1kpM&HJDfBsPVciIeDudx$NSqVs?XZuJ`ql@gmX=~q_UohP`u(@m zGL~$Is|S(@p6BB+#y^@9_{8J+{DsGHp4h$O$ev#%Lk&dq)lsVkB+?4S*Kd-VVJ8|k zGBgzEh{>gxf$7QAsHB9m>m_oY`S%`m>=Wza`S3SaG- zG_N%}DdwW0?p7aaiflb3_qSJ`)O-B=@x07wjqJOU zFA(H9a|rd=$5h~6dRX`AqCZAyeSj%B!~hRB5t1X}N1V>dDHsuE8b=H6bZ)M!EDMLa zdh}}4i8(H;m-!SEQZ*k=X5yzX-k`6SU}g@L_fmX$H~o4nEW%LlZU`5fRl&>Ec2(!p zH!`s-I!sT=&Xd24b=S{m%v;D8|8SK07n#-GvV@9)T9&xOQ9gN?oU7s2W9t+lCq6`f zy#EJP>QRS|9|Tx2aHyy`Y04jgPMNjFEs5Krb(WV6r6bI*vVVUs&J|C& z5g(S}-Th?xZ5Nx(*6L5k{Oru6r^*Y3Rw4#dn_4K#4;dpBp&*mBl+;Y zZ;ta8=#(Gn9JMZMTjhU$OzYQ!f#|U~f}K`FU>k@O#Q#pIBOP>O2#_)tr8~3WTtH z^6-<#%1G|i{>;bc@JlfUo`t<)_xi)LEb$s2L;$rweVj(#2G40dHF-Deet{FX2iT-Heir{+t zyPo^TD8|^=`NJZ-JvNXpl`{KggJcSiq`sSjRh&J=V9eq9OF~6vhps?dK-_8 zNvmS+v5^OS%^pBY<3(O;jbyE70>$;uZk1>I?(hw?yw$&HN}3~eW%L1&OIlu7I67FW zw_hA{Y8%1E>9IH!xATTmh&@)V%7)MN*Ivay0^7y<)Hz*9byq}!{BH=Hk&*FJf4`dR zG>EA|aa6!cg^2#y&1?Kv>*u z2H~rgeM2=pf{0?d)Q<+Zf?PuNk|QgG&fayF$3?oCW^OFPN+$aCE;D&krln6OZ1^~* z@aaZ)w+{xTer88=TCLiqumvs`=yji4Gih;p61xOh;9w%oiK969)BYT$b!9QyO3B%# zQ8+MQi3FWy#pUGWaEKO?SBeWM`Bfb_Yn4ezqYz(J32Z*Im11U42wRCFa5-4m`I%$w zH#RomuXuY~4o0DErRB-@idY}Ag}rsMvV3O}myw{xS6e@9Zp|dml#=nF*xaYlyAA;QHL<^XdrfBQtQH!U-VB31jXlateXH4f#|9Z5FRf#I?KZ*k0uje<^l zi<&iNiQe8881JXAm|?S9A^lum;vHa;Y;}q~U0-w+)DBudc78DLxeb97bOvEXNk+Y5 z{0qx_(eK>sOi4-#mfiEex)3>c*fY=$6l|opn5n_QR5_lb}&3P{f)2T z?95w8!;ek0WlBs0@j;PnKx6f)gD}QBnEP_KhYm1_ant?9y5VnZZ3+q_rweJ0A}fhk z?d0FGjoGt&Mg2XQB9LyK7Yz-q-f2!m8c_{yv#|1ks+2HxV#voB#1K{A4|6tX(CyC%d1oDW3B6;?8OS(e; zDzKo*7>|sNB_A6FOaA3W!e4f1d}M2BZQb110J<4Y++=036+i~^K1zTgm4BnfMWaYh z+9hoeQybMJBFMWX@B0D=fIgF_b=gZT2w~$Zp;+ zL3{bmCXTeU_9yG?K@&NfV>$Y39UADbB9q(lm7AuJb zcGk;3e}?TS0-8=-^~ys%p!-|47-(=f40H~2-|)?@IUyp9%jgasj7-p}8yGGJ6EPnm zF&ZkcJH+phlM8{cN7gzFioYMdCOgIpV~L@mp(>EN!`oa`SjbAg-X4{XL@MSE)mUrL z?>BqWum4?bI|hhAWB-q;ZOrw0|;C1jWs#MXuR zw{XsHpeRc#NI8K~AE5RJ%gePpaqui)uzA3OD~Sv#AI|n#p$hkjJj&E~*JE`M&9E29 z3uM;_o=jI_h`0Nml2rQwoyrcsGHq=D(=Gh6!mwr~lAMEGuKvU5=qPyY zLQbZ;R{b+Nfyyxfj4uh|KwvYMNek5^{ND{^AuTOj@K(}GXsfq7;3X_ovNTb|E~@%I)6)w83nYjY)_Q$2`b(3? zrYOj!{|uMbE2GyT=(hppPWsnMY>I|?%Bz2`fY7USGXJ=o?+jv5&ywaA&_*AeeYE?O zlSl zx*q2=0tG{mhLn_)rB(9I)P3yj>!8i2(xERPlUcllxu4tR+%r7y4PO>89{ z49}&YG{P`2GU_5R00J?xoFpzg16Ghm!9EETXrM7gVq&7!9ZYtJUec!QU&RYOHY+*G zg4NZ(e|iqU*?j6_;B*ANS#a<7SnzB4lDI_dd{pA}iBrU)J~}(Jm)|kRIVavt&jtPT zDuL-+Kfu*g`EZTM>UueGW!Uin55!3%j?;>}xCyvn(B@8ahG;ra?}6t0AVtskI91@u zNnj^TT5_SC^#}GkQz6XTTXaR%I}eYwducl_-z+6Ax310|EK_kRScwoTHkN~6j(^`U z7J9p3<%N%m_&pv;$xE>ItdifjkL7jiE?zb6m1UK|iT-)Cv-l^frh>c!$xl?H{I|n` z+CM1<2YY&Yj!#Swn=!{ag$!P={p^7OBsd?g7BS73lbng*zz3_jvLjGPBY#(!ORjRk z8hGc1(RG<5g}NC3%wwAP=*nETbqW88{ggVGz9%kr Szp&&6_$fV7mwzt{d-*?o$RR)g literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/instr_rom.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/instr_rom.coe" new file mode 100644 index 0000000..8a9587b --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/instr_rom.coe" @@ -0,0 +1,34 @@ +memory_initialization_radix=2; +memory_initialization_vector= + 10001100000000010000000000101100, + 00100000000000100000000000000101, + 00100000010000110000000000001001, + 00000000001000100010000000100000, + 10101100000001000000000000110000, + 10001100000001010000000000110000, + 00010000011001000000000000000001, + 00010000001000010000000000000001, + 00001000000000000000000000001000, + 00001000000000000000000000001001, + 0, + 1001, + 0; +; 0 lw $1, $0(0x0) +; 4 lw $2, $0(0x1) + +; 0 addi $1, $0, 8 + +; 0 lw $1, $0(44) +; 4 addi $2, $0, 5 +; 8 addi $3, $2, 9 +; 12 add $4, $1, $2 +; 16 sw $4, $0(48) +; 20 lw $5, $0(48) +; 24 beq $3, $4, +1 +; 28 beq $1, $1, +1 +; 32 j 32 +; 36 j 36 +; memory 8:0 000000000 100000000 + +;00100000000000010000000000001000, +;10001100000000100000000000000001, diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/cpu-multi-cycle-simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/cpu-multi-cycle-simu.v" new file mode 100644 index 0000000..067d6e6 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/cpu-multi-cycle-simu.v" @@ -0,0 +1,35 @@ +`timescale 1ns / 1ps +// multiple cycle CPU simu +// 2020 COD Lab4 +// ustcpetergu + +module cpu_multi_cycle_simu(); + reg clk; + reg rst; + + cpu_multi_cycle cpu_multi_cycle_inst + ( + .clk(clk), + .rst(rst), + .m_rf_addr(9'b0) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + initial begin + rst = 1; + + #10 + rst = 0; + + #400 + //rst = 1; + //#10 + //rst = 0; + + #400 + $finish; + end +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/debug-unit-simu.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/debug-unit-simu.v" new file mode 100644 index 0000000..e8b2a24 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/debug-unit-simu.v" @@ -0,0 +1,98 @@ +`timescale 1ns / 1ps +// multiple cycle CPU -- DBU simu +// 2020 COD Lab4 +// ustcpetergu + +module debug_unit_multicyc_simu(); + reg clk, rst; + + reg succ; + reg step; + reg [2:0]sel; + reg m_rf; + reg inc; + reg dec; + wire [15:0]led; + wire an; + wire seg; + wire [31:0]seg_data; + debug_unit_multicyc debug_unit_multicyc_inst + ( + .clk(clk), + .rst(rst), + .succ(succ), + .step(step), + .sel(sel), + .m_rf(m_rf), + .inc(inc), + .dec(dec), + + .led(led), + .an(an), + .seg(seg), + + .seg_data(seg_data) + ); + + initial begin + clk = 0; + forever #5 clk = ~clk; + end + + initial begin + rst = 1; + succ = 0; + step = 0; + sel = 1; + m_rf = 0; + inc = 0; + dec = 0; + + #10 + rst = 0; + step = 1; + #10 + step = 0; + #10 + step = 1; + #10 + step = 0; + + #50 + sel = 2; + #10 + sel = 3; + #10 + sel = 4; + #10 + sel = 5; + #10 + sel = 6; + #10 + sel = 7; + + #10 + sel = 0; + inc = 1; + #10 + inc = 0; + #10 + inc = 1; + #10 + inc = 0; + + #30 + m_rf = 1; + dec = 1; + #10 + dec = 0; + #10 + dec = 1; + #10 + dec = 0; + + #40 + $finish; + end + +endmodule diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" new file mode 100644 index 0000000..f20ca8b --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" @@ -0,0 +1,39 @@ + + + xilinx.com + xci + unknown + 1.0 + + + alu_0 + + + 32 + alu_0 + 32 + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" new file mode 100644 index 0000000..0ce36e2 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" @@ -0,0 +1,44 @@ + + + xilinx.com + xci + unknown + 1.0 + + + edgefetcher_0 + + + + + 100000000 + 0 + 0.000 + 0 + ACTIVE_LOW + edgefetcher_0 + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 1 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/ram_0/ram_0.xci" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/ram_0/ram_0.xci" new file mode 100644 index 0000000..a961944 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/ram_0/ram_0.xci" @@ -0,0 +1,105 @@ + + + xilinx.com + xci + unknown + 1.0 + + + ram_0 + + + 9 + 11111111 + 512 + ./ + zynq + 1 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + ram_0.mif + 2 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 32 + ram_0 + 0 + ce_overrides_sync_controls + ../../../../../test.coe + false + false + 32 + ff + 16 + 512 + non_registered + false + false + non_registered + dual_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + zynq + www.digilentinc.com:pynq-z1:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2019.1 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/cpu-multi-cycle.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/cpu-multi-cycle.v" new file mode 100644 index 0000000..de400b7 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/cpu-multi-cycle.v" @@ -0,0 +1,223 @@ +`timescale 1ns / 1ps +// multiple cycle CPU +// 2020 COD Lab4 +// ustcpetergu + +module cpu_multi_cycle + ( + input clk, + input rst, + + output reg [31:0]instruction = 32'hffffffff, + output reg [31:0]pc = 32'hffffffff, + + output reg [31:0]mdr = 32'hffffffff, + + output reg [31:0]ALUOut = 32'hffffffff, + output reg [31:0]A = 32'hffffffff, + output reg [31:0]B = 32'hffffffff, + + output reg PCWriteCond, + output reg PCWrite, + output reg IorD, + output reg MemRead, + output reg MemWrite, + output reg MemtoReg, + output reg IRWrite, + output reg [1:0]PCSource, + output reg [2:0]ALUm, + output reg ALUSrcA, + output reg [1:0]ALUSrcB, + output reg RegWrite, + output reg RegDst, + + output wire ALUZero, + + output wire PCwe, + + input [8:0]m_rf_addr, + output wire [31:0]rf_data, + output wire [31:0]m_data, + + // debug + output wire [31:0]ReadData1, + output wire [31:0]ReadData2, + output reg [31:0]newpc, + output reg [3:0]phase = IF, + + output reg dummy + ); + + wire [31:0]imm = {{16{instruction[15]}}, instruction[15:0]}; + assign PCwe = (ALUZero & PCWriteCond) | PCWrite; + + //reg [31:0]newpc; + + reg [4:0]WriteRegister; + reg [31:0]WriteData; + //wire [31:0]ReadData1; + //wire [31:0]ReadData2; + register_file register_file_inst + ( + .clk(clk), + .ra0(instruction[25:21]), + .ra1(instruction[20:16]), + .ra2(m_rf_addr), + .wa(WriteRegister), + .we(RegWrite), + .wd(WriteData), + .rd0(ReadData1), + .rd1(ReadData2), + .rd2(rf_data) + ); + + reg [31:0]mem_addr; + wire [31:0]MemData; + ram_0 ram_inst + ( + .clk(clk), + .we(MemWrite), + .a(mem_addr[10:2]), + .d(B), + .dpra(m_rf_addr), + .spo(MemData), + .dpo(m_data) + ); + + reg [31:0]ALUIn1; + reg [31:0]ALUIn2; + wire [31:0]ALUResult; + alu_0 alu_0_inst + ( + .m(ALUm), + .a(ALUIn1), + .b(ALUIn2), + .y(ALUResult), + .zf(ALUZero) + //.cf(), + //.of() + ); + + // control unit FSM + // input: instruction[31:26], output: control signals + wire [5:0]Op = instruction[31:26]; + localparam IF = 0; + localparam ID_RF = 1; + localparam MEM_ADDR_CALC = 2; + localparam MEM_ACCESS_LW = 3; + localparam WB = 4; + localparam MEM_ACCESS_SW = 5; + localparam R_EX = 6; + localparam R_END = 7; + localparam BEQ_END = 8; + localparam J_END = 9; + localparam ADDI_END = 10; + localparam BAD = 11; + localparam OP_ADD = 6'b000000; + localparam OP_ADDI = 6'b001000; + localparam OP_LW = 6'b100011; + localparam OP_SW = 6'b101011; + localparam OP_BEQ = 6'b000100; + localparam OP_J = 6'b000010; + //reg [3:0]phase = IF; + always @ (*) begin + PCWriteCond = 0; + PCWrite = 0; + IorD = 0; + MemRead = 0; + MemWrite = 0; + MemtoReg = 0; + IRWrite = 0; + PCSource = 2'b00; + ALUm = 3'b000; + ALUSrcA = 0; + ALUSrcB = 0; + RegWrite = 0; + RegDst = 0; + case (phase) + IF: begin MemRead = 1; ALUSrcB = 2'b01; IRWrite = 1; PCWrite = 1; end + ID_RF: ALUSrcB = 2'b11; + MEM_ADDR_CALC: begin ALUSrcA = 1; ALUSrcB = 2'b10; end + MEM_ACCESS_LW: begin MemRead = 1; IorD = 1; end + ADDI_END: begin RegWrite = 1; end + WB: begin RegWrite = 1; MemtoReg = 1; end + MEM_ACCESS_SW: begin IorD = 1; MemWrite = 1; end + R_EX: ALUSrcA = 1; + R_END: begin RegDst = 1; RegWrite = 1; end + BEQ_END: begin ALUSrcA = 1; ALUm = 3'b001; PCWriteCond = 1; PCSource = 2'b01; end + J_END: begin PCWrite = 1; PCSource = 2'b10; end + default: ; + endcase + end + always @ (posedge clk or posedge rst) begin + if (rst) begin + phase <= IF; + end + else begin + case(phase) + IF: phase <= ID_RF; + ID_RF: + case(Op) + OP_LW: phase <= MEM_ADDR_CALC; + OP_SW: phase <= MEM_ADDR_CALC; + OP_ADDI: phase <= MEM_ADDR_CALC; + OP_ADD: phase <= R_EX; + OP_BEQ: phase <= BEQ_END; + OP_J: phase <= J_END; + default: phase <= BAD; + endcase + MEM_ADDR_CALC: + case (Op) + OP_LW: phase <= MEM_ACCESS_LW; + OP_SW: phase <= MEM_ACCESS_SW; + OP_ADDI: phase <= ADDI_END; + default: phase <= BAD; + endcase + MEM_ACCESS_LW: phase <= WB; + WB: phase <= IF; + MEM_ACCESS_SW: phase <= IF; + ADDI_END: phase <= IF; + R_EX: phase <= R_END; + R_END: phase <= IF; + BEQ_END: phase <= IF; + J_END: phase <= IF; + default: phase <= BAD; + endcase + end + end + + always @ (*) begin + if (IorD) mem_addr = ALUOut; else mem_addr = pc; + if (ALUSrcA) ALUIn1 = A; else ALUIn1 = pc; + if (RegDst) WriteRegister = instruction[15:11]; + else WriteRegister = instruction[20:16]; + if (MemtoReg) WriteData = mdr; + else WriteData = ALUOut; + case (ALUSrcB) + 0: ALUIn2 = B; + 1: ALUIn2 = 4; + 2: ALUIn2 = imm; + 3: ALUIn2 = imm << 2; + endcase + case (PCSource) + 0: newpc = ALUResult; + 1: newpc = ALUOut; + 2: newpc = {pc[31:28], instruction[25:0], 2'b0}; + default: newpc = 32'hffffffff; + endcase + end + always @ (posedge clk) begin + if (rst) begin + pc <= 32'b0; + end + else begin + A <= ReadData1; + B <= ReadData2; + ALUOut <= ALUResult; + mdr <= MemData; + if (PCwe) pc <= newpc; + if (IRWrite) instruction <= MemData; + end + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/debug-unit-multicyc.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/debug-unit-multicyc.v" new file mode 100644 index 0000000..60cfe46 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/debug-unit-multicyc.v" @@ -0,0 +1,155 @@ +`timescale 1ns / 1ps +// multiple cycle CPU -- DBU +// 2020 COD Lab4 +// ustcpetergu + +module debug_unit_multicyc + ( + input clk, + input rst, + input succ, + input step, + input [2:0]sel, + input m_rf, + input inc, + input dec, + + output reg [15:0]led = 0, + output wire [7:0]an, + output wire [6:0]seg, + + output reg [31:0]seg_data = 0 + ); + + seven_segment seven_segment_inst + ( + .clk(clk), + .rst(rst), + .x(seg_data), + .an(an), + .seg(seg) + ); + + wire step_real; + reg step_real_old; + wire inc_real; + wire dec_real; + + edgefetcher_0 edgefetcher_inst_0 + ( + .clk(clk), + .rst(rst), + .y(step), + .p(step_real) + ); + edgefetcher_0 edgefetcher_inst_1 + ( + .clk(clk), + .rst(rst), + .y(inc), + .p(inc_real) + ); + edgefetcher_0 edgefetcher_inst_2 + ( + .clk(clk), + .rst(rst), + .y(dec), + .p(dec_real) + ); + + reg dclk = 0; + reg [8:0]m_rf_addr = 0; + wire [31:0]m_data; + wire [31:0]rf_data; + + wire [1:0]PCSource; + wire PCwe; + wire IorD; + wire MemWrite; + wire IRWrite; + wire RegDst; + wire MemtoReg; + wire RegWrite; + wire [2:0]ALUm; + wire ALUSrcA; + wire [1:0]ALUSrcB; + wire ALUZero; + + wire [31:0]pc; + //wire [31:0]newpc; + wire [31:0]instruction; + wire [31:0]mdr; + wire [31:0]A; + wire [31:0]B; + wire [31:0]ALUOut; + + cpu_multi_cycle cpu_multi_cycle_inst + ( + .clk(dclk), + .rst(rst), + + .instruction(instruction), + .pc(pc), + .mdr(mdr), + .ALUOut(ALUOut), + .A(A), + .B(B), + + .PCSource(PCSource), + .PCwe(PCwe), + .IorD(IorD), + .MemWrite(MemWrite), + .IRWrite(IRWrite), + .RegDst(RegDst), + .MemtoReg(MemtoReg), + .RegWrite(RegWrite), + .ALUm(ALUm), + .ALUSrcA(ALUSrcA), + .ALUSrcB(ALUSrcB), + .ALUZero(ALUZero), + + .m_rf_addr(m_rf_addr), + .rf_data(rf_data), + .m_data(m_data) + ); + + always @ (posedge clk) begin + if (rst) begin + m_rf_addr <= 0; + dclk <= 0; + led <= 16'b0; + step_real_old <= 0; + end + else begin + if (succ == 1) begin dclk <= !dclk; end + else begin + if (step_real) dclk <= !dclk; + else if (step_real_old) dclk <= !dclk; + end + + step_real_old <= step_real; + + if (inc_real) m_rf_addr <= m_rf_addr + 1; + else if (dec_real) m_rf_addr <= m_rf_addr - 1; + + if (sel == 3'b0) + led <= {7'b0, m_rf_addr}; + else + led <= {PCSource, PCwe, IorD, MemWrite, IRWrite, RegDst, MemtoReg, RegWrite, ALUm, ALUSrcA, ALUSrcB, ALUZero}; + case (sel) + 0: begin + if (m_rf == 1) seg_data <= m_data; else seg_data <= rf_data; + end + 1: seg_data <= pc; + 2: seg_data <= instruction; + 3: seg_data <= mdr; + 4: seg_data <= A; + 5: seg_data <= B; + 6: seg_data <= ALUOut; + default: seg_data <= 32'b0; + endcase + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/register_file.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/register_file.v" new file mode 100644 index 0000000..2d34900 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/register_file.v" @@ -0,0 +1,42 @@ +`timescale 1ns / 1ps +// regfile with debug port +// 2020 COD Lab3 +// ustcpetergu + +module register_file + #(parameter WIDTH = 32) + ( + input clk, + input [4:0]ra0, + input [4:0]ra1, + input [4:0]ra2, + input [4:0]wa, + input we, + input [WIDTH-1:0]wd, + output reg [WIDTH-1:0]rd0, + output reg [WIDTH-1:0]rd1, + output reg [WIDTH-1:0]rd2 + ); + + reg [WIDTH-1:0]regfile[31:0]; + initial $readmemh("/home/petergu/MyHome/COD/lab4/regfile.dat", regfile); + + always @ (*) begin + if (ra0 == 5'b0) rd0 = 0; + else rd0 = regfile[ra0]; + if (ra1 == 5'b0) rd1 = 0; + else rd1 = regfile[ra1]; + if (ra2 == 5'b0) rd2 = 0; + else rd2 = regfile[ra2]; + end + + always @ (posedge clk) begin + if (we) begin + if (wa != 5'b0) begin + regfile[wa] <= wd; + end + end + end + +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/seven-segment.v" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/seven-segment.v" new file mode 100644 index 0000000..5ff5af9 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/seven-segment.v" @@ -0,0 +1,65 @@ +`timescale 1ns / 1ps +// 7-segment digital control +// 2020 COD Lab +// ustcpetergu + +module seven_segment + ( + input clk, + input rst, + input [31:0]x, + output reg [7:0]an, + output reg [6:0]seg + ); + reg [31:0]count = 0; + localparam UPDATE_COUNT = 100000; + reg [2:0]select = 0; + reg [3:0]x0; + always @ (*) begin + case (select) + 0: begin x0 = x[3:0]; an = 8'b11111110; end + 1: begin x0 = x[7:4]; an = 8'b11111101; end + 2: begin x0 = x[11:8]; an = 8'b11111011; end + 3: begin x0 = x[15:12]; an = 8'b11110111; end + 4: begin x0 = x[19:16]; an = 8'b11101111; end + 5: begin x0 = x[23:20]; an = 8'b11011111; end + 6: begin x0 = x[27:24]; an = 8'b10111111; end + 7: begin x0 = x[31:28]; an = 8'b01111111; end + default: begin x0 = 0; an = 0; end + endcase + case (x0) + // +7+ + // 2 6 + // +1+ + // 3 5 + // +4+ + 0: seg = 7'b1000000; + 1: seg = 7'b1111001; + 2: seg = 7'b0100100; + 3: seg = 7'b0110000; + 4: seg = 7'b0011001; + 5: seg = 7'b0010010; + 6: seg = 7'b0000010; + 7: seg = 7'b1111000; + 8: seg = 7'b0000000; + 9: seg = 7'b0010000; + 10: seg = 7'b0001000; + 11: seg = 7'b0000011; + 12: seg = 7'b1000110; + 13: seg = 7'b0100001; + 14: seg = 7'b0000110; + 15: seg = 7'b0001110; + default: seg = 0; + endcase + end + always @ (posedge clk) begin + if (rst) + count <= 0; + else if (count >= UPDATE_COUNT) begin + count <= 0; + select <= select + 1; + end else + count <= count + 1; + end +endmodule + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4.tcl" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4.tcl" new file mode 100644 index 0000000..9135019 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/lab4.tcl" @@ -0,0 +1,598 @@ +#***************************************************************************************** +# Vivado (TM) v2019.1 (64-bit) +# +# lab4.tcl: Tcl script for re-creating project 'lab4-cpu-multi-cycle' +# +# Generated by Vivado on Fri Jun 05 10:37:14 CST 2020 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +#***************************************************************************************** +# NOTE: In order to use this script for source control purposes, please make sure that the +# following files are added to the source control system:- +# +# 1. This project restoration tcl script (lab4.tcl) that was generated. +# +# 2. The following source(s) files that were local or imported into the original project. +# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script) +# +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/cpu-multi-cycle.v" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/register_file.v" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/seven-segment.v" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/debug-unit-multicyc.v" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/ram_0/ram_0.xci" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/cpu-multi-cycle-simu.v" +# "/home/petergu/MyHome/COD/lab4/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/debug-unit-simu.v" +# +# 3. The following remote source files that were added to the original project:- +# +# "/home/petergu/MyHome/COD/lab4/test.coe" +# "/home/petergu/MyHome/COD/lab4/instr_rom.coe" +# "/home/petergu/MyHome/COD/ip/regfile/component.xml" +# +#***************************************************************************************** + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir "." + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set _xil_proj_name_ "lab4-cpu-multi-cycle" + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set _xil_proj_name_ $::user_project_name +} + +variable script_file +set script_file "lab4.tcl" + +# Help information for this script +proc print_help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < $::argc} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] } + "--help" { print_help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set orig_proj_dir "[file normalize "$origin_dir/lab4-cpu-multi-cycle"]" + +# Create project +create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1 + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Set project properties +set obj [current_project] +set_property -name "board_part" -value "www.digilentinc.com:pynq-z1:part0:1.0" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.accelerator_binary_content" -value "bitstream" -objects $obj +set_property -name "dsa.accelerator_binary_format" -value "xclbin2" -objects $obj +set_property -name "dsa.board_id" -value "pynq-z1" -objects $obj +set_property -name "dsa.description" -value "Vivado generated DSA" -objects $obj +set_property -name "dsa.dr_bd_base_address" -value "0" -objects $obj +set_property -name "dsa.emu_dir" -value "emu" -objects $obj +set_property -name "dsa.flash_interface_type" -value "bpix16" -objects $obj +set_property -name "dsa.flash_offset_address" -value "0" -objects $obj +set_property -name "dsa.flash_size" -value "1024" -objects $obj +set_property -name "dsa.host_architecture" -value "x86_64" -objects $obj +set_property -name "dsa.host_interface" -value "pcie" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.platform_state" -value "pre_synth" -objects $obj +set_property -name "dsa.vendor" -value "xilinx" -objects $obj +set_property -name "dsa.version" -value "0.0" -objects $obj +set_property -name "enable_vhdl_2008" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj +set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj +set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "webtalk.activehdl_export_sim" -value "11" -objects $obj +set_property -name "webtalk.ies_export_sim" -value "11" -objects $obj +set_property -name "webtalk.modelsim_export_sim" -value "11" -objects $obj +set_property -name "webtalk.questa_export_sim" -value "11" -objects $obj +set_property -name "webtalk.riviera_export_sim" -value "11" -objects $obj +set_property -name "webtalk.vcs_export_sim" -value "11" -objects $obj +set_property -name "webtalk.xsim_export_sim" -value "11" -objects $obj +set_property -name "webtalk.xsim_launch_sim" -value "32" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/../ip"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + [file normalize "${origin_dir}/test.coe"] \ + [file normalize "${origin_dir}/instr_rom.coe"] \ + [file normalize "${origin_dir}/../ip/regfile/component.xml"] \ +] +add_files -norecurse -fileset $obj $files + +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/cpu-multi-cycle.v" ]\ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/register_file.v" ]\ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/seven-segment.v" ]\ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/new/debug-unit-multicyc.v" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +set file "$origin_dir/../ip/regfile/component.xml" +set file [file normalize $file] +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "file_type" -value "IP-XACT" -objects $file_obj + + +# Set 'sources_1' fileset file properties for local files +# None + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "debug_unit_multicyc" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/alu_0/alu_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "alu_0/alu_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/edgefetcher_0/edgefetcher_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "edgefetcher_0/edgefetcher_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sources_1/ip/ram_0/ram_0.xci" ]\ +] +set imported_files [import_files -fileset sources_1 $files] + +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +set file "ram_0/ram_0.xci" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "generate_files_for_reference" -value "0" -objects $file_obj +set_property -name "registered_with_manager" -value "1" -objects $file_obj +if { ![get_property "is_locked" $file_obj] } { + set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj +} + + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Empty (no sources present) + +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] + +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +# Import local files from the original project +set files [list \ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/cpu-multi-cycle-simu.v" ]\ + [file normalize "${origin_dir}/lab4-cpu-multi-cycle/lab4-cpu-multi-cycle.srcs/sim_1/new/debug-unit-simu.v" ]\ +] +set imported_files [import_files -fileset sim_1 $files] + +# Set 'sim_1' fileset file properties for remote files +# None + +# Set 'sim_1' fileset file properties for local files +# None + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "top" -value "cpu_multi_cycle_simu" -objects $obj +set_property -name "top_auto_set" -value "0" -objects $obj +set_property -name "top_lib" -value "xil_defaultlib" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "1" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "1" -objects $obj + +# Set 'utils_1' fileset object +set obj [get_filesets utils_1] +# Empty (no sources present) + +# Set 'utils_1' fileset properties +set obj [get_filesets utils_1] + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xc7z020clg400-1 -flow {Vivado Synthesis 2019} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2019" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { + +} +set obj [get_runs synth_1] +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xc7z020clg400-1 -flow {Vivado Implementation 2019} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2019" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "options.verbose" -value "1" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +puts "INFO: Project created:${_xil_proj_name_}" +# Create 'drc_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} { +create_dashboard_gadget -name {drc_1} -type drc +} +set obj [get_dashboard_gadgets [ list "drc_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj + +# Create 'methodology_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} { +create_dashboard_gadget -name {methodology_1} -type methodology +} +set obj [get_dashboard_gadgets [ list "methodology_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj + +# Create 'power_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} { +create_dashboard_gadget -name {power_1} -type power +} +set obj [get_dashboard_gadgets [ list "power_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj + +# Create 'timing_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} { +create_dashboard_gadget -name {timing_1} -type timing +} +set obj [get_dashboard_gadgets [ list "timing_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj + +# Create 'utilization_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} { +create_dashboard_gadget -name {utilization_1} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_1" ] ] +set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj +set_property -name "run.step" -value "synth_design" -objects $obj +set_property -name "run.type" -value "synthesis" -objects $obj + +# Create 'utilization_2' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} { +create_dashboard_gadget -name {utilization_2} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_2" ] ] +set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj + +move_dashboard_gadget -name {utilization_1} -row 0 -col 0 +move_dashboard_gadget -name {power_1} -row 1 -col 0 +move_dashboard_gadget -name {drc_1} -row 2 -col 0 +move_dashboard_gadget -name {timing_1} -row 0 -col 1 +move_dashboard_gadget -name {utilization_2} -row 1 -col 1 +move_dashboard_gadget -name {methodology_1} -row 2 -col 1 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/logic.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/logic.png" new file mode 100644 index 0000000000000000000000000000000000000000..a6b467a4c403a3a152e5b15e3eedaa16a4387c0d GIT binary patch literal 116934 zcmZU4WmH_-vMvw^PH=a3hv4q+?(R;4H3WjYyGww^U4jHB5Zv9Zad&u~ea?Mv-|_g- zYm6SvTqSeX{Hp4!2o)u1WCVN!2nYydSs4j62#62gAs`^n;Gn?Y03npXpAeAlYSLm5 z)#HRm5D@PpvJ#>iUPdQ5Fn;Q?7{BuRliF4Cc^X9$w$J=q30*F>T*7|-=a0Wpr7;=5 zMCIP=>LiO(B{F4p=F9!UhM~+CYwP#tD|Y8EUq2r3poqhui9n-5N{vg@)qo1?$d2a|J!5ifMkGgN4Z$|aKk&*CO{{7o< z5iK-`Bus-mspsnzkidh4p@9L^hYvA!mHI8?b8}o38e*^icj155-_?V1YwJ+X6QWNU zImEcVzGhG>hU<8%qIx=8`#D`Af1pQfWAa}~{`=6CgqU9^A|%}2*$JqX2=M)4RG?y! z;#5ZRzqAZoRUjoJ+e(9tSHUb@6*#tW`1dO59FP_fnb#+zYyZoZW6<$bnOW92G06X8 z-oN&8r~byM>YhtfOz>}A+cF^PCETx13hT)J$5h}qn2dv$up4jq?jim!hmd~85J|Oh z$~ENV{YmPFhCEn9pRJgGeA=Lu%~|E0+O-zD}1_+>u-TQ)Zi$m|`Sod?`d zS65efBqYwa>!X>{IiTj~n7Fk0Xdm>f-ED{W_1Su7Rv2D>0mWY%n0$dIBqZc^SX8Ms z5p9@3qDyvyVq;^Yl1rA6k%5r)6WW-VsF6t^zrfcmJd%on-W7qWG3o^B)S01Z5SB;( z)mDU=hV|>&DjtW`?v?2N?=3QUPGu#2{2OPu4*%D7u@Hp4krex>LYSc7|JcR^1^UbR zI`ZI15DelEr5rnyCsHEzRIph&QfU%X8{l!7rV7Nvdf8RRiQ%V-|9UlWRSd#u)WzMX z)nzN0PTg%ZeUhgO?IDLMQi-vkg7O@F(yqz->&3qC6$}63n6YXx8QAL`Ca{e{2`sc$pt znM1yw#4CraEJ{^FFu11b+3=tGA1?~?^Bumr_62x98n9OdP~w7Bs?YnDm6g@f@>wru z;w@vC5P4E)>d#hWu@xs|?2o1iWz}=$$-FbRslZBf3PxE2#y+0;#%j3ef<>>U-5T5a zyXyohJN!n6YRQl;?VQ{~U)RV3n+b+>1r;D&w-<76Au7>XNkBl6!OL=j5WZ4;g z?l5z#z3>bTQi5~_4!o&mCNo1!z$a>elaxx0T147kBZsic*EKWVB)EvbTLYuZ9fsZ5 z(!kCfNEMl+unRkoJ6Nb!WJ#1pDnI+e!aG*<9XLaw>mA)XB_lgSS6np{$JdZPzf*Q~ zBEwBBwrWz=cZ{vQ0;uoaaenHrjR1fO%W>Z7fk#piNeNkDM$9?Z~OE3w{vs7k*8JC zs~DP~_Nm9?+a`}8W6{Ht9@ZFrN<`n*BCfEMso(3*LTfR>^0?f}Q0^Rv(iqE>tKHk%uDE1t znyaYfhLg^$#tG+0+HA_=F&bHZra~wZhFFw+T8tKyEOLT5(f@?Ef6F=hbz!E5rI-ju z9zS*jj=fdG%6n^af4iHdO0Ov>)=gbNm3dse>ucHFt!L+k=jnIPNW`!n1#=+-t!$$j z-vGmdHgQ+8&a+dPfdcR3dytT<*HA`+GHP>PmB?ODL7BuX6Y6Lc|B+fjf zpOQ^+vB2>-WleDny#GY`Ur6A%IEzF>8gTO-Gq8Ey7P%IT$B-5jJc;) zFl8)CM9(6s-kFFTFUTBM3WkH8n0fBdIB!OX|Bnb!px(V#%>JDoz=kq9>Wa4t(3lh% z4mqj?u;itvB(vu*oHh$AHlus$V{mC=&pWp#Ih*VZ_>hvJ%yQH9xiRj`;rF0u1)F!o z^N%_@d^C0#!`LiRrnSJ4Fwp-67hd|xY!c?{;@>c_u5t&fwigTY>sQ>KJ)I5 zY_*QK+GhwZ5?&r#W;Ow{C7Y~zKGE5+Cm5F1)F|CtUN&a=hQ^tCo5@-?He9HNr!Cf! zb!-40^!Z$N)UUNamelsNib}8BrgvG&*F5IwQkL3?v~qfu$Hu=Tfa&ci%)3e6^^u3B zzA)EW%V@o-i&gaYfo`y9&U(;ZSaOnuo6dRTmzMzb36r#chVfXR;4)2E|E-TK{!13O zf3Obyab1#jZ7b-;1=M%F0cYy*b>aAh!ljOjdDqsNakk^uGKiUO{LBIukJ+LLhZ>mc zQ@eGaa`2_DSdiIbwsa7f>s_KqHH+|{%|z<>7ejD6m1%xNGr?QCJ{7RGR^3y=qnslB zION*N9A|meFB=_ePO&k)<;-hJryKTLfG$kb;9)nU@1eSB@$ewo8P(~4-lM8tYIULW zmLhu%J|UQKRaC8$e`5&^hwlPGgFKty>cr+WNibU|IpSs~`Rfg2E+KWV%vF|*R<*ZR zw6;@zs~e7O5%Pqy$p-M z!s)w;8I1LB*2cdIkCl{)RE_h#zw)urMqQpf?mfYee#O=TQT?GQG|JXk51mC;6{!msn z5$nBHX>WI51?XUuP``9sr`>;6>X}><(l*GBW)D<^+r@GULYy+ayBVV`>SL^k#E-*X zAYrPWi%Av}nV)X$&5M0@tCo3pDcQdBER@j{cxoQ}9BXG?p*qxwOUrPi71}a>u6l#(Kq#Z)~C&AA9vWJ&de9*B1h)BKu{i zZv8U$*X_n`z1wvN3gpqWShlg~ohlWuo#=roF~$WySpyV$2br(L)jbMK`qJjsGOD~W z=*r%N#r&1Mos!;8HD8BF%amaaW*-ISgjb5v_~Aymi=D=_x{ zRzZhY0L9Hc(CA1W^1C`ky8?7xMu4vJ@CldP)Z_6kE5@$xKA(2&^nnj{jL$E3DGcL9T>}v4Bbr&?grpb zUz;*pggSysTvX{2Nyce;{r%xXbuTO7sEvM4I7is-8WiH-IjF>%xkOOm_@zYdM*(LS ze2Geg1T>5{6bBL$e*kpOi9oPn9xPuNn29K_G}AawmAY4dc>5c8-m};E@#lUsqZ=WW z#+h)vE5r{_omj9JjH}4Y-g!`a4O_^3&I&$5mY8dQw-ulUFxztC;atpp-Z%X-;jda- zEV~MXp7m?)&Q(w#0k+EU#2?!2>U)?!w8XlpyAT{4YmxrmJ z3i=S>?2e>_X+uqGFid)J4WNGz%&m#7m9Ty4Gyl^JH6bS!&@mx9az*5j5%+ns5yR9p zEF!9G9H~fnwVC)e*|^b6icFJ`xUj8r>1t!9aOAW*O|~Kq=^!#DQH$kz55O(zX@hwz z@T*W%&@0TpOYc^SnKmIVNh3)E=-_oQU{_*|LGIQ(=PZ|p6n$DZx(JUV#G zRr>RINw0XH&B!jZu|3Of#kg@trQ*(!R}%NuE^V4&*6zY*5n*&tdJ{W#D~RP9NB2|BN2`fkD&Hb;de{p$It0=jIVy1tNR(pOI>M{2g&^ zyrxd;dmy;di@WPB-R~O%g!yvrnQlumW}ly16^0oMlFm=0_!fF#xLal9ma)jIi0Uwl zdip@X{VD%}H~;M)J4}2u({F$&fpx|W!C+|f6q)9#i-RY^AzNLGi2aaJm1h_2-to7@ zc?>51ybvyA!t}B@@F`9}ahfk8?)#w04&V6nKs)Z8-)L1*{JAYX4VWd(lMuc;1v#5(E7NjZzGuM6AAymwEJh!JqZ7F@t%ebW-Mv4ab0q`o`g=3u1*X;G$NA}$~*9H9(~Vv zz$wpZ%l#|=WEzg+K`6j70zc=62|%j3f4$W-)|qWcF@4A9WM98B>k~FiRae|sIA{Oc zxZShIH&YJ}ul%Qdv(s0{)_Ba%+0@n7ET)X!Zdl^TdC`86*67)HluEPmepiZ=PAR^3$=P%kLR_EwFj94sq)k5W5xqOOsb4Jlc zZBok6k!euyFWz4rXdn5JHXM3LlM8l? zb&6savnmz|YNcuXns`h*g;ZlNS04H`(JBT3l&0oIZJp51%tz?4@~8fs;sxAvJG|NlSk*TJNFiSzv?9(mxH1b= zTwEcIra)30mK?$1mADz4khg8efiqb^~+!t|#*?7f~I?AN;p`&SlmxNlUM zwQbmga=K#&#=3p=&OJ>O z4(&IN%GOc6J{rC}>18wbprSBd=dzKiFr7a)y(!>-Lbnfv-jtK-YFEg@35S903S1`G z-jHVF$duXV#u=iFf=;wu%cRCVNVuD0h3b0V-|c2qypOIN5R11eZ#5z@UBb4-$okGW z)rgGR^px-ow2i)3{u?SyPD|VwO|8NM%50~1XMWz)`~z=}?Hzh$jJSZbJ;TaTp88FM znSzJS%g9LU?7B}spm&-t$4AWlyRrws(!YS(ucbL6^-y`AD7N9!G#jP)EkhBLV={P( zT{VskTg>Z6p6H-#eiP$2_9y8XHJ5LH20yy!ErYu4gV+_P$@?0)uQ~x9%J*UdGdJmD z0cW7F3c47bx7ANT(|PFV4LHtcyw9>y@{MQ2Cjdt7P89AMNNh`?R9YmAWO;vrKNac| zJwo1TKJ$IfA`cy$3PSY~GZ0L$pH&U@fPB0W+N)!BlQ7d=GEdTlz5vy(1};eqUTK_4aH!`2qAUjpcC8!6Ss(9ej1T+Xr@MFvi@ z^581d7Zd$%t9G+^$P30!7r9%MQc73JQS_f`&gRqdf%V?X$~8ht9idn5!39;30^d+k z*H1%Fw|L9<(fCDbtioRugU=0n2&GpTUtX#L{09psgj{oOs;7z?t^+bjGiT^|bgX+G zCF=8Qv$<2hU+)&2^^sPf>$ct#hkKgtWLZp^)F=$+_{iA zUbhUN2vc?syUk#GAgMYlHGJD=l@eF6gd=$QIKyx?i$P0trEvdI%+9PM$g?ukP#j#s zHHhA?_)a!I4ZQNuC>u*J?SLxbkW;* z^0bOXSYZ`f!B8Sez7u5eNK~2Nw&^`()F&XPs>?e+!YJggLz{DqW;y~@YTf{&Mj`7#Ptg2iGs zfYCr4xRu^3!Ooq9&r?1*%GXZ92DIWrzzu&I9xM!+?FD^W#|DLYZx~{4)$6N>{e5;o zM^*7Dg6>d?pKI;@0OF#oJm3a~Ecu;5t6=)MlfC2a@)vZog99qjOZpzxH)wgE39d~0 ztg=Pihh+7S>i%C3(_429XA%OR?pt7-6unV0oI=IAgRY2V55$%ao_QCQz9Ib0H+i5U zvI2MzVkz>?jG#@>NU!~VEty2PB`??Vl!*sqh<&@`kDE%bb;yeDjO=-1h2zXVAFu3X zIke(>0JdJbJk4M{HaEj(WmVKLPYDQC-2*DU-NdeUTV(^3mF)y$U43Kf?sEYOsB@>HxOXmBl4Dl-oaa=un#u5h9@VMFL8E0WTpUo( zQ6a8eZ%b@~^I7#}M|B&Yy8kV0k(%q13^!RWd{NMv@sI-#i;qofwk4RlNCX7|^{ucJysJMd$H1%NcUi+~T3HMqp zr^7}On{AlnG3kv($2X7id^3H;ez2gaW9pUh`4bE= zQfEPpaoK>sES#pqn#cC$HiCVm!C!C;$t2q_OT0ao{i@LwvhMb)++HH48Af zIrx=FjiTjgnesHEQ$ZF7U1FEHNSQO2-U>&(jdfy4S&2}0HBruwfQ&{RsY%61I8!dp z>@Xfoch9`XmBT`R!<8R+C*E647i4ujK!wca)%K8PHz6Y1Ck1M_F2|+nR$#GR<6Ew1 z;9Pfp*b9>#IYy5qZX)c60`Msl=}K$|RTu*+-yXHwI+2rVl8PN%qiHBCVBlARYOC@P z?n0SHdq)6_zNdfK6BQhER;LkOwz(=l<|vB&G5a3?7 z8@4qqxzm-7?n7^G`QdlAqyP|K#yc68Fy|0$>+GbikH0+>^urSsG^+F)(?ia*Tg@&O z8UT+>b3gtmC68ME9k}bYS+EIl4m>#Uy7;GYP4um%i3)?9|Lt847V%$x0$wL zJE0-ZNpKf|FJf(kj@ghi$f%*P?2$d$ne#MHy;qk&m?KKIgxhHfAUy5-)9d{wx!MKM zvF7ZRL%l9)U?nNvYTi zrzoZm5rv8&mJHXC+*m1|g<`_+AjLE-`oil)`B6F}Q=C5S>}lIP#{(?-KVNM#={`K> zsK|_J*E3b9s*ngI0&9B~aQ(Sd*?iaJmHV=wZDs0HG-QZT;00n}j3O8_HlIPhpClA% zWI)K+pL0o>f2$j>Le;^5J10$XEx;PbeDa+J50x^G|5S@u0v*8F8HJ9R65Ob_e%yUrTY`?t*5xgFGR}+a%SkZ;qF-idi1Lw zlip;_{DKfV!gNzHerYZD_p;pMl)qMfx>Cu>lRUagN=?3cPyjGyNB&P6owW0Bwbm$T ze<`=NvF_xnh)x~WgLCqbG~V=Xam__e#Wp)XA84iS@aDI8l{+uw$TMYjHipK-K)V3J zZ74#kvUJEhc3{L&g1@_J5=x280sU;)aV7huPVrNY&;@erKz(!~awjlu()8v0E1>?# zdEGyI4++l<`NzXOs4gBdlyTO`pr)#J(4~tt@acgrO_>az7<>jvp>)MaE0h@lOEh{V z)pL`+y6nFM*5Dc9lFlFV&gLXlZ_lPtfq6bAm$jBf%kCc z_G?X-Af3-yPOqC>w-&k6+Ez=g?N_^BR9l+Fq!IhFl+(&Oyz2)wHE`nOpK1;eF(YHX zhkuMJagrPhF7asmARH1tZXpJfK%W1)Xr?c09W0{iE5=uH4$TjK&=~+a%FQ#}DCpqs4m|%45<7 z@;v@hn`$cePjzKl4B|ebqG9-Bg=4CPf=zLamkT;^O8C^JT6 z#$d-!>~P1Bj@)fuQ`kDf+pYu_qgK&ntfYk9{9gLYA!xo1`)iL(!b03ITjDb{<6K#3 zKkVdk3Lu||N;Q>jl5o>kO7*Tgug zHnsx%-J17umB3FaG}JOHOexLj@h9RbZpy}$CycsHZiAm~YX(MIY8N<-aE$uf&rO!i z+j?ihXy)tg#wbHPuafjb6loIOlskJ9hMp!<19Y3t%DRPgu(Xy7BU84QJyok>eI~A7 z^g1IR!lG-Ni@)qpexk!5A!=zvtudiEE}%KQKxH?U<*~FpNs2(|l|f6p$epu6a=y5} zl-KMl#~pb`8@h@J^7NWF&Te%G>L!cC*vQqcqz-;P5UZigUZioD%)^X)EU^P%*wLlJlCYTE zLpw31Wt?lh^j=&hhdsE*Cdr{e^8vn=@b0Ijvp5T3Y4olQLLW@caG=?#5tdn11y&Yyn~2qNnYm{nH^zt z6~Ux)9qN;2jNb6K`s#fJVMk~>My>FfW4x4@GJoU1Br;0>tHj#2ruFy2(?;du829>n z-nEpe%tdyscO)ebBfHtKP&OD}gfjhQIPD7JPMbI?df`YGvqf}3@%#k3Q%BQ@aMG_J zECOrR_vaBoE$1zSRh-YcTxMPX~Zt~YRO2nPb zvvW0v>fq5T$v>KBB>SsxeNCg;grl3NE4!T}XgwT~5%I>dKErRhlI;y`E9@!_euVbe z)a2t%)h@=G5S0k<8mI4Nwbur1Aq;wlTdvu$I=rqe0q^}P-e$U>edQ>7fOD4&qnn9h z+(qZFhx&5>wVahdve}QZ^9mXeCG}~ZxX}Qf!qzXEI-R_)7J^KU)NZ{VZt@WoC0DCj zqB&&2(^)+Ed!EX3y7VmBpvA$;#=J@bA&kf_#(?N9wrMvX^D7b9QAIw#H`*z7QCGNO%zhJ1D z{4C#8cw@;WQrcztYhIWuoy~^8Tm!#1+Y?CLLR;vt%nTWb)%;5GSoHz3+Jp1YVF^hR zJzXMVR`Sa{>9mBJqo&Zw${5Xz*!N4LkCk)&v+$9;YC=LC)0!Ti7ZNSs&@>$+yd5nG zef79y48EiZ$8B^=z1(XR^tE)<&kikIGB8Bp$JQRUUTK>zsCO--x8kn02mP8`^J<$S zah{%H3$`>h07>Yf&W2g1UnTAD*_+-DLT|{bRFgT36u*wMAw<_U4itwxJR;6OYU?fs z*6y?Q7^SlcZin zkMDnDV@qUt+1`W-9HTUzt{(p60H(n-;}C!uSzqkp&B8^hDIii0?=%aw`aD0+Bl4YM z@LraMAz~4KVq0A=e0S?`g%7?@}Pg0lao7h6#4q0k(mFnM1MyO7HNK-qs?Um_dDX<&-BNPRm(GMu+b`qx!jD5sOe+SB-2}?sh7okV8211;8CFK z7UK1x$$~i|{u<4VRp#@?%f}GzuGwUrOVU@T<8{*l;jE7)7k9g^BqXypZLp;1uKG=yQbETk$Mt@?&mHDom>Q1?EdO;<9 zYy?1bvDNY?9zuKXpnC5Q2#i-^M^4UjdlcI=Z8(=kY{%y@hNPTKS8{nyu0|C@3DO0O zO@0LWW(9#)3QUccyw)uhQ8Jq715(W&eujAi1LxM(GdR~y(I7FHfXyCytZXA` z>@ri7Y?pGb8WV5oCk(=a&#-`al>gE)gfWJTmV`2F0;r!pK+j72rG>J`e-qkl18rMG zo$>cKJzM85az?2Uo)rPShOI#QxifM6)6*Q@7sCaapYwg!`oX(l5}Do_G4(Soir6092@j5nln?tu=41ZFRQi?tAF?A~pa2L%(=Zb#P$#2O zU8uRy@S{(R>+ha}fnpq^`|>*G>T{f+44?3eP-iNEWIHVdRfEv%u^ag#pv>fPo%h8~ z@Ng&$#*iC+S9+&LsNv*i5GTDnAo)Cp!KT?jWAWo#$xpr{asq@CBcPLy>~i!z7NfKr ztJaXpr~NYHr>oJ~ttHHSVWCZ2Dyt=hc;gapG%Gd}?1-s$0>oP#K|vX>u4ZovX?ra|jyI-FFJLoH;cey`4eCs!&3 zpQPkkk7m4Mm_Q-hJi`%wGOc&Tg&e%X77!H!cCO2wD7d#N)AhA0|ItmA>{vqHBQ>_% zdJsEq<&0WXHwi=;M)Cb1b8wPgkLr{d5SPCvD}~xKCAF zcU}D@CU1Yz=PF0+x!!@)Y<%;gjdMT2D*BbQA;A62nOx%Bdx{Zt(RW92YdA>Q6-*PXi#c8_VtZchTq3dRdkn4LnPCh z>OTft$~V9e7((KoY&aCGHPe0bCeu zhNwE`g;vXN{T&)9mxF-soc9`s6Y#U&gDh{o4;>zb5OV%N{9nw*yCZ z=uIt4?mK8~>Ws81&=0d}i%QkRneCAHzBqXckgL}4;w$=-f zVI{xdha!~MIiPSHJ6uT^a1R+Dw`RLQI$>6y7^jfO1mhU5Dst5&8B(b>Uv{lZG8{BI z+co8Y0AU)d@;KApL?saHr{YtoO|}-SuX%`uhGn#WfbZz9k3yNj^z)t4c6WCrr}pNM zusmTS0_3r3OA%QLnAqD4&G(yxKf~AL?Isk>vqN<_D}S@67VA{;J$$lXAy)PpvTrp| zLrSYT7u7JNt5KS{2DQ@c@pM zQMb5F@?fCjW#D>s5d$`waVnetJi{)caPBeN*OYV&E$|$EQm?lQOk%0&YDn9{!QojE zkN4!}gTs(*!(~YwrFpi8P$nmHkVB=E(rJxWtTB^kbXkEMuTeE9<#UvqGwm(BBZKw><)HTEMGOUZBG4OO-ZJn@Q-E9Joj2&&LypMI zamK##ZG;n9a}=mZaNFabJe-1fg?+zD|A%Iqyk^Kbi^icL-M1lvNno|m$dm7oZjfS@ z#u}9iTgKot`I)aaR?tfW!sttDE2VMWU4TR0YP%r?yFIko7Q{o@7;tSN&*-p z7~KW!AM;Rvqr=`RePWV2$oa2WDFyOQPEjOW}d z+(wZ9-BY~x6@lO}0+DLo7JQb^IvS)}-uvNYr;OxpiJ*v@#x>Su_X_4oSeg)t-%ftH zHOz91_Nxg#&HBn|3G-zIKRXk#dCa#+V34IR93?}sIOFEpS@~siK+F94JhT>UsyW@4 z!@l_EzQLC8N<={`E$Bb@W>(jG;3*xv7`yLqU6<56G;CfX-N58;rne)YeiI_6Nkqk+OdrFtr}{j!05dAWwlokp^i`&2yY=Jl zREk!`hD!U5oWW@bm$f_gTPwM=eUwrw)lW^MLI2vU9-3zrfrY5|1+@u&|;~Tn807#->kcJ247T&?MKX> z4y38=s4ayKle`{5P^x>u0{R_`3A7V-r#2k=r@(^5AuzA~@AiLXh%Zm2U8b>1O@rmp zhYMC+8P@Bz#-RbwJ$Gd<$`h?q-zbNYm%<7n9_BXdgC>`A9@Xs&cGvIrMe#a zG+(|BHNLffqct69E<{MNeV6~^?D^U9ungrNA=~o)lG5G@i_plSbVVTuA5FSn>FW3i z_6h{LG;j!i^*@$PD5(l|<+*t&-}NEizVdfBNrCmEWoWIwAQta`hJ zQQ9l$5=e;zD0d1?9KwAU$E1nD+I%O3!E=p%HzNaJ{)jTCt80B!sDKP(YG>YsiYpV$ z-JC8AZescoWx>mzs}336xQSCW3}g7i98g9x!^u+9K(^r7Go=ejavS*9q9UcryzD@!SsFgji{n^^J?6as zG*h?LZmr))Y~NH9ugm+4%v0Fh#goq17K90L4j{gyQjl!jFi>T=qw2 z#1hsh@0`Mie~HV(Gk+U3rKKduLO5t9hjA^pkSs|C(F()jfK5bB0^|4vj?aDY(WICcBy?p#mnZOtIiJA8IF{Bduvp{8sVcDm67i*As2 zi!8vs00c4IZ|_h!CZKZSkI0+QT=qdvaFGUBc7g6hjZ%8h@98_p=e*g` zcX&tI8L4-1W?Py$;1QS2*TDZ)n-My z>+4Lnnka6Q<1wSwzu{=!JH6}SN(iu5j+Z(aQ##ztc6G^X9a4Ez(b&!=+zKiW*?BXM z1y?r(TOONVPPKZv_WppfJ(pOV!=AGn+X+)c!|m5bPd=h`W6JmS&Dt}GvIZe^UqRTO z`p7yyXu`@JH{!Gy*iCt-G>*CHhEesJjR!00+O9~}D~(#74#DRjXBoRbyCtU1SQ4d< zE-T`+wVMQov_3)?H1u2z5>?2pi1vj{YnfXTg7TrRx{QuYx^1=i(qa3 z!E>VJSYY8;D2x@2WmfwD=;TmTs3}!kT=GHMHJhB9s&`xMty-SF+44o`Z=x4>zN@cV6KUv$B0G$vPV{MWWp%FvpuYOL6o) zo|M1(B38vhy%!eyINs)r7KQ@CTc1HKmq9^%V-=)P%t>YZ>Yh(>*i6fIELAkCKO6j8 zpvPz$atZ3tiIx^%hwUg97mizBnA&JKY{Q7BmytcwtX)f_5hHv-pZsL2*fT4vLvEi@ zpR|F#EXD8oRJCZfd1oo-$L3s{z{yTs1o4AHK~3#AGZYvZHhCE6OZppZy&+oX957a! z2<;w{_uOOCo^^)del4AwL7tGrN*^0jIF+*+M9Q@>@bc`&v)1l2RL&J%5$ODcJ zR?=JB38-3t0pVPuk%4l!^X4R#i?f zHUV8e)3q7&lJ~YxSk?8zaugfX_hCt_(`#9pbN`QI0D4PauMhLI6oN~Y*kmOOzzjhv zprp~k|F*#2;kPS!LK@$qSn_%2Hb~QTPA^_NA~GDiNr#5f#I{!AK8VUkSEqb{WH3Lj zKuBPlHhS1N%-Y&(-fRbOXd=8?z%Cphs`QwkdhHbp!|TaIQ7S7fy+1<{+dw8UFVWQ- zF|HVk(*7GfI1%w9m^CmqocuZ^SRj%PbKtUk**6M_0QRjzn{4bwwNF3Y+JJ>ks?8HuHGt08UCMQ@{-P$u?AZFs zp14;Gd-+rGTtEX{v=!E6;{vs3c+9PE-|gpL=vAgmz>+5u;~$H(5k=0u2~8HfGCVh* zusuJU9(Yx%kW`!jG_sy{ZqwvOalkO4FO`sCTTnHOFCxlcZS|ssxGnM*uNGQ}JW&to ztZ!bN{@i^1ft_og>i(dft6lTm9bxbCVDk67{T~9;Lke&%wZ;-yeYQNK0dZhnlWdCC zFuG)_4Uid^qY|8ZMdx9Hi7Nu?lx$h>->CQqYZ?qdCpbTy5*!w(jC$9DM_Cwu#?2cU z^+qBlNkB1F_Z&Ri^fFccq|z(YKpsssiMTUIKyD~=BoeOq(ESQ;OQnqzmB8W{sj26* zRGI1qFzyJPLO&f%CZzC0Qfl>*SoNa4zT^3>do6(<%FJv#pO+k;{C>MAImXyNk;s{q zGe+qTGU{r`TEA}`TWmqqnO*e|f7-=_s-&m(*My;#GUb8>_gk_#8~O62T&yOMz{#&L z{JvAf*@=9BfIY`J$(644%Vt9Vs_Zw@onE#G3bKA3Zvm&HZ^t`$B_C0kOg*58UJ@y) zyhG?WHIRx1WEu3uPZX3ChAkYRB=#D~D51Eyky;qTuT5UF%y-1t>OPQd*VPrIbCQtl z5&+>H;MwxvZ+j`3i?QT)YodB{!Lqs42BnEaTK{-}5vSSP7}LQ)CWsS>5`e0pK4{0KxDcz9n!X4?*NU zi+W_?4=vOCgET!FcaY{663i>~{6{b$d?Hf2L#SH@rq4>QfGEA-f|%J8f&1fGuiah<%U>Brb(UVN&s-y+p*hL}2j z@N%l1WY~tEGv`#u?EO~Vw8gXreflL&CXUko$bBu2#zQmFoN|ql&!2m|QGnOWQU26< z;+R!laSbLSb<-*>IYtcDlo#InEAi=}&xh3CPfK?mP(m@vhrz(D^6A_Pn`wO{As`|8 z39QWjKXkoiP+d*5EgA>}cXxMphXi+bcY?dS2Y0vN?(XjH5P}DH2rm1reCO1y`|8#E z!4IHn?_RxT_mnZ_n3{BxJ>E{J3PqI}C_wJ=LIv2|iAA~|DiA2#{7Fz?hb)1~!u>9A zHNhL5(xR7ea|{@qbim-Ay4r|l!u{B5TVHg```m8-jr9Z!-F@?M2j*Z?hc{h0HV+9Y zk0d!oHEG3s20BPzr@8m##`fF&2R}|fM9y;8UzFB#$w=m3kIDMG)aA-hlu0+evhy>f zSXjKQK`yi*0DbWuTmo2;1?K;*2*71H3W=i6_o80jIlyK@$RmFckj9IC8ikI)phFs@ zZq`yVT6WA9|EBqi!m4<#hkI0u3se1!sbUN7)kW3wUUcsjy;RO`CGx-}LQ6K(_~o9$ z*8zJ7ZB9t2s;6EKEBWc=21Yx#tu{g#?YR;b7R&7OY$fBRsSC0IQM3w2se-KJ|H)DE z0HgV<5ORBYWWb4hr1$CjpK|g5I0_qKWQpP82E9Y|BlJ8?j4+w#BM`T)sRVZ_*@bjG zqM0XRF^MG_+F2oQ=T~3b+}mF);&P7C{>$Tz6;XEw-d||1_z%;nCBwV=*CfMe87&v$ zU~c=?pv9^FL=67X>7<*PQqIn)yzwdnIfQ?>$bmj^4!lJ??P^{o`0w|j=wo_BGx*vi zdH)4B;QxXf$fO@(0nq7?U;`zj=g4Mi=H?Mr!YsIN%@IHsNSrgw(%^bUBiSEs3D##2 zm9?6JuMK((7FtK^!5b&FUCz>$mdO5c@x>7=J-ez0cC98PJ>`#&r%1F^l+}u9v7X|$ zZth81erpPXYK+!wvZkNq+%Z38z5U8-f_$1zr-Zu{*}i2=bet6~+kc)iHjYRA51UG7 z`B%cf`9r*n45k7da1Zd)h&g& zWSUan20>;zjP_c8WuuM8hpG!-L~%IggmN)Ee|8K0 zO7@MU%~{3JelMJt?iGr!t1o@Iq@CVWt57LSS!HU7mMSDG;#7=Nqm!-3QA^Q`!Ne8^R1t2Zg9vYPFiJy*5`s&3d#@IKHayi6=n}<0c~76~(0AdP5ss-wSGR=is7Y zk&6jx&sw}GA27>OQhz-*;NNRkAJsxARrrkpm*8$Wg3M!(6rh7a0`V-lny?qP576jQ z)Kj%Mq?eHXyRLXr{$;MGQzYFeU}Rw2I)mbnXI)o#AK$`He6|~C*Yd_jn^2Zst(T65 z%9?&@QofK8xP-spk15ge?(h;|OoVN!8E98|gTQ5#7(a48HeGosR}{4{VsETue$l72 zXj#qMVc+{yGor^{&HWA6@e-P4QZHwWyzQ0`|H52vgnu`jN>N3?{KcfuciPHo?Rd5g zyWkR!K}m3S96>n?S6_N^O#9J9$P+Fzb~K2KT0UAysB4O~t>_*#@G0kXPuN!zJ>1y= zQ-5bcQZXN8dpV-%5#;5#k#`$ZQrLb=XfyHLcbA zh88&bk6iM)*21pZofZzU6ux-3VX4xFFe^Ke>W(-n)O|LT8G@=WHG4O^VpNm8RQ(9a z2G&(o`XaijUsp`hij)cbP7pB#IE5kDqgJ}5aQ;Y${jYowF$G}nVyjR9Y6LUqRq&M| z5TOLgN5L29rLGjaby->@=s+eChR|9PJSDs=-ggNR5wlE6*jvEhF8EP2ZyMMgZ&p1% zfDmFc%P7ayP>}ji{>%mO&gcgrWp{0Gh&f; zdP|#0XM3{>VTs1vLLRR>E*W1pHcO|N5P`58-5)*m6KH$D_C(I;6%z_1-d_ud$;V4MPVnYyVr7}t^hR4J3^W|XG%hX<=9yxP%?ye-=zy!_w-#wG)utFMH zs-y1%*mg;EO`|T3Rq8Tp&52FfbZ0%0KYGjjKEjr*9i&k70~bVlUNC)IBVaYLi;a}2 zbWH<&5d@;{JiCI-(yxg*Kaw(jnvn__dqo>`rJlURLsrOW&!o2Gl9g}$c*=RU>flyI z`(LF1v48IX3%GF_VH~_DDwXe~myAEpI;Ga|)AUy~cP${WXzGlfw?BQ#0YSwUaszpJ zhyJ)F{E-cJb+*aQ&XWbL`V5o0{8fDttXF}bN18?p2hBobB=)uQo_dO@?dvI%+=_M1 zGbSl-CJA))Wiyt386l>#!r7hVs{<86Cv!|}-;gk`sv+7R*(EwQBk6Gd9T#j8%(|O? z^V`v(FV5O`ur6klNuf*sRCBtdJ{{ypcpmw8-S(Qk+6YPivUA;fQ~y(?#0I7TzfP&Q zS(bLhawP7!M(1?mR~2A{mWbGZl*(x?VMw3c;m;{70_^NMT4SDT zbf&y49LrGEXEdljG|;2oJb}sK((r zR2g*>;w8)CkfuJti(>ptPe&Fp{X{WLGqtZEWmJ`m_)sV$#0DiQ3H2ZD*O2ocP;(rI zWn}&&dNP|^5v774fb(us_Qw<)xjXmcEj?CsH&y;kSq(-O%4o9}JvQIxDiKkvdem$G z&E`RrH36(XiWXXWHHDqfp0bN42T(~tLMyC$xvHx(=3<+NEjNM%`-8?2syyKuufYBe z-zX#eUsXPme!i+s^ng#tnn-WRW2a=I<_hJMYaevCh=tA!`-H*;^SAQCL#`~bZDoIKM`1Aq4J<5hdb%fr5GZ-&6>AEg)AKEV1_E8t zKqM7^2Gq&fR~1-riAm#&AsoPu(!Nhl6do(stE|`WEyW_xwVn(4f4tSW(y0^lq~$_k zZC0v;a2V_?+~LU-mC}{O2B}{*jDPDOF*B z+ens*m|6`gwnPc6Rn8u~G|%ozyZtr8ZYY^&u~Z?CrF}GfKZ`5ZE`YQQi5uWa4Zzb5c`ihtE?9N7SkI#i=GQOHf^@vEoeyC>^3 zeNLN=87Z45uGxiVe<+RFyVp?#Y|hs*sv0;0PNQEOS3=maQiF4^o2Dj91CcpBMCoBL z8aKa7C3TpRnhoe0qLjAQkTAH-m=D~;V%$QFdU>_Vy%KK}EJdKllkB zWj)=PMBxlgwv_$caY7?wJd1kTB#-uoNp#qQYl+Db|G63De{Lp!TwFv9q9N>)(FMTf z&ANRwBz=>+Oju#%FV{?0H6TYhc9n+1d-M;FKH>SU+Zp+LUZzAmc(qp`baKci?Qr{1 zeg`}|=~bjhP90?gCK6VcjxFzsruMv;>~oX*s&$uvV(lX>qg^4mJ#CAtsz6svlr0PX zZLY8jZGD@$h3vhuvwiLDgdgu-#><3qH5WO@a$P-9`w(r}f0h#fUG#517e66ze1mY} z1w)jNE8hErldcV)Q^5ka`RZWR{%|6gq_eKdXySOaQdoP>v1$*6?p$d_N9^hILpSn9 zGRQ=(;VHdLVX2c2JT0uq$ydwTsYQ?1dBN9%4X+9&IVFQ^QXzKf*URe58K>pJ@GwEw z{zw9bT-VVCfM%d9&aEZXeLbw@Og z2KU3so}Bk=4qvOu{e(s;2POQ~&q%*E&&`g-@K!yKNziL;MTtwbw{MslZRln94UxS) zy7GM-_skZB7TqC-r5o9;An^-S?2NmRq{QB`@ zl{l>(*G9wgw(K?8eY2-koHus3Grd`)`u}1}JZS*|60lOTW5Gf}$bLFq6Y(g3%AE)^ zDant3XSAL4y4EJ$8S&S8Q3R2rqfQD~F}D8Ewl z>a5H!NQ2_zkvA3?R%VEf4g2GRf)3!c!$wg=ux9Lb%|Q}M_|wPwmlQU4Fca+0<*bvI zdpxfAT}(FYD#X5}-2MUdT5(Z`gA7s5EMPC(5kDotE|PpzuRmWjHe&bh|AmnqqT@Zs zAn;Iez53FtOhtcOz?qDq{t^{_a&oO08(Ao_mG4{NpN*vo1{^3S_CRiod#ONQ>As-- zrrkV2B~@|3K;R~oFu;@a6eY%haOlCNl8UApf`K+q{zS9KBRk^kbdXjqMIHPdLB#)q z_&aYNRb&YUIsxt!o%T0(x32>?6q!(Gs1NeRg8n;8yRt=G7yb&Ko{d|Nu`j1qIlbFg z?8s`D(!X>piE59&Cuux*rrv{21wF2JVi_tUzt*VF?(SJ#cq0(9{`5i#uDp#4Mf8(@ z_JpNtqO8LAtDwhN`N;eE^TxNlXwWz?Ccwa~sYzKWXH=i}+bol&{%@bmxrltB=bZr` zd`cAc?~_MRC)?R8ub$VHaS6*6GKbEC_g77`v8ctb+ATN?HH+|3{?nQKJwm5(wErDn zLqP~Y+ft)id%4kKRvM(E=*OjQho^2{Bk@rnX1!ANyzLLl5%5lxnQmX&j)4Qj8`<_H z(L{;ipm*x_6A^j~+64{ji!*LrgTzW<-hxsj@!)9Zq9SFHlkKNlN$>6L=5OF@L+~(b zcQtZIt><$VC6XYm?;=;w)IXvgbVY{CJmrTS4s4g#1$sBSq%=3+D*i&%dtFsp;-|Nb zEg(#0VI5^`>Avof&m4s;e*slQh$>cw|LnsWrM1&jT4>^;!Mxq{mI7tcSON@g>wNE~ zw0s+nhuCymihLb`o(-XeUUQku>Exz#a#}rH(>?X!VT2J$ooQqZ`=C3R9vvpj{Vmfk zOllc|y8ja@16RUN^~%S~O&vfjvfgA=zr&^6aMzgO!^-5zdbz`Lu>^k5W}~Ir_w_+8 zlRfZVwaPN<2_QAlsDy@)g7d_D3Ri;PeQRyHaI(B3sk-{MSt{v(e4BGoUiyl|C^Wm3 zX;1)vfBLDqc^AuJj~PywKJZ+Vdwdj|AX{h8g+;b2vWaQvWcjVagrO6$&p+;~t_kuC zRdXCCIp5P=^+SxvBxu$Dco}QAmg)R_(SPjeX1~;)A3N!H?~l7XtSNgMQXIA84~)gp zXd*VpgWWiiZyOc}?-o-*ahXJX<|#KXtKl$bK_QYuqTLGbc}%t6)q-wUpnCQ+Y=)CW z{i#T91@MTn7fbL?q5$vs<7)*>mp`aSty10hs7m*zCbSl{2N)5Z-VpeK_b)0f%p;ui!=yu2Tfs%wEgVl zGOlSWjHSH1fkB~`tF(W!M6zuy!AHmTTj)=9nTE{Q+q7pmi_di;Y4l%EtSm;%%iE#TYUh5n6-rKK=opK~ zHM73{3fSGUx{IhSJ73K$AY}5aAHG(qwa+OU$eY{SQ;_v?1)lu)I^7NFu#;2BEkEh#MbF@m z8!0DgxPMR;`H|1_r4w0kXAa+enJn}l-a#=c)vVe^?E;EQplthl~mfh0!IVTr^6tP--o`2X#NrziE)@>Lszr-REc3h@nPLj z2El*z>&J#H1~-lZWQ6E6Wuz{#LW$y%Y1z*J4oas4P6AVJIEDoPJOBbBG(-`BHO)$)>^>|hu&aO(S#ANV9eYopUsiu{e-wVU= z3(R3)7~<$Kz()zHleXy+UU4nFFN0b!VSTY*Q_~$dnj?Aszn&4$m37!1fOK$(#bF!y z>sF~zTccb&F_poV$Ik)*Ci_1k68ZmR3*&jg7gl7TBZ?1K7V@&{Z+2+Jan7YuY@GMy220)OUWYD$-Jj@`umpaW*j8 z7dq*RH4ZcVTJ|RTN&V=m%Az2sD(p9M8>i%p$6^skAM0&Yn&SybC0nNyF~+CJ0kn(P&Jl)E z+>YZV1Kym#SwQo{hk6`$G~Z!61{mpxU&voLM)B?fGFOTR-;BF$?BO>Qz|? z9wF7MySp2UQJ33nB3YO16rUYgGZw1%FoVrv2U!_+Da=`h&+WX;^Ul0bJjQRGpOUgf zGy)X{Cd`HE|0Om&hWdUJ1c;2__5>zhEw2>=ENCP9y;(fcMPlYOj(&=r*`X&!j;fDt z@iF@7!VPZ6Yn>xPJnWM8FBh(l+|qQBy)Md>J#`kgXx`kOIu;y%WO0jvYZJQpHHT%}x@ zB2*YGSE*bzGb~oW*H5qA>7YihlaSj1XnFAe^24WqhFLiEIFIRPo|Ph4>)tB+h*(?I zBIN+70WSHU7fP=@?^8NDF;_3`hb?>AqIPrB*2W52K1@WWOeA=0YF+v_=jEJ3IexCw;@r;>IK4%C{hmQl#W z`$}e;?!m3kk^R~E`SA+`rO12RDnT<;k>6xY@+~_&ZtS<9HFFeRs6QJ}&ql*u#SPn+ zWd*V>5=(nJ!j_@5wrJ!2GWSADjmgj;HvhIOdv(l3Fl1ka(d zrdXmCvGBSbjUOu}M~-dQq(x&cI8n!r)!S%4^hws!s79%B^--Qtv+erjZcdeEoK^&} zMz76qW1c$GP1%2z^{-b4u3z=s)+@YQ=I}@`Wy_5v!zaF2pSFFjFudwV@1GwW~$LH=cP!hf4)EydlmKXGMqd0 zspt_NnFw2cUXF2uF=CsKYkD1)A7vk#N|7s5Bzhh(ui~6(0O1&|Q=Z@yp57`imrDgr zTmXU+A@Rj0DEFf+-(5fAemMC;0*Lhojr{B>Km9o(HZw6JBjdXjG0fFgcT{9h9eH|T zp$QPF5eIw+%$nEr6oMr^I!kolfB>|8zEK%l!-VNl|6JEdhh*o_%63BNeYR4~<9sNa z%inG`nJVNgUU|3O9yx#N1N-3yd{MRzhL1(tdT5y?u%8 z4*ej_3==d_$S{3{^k_(_oMeS|{_?Zx?nFuo@DVSi9MhF|hMfIM*rwmiQNc1~Ta1Sz zdqE$afGe?THd_9;@8==-(EEfV%eR;uDh^Q(avxUnZvsV zM7Bn3)HVkpL%CA;zgq3D&d=e)V|EC5AMOKc-dA!veBCytINt$$Z3=IIu^&H>B2xY6 z?%Wv>mzy*`2^yNNxdqotPO+x@~c# zPVQ@{D(B)${IF$sy=pz~fx^O;|6cI#mg`I^XlRtN{8wk&M%%%vw0hsRoXlT+Hq*Aw zBaFAVC2XxS{avtkbEZ99OO4js;PU3>{(RU`p@Qa6Uuk z@b}~o=}eflwY5H6uf(k9G^a>4YeCz?zZq!asx+nIW!=f}~-TKe8E|&iM0F-9Aqr~v<9LR!m$HM61O5!3kpEgdLC$?UM zJRXq~1kuGMHs=CTs=Au>1UHqx9W%;N5@Y_QET2fP;lPm|W~$HiUGFXwMrIjpu~pi= z;w19!EyF_T=h+JOtZx*62K`&GdAKn z(eh*yP+nA+szXUKk{WJ71O*3UF=#{Z4n*yb#$~lONb>!+rdv#~)D;-G)LM-YkGGxq zMGcY~*Gd^|yCue-zPcn}$#mzeK= zn*`wa!T}~tO>N>y6RTV`?S=GnW8DGbCYogm&Oq#vIdA49!YF`*ol%8{8V7BP3#Oo; zP$!r))a&=|1^Rf;SiwX6Z-fG{-8$g)--v>R{|NcWs(2ME@2i$HQ2)-OKcr1CmTnF+ zpI`TMApsO;zt#{t?2jP)aJ9gP4e10XZ;Ta6#8K1gA^mO#hsTBZ&mOd-iTgq3pc-EV z57Dq0>jbA;~!yDg1K1Q}}!7=v)rx;(AlSdtb3Kb$^|QDqk2Me+g~_JPSTUt9LN zy&rMU{!FG_0rKg1oHoQ#=#zwc+<-y+H&LNkn*bfXXtfmG{p6xrgzeAcIuiaqkM>jS=%b-!-RmgtfZVB+arn*RJ{#iK*1TkJiN=k|nI`+?a6K%>Q z6o?KLGiW_>@>=94AO|m3DNUu<0uKl6I5Lv%Nwli#gC%f{;NaoG9q|ceC<+S;1K~R! z`*+29<6%zQUtb4i$%TbXYZ_=&?fxb%Z{lgJST$$Jp=@rmWicnl0d`zY_I0tT9_%;H ze235JTK+H3+`i|#BR-d7#eCsV`5a!kTz*gBmW|ix0&=ixN}G21b%fNTUEj~hH{(LY zd!z3OhvP?c`63)vOJ#bUZUktss@A{TSZqeqCJ$C?^sIrO#S%Ft-L_Bezlak3F{!nB zJiwrG#7&?bawi6kK*!=7u*eajZ8x$Hj}&p*1>{ok+~TO^hglORk}|H~_}@g`PQPfv zJA93ZrZX_jq_~F1p}3l?!ujoiL(0qqCp9xC>#@h&k8@M~MhZ3Rf%Eb{F{uHE>x~*{ zF`FA`G~Z&oF`32`eBbW4FMb^cB$3X*v=75_-7b%MjavPSjn>D)>(pI|^U-ChQ}@#NCR$I~AlyH5 zxMH$Z{Le7fbsU8S1wYgBCV{ClUw3~SMl|3k5#xLsHbx&b3#o%o{WjPL^SQ&UPIGbi z+v*o?oQi&|jCC&R#qhkA%onD{FTcy0q`p=sLX$rRXTm6apI1S-s}Ff-EyU+HvzpUv%DlUcc+ z;^Ec|v97)$jVg|*337QJ8#%(P~#YCk`)0q0B&(+hEWaS>cW7 zYt%3-a(J)Z`6%^Gtz5l2Q#k*ros8eo;uCfS5Jm+C298Jr({MsL%NM5pKWXClS%5T9 z!sq}FycOPuZl2nx!vwc3F?khSv4Qr8^p0j&%GS`W=E)oK zulg;Nm^=+nlvX?w(GhVw?D&IT4L;tUfr88?FhU0XG>_X6+)CI3)*+#zvlFD`G@|~0 zy3@IdS~IS5o0#r{eYm)|fC)sQa9HvgtQ5}@J2IbNhuZ9+lA*AO&xvO>H~r#U>`#sZ zP;>5tHt+i?&bG=KW@WFu6|dA^-kYi9t1aBzGkLtk*8P$;uwb-&iEUoSDol9g?d#p- z5cUoZ*@#MO_4Su&&ISSp8@HzG$oj3$ z7mDHdD^+WBae4#^2??*Zd($Kn<@@Q5;0YwyaRdsTGl)#}^|?Hg493~4p zk9Q$gA~*~;i8%8IBB)i&q2OnLa1_TXh{B4Vc@!ujC|JRp8!SlRJPCW6t9tm;`-yC_ zkJ&6&q|lg%xc;x}x+M<0KU*!`O&cDfjAo_v>M$EZmVG@nR{a+woKToOG@yM3v1-iQU$_={}YYU0Dy~r%?#L>VypwBeSMw%;sCWkM$ z-^wN}!5fV2bp$HhimelloCv@WfSn-@M@5E);E}moLsB?Iyx4HRo(czZ6rbMSobiPocQoY)0;<~@|bIoF1U0r`L6o@h~Jpi(zSO!ZSgf8q(en35#WAf<2KzY;Tgo$2vSBg;lh@sC!W8C z2J1g%N%=x1DHx|XGE4){M}gr;n&M{?7GxCj9$M()^XGz zT^~4%>>ssTUm$eb{NB5jKPO}-!fK{Eu#S@sFZB9@fB?N8Eumgb9^iF7VttDFheG~) z;49>KsNZ2Stz43*tcZ;a3v9Kz{5qU0St~FZi4H8ba&Y`N zyvfA{a6O@H_rNfdOfLJaxN7c;h+?#nY5^!5_gQ)ZE~jyvIJm4*K%)-S)nPI-w4Bxp z(Zbx_h_9wHR9bVP-R<#F3T_zp)k{GC*)zIO48(%tu@!$ZPA8Ljng zzC}usJ8j1bi02PR)BcwRPBzxsG<0%01;qK@JJi)H${H@pLUcvF^q+SfR25so3qJDV zfW|l1}c7Pouuw32g2tCe?b6mCTVyFTp>OIL8C8pwth-oH^pqe zD(@9`wmix9YDP@TB=5{onpNh-{sbg!pQlk><<2A0NQ3K{`hH3h4#nVOgjde522k^T zWX9&#jZoi>iqpr|TW&EIZPp$wKZo&Wh~W-&SNU}fh;8&AD@y>Lkwm3X;x^{MS|pu9 z`*n79_Nr4L?R4i^WmO%Qx3xKne9OdORSb#tXcfjPE_1y2=)GZoUk(9PdtMaV({db_q`&t|J3LHbeYTc z7X;y>D!aV)2N%eoB8P@y$xrtb=huK{XKkM$GSvA-n_}ewZoHhD*sys$p(7H z)QtG2@J`PD@)4+dcokt9;|Ac{=S{qZ5+0@bl9l6?i;4*tUJ zGT+g-kJavrJ~1eE%4pL+V+q$B?cR?yFs}YekPhFRujSuso-30o!x_1;QU4bC9~~lN zR_LE?L0$UE>KKfu^L)=MI~iQ+;dz`DBKxelsAFn6{pVG*A5|{y*x&+9u9=_P7y=7} z`$T1#Rib7F#7d|}TV)TgQtk>1tMXk=>s>Ns2fl1dIQ}z1>71!ffo&hSgX(GWZ9uVG zHY|eer(EA)>FR;v|$}Z4YThhRB%3=6Rd=Dwu#>BG7Dm^85L0JM`nlhr%X1-t};k?DMvukjp8hWd_s7M=r@- zT!kOjBujnc_4PGyN%o^s4bdv-Tj(Q+$xUd4_^T8-dKY$M~#d1|vv8oeM0-MIgh34g22W&E;l?RTRR zsf2ccxo19UF>+uu*-z+S9p=>QxVa$;TN zy-N7hhV#S21=_oJOxGm=&eP#m1JC4T*Q=BCpv$|GoG39DiegAlwt%NrwoH~(xAmRl zua3I2DTFLen{_vv$EH~%JE)@cy`!D};5y?^^CFAc@BLd_gz^f5s1SdCJ?B<@Tz)iL z$9jSITx-1jo(C{2bf$P4fDJE{7!?v|zHm%0e~kIfuA*CYRnhD%L>W3Tr1r{X-+7Vs zWS@-=8(Q92oD8)XOW=NPpBdgHXv>=cU�{6>gkbC0w16 z=O(sFZ_^BP3kfB#FVoJGyWM%zA8r6~I7NGyz1=9GA2E6^*yXYJEZE&{sv^Nu`6c4z z8^kRqSdfLKnXOsBx3lebrtL<_gSATM@=v@gW0q*%UPtW+W!$`p5+AZ8Y0mhlfB`D|Q{1Z<9q$IJ^Y&4X;g$ zXHYNOJx23BdyC<50tWhSa@RfA+gIeTja{?)7>d5!>?YMOeoAuDG$g z7F&p%_Hmx?oNZ!Mx($^LslZ%SEpn|29h#JzfH%8ozurKRfqeR>;AX#7z<#s2(l%(; zd;8k>xtcIn2wZ!U$B!|wz6Gsx;x&!%q9LE5GNRMlX?18EZ4?1yKRn;bs|~t7Zb2a% zo&Mz%xg%4>P(OF1Q3_AU;<}Xy&5#G%#bP^AMzUW**mHE@da?F5LZyV^*V9{4VsSDS zQVpJv=WV(a9sg%xU&7oxez(2!gd{}Wy9M8SXPrYjwRYoSSXWRci@#4JW=3g- zHo^UIuD#=9ru@qIq5k7ZV48nr|L0TA)1{K4^YM2;()cWeCPT=(@wr^kQ#Q9@XRjS? zpRyW88XiAB7huBuofEM|37jGexC?nZUTsxpS}Sp{(Ujj|vmRM!eC1^1dnypiazos0 zRnKiZQtgoSlQ@D+(G{`JTXX3f?3ONm(;QmHi`z#~Sp4lhJ8CZo0cdo2&VF_s3{$JM z)AoDw6kcB_zQbZT(w1~O8ffnO**X~>*M)QNDNkRA)A2O6)XQuxvz;#Y}WF5RcnQ9)`suEioce@Pslgo zKboLGi{nwP);hkkAmWY(vrzEQ2;ja8ARYe^poQY$V1BjEM_8&6Q*&^sU+uN&S^Amx z@5!XVZ_P&YX{l1-lIW|WCvTm>$w@f*)VI4?A$4{26CCtEh2c}OJ7^q-i2=eA-CIp2 zpp7((S9hyF`t4Sec4r@%%#la+giSB@7mNND@<3~3H>ILi*y~YCl{|pEBj9ov8J;aj zq}6UVTHH05)I!OoFO7G9sQFA=iVL9 z{X~70*JWsKJ?=6`zw^Lq5QOknDki3Pd=?7Fbwk%o^sBWwS;ha}We;0|CRx_;5!cLY z_iW_8GvDQOsSHA#*NtecTE9IAUXF_G@~EudO7HvZ=c%8# zZQkJtCRVhaZ*2K1a~XOS12~;77=U^X9FbKtv?(cA>OAY-slX^hUaR3*Do@LV;Wl); za%Blb!>@~%drOts?t25Z-`4j8Z*ifDip8L&b+j+3&dJv#&YA_Y!dAAEq5OP4bf#}3 z0#R7CU5u8)%3uD1kz=Q zt%a1?Rk(`ex&R93PA4KVNQXf{8IsG+-$<<#Jc{(!hSjpPX#T@v#l*v-Kh z7d$#x&)O%ij98pt;np|7^5X!M##{sj2^9Nt<5=52eJ% z3lw;?5$A*IeK*(nJw^O76u*+gUazHWe-x{>iFFmRISPw6&n#p(SNZ}uJ9dbNJAW9av0>-(Dt14&=v?&vNjw3zX> zRbN{V$B?zg)#`3oR;xS`6$E_7i@rW@gXi7hrbNwmNK&v_2dHI5c9Hb)q)(-V*x;qj zFYs$T|737pLce((!GJ^1BvMkw4vGmU)S35|L!}{Iw>x@#Owq8Z6GlY@lM^+G7n@7< z5Z^@L*dfGEFtBJdN3g+%z%T58^I3~58TAxbH-{)vCnpoSZK^;7R$|aDENpSk+qgO~ zlJ_r3BhWR*lM6PpA+pL4K1PxGP9VqOEFRM7YAc*55iA~8xL#~DYb}$`glfO3Dcn8Z z=YQr~p`^=t$c2X8Fo_whMc-9|FbFY$$gY|~WBc(X0{Sg1U}^;=77T+P+SB$Y zdQ%Ec?}_kJ_au+WS zyf1O~2ImyMPPN}z%;s=bS$pfmx}9;m9337kd(?gqaFexYE~e;k#o6gO^q1YF`SdX2 z?-q&v6m0TX2s_KCm`trqSHQnz`F+e1dh{i-WcP!*(V1Se&hP^jLoZ6J*B1+s$P-dj z6Fn?idfjg=v!~a67jxDpZKme_H^1-E1(Vj^;+x*=JC=Ip{Lio-Ums9*cGlWR0$4}= zcvdD@!3mwO)_QdE@LPy_92|B*Z#4#p(JONmPwCtgLr6wAgWVBSs3YCp&wrjr)XEdPAC5nw;uQ|&ZrgPjyU8Iud~BlSk(5t@%r=Meh-~>DN$Kf5HWL{--VP%9 zt~q#VZ;qluE$pAvWaU5Q&L3RaBc1SluAOt!w0JE0G4}MmcO3Nhm&bvvrsmjsl6y|2 zJFnv|SO}FYEmMu1D~i#|@T9hw5Vzkb7yZ;xAJ3I>&7}jC+|@|Ic^2Lh8)y38MIA*!ScHDTGA8;raAq zoQm*?<`2s#7Xv<*^ZbRvL*a-kOoyl8pD~&Z`JNMg!|&6i23!FHa#QW>x{6qEH^!5c(XT%o?EpafQteA1yts9bF`LU@hh88aL}WenBF&s7IT zEW4r2z6lta9xRx_n)EbEOv5c)hw_VXWXJ?>Ro})`8{{7i6v|UX{jk-OkR!OcnZw3P zYnWv8qgHA7p+m9yn3guP?XA&AWlC`tgR^-!H^j-vm=X)YGlji6jav%;U~kOwH}5y{ zu;-4YK(EpG#~Bx={E0?`!|onM<>f1rATs8IwJAh{$s;sIsF= z1_3KgTR-(Om{-IK!9|_Mnvr}dsvQk*tMETdgOB!}t9y zAI?1wrTBxl$vwX_NwXM{*XjH0?gbr{{Pyna`QZ>tBcpd$%#S#~OUEl$#h+Ja68$g+ zJ;BIhFwxqYIdRb;(AEa9{IbHIl*YbTcBr=(mV`PFw_B&epKV+0lxeLp+S;m@du$k? zmBbP8nC+IpQKe!1*~i#;(5f@MtwUjx&)Md3TRP^L4Exo$xv=2*R8YJ)`oU%N>-?r0 zmlw-Di`jpE%+EJmHj};1`t?JEY9{B}pcW)PO}H_=PU>izW1@PycKzdNFGXj@fEi zL9@)d@HQg{UUQiiX^u3|qmc6n7%oYS2ECT7(_yKHpyRjcx0niVX@1<7KhcoV$j5Hi zaPou!ZR&e)dm@!nnc(x<9$tg5DQG^l)NaDphF5W86HYJmws(Ig1LaQiyVJsh4XZTL zKc~xW|Kq*$(f+c+VB%N#DTqIB`((RheFy^%FRiY8&Ti-)uk~uP)A`~y6*qJ^*0sZ4 zQ;`1#BIG_jh3<8U$qFCOL&C#H@Hc&CwEpX1%xVna%eI1@^1Yi$i}402;)eyLD(Y5wBB zT&C!I{6k6)kHc=g+&|REK-n)CSu*mo6*g! zvpy(R+#8h~ok4x=WTR~O*;>7!-T&e1PjE7`hv7(!MoH;3m}XCK~VV=*|q zmW{?+6^U6`xKuaS#B`34CF*dXxqnXB_21e48VQHVNPe)(%2yhM7-2EvnJ63zH*m`YNfN{*ij2U5=a|VMhRYM|7 z$P40|5RoO%Hx{Z_A4oV&7=%aOGjNK{%}FiFE#uHsw@_GK@*Z-6oE%#-1GmZu(Y5Bn zs12lTE48lVES>g9jBk+C2RZZz81y+Z?VV|IepK%iMc|BfmzQ=C%X_KOZx&|}ouu)v zm5Fb%-5J@nTrXt+C6`tzHY1wOn(;{Xf?~1;bMK5JKCE3v@QRpu-%>1Jc!=u`@FRIBQIKrl3bx$n@u4 z>%{2K51+&T$oWye4f0v02dT!8F49H8Dd_k5pS{gT#2u8=hnUUo!XXjpDHSTxk^)Vi zaaA>d-a-{+?28BlYuqP@&KiSO`Qk`q-(v0V4p-vmta>I%8NEVv0?pt`ol>`D+7y5d znoCO%scy^TKT|fMrpIYgxdFyCJN9h(xo|k<&Uy^b?U=oUsCl72@mlqbC z00d}$ejXrXeth$+JMD10fXx^JNIiT2cv1%!gF&pt|JEuR!6Z|l8U#8w3g*fIhJc~s zCjDg4ua}JgZ)i)`Y({LsWt9$9u3e5|z(uiZI#42#hN}fzZ$TqSJ4w?Zea7E%5bO4P=N-1vb@?voAuDc*@P?+! zZy5;BsDg!+R%A_(7>*maWM-q3Lr~4%D6ab7G>-C=H=rm@B;<#$9mw^ipuD)n^Y*1e zMkQqw3ud!YD~|b(BuC}IgvnlN${&m?!)1Go7V6Zx^1zk5nyPnd%g$w-;s76a@9E9P zL~{W^6EIJSby^w`!-3Av)kIf#P4+L@MWjWB9TFiw;6cIh?So!X$alid{l^uAxOsTK zA!2BbZM4{CslPI;glW=$T=jyAl*=O`oOhc#bDAM#%1!oF_24y z#ogKJ-(2U006uXLO^kYl>$DESjuikVm~?nz^N4bQ?@N_VLR4^%pP>I5&EkpC*DU|6 z^%Gn?t7|pm&wSq2@pjG^nYg;jK057`CWd&IV~8neNrE2dBl)M?fmYOLnEhqME0JG1 zYhsz~g@}lVhAFYQ9IzvB;YocYK zTGzV1*U~0+uT`NrxjgRg;_3AUiNAj$w;%|%Kc6&T4bv{tXLoD^+cJ0hpdB@tJRVZ}DBN4WPln-@z$R2S zj`oL7k)LirJyI)BY*v{RfK`GYF_|>AwqnCUp$RfmP?+rwMM$X@uEybWh~BcKq*}e= zf=Pj=z$GF7l)^M&ciiOlP7b+>L?O17JJV(r1?E4f+PH$y!2IqSfH;6rG!rL~MTSO< zBzRRQSOT}!>XNfU%QZ%4nEgmH-+AOUtK3hT6BPw(AdfbV`ZK63R8%e9H*;}sr2jn> z(7~&r9%;0+^6{~^Nn>|ZR(`!q)OeiEkMOcKN-q2n^uatFp)Zu$T(><vg3DCj_kX^lhQ0=mq^q_QG)nGns-eJ!Ehe67~GSD_n zKt;{(5X3PG=v!*p-Qf|&+vY3_K85oQrnZ$kEag8nvX|RmRsC<-kPHhruL9U^`2r(! z>wkOZh{VA*Q$RcsEW+LhgIt*sEmF4GT;Ppj02c%8G6;%!!DxXVzSX>Lvzg%a<`1EJ zrD%#cB}|!bi@>d$0jzVR{Zv4-IdXfvy>1>VJbXwqO5+7ejrMd7PZTDSbOMQDA7>Ve zv9L}ANMybo-5Keei81#GTG@lKgD-BnOrSPhi^S@imMATTxLJ=l%%g-~x zx}{!0IT?E>%Z&;r52KnOzvQJ8Xyyl5X>Gu*I8y^xpAHzc0B(}-aIsnwQ45|OSpD7D zEdF_fRZ|94k1X@57D;V|i8yFZ@m_`MMb1QEs+l}x9NF^L#^8`@Y7iUszR60Vv&c=u zvG9#k`Uer4R&ZqjT1Ow?(l7}died0CAx9Ui4gNHO)+(EqFB7>MS**rlB2{7wI1Ueu z2-H#i0=)2tzte(rZX>&)YTNsK9}wa|?3TaZlE2`QHj2S%yzCYVogl@I?9|PSeO39; zW{Vt>QP63FWNvyl_4v40YbGmIE0b&FL%Xsk!*?{6E5mR!_PW|++ino0*6EJgI`~ud zog?wf(%#;l3Cx03R#s(WlAr?Vg+(w8;3bOf8k zj)V86JzH8MAI^Y2@5ik|G#0XauC%E^HSTy&1^>>16tVOxceTg=B$4eC8Nc;>-Hu-u zk=1ta(eS6m1PgYULxgidh+;T>f-f+8pHPkq8F>SYOQbpefi>BVmZEIP#~KBJINvrM zp`;mtp6STQ$WHMv?WtIEHpJy*Kywgrs;spf4OCQA!P3ou32Bs&=He%GiqPRzA-tgW zz=ddlvuqp@ABG^osObQX#WQ_~a{T9_6ijUvr`5mw^Qx|O(=iU2NaJFSR&l^`+PJQ& zDLG&dKQPR50<(=3E$yIz;raHThB>-|#@`JbrK2k#p$KSUz!6QCe&EHniJU^*@>?nI zLGMevP5i%#d3ATPC`i@RWs3wo->ykWhZMilxcs6i2IntBn`|~&0>o6uk>{`<_le<8 z?LJ+!O1a-GEumpml3}1xQ_woS)>~{Evsi2lJUu-@@OMv7QC@Uk54yd?Qg>@Rj~<#U z@`Vd_mtH5kp9~YeZI>>7{}StE_;;QvKRLnM<=;Ky$N^AdCfIfbP3%P#^i`R<4}Sb0 z(TQ^Y@c}R*g$fAvuqZ^C?kOQ-TbQ&;fQ@=T>g9groK(em-I$1pql+&{nD}AX=A{62 z#d^84LV{T|cp;s&C`;R<7tR1_^fV0O6KbCwxVI?JZM)iq9_Pz9Q;^bo2v~F`_C~F? znjJSJB7qPMB|8R=OE(RKzlS^+`2_ELvFo@WGLP!?+d(0vfY+mn0w}CCcE!`s!8xeG zq;gc7OVMOR=(4dukXMpc{E-c|)bY^UtSQjE=8sFIP#QKcnN+ZE8a6ng1T?gXZwRu1 zhZellC*$78rKVR%|GfL#6sPuI&mpXL0n!U8$&~C*uM1OR)ZH<)w~kUoLfl}Qb23YF zqe+Pfv0m%Tlu*KX#iP@j*UY4VOwEsb-)Aa8b{bG?0{dKybLG6{%F9~Bua3pvO5zw3 z+HJcQ{IyHv&YONi;qZ;C5VG_|N7t#aJYQ;22t}Yt8CLm4R>GTt4io3KUyps>Oh?uP zm8Y$rsjXu~8`o2uv!bCdymhDrf<6Q@^C!B4LGUJvp zmRz z8j!e!ruwy5VZJ=QquS?C(Dk*?fxOrAl0YMPE32OpL12a)Lc|^vS+;>yN$aCI$Q?SJ z*Xa`IdY3uiAFh&5t3(u)sS?4!W8CAs9o}S4JA5~`T69RP7(84%V^6Pi>C#o( zCP_X*YM+L4`?G6j)BjFCG8nvCAj3_7Ad4HBFt0A-VduR_72hmvoa&nKVHhJ{1x z>g+{F!|~`8^D3b!Sm>0Nin7r%7JWU%5%6TLw=K(H)MzwUsarVr&`5?-!ftZ=D9*(A zVvTBdYbnO_m!pw{j0vmbml_y?zAstNw-g#hS8{jGQb`DB75LELp*B@>-eXRz@h~u4 zs$b!94jT$haDM~P`(O8^l^g3wnQ=%Ji%|Si*G&rn>-r-GD0bw=kit1mf!`yu7vX); zZ@x)uwadb)n*2Wx5{NJa0h@u!Ve6F|SBDNZ?BVfJpfNDP?d62dqjTK@G3jp)#TEAL zUlAx+TQ;pCm7h@Gsee89BL?@@sqDR9c{t2HirXjE=T!%xywLGjn6P8Lu8rkI?e~f5`bMaH2S)HQQ3%A9cWM)Le3~5 z@Ri68rSsxG@1@vY&vrc>i=Nq-_9JNhTI4w@OYcVLpY`UMi2l#k6DCS9zrpjxs=JTg z*@{FJn?VFa{>28h{)Hj>yz8r-o#2V~{LZKrQ6G&v`~NM-faN_4EY}z2bD^q%{Cyz~VunS$gL)MSEGb}~35sh<5sD0E zVKkm_a1ef!j*2FDa{stcPj){dxC&_h906j&!~|5Xm;-}MkjjmfW%s>Yk@i2tQWT=f z7l(cJH~gilUO!R~MIs##RE!ziTk0&1zZVro$siOKVp0bB6S}AA>(<}-~cP5{SpiqC{c^oMBKbb%78bvgiw>=#0~6U4dO(Nq@j9gN6@HgU&c zG3rq*k-C9{`fdt9+3>1XyHJD&wNd_!&WrRyXh6IkiEpLD73IK4WK{TAHJcVD4t|~1 zSa0CRh53Dk1ywUKzxDtb{7TO^uqt`a)d zJzo>@`RnL;nG;-(T3}$?=kr>PG9-d_m2qpP2LLVEY@?t7r1)l)0oGU>%kHS$*0GBQ_ty~T8LyZs{KP}|}Vbh%hNDoOFTWUe-5*S(BwG63pUjd#))>G4_L^)<6dEN1e5 z3oQ5%Prze8UwYaY0mSeM2|5_OqHL{mIcQXf-NhdV%nO4pVgNnLEf_c)JwIT}9&dq~ zaGmEi&{2)hxd-Vh>bos6B%lR%C~b9lKpvj}cFSEIAt-%b-+MY<$=y_p@V03*xc`ZP zlc}c^-D4a4Tc20%`>*$0m(z9s$gpNP5BP)juEg3AZ+%(x)Rg)`x@h%l2p`f;_$ltB z`*AS@|J_HA%UoeU3e)U6Xelf2yxt~3IPluXwezcj*+j0TWaK-5P!!% zJ%i5IYe+$5CT}nQg(him-vA=ro_~icfg7*d>z#Ln6f5XoA;p1aD31D1i8N}6DA>kB z^6g>{vj{sohSnos*WPKdQD4w6%J#_xD-Bm9XSC1W1q9jY6O~~D#o*;6=t*v;mDP#4 zPO)J*PMeJYlZ|7)3a-kXOU2U4##TvNQ}WiIO;{;I6wIw>9Xc`OMI=gzk-w-DHI->B z@^^x)^lNnU_I^;?w&rjbSqH+x8>@1h%i`<$q zA+(&`Xb<}T024k^m@e0Ea4HYr zeuwf;Hvd*VqYd`?z`!J+jXWN5;5Xf>bD3}v~G6jwx z^}F(RV69V#0tIk&3J|fsjx-?nTjlCX*nX%oXkyvvI9A;A_p-ZBPwkduTm&AIipMhD z3h2rEbiGP@7W7^>RD9bn?velQ&$A4S2wi?ZFLm#XHpX|o@1r>vj{!o~=lSw!za=^W zdcAjdz$04f*q`HhV|J*nGB@Y+mG}^nYS5%x8mG=yXCW)) zp-7B?lB7T<(sT9jh*+3z^qWo1A4H0P4&Vjv1`Z<$teU1;YFE%%dj5 z^Owwdq#^;1R?Y>k=j4Z*jZ1x8^*nV31Bkl8P8hSkc`Bn5j zmT;wQV0Hp~yzx}f|F9m>yQSxaCt?-M@qMkkUC4d+F}~!SFrzgBp|x94u*v-W;?#Uy zdj|Eh_Rq_XTl=-~dV7zjEMnqHz{Rr?7vk`%Cw7if-s;9OlzggXzmHOi5p9HjsEpG@ zRDngccwxt)`NTYqnvI#KxCT&24RAsLmVbb)00xQdzk1+t4+|4?muX3(c;!q@>Lfw?^e2Fv#*+!-+jtY}2Xk=r~?Y&aOiIbTtR!b$i z4g+-KE6_%g{n^?ghA=3TyG2dY%qV9_V8Q6pZYKH5Ddfst@}(v^USBU%yC+Pu-!O~( zGl3oR|G?#Gt)$cr?Jo&winV0_4`2S6^WW0*d4Kfm)rP&hJ0JP>b7BIf{JAgd`%^vf z6}4O0aU`3JpV};8-1mpjB5e|3axm?-YI9#nx4VvRo0Yk`kw`bo8G49cUS6uPUp4EI zaT2cYZV$5Gy&%>f@>luis1zB<$;oGU`ZE(Qe|*}#&4gOQ%Z4~@_rUKMu=3k2RYQw> zxq;~G1YF~x2#HU{fMRolvKaL#&W;TS4SOwdA*z!Z2IW|VppI~b$y;VeL4;YOtE-Ej zf9fRJCusEpvO#pjeVdI}(cmIESwXUP2{b$u zv&F8w+e67mM+XPtNXSyO)$L_%mguQyzgcXi5VvVN?h@EJQ$|K z%w~Z;Y+0}i?Fa{ePGIfd{Qdm_ZSZ`h0W~2H?Fjw@u|E@dhBpw8X+s%|!~K(^O`;dF z(fsf%_V)HYF_?6PlgE621qgpqTMN+TQCgyNL@8ZvJ zNW+B-@cqh)(uHv_ZD0*oA4j+StfcY}iHAPI>zkD&9lq9`m#zv3xkTpqu(X#L=eN{A z1?pwFkD|WYz0{izo&OaDJ8n*F(g9J>;(tZKRBNd$wY6XT@ZIYXPs0bWet=*1{eK?* zLsSl7UD=Ef?d3y|OOs+=w!?a>-A1iv4tOkuJ6SODQ^0z6h<@EJ;o8C8JzI^Gd!0)E z*KSV-1}v|F-cQ}qod?f{^ERiIddrgau%ipXZZFf*H_x#2%{MVv-o0$jTq2(g*_wGk zV~Mheq?wT^*D~jVS51LF?Kf{cTRqWjLl0xSj2+IZcHTz+0N(LZWLlFxi@gDxPO~=4 zH|MxJzPxoKorV6MGFUa{H5?t!XVDbNO5!k;0E@FBR<9(O%kDv|PvDc7#jl)$X zD!OSb7QNXol=N>X#2O?I-+%I0(C1da4kaxKcL@L@Bgc>t|&GawV>4)uaK3C~5!LYodzN)dyx&0{1`h!aZ zHSWyxRR-zRL4l=~J@WhCG1JNT@sJkRp@{Eig@Do|>UvXIS(!{N9}0^V z6A=Ne^99X^^Iv^_oF;gF)~*#aJCG=CK3Gon#p_w}u9%tt3j;mSH|FStxlbPd^`ioa zyrTgPkBYd_FIITLpEJY|OeMDFCw<{3mtVxX2-I$m+a%~ZekR(ts>yjhp4RaM0zo>} zUsjG=5TGd$`nydh%qN!lK0jQwj}8^@NK~OEg`Mor7Lofr{>*k=b^SBXmE1gn4>RWb z=ye(LYAR3BFP^0Aw|4?V6*Dj(;zb21K{dc46KIqx;6Ed8H(R4xfOCJ~A>pwOoG^!e zic{d|Jch0S**Xr(wfMCm0}IXclw90V#tk<&&L@nJsDgvyg5#XF4{r1-gj_rvP)VNRBEzm!4-$;~?7UXTQ`B>G!#1 zKAKVpWqy}2hWn7$99v|DTAwXsGhezEiyB_j57!suJ~Ci)9%?>R-sZSgXxNV~0rPM+ z|MPwP!G*IuU_MA9-RM;; z)_jNNg8cYoFg$5pTXVe{ZYo6n5B)#K_lg=B9!>PaO!|AW7H* zl9Xoq` zAIP>Q{xSb+szu8Bc-p}ez+cJPRn^9Eb%=t4hRRcsRxtadDGX-wPri=Sa6XJR&$Qat z)x{+QAw)oM8<+R2e7*KFcJqSI&7(!+#_noAK4i?^B@kDNix%xqKxgxf9QH|OPh${} z^(jq6x(m9aArrSSEVNB?^_MfBS|0p{{n7V95MtiSKux(V=z}||Rja#{KC8mS43bdl zfSaFJVt78Qq>WdifW_ zIk9#3H9ielfFXm#bHzUj&L+UW;h&+a8X54V>Gk;I-2O`%wnstVL-wQHt9C{Dr+`xh zxyJko#_LNpaQZ^UC3zZW24xc;gdZv{li?b*Gyj1s-uYN0 z^&r}{Rb>Fqj^hz%lpF-(>VvOeC`HaN`JT-?T3b76n^rsH|B2^=$1hNjwi0$40Cc5{ zHrKa6w;jJb!Cvz0)1SMh!&*hKTwbr=8>twHgb&f(Vrq>mDgEN+bv<)lVY0{>w;_@l z3;*Kw-m)l@xl(o>9&rwkU0p6f9*EoHkT7|HT}3*xA>wtd0jKro?|m2Jk!ryooK-u! zt9egO*ST4ozN6XHu~sabm}%baaCf}hWfJ|kw+95ju5{Vle*b)^shG~}KO!1oTk)*b zZ!vqXhQ52+yggbhIEuemGrd7k*8tun#iG<|e&Bu%$G^tmJ_F+;HuF)@9%DF3guhE_ zCxz~(^ML9Exib%F3-J}7Dq>J-6a%JEVgyQEN!&u#_C6oWV`KYQJz|Q;8{C-kr|F+x zfd2ZQ3$^a|Q67JYfbAathfzY$F9jQ1^HN8gwwHfbgoS6FjL+6O!o~1phaBjflB{i( zM!vJ%$&G$t+v$JSyvo%}pngeFVPk}t2tyqz>Yb9=1bfXKJYmwV8r{r!p(e@nf{LH( z$`kMsH&I$$gRXcmebWA|!0D>lgTwJw<)INOs1C+3e3%=MfIbYm*-K-FvPSh(a}b3i5oP8eC8w zHal!;GUom#YUwlZ3fK0lvNKkd~4P{c9Un z7>n@VPEK*Qz`K)Tt00ybrP^JUfzCpqQn?qcXNs(}i*B7S{!L%Sc+rds$--@;_71*n zN`F1@^7Kp$QJf^vVKv#TuEWb31V5Pe$Kcbe3Ft`D3}4vYy|;FINBnN0iD&Z^^jHr` za>f(4vwM-1SDT9elL47P3(@AA6~qF%Bj|6 zWw@iWg7FF;pTAbhz8IDAFA<3QuGHy&F}U@8{n$t>xmg;4)c*m)nEeG{WU=YDt><1a zN1i{`aog5S7W84l2LC{Eoj~buC(7d{Pq$P;n?vSy>NZ=2un@m#5Ix-#Zpg=Sou|h| zYM@mFEw;|X^MZ-Sps4(S&;y*P@R=N!FtC!E6~IvrlSa#=8_!(Fz2CFLaM@u6xFb+Ltru-UN$nn&=P_g%j54O8`r9fSKb zHEq3m?+vJ=)OXj&rmc#G>!VC&B*iMb+R({P|3^79{Guu|D=VuPme5jD=aq1>IGSL) z#N=HRJslmmK`9fSkW>s1ABwSfKI6E}GAGi_bGRkH-y_p|P9Y{C&Sn43O_N#5LkuA) zqCPNOqQakIHoRRjF)=MKpp(d4+XyU1!ndF$v_TxPaen?D>i2C#^?NcG+-7^A=iJqS z>wPI6$C#RO$BM1tO`_v1CUlexOk2W;8M!G95p3|thG{bpyBwJe5?r^HRZsu}z#mV^ zrB>|lS|{SR?Ib0p$*AtbGO!5n_)`Kdu9Tw|t+^o5e$S zs*c}FFwG=Zrp=@US*@#`=zaBDMI7&v-OWEQm+=n*ixF{{f{_}DF%CkbWXQ%J5p~u~ z^1)iN)7kkM39*gwe9x_%Auk2IlcWQf{9F*^h?!u6G4 z`on3nqm=;GXBtp?2CAvoE25W}W%1~S$Gycl^0-wU#RK~7#oEHca=1`59* zmx#4%lVdOpv5^wkS1NRwWvSG9C4xK{AqkjUs0M@1+mCm@8>k3QB~~SwT|{Xedp(yL z^X)bVLcG642y~V&HfkF7h7OubpQC-~3pje`u3f}@m)F-pYY(fj3MfLFr$^@I(m&HI zM+!uC&#r@xZP5E4AW~ook<|i(%1IQl7TC97KOFpsHRg6XXcU9emC=i>prFE+Wq+&? zMm}_$UI#5GJLj&Q6kX`-ebW36%ob?g=+A-dFX9zTx0XR!9)=IGC*Xah=tU>kLt+c+ zZ2BAKbeKzb0|ok%)p?e@VU#~>^!S0zQ-Aq6-Gp+38z4{McdaWYk$Cucy~6^Wi8l&> z)eCw>@Z0k7__{^JA+5t`Soh@D?)Y!R$bPl0pSeuq-DF##afBEc^Y~i9WZU73t68_i z>Ezg!OaEpL{%i~gS*k}x_?3um<&8Z5;w34uG+20iDh+UsI5;>cZC5#W2nitl?a&iG z+r{=(MOp7kVXM4%k?66K0~^*P>xeI1@2gAbst@lcnGOle=SmT;D*OXl4HQZ7o1LTc z88^%a>VT%nR3cpKV)JeEyxc*xAKcQvIGej2N9Z_fIXSsPZU}CJ{y^eK5s7E;s(w*O z2%6{UaTyZFs{+z@2kmRcnxPvM{HFJVCFJyyj|*sfti%Iirf_$>)}!$F-l#qxEHigC zRqFkj$^Pe5tsy?icEST@q-&Jf7m{(yxuR=g-gBuN*z{5>{w`~1r@p-fP=rRr4DZrw1tbj!u+3*Syb$Kzyh@b@&hv^Jv!!!5_(e&2l zW&x_5%)^8NpuU_#@;*whwk1 zJvw{ZZH0cV)1EW^-R&pH10k6%d_%1wIKInjDg;=*@Mo~w`qox1sJi)-bnwouuB*+?g|RW~)A=$u+snDb zmFgBFhH&c0bbEl}G^K8`IG0b!K}n$ch@QglH<|G&|5MA;TbRM}m-20no|oGT$m zksvcvNU9n)43sN@8%P_Xv+jp>xasB5;Z(`)pK^H&0=!gnpOopM)!p8IDLNvUP7y+2 zdA6isNd#}|;$mVTqMvu3rOQB#-cX1LAe$32Iy5-we^nq! zwxmyU5wHMwBF)qL(*@dS(rj)Ay*n%NPXy2sP^`ZIcHrPbu>@HNQA1~JWMt%s#1eY- z@?b=IC_b*Q%L!a~IiDwc6O$yzDE6^KaQG)5Ruw0U*&K*$d;%NE#@j;Q|L< zCm12Z9)L5}BqCCU3M?el9{qk|4)w<ksh+F4gn$U5gQA9m z`VvCLufn&;%M9#A8XU}Tc9*{2gpJkL@tp2!?mJ#~r;9{!&S#BUNg(=$FMx_#YOD>n z7Xn5GzXvN4_~yO$3qIP&mJeTx+0sK3Js*d-X=?lQq9@=iD#uzmk6&3O|Jcu_fpg{4 z2W4q#X;4^+7Wje0#KfXz5G$Ij&vLS|gALA_(6!WPJcC@Y1~R*lrP84$l&oVp+1c}B zqPR&pxoaaH*4KstGfz|WPqf$qj{?<*55l3EBFs$9b~Lb=L?*5vQV^I>ZkVv@O&tWJ zI8ak)WuJ*J@^yp{k?ZK|Ba$g5HeeiRtT$LNpjI@330#Jo9fHiMlg|Fcce?4b;o z;;DopIgd6Mc0?kRR?)G{-Ki($Y3mq%RaMJ$+_u0-f!x{?MWyTnWx*oF;>5cP*mu6i z1aGG1hXB;~)I#-DQnhdi*bIP#uP1IX>T!>T&J-=hw8wgaEgdM&{}DJ3PWQ6pN-avW~}0rtuWO%Lpvt#O2>ZGuC= zlkV~GmF32IZ^P?>Nc?ALK*&DI9D1RT&HOsMMd*}NCJ|mR(MhLcAed4N9~BL(@9z-Q z_ASqRpG8x~ss>1*H(~c(m0}GaWB$)7n52IP^O5F(b^6cz7iqeMUc938uRq7#TY8ub zylps5e{6LxF28|qT=nu&I4}ITdxv%07VyVktqZ03&k~E)j>4zaB?k5={RDy-7l-o> zWqJf%jSLS%^6!5RnCjuMgB`dOUwWRI_XPG@S5F5|}Lzfkl(}^S~=00BP^Z z^7zX>dm<$85DYkqv>!PHNGpjd8Gb9x))glIP1Ag!qM)G^DtXcF!f*|s4nOBEwH)n7 z@Vfzdi2FDM2z8;v)=f`LFfuULNiEEys=;OM?E$B=5~hNts;@7K$<^*%KkUEo(V+JU zZuiupdW!eIl#8jQ71oQ~qPO7gkon?FGZW*C!>oxs&dc23Iv?;ng9AT4&dP6E%l=u0 zGI|bnDpMk!o?*T!;qn~3f5km6vp(SN=0kHO`zK{(is=el^pjVh0_o>cX$23om65Q+^3h_nU!gC|o870q~$MvW$s1A%m6pu(w#kLJC<+GK9@Ne|ZP5ZI@O(GW9fgzi@naSm59k;Z=#o6wMhvED>_|DUwVBn4OaB@3{ z?KWloWgFBHuoFS1rx%{Rh+DJ5i>->TyW6fno3JuJZ`gRa*eRdSBHS<1wsEMau(^?m z<^47kQ}*6o3TpQA>)p~%U(lfJ485}M$&p2h$ihb{7FP%@VvrI266qp9~oPYdnJts3}_4a>yjIO4x zN`@cBNI&&R{Y|lLLT)EUh=?;G$D$?`IVtO3d);WKT^EE(n{WfHC_cCIMXH+*)Lh;O z!pFZ%S&+LYclrW!>vYat@AX`z=*>N1$m() z%1WZovBlOYU`N*ED9qP0)z0Nvl55>L0y$^G=!fR+i(jLV(6R8j!!wA-xGbjJF?H!( zl$NCgco`8!CZ<($@iL^+^sMZ7{X4dWE8dT!Yz;01hfAq_R&`fbRk3xA89K1J>p6hY z-E*W6p*N#&Kpio=TUF~G95ja;CNoC1>Y#B;rm@;SjT8FKn86(l3ff=Z!0+G38lGQ# z^RvmmuscjA)p0D|ihT8tjd$I!;@H@Hxr!ZgG8abtUDf;%=gxQN`QXU=BdwQ$hn`qq z$}xT^8l7R%0Y|n3P2tJ-sN9SUmW-D`4^pNIKm)r3heCqnF{m+R*w>}h)99T<7B2ELKA(=664ZP+zj>0^Y(ZE!vEM@euyviAp?+0KR`$A-0Q z;S=voLode!l~$mGVOrc1+rR>g@j`fMYne|KRe8?0Ylo)(W=jf^*gB;z~*=sXi`EmNnSBQ2xZ&l!dn(IcCvx_{Cz%4o``?$k-q=A+QV3=fq*5 z;hN0OMk78xY&IW*N}{op{?09MRd#DN@cPU@q)Mw$|M*q;Iy|6Dc_j1gcqDB97GYgD zDPHFJM$UQ1q`1{0Xh(v2U>L=xNR*`4?HGkBHMAYJWAkFWSAdZ*wo^?30g(htv}(L+*KQ&&yQ zYIDvzg}X?by!!iX#C%3So%yF47Tt5EUL~JnPcbj@oby$=gdJ_+Ro_Rgb?@@JTt-B| zcw2M*i@&bdwJ@n&vroif9CR$w&+Q;dM1~(LiA7{kp51x*6b9~3jv!Wf*o^nd7EUQ- z+%ikXU36`{hMHSzxs&oYwup!b#YjHidDv$dgRueXRAF?u5l9*T=-!AawnXmozCJNK zSX0n9poFgg!kWPk^({(5&Okq4M7aZGos0wna^r%Mt*G8hEU~ld6 z?w=n413BR>Ly5w$Gfr?xj&?Q8f1^(mNWVPCo~Ca+DW+9Mf5G7M^%Zz64@%ETz zqN&MpTDK)r^IdBV%t-@r*cLyLXP)hi7Sc)X1rEJlt+;>-#x4!gBfO8^Ji{(8;y z?!v&zAjM75osuWteO04hk88fTxBz&o#sczY(0CFhuoPgx2vtw5JkVXmv42pAo>iFL zh&1}%K~lJc8T(rB6~t-Tt**qfQx@ak0d76}@^DT*mK+iywvYd|f4XOwzyg&QFW^-j zteqL0y7^Pesrv!NA*z{?mJ57*KB`{PP@4gVk4?~OT4?j;O zq(BlO9`cS7IAqqOQVYG&+1XiyAk^uJDQ24JShUusTM~E*eJ`6RhJ51-)|>m_i4SSa z`_7){=oK@z&v&i)*}ULX;N*-q_z0%SKak3u=I`?mtxct;rQrQ9#IX8pJOO;$iJ})g!C_#T6D%j)%~3d!JSL68w{2+dN0OH-aVo@6MH}dZBuF`L?WF|CZVqOiSC0vCFbT5;gN630$xu+lc@EX z0hmOkmXV@W!p+j;?;fqKcu=-ySaXaS0gMuxIk2>HhzNuc5mk! ze}r#Wso^Y0GssiAbJ!!YQ7&2~;uwmYA;Iv>lq?fmxAhYP4|D=`ZKmQg@09H990~<* zg9?7q0$&FY1J>X~_gI04pb1KiD9F|;2?{<7(?^Q<9tH)&ur!fE3phG^7izZT#De{8 z-*J>*i|mlSn!HyCtkbQqU@I*k`csR4A{_=`h2v{{($#B{RLqDIq0w;Nkd~dl4t^`F zsXk!5>Pd8pd+_YZ~pGD5#D%v;1P@ajWLWQ)jaes zXBkX4LrNymi6Cq!N<(@Eu_x0FVHJ&aMl1RWE^X$<*ue^=^Jy%y1{F2+E}?l8p+lsq z`uTOYqh>ljzryeUB~#O18c7CzpKr=C1TbRL8@x(NMggN6L{dQG!YhE++|wgSwRkCz zoRX53l!RlEb|f(_7c)M=__o#>7Z>;Q=WQmNIVk!PM(pcNv0XkghMs``^Uc{(jevzr z4zvuk^u-0_zW&gOMI>yeq2I*3jr3fBQ*V3jqa~J>_EW`h?HWAF0CGw+MmDb=ISX4K zuRvKYQw@&+nEb3E8!O!XJD_iZ1)VfDvI3oPS1*Z5LAISI?5Ipu3DDc}pdgW*3syR> zUTe)uugEX|n_&`O;NQV#ZdE_pX`D%6uGPCq4%n=EZ!3D`?)hq4-%j+-b+NAgo9d}7 zd91g1d1K94^}9^b?#tQFy6s0ZM`DBPtd{9HoHSCmJ+q++>8E?NDs5#x!+`A57p5V- zc&?A6g#Ez*u9a(DJ9wVITlQjqe% zuX5NgA&>3=QlxmM7TpdlD9*aWV=VoQX(7z|_bJ395dIe6j#F#eYQWD(^E^&nv-D9XTLCh~T-6v`^=>wy|(uBH+S z*U`WK((8O8gDm?2BenY*Gx?U<@^B3eirKNPa?I)LSXNy6Q62N|aVE*hngo*2Ap;MC z%ZxIRmqU9k9BTQ!wX4ykv!)_Bv!sVCG$MkkiU9)!hj?nnG6A$c{s(Bg3A5ttVMW@T z@1qSv$R9#LJI^N1DN4Cc@3^4*`tQtAmz)2^;Ja|~p1&F9v4V7;{sqQ);FDUv{_$rn zq97BHq6_W!4j8a@(ZLh~y&(s}b~?A_^vg1reInh`ZSt&n zpk1{2q}-#tLYFAfyCWnlfRj1 z$>JAX&;Tm_r;@uEraL)+3RZm19}taBPTHB7iGO139S+7{46s1JV++peaYDn`1#>~K zs3l6j0^5Quv83pt8|mRFq{d_9Q3+qHR|=`22a}lNJi|l@5n4sHp_DYx9FeM3y6|3T zu}6iR4Qx0T>>p1nMC4M8zU1=-oGsdL9MyV1HKVAe^$c!$-yYbM(@2>gT|TR-5&wO5 z@x>PA;rE^+a>F#oX*;3XCveUvd`DVZ zT4q`j-`H=)!B@Qg#t)(?YPuF1LkTNC_d}bxfG!7+fEgQpK1vTe7+H*jwpiS2?Z0M= ze#GUicxZEN{$4FYGlV$eg+4wg zT|YP3>V0#wyQ5>kLEjxLk7?&_(<&756)Qr30Z*1h$QSCivb~)LYJbQwjy{3?=`nb3U?4EkH%c?f zi{(=IJ$jj&#_e-C%xOVS4v{QU9PIXW2i`Hgyu7@vt<8B7>N$ryN@_d^FQL^~gz<5N zqf1gH{mpfb_i`fru^?swqj(6;HE*W~Tkfo@yVuA~9%-Kv7q-*;Vxz^nAhz-!rOtoOTTe;4n%65xlfy)pHZKAi2ad0w?T@>Cw;Z5s-d>C2m7OBhLma>layRWlzVvdi1Kb6qXe~&~M@3M;(BIq1>@S4c>dj^%rP?>m>cYZL31adM zt!#c5zR!lO$u%`K+=mOQ34abwVEwizwXcL5U26wQx*Ptao&NA+o|xJ6^l#SnS5fiB zR>!%Fn4Wu}nMkv-&NXoRTHcYTLq8yej0mStZQr;erbVf>EqjOK6qOkAX;W8j5T+Fu zomP8Z`nIlIrzZa#m_Vw$5)v99%7MP#srR!>|cou1n z`k!DnZG9~Rt+C%jp@kG=gy}%2$IdV;$#LGHosq+OP&3l4T~m|W-3|8qLJ?@aV|wm2$pycmS?LF0{@K0A_+%bMP*_Xx}_QS6gG0=A_WQ3bhKc6UQ7K zuD(xQ$Up4r$|)w{MeV1r_G7Il`ux%3O@>2IMTtpX zH;+xxla%9dF$pn^qX}C5)96`XyDRbhT>Ul7a4h?_)ToP$(L4?HU94;(UBD(re-tiX z={AG@OlNTYBYnDZ-3&O=5;HyPON;foSZ#8dHVr?Tim$<~Z?#j3)hq+=$bWgf-R2`y zI$BEIa@}q=AKSfgayLdnz)_$5g=M~q;L$`rZl|f8P_}gwNjIGXEcLr&6pY)!q*$1s z;9k}f7H}Z){VxC(ZVl9q;TND|>q zGyZMXf(X!3M*h3`)G72#bV>wcsxs`nOYf${cKMV|brB)Y@GgJTJKk4o6G+tgur2NvxB4X%V5a9=A`6gU_#gX+t<<|fX9liEbh;($-30UvGU6qC=11Y2>%cf6`7DVcZbt1%MsDh(Ih1k=L3t{h>0c?VOgdc zjV@1#di(A}baZ@CWdo8Al3QDU(g=|TOffDl6e~+F@d$2h!->+O;&V3bHj_g?d-8;$ZgtYp zYT67d6Ak32EW-K|CngG&KYsj#U47=VxyI_cW3&}pN%O%crEH)#s_z5mdwUZ^jr^Ie zU)TA6@oFtIFZA{ZyV*Xj>Qm&W(t4WFqa-acKB@JWaC68odPiJboIEH5?H>mU#nVbw zTX5xccXw|U`nQ`y?Wo$si4%i^gFzmhJ9qA_TerrKA3uNod{e9*aO#gq#1HgqRn%?mtBjk(;J1eD}iHgwF}L zy>7hEuI6N8Ap^WQ9tY9XQ^xC!ooj#f>eVB579++g6~{d~bR~S&=7S#2b}U6XNuNGC z9ls`Mu;Q|Zs|H_P-1ooa-Q62Y*#B)Jk!0M@@3957Vkd7teDdtY`wy_@AK%|TCNIc4 zYoil`1nFdKzXz|+3QxpUc6nxI-g#3y+ zWaptN`=2L&{Rkv=W*ywRh@Ubwg(bx$ zZ5_8yR^UZ)OKm&l3&pM-ST4YZU=(X<_*{4K}9MmDv%Go^8}f7{*L)qrk>b&{8JGv1R&;)yVErqTv~IYpAnEH zC?+Nb()-cr{l}=65ecud5l=G_wlRl2YOc$?W*^B=f~ZXl4C7bSxRS` znpr)};n3DsLsD9za6lL`7~hkACwQ{}YNL^n5rDLTX+uv>uf-TBHJM-YIp!>!`0IO= zQmDg;|CVf=2@vJ$|OLz=*Mo_6pZazMCRx*Nh$eNuS zYM;BPtE(?_4IMs0QB^}*yefREriPyFg=uzM7aEP#8;WzZ($g3-7pt|vamkpTFo9XO zXB=P?9sgq-=v_&4U^0_KM9DcYJo9_P4Yw1wd{0do34VJm z=}!YaKsE*j27<3Q2&1je3&}0F_tfDDlK-39RA;R{xo@$);gVOMzDB%1BS&x3G8MkhtaD-u}q=_GFqo z&5+kV_RzrL$>1FcQeJP)gLhHzY(~9#Fi%f<@XnMuvko|bWMN@trM-Jms3sr;1~Uyp zVTO3vx~t^x7*x=2@@a@E(o9?kKVt39~89n=FMZ;>-%yE{@K3%S!sqtLUagR zY`J)O!9M=y^8aZT0Z9~O&~`4VNE8R3;O`nNBpbgFEi4)(AS}qsj%4HD=c5;36B7|; zMIyNQc-dLmcm?=5QB7mufJBk}|KGHcViJ;)L;jbQ`G!bHN{9>|+?T)h$>Zb`6qk_T zZ}b6X^fZucf}#@QqC?nWmq567Z7riaV7AwF4sh_&o=r~X!`F4z4W1g5nyM-+g7$*` zo}OC6!rJQ00DXmy+XlIFfrcxLkQ*u{!;g>`M5qlLqM@Rssig%t^K^oMp;4noH5WP| zl>?wIfL67us%3_-84UCwk3s;Gjg1Xh|KLxMN166|hVYizNLw7}6-g9wb@uQdbHSJ# zU~-^|1CXne$qgn4m>lST4hYF>NY`$$oNR5o`f+4Bg48nUJfvQPL0-Ig5mbqhzX(3P z^*Z)=5#p&e;`N@{kq__0#>U0|qPVy?P=C_nUqJ>vcI+6`-M4R_prBw=mWsZgILN^O zSr{K5zjEbD2yCL;>j6uQeXd!b`h@q!8Zz5ta)8N!e}DsIY;9(8^7mgURMFAivEXn=kc64pc7dH^w`%cEgoVN82HbC2|#1OK2Tir|$We`u}Re!KmnmO9Q- z8`5(oGFxDBfXM+S2YQC>uJt3W>rSwjJH>d~V|gJpiqdGrql{zXX?I8DHk*?GChRDAz%o!Kjs z1B~E6b2l=krHm0A9nfuHYHLT#*!Z=OP6e)WL{Gcjrr~gss-E7tdg$SMNXL zan=3!hOKAHaHQntSC=f`;dTA`l~a4{_Fu=6i6JK)Y_=Xbd*+za-8V!|u6}&!*Zz3A zWru4)qkP=O`452NtgQG@57)rh;-9a)_niqL|J#B+=tm*Z;J0tzcJJN|QTDTD%>w-> z06+B$_|yQ{9n2wgp9B5)QtJModsY+u!0*9GQyUB88S55lhTRRS_-kK_J>vtjc6%Hk zV9>SbmSG32RG<)X7%ZhLo_7Qy7DuFzu;{AV`mWS#rSrPFI=UnZV7HI}jJcvc2g6XF-@m~%U_(bUwt;h z{`etHQDzD>gp7>L$&)8RGYUahAdgyESpnpezrTM!LQr*iU6~d7n*;x#B+4~s+tCBd z^?~!F%r{=xXT#65SM~QU9Dx0Y-*VpK{IJ7;eSUcJw0A@olEYKVE*v?LfM&^g<+Z~# zu!onRChI&XMEfi;V23>Udkc_D;;kmDk5p_VU4xl^Z!^0zostj!Yd4)P?^2yk7sl1q zE6&{bB{w(wN9@{#W|OAR87YFw&rSRE;jXc!yxd6rTW@0uD(mpI*|$9}xO?CIR)`gs z5Gu*c#EL0TRDkRl+-B1zh;UFDA}Etcq%~{SfSaJ1nHc~Nm6VipI1h5!mlxl84(y!w zG4N44mpo{5Ml+f|efr|Xi`TAQ3xP5%2wW8%92@|8bMNm235pIKI@A>rxx=ylUqDRP zd;}&bhca^E7>O=a8|*~^#vd}20^SoWM`mUwEEyQ?nZoE`Uv`Gg?;n&zS^4;Ro6@B4 z@bRITIa3(6od!=s1T3Z=N2U;KfBeWU%1?h7{NUTq3i|X)(&7Q?IU%Q=Uc>$(Ti%qP`dlniT9P+N>m#cZ+=Z{aGMVDhpI4q7KqTZj=fhA_+ z;1U!N7&2Vfb+1j>iS4iR2r^Q`#~3bs905Lik)CH<7VB}Ick$HQcqzcod;dx^P6Sa% zN{YQa>wAM2QdD$8B@RK~bfS@xQ%6NGJu_p+Fwh*4BRZ>>0QZ{p~Yij=yg> z@DEC&eZyF0FMl@&Ah$F-CpUtSyJO?hxyx6t->_!3<?nSbDHy-Anv_PLfec!f? z>(*~O=l79?U)EsUh&y{08ycEeJGgyG%i06(xppRuU>5~XJln%ftz5v^RB$-?S1R4gMs1fo5B9~LgRXeN&mMBnaLoqi?O|6 z3gVBt2G8Dk@%nDl`z|hV`LuwsK}Zxres+2?ol;WM)6+|8y5Q6SnW8{N%Jf_xgd3yR zro@y)dyTa{YnV(TVDY~jZ>c04p@-(&o{i}rtWBj5@rZdlJo5^FE>)sDdKC2`EF_zM zLh$Pd-($;1>X>d`yKe60%X{Zc_?cF!xWqZ~MZj1oF1kt#$%^6=6u@M~oxb$O?&`b3 z!tV~V$C)o#v&6{qqPL5l7%LfxBA|bq_k6qR$}0$kzOqc!$IUaT2&rM=5Sy0gyGbYD z)_tZ*p)WTb2N!o`cF4R5Dk@3}Qc`k80qACZ>AIajW}#IthE zSm|)X*VlEs>H9~)QHe>{Z@pP~?r}!ydrQ!jVX(bqWf2)=xN+?q{$CuKz3Je_StHO~ z3Ma2zTr_30x~9QNcUOavL+5Wjx^LNZy)jeWd_sb*ZskF6%sX`6b<4cTrVG!XyXZK7 zTraUySXj87mJ6aDCvzyRSz6%Rw`4Y_yv^Ji)kL2KDg;afPY%EAJ znOA6}h9Z1|Y=89gj}1IG+1bicl8QN{j16R1S%v4VSTaU?D3L^ALqS0V3ma=?b_!l- zxVh1AsA6n0ZwNj$70owh@;D9@o7xyX0d`6^R4z@b2sjCthe4P286JxnB5M&CnUwV9 z!_IlrCRwer94%3t^gTJ^*=kd*;ltHk0v;w8=22KV5+3*-bv}RZbrP?DKwWWq5oOqH z6HOF~+idzwId+y_x-SYSXfLpu0{)(j#5vSVh zy}cpld+$Z>i7Aa=JZpS|c*|;FZfU40+NBNl*A(WFb-(v6Dd|UgW`^IfH5$6}Yz#GF z@W~Nj9v(NpWY>Z7(bpd-Ut-_gz4NS!=CM-u+TYXT+Wq{RUfTPA4d<8x?H&gPi6q(u zf1tYbizmE)*7cGrSNB$-m0Gp66g;8ic}ybxx2p7rsMK5p8V%|eD!L>(A|gE>UI&1T zT9=bwhQm}PL?@Keq*hAG=ji0ZYI-e7ZDCYIL@IbRA`rNWit>`mpK0F{J|`8F(7pkx zFE9C-+vxttu%Qp8hLA`K1!CQ4uU8Tk1Ws%%QTVWX@W=2s8z-U;Za_79+P5?#wW72Ry!5y*l{pRJ9A#O_Dc|$J0L;xzlSC;LDvziHrM4`i zA(FWGdr}QaNQ|EiTTe5DktkFURlA{G!{ZxW7a5rQ1T-AIx2kk`nf8zN|K1|VOoYL7 zAlTC!G1>WLhs(+;jyd7BFUkMp-7nSRGDBswjnDc#c>esU$GLOsEi`YPJX5Q>`e{gz zpWC*P{H*MJB3zWpj6B+^Wu|^FB9a-bi0d7e&=rSt^6M6Xya;w!h$4FT?j0EGM~)oX z)<_8zS=3=#R+IlPFmK^>ma_ET&?pY5So_{ty54UUi4v61Lmkfq; zKl3=Sbn}7p=g)0iw=3dH()G&+SJ-d6?Eel|Tja9dX5#_pQz!SB%v&3gPdkU;QGzS+ zkRdG-$FK_t4&g!$;X=Sa)*(WF&V=D=?A~V5efOA)e~0c(k_r<)j=o_q57=Z7a77TC_R zUVYZXhTCFskWw$*l=yZW9o?rWJXk=mBqrt zC(pi$&r{VIEhk0}xpC^nw+tGZQ-e2!w$`vGXV=YLymJ4=N5xfGVU0;PlZ71Tna!O$ z*L42&=zJ2YJmJI%&&(ZMB_Ubi0APy}?f#1~m^31finWo%fyE|^tXI1Ez9HbrJp||alL=8l zzIR`KZ}~l`=Ec*{q|%PkbD;AcJ$O?7zf)*qGd;hkKu8eiK_Qk1@{+f|aO`4Qd-iRj zh423&c|)7iyKH+S({j(})q9)p?`pX|^W8H0b4FVaSm}ykaz0$Ro4EPHy{M@09mZT& zuH7lmr_S5y`#$Vs&AWh*G>J#wGP8ch*(y|D_I?V}ogwj;75z#$Tqi&P|E>+uo1^U|-}+t|GC)Gyym=Gi^59+5 zTzvw^FoaqHas)(Kf}aq?b*ihYw=x1^)r)8E#PrSex$23az3Pp(AmipuCK9AnbS02E zQ9tS}mCIAmWHp^p#b0vIyM5=Kejqbwa!&ZIBbTp@I% z<3>GrT2j!I*^yk!oX#UIxxM&Qx$E4Hg_A~(rf&9*CJQJV zERo=)AUM~ayujyv{!z@&l_xK+pRMtfAJ3scYe!g7f7v{2v$MOAx988FZ{l)lYAXG6 zb#=9iiwgwWfV@>+-UuQD!O@#HZ-zJf(4j*+voP@Lgcy|O$WZXa1q1{@FbY(E{rWY; zO2hL7x->?rosg^u2se+cqtqfeasF&FKdHpuVk2NYAUjr7Rke8PJ2NAC893EOj2Hnd zdfz|>1_t09W~Fe=&XBiXB^Py$h=N_MSWCdBQb3qkh5meM=@Q zvP{~xt&a38p=6%pg*BChd`RRpyOXX|=O@XCd8?P?mk=2)P^Y|m7B0rW$avImv#vEW}$9! zfLxipYx{8(n*$EBl%WYsc|k_%yWR69Y{n8fI8j5#%v4|#9w9?p{mh>Qa(c!iXy+;a zyx9hk_cGun#>tMVudly#>sEW+f4SS{#sw#*o)OZwV_31C_0VDM8tPJpzX>|*^lvQy zZf!kX@;;k7D0@Jw(P4LB5dfUI6)RT2sRMT`upGhJ({LhDs7MxMMV$4P;9VD;N4H`b zpp}9%53cwCLh`pvAn^*G6R+F1WU-{(Rr^UpeUI*l!Aat>(=88QoTUg} zVl{_WZ^^>4a}3k-a#;hzc z7TEWp+{R1zto<0$ONWj0-qLi~HNy+MefxH5zG`E$woDYmE;ASaLIGa}?yF5Tm6es@ z%DRznF8v#ZKfhfbVrv!B-uZ4^dyG}rd^D<*MSrD(3FlGAePN%nq$e)$J-a{JW8;eB zK{AuJJPq6y?Q!hnjnI1bkvm;ZubHLU=pIm?2N76m_isIRZz0_T{5sU;P}BPb-m z5<|&OK(e=@5E2m)0dOucvEMFOu&nSD)7^x?{*#fB@u%s(X*x9D0KZZOGNgM=^>8P5 zvQxOIgJVoiP7aj6efu^bxpuPRfGRf7jnvJV1%MKr;T;$SKr;k6$LPEEe=G?QL&4Fy zAtO#ZcX22WGyqIIR2+_Vw4q*kQq-gHq>%<%ykr6r#m>twH+`wYg^L$mjvsT{wnABm z4M)IZad4f$lSmNgO*7RI2t;;ner_r{r=Yr!*K&V;w$4n)b78cbu_)#<`DhXd#Q`=ed zJQ)r9B(Jh{?^Rr0)Q){uRafpd-g^Y{<7C|O03`IDZ8>q;udEJ1 zAz-is+M7$m#6k@jVXSXxIBCMMm(|N`X<%OUIGm`cDBS&C{7$a|Z$4Yf^VAyg%m(@L z*}WFO(*FecyM-9qO;4o})xGBQX0z}Wa4LknFk00<8KR-X9 zctG+GxQ&4mJ-E2NdGlt9Ry1>2bcq^Ym(?D~24;Ev~{<@!TzJx$crkRw#&R0&Q#woz^QqBEPl{Y~V{ zHqD$j)c)q4=?d;!mM0Edv`8d!ll#ZX`f8;qF_o$|J4V%Bde3^#cTYo{0x@XM>dlYq z1D^X&k_4qGBk3Q}iQe2~zTWHAcCRSMaoBas*FOH7C8;#o>H4+V5~XXcm)(!c6jPaS z^xS2e2@35nH}Cz;jW?5AE}mB5MWjdi+pj+qky)l~<>+g>#kH}hnIqTbI^IV2G#tZbG(CU0q#>h6caA7XC>(Iy&%Bv~N#`hX^2ez*^mO0i)aK!6M%x zcMfP4LE%Jy^cb^gKurXmC_h4}SR6`WEUTC@mGnP81oQ&9p1i!gJ?svw^Wov)_wV0_ zF~idh*7=nyR{~qQ|NN2*4y-*k%I@$&z27u{NnzJ-{}6TBW!@ok(0QMCt>54ip2#h$ zarC6eI&+N{RpR`ej=tp!yS53wzqHXhMRoPQNvP{SA!Ycw_@@tXllOnRXt8$D;g#Mu z$8qG{_VSMZSzekEdoLb&|HGSE3jfP4HJ=~taEUqKdrao{2mN2riGurzf_So5`+KI6`QLxL+nKK8DGdRn7HJ-1< z-uwCK`HhhG*!p_nuS=DR!E(E~8n5qM3XRDbJ8Su3W5uWUo=S{0(vsz)9Vb;;H!rv+ z)rc?Mv{qMM5Waz7$Hm3L+llVK-bBRAgj&xjR6;Ehg%sME#v(kT!zYDapxZjpzEMmz zpGEiIDxKuT@5Kg(PP7MFfo^e)A(bG}?b)+un>PiJa;2rE@G5}}V;UNb?{qjdp-g0C zWOGRrK_%mG_;wP7fBW{Wwzd{<)EF|DhRaq=Ljwcn2(yFKObUB3z9 zVR!tJOL>nyd{DW0*$IqOoO7n-S&W^wT>bs(r zE+>jAD?4<`Of%u3G>bw~#G|O>a$U2zqeXE+LH>`jhs~HXZla=CM$EJD#8N#YOHDcc z+{83I0`oOBTXVA6ND+9NvDZ%=%Nt?y^}_VL@ay(RoOQWcgpa}Ng$D~f|YPF_Ai za`6{u=TFp8Q!OT`X^XS@dTCjD zv02#Ifq{YaQ=lD!xBc$jyTOtMLL}r&hZ6*}7;w#N;g>9^Xs~^+!Ph{iFR8tVBhg}< zaB{)X1~Flf$O;ll!L6(p`PHmxd0o?Ex~6~jHEr>hX}^T<=?msG6+j6MUwi1xpXL92 z?a;5V^gY?b>t)}tqN}|y1C_eUvBvUr^~PU87cN|&4;pT+%?Avx3`h_M5@d^6K;#@8 zIpFTo{Ch%C{LVe+Q)^nEF}(euJecj`*D{$NYZP4nUvh}t`in(W@_w?&)1yflOh>umRW$5E-+&z};jiL}m1=`U8!ojb$Q z)-R!o_IFX#LW_-`D_fr{g;@6V?)`|jfots@(Yat4%hi$e} zos6qHAz8H^LH^>y_{M*=`0CXwNQl|~Cdqu^Za#jTx|;TOzvz^gH>c`ofdiKI_!)QN z^6InRnQM-aksYq4q`)iG07MWh$sao99w^jKB>Ij=I&4P(ImNcdVpU+Jn()(h<-i%BiUR+IA5 zWTNr82k)Yu``k4tM8SUcWG`kr{> z;37^zt&p_F9Yk-iDn4ZS+&$TZmaL`aA7b?DtEoXyxOQnNK@eorV8Da0C$?QMg@aQj zJV77@s;Q}gi&yiBMEf0CdHhy8Q=_9*fS+iKGMJcvQU^rYc0|>+6@;voM^!rw!s84P z=+Gu06+n<#m$=%Gg~2i@B_#z%aEmeE(?6B%;-|4>2(H|Jw%oia znr(;Cd%KfEr6tkr+pgg)4N3?4K>&WDogC*tEA}_}iMAzcgGOiO%$a}2%Y#QVyi-1W z_yBV1|F<^@Z5OA_ifwpD!g~bDgYm9=e(v484fJs}O1aCrRZmM5*3BH<$2||O?x;jO zc=&OS))^%Ls%CQewd=3-EUmSM^YHODD7QHHgemBp?4sYXM5=KOpioFQ5wnGJ#*Y|+ z!w~^Bw62C+mma@qf%*7}6AkC>O{#!0;P=~sKC21l6J9G#;6$L^96NR_oTJjx(miB2 zL>p|_u%YQ(x3#tH6_+&@4kCpLE@uQ{FKU~nO`FzaEoqA_R1&carFJhS{`6e&3#WsO z96dgLio0w-A;A5-v+M0)3tV%uGap@c7|zcDD}!R^;6&7iKYNN$nIH7z#pONLilZiN zUbn$w{ndSj?5DjxtUDL@=~LvM>HMBvzPW^21#^e*k@u$!r>nI%RkSq3XpS=2+n#|V zjjVLVTY`}CD@{A>VCrYTV5{2;bxmF6QHEO=DIGH(Z@l<0$LLA2?AR(^>2Zq0qx)}8 zT(`FF&5^O=rUYehP16}sS@;`5Fp`afUx2Yw)++QYb zyv}9C;! z0`jGxyu1|1iq%D*Q{yf=SjfuCnLAv_iu?L0hs$cN8MG!h#oTnPEEY$gQb-u8z^a}5 z)T*Bw>nd-%e7}xDg2jZCTlM96>8bDbFEW7YcBg&6WEa&@xRgi8wTyH@=Um#iHIk~n zb(L{z&e}+QtL-0?lHY`Sxn8}vW#N=*>zux2|4fb#zkdDpgY(;kQM@~DJ^$dhPg8r^ z!;jy7{!D#*`^KYZzVpZYhU3AnHr468!%zl$gLjFqeOeeiRrc)J18&psCWpI6`vm4S z6&01{;{(6aW525aU99`%DAWsQ&pav5w!~?c#HA z?fjp7X6m$bQY4ZQA|n;Yj@8stl@SpUSCkg6uYrW~1LD~PZEF+%nt$#&Qz0=U$N^xr za5;6lfJePfZfqHmx41Ch-`^kN5#cAm(l0A3>v>0AYJox`(jw0}`4!}~Hl6VY7@)^@ zy&Mj#(-B2S#U|0j@r zxZw%!FsL4Mth?|`S^qSlpwEe&@ zA|k{`a~|d7<)Qg}BRNDwX@1D8obXFSJ{vX;9NgF zQy@mILxNa9hzg>ceL->s2QV}AFnVp^8eE$eZD{Cvsw6&waP72AYK$Zow zewTJ#X9^!ZdK97~AnXBL!|CC; z|42+Kt?xxcL}%v3EW_jgaG;N0Q2oGjxJ2H&cMsgsjg5^V6%!~vn32Yyb zMFu=k+}+(xOicP=l*}&wLJqK?I1!}MEw&5J-g*#nZI6lZG_y5M!}Yb_yV`;+c-k@- z6*KcmTB=|xZ$_iV1pd$W4M~0K>d-hWLO^5k2=*UFQ_ZFs866DFSv21Ok}M7MjI=#i zGRPGUh`*p@J#pd$c)Nc46qOhs_5Ist$jJ!~-yoz;ojMgBM(~a6K_^|VN+w`yYU^pp zG=hpt7mRu0bqd}LM_kW;tKwd{Vdfj>WmBh_Or7uWKC70S9f_{1L!wyIUtBYvWns5v zci7i@0|Q-IQRHK<{rA!ZSI!*ibLJ>icXqo~T905QVbQo|s9xmi6RVaS_3(dk_pF(T zk;1&08tv_?N-Q@s)(sJ?eB3LLB zEmrSZYb7fqJ5o`3gpAn4xprqy+5>R!YVWOwJv;CenjJ`m9l4hx`vf=3dBPS8Km;n+Ys1$GPw&j%0y z(1}7?3NY5UK$2qcXqq)As%p62c6x)GATw{un0vj-&JcG`_T%C#ny4 z0+~=&uU-wpYxBNf>5m*a0wOYGFYC-0Af*u4^ubTGSFcYH+7Aw+;Cc>%GC*hiHZV%n zt50P*x?;~?HM*h!_5%BFpt(re7c^My212p``rH41c4m^DFT*I5x6b!CFiQ3%*w(<9 zF=IwCs9t~VumsX9(L#xRxH%HZb!3xZJn zKEyjV6SZ#731?R~P~ZVwIKgMHGJM29dy6s zIzvkeL8^Iw+xt^SAxlF5#9$)OcY>e^PIwUJIehr=cBTdxQVSO@gap4WkfeH#8<1hu zcJ9<)NI9(IX03pA+_Bh%3rPw*?y%qItDe4AZQ5rFpS-+;u*t#&T2kO@%{6QJPAIl@ zfdTDh$|GaH`V);y6*af;XtxR~^k4?;N>Z3UITS9U^5{2sM<=2%t|2<_F3<@~L0J;nI zK@dO_5)!UozYd1`R`C=W%WGz9gNOsHG9#q&V(vYOrR8L2JQ2zRFMC#S3Jn!*B^w^& zyX&hK0rVHl5fIc57e7F4gl=mJ)8pe4iqWm)qk;z(d`8EO8wZ|zP_eJZ*lIs)#w(W& zEq`yf&MqLGXYb)H5`QHSbHB3iFYFvN^q{|=JbCiAZQCG>sV%5Th(mzORZvh6L>hD` zvoeGYkaz_G8^9qIw7Fp1YiN`F`0i6YinXGSf-n5?=tCh*5^be3Fg!HrL}?#712_BD z(`ms5r|gE*UAUas{rk>k)&1Y}kMJNT&sR-!$b$<;|Qmmv)4N+Wnb=c7Jv z8v`Qa0xvk-c=msFOwJkSEAhXwl#z?S9p8KEI}OPMQI#2Yc=IAHEiLmUj?cc(;?n-4 z;;-+n`!yo#b!AA*vj2de=%0%W&mu%t0%9--ppa)}knMu^0T&(iT`Kzj&WgEn{)C%P zWap>(`Pub#tCxe$c*zo*BNqdTTT4cWugHG!=uOqHG?g7T4(~krzuq8FYy*g3Z?xP_ zo0fYor)B&+yrg$BAaE;Oj7U%Y^w3bIAtlz|MsQ{WzUiN%hMX&a9Jyu77SM^puNmRD zXHJ_kdGZuvv!yS;wjyi7VFs>yV2gz7V*m0$P)f)3?z6nSyf^pGkCpBbPEh|&q*r@~ zd^SD**Q+D!YU7Z548jb+iR<9OgA*rC1U)@yF#y^L9ASaLy#&68(*zRo!GQw$`>uv+ zb#**VgXb4!)#RCWbtI9DH%}c*EHb-o1JlNRzGr9_}}B5Q)IzYa0BZ z8;Bi+paNQIM?oqIQJh@doa_Kw4+Z{D zwf(C!EtNJB(fE(03yQGjjD<+h@P;(ah~m`v=c&s5lIkwiYi# zZ$JIg`N1$tHgTW}TY<^7zkvgkgqOkRoK8QFZ#>u08L_7hp1A$$TZb>4rrE_jdl32| z4TK3C4jUhGcHx5EIp~(P%aX!RUweV?wCR|KlZ_jNfdBRe58-TpLjsf*edbWu8?zYr zZE|yWRzV9HUm^S&$c-u;`nru(1KS1cLq#$=2!f1A%~7N)t*e zU=&nU{ffInARxst@E`80of%ko*mLE@+jKZnUL?`3$|w$Q9*~=x2+7LL&Go(s{?W;-!uqQ~y7kH1vwPM^PbizP(Dga=-@ zc=sKz;+$J2ZQ>tXay)#tlvB^m=duxho}2qyLH@$4*WWBZcWb)9=S6Frq^524J-zDA z{`FbP8=O~;rgcXy-@j-DarQx{d2)Vdj-K*)#v-C|@c5?qYdg+`WlZ0H?cSEDx6dAN z^Ld6BP(SQ;%6ig>)RYwExW-(=SFs^9#C2A7T*O6UMk2ei9+&<)bWJ6o^FS<4`{o`FIcrFs#Gr3vl{?L;)%9n=3pZPy@;|fy)3q1^qLG1A_k?q~WE* z>_by^(y;zz&Ui@?me2{t1*ZG0LZFI zL_t)dzfIeF@1`_)Tcqi+8-`QFGo&)C%&Xsy9p3%t1?Qx^z5u;D?fkd%}Jb1kHX z?(mX^jlGAyyATNyn9>R!BL|ecdbei>o+^-I0<7~8(nn9}M5_93zv0~M!{=ryHEQc0 zoZ9+?WxQ!!$l}vahlvZ)`eIkV_BePf`Hij3_T-9s0+kiTExzWU+l~ce+8s%ysps#sva#jbq?H@JtPeXGE6^Tf z($9BGxB9O?xbWJs+v~1)O`;|JZ6Hei&ZQ@L6-B1`aT)*}iOtDT(%o+XGJ$ zC7gHIS|XuJt;R0h?WoFA==knbYcn3cuU)rd+{v{^q~|Wy z7Ay0;{a(%3Tw509cRpaz1uwyftDl7DpWD=U>c`(X<{T-!Wj42GVDe=Bk>zRcZ@7ZPXH?2xpAE}09HUg3^2XyW`B8WPXGywT#4n!*TZta=w_B9~%Nim~H}cJG z^>xs#Ci}TkKT4wTmIuX850%ZJ)C3g{c+mmmIGo>ri3n0G{^y6SJ3}{nxvB^tYLcHU z-T&^CkBf3^3K~cV4mSF`cke;~6Ju9`anEn*wuO!w4j#+L(IwH`B@?VCo_?@iUoiBN zlY3Z{rsd`n>u18vFe@_X;89QR@g{mgd?U2g@)NTrEn6y$`Em5%k?0)u)dx-(@+QqP zTUo@bekCMm#?UgCeFwr*P-_n#nX51NJ@!>pRzdRP4`c22X%au~biU1_VR~ZMhT+1E z>FK+~9Hu1NC3f|&Fry?cHlURo<%Z$&%4n@^} zh)cu}8W2NSL`>#c3?G8RSNweR;CUH|Rn2hrB$W={&sCp;r_5MWOkyEWkZfe~ti!=q z=Cdza?|0nyiW&{amPRpmT%03@JaAt_|MRt*qkqj9Lh)7v~E;~t1l$70c8YN zU?cWcb8#>&%te3s1Cyi%(3za(1oeVN@gn-i|a!)ebHH8;X$ zlh3NX^R7DwZoGPJ{Qm$CMf;W>XB#e(n#<#HbEhDp!g2A|k?UN?l-xe@PWa)tMiDcA zY9m%FXjK3P1iX@(0FuE89s;Jp*54dclGY=Y3Lz^30s`%*X+XFKX}bwl1vE8~odI0b z{%T2-X`S!JZXeK9C`1CMl)8>ceRN6*F8wQ7Qg)=IAeB=8_QkV&0!wjvT6$q^&gZ9h zUnh!a=xsT+&CX$ms)Xp6IUDWlwk@8bR8dj^_(aWT$il@*Wk-#kU~H%+O1nljLFYq;FxH_MQ5Ymhn9F zby;Px>&|`ARU)I+hun5LlTbpH5+5QwR7Q}Hb!hXR?__Bm9kJWa$6uwES7p3&I&%Xj zHjI+|c>7^rxltNJO5Ps3d~e_&kT#83$5heUu)MhTRF z^q6L1C^X0^sxU!&l)U;_+m)+9GNaMjc_4|8aRK?)8&`K3@^@FhZNwY1LWo4AcnCS|Z_&rTw0iT6S>%XsY|?2avV_{u~GlV(?$M=ynp*VzEEMLrZ6W>03eg`RmE8m@50|jB#0{MY7P^mu&_}f9FkJQ$^mGG zaC@T?$t)-uh9$tx{U0b(kWs3nCiVQ<>43P((G!f;tX;0B$X{KHCQ~8ajS_V6Y;aV~ z=<&v@SJ{r2pR9cNR;RzHB30suonyuM@u zfoBbDW%OKPZJ|2!!6so;7fkDXk2uLV>t2tB(X2ATb^WR`y)CD#MYeBnC>EV^#%Xi5 z&;AQP6gCtA41gIb@~&`ud>*zO^pLr{DBTx_Qs(&ibXC&Ze%cR#DcuUjC*|buuAFcD<8#II$fWZhtJzznB;95^_2MqmKEEar! z8@$ITudeK~KNrTw&s9^!vEz#OTI0rIEjoqU2xON5KyOG<(Y^7sRf}<(q;&hD?IYH@ zt)D7d6dAC|_0@I{Pkr{-8QNwk?4ncx0ma3qIKgxTFG0d+)9#tHXwuh0;~)lM_m<8J?uz3sLX zs{aVTbI9pJd}giMjJ590n`C&p5d1;sMsHZ>A3S(qg&yC-HR`d?ZV&tKmFhjT&O@jl zI9o$>HlRu|+()IY3D=kBrd6|PjTqWgptdwOJ--@PS6m8UQ4IlxB8obO>T>WQ>s!R< z^kN<{g=v#U!;KPB8-hLh%9SfE@&ynJ(=pF>Q%M*kE0TAfC#!%|XV?w08USfEPs9aC z1%T}Wbs;7aK0^U;8wD3h$W22}wfe`SO?lydE>mjiddty`JyMD<9llmest*mgm)Dqy zSN8OSN7kdMH%}dMzWxAB;5A#mxtyW5vwTNNRn^ z+1(D8pHH!|A6i?YzR+RDu$09MHiX%|q<6t>H@qpz>Q7-2?C+4!tLbLm#0RJXCVTk4 zRRcYI2|u+O^CTi70^Y-ryQecO9cX2kl4y@sgmEWXkA~5#GU3vWEv4$KjxN)^wsUE; z!2BEg%p-0cyP72LbISbI@tsbW?v%31+BzKHVa&7tM5yD<3!}KQw=6w6Zo8Ycw!r1h zc9_X))bg(_*yrESCYz%6imrqm5jtN+@bnCYw)+sZl5Gtv*4vRyMI}m4_xGlj%eF1t zBDLh`c5|AP`M_nJ+h_Tww^toqY0qoouywX-N#eWLXe0mPA0IllUO z{!j?Kuq-$3#nUA6f&~Vch|glNfZ#*Eo#QnN75yM60C|WevNP+ zU8>mCS@&1@o=Bn~--GlCQJ4T(3Tn~*nnIWQ1)B*(cfp&vrBh958u9WND!vBEjzsM* zLZHMu*(}Jla2EyB3WWQB2^(x*Vq%SMo8WK>8b{DX0J2r%-fL|;fltt%uu*>m&Vqd1 zP46;#O$v#?>fadGA2}imL}dDqAkYnRIs*Ix{ZE($oOfVfY9UjCpb1qVBo6-DmLv*F zQ@HB4a4-cvLu*YO=pHh}Ec)$m*zP6?gLxAy+ zaTq+PQ>aut23=i?p^vb-w5YhOmiCDPKp3?|n%f?!wz>vOAj2o9TaP2)>uZXOiy#!b zu&@|nESm^dT~=5~i+Lwu&{!g1sZ|zM(3-;4mK7G2LQ9Za8;vEwL-HqEYHperuRzq^ zS<()pATXur!2n6Lhb-;OrJ-@LOVr>l*?FQFJzLvpa08}u3}WX2K%nyt_I63SKj@zX zRx@y#gg0rI1^^a+m?NA+U8>cY!VK6XjT0@|Y&GBI0R#m%5GL2o#BjG?Y<^6L`4|nr zG*Hwqa0!g1QtM7{oF&T3FF#6ML2;tHkMDBxRdJ6-WHm9H-EwcK!>_MGO#t(`emNKkO7tk!xL|Eh+Ex2r_M zLtyml3#=2}YZG>F_bPUE*8No;6BAJCfGFFJsJga-koB$e!+@M*U_cl)Y#3mdcD`1h zm8{749ubuS-R1oFo|;qH^w@(W3h7coqG~Y`eEO%dUHmjw>_n2vemyUp9xl8(+IqJ% zZ-b_ZWc1$d$`h5zBN{EXzn9h+XK|ww?+Vzi>Pk^5jMcWBbmzx8{&do0_E&=X+l9J*8 z0@_3z0wR)OpA8&Ut#JIVCeTUy6y241aoShN8TH?6?E2dzFNEP3_M-e;oSoVQ`S&SzY-d zlb0WT`@}LiJk9%QZAt6CQ0he|z5^Q*Q znKbw~0O@R2aVdwS$~YB?cf@eT;dIDRd9|?y1`~z}G_=4j zprbcVPLTFlT1D5uV61RMP?Dg8^hjAzIt!58q7w`Z^mRuv-{8HvC;GSX)YMc^?}1ep zfH`|}B&68;Pw!p#Sh;%Z`&phOs9ZOV(4tB)5_5qVMmrUrV-${LGzoj-rk<4Nq>1KWe{Jf^{%O>+F@^W(e)7M7jRJSuq4Ga5gZX5Y|c%*dZT|U2KXlGMa_4dS>?GI26 z&|%?w4x`r5^pIbl`5nF#%qAc%&Ruh3@6MoaSXI@LZ+y;N3JBc0%eeq0uOw7+{=m5s z962-M<(p4GX_9DF&XY%PO0cwSN$fOB9It?oAV;qx(d%gVf8p<7bN>rR-HStJN}|2U zTxQdMIR|L&<5{U+g~zS=5dBI89q;!r=K1x@u@t?h@1hUPmMdl(zRJeNZ28d*rn)~O z<1q^J@A+I(;>K2?0UQg^<%l=}g;0`qeD9S}%P&Po-;*bl%Z#0EWoUNf%pPTq>b!Cc zg_w7Izq_2ZOLTO^eDQ)4M?8~L^U!=ce$PJa98Y$2yWKxfD1EqWudZ$xp*HhFPSZp& zr&dj!y8TMOXbgs`Y7Z$Y0_}8KM6#6FNL}UO=~2m4N<~?z$Q0d?r3tZEaWlW$ZfYE* zSvXPqwW}tZu6454zj@>ga?%cnr8r?R85?rtvvdkmd*!lT**jT98da}}l zd9x=^Shi!knpm^UnVsmES%k>}ItQ4ND03$;InX8t$V8%u*tiu-EY(Mioi$arJg-vS z*p$EGo&EY%k5b4}CXSI37ZVveLPCHG%PBnG(n485mKz0t)3nEmg$>2Y!&&k*CW$Dy z)^7T!QHCeZ9-BXXG(R`Lf}$KB2P=vLRgw9*ghOGQg9U&U?>M}Lt0X$1R>WfUiqRVC zbLP!p#{pb&dxSzYnu9@P9@xO|ZO)>ytgfUq^;v9#O&k=-SrM<|zSWhL_m7cJXr!hx z_0yNHU#sxasw#@gGKlJ=&tJdRD@e!*^AHI_hDPS9BZhH-uNpg#jMPwJak*iF^=~3$ zq8?p_q^2&u&j9&5ySld?sG5{_D@>-1A4ezsm504b>4^b4O-avh9rt|NwK|<{d{7ny z;3NPi*4NjEsAND30)%6T+6Dq>c<|ta^s|6N4xr@_B>p!~pUT3@$!6% zIJ_11^QDJzBeZ7S@;)z99=>_QhAoHAe$K06XJ=#QpyeNeAO!FuqKTw5=TPuZA^>dW z-^>K&*!z2WMn*<}QVfD7q-q2uD4>Yb;lx23 z3YbcetN~yvJUu-DR|pb1fY{o}mIqofz)~SKWF_H~kQ+C4>R#{XNl8g}E*#cXLq2<( zw&K*i#LthF>Jvjhq_Ls!UlP97)>XZJ9QwTy&Bn??w=)xP7}#(FZC=dr{4E?{N}_+u zN-@XTryL*=a9BL4K@7!`8xltmaCjD0a=5?Sx_vjOTq1HqML3X<+7uo0Dm10Aj6x>h zNi^|ES`r!RdE(-wD@UAtvkU8_bPbGTF#GKHUA%a9&Wy#kBlDR?x?V_5 zPisi|_SL)K7_(V-Qb5~SS%^}Hqlpw`P%&We?FbDoUcA`3b0?iCfDH+Xnd<85KIIW3 zIs=SmX;n=z?$W4KEP_;!R7+OXQKb?YuM!360SxFJ4p~oECHa|m8cIzTJl`B0?v*gOHB!am8UyN;5!@_tpJHg zQJ%WsBILYkpzZU=q$MQ54v7moJ5fVHdiYpp|0pO{mm9y^cGhq?IaM9wE6-^z%lR?E zrg};$lg;mZE*T;$(Axpdtxl$<;JdHa)l0C^FJ24?jT!hN8;lb`$KvAR0Im{t4nT>4 znh=zrfanRpjev{`;O$3_908n5z(#;14v^XhQgK#QHDXD2YDEW3Au@?bYIx0YNRPE! zrth)ITux4I#SwpDnc*yHVMe266x3%H%S^GEp=D@gL5y&dQ`031n09$~+2I?1H^`uiOfSw3Sj~_lHIsQvYS~`JE zezYt%p+VqlK`oNC{8<1L!+)mE12q+R@&T?Pz~h4=@#xW`O*xud9X5xo;%MW^6AV=8 z;+lfKhURz;osqnt!d4hHYPbYV;VC$5gsPmFjP5kUk%-_&KNf7;KTRO}z<~q7X{@Uj zP8aBMwxiDSk4u0r`Tpq<$`IbB2Ep|kE`MERx1{!S=+Wz+ws}1Ze|$VM*yBNbPI7!4 zVfYL`U+{t_s`k%dnSB!;)1!zwp11DS#;i;^xZQrM+Z)VV? zURdVsIG8G$ zlUn9jLLht&u8$J3KED{X_k7GK85Z6N3-z*Z*+0PCzHylU*Bt0MmZnXc26`GmLVgrm|x+!4^c<$!q36MxgPh?+?d@kIq*+$ z0Ic%^9QOIo+8Vb>`%9Z*RNk+zkVI!m+#-d51C+_ z6L{=}g@u6+Ukd^W{=_U+rju@53q{;uso#Nox%4R$#=>^O1t z?veQ~uHUQxyiyd3or8PG5Mg0qkqOfmnrrc#J9sdKW3;{FKIem*)MdDd;QvRVJD4Cq zYfN);WyG{0<&UwkG1#v`Wk^fc)DdxWv!#Bxv-c2~n{sN*jF4jA$v zhQjuMOI?@5Q0QmZu3aZjo_zJ{73fpD*zI5|4p$U46&0J08&shX&->R!(93J+jZp!#c6NPptC`dE!T8N1rD`~K z)Sqq%j9H{#t$+@-M=pI7%$KO>>1Hy8|3>;W~Hg)-7@I&Zb0f-XQ2i;Q@t9PE-`lXZIhZ#Kc6v7I^gN5om?k+4~>V z3G5zy`H%Bn^*^}iJ)bgD674w;nJq9mK;yvcs|U>IFTMUY89t}KxIE8h>(?rpDvD4L zx6azy4)i3O>|L+DYAYBgBm9QB7lDot zuzZ@nU$J6^wYBwMw-VEq+HYQ{2g4pSZ|$y?hVVgplJ#K+n?FI{!8#A3HmIGBA3qN6 zp3MmYN6wr%10l?Gtj8t-&_@s{=pM8nEzy|+F1EhDzMnsT-n3~`_t{0Qd3-w{A*ZEB zVB!;BmmARyNpu-cC_Z61S&3i17b1s_@2LG4lp{I$z@|ywdzQ{$yt7_fPghNS!}g8k z4~{Qev5zv+$ZCpg6-9Ep>mE{+$KESX#?P=CH$wPNCtOe)ca@ssXr`y912bWP+7Z9% zYDwc39Eyhspt!itKi=_XKe`pw=;h3KPIb4%*w|Qb3Ry}dj1?fy$=${aSsIlz=e8O~B>ga0N6C}Q2P76YPBvQf%Fm?lGK*a@GSV}1ik{ruz||^y$W`7R!G?Q$!O(ea~49U&iN`j+`toXNYpIvr7a^=Z)U}H?Emz~{uudp~e zOH;%BH`;CXyc2Ra^m$GdN?U)7tQa34DTdxSxqkiTz{pHub>zVFrt?oZAlWo^;|>nSL+ul7Z>Zjw-k%5&P~ZED53O@yxx=j@9*yqRs{eGZE6IA!E{x13-?2~_P|anDEJq0 zq|sOft{M=k1$R7fY@I!OHaucr+tJgb-7x!w{yHb6e&N!9>U1z4T?2~I%)$Hv8~}KE zHWnnSg!&Yh3r0YMb>2x8l0$XZ``n#Z|8^A3JgLeOX!Y zg`N8X-=z`BBz%2UHNHB?)$#akNWfEc_1M9XnAG@C?=1%|d7-C0+Ky5UkTyd%Qf<@LPL_52ca1!3s8Y ztHt_K-RK;k4**8D=V`mMv$I?D4npU%XU{;Tr>(6GKap#5k1RKnl9JLkv<^<_f=mgI zBtVdY@5jGjpockv3<{Bm5Ni)0R^X@&VO~x4hi8 zIrb3;C=?o;o|^s`8F4Nwjz~AF6UhQ2M~vTg_TrJ{qplx!f*eAT;9>Va#TC}jEcPe? zLGA{)C{`XmRyJxSmIi%KCr~4`I)P3JXVmh`hjs-gv>^dEYxh&AfAE`>&U30RJKpo^ zeG(G!%JcZ4o9{Ybv1LgLE;}=;qCxkBt|-XJEp64!vWL+R!-o%VRS(*HFcdPGEHfqW zSxozo&Z}H>OS!}f9(8AlfAcIK7aZmz-^+cIFU@{=H=sJA!cQyl7&>( zY}w&_bgR)+lV#RR%$B*VA5FUYFlq6YJ;#q9UT(;D)7vkHL^fD)@X_tFdQvoquCCHE z_fzKrqFdG?mib-xj4y5{yC~uLjiVFP)byvXevwS~FKwM5lDDe_h(#c@feZ>FKB&Z8 zU0vaGJJ%rv!{tw3KfM<~qr1TmZ@H`zV(pqzG&eUF+%rJ&2DBm&9Kfp~BqRjzRtM{Rne*yZ4lpIrUS%({@qd*AM7m86WGb?r?e;5YcFr&} zvs$}eo~`iY?oG6;&i|8j$d_^iFE;{Rhr=}hr(^2y1TsGdNTO8wSzT4x6S!>LkNBAE zN*oC?Jy9V|5W2LiF^U{o#?@7pmN)!KstLIl_$(%?9)lwiK(mLgtgc1Z)#Dnm+;O#a z7`lHU4qa7RiG~juaWA}sKNMqd;tFbNau9U{L;;mmE!`8ALafKZCu&t$X?^=y&vkJa@>{$v>K1&bYB37XbYk9|yRfk_V zm2`BK#R&uwWTioq`PUvfw@AKZ!#v}aJCD9g%ECc#IvH18QCgG}eRPebzW#W}YtQPa zC=voCCe)qeOZ62M^>}JY#t(Yz8Ul}Q;4n>A$L4&yd;LLLekEOSuPiSut)Q*TFQUeH zpW5pYF@4!Geq4QmaBK0nwV_dxp_D#C9yq;zZusXK7z3VBvAsvGKrlS|BYt?Q0c5pwR${vuz=cjWK_!!EaxkCR=uzXgxQBraH}c`N zC7W#wWa}RUKZ9Sg-#&W%DGwYcXr^*}c~o@LB>QvUGk+eMImG?agAxLjj3@Jp$*8HC zxZe8+)t}rrvv%fq0agl*(AD%aq<4PD$0#aKo^A6n2R&4rH!U*AV(NHhWflFIw$Ukt zfj*wkV_)vtaw<7D<@h?w(W=U7ng;tko?{w!4H?ZLz2D7i|IVF9-7lL8R(;Rwl3uT4 zhK(38qDcnrxOAsWfVkNzLH^0+ZIqOh0IFA8h1>Za;%S;7y`Vve@&V&L23(7TV5;^;jb-Ej_{djP8QfhH^sy-=rA{a-!fd z7QsaJ1YWHkD+q#AWGv`&z_*BImY!xrR~yLS|bFXdpTT;WwT$V>%L{a zwzkgNeO_1=UN&+=^4P9WZOZFoSKe>*331;!D=Ra(mQZO~LBS0al*#}-0kS@zuJ&f1%(nj_4s_w^`iHo}oGOz8UFQIW zisa%IY^=wtuzA}y9Wff@j_kzwcl^Bp0Q}6=tDEMx8BeNeYX89hIu!E`@ZV@mOFhEJ z!}Y(fXxGQ{TMa4&PeLk~%(pQaJAUp0U3s2746m7)c3j|@ty@kZM`~#)jT|a2E;B+^ zf{#s5Zj|)`19>SC5DHlv^g664b{=l_w8-!>F`bQzChJUDc)|Uc)wq$|LNaQS!f>`y z>vBG&)U4dKLq|u)YRi$yQl;T>$sBT{7uv1T(;YkC$`Dgl0>=F|UN;mx8bf7+jGBo2 z%aY-lfJ`IqzB4u!Kl$iw$?Z-62B%^c9~YHWMlA@^1ct*REdmyW%umMS#pH zv3mb*0|in2CHn(!T{w7P|7j18m8Pny#w+jnxoJrWO=1jtYS==R2r9ZS#A)u_ls3dwQe3$hx#tILZDN|3`uX#2C11c(+gGBOZZ1=mqPuEjwD zFbob&K+yi-NmbR=**Q5sn^9U?8f065boP*t_VVS+pzfu2)I;CsPL8&nVlV)}e+8W} zI1_;$6o4r}2KDgpP*?BTX72e&m@N!$4*VVOrop`i%-IbJ4j@s4vWFgrZiY96DWC+j zbaceI8=o)X5xTa^mmBHIwLepZvD20>Up_^L=5_b^`7M{5ffy3Qo=`Z*sU!j(nkJIi zx!Cb!t~py=Z(lfUHc{o}l|xRxugUBjYydk&i`JlEXr@RafkoIf}Q7i_B;9y4~z*3E&xyn&d92}geHWj=ak|P9a zPpx0PZJ*0sI9Lu7ZCDQC>uZOsH#kSde7Nr6%{oj^ zNsL#0+*r9GG~jOKv1U+gnx+hG)U5ndXU;QJrh%d>=o&3wZaYRv3_i8TIzno5$L z9Yw-5Myg>*6m(AHL1+Jw3pSlPdujj1McfDqfq)~kp!nH|0nR6*>(uriKjqXXjXR9f~vV3BS>ENhhjJfDZ>e_z}A^XEe{$+il&^L=V+Dy$b> z291u629HuY>?K_W{U!WyPBT>rIls|<)28_=_p)f1j27+Mt^|h@$V^MyKPD!|CZ@CO zkI%o4m=}bYd;W#dq7_QY%HRgjM3DFqf~WwN6%s;j+_({ZRqx)t3xnz3%)OuoH8nMz zIdkTlH*Y`&O-M)p#|EYh+D|X1LEdfGo~}X84CeHh9Oym=kQx&vYl@Qd8=`r7PPOF) z9K%$M$J3OfJu}i%@i;8RdQ%`|i55Zx&5?0nDXz~xvwg$O$RfqjI>W^H@K`d1RQB%0 z^Pk0NGJ(*L9g;_!xBTXX<6d6Z&s=&~Qb|xVn5jbex?|sYFV9nRtk%CsuPjLZ_&&7| z;+sg^GDiBcUVAosd3hbNTN_7EGS!u@sYcV1OEwtyAr7idp0EyaJa^Vy246uC-?{Ig z?G)udq1SuP&z`k_vUJ?Pe7wlVj}aurS*MPz73}>Nr}{)ARA!Lw;B#pHrgI#_ zgi}BGU%!6$d*N?8v$?Ni&zQsD+8}93V8qn03-oG7=WPikMG|_eocukK)}C<)S`r> z6ao$hz!yyfm<`RD2x*ESO9c$CzdTLpGAQUl0T~pA0eVo7K{Yis8NAZW=9nD##ev>` zp)z^RSU>Mz~B%4Ftr!@ATbZ?j3-+REIta=cp)92!OVa5~`Q zxFxm|wWT-&N4Q?tjgIy{e&*r0#p~CvTQ)|j)c0kapuDoQxa90Ld)G|l0NA7FRr2Sr z?UoVcl~q&};id&i3rNbV$cxjIt!+$$cj)Njz9F|3hrMCim9DDZ{8R^qXS!~zj52=eEp-zM#pJ#+;x1i zRx^~s{9aGlv~76(>%IGq*UC+ISZYG7q+=u0fBT#w#7lkR>AGj%p<^d5#AN>#vD6L< zeQ!^#+wF65HVTA8h74&ZvuKCyLpuTj0v#3OHs5d5Tvn%Xuqbn-`>4@!Q~ok^q?Gl{P;1% zKlOY_?X&h3vtgcxHH~gpBxFuc=f*EfR$ka!h3vq1k-?5dzl> zK&yqxiin7Spx+zp{d+md)QK{3?FdD2Th|^S~`=I*c4GA zO^)=9 z)~l=OPaOhVU0Z=gz`trVj#$&m4&StCQ&3RQt5>fWuJ~_j3Sdj|_4CuypJ;1q8y_DJ zGAQ_`Iy*Z$nmBB>^PffTkTWH)m~1AePhZn z8BaHEIhBp>bv@8Ow+ROPguCReF%V{hFZyh^Xz`^d-yOtTiYF(<=j8n?7nB$?d`Lx=#Mt*SZmfl+HCxM8QMl3;gRV%8f#9B3XJ-r;Auuk&9-xQe`|!GWIM&+8kb@bHiPeEse{ z&#vmPRJEOA9@yf+>3rgZ2_S=}#6@o&gZQ`Gczv9WFj< zYpAm0%nxj}A0@#9@S?PRE3UqK=T6Hp@+4y8p^L`i8$x8dPm+3bwKVm;t&J@;D4FK zYI@LQK;=f*kg^fc{NPwRe7j*sVIrocmj3<~{ z?@R<`2EO#X!y+ARz3rz1(Ij&4l?!2SAMJN^dH(GFEss+REEb*e@IK}2SwLGu%ER+6 z*Po|CTKW6ucS1y@-3j0L_xI2G1p6G?^YGnIAz^-QZZ2F^+M(sswX`N4zVaBdffs&# zdHmFs=@?xIiR<$ti?*#u7#!`)Z@3Cs>^T>D|3T=hS8pGI>9_MCJYKyYp|JKY%OsUY@Z+!2rSecm4c_G)?>Hb2B*MQ9#smFML=3hOET{57ii~8T$<#t(Q<;U*45K* z*A)1SC9&|bR^N0vSs*#yNSS&sAmGlOyM94$D_FV;qCCjk2iA1ro{)o7H`pz32 z15?4`7Y9$?xOMh;KpO92+ohGUA$Q*8jL_1Q87ix9phZgm=zit-i18Cg3t``d$JNo! zC2B^(r}X0TSRdyTzR8xBmK7iF`Mt|kS65Qf86zu!eDnN6R%YVy4fauFB}>bx?>rCe z@rfa!b1r$D%SI?zPL@2kZ~v=|elXwD)$K?C79&->4Ufg38Zruj)XXnB$;=!k(nQn8 z{hw{9SC@oXmwWQ~McHM?ZaG`sJ?#>g*AHxIm>{_xjV6#A4H9G$t`2m)bfS>pIB(M0 z0Z>00L;DF&#FHDK`ITxv`!PW4L9d8(g6OCo~$};!XbX<41@S2h1kG zzAY^+ZEL{f^3;=u_Jn>a=0~-fCY6dOqsHoK)MO`A;c;m>rHc9*>_q&4LPdmlDagz@ ze*AcdNvf!*IC=6UWTo1(d$+u-G#W>0kU{%vxtRSjIq(|?n38DA4FRxfvuDo+znK;U zcS^tjgFGK2M~(y#$E++`SW>GbJHcYx&UIr((lW>)ScD8#uHGCN>A6z zJ=NS&Q(D4ot-YC=P#IbJ;8~aPl9Zz2D$5PK*G>C}AlCZvaikzfEL4f*8&B*%aPaKG z4TOyJntBAKq^zEZFj}@|&UkrEgK0V{dMnnL3b9g$8n1BLWj{qp416kB8Z?94d_p|9 zT>s$6SqIJ@I&>)TQQ)y1>rHfZrY^G4Qj+B0;weo0kcd_D^gVaz&{6*j>(ib;N-QR8 z>n^_JeDKhji_>M;zyB!ihv`#DSS*f~LWz~DB0hBG()9pDx@(J@l>Vx#C-=fcnrO!& zBkpG7BjRzi%uK(Cmaz$oXUIW@E-4h#oo8pRCZTDud5%_LZkxhEFS85hd0_vQu(XC= z$TzlGXf8fR4|2|be{awJ>rE!wuMf^|zwo3sC-R8vt@?)Dz@#P)02*iy|8;|z)aoqv zi!UeHZqSqG!x4YeV1hBa#hcv7$Ov)>fjoNPzyUoyJtrq8ND$vjryK&NiyhAHStY?r zZdJ=l!V@Jmbca&WuU@<;Bn=xkLKuthb2~>H%mNt{;F;mfTEBjMd3iZJ;~;|qxT&~! zBN{PN2JOZ+V^--s4lpIr-*{VARtDhefFukz$QD#tNprOWg74wk21`d15OIs;;g#1v zxP6^8*ybB(%A$>%=Z=F9s;2W-%%OciAo%92STk*;0GI5V z(o~bVB)zxYe{UJGrE_r7(heXfC@u<;d&$wWXSPp?e{joX|CZA?U*Yj&5}Ay}ASg&y z4i1{YQI8`cS=qU0I%^gZuHwRaPb0wKuP!Q6)_svWpDLluoWa>yRkD>(;F@ z@yUC3%x`}%l8P$I%`&HRi^*tc4yR|HVTWw>Js_bG<2J3I(~$87In`#B#RT~tG}e=< zJjz44vwkE)6Cm0AtdJZ{MSuHQ2Y<#VCgX*rDoaWV@_)ugd_v0Vn~xd#{$cR5(3f!# zpa#AQWtouIF}Z-A+=xki%K;KPG$2TB`qEkB)yYIEZ?oL=FpfXp!DAN z&C`7IHoS(2#8#IVSJZ*;7nFlyC?1Z&w77S%>FKed4?o0EB^3=dM4#Tgo0F6C;)d(V zYe8rdsiL$Pjw2$TD6OQby-1KE9(hEYHMpj4+=Q>08I+cBw&jN8Fc5)onm5QJ!zI%m&t)X-~dw+ z{f)`ZVeR0Ihj&wRYF-qQp+Rc6c2 z3RYGix~(0FVB-){8Kv;>ppCq|!oioAJv%puib>1yf|lPB4W{(dl8X5)@n3i+7J!^y(0Fk#o8d11RP)YQg3 zDUmy5zd#U4f;~kaRa4hJ;R86pHAO2o*Yq@RlarUXJR^9%xqWKy0LDMPv}w=P2Q0jF zXUr>BFeDKj&(C$sDOy22wz%T|@-)e@7VvSdUQbw?`XMSUaUOUvtrj@KNHPRo&> z;`r{>%`qbQ8t#$%w{Mv~$I*TFgzHW>R90Vr8|=-kW3QbBu!X)K_&?nvNx|Q1U z(sIM3c)55*MMT(}9cw5Qs>N%(xx@(x=$9^C3b}>m%$ZYP--d&7Ygb00QD&YV2ZpgA zSmccE1zypF?aw;g#pBFO)!!b3zF8Q$EgteSfgThhvA`A&d6Jsj;`?S8%wCur=r#wK zl4zT(gUe-VGy3%D6THGCB_+Yq0iSQ&xB>D5dEUig2xqLAuzk4rm6S-I9bxon)N2&|CQ zo#XDd$U|Az6f+f?qv!-7wpB+i3@L8pqg#R-N!k_!1rHd&%9` z%g$60B|hqM=!>|xxOcCEEypR2u{{tM9W+%_^WfRzQx%0YP3&HLh>nYke)-^{t|a?V z{e_-q?Hb&NhwMIkX2n$5o~#0Ban`O~OZ)|;bo1s-kpKQ{kWFgR+ppQ_(VpspJ?X8@ zy7L^bWoGAi*iUX=0%DWF{SGo2Zr;2Z(zoLMZmiN85E8ukVioV zg%gUY2ko;ZV0Oe14j}&`I~qK4aInF&M~@!;`SWLn%)dn=0RaJv7A>NG36AZ}wVrUe zovJ3KL{%<1={~md{gsE2we>h&L4|E++?P)3FZVbGGcqM5MJ28=Q%DnAYP~J6 z??btbm+)EpwbdWIM&W%*|JBOM3X(7PWMqFXO;1|u-@0&Jv7s2X*lCcR50oFx2d1p7 z{AZRFI5I);!-60~pi*!6u(7doa-djA1RTITH4Ub&t}Y@Xg8nCD-!?NdTe)&22&NVm z7GO7wj*f0_eE9w*Ad0BC#nQhM7#Ss;5 z2QFlnl13WN-n2yb;PMr0rn?VXtG)N!dpTS6$Q0s%JJoltE?R4{M0Bp*ObrQaRbhG= z=K>o`v5s6F`gc3gdxux3_V=MJHq}5FSUY2Y*YA@jPoRLlzJ6q6WXqBy^w+Oh5{jzg zd~^rqHVNcWuw_HIQu7iJO%E^pqM{-R35llfF=hE#B_!ohavikzM^|u5ynp{bxW3SX zgs`#q(03PtMTAXh1&@&fN}e9N+5z3Ua|gUWAZ`I*TUr!_C@U{7FHng5{P|N}UVi)b z?GT$KAkg4$-3h9>I#gX#U|rwWjBVRaen}c9jcunf8r!y=#G)ZGxwfOJ z7SfkKYio~#&2ORpjBT18t}HK{&BuY0RZ>>ABn@pIqP3mzxv7xOoo^BY6M5>Wr(k0$0b(yKJeV=B7^b9ydqUlsdp74HK zV@Y2X>^MvNJm;$Xf3KQ98(qI@+VEzuJJzbuwXyCVwMXFw+()g;5((Tr4+lBaGk#$y zz5ek%oQhrTIw#jrRJ6ZX`6eePXWt)+@JJFAnDJ+73TYB4n}GQ@P9iw?zfq~A{PTWF zhQ42~@#5gFWAgHo)rChAp?V(L*MCnUdanPQ_%==V0k=mW{l6z%Xy&FxOm@VG=h2tD1~>xn z8)hxs?=Ttuy?zfTN_Ce+yzVH@xxk7=!H?$g_K5s=Tpdg&{Knm@0RSDP(7Qxk%Q4}u zOzoq|9MJrTn0fc`Jkex(dZ&0L05^&8UUA`kFOPUZ(T7V2TB8h{8_Fi(uRF! zwO65oydAi^d#gG&J{qEILhpOui{&h+R3yWnzP^}*&)LBvAQZgKHDyH!^)j8do9%}a zshoc%W@b=$k9!&TQAVeyktu}j?R@T!5J&S+2Vlh#GPk*}N}K6QPra#Kh&b z@b30@rBx`0X+m&#GyO`r`!}^~qEh~3vROzO|G2%6LTcBtJmW!+-6|FnI~ak$i&_4$ zgkxrDZ0JW(1f`3H`(D_|b$=77iFanXPxZ$mOCt^E?D+la@|15xZ`hls(YqugGBRgu z?q-1wf@=Tb3h}-aH)A!o(x~i|XfKXLJfK?`1rwCC7aY11?=DX01{&ll@T&Qh2yC90 zP`OkFKkBM}6IZISn#Ew;nn;e-CjR0u zLYz!tOj|>6FM8KywQ@}bw?28eHj&Ksm*5QUe$n@k>%PA_Kb9zE?eDzu3+MIglW?o{ zTClQ%6qb^gVkh89J~`uT;UhAY-t>FD{rIuJaP3iOy0#|Ix_R74%J-m^)A?taSirV= zzSH|ooG~K}BfG%RiT7p0R7f1WlkHVU*fUMQW_!~F68tOxtx>Im)LUv@j`d;dw%LiCPJ(SsCkp(z; z8Y?lNu5JM#k%m75Hv=|f%EHN9-8fx;0*pi%TXdhNmsvEYQiP3lU5t~TSNHAZmecg> zHjoPW{aG|c>^G!}=R*0qQ{Uj$bmnaw{H1u+{wt7twb=)!(9Fg!AO?X16sg)J{b^aac#%v!R999W$ z8|JtE<5olhR*yA`+Ew-LVr>l^(G4Cp2h0P6je+W<;w~CEOlsKK+%#jp>BJ= zh1v*~ZinmTN>fYeaa@I|DvYv`S^lalg28kS->&{>Ns|LiH_V6M58%f;e+H=Js|w4(l_m7ON0m`?za?(X1N z+Od45+8&`>?6`w4hOXEurO&wes#sVJpG+Cpm%@`(q#9X{nw_4m#v1_@h0Gvk7Dy5P z`TiWXkoouT--a0&M8uFnDXzi~P9=W^VAk#aN~9{tiP}oHLGzJ=FH;R0MpRXKuAUDN z58rouv2XSc`hzWM<#(cmNSB<4Zu9n74z=g8BKM&Sg%vmh#Bpb8|#K_;*)%`C%xG_WY2b z?CksA*)A&>bw<6$uQr3zvt+eDlkLd1#J<;3*?$wExQ!Y0TW@wmhEZa#Qm9JU&zG8K z1~QY}6$BZW3F6hOwOoL)1r&Y#8;;kPTXI$KFwanw1IAP#)!j|?`mEb&rd3RpwtBn% z{qpo}jw*pA`_S#x{XQ$ICw4Ls7FAFMK}Hqv>!El;(ySvzwjIgdGfzx8cW+AK2|5O0 zSR@1lgy2a{IvZqS{v;TfwhhdjGPz6+d`{co3pm9*m;`SjB)Cy7`qiwq8CLu3v&F6e zZk-7CkF^5%)X>%P&N@=CaDQnOe{;YS35f4X76c?yn70T-7RpJx5*0I=;UYa0wZdL0 zIY^32ulgEu$PaIa^2~u7aJ`_GH*rFI<$+U_0rBl7NLETp$=@*o0aQRqN=;c`U!Nm0n;KbhS8?x8o7ptcYI?8@ zIjHf~Mq5Ml8~ozri9}E)r^D5vTdS7<{`;`DUHAGqUzP8~)F%{z!kmQ*y4DbR$TgapJ|R}qevg9EEz6HurK*zX9IF(WO_1VJMUe_k2x|L8HC&;`ZM zP@`RMeQ)Kf^u|*yzo<7q4Nu_^H&w%a%jIGAzihQUbshq`B+^WS%z#P~8-CZDVFI7g5pF%vBf0m7MEL&ZK zu-;YRSUlK1C86j5d}J^UnnDH#PQuZGx9t-)Lv&D==JWKqn=>n3#&!C|FT(Fs3ONk8 zFvI_qnd)K8CU>w2kO`x+M9?*zrzMFZ5{BmzQcceKJ6*HU&@Qw&nF+#L7u>}7cUUN) z&>z(sAZ|8Vpc6RzZ^D#>P8Q~k3+Bti;>Z1lvbJ^{niv4E16?O5A-1IxHeI|Ur&RuY zxf=8eGor{4Wnt3eJtWo@pdpun3CwlQ=HbRvNv2`fm`6uP56CMor{x`^5yvf9-3g$k zmmvr#D=me_<=Jfp6ZfPUgp{)HqtXH}beW`s??fZ5?=>Jx(HT)rrDU^Ss*!^%^y~R3 zIQP9bd(k`NaGGC}Vr+X2pr%=gGl zhR=QnFw0pc9HmjSq)^dQk`k63uP_yB9JiWYv z_{Vqcd&^+OnYc#6dOb!F*1CPXM#a7^R#{^tGwCXCDAyE2G;bT2{&%eC>_Mms-GM@lOv+rt-cc4|O#9B$prd%44O_V12t9rjDKp4X=k5rn_7JtM%9p$^V_ z_(Lije{JHMqbKiTON27+*oQK~f+@4BLao%nlilEhj=Cd{)XvV%PNXtHD|7>i+S~0e z@ZL@N0V?}{GCBKlk!$?HTi7f>`omz72*8p|gQ%*~)-Z8!n0@{>c0ba$$BErI03>qL3_igmcBEkf9p|-0HkkKXft^$BBi*O-q z6F63qun#K9IroAS+w!00z%$LI%5#6sphdU(IMzpT5a6q85QiuL3GK-;(H&^K+0f~v zH28+~$%n|Pa-}z_=n1EA*Uw&Zj^curmtey}<{rh;$$zyZV}4~%{|BEG6!u$5k_0ZY zBq&Iv?;W!!H2Qf4aYOuT+@0zA)Z5e3Q&~BIH%iS=pHfX0A+tg_1a7 z)-*a1@IZ|lXa3kOr6ia^L!!#i`um}1|08+zkMv7+Z2DF1<<`k3B_$;k_^(ud9Y*Z_ z1VQ330=|S;;QB)}{QQ;h;$qh2YWE2QxTKP$54QC2d47!okNND#{c zymtFh*Kg!;n2z_BCklY=uTOONz-zWd=)~?#!zyZn(Jvz27lxERY?vXxI}aTqNZB0$-`M*TzPD{yzIP!JWBQ-C`RfgEaBhX|7vv*{c(A%z#>Z#^td8^^&;4f%3S?c?ogx6eIY?rjWy)3(pi zM`!x|^+?2H`TAda)5~^BgW+nt^+NC0yGsd1#HhDUtK(l1%N%kVT>?~B>Ui2oxc9c> zAk;67^EYj|z6~$43TCkxEEb~M(uWhz8TIrL#Zuhxq>pn|n!i&HEe+lw2zH{O#-{~c zXt)MKa6D$H%2g{UR=G%}e@PxSou0}T&RVuy8ji#u$G#7o(Qc|S1DQhk80hB3b5I#I zMc@@hT2GHE?OclV`34!JVI1<>t;hQ#vCye zMEzx{;OG1{yI!ig&kKAey!6+ac6+<|w14^O?)te;qz$*&hN)gI^vK*}6_zN}nS|x~ zO=fkOYHmZBZj*JhdKe-mq-SJh5D|BJtS-Lb0kNfW<+Iqr3GYaF$~{AIr{L>f9}l-? z8^^j)Sl&$Q+8^aRhefZ@iS8E?oVM`iPn&-By8VlvzMKPUw7Cfuzz&V?GdPgINXQj& zDgM_~{^BQC#mC2w@`8hGAATzdUB&FQ@EnFn?K3`<0(~0*_4zlj;gi521uJ3x_ND=& zH&$8BeqgW<2?^)5a;D$qhC`Y(j<+E-(qcQ zS*G1I-`l6Vrp7i;sZ^G9A3f@9|Y6BdHJS!{f zDJHWS5&;)VLy`!RQk}VQLP=JRF&tCW#OS1}>&|an?}lzY`Q+f9BB)9;-*4AI_9CC> z!wg1JoB|~oNIFWM^~C`g{!2h>TbodX$H{7q?)Ne__-WSOAmi^+x-s-i(%v!*QNzvLXEO0A3pb zza>VkGHNUg0qsYT5SLjke;WcO%(Ol_V#@69y-TdbP6ir9S^sLX4Rvp6oDiN&`&Tfw zqEu#ffr){jWZ)+sK}nFV$IH!b9yEb~ZzoViLj=xjH13^|9a0CEaQ!e^l5?sy@3FgIsOHveboR1 z7=%D8D25OEJ)R}k)VP;Q!AF{s_y0r}rsuo5uWU)Yb444&=5$|55QVdXZJ>c7%aev+ zdmJv2mW|^eyI}$&BT9vjmmmYpo%qwd5&;nh@-Y9{dm4qb!gkKPRvo1$?hO|-EfeMQ z^k5^6HArRBk#d@;;^%{~;&aj;;(u9c_xnGqM@t*8jb-e~fb&jqxoy|%qFVINLpAdU zWTI3fjW&6o`P``E>L3Md*_>2E&7S(~Z$V>IR1|ymt9hfACu_YnM6$_+60xDmQejAh zf&1>|BsZuO^6axHsRSnyU~I)8%-us473T~{G41|LRtWa z_a()oxJxp!USk%_yc=LpW@TjsNSo?kd3kYexn6!%`b%B5DC=*U^+u89 zCCtPPFITrmem?WQKvx%ntW5Q`CMz?p^(tv@mD_{irXvPI{3A}U!~9Z4zIL^yM!bWj zI+->m1MJS1d29D=jwi%S^<|G1(vu7eNPKje4%mqlwGRi=mmAb+ni$tA0+Z@mm1b|v z?27>(6(9~#Mb5=92_n4rr@1X5+w@TROru|KJqXS3#vuXoa_xygY?R3YY3-jBMXxY| zCz+}+Km|?%b!!)7{I{(mKnMeEUN~J-N-6}1GBr^_*<5L|y!+tO@A2_+IrN4rmsOJi zU)=?LR;hasDTZ1QHGxL-O0k59%<5}-fiWr zO9+g|zzh3xbmJ>BY*Npqb3*BAJZYixtB866Ff{WO#SR*tisT|gGWLo z^DQx(7#aJtH8OwR-1d)A?%eGhF#3|>V#<|*gEePG42*EQl{%psr4>yVm@*MluTg5I zO~-#xZr0{s9E#|d7Z-V%F)@f6D!tKkeWD5O-hiWNf&iR`%1otzR5` z3-D3}rM1V5`!(+A$?%gWo-0vu^L*;_NuJTN;v}nio%<(T{D~ZeEZK@(pxQUF95(J< zCNwkrsQeq!Tl5}`_0BV9RMTcA1I!sJt%_U`MfY@6k^sUG1c^~&xMb-uBC&!w^VMPL zz?wplFPkV*^Y(UUyod3}!-82#J`1)n4Kg=2WFf^ze~{=nQI5kmRbIjvx;8lUFCi7v zrFwEQML1M{5^fr^H@l$Gwr@tm{$3}W9d5h&Hwf|m;PFn26>8vNN)exWJ;I+h)HPk+ zpKpDeGDcZeV~`{VR6&13ma3DZs9eQ?d~D!0Z*q4~YD^IIpO8fYIuM^N#9wA)2c^YD zl5>qwT)4#Boq>D6|tLxgSui0S2;-jRFNOMALR!g$Oe^(Gu zX%1oXsIWBThlj~Le`wvlm zf}7gwtV@KPWJboW;p#})*CrRw+Tj>a-H$B0P@tWtr=OcW<7mR*#1-su#gO9lhLnHnnfW^uH7t zZVnXNG#vfOY_Z++1S;VC{Cv2HZr4k#(KBX;J<$-_9YN8&MpEF5S!g>XwWOhd+-K*+ zr#IDuO3~C=EI3^TN~xU`Tx6x6enU?wpkITV5;`G4OyvN@~xypGuTNSC+ zwidL;Bi{4Z+FYye;H&?^&n5J`zC8bwA)0*C{Een;Mue^5N#b<^#jn7|xbQzl}7y@pkYM`rXGV0`S<%93xTjJX)5;fhx?Pk z2p)XLxg9mR@N}`Xs#d6j6uy0q8F&%1f23Q$J?0K2^7QSCG*X(~c4`@Pb}$hNkTh57 zQ$>ST8&=HCO@SDDAPwi|Bu4Q9g>Br06Wx|t{Dt{LpEeKf1OR)alODbPpm%hI^y)Ri{+|HDA#CdNn2f?L0l z8rwy2b^uN5p=%Zd>3&eu%alLPf8&j{J=Ce)8(H2nl&K~5X{yzpNP=pD07yPM2@uEC zKnrx?)I7$M>yu)z(vV5Tt*tF)6w8Q!Oj=&DiY%Ed5Y!nmfG%Dv7!p-lAOfv(aP$k7 zy~}#%(VetvUtT2ir;ptBIQS-R%mX*YdI$%F<%+*aM@V0r8F7 z)kc@o^WW@_=c}y_WJ(Y9TD?ucHjY#Ta5yayj)znfnZ=`{?_NPh9Ss2hS1Tb3Xfg>1 zluSiUfJXVGtqFdk6Y*jM(skMJzPgRp;o(}bRjt~(x7RXL;`4**Z`||dNy6nGnUq5m`;V%SGF#)%whHcYtx&XrT9uj~U%tACA)>{@Hq=vi>ytlH zQE=U^x99V#Ry48j$rP<&;>L`bJ%s;IaFzGCzmDgaY-#hvH#br@KU8DX&2k=`&I8wb zm3B*whNvLhU8g`;CpH3`_;n^p&FzOgy*+qS!1 zvP@NPw}vh_AcjX|6Nn?=u2fm5$V>zhQDFw7g^_|4BY-AA5kA?hH~*QKfX6EH{d|iv z5y7N~>^{DMV939?xbS{|vQe8*!LZMw7=~Y0E}P7 z0=64m0s;bKkN;$(dYu|=y!Kn$rUVzlHy#TW*$C~mrR$5y@%-nj4yHE-Xz6)N_M9io z*+0aV0$Ao!TeRPZu&=_tQ*c?2l11|cx||`Vqy`#(wxKfNzUzqUKt+hN+#?lglr1Zy z7z&SZodEbdYo(+Jg|f8tQc0d1nAsMS-R? z>FNV`mv0+-G)W7X@piXQ$HO{gqztX7lwSO9DWanTxOrYgKW%>JfZC=XpigaJpeX`{ z{=2`wf4D~tIQoPKQPC~aR9_&(lI6XYFJ{B$9IJu40Be4&!(ewr?VTuAODj4Wb^0ApY{cX=! zJ*$ye0f5;3)&;NDh%=%9Utjy<9V!~qatN6v2*71$(ZJPk*2yypoC8Z#1LzvvQ#Wpe z1BFj#tEG!<0g7aS`p+N`40dMbmrEflpuZ{~L%CvV#%ZHZgBGulz10aB7UW?a4nh`( z4EFNaTO9y=re!#Yl!StQDr1d_bioV)g7cVS0bC{mOctLP7Fd@|oluG#?#jXqqoQgc z6UK5z++zsrD4X|CypI|H63ggj#nyn&%i{v0fD@~VP(Ed2Im>4mpaDh9JVPN-f)(1! zoV-_=bA6OrU#z@YzUr>(u#&7}cwsAUR}&h~`1k*0bb5|<)`@W;uQswB zj)BwW4BPn>pfR8n=-~oGok95bqKtMCW<(884h3l&Il#>9dQVd?KRxU)-2zN9i)DQ= z)DcnhR(bHAG)tFVL-p1*;kryuI8KC`8a`#%mV*2jVqzL>txHz9@!KHrV!aG_J_}Ey z6>f&@M>!I5eh$Zvm1}D`^zbT)$i`8M3c|veD^Af(QdbB?Jz`g$B`RPjZ=r*x3qL5mNEfLecTg+ zM^hVXYGh(I35! z&ZQ80*6xniL7bnKO7Cvz`M6Y)c&$>|Jj(l<`VEPMkG#-0} zTICPmnxVJNvFB$OCplm8d`cwQT-uM;af^TS!=|F~H>qgqiWuRpkc*jga+)zyjakOh zOvDEsd>D!V4J?lTI4X%!j}E+6*Yl4bcki0~R{c1Z*|#9lS)3Mg#sG~jFE0 z0a`ZC*E8btCE6M{^bIEEm61C=?Qu zBGZd^<9r~}2YLB_#J#CpLW)75f~NoDM5)s1(1B&epa)akzm+-C7AL}GGuB)5RT<${ zr(aqBvp^AW}5U8(0iqhin zxS5$1Q%F}NCQu9nzK_O!)+~F{t&Vom6wFpO&6IBk-IKupSrY-MBNed!mTgR!1RpqA zK>G$Y^XF>bIYI0bPiXV+<5&)CPz>p!{_uI@opLAl1kR1jQ2 z2$X;xR1$o3JmxCDp^F2KX2kDER~s7Dz7-^D=oqbckB=dR9v;}pQ@296A+3~(;zO7{ zb;)=TTz1p2d%h=4Ibs})2|}u1IMrG*o^obW6Gk7osKrpi7^>e<6)SVyE`xE3g&|Nt zZG?dUZfLKki_?X2bmMAuSw=}u0RV=tx7Yg1*CY2FQ3D!7TnBH%`YH6RTd!Imz z4Y6@B%+n;0e`PPo371Je48|c56D5)~xG~`MeOy&lC2aQ51OB`lBJy{H__hcfB3fqT zN#7TroE7q-><^4T`@`-)7)m9?VNxWSSvre}V5*$FJXCTcjkA4~I0*?_&#b~7;oPL*xzoRZog7na8th6AmYy?qkwlNJwA3%$y&-j z1+K}_*P2gp+0_^Ds&cZaA-<@!7Q5?1FXj*tW6_>9pNo@miyU%^sTH5s?BHT`Gq6~_ zKR*?AvwxbJQsK%_CI0!7i~^p|OznXb%p)>)4h6NhQwSsv0}OHQz%B-Jd|V=`BdNhg zYx?ol&|K1lVthHkJuv}#39^`^5DtoA=#s#ops2}=J6QX+jkL5hY$msf8lz4xIT6V!x;Y7q}b9PVIJ%M{a-yb{xl79kVUU!Hv>|BaOXppnN3tR*L^)bBsVtqRExe{e*I2aowki* zy|7m23vRXtibq2o3u5a(7TIJUaJeU3UmBzct-q2}F>sjLS{W?u`po^Kb^)v?6a>vT zJuo1mc^2Q00wU{!&8QQn7}S{=1cew_cnQq$06Fgi!q1oM>Jk#r{EjXzkmy`V=BdVq zlaXFc^f!#z{oWtHKmNuTJ>Kme0av5qs`)@DqVzX~aT-SeoBZpa0=IG5CGCD(U`PGx zh3Dg0fF;u2@sEu|G9<+0W6>TxIRD&gFR(#+idMkTze282x@CY1ja84&xkqV~ z8x=l5GAn7i^%DdBoeXUuZ`VL0z=_{rrN196$HHo{qF89MGD~enl)bnQv=Rd8CHo() zi9yecs4!1amgM*ptku7QARny;StXSqU%2=&`wN;7<3a&o^)LiH3EwC^4*Q~+Z9~r` zdnTTjC-ujE zzXXskZTNGNLSsGQaxYfLp6?!8819WtLpu|9*(|3Jb#rDFr`%r--~4nU z0~;oRLlj55UBw8st2gmx}O7pRZXo{ zlO@1hGcq!w=tHXbKl+@^!e;rZ}QciJ5 zuWy2PimA;)L?;b;9ICW5qu!cili0L4^<$9DW=f`s)@?&n23GP~IQ|cf$*0Lvyv4ml z=ttVN$MTU3P7W?>Pm8tWb6mw z!`6Z=iRp zfMBFRxxDTk{<8cGN%5&%Bn%0F#oG0Tkg9QDi5xioKzZ8XbUX#f^ZI-+tc&wPiTFG* z8WaOYfNql-+vqL*}L%o7NFe3dA@!tKp|L9losR-eCGcz*Y9&Tz% zZ{HrRl8u~N`>mO9yp9=7zp1-Ig}9v0RVCH-1O*Mu70dh#Ac-WX@(ih&(6^U*!+z7r z7mdr;>x_>_T@g)|M3)TM1`A&LH!Z~x?MOQzW;0(R7iSJew79cj57es$D3JGNws1SV zAS0rA-+~D7rf@;SfPT;zyIA?xB2{4T94z8;Y87y3!bQkh8G7W>HkJ)TE)rz zeGB{;h~I!C%Q*p{`-gHN&DUi|DioM9At`Px3nJeF2R-mowfha0rl7t?+pdVe_?)p8 z?xS9#U5?}*rRfN_syy+eOE@voe7>c-9{qota( zcKlE+h37QSd#9|B>isNs->#}HB>g+fuhk~Z@;!@d+h=D1X%A1oFg1Rm4`qSb+gP|; zM{vJTbZU8jQG>UTAQ_siLT7|1VHEkiokn$GPy+hCEvRw?k|5Al{Qig!e^77=RQ0@P z|F*ZkpYH(3m^&3_vdwk+;clI)?wVZ8y9P88N@df*_Q10Xm}&PlU{o8LnnFq`>Y~TF zM4xOYQvL&v6?ZoVduxbIcw%VJJpt|rfYbcZWT|G496}u|>;a-p>;5V1H#23mP^M(= zXW}xWC@{MaBQHE`cF#Raq3dHJbc;=RwY+@#9skTt%WlS_gh3@Qw~T>?wgveu0xP)m zjSCKA92WQ!E`H55Zb+fgJq>$VouOAjbui}d8#}+Qb%9LyYkbmF2F<%x zhr8STW8xuovCgvaa8W9Pji|jIiD3%kUVL$3S@*`3kYCw%gh_Qw0=Rp=xDh{h>$AT2 zT5D|ePTKaoLHn^rw}|(9$CTC82^NvRu!g=Xij9i+lAS6bm*p_Sdx!L4;`ci{PP;!} z>7GEjo^N22i%c=Cd8Z^Jo1v(IyNcG$34J6+rhP?S0Km*2NT02YJl(;993*{^{ZZLxfka`UKpYE@c`;~E$J78XXj!x~u!4kfJoGt zE9S}0LmFn6x4T&_Iq^H9!w)!F#SR@|=$UCuB>T@^Ce%2y_P0gwvS{8KJDJT?gI}fQ?H9jAn9(&F^t(Kp%xB3~C6fDdgvA@;MoKik znx8AdAQ~KS(RB6Gf+H-{0vP91x&I*{0z;tnFe>CRc+ zJv%P^SSg7p)Eth`FAmKQ{#|ckgff(EC{LT)a1f#_6#d0k2ctG;_EisXLhQYiUeKM* zjx6Wj4YB`8E5yNji|pDHC*Ukp(Yg)0z(7ER!Evqr8{1M^nYpSDe1JgBl%q8&RQ@LA zEYcvR^79BeO{N%~)In4b6KDfgz^TTYo{Hs>9L8CmcH0lFaRSkRzixYVKzUjnhnUuxo`x0Ui2B4t(3N zS3MOYxtx!Iq<{YWIG)1)`ulfxXZ<5k897;R1}-H_yfEO4#@tA;%WyL7TO8?${yvSn zh_I>(pyMTNF#CA9ML8sA&B(g9DrtMOo^~}s$=c=^Sv0DMon8pAW!3vk81siX!;20k zNMV_#ag&h5Mm~hS3l~3TeVW2OKH>()A>wsjI~VPyVKscBS%zix>7?#>CT_p*O7WLg zGM)|?Mm9k;m;>O{#Gk7#@LmNBhEi$dH#nNNUC zQ_FH2kNMkf7OV$9H#+9QnHJ)H{xBQc_X-X+HY#e1r>QF(T2ltzE+LH$29v3?vVgC* z8y<1awO{j-KGcUP*gGcbZ6omR>7Z~rw8Eve@)7a<`<-d_6FOYVV8Kn=ar%uO6a#k7rLK08Yy?0c{hd&!{z2?wx$QYHSXU?NswKM;#Wtb#j`VJqOVD8S_{v08 zD1l_R>Gj4e@wRUKN!bzfWRrQrZ19*r@=f}nfGzH9z7!c^#^35FX59_hYk_M=mLPln za`tzs!2q}l%>qm^JVb+Sx@U}Kx{|wf4$57N<4WK&r~I^Tr|t1Cml~0-oIxzxVQ^%n zGO$Ge|7%v)Io_sL{w~ts#qs%~j3anF+6hP`B{o7wXMK#8LvY@4%k&wuGm@q}&i^xh z>%r@xHk*`$!KDy}D>U#UX?uHr#{raOP5837AaRZbj*L+ujzH`i3pFkVM$zb3odBN! z7H2v+R_-U?c|Voy_;ohzhXeKflS4UpJM*6R(dE2{d4c%hXlQ$260ue&X`~9?KX!nv zie+3w6+$%Pr-C2OD?`R?bN0jr>_ElbYl#{mz8YyR4~9G2$zJS>Gs|XUdskPn+<&DU zn|NBV3ef$JGCgxFt2&wkhLPh1t}$T)Z%9)%VU08bbv)k&i(MzE5WmJhDNc;|b4 z;qU!DKCI?7*iEuA2rgrq6V;T(X;g+35tnqGP`TOZfo|BdvAOw0S44r0YGFpBhP((z zGV!?$r8_igF-wC=tr_F6~`G zBqedJA2%wFMFE8GI93T$IsOMmC^jqjuL;mACXy0G4BBcG72Ih}tVon9u}HbiS2z1* zyH_Uld&ydFu~rw6BXhviDF&e|R^jrYCx_p%;CW51@{Oa6Y%pz)i~%e0KZCn)*%aBW ztdKwCU{NZMM{?FXrhoi{-X@crn**eFPaFFO1_D@$?CGL?l?0%DYOGfq6rcDwNw;dT zjW(!s;IJjMDT_>JVr0Xk(}og{eK_p(I@}8|<%Fuib?ovTEdU=9$LZwnv@1R1)m-$C zUtN^#wUQ9bk@()+y+L3sYtk~7n3(W3xJ<@WBCrMxnx9}0Xu~fyCoVS}2P4^Qlr+Zhrf@A;F0v|-$$2a zUz(&U=1$|rvXEjn)vtn7M0H+a!XY{t zurldMWY1l!ZBf^|BD!3P*VNJIew}X@&5QxvSHR7MT4A_MSn6oAZ*UNTZ8kVKc*6tS znZAi&y>M=WXs1}qV)*n$^L~W)NxNavPQUlpstbKeOLI;0(dc)3e45_9r)y&p)L`*| zo&Yw!vsmO7Y6Nq56R@)ud_4CrR)kl3+sxwxk+~0)bW~1WUTkknw5pSLzRZO)E{DTa z@_-S$a4%`R1=MA_slU{R|9T;pzdT?wwhPrxeL)Vh| zmC5KbgvVPw-^J!fv?Bd3ouS^VzBgJ;9R2woOQfSzDZ2~$q6m(zOeo~;p3`3<)}zPa zVGqb?bg8R7KUJzgdHL#XW^=6INWkGJ2d%{u0ew+zd&i{89xknZjd0j0aU8!17$ zkxpr(q(M3bN$HRVY3c5k?rxaPGxLAv9lvoO;C=0D_gd%qTRY{7lCisjwB-VBpJzd4 zIw;28!Nx*=zUK)i{<4sbhK5d3nF?PHA-YoHez_JM$$aDaaGGmYwBB&8|m&e`Rmni`nB?B5Qf zNR8Nm614q|ZnQ;NLkg~lL%p8uAS`+E zIVf|~dwuV6(^m~w^?00D>dmrnhW@g?`HgzBd;!m0wz$dtE9OUl>je{9wq430pL-w8 zZ{GpGu6sdmb2Rn4VtXrnWL~qMsfi9M4PQTwv}UaI7xb<9F8?J0U=D;tfuhhiGjf(M zBLk6s!e$w>HuaqUGOD-R+{g~ksMD;pW`0)JrRK9Iq}pX-LJ>eFvnq*?4j4N*kJMho zLSiyXN=o+h^}Sr_4C9i(qaE)U(OjdJeOxVeKM{h4>-6NQeReHP3qa9iQC6iteB;s) z!H_B$8<#RrM{u|;WVF`t2@8*8wKeChtjI25W!q{tN3~xKEpzW%!K{??&FfY=irJus zBf*=euJl4Qht=QScXKm$g)4NjIw30T^nM{%-<>Kl!}>(^X=jA z_#yI*H-Er(q1GzP^9O$1iAha~!(0OaW2M6>e^qy#CvXDj`e=Nx7m}*ImLG zK2}_!SIW3^rT9q;!C84YF^Ov+(sm-cj7ou!&}Db9bw8_miq_14yX_-WP`%)}L{Pqi z*l9>vvo{;-FNRwh1vqYhTf$R)>W(5g#U*{+9`+U5I%{54d%HkD-$i_cbeQ#iknOf`bP|^;mi|d42hcnwd`q zg1CJcY3_S!9Eh9k0_gY-TJ`GhY_qw!9i!1sS>O~?{>1f(s&ec?G(@IO?M<_o&UOZK%-XP^xAC*DOlulD%8ryGbKqcDC@p^^>uOvvaIa&1fgRa*v&VBPoNWI?d=s zUS>nt&4++ri0F4LBl#agaS@ada`$&(au?i^LVQ~X?s2w8(@Tv9^cAosi#i$Yr@@Nj z6fne&zVa-Qtkm@Lw0^n%YZtIkZ7G7JhB7~1F!W69?aNC`A1Vk9T(UTm1K(WoU+&JrhD%)8l(NYu|FzakOo<=gbx{0G+jd z?U?t~ow6N2cY!nhx1mFcgWz{e?2%ntrsmcDyev#ik<2p^#d+P4($MYHFG~0@<+VAo zevh}R4-JV!m=Qe27j9X5!e&1yq@^(p@Yrnj+*eJw`14L@GY~FdF`5*m2H!)vNy2CZ z0gXdRCr+_73zWY3RN-#FGHb=M_nY^$1926~nS3OK7k&sWv3I+Vd!?f*lA(U#cS#FC zcfZZqZJ~z&l*4?k>o$l>Df8N+8I7K2e}mi|KMQU@1*sRZNYREn>=Vce|H(7?xY~Mm z`IL=Ag7XJ4&?pCvmTu7=_8x1hL!+T(0~0AbpFu09Un0g@j1C$3dBBDW%~<8vN?#v5 zRBvwi7syTWe&jy`otGX!tVS>p$<{V<<&xRl`GRd5_rrU%Rldxif~|98ZQ@s^uEr9t zckh{cp_WpX=iRtAG&Emw*ScA#z(pf5S0VXmi=Sep$}@HvNVjW5yd-lYU6ZgK{eGl@5quGAKZv(Ix4^x*QKbTMRXYuT>E^!>~PnR}i^`WM=I@#LjK{GXT zMcITopqX~{GN(-!8P8UmiHl>Z&R01mq#3V{JyR{)TB?%yMqnMu6IP$m9^ z6l^qMB9;aPVHQ^H8NB?r%Dtx@LAj@&>NO|Tok_DS1~1PRB?SgG1&eOiB|qBDdi$>e z(2BO+hEvbXkv!AJpwTs4@0Vh+eR{5+%U76W%yhHM_A%0J7mx949OmbkpfJCV$gCPz zl8at$I9fC!b)9nU`81PkcvN^Y_pVscmnRm=S*-BF{N&|RGOOV+NVnKiu9?w0a%d#L z#k~gw4wBwMH!@NjOMmS3h(imu8c1}SE}C)OB4Ij0yB0$bXmURx#fQ?XvrZx-Q5h#! zAQggTE(H0s&*aDd{=s-|Q|xV5n}Gvjdh)DDXK87`0oU>GC$5D`F}oN7d2OOyNWg}xbo+Hj{FzeaXH*|D-`ou-KNle<`B{;Y2JKT=R>FA}Vi`D7gL5pXq$&|tfQov51u6O0~ z`6MxFl7DUkZU?=3+qlQ?j15=&(@15N=t&inh8V^9Yi}SlGoQ_#fu1DRN5qLFYZ#aH zW0^iAQVyJyjR=4YEOeyT>di!vq~g)0D>N!MI52b5WmwK^;?-_{$^EG&WCEKJ3r$($ z*2H;8O;T-tGStE|(9ItFu{CvR5@!(Nf4tSAd2vJk+gr`{OIHCZy+$+$F8+k-kyw-l zsm@4VF$Gr5APQY@Cwmb}9eh6X`aDP*8NIS3WRr0+ z(9G25VlV6~KX)^i-lxUvYW1w`xX)&!V4t}{ok8tLya>(ZKIDCeO zqoUf+@_Tq@7d8)L6|bjp3~Jy#dH#u*Rd8CR}mRq!&kdh2~oJztKI$v2;Xa0V3v8 zyzrVREWlk5qW0auYwWf5vM9bo<`@TwKFcPazguL=J6lg*x}F!J{Y8o#%njO=IN6wv z=R@iA3U9uaEtexbQGEo|bJ{$&(OlOdE54a4>1(I=8#Qakoj*TBXYox79DZJebJfoD z6&o*{px0GCz(MLr;MF?7v;`s;|(QVTvA>JN${ZSw-t4*(NPfpiE5kE7til}&5We8B7 zm-VN6FVk%tZc?COS~GMqrte0ZG>4@ySgj6?W^ju6vC^nB1C%HFJKq7Sc0NA>IYU=g zfH(vYwthyK2ik_9R9S9TwCF#9F0w+9kT-kxQ#d#Y+Og_p^v^E$9t5MRs9kI`P-sUKg|F)%UyEVJ(Q z@z9n~8Q{Cm*N;Y|ug>jv_m&58kvC^&D+sph1G)LhCX^2|#3+8Z9e2T!NTs(4o&D&C)lCMuE~EB;>UI=f`J=&Nu+dt$e#R)0(ad&n(k%qAt8R z{g?0%%zZ;_WcvULpPNF%W*M~YX7zLDyAl^VsS?&)nF5VSLqH1evD^ipAWm3rJw$jz+4s8r6!)S2@ zI>F#D-mR7k-$|ijk9_@x-AcM)-z`J@lb}hT>Xr4p`A31GMKjtE>?F@E{MKRW=O0OV zj8s=7M<4KZ683-1HiR2x{4P3aOTxq(`hC}()6SiGmgad;^6|zBThwE_0Lv@rCYPw3&I${zlv#3iV}tLXF<$B8 z><`?H#m*IRKbE}R57`_}o_66Or^{??`EJG>*7M7smY0^L(GDkUHV3qGlk0h1v$OT_ z$Jnw)x|ji0Y9zjbV^=fB$DdLY|H$}>ct~u*kQf0@&FHwS^+>H3b4i{Z8$W#~7K2I( z0w^I3?m?N|UV94*Zm&zrFuQnPM98Zg4bictZ^$C3K?+>Ty(1g;VnPX>Mmxmy5gj*p zb3wO-kMts@nDm!9C4t`=j-dZ$b11g9wF!CrmJa_Ko zJ1y>m`oeFpWY_LhzmX^o2}9^kd-m1tBsN- zKMpiD^O(JKPcd)K%WeqIYyZrLCBw-VmRsh4McwwKkXMU79BLC{xcb98fPQ_YW3_{3 z`Y|ON<6KOR-Btamdmj6fR*6%mDkUd?P*~=9@V(6b1bB|E=Vx-xVQG;D+jfi~8(lH< z@)3WX!5w5<#HwD@<-Q91+4+tkw=SXfHdn?eOX2?31olittBGQZ13pf;p%3p1LZM>@ zt+;Hr)naCF(%sXy=F^i!iJD!aywe$pQCB^`jsAN^DPx=^;;KAy*i;+Ofub>)Dbv9u z%z+d+cLOC5^WrqlEl-mZ)q+<^V2dtb#oEIB{cv7;5fDg%L>&Q3*+~Df6gp*|}*9ucsG)#obnOgq>Rwi%h>4n{9elBfP2zn`4bKNA} zt%7Emsw0h#YPlywkwP-?js0;{>$#G>aG9?r9WB2%U&ch&3D)kf4x;O<5sJK2x@A&` z5odeMJ^HH>512${+ObciHkvwt{!IO{!w*Vd=H&zly5~*5#A!&pn!ihrpBXMJw>oKP znS=P)b5w}Bi}WTB$d|pw?LQdx%5oq;wmOOT#W>ZDjEG1~oUj>hgQPZY)UlNeO&%Eu z(NW!G?#Yb<-csl;9>PCAh!8D>33L@t!_HL z8PCr1E9jB(^}Ts7bhgtxJBp<*M?SzCkqc1rB=wmtVL zM2yiJzQ--@@G_SUrX3y*4lqF9iEP7Vq7n0uJEDc`K+1fbZg4OR_k)_xK^C3H$ZrX{ zscp&(&v#Cx*x?!F%0IcG7$OQ2IvMnGi+(Vc-`<3GdC;Q%^wpzTeABsGj!qP_pmnFG zH@rdEFry(!>sR>c(REq!&TnOIQ$g=?^{#O0ztqouD_G|5gLSMDs}*IiIpxi0W}%FB z)WX4aw7_Xz)iMOVvUs(;2HM7_edUSv(4PO3+{srU#86!zx9WBME&igHB5gHkiI?lR z*~@7=ht4q`7KY$SBmZze*X0^pSXlT_`Dt;ab@2YqI&SnQ45Xvm;08+J$FD+--Y?F( z;r>5nS+gD8NuZX$qPd}Yp(|FlvycdT?B=KfY2@LIYxlAYZrpvRvrP87i6E^PvsZR( zK?NNHB#Szl*QG^Q!~8VajWswcUmogk+A%#dB_M^5qNnT6-su6&zEmoUYnJ(9N5fQ)Xo;3 zTb|iLZs4!j1W`U)rsE8h9v-CqnS9ClHcC}R%*O8}#4zT67K-*gFCA>A&W@-YT+iC= z+mDqC?xb1tCQ)6w4PFv*1?Xl{Tf5=FbX8-Ef2np9*M&uWSSP?}h3Y9oF8YBD&)wx_ zH=iuPW}lJo{-MAG%~O21|Ha}6$NXn_^+f;oq;AQ>3me(zZcKRNLcj9Sldy^m><8ON z`*{28YF3{#`L}z@|ESy4XU)XhIEY`pEIyENPsS4dwkk7U_S*XA$Q;*W%uy_(w>Tp) zybBazvl83d+CVAv90s|4sQ#sDDEFf^?##>k!Q=osVwoe_&HnNB0o6olnnUUS@|BWYxUlV@H)_zWw%d zvP&SGwrL=AdNI*=LJXq8KJQ+u^z;!5y^y{u|2KN`#hiuHmfb1%f^2|1AwGW1k>34^ z*3(lx;rT9UO3hyoGgFGigvp2+Db+X|#fyry2KK$#wlIg~1oFtt-mpdba12-W%TP`M zmd@x%{}PQ-u6Um*B(N-JO`2U!+KMn+9}chOK&&_$?8#9r zX>TrhMABbltm)c#X5zqWAb>Fk%)H>*-(gj48l&e^$M1_~x`gvU= zXLVn)CM^+9P{?t4W`N;nIO@cRn>zA0RBoIOK}|^=ypkar++faA^LHf@gW+#~%$?_K ztJsVD$yHsE2U8EE%ns~S6t2~MFvHWF`Oq-VKBE{HA{ua>!iTUitMC?&WxcrhNBsPJ zHqGRi%16q?9w54Rz$f!^5WfE8b?uyq?(tAsm5Z93+BpRE^kFoSn9KcoiIu=ONeP16zKXT*1hrhpyy}EP^gnHa`#tk8*6vAh-@6unvbn|=oy^460zkqBH0L|El3%PL#QPXy^*Ntmt zi~9*15wur=sEM?DC}QdTN?W?mSKjezyDqV~@`H`K^zX_?^Ub3_K+D=jqjX7ACTS;O~hk*D5aN8ip83des!8 zC%l@J{GImgLG8k9Q11px7EhZN!K7~jEhlSwQa5K>t%nw~cqXoR>vzT7ei?ao=fh^F zP9yb6#MprP>bTo~t!@X$%jp_iH3z%xU&2u&8>|eKS0&YLbpOHd``ur@5xXrI5Y?<@ zM7KoKS)v$5_i)qIb{MM)nOjz`&}WWGy)akA*XR@xu#5UWVn-BK^FxoXHjB$w0>|Vm zGtgYiY>KDCioGSb1if|->;A)|AuBRlS5PTL_f=__#W0OLi+VztGH(8(#xI0eV@lqL zG6yKyIUm|4aS@i{VAqX{OOtut7vV>O0%~r*x;OHTeQOXBofq|)uD!oK>#}nRXS7?ow#`*Oj5N`4dh5WHZxEPTM(;q5qS74_0OW#dVtYUbZUYn1n%AN*~B9~Rs2yD&aVuLaRR!?`gNTwRkWiky#shar| zIi*oP_4sa}AqC@>PKONUSqkviynUTS`$GzA(eW?(%}Mx4mI6i7j}3UX0QecFy}Z-dpltvE^Z3 z4N4JaQWO}#Vf-E@XBQPYxH>fgCHV`shHqeCYMu3T{1+KjuRvwG{1*XVec}dENivRMlo01+kNrM|!O$tUM^fhDAh08z%+>5#%2+%uyf>KsOMCUb&|CTxq_0?KR2X(Yi7vz%)IDREqXpe6x zQZ2!=M)WCbP$E$Ga-~3uRM=Mla9NO$B0(-pY(`TjG-<}yCDcu2GU;J2aRL(E zoUL&^nSO?|cq@`&MsbglTvP(+;Fh2Z@E~(_>nEB?_ZfNV!;El4n)cBb%PC2Lju&w{ zNsgNR@$k^?{NKR%59vYrH9)fh_f&XZEs%6W;w;h#4(Ez1(4C(o*5@HBKilj|V#2$b z*;t~7pasbz)MfxKRk?LoPv0acC`hG38 zcsSv_RI=a^B^hJhsofJnj6- z(sG~}Gpi3_D2gY682|CemXQe>k@ zrP{0J(5YBiIX){usq0hANw&u)?!+FPhdUvRI=ZnMT6g| zoEzbRii-N31v;az`2RI8VgD`Xi(75+6faQw)F-x2M!#6p6psA^nRm2cl~zR`n>@b0 zX1HP;=3+?$wwLis7|>%8PqzELd~8glP-IA~cXx4tsVQ<|V3I;ngDEp?C!I1TjDj01 z{P6?&N{OOD*aOw&WJM5wjoH?jq#AdBkQ23=N&~HU-tLr>`douUcCZsrAUEolJB7;^ zJDLd5Df}p~w`0ach!BDmrr_)Bqck&drU=z`vF;HM_>Z^j_{W8EJhWR`qdEI+Qs5~t zpA3)&@w9^sL6Hkf6je0Wc)TY>htBI#T7$kjQGm2duU$^xs5bIi?q^9L<2fj;6xH8< z9hg*8!PpK;Q;xlS21EU1r47T_o!371msb71bGmf^5&~@sc;E^o z(&Q*!gV@O6{SDu39HLE68fdB)={LrOMLb0}6JC<6Skx&DSUAea z>klyjPG+z-jJQe{zcxq+CWlxRxa=s-3iCx;)O3MsQet?vR2xlcCrJ!FyoeVHT{nM6 zAPVm;-1Vg4Bw|SbDZGp+QUWU4x37n~q!g&bQXqCy*~nOk%eILNj#duR6Tb?gN?~1oIvJpF2ncCEmrvV6sjA z%M5t9^4xALu8p{cYn}PakNW`N0k4sa{YI949I(O{kwAU@`W4ug;^N`}=9ZPuIaZeR zocmR5ptSSqcC|!@a(Va;*UEKHCH!7l3GZyHNSQ+Bt_PV^6hZQ95SoetGpCHHDGmlb z#Or?V{oj61&IE-nfcjmvpPp`bfbyVU_@MyceC(AFpacDIe)#|3AcTB!!*WeSGZhp_ z!T3ipWZF-O^s=*a+4{vp63G2aIO zJHiXe1*UC(JDlfVD!F2>U@t{Ue+7J$vsoL?VAt8~bzzNIe1l5zj2QO`_u5TAKWh$; zAm0auG)B(zD1?9i0fksW&p=?rLPOHg6~5wLja7?;srxGR4RWP0Ji_X2X6=EB|J5n4 z>kq;6jSvOt-R|BnN^3@aE6u?fMjUwz%qKA^!iyz=uZG&6%)gHJ8$F@2BVfuRbxUKQ zL%wo=Hq3!X{J$Xu(yIEUTFgL>F0)U)DiatM6GO&rPy9RMc&hx-wwGH*Se6Nd}qKOU;NhqKAm$^u;S1kiH&`6H%B{}QZi>WwTMMBi9qe~aE}k_AbDl6pSE-$NMUxbG zUa7i)x2A#)8KxJAv3v?ARHg}7kI97<**Vf$vCYOzlm3Z!R>EsZ^mKNI{!`k z=j6y(Nj>gQnsEGLjb<)$c9t|H@A8vF*@P_4ct6Y`6OvPlT zv3WsMb)G_4hr{dHe)+%4j(;G6zs}U)Ah@7317Hvu0z$ts86fMDT9yJd)gf5G@-a9$ zIW<2E5o-~mqkpD2Y5mJ0E`i!UQjl5W9Qb1g*R(=iKq2~#TCKYS~Z3FYGzWPsCf?7SAivH{5fCv4uB?`Q| z|3fSR{o{{7|4La)3Z+p4ybwG{8D#3r*kD+Q7np3Emou5Mt?oa8F|b3op$(+ej{iP1 z{#K^A5q=_{pnAN9lVp=*i~i>8=6Zan(>&T57`9v5tgQ$;JIk>-jF z+n*0+D>OSt!P%UAN<@snsbUHEQE_S##r|J)40c2XWgO5;L3S?KauNMae${=b!4_Lm z_VkP4x)<3p5FpKS009&YYv!ea-8}0t(RG&fm`zQKiTX+=VdLp~{n|8Z5UPZ^6KR(4 z-*@))zM~6Yd%rQ)(4Dkj6da*vn@u(yW=`1bRVf>6+hxuEy&Z0jnJsUxb+i32Q!=Aa zvBD>DK10*Ybdxn#8gN`ZjFf!bzEX5D^@hcK6RxQ*_Ml>QI};;&%x1}Qvq*2U@U2It zv4GbzVug^RSjBNWcCUh;=bl{f_#c!5_}Zek>yPm#my;PY&+_+@D`$qj+O>abO2c%2 z1{T;0IlVy}C2^e2SzU*H3d_EMSLXZuZ|<3UurFi|d6liau0_RC@deCR!!{pK2p38l z7=N|$^wB?Z0fYznE4LL?ZAjwrBhE6HwY(EK$AWx|- z@%Ae@?>BTr1h!AxSW;C*EUY|fi)0fv9F}7cw+)q*{vV{?UZ;CAjMJ7h5)tvT9wEq( zQFXEbp1$WH9A>ywZm^(W2F!oo{+J5s;uS?Z7{kA?5L@e)j0zg(Z=C0tQ{t~*(O=OMKvAdKh2-Sp{c!Bv-! z_d~V)iq|#g<@moh@{)DU$a|X}9?vc>@Z)8_Z+wZ+;;ebxe`VEKZf}eZ5w~@C44Xy9 zZ20*+-##w{_%f$++blTk#f9G48f*Cow5pQ8pB2trZ=ujTtbVaL3xT;eN{nX}yj_k( zz+ew}xng)ZVE6s!%nTqsVz67H#nc_vVtwAfJ`vuwq?8q-uM4j1K7RV^6 zA8I^rKPoMgz{YiaA`F5UZiCO2$8|)&*!_|7s}t$^2X2c9x=O`eaIE532^wv>#zYE@ zsFdb6h^&zp$alaDZ`odOVm0dPq}QMc|0UUzF)gn)jwT^2C0mwr5e@jV`CJwXplveK zXR(T+Do~;nY|#XS&CbjRnl3?!waeoIqp5^av#jjiyW5e?zE8Q-MNTe%#}lNqYDp>RhOFCXRD+?Y^PL z=8OF~+|0j>m#xpHjZAURUUNmRPg)OGrJo?OKYkQ^vh?Z_F>G*HUmaY~#xSI0+le9d zVxZ2HP#oT3-mg5n?L%d$-V^21J zyB_Ut2V%GsLJdL}sR=UtaYF6`nSt6$7IFRB`igp6C~dSwT~?Kk=@Xf9AZ?l7La_mj z+#T(c?yQH~=as+OGtGtEX&cUtCm|$f(zv$Ix(7q|-$SqshIVq^a(E z-*zvF+fV8qzoVeGJD>CRX$fCWgho6yM7<+SJLzp^3Q5vlAHw3QIC#KGKd>nrplgf{XG&Z*J!VM0~4phT`Sr{rV z`bx4nS7@OYCSUKEdK>@YR zuJx=SJS8Oi5@EEC;OiL(k*qnI;OjL+l6iw2>o^!h~z`Bnd)tc zr%H6Q^3K#Lw_^zE9(sx5HS6wdpjzSi?6ZXgT4p?^Bvi4IZkqj{K)tUi2_#)u1h26~ zIGhXWc#*@F|9xQ(gsr+@OoJVugpv~_X9+1NWxDQ}8Xs@Hxr|b?WQ^-)c@dv*P=65> zPmQFLE1A);>w9d9v)J>tm*nn5BIKnLS;i= ztfp9Pp!!>Jff+&Op-Ubvm*!#Vyo|5HmEzwIsb-TD2OqxIO%!fX6Bi_;1Q4~-I<$~K zhgqu(ue4=YeS-9vUoJ`Hq(SgKEm6IwCo@xb(P%W5Ap$`|^Z?A)vpFUNNudPzVdAUE zk$u+}JViSl=_-#;YSUhJt;uDCD^1o`T_{_U;2QQz8k~K985(1wgTIy|1+har^SdF} zBMoH=EqcY~=Fzj%b&X}Q+;tv=*Z&^!>ebnLWkB=~86%bi(qQ?qmud_XYwJRy-X zBv7X;3E6>xnr>Orv^xGozwySJ0R~qR0AO(;hc+(ZpYiz(s~0gWl92*_|Ky@GvkUy>0{8fb#;*{1952+*E2a#xOa-__pUjKp^NtUoN+` zyXyhC{*Z}g+EN4xBqSuaTZT<83@TcnPMB^>bb$`Xw@g-}D^)B>U@Lv$v8+D?#l?Xv zb?eN794;j?=S_&ycCa}8Dp zgl{1O02afr-Pd5R@MQr4<*y0{xamkLYRr%XrxlgSd=lmqfp{AYQtjZb_3z@&h(--M z+P4M*PM06 z*!JbY8kepA*Z*Az0ghf0@u3(63Y6+0MwMRXP(9t}2UT=7dLn6fT=%D}K*R}C8VUrF zpb-48d7b|C1A{~798d^?3nn6|ZDQ#+T^*g&loa|7B2-Zr#MeFt>%=lOFNq3P5)Q** zC<69Zh^b#^r>C)(gg}ybw%Lb76p&2?1xqnjs$GGE*IfV!XgxG<3;>AP5)oYbaVyp2 zMnZBKyrJ&Ez=YJKid}?aL@`ji%9k;W#E3nnbh#MY(3BdNqX57B{g0${+<(`@{~`t8 zVvPjeoo1w&2~MsWcdw*3Gf@!%&j5Lp3t_ z7zawFe(H+8qx5e^;D)EnNkTA+DiHq8>3-+~0{5Dnj^l8@={#6&SWenDNe*Vs&M#Xwps630P zn1oDL*_T!LL<9_aQkd%MYJB9crYr^q2I}gQ8>x5}<61Ck>gp7(EY^7oO>GOzc-DDE z;eVUD066hYFVXLyzkCo6pdiibof4ZBN->SY6X-V2M#5_-pd`h>?1ows7akYx*c;0Y zs;O~~t0zMJKkZkcfr5jBE1{05eZ9$kzyMXI+SjAMeOszBk*;EaQUZNJ1!V;N+x6hP z1e>?FH+}4nD78EV8h?nX;}X>$Qg0N#sZ&BlQ2KL?L&b_KYK+^3Y=Re?BLV{j4K4i* zpJI>AWp^wmha3j^zqMxKHE;%7#-BBkJi-bq7X_HxkhBR5nc|q1fv;%v|2hSD#y}WM z{=YETe_@B$b|oUeb~ExZ57U1)@c-KS`Wt{~4gY_;65@4Df&+gp59gkNgE8g>b9s50 z&o*Jn@hce*_H`V+23;T^qoyRBXKS=X%!yx_iX~Fy@86#RZsLRvurc7_;1ul3#tHrR zJs{FY+sSNXz`+)o@axyBPegKFQSgS?DFh+W944oJ2Lu&>*e``B7}P5U`GJVhoz2;L zjuVtb5)3ffM8JSQJq5Mf0uKL&LR_lF&`n_&M{}PcRSU8r8-M>#$NksboLq#I^z_%5 zHC1A{v56XxuKp@3<8axbSp5H544w-D%0umCmTN43((_aRjKu{INO5F(&6)90L7d5| zaB_pSkUQa&+RDO$4jT~&qcdkwJ%RWEa+*SD37|tj+5?yfXe5BwvBsniwMYmpt>}-bBl&-CCoK{}Ah^qc z>h_y;IRfK`{so6B4rGAoYhb1k;nbtW-{1e+H{^G5O^uB}9>kC=r1ts@uR$Crm}lsA XzQ+9o#Uxh<@K07!NupfLDDZy)EDZ@u literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/pic1.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/pic1.png" new file mode 100644 index 0000000000000000000000000000000000000000..d553e97542e1a83ee00b0b4406a2c78ccfee7bb1 GIT binary patch literal 91711 zcma%iRa9I}*JdM)OXKbyTml4l2oNN=d*c=$xH|;5#w`I7+}+(FxVt+98fWs&{O|kC z#av9CbuOy*-c`@8+V#|`bm}|A)L1-Bs;*Lj9F6%s;;G*U zllxUlM<;o5Ij*0-OAtudIyZ5U2?{u#Z`Z&ZI(hJaUGRQc@PE;YXx?Q`n?EVJ-R~5- zj!2?-e0g&8G3w3ktlaPy+}N8eeY<{~I~Vj5l{S?3QSLOVdw3$JuT1mz+pP2sxvi`; zdYN=IeRIhd?Ywt+5cIV47xAZ{tsLAqp?Yhc^6(R#onF}pZ`l?l-^-PJxZ!&<)9!S9 zeR@nIAg*;q;gjbSVfy~`wCqnK*V&oWdHba6v5}1+nx6rSw`egXL zWD+v;t4(WYD}-qM(r3ec5sh<@fwyC@$?VbaWyOXya74Aq%^a>PysHF9_VOt{)Del#j zTQ9W^dzqV^ug^NKPmW>2L{BE3xgyMC)=`-q|JvRf?`r1*0 zkt6Y%Y~|=?of--CkjBg`1Lm z4xK)Tpa~4Ln4OlPFdHMP9FC!1$Y}Gw9*JTuZyWhlTHY~`L2|R?nP%X=;u|NdEOZ3G zDbD%&NMtFpPapU!wqD=R`*5*o& zX=CBF+NRB`{%(OT$mz2_wlxD9LqT>C_H?7S)ZZFl*PnP zg2nNEaQVe$@3nFM?A?pM?b`O+#)tvi+FMLYt9Hv4GuzsQ<4`|MTlQvSJ`EXrAk=J}k0Tx**xH*0$i$4JiPT9b;vNxE}N_4VjUxYmg` zcZ~;mDj+S#GcFawSn$q!VofQ-9uHhUPiEv)(=pk+uC_874?)juywUdY|NNoU%|S&u zJ%+hfd-XbNAR^~=UN3oQasYQC$JeNyt|)#<_s5U49|&!Fn3iF$`yEOq4c9t(&`3;P z&CzooU(ExD$&fMgo@4R_7R%1|`s@Yofu7`xAy(}+yisD-R0gf{FBgW`(I!*p%7V^b zKOXA1Fr7^eY!&Hi#`tjBIP+GN9rgD=zWlURI>+Ak0bB1xdftk7o>$E?Lb=!R_154l zo6lcjM|gt53*X0|8h#@c_3|>&;lrd8j6kj8he+<>Kc}rbAKzf_llvIA?JcJ?dtlNp z(O`B9_o%s45`J3zklTI8J4(X6pE{^J=G@yzjrx4o|JZ-IEx(X~T4-Zp{|q1HDruI( zumk<^9f}+|7coXO6CHEpAY8T}p3>vRGKL@L(feM@mwD&l`rCUul??Cq=z&MRsI{X3 zhDG2QVl9h1t#>%ViX`mOwrkmZbJ3ByimEF+r>~&nrW>`d)FPGc{=9DCh?uN|!|Kn9dY%AGL)mw?ZvDx&WyQ?&IG!fyfix%%9QdQgaCD4zC+-djt}l zoW0lxoUUaw`9Kv2NlugXwnQEZ9^t8jz+RnaQUL$zcK4X22q!d&YoCq->#hRnk3&Qc z_rdtDl=W*c`c?EYxPJp+uULGjGYJmFAy46iKwEtxt1JE5rY3eAyhY*qymK3UIvUJ+ z>osBXFYz?{nb}QyNS1xBf38BN0bCzCe10=)*jdA0De7&b!;f>SnG?v1pYknG_<9w_8=mBq2`aqsc}MQwhx>8^B@Zv@EpXe`l@m}AV!jVaZhnS2qz379vxB- zhmFvRQ0*n#)`KP?sj`^9!VD5_ebfR{>SPe8R;M>dz8Fu0q)KJ=es?M=!(?#?>(3$m z?Fr)rfDdTHY`n;O?ihKBeX4Q_Yr8;3*6X6UL)f3icnwAF3Zgywi4Kh*9vXG)LwG5; z%nU9$Vgt5)!1|Djm}#fX=t3k!A5ysBEv%2+mM&!#V!)7UBEQJ;{Nx!J=skgIP7B&{ zJ}FPRKebTUf<8Opc;xdp88a)Qm4w43f55nZo}6At5-kVcwaORw3g9xf%WWf7qbs2$&CP4*<<`o*{rIpM z(szM*$aasnYyM(L6c|{8-!~6&2`wU=bb6fUVL`j`B-(vJDEV|!+Wnhw4Xy8WKKJtl zP0kKj%HkVAulZ8Yp-&eoHJa+5K7I~95NcymSofRjp;bvw>{m#%92ts-{==P6p4n30 zBwp^ISSMd^sTB-92M|P>C@I%ZPrdqmw7??+)nyEi_p*Y56xPPqJszyF-_+9-br}bH zutll2ykx@y$AKZ!gJ?m-V8n+O9{E%}J<&WFq?1$Yi}>tv;Q~h)LNVm9p|B2|gkftg zTct6pB6vk7>I>%Fq9OK2m)7fzj7Ox?|D&Ok=;-Sgj@Bi=c(^IJ>7HtJDg&=vS}ov zQp5z(Rp&2(YTT(Gr>I!go%l38D}p{~6g>1Ni+$7H)(z0^`U8j+C$z9ZYA4eo-=ysR z)+H$f@y}r{hZQqfbIHuLSCH~vy4 zf@~}eN|wdjMT;Ej=75hvJUW;2;d9PNWNQ{g=4XcI;4lDBPBo2s+_y?!FmvnF zAB7!7sK6Zv$AqCscpBS;!QORyU_|a#2m<^RWhd;9Jd7R+3F`At?7|QoQK?1zjZdIE zRBXL5I0NB}g&z$S6_2mIBG|D{M+$*f4;3rTPER0)^%V~UizbuNAfQ%{j`iJdQ{+ry zV%?WmRkk^7lg9Sd-OeloBir%QItHC|h`G>rtw*HaJ#UL9edd}Lv^Wb2jmlf?P3cXH z$Bx@J*p*~IJ^mscp_FJ2LN`c^i-#q{y%TQ&bQ)sn+V#(lju!?5Jk9e8f?<6qsJ}Fe zQ484|=?LxqVcN>=%dUcurP>9{^YKYCITt&YT?ngqu6|WMG7KOaRPe&k@}fd|=zjt! zaj+$m-&O4{uuCv1<^laDt@=AbW_CtT=idIzWRcF5}~gGL3t{HZ!B z2*+^cF_DpK^D!<9>QPG~y;n21gx(~4+peit(BI8=eOv|nkZd|B9$tEwGHfKED(YfT zFA!Tl5#S0%f&bf?n8imSsf>dN6cah9e z^K_K35#URoOFv0Q6Z?R7_dy}aBf;hTXMs)aefIdSCXJ1l*PMR4G`kem@b3emJN*v^ z*y7|KYqo{=@h60Gqb>$c9_NOW@_lrS;Rq{Z=#o_+s%5kU`#m+~!_5ARxRkv}1n%}j3Oyxj{@Y=XT;Gpaq1Ov4*K}Qfwt+h-F`{E3 zl@&shU^MD;z*0&n8-`oZinTb0*)EdGDsnbfl$fFvzIn*a4@)c;3dOj%EP}6Hx@bXq z5ca%JVsV9dXm`%_mz`1Pu#J(0@o|XnT2+>aQeLnjdAvgJuF!g(3c5#W)~ME;z$=y)B%ox@4PNYJOLX=~gA^L`wrUnmRH`{XvCmYc1dm||^n8$WZ}lvG{D zP`e8Q))%~bT3tqAu-w4#`zG$cbnf3z#zmvgp=g;LCX{FGvD41hp=FX*FdV__b^&c+(b4a%Ovlw@+g_E;M&aR#(!;K zAm5Dr)Ex}|IH#2==oA|~0{g4Vj&W(TP<-;xLzGRj&cU>Y*S~EL^J|YMs6y^QN1#_$ z7CacbCj=OS_rm(a!F@@7=VmnZ`c+g;HjTg!F&B|NbznfSa5#hURx7s7+5f0;D+Mr= zp}poO!rf^ILFFt`x(7UZR_6X_^kJ5rnjZbZ(-Ww@@(sfTD~G=fNF@niMe{da;#=U< zD6x0TVc*vK;b0z?nIVo-%Zlvg_RqdWAkD)kk{n5c?8MnFa}P?@lK-4tHL# z0~p}$Ew>wV#p?%G8Dnnn1n?86@pU0&i5GRs>hc9%%D7m&d`p7#WdXWX0(Ov`it4Rh@=?mmOLOOU1@W3y?C2 z%M2%=Ca(86HKn9ws_NKMKf^Uv2POWtNo>F~eakvL0yVdT3gmP;^705lUto$QwHSAF6$OLw=eWKGZ*}fpLi~p8jRB!g%5>z8Tln^J@|`BVUFU@dtlRMBJX>c_ z!6>0ek2B;qZ5V)c-&L4|BaZ%9mHIlSK>OAX^}Z_#xyhG+@HcAvC6M_aD~CB7ZcTp9 zA`}yuCS$QO?SlctgPzpL70Q`XIr?~efWa>>HwpIAI0lO>95&pB<_SQf9p*Fe?Mc%) z53~cvE`IJSZA8dk?Nm7Ooje_jDiiA%K0fdG0YuatBY=QjgdAm%z#f0`!Q_AiKW@Tj z;^1lFL1ONe9Nh@$OXVU)xwHOrn~_7(oa=Io7Ge!O|3t$zPf)nluGoT;bOMvjj?1nV zY`OPG2f-ooTVPYk>V|JbShTcHX-D`bxQ~t=koobwvgtJek4I$-(f4KuAXWFBkKfRQ zWf|?J_>+SDq77^p{^s7A3hy>4XEYJ=I@=g(k6Ib14NO85e6)V2+TMyP^AVymM!c-f=Ns11dnH>exOaNfeVAq0+Up>J~Ryuc%anE;G1#0F`<$j4%{k0 zi}S_siFm;aXm`-0@g5Z>rCR(nqEjNK|_)YTd`zqm3h!2QreET|5FyA`z8vDs4CNY%myl~GrINoDdCQM#y zF)A7(>ITBWZ`|4a*%Q_mBo(X2Oc^kY1VRX|j($N8;!+ecF@d9f02PXFCT`TX`P7fu8Pfb z;|JouV(i~VcU9J4a>~2fh59%cKr7WHNGYGOrX;>RXjX3rFGlqW zEbx+{_hA#ko$~S|Ie+t5rA$4_$7aJh>77MX1q8AccHLLG`eidy^c7dJ<$Ti^Z>Zja zg3z876Zju?tBE~AJG3cShy?Td#b=4c!c-sQ z0ZYF~W=K&lq?M<)SQZ@2oPpYll(~i^Unfv5-|=p*w+S*AJdsAwKZl&!hy}E5ohi1y zVv9#qLK+a&he-@+HUaTb=n-v4P^SoX8#dddf?(WZ>5uj}iDNi z4Awvn{urY^`1j~vr8p?{Gh@6%dcM`moPW+iWz6Dw3`2SOViYeShW$O$iURiJX!O2J zR3h$&F&|jAF07cZ?oR~H{-yDfW21j%f$Kf1ItnUS%&4jdHz>E~*-;29stc|w$O0#% zr4W9`j`QVYlunLD!KFU6h3=Py2W!Jv4@@nu3fAaN=^4Oq?8k3NVYfj_@~Bb`3+-{sKD=Nci5aM7KU!DbutKm?n@y5x9H zMiqW%N-q!$d6!vtH;e8n9A?8V)lt9;Wqm%|bX&Fo6T$Rc54$oK#%~Lxem6XFtK zWX3aJYOM!Bi@eLddFqkMVV>;-W)Ozz0sMO;`1QmJv_Swt18n2%65*DS1H0Z>7|g+ZMu zc6im-^J7_Q21vLzb%N|^-B-Uh|4WtZy)eEp2*OAaB>M%@#cd8dBbCTt2lebAL=BlY zSa4_R_uKs9!^x&~e@IAkIMeK5Be|pSG{6Q6^uaJ_O4f##yj2w;8RWVE38Z zCBfB-Vd}1RVbaLGWY7CU#vbut&pfCkR)nn99WJdDugrk5L4Bo@zIi+b0j2cg(dDpG zwyrWlP?rO<8HU9`KBmI>FvN?tDms0Dk9au%$9pqk5`Ae!xlZzlp1vR{-zFHUFo-fR zOWlhzswpDh`Bri{n<<6GZru`?zB1s8~#ebX{VEkV$4# zouRI0veItqsts`^RlSeZ5qTGi;BjEzUcELIsWw{*--G;vY(ADs9CGhcKUmh?C$VL# znASJ@Wi+j}^~q3XY<2-%D;jHCZnmz%PJ{9nU$x8SWpjW|G&_U8VMpvRD+g2k3#cLN zHRStF4L-bW-`IXld5LU@^y4qQ#?V9CUt#VCaGJ;>ku?NpOqpLwkNFDdx4m&26`Gg= zws2sacBz4u$c~)HiK94|foy%V-pWH!5R8ki3WacyMLNfKr(%nVq2vK!RYCU>#el&s z@^ep^nflU4N21yfAH`5b&X3n@kO1A(m*WSNSBo23QPo(V-oQesck3=x8<&jp$m9L9 z84XX%?wbtWnPdT%cq^@x(^C^r<{ZrkAEX^%V!7Y8!pUQ4kzC(?Y{iPnADJEy8BGiP z{*WXCVS7xj7#TRxFA8o~a zeMuC(^@d>Y=O|c7{9K&#NnnJ}$tY%es;nTFqIWy0ruwR?iR7*WzG~-YY^q^lUe7`3 zs<<(R+JY4GqKnPGN9>v8i#99bh6=qR!t@rZ8=o4UBk5=l4=-?eG1uPIa(UO{N9hEL zhfAR8By#>9&G}uD=99LOM}qZ7CyZF-#g{LHftP)uW$^7b=<{S4DEeC!cjG4OA%Vj@ zcm!r`;Tk*%Xi&0!EF)CE0Lsn!gZj)lBo?gJ?w-u@wxbi>>YKR%>9#rdfPDa|*BTr1 zIee#M@mAdhpZ9`4q~nz$XS2N%#5+4!ir=f2}iR5qtkmN<6zIXlbR?Iw@ou zBMfoHm4kJxx381l<%J!J*Tiz6aDfU zf-e2X1xOx|YiQn-YB~f3MiBc3BY(+(W<@x{DlbmQ!~lzZ9AC6Lp~KU={tVe%#vfyG zTwdff*iC-GV+1=8r>u1zb?jG-f;fAai+~L@GS{p2&vQyFhffav+D#T7{ibIgHOOcY z#pk=O9ebKi*4wbEDu}!ORn>VP%ZUynGmBeI@q0JFxNeG&iY;%>Vse5<$d)I2w>_-Ug*Og!g%WYm+xsbR+uI*oReV#l8 zcoEB#wl!#|5)G|dODI?1=#e#A^tepaFvU8D`5slQX}Szv;I__lT3ou)pXd@2m8x|BaXo#Gtn_OR$%?y=g$|k;QP!F|G(GFJNzTf8B zG<^>vQ;)22`OcmOgnf2v3QYTn?;>ub(1uo$d@>|flj;+^igWa|v&Zq>Jd$_%Sgj2I$Cj{3Qb8Zp&k$VFtXr4oE+w-wt5gqfy$n`t{bk`925$v8+ zlJ{F{4(+H$wL!RAER?I|O{ORKJ3WE?MKe?dux#?R*Jk;KlDoIoE?GluV5pV7X`{jOANuVSW zbK9-$c*6xeou91vd+k^}25+RmuFlnyNB6gPE3ZVUU+3;$@w6!m%vO!UOf66NLH_uM zFI^Sf$G~u&v9|l_j|zPCPA%$e*Q4uRNwz#t?wBZB` zucdYYGnPQ*Q-BMunrP;rc;xXRF_@3^r%g+RwOnLB;$oXPIoc?um*@pzEWrrTBw`36 zx%QHi5m~);GB`inFJv~YPY9m#Ij2W+-oVUHwF8l>y_Did$Dx| z+8t;gOM0`})w36E>1hsHxzjc}2(o%yw;N-?9bf74?R5tpZ_*4Hwl!x6)N1+%M^eP? zR&726K@I4Ah)` zgh~K&VA!3tW=R{2Ms}euvy22%Pk?Q4CesOh20L;;8+(Ylvk7K;`~xfn328`#PfSa9 zflmDbe$Z_Im38{J=R1Wn#*~)C?5TLeo3oM=&rWn&v9-y|MPJ;Yr^o>+LsDpVJAA9o zDaEuP`DF%3@VZINbsNFqk;a?%sP_f4FSc14M_dg0VlQnL#N{fP5OBYonpG}|_e7dM z$nEdK*BFYRG&?9B3>h8yw{2I(q!hD zk^jKiXP*BI8kl*W$Nzd}P@P@JC@$mfK5Ve!qwb8ZS$y~?h6wVEc1KT3RA z)!jK8oa(u)I22{U$3*=cFv#Ifjo|KE&c$?ms>M3*8jxc{LHe5>fm?AH%w7ssysI?( z))&=%P00w&GHyI=(qYxhUvI=-}!YWkAh{ zc7kEEX_~uP{sqZ_tTuX7z!Uv0!r-eH*gr9@e}bpEdaNSJj5vBp%%%s3?3r8cYi+v? zYZinJ+VhAjpBA%ku)kGMHuinLhLFAB2jgx4nO!i?-+-yDrP_kT#(cTWImHjqG-Fuk z(TvAA$Njumz-iJ})Ro|Fq?Z=*k?bR_68L3mf+9XkSOr!JZG4~3d_@^hALm&2edM=N z^~OH1K&rREUy#;Op}RpgU#fAoD~dqbegM^jByA;M@k8yM3R2I~OShl1H`S=8dSB8q40nP!DHiMcXY2!1zT zo?Qe(CbsA*f<=zzEG7xPF1HCxVnPP1=FC8yu$>MQy_-|Pkd@22)){Y+_E38v$=5NN zCE|yjwN#BYnc$9=&b>!`+rTKr;#J4Y7_KAN7Z>RQ)}n949b@=fRkA%LqclBu$O+av z*MST68M36y7;VM&iv}ez^9pG%X^F#aswx}bUEu#1dIV(nQt7M052{v)^qXV3juH7$dRX{Cr?7o@GW^DCf%UrzI zTkY>ieP^wZqtEx)9`EB1?gOS{KIRQ-r8;bceKyDc{y{&r^z3~fDzXIVneS~4cx>yS zI6WqBm-0=WwC6TQ`>ic59{1RyyP7irullOV8Mq|^p1J>You1L&NhY1Z#60t7*AbQP zJTZf$tW>nKAbc}=Q%fTY%diTT(kzK75FWqMfh9& z)%)hF^NR)&pI>H_Ri1r%S`wiS9p>w-Yge;;eAlj7W=SWytjh4IFhgc+Rsru z-Dr1hq~4!2l5AW_Q=s(4ycei`K*NGl?nJk1hWU+YbTQCEHEWVK8e{t4+nL_>x$ZN6wSe0Lkwc#P`?ldS3G@B4 zB?lvMNIb&W4vL;-KvcJg-T`bAHxg09nN`Ur!P%(5l|r1&1F0G~t1G|V5V|iMBu(KG zE3Br$Xq=__gpz)bz{AW6XRdu)&^;hvYXSyunodOLHc^X}!H zSb>|gCgk(1h9`{jVi<1SV6cuy@iiHqWqYJ`BVs1x$iYSL3#Y(7MR9##=OCc@_2n7S#7z`PsL4QoFAh=S#Ac$B?Rm3Me_i7+vMwkdT;!P{W8aB>* zFTOzApgv(0-E>AN%cmHv@?nHr#E}2c6PGOOv0k8MZMHMRyO;82kqExH!S+Wit^!ku zZA*9tsoWpgdOz%-z1~e?4C57)OO4gtM)d6o7~DRQ9=|Kk0Q?TgJnMVf(mB1>?nN*( zF4Ou{K1eT>9evs_ncB?T{(z)(a%{MkJsOo`A0`Q-@u|bURV8AHIVM1H6eYAjRu)1? zj-9ZkL@tbO+6(jBSBZ^4eS$1r|NUewH#pFftByzL6qxh*N$YN$rKTUobPcdk$duQ` zRW!L7No}2;_0R*8gB~l!UnkLq{?&a9ze7SL6j4J^@OjtPW#wrJgz^W{*gDa8E7>z^ zH#(Z_&1xFkI#*zS9WrcIy?JMaq%g~Vj}WHFCl4ZpQIo68%52@ zTOB1Aopb!O7o9dJ*)`f1ZIO|Jd?=le_NivEQg;oExHUOMoMd-i)QiYTe{O8cQ$2>! zvvSrWwAA>3H$9T^_oth#)50{9jOpxl$DtYDR(d9tclthag52RWY?%C2!A;#?G_Wru zY`iuRh3NOZ&ITvsR(g>D^XYKi;gt7UTfxKC>h{SlXA!kr#$vepZRw+(#2XcFQxE$Z z+qty_*BCgA@rTxzECyMl%}98T@vNIaxd;`3 zBGz@hh6cxeyrmK20mc{EdHtK@_4US2ea;IMp2MyY#9tOcA$|`d6zz${m z8RFrMy|_o14!L}OVVypQ7t__6vm3xAAq9OY3JQ>} znho1pM~(37C=W9pNQ+zaDp>hpAD4Z0QqDv^Oo^-gQyL%b{(Nv9@8c-CssJcso zKBA?$QW=vt!~j*-X!L2K+TGDENq%XbBsdn52gc+^$OdYvo?2m|tBETexPq(m}q}F%R}mfu2Qi6O}Ef;t^H^7ZDdT)_l}wI)3^xL1J~V$4OIA*Rn`N-vDuWzi48|>W2`+9yj zawJ7tJBVIcFT_}~%vvG#e;M39GaP$=>flybE$CAOgB~G%qy$et!t`Ku@na8b<&!ip zsPc$Epn93%I>+yl_gezL^NN%u3cJbhwWQQ}2{ur9ANk4j7JwUK5}!6IAb7%|@|iQO z67R~SsMNq$@LnvFpSP1r5~J*=$)i)bZRQsq1pgH;RkIm2z$uF*eE75l1=kW?cdXBqBa7#BDlIytr5cn&c0|=tDIv=}d7uk-= z)o5@lR8<@cWaef`DYiSaIu+hp85mK^Q@K{IF=ZOHLn=*Lvx_}+M?B%)0a`>kEU-!S zY9(GA(RT-z$Dc*#yK5?B$kbuvI9~d>O$)BDIF3aX#r$p#v&eExIbRTHA^C+Z6E|?{ zgM+%IvG9=&_HhM0(t>krLFpM>I#uIRZP1083NUczBL>~ruT+5ojR3V}O|m8d6`+UU zI;cKbfJLSjx?J*SPCxQDiC^8+sboKl`oFfE$$=_cAFbNBzS);CgJ>9J>oc!dgf^CeFHkBhKFm{Iy z2LDtVOhAs0pWo!&REwPb%GZ@U=qVqBE}Z(M2oFT3eC%*DHc9N_-PT)`@h4$cV2x0D zwcj|hlzfV(!#?q1AsOsOT4g+4JOQX`KvFiBk#Uv$K^)js`El6=V9s0KKqq&5i-wdQMeh1AOB2+i%aoVg(&a)x`d0{=BQxY48EVl@J0Wy>j$pO2vBZ zvOZ>Y%}mM&cfLi4%R0mTloy}Z`D8!EUE4_xf3PM+$m*CcygR?e5m*~;=31)1pt>1l%w-!0LHm{ zu=LuwpXDH&Tzhb`!AP#PZ)?JQeGRMR+_4^a(_1gjAH3l~)CUdDbNqt# z!!ac#gCGxYJEl9l-}QyQ2}?=0s|@CK^@%tWRa5>#@(8{=BB=rJ9*b^)=|* z3dicvN(Y%2xGY03>I^WGpd6BBuIS)RZg`QC{P^A>@IzcABb0iDtyRgjpIn0XaopF2 zHx^sue0QE}NcXH;@=|kY!!Nwj>h~(W4vL4mqcI{|_RTc}Kr%mL`-veH-{dmqRvUQ^;X?M;MbJIAEbJue- zKg%w0+}Lg{synq}ZtRh-X*)gwg5(!#;IcyG%=w*$9iS)eO~;E(}c`DKSyq7IS@(2C+W?CxX|t`B7sGTZ&P24DScz$k}{jb!M=6YKFhbE zX@ogZ21^cw?VQBQzQMVqm=f3U`N-xTEh&Bk!t(vuYJ(v`Z3$N9xxWdtK%ABKd;RB& z&!Jd+G8|H=DZeLbx2#2F-fSyeRsjp&7=7Emgtk^v_=;Gyw+6k zV_q$pc0B~V_U~$-xXccF%~bXa&wak`9*x6=A*4qXqH@D}!OO_0{N`&1AZ8*V7S`c!S#LV zKD*4eFD26_6_$H;g??}KiY$t7!i21!M@oo<0sP?5zvg4Hj=f9%$#h^FQW=@?!`0}a z3g~IsD5k_5J}rF8nsaC^E~Iyck)FU5AG&Hk=*Cs=Qj- zibOm*xKpNaYON+f1lV)%nATSZPSPXKJq5ae*xx;`rI|Z}Evl##(SBQ8P5Jf0qXyo( zmcG~gmiInK;po+nderuA`rJ%LXy!ub0*Y$W>{Pr)eu@u*)gNDiF;NN%H;`Bq{(fuT z3#8JH<_sS+v*mIs>oBV~J&esYp9&wkn%cPYQhU6WTgP@jL!aN(Gk*8`+~F4@s|w-E z!q@GJyv7z$Z=WSm<)oJzQ(}%9Gc3wK-?TaU)qE7(M=9-wXPknqRX*J}%&fiIDL8$S&Ty_TTj6gej(%4)MRI%s0W6a0#66RWJbL zX0KKap_RTSIOsT%=SHQ5UB^NXJj?M9*maF0KRF)m3_zS8<0b0a0Vw7!9tcxS9WSg= z@|l>CsF#HkGZZuGLqo?EY*oYS?ksqPPK9hJR|zESI1Wp&58j^i8?LfYJ%>11`6<>Q zdM}KHYSJ)`VR~Uu&PV=Bp2nnn+DU|fn)Z<$j2vv7kmteFJn(21r)fxi`kZ4@i74|n zL25wP9~J>k!Pa7PD}z4OKZBCreJQOl1Hh}{jWw?(>s2U)3SSsY=&_zxLK7uXej{@X zv&G-KUFSKB-ukc(t`%s-CsO?qw$Jb0{1pS|;P6`q<{h7lxL*RokCybeTSg_l3Rz-g z>~4uvE4-y7->|`Tj&Y;dg{hObK{&3(16dRdcceJhfv}W%5EX4=}}( z-gc10smk(rk8Mnrc1dZb>Al&13c7g`{ecw)+uowr*foq3;=)$wU(4r`6wy*PkPi^_p@UES7dgG&P#B5A9v)^67^@chq9kO_Og%iw%0qFG zNFP>wy2aSX&nP*!Zpzu}?wmP$Yf#JEQqO1@kpz!aqM}c2;zI4#Bw5f%nljqbzJtCU zdV~1;gcJGb4SoUxd=GHn*!P1-nm*I&=oB_Eof=IJb?mPUr3Zi32kjre0{-g#{H7gJyad=j%TQX;u+I# za{gT(gWkt2c#w~CR-7tj9V*XB?2Yd!|2R(98=C$RnSVXZm`|eNh1E2Tu`x+g)4A0M zxl=P7nlMofQ)^;wstP0E!t&3j55|}HhkCZ-<-V~qh?J7G@@oLZ@Gk9yng!wYwH4t; zfA8g+Cm5{QF99;55{Q4(9+92obX))cRGk03Fo29K!oQ7(t{*>1Bkm#qVff(>D|i3O zDFGj)#MM2PPusoKakW}rJ6o;u#F4QH41;>B_^TzklJd4l9QC=lBE;IL*5|JgoSfeF14;$oRaM~^S6 zx|$j1$G^`1^BmM_FKg7>F0|&hZ>(uJUM%a~_STcYd!TRd`SN*Qo8RTsT28*o?piVS z$}*?OOZ(?BMm>z7za{^sOASWnAS~!Mjz9>eB1Q|dDzP}gDJ(F7L;Ko{j``|nZ;y_F zaR-H793A~EFTcIIn)ccFLq?v7^*#XUulN6_D67YJt>lpp%#+sv@RkFN%USN={zr5v zb!aJOOQV*D4v_fZeP?HCTCisrHSu6hp>1TY4h&L22$)3LoC?en|;2OwF){{!GF36+05W%XKe5Vm(@_xAE% zz4l)^%NRu^+5R4Ol`T4*d!x4f==e{SczkH9$jPBHg-dOowZrBaqW&VY|I8d9g0VY! z-G8HVWy<-&E3N<4d!ZHK93F+5p1!D|;p?BnX>cu(&A-|_HgW*Fgrp=j6%`K0bs;&J zepfNWOX$)GalmB)>ce1fxhhfVTN2gTU+mocBqOa8;zi#pr~jrX#3;@_5D9~ z0OH2-eQv+!d$nREJX~D6^|qzSNp^mI2+h?{0?lNusQ>luFyFcdoEyTwCVbQq92O3` zt5f1Oh|+BlX#ULNZ9bj(lpyC`~LlVP!Mcu zx^4Y34ueu%L&HQmH)1{TUo?$0`?ex6q6mJT%pMW*xZGtfiY3`@K6SchjT?Tpe3Ngl z7jGCC7!Ecz5*q&wErt>C0mdFYJ6Iq=%Sv5k!h9fb2AEAZBDoxO!N;9{^g3Anws)*`|coMGy%I|lM~fD zw13slrN@j%&Yn8L{Bqd}_XpPzB~=yIeE$aF-yr$Gah1xdN3RH}a=%!wtE(g82DiQ3 zt&o1u($ac6ckf(j_ij`wmdySacvnmWks_0gN5SZ!%h4OT;N6RpS6uUkPh)-|bOVY= zU-9#2x`3OFqN1X;^^wu*bs9sCN08tRt6szG?5xl8)u3)U{@j0;(w(XYzOL~p?DS1Z z773YLF$kF|M8zD`Uc6$r%YU^yoW!7%I#PpBp-&}Grj{mNr7=Ty~5TJt1z<@on9C(35 z#AnQ4K|}BwSzd&jyy!`SYgu*kpDq7C1o5A}t~#1yFuD^0=@z}>rvl5F2XCX{Pb2gb zoo0_?10;}c)hHw2bT!n~#YpLbq9pra)JetG^0xu+F!Ig;Z!Lw4k8v%YH(+JuxMB0- z(^Db;S04=xJj0v+Pq;`$|Mdh3Q2GF%B+M**(3S>v|4(~Y;eY(=R?jMzd+wBaI_jV? zC!76e=9DEvj7Lgz-Z3on1;v zLAZakAA|p@{^b+x(@xD*4krc`{NdqYH#aw#!s>f6{`Mx=)L@o>I%p%+soXEr zEIpXWF4Z&}rh-=4E!E7=YqlF285+JGmsYNK_Izux3BMYVWC*=$Dp6|?xOYibgg z{&KLQoLs>F1;V{zzt*HTtfQmjuk8HXK|)SdbvlJv%kODr1A|=1qb~w0B;GQ|GwdG% zS5G;KjExoXyr!*PT3m$Wg3C5X{ZR^<|;LpjbIqrhEuqRJ8N2Kirv(4r{+{>i;iyX(TxInpHbW2Mwu0 z^xNL|fr{uu;Gb?|kRJ$$Wc{Gh+A(K1nB+o3>q39&RqW@)L}|IJVRzs#^332`an zc_qAGxCqN_=KK?00TB6n%zh^P%O^4@K5u&N0V$ z$FJT&jFL#mI|o77poN4|M@Rt{_gMJz>FlV=W)!~A+t>G@rNw1^4CN0G&nV*W3!k47TdN62!)6OwZf@@9ND5ve zbTmTdm-{7}Wk%gE@A{q}Pl_H)UFnYxd-Uj$jDmuKv@`Qxb{r^`FnWU#|?&I&q z5WW<Qw0P9F26e`Lh{?BwwEYo_}7 zf1tJt5DT#De_mIg7+jnL^4seP!k>$}y5moQ(&WhPi(*SlFQVHS5DgW;%-AZ2odZW4mYSDKWz5O#iF2jQLL3tp?Sj z2!4iav%AkJd5*YZF&m%92h#u0^UJ((&(ETlxPxZv*^n24JpmK3znz-wF);?>2ZOe- z-n)kQ@V5ji5@$aP?9Nui?Y5622B9M6xjqBQLB55b6@qiYs>3eSuRQ9>OpRMD=OR&% zlWXtIm78O1WSCdR%H++?%0fj$8yy`Dmo$b^)zeE?FErJ6fMKGKc?1JQ>P~<2=Fq?Z zarme2-@gwJYZhL|eTZ5Z=x{yAaNxBXz6ARMCWXjJ!~R5_$L{MM3+qRZ+>h6)4|T)d z29Ap#?{8EOQ&3RY?K3}pyz0!Sj(O=}>uD+C#l1=_y<`hT^!w3{jq&a-SMa>8A4S7_ zwn{-DCfWCqk&&UHo3vNX7e_`q)I}w{PrsEQQyG6&3Qj-eC_i6`+}DwG=(nu=-h97n zut6-+t)KLCD5-Jjy373&kP)14(KePm(Az_ewJZCEo}yxMvTIFhgMO1`xTeeNf#r>+a}iEX)sJuGqHjtlucC7&XUHp+JIqM>HQ(`_ zX!1ROtyYLkC7B&!ZPY;!}|NHmvBO)T`Zb&2`l#+RRkt^wzZeb zqYU#oPASh)0TN`Gw$;SeqakldK1?hj+N`+?2PJwryD0f7i)GAXpnAH@L}v?*TCII8 z#&JL9sJ74>zqECLTh0AeN8ObS8zbw>1Fq2fSZA+Nc~Ts#~^zs$-?ZeHF5Y!wZS>%_!|3!>*qS+D9`H+u6wfBsye-*mP&s3^)u+vTQ2t_~I=Cz~GtX*Zmo z9j}(kd3p+ZPRSS>&rCJ@t?7kz-YTi@ot*TYdVi@d=$W5iN^fSN)1Ot0-v8+Sebi4>xs zL=-H$J2y1Cq+la8RUVTt+-V5=cb&^xGPl(rTq|rseru!E-;y|kuvH#BaGv`bH+i;K z?T3U#&Te#-YBtF{J(Gdy)~&)Dv71<3 zSh!9~YJE#7@ZjL!Ll9PpR{1;>GInV92UG-P!v5#9gl)S`rg|YjnH`VQP=Z@E3b`|w z@;g)l0?F==f+OzbV^T!9F z8OBeakH1r=AXCjIC-)yF#>^iUQn6xjspv!79H;5K0IVk@$vB)D$zIRu^WG9`nXF&!>E!E*w5g~?l;l% z`qnT}!jB(6!l)^w3B;Yx!DsK5XPjCCI`+c6hKRU6(cpQ0cIqGX?%g{wK^J^N!mV#n zDIdRtqzfm4DPNjpD0UuXbldQiz^)32r#Mxb!NuR`I!QQhr0D zqoV_^kKDVc(R4IW{ID*u^ZR%020gnW$3ozEtsnsK@a(~iy?giWs&!o3`|0PT{97Ne zL{o)5UF77@K8MgqMO@J1y|vL1W1H2j`4qeO*w}76#}Q#J_pR9mL#P^Gi1xF`pK`ceQ2o6Zi3+wS6x(BE)Uh;8xdKA>Y{w)3}FebH^zx_>w(S zUH0b6YNotTo^D`jp1!_J8Xh``7YZ9Ay*67wUUqhNNeL@0?MEm>UDYJ)=Lh21BmGjy zRO9|*G_)=9Ck(v`!B4SS*!NR>PBN7xI>rvi8ofuC&wxOIJ`O)?A!*SQivLOHAw_{8@*eQUl7?yrx}h@1~czp$z0Pa`|`!B6^`jcj!}w75%f)X^z| zjM1T^aeaLqK(WaFm=iqyAS?{zT{*F4xf zT*$zp5Yg>s1mWX(aIgW-V}Xa_;#Z=PQTXS9^;KtDi9zmX$9Quj@*yK64Yg$#(CGmioq&KxPnJYyXD8fn$JnZfbcVjX!t0^ywMgF{1h-z%09noj5%MkXii%D76d zH8eB;N9{0kbmZ7R9IJH#QhP<|M_YM){mF=VhAGeI=fqCyWBfNCXNY(oK^?l9rlO|S zs@Hh)_U(v&}Q=Mes+eg! z0Gf(xn;ttx&17#rmCSbk*?L`7Rn=~+Mp;wS!c{)>F|I<6w)iuK7tAeN4BAC|`%UM< z!i-;Fcin;s+I`$~IGO>hg-0#;o;!{_4-Eo{jF)c zd4_itEVFE>NK3Bd#6%*GBh3h*b5H?%&JGuLIx1&Ag{KHSetpFXHz6*L;QICI>S`;l zWT?+coaR7J6OZAqu^>)pm7DeK!8%>o9nznyveALf(8I$+Q#0`jXMSkFl~yW$QhrBT zCZ^?Z-U$OVx5KTu8@#sm83!5XhZ!*6DZF=ZV1&_PUc8v^N=u23ZoVmAVrn4TLN3d) z$b$m_jKA8ZHpz0g+CoPzX$r%JVRbGXX??YlZ3CI%=vbP*sK;oX3N|guWaQ@9moHz| z)YKGel#mNJOIy&=l{(#5niD?^#1TU-@GK?hCR;3ZppRJsxkIzkGETdwxVX5hqa)`R z3x$$%!9sylT&NycLO*$%4m$xpiJokVi%B~;{LGRFQ%vQ%O952@)VpOs=jG+);gU4v zh!|4ou&z>JmcbX#H-kiVYaQumXl4NSinaIl_XAPS1MDr40QArU9!_z#iF7w8IXQX4 zdw(20%tLVw#KNsD$2#+nEmOOa_A=2-E-Cs5vT0*KPW}%t9aa4J(<}_=w_-Iu%4sUl zyJwnA=DYi~kWadqEOfPCsH=o;>THUskJp`~_zN|COyggErg#HTR6@w7Tes3bs6SvD zc%__Ob3Oagvn*%%9Vtr=PR>Itr7Ry*%deM;$eDl4Cc4GiFW@&BaFkxUAb2ZOWOTo= z!R0$K_Jz&e7np1bJr8+z>TguMxa8BZ;juBV^D}QDv9JKe{Bi-&uo~DfR-6T171aw8 z*j4@d)G!eM+U`qJ?F?keS1*X8!aU-3A|jsjWzJ?MPcl<}UFrFa8}02#HWq5i%F&65 zZBEwtx#F*_?cTjHcc=s31W{Zs(j7rOBe1tQL7B_~*OrUug2BQB~ki_P=n0B!xvh&?e{yGCX(=L-ZTwH9EURRRMsrSaTk=v{PB)8e(cEK z#w$z@=P!50k2u3kfsp!yoK3Qp8;L*mG7PKcN;6`%l3xo0i8cwodem>D%DP(d)are2 zTbI?Y!6TEGT=yM7I{*Pe5cJd5OZ{Y1`mXiylK+&D5nL{Q`afQG5dJKTHsk-}f56au zMftSakbUWmYyc0X?yaxsqRL{V5idSPKD71=^JFAit%TvUV@NaKe`F!LX?nl`55=j( z7RV0Dm)Re9R0IDqn0~p@m#}Zh2JfpV25~VG4^tK-{(2@QO#l3lb)}ano{uk7fh2h! zRM2+Z4|kfz?=t-Vn@9bxZZGJ=D~(|jt1 zSw`hM8kjt7_Y8?vfBwz5*mc3U@Sl`+c&QXT5D&s!TU}jUS;2ep)OTmq+N>}mtMP0> z!#}EQ=H|U`K1n0zyPuCG*j@Yb6hP6>5nWhVxDozoNYAsVu1=7e+Gu64C^IuNjF5%e zA7CyipZ&|m#tCa4r`f!|C0RMSeW>sryUWj?KL-m&=ya!#g@pwL1qH-sc;oh#G-}ZE zfPjD>KYoB7U}0ku9vb=;#=?Tju3?u@P{G=IH4x**`ec*R6V3=F<$G}8BzndD!0j!~ z@NkYm#x4Wup)ZlvTDiKU^ z!YL^@u=YBL0OjQJ#2hBUdL=*$HzLUd2mAVFG+nZd^W~4KBF-oSYQw|Buiw^vDXNsh z(`mxN9wZq-nv{|vhotK0=m<<|(E?4%_M%4G*bJ=f`bTYTZ2^siI%+#s^AqYT4i1jn z^MxpOLBV4Hy3SE^J4<~^B8RhEbL}I|v0!Qe3br0DHH0C!|6%|S87*v!jGT@^CoVB_ zrK6NjyqG@87Dfl3r zA;+22RjyvB%iBBo3RyBA#^_w`j4IcophelKcEW2oBWSvd?Sx;$&V}jVI|D~HRstyc`0;KcyDe) z4-fsZ!=B~j=7JOk`%g~_%nCr)CK2lD>h!d}5Wn~VVQ_ZVa zDSQro)H*Fp_?~-*e@da}Cwxqr=hqZdW6#VG6tJWCGh>YQfLgiW!Sl3Gwqe^>tqq8y zB$HHM@RF|%3e0)0TVau2VxE3G>BN`uaafPOW5$H7as2gjTpsHomnZiyn+C#W+l!Ay zUJNH7A^Optq)%%I6b7Qe7kU#8k!1NUt8tvZOOWT)$#SUR{dp{$+faNNw)SfzF4of> zNt~dda+-CprF2R~lFx~XBudTu+^W`Q#s20Qd5Y41Ifhw*7$t%f}$e z=#}V~8C`RgJvz(?xqMGbiW9mAaGvh$IDfoPO3BDr0HX59SdGI>ccwVF5Q@k@_xFQD zMA!IpewvS*M72A+8=Ywvs(epLx_wxntByEY8Cr{z@L>vHLn(yrbn5bT_pIu@N2DB~ zBc(qw&2O`@QKyL)X$ z21WVO!h-AR(POi1KsKw4)|Zrk9)a)=<0oqeqNP^Jkm&izHlknijVp9sc6WqaG*Lad zxN-J*x$-^5UG!H&^^J155>E%HDg5ZlzRnFYIcR=;|4e!@L`?Rx_v7QLT2IZ;LQ`kM zrJAt3mxhd_*P>q^jp5fiDGvd#L8#-6(78!VL09a2@CPswYBe+XgwGj9FDVX#-Af>CL7bpXE@;> zSfVHPu;ieEVoQDOk_aK}b=7zw-#67gUKP+>oKAMf+HYjPk^@JpN}b=jNM+D@=63KK zA?1**uey>2a3_}248hc|?F<$_W0bt$H%WZ!;e)jpL5T+VeyjP&i;#?r41^;pDk>2X z5f=|lqqCnulH~=F*kbQcYN72o=|DLr1^Wt>w$VE8DNyH7O)a(j!osk_6X3k;#*)AJ z=I!MOF!AX9W8>m5ZtyVk@@m{?Yc*i=4-!otH3iRY3~%r!Jd&c-(K!*@=dF8?Zfizp- zV!U<}%uG!0TsO$~4?jo5M$yofjl__f&P(A8c9cF$bFG@2(-h_fx#I8y$S%8G0I>c1 zbPrhz84;L?9Uby_^L6Xpq8ltD74rdEzmE}7Lc}8XfMI5&MA0PA(`t@Z_YI| z!ctWbR$O2bOw^($hTDp3E{M6E^^1qt`Lz+svL-)2a`?)blFLaQMr;y2p&dP1Wqz(A z@zX;Df7K!OGQLYsDow0s=zJ(S`9nIrv9SgpK3)%ZEXWA$?qYQ3;<+v|8QQn; zT0krKLIBieH zH_$LBC|E0KK{aav)$*n4>({S`+xI`Vww7JrzeH+L`CUo$wBLk7Awwihm^aiC!9NOa z)J=|7B8LK-`6|Bs%=Bvt_EqgRa$M#K@7x1+%KUZAag)+k5=Rd9#1Bk`;_GwPMSc?t zulFclu}k+V-eV$THJtnDS0#6&%1Um*k~Qj$#qHh8abeTP#RuuQDgrvjDsGIc^!oFg zs_diu`4;Ly+x8SMWGLG56P#Wvd?z1RjJ5cfHp5Jr{OlecWrPiRxO|TV7i;6eg|!FD zCippP5i(_pSs@Rsfcw8t*z2RGFV?PHUMXpc2n&;wmQLh%qHpj86p-P)Hv%GFB)KqH zH&BUqY{&REcR>09#b$5MC0r6{B!|;{2PlzF`s^TVRa7_+zR}vbbrXu7`|0jbwaqAO zfAOFR6B1TAEht`B#M{5gWc|dub$SRZ-~{ zo*#-;{W~xGdE>Y-v)t&+Kx)E|Yv~qN$PGfmT}hYq$;`^^gfVD zK9JQ9O=2eP4$W@3s{~Ym*^d$Q8V3gljS@X!=f$XQX<37Xm+4=FB*-L@sdCnv)$h2z zEGqZeubYI#3W%J$0BUZjzX2;WcYmnAUmjL6AQ`ZmB(r-4Nrb^CP2sVD0i^NQedqjW zqTU_&bTnl-I6*3^s;=M{Kpr44Fc6p`NR2N|w}0d&=u!KV3b{o!Y{zNnF)}mz^X++H z8sf=rH?TTPdTkURxT`;Ztdpvy$%80oR3)v@60i34t-4n^onBIl#9K7xv~u+?W@}g) z`{cKo$taHLd<*X7z7p8_8b5EXu_UHnWf@YjHkRHTp;1`qjOTIvrlQp>*D#~L{g)S9 z*qxmBW;_W(T~VaMNeHm9r?PI!hT;mehFr<#lQ%QV%MZjV$apptNTaRHb9QiWgKmu9 z-G%U^JgyBdn_K^GtY{1;hKnzgkB0|^STcy7=p3?=?jw_m@he0}OVqyZEfj3&^ zl7$1Z9+{B9>Ph%eE{aVNhyGUWE*H@o6F(}}BQA#Lkj^`JYtDEmLO zfggAO?|)oKLI{ac4TALadt5s8cnl6@B1F&n=BS|?vOysa>NB1H!PGP^t_?*>906fE z%ZO#2#@5Jmgc2{nu=Mi{IKd&)Iek1o^Ynefk9G^(?#_k_nv94*QOfo9mv8*{A)T2@ zE{=cl$5OQa5Fh#z?Ywghr5+Ev3xQ zuBVPi+FhfR1;n@m0ngrCm?CYx|KTIUk-mC%-sI%OLp!=Gku^;gttPX#68bV#4OLel z{k;K5o^g|Zy&QGd#R{rqPl=i6kn(1H?=D$zJF(8y!O)i5px~uaZ?fFY7U#e9uutpX z8rirYv2~{KLLBdKd%%lfv)Vx8w}D51?o|4IY54 zKZW4kO!qljijR-?_xGQjorO2L+q32VJA<0qADx8jRaVw?r0)q$Oigid#MyfXWa)$4 z)$axNZvt_W!oJ$*k(+82-t%K&u4a=-M|9E*2+2j*OtiaG!zt7BC70XL5lk=VWou3$jYiDE35s^c?l>6t8NW84$hB}a7b|eqN1fs|D>Wz2BGSO z4UTiNT3RFT%lR;$B4xKe$jUPrC)|8Th5drl)u=GRSX8kO!d8wwX`yf5?DF{)eR?t+ z-iIN6Xid~Xm7PiuZ@54c)*CXE&p3)`r>2>=j;`;bFE>-{YFI5#K1droEcf!)YUrFu z5sB2>Uiz!MoQw7+ceyUox7@6giHV7W2p!x@po^B39uT7dgaRRl{sL9NiYB-Vv$GK9 zgv2p8m`K;Im2rkdM(Q{DoQ~JIj(-1+s24ycL*)+^7O3w*A^5Wk3wUD8s42gU;(Ub^ zNjnfsFEV4ld&N_9SZClWtQrae>jwayCyIoG#LLaCB^)*rB}LWb>P1@15@ql{MK;%n z*Y5-`|nK%gV-P4~7hULpr-LB9+Of z=fn`Z(1)BE2t5#=bpNaMkZqL47sPL zr$bKM`3{w^aGDz((2;?Gft>~T3>U~$smC~wUiJ^BPz=l)6cdE|bj1P_i_8#i~sPby0S8MbI?PAZeD#5OB$(5~O^HWmlZwa)G5bW{}f z(KS-Bw&5~k$kfUCf}skg>1e$>XBr0;)l;%A)f8MER@%CS&lILwD~yOvt}W~Cok2m(W83u%5{A4k7Z2O#&9+Ct++x?J zX>}D>p+ZZv4n39??$r+}_@j@+xspQ6h~?Rnx3=|b)Yn?GP;Kn0YOkzr(DfT+ut_VyW(tF$MUX2NgYO{HxHD~O(TzoJ%G?=Z|`&0N~p zsP+f}`3IiPs6hMj14cqHB$BaJ)YW-F02>?Az1xiM*NjbmW^tB)LnCRgq`Bhm9~hVp zRtAV=U?yr$zRSxCJiK5^QBhI6lU^|qiT0$IpN|GNN(yk^yf%4L?Pq-0Ld`3|1yZ%p zN^K30s zqq{4+WF6IyUVR+{v2M&@|9Ju7+2UN1f|x^E{Cz^1M zE>o8}tx5!i?9Jo4=CSgADL}T1$Nq%m#X&oTJ_Mr-cq~lII zpO}=C8@RZRaEp)(J6W^yopHBSRRtM7rKP0>nF@^WWKbofD7n`Qrteme&eJDpNIFv*eI%`#wPt*~Og&(hNIOHmVJ2I7(^<@I_oBA*#r$3f- z^pE}M9F?}uh#L6ee0-8Jp&r+BWnN<4%g5+3VIrCQqV?dla<(M9)gl9aXcky?51ooY z4AEkdYu2acxr#jWIx9=;iIoE%EuG453qfXCUU{&^fQVV?bArr5_8SrCYGlF>WX;aa zlyTD>&-{fZ!m0cHM3=#|6c!eyk0~fG-#$6qhM8=+=Va{Uw0(NKA9sfErf&(VRc@oK zmsdSBLeyO1TR$<%jqO5wR$vZ}*&e4Hkw~m01c29|t zrYqDVBIPsPj&IT>-9-DEr1Bsri`fd^AD=(dp0lm2oI>{1fD(0MFrD8@Szm39q0g_ZiUBy$62mhtK}yKCO@L zD0y}wAtZ=JUdq;e;kP}6OJ}~+5oLbuA~aBdc&qqd?rpsrwl$4V#3$DhpA5Pek;~sf zi$!F@BtW|FfbjVDpzxKGm53o=IREo{fBR4L3gJ(#9%bS`LgW{6Hv#P?4>Nt5T0uAB zRjc1z(*w5XK1?b81Qq%aLqA?3`J6{<(6sedW8kg%t<}A(Os$rLhNAe)8_;~#OZ+B_ z0)@i5x~d8h3F-Lwcz8_)rqo>D= zq1tavzPvw0^rI3KOldIx@`8@A#F+WvyPd+4M$i{QNZP+hG9)D}X)e7A>P~?@3926e zROr+wDq;b2UpT-K!cEc%(;td7Onm+=c}2zI!a`1x@XE?ch}NpJ(&7bTQJhV9Zai%8 z7_i`~s;Ic=4N{LO(yk26VsI%aito9CGgnO+cXjB6xY6(w2BO>o>&3|K_ru7_#}0mn zM6trsZ^PkaSOw4>+?9WNh25i;C)wtrs5U#Q{X^#`q1YTQC;p2gtenS9XKqac<)93f z^ey#U?tToxv$C{2(Cwa_)GwM3y+lArXl7<+JMwnUwdt7bSC7YVovWR^dGF68?qLFb@?2oKlUk!j`#My0O*jKT5mfppw)^B!qkTkA9$^YyTKGD zAc#vy$nUY3@K|ASNd^TTH0!Y@-$`3y6oflZhm-k{%ULf?<>0voQnvgh2TNffrDj;O5H6 za$D@v;}IHF$U`%Rz9kCffWF4itX0hD`-KCOzURWnx?yj)AYjb?hk&st*&B9}a8er8 z#i!&Q^N@Q=`azzQlvGTdBzB4)5ex3?YK4rSca`WE=|6fZ*etr1xA@By{PtU)!wQcV1K7K=i`DaoG zvsD3D2=EITnJ-|Eo}Qefo>RNc#c6=ht^VM_$BDzApDBQH&CSkgVnnkkKZn)Q*48F^ ze)tVvV!XlA)nJ}JCZvHF8{2-Ni|okB#l^+PM}+F5*t?1fuIwH@o9|p6PSYPl;^N{u zdSHL|_rE$4i2HqXbmWQ+$&DKTzd95*e*{sa!}B=ZpEM6jidB=$U+3S9z_Q-N^g}vJ z7uu|CQ)DKp1SKnXg`nY0{G*$1?dhOzWAz8OrFb!_4$Js^aovWiUc`;he=Yjz5mYYC z4-xpO{7!jIO}@au%*qcJd$M6i5^^dKtlK!7!NN^RXTy$LZZE0sOZgu0-uxzOnFk@+ zox^thGuWBRZAPmC(5{2IJ^AHKR7B(q@Ya=mU%)ah6iXTI^TeT9pdm9Gv0nif0`l}8 z4L+@*1Y?X#23PT31V==e>5*i8z*|~ck_{oJ{gu4sOo0>=setn$d=d)P+1Xi#k3>Qb z!7I1ASF*vJ?Nsd6o6LS|5pl-kG1htaet-0=#ipTIH9`TS<0d7g;}Ayq0nN1El+gVg zQq~F(ofO5bYPlR?Z?&`AfO`cW7$SQeoashh^(8ECL$Jk)3uMBvlnbSwh1^Rp|=W$&t&C-&mXZw_>9>hz#uo z-}pnrl#!C*E%U#%^*X{7$?r>^(JCr_EX5z5qC+gth$cAH%cEywV`E_{YHDf%+q$}1^*{>knVeMeg{}k7)w0is^h82#n~=qj zh<@%94js!EB@9%gXU`a6ClWg8vF4zWe9lG^&S6Il1qGbc3)A6i9M)j92sn@6y+b~} zueUeR1RP1|5rD9{suA%+pP>+map7@9ERNx`Iw9;%8VpI6FcUVxdq%g?jV4=XU$eO` z4Tt%TxD#Xl;~Ajeh&3%8FbLHy8eDP)wp9ax7f47AXqXL?3aW@j#C|kW@`z0WuC-n9 zi)$rtZhnM_0G7zX6qwo_=^#R~>eUb1vgPLIoAxtiKQj!X<g&4rjmS(z|7o&X!?oi;IgOI6@c1bpisdH-1)e@$>WW2dRC`0tF>YIy;%`Fp3Jl z2Uje3q+coDFe5HD7N49vJt4vMX)C0AcrE*1<>b(=-%9^}O-Sk=SpXq-4m!GkhMQoM zCjG4VB}vAVVv{`|Q~1hgA*W&j3a85Es2_?_#~x?VKmk&j(8 z;=OZy}guTl*V(r*G zFJXq!+w8hN4$jl{TPuFbVwN!ct3QG8-`$F1-KG1JG&tZE=ER1PV=sd8BdV6Q=Tqz@|k!O5ae?F8s>|>5CL5ybtodk!Gh3u;r+NCv>vru z1GFN_)2o`BFTg4tIb~*XR>u#DHe|bIe@&INF(eZjqv&(x zaX%p1v1w)vMrcd2c&f2@R<+o&k|fi4jf`3nzk}l`=pR<4Hsf<2*Ot}R9-f^ZyZM`C z_bm;VkjUKo#SQ(w>tUxyQ_?kiJ;3e^2%!R2kX0+MH%+Dbt>xEtC@+i#+hLKk-YELgPOe=XW09`CXB{xE$HgUg z@V0v3qO`!JjlBNF38yyRKeK&4`+wsl&~W*FNe4S&?g_6DRk9GCI9ET%J*TAlt9S($ zjv5;gjLF zL3k2XJpXS4q4t+$rSH6$PP;O47R*M^pI1wrU* zq|oTkx%&dnMHWJAM2*m(J^h%w>p#RlE8@ffFME5RzCZ03U+e^rAJiO32|$)}a4;UY z$be4z_O=g2NC%IY2SJB^WKH7762d9% zebmTjjN*alJuADwicZUnKSO+VM@n zC!h2GZOfvja2V#xlQ~vjh%$^oD?ZS?{f$YxeQoF?qM;El8G@erXM%L6gIL?^O3uz) z+r8LSIb~(+!op-MPp&Y~qY$M|%fuaS)?!Y6h9TE`R9ZM%+LxQ{JLd9L``enfaJ`h{ ztLb4H8llE*heubU@|;_kKilBm5k=7*Od5Uf_-Ga)M-YqPcbY#qIwBYGN;DU0J#f?4 zF~Nf~7F1(MD6-U}ui}Mp;fYB`^;C5MOB8^^6~IG7p#uB?4I(anjLvo7A|8S90E&7o zUP3}bxm4Z3pM4}YYzDJ1=*}aGzx?C{_GsiVui=aOEx#qEqVY?dtZ2cMg74Iotc4s? zZ(M7%&er4eP~P(^KKs|T>KN6sBH=-EmGxT$-LRix~%u{$;BvznzBiNX6pP75@KU; z?9r=Niy(@PEl~WK9XPfA2X>GsnAmdBUS@7)hRU=IsBolk4~{K?V=F2U80HZfP*g5g z_vdW)@giusr|uK42J*;f%Dj}qt(`U@k#TUBb9#KmUf{)LeZ<9^PkYPI^B`#ZErquP z2orSjWM_X^KV<3b^w+ZdJs#)(str5T0)^_M^>Th+sf?^FDi+qa_I6OdJYv=4+M$W| z#fuki6XNa5vv3M4$t)>QIMXU9v)>iMWFE=eN-S4>cySrk=g^QE0^Wntut;rNG z`zNaQx)u{QjVyHZc)1%cSx8$@u6jTn9L z)Qr=w>);)~Z4APZ%#;W@OZb}}3m>3{5C+(Uz$~bVUet{0(p=GYUTtawGCU4BU%R@B zA%rcZf=mVBt&2R{3%bFCGsauDbZYDkA@i=S{TbCX8)wjNFa?4R777Z$)ri*2ygaZ+c;F6k#BM))$7MHBA1QJq9Tokr{s_~)Ni{+! zzf+xE9olCB5k1wRJA}Ln)W^}YyX#wzqX{06O%tv5tWbMqvTif-efB5AT>PS8>G~#& znL^)1@{T(bI#lb0oJWQyje>)x7j; zchbp`{2Fqc7qxrbF8YxW5I(M0UZBuNyiQt}ZsJ4;{0GeFd!@aZRuVH$p&JPS0XqT_ z7h#HTr&Q%D9Y3Eh3&NA>leTg)eau|(q0moP;CPD*<@LA3m#`)YF$2Z}QaFrh8e#c& z_W|i(h^z!UdM>{0*Xzl{KOZ#mAL3t{x#KIPiO%Y||8rQ1(e#IVih`tby0Sz2*s;1c z_fsv{G?#f8@dD!J9N08#5pNYjbPe)LjEnPHyjj?t+xJv^BK@(d{`EwaQb?gG-a+}z zdPr@I*UWXQBPM4iL63i@@b#XdsXHmUdU5ktCNeK##194=^(EU=HV zF+HfKdCTPf(RR)t5Gf4NFV{AP$^9JhvY%loFR$Cd#nA-ao!hoTl*vIMpRy_cBk<&l z_6YuB0v3awjm;WJZ=k{=(!c!svrGOQ`O-}JYvc=gSPfmsI$Kdn^KgMO#-fZ@mCAMo-X{~_ZuOlAL*a(>Sy>I6=)Y> zA|oFFu&9N-CO9}4%uqIz|JED$Kf%+hNN!Asrm;OJ29Bf%LhZFRC2|y%rq5fI3!5mq zrT8&3kGERR)G^nZ-A`*9uAD~RqaU#ma&#eeuOd!z<%R^Kd#1&IhOMjgXOPUfty^=) z_ckjl7AB_8b|)`%1bzA>N#FeM<6XMom;4S~8+BDwdf~(dIMM(@VFAIx$U@|s8t7m7 zB0^nrROuA4HF8z>xtC?>{50RTL$4osFL@4o;wgcmZytkeg`M*#B4>p4uJ)L%?aHfx zH*Y>!=$5e69Xp1%gj(Si@7$MJ*OFjLet0_0xuco<2jC8{9{<+Ty}*2F4|>wH5}P@zIMTvou1y}UQM^tMVNrg{}0)$v(&V- zw2TZTmg6iN`GHicg!#O-qG*3UA&% z*?n;=Mq0AaRxIFev0^go%~pfc$7%<>&S8K$YC-N(F%US&xjHRJG7DQ4sPt7-JWU;P$_rT-^@ zEV*8>bG5=h3i_%cqzSt4%j#-Z5C%!}|EkBk__4xn@MMv#DZFOuNBF5zMRy1;AAJm- zZ!(s0#tl=8M6YE3_OEo?c}A7do?h2!c{hk&HI09ok1kxJk}qt!Zmp{L&z_*#H^Kmn)_{7>B0j=9_Ex<=>`IP8623 zo20pzvS^j?{i>rX9DcdpSgoIoS5-)ckSCGPy(g{biQkAKO-(gz=rO#9n2z85;|B z+K_XPwqP6X`+i0F`*r^4*`=`Yo5&t+fh}J-6Sv-Fec^gB&0YLM@wB028)sp#tNmAR zp@822;YFGZ8b&Q`ZEr&h{^DY(r;nVIlbqAGU#`pV;iY7+KOpMiP9_eHEx^q}Yw051 z0_PvOz>ivOwSfMLVYvYWhEExxLc8YLCN|bV5KFY{yh2vtL3cYrwCg^-x!mr`1j@utl zG4bP7^VpG&ci;WgXKtNtpw_L`i(fQX=kOf#wBd(KZcm4?0_2>iamX$$?OspBU!hHw zt_-}YOgm!2y9RFH%*+f5)ul_9Ks}ZPSqctIw4wWZo!Ry5e{^Q>R%rbH=J0}HI4T6^ zIsj{T1if8MegxZ}j6)|x?dVI_=d!%acx-DB(F*T`-pm zycqV`#E_{TV^v*#cf}^H@;6HaSM2EcnDybii_*blYKbt~=~ct5%;mG(u}GGGL;zTRYdhuApp8_{-kul99@H#PK`2fZQ6 zTq1;y^0QQi=5(DTgt&vj;)sGYvE`=Gj&azvMX|JbYA=@PzqJlsG`kNPgzB@+eWvhb zW@fJUKHh_nP=MaiR2OxDS{rmDfBAwFo8Q{o<{!1Zyv%O=Y3gZ)==}~CE2npnQyG4; zbSeEs`+=(vs-9S{aG$) zT}CDvA-LoqE{iYkQ246yy8zi5y5>rSqTpUZE}6~oa-YD!BxhB`!^Q!^{Ord9E@gzw z&mO<04t}8gz{Kv}wH9h3trrMX3;UnT7)|lGn4W$+9vJEv`Q}~hw4SJ&dfcdNfKTLb z!(CqpyFwYeLjPFakSGuGwwN4@`w^fYjbbjQhlYl3ZEuS^BCH4Dj4?7k3%rc=!5o(? zJK6ndkqvXMif2Lp#P?6QIOLBsg5Ev9oc%s{wC#BM>C5oam*eYV2OsuA!9@qK0Uf21 zaK4nMM;5f=J{2bOfnTloz7|ObGv+dl1;Zwr7ky{(|KsefqpE7Zc3}iWMH-|8lomli zkS;|)rAt5>X^`$#kP-orl9ra1?vifl?(Pmj;LNSh^ZVX&#(Umz#<%~FJ#@QSd#|nC{J#$KAE2*dRXUXU0V_FhICcz zr|gW*i6q<7kuoj;@l^@|Z2mPi^pD8Y=G5B(*E~6YcyjvPoW)1tAL+SC^9tt?0kKf0 z>`cwTFc&+TPs1WN?^x?%K{ivW)k|05_mWEs8*hePh*Ar5WaPKZuxpI>`L%?Ul?&*7 zR<6zMmy=;VOJC2=sfOJ-0;(?a&VvLbC+LVxzxX?zg8LUw>VCC7qqCk}-Fkm63yIw+jaYeqV0spxK4UO{` zZV|FMV!!eyW|WWYshmzebs#-kF~1^(PM!CB?`4SdnEa@|iQl>HoM=Ufci&Fke4p%} z;}hE^l0)s9@bb|^uM@~KKHj{(Qn9h+84(hKi;u4>c9pa8`ZRTEt<_4iwF=?MmgxjV zd*JiEa{g*c*(Ljn0MoF?B1Jpetwkhk5?5-gHKzHvh4D}|?E*;W9d=^a!$yvExXj|0 z1-gyp)Kz*@P3DD}gH2c1fTi!^_#ByhLOo_9M@ChgWyHeijPnLACky*8ojjP^wK((Q z^h##rDUHv%OS6@CSC*A61$ZP>*k)Y36TpjgQCRh9=ntxJ&9fk*;#U`XP-?FBL%Qm- z<8`jFiObZ6(&KAQG+Hbn2&3S)I+?%Hl;*T^luWZlk*Pk&_*sUJNud~$MpWDWIZS<+xY@gb|Et}f#i`C|uj^ViB5)w1^<-$Sa)ri?=H9t^bi z^zz<5&Ja({&8gIKdW^I0ZIk_>3)!IBHes|_Jaq~}oRx4iyq^01Nc|2U-hS}X+2~?!c zTMev6f9y(+_s3ggIWG=|F4a``CIx6-=YLD8+Ir)@SjR`=E4j6+gqXVq+!yv4V0w2$uPazBo$np|7HY)KhL%}kJTYAS093*?6N7f zb$Mr(#%mVB;*yp2jx}csRtL@RIXU4<<8qoxl}-xQ+nBt(2X9EdJDON1A8TAlY0xDB z>PPW%FQ{#?pnTtRbjgLEqBN^R_LY-cz3|JmVKeLL#OsQ_qEt zO)UB06SgMZHpk#p!42D9zVsN0=nZ5tPt7=!iMJYlU$M2pjKt-5YteEi91L>Be9ueA zwZ|-$)YUhdxkobxqdVGtDt`wy&RWLCZgSEwuk_vad!B3zc>xy2y%~dMhldZkyGv?n za&zTf+zf|PB?e!&+OSgDo8iJKW4SvB$Ge*?66%%*L)d>Zg z#v2;yhlVn1YF=f$Auhw!3MQxbbvZk$L_;gjze(cb>Z$?mhuQBvbfYw45$__TnG z13~o%Cbg;hZ32P=gpUKzE}5B`ovUjAu7-aA_jL!jG}i{RfH~A+UShr2j_`2;?ac`o zU{@H@^7Hd&W@Wj=hV(3Jm0K^uKz#z3pR#%RJ$qG$Lu%9)Py7P|!BoisU>exO<6XAO zV`Iq_DUguLdQSLr;mj)D?}nAdWe61|5?h?1Csp zT6zj79AGP31cEuxlEEP6h)4}K50^&aItRO3S&D10*h%e)YNg66D`{GoniBb=;`yp! zVm7zMj@&3#wc)%Jhh?ot%a(!yYlDxCYcTraBG%N@!Bk}V$X>ww&f^4d>iPXUrQfi1 zMEscu8MEOmHDyG^?m=PX4b|kX=-{F-oBnLeLk`5t9MM~lw$rmL-EZ9&IfX(7pd|x zF_e5Zrv(WK9Z2=pkf`P?xyi5$K`w(`&=Us6G(hpd=>;+kSf>uW0I3G6M6~@@wRxSmh-r%chsKj0|)zh%_xGPfU!rKl&l4wfXA1rF^5L)~F+WzDkOc zsq|8qgT1|-z5Oed9XLK$L5u8k6kHN7p=`dmE5sCK>RXnpZ%cA>rKv)2diQ&TWJC}) zZf6rrV6z4$uE_7cCMQ3=i-UUgYF8|eLXMJ6xw=>VT|9qe6cq3~m$KLO(OIzm1-&4Y z;NJ#KG}P6JeRR}r0E@a^uK?By&;XXErZ#nV2l>G|Jq^sUw{MZc#Sc%{^9r|XG1MuB zV3>(`r#p8NU=@kfaz_Z1nvt2Hs|Tk;m?$pn{{n5A$7z3pVy4<=7QjEXfM)rNe2$Gp zNS1U?Tt!4g^z`*f3=0bi7OZ(PF_DlaWC)RK>OSYyB(CnNivOvl^~lOvo-^T=R|b%i znUSu=tgpvZ=4HN|WO|SjUg7WNrqLYiur>MKkacZ6h6JTy)|!mmY{B}~_VjJox0;uf zex#O@m|-G07Zesk?OkH8^hziK#`0ZXxIXv%4=QQlY^yh+}uaU-2W|@`Z(jw z$|RjAb0o}ead2ygzjo~2zzqqhsSoALi$1!gCLxlz@X5#*G`l#-}ehG42EbD;}H{ zNew|V1+=mcykOye9H>H7FtZQWa$w*C4Go{kgx~Qk%LU{u&Q5%2*Me`U>{V7^Y?4;sjD9>ROdijIYg4u<(58lD=PfjZM&pY4T z(Tb!HYWR`v7)+w8%gP#Eas*4Q75)|H1-|!G5?H>;y+w@ShaOHB9a|ia7$wT>#__;0 zmNNk_0Y@iW3+naVU8^A1Rxa9K6HpSkmHbev(%bv;kkhROcWHSUkM=mU!k^`GFaPWC zZ8}Ly2iqcJGqb#`%3tTRRE5{SDw@cMz~^B%ynngelsD>aVc~CMUvd+}`eyWupiNrv za~emNoB6*8I0FbMwk8KgB0@DlO(nP88DiB|$wy&hSDZk$^-{qtx#vw7l*z@#i!4_* z*oeOj5ypv)%PFY_Tqq&6h4JO@M0xWwQVf5fe^X?y!Qa7J+QF^yQiZ)P2y!Su$^jc= zF#jGxw}3ChUvKcz9dcDJ?165o3WHZiM@JJA6DUG}+>X1ryzC1q^ra;qUth>wn$Nl; z0%#`z1WkO&g8vUFhU;o-q~AU88Fx#deel3+W)G(qq;fz#Mi_9$P0A(8B64&jBA9fB zmlhXE#+%-Idz(#^g~YjoZz`Dk*1#;+o~2w}5M!SJ`P~fED$S!n?*kg-01WNQEZ&%T zBTKj&8b;RFiF6)V*%s*#H`lSg>1%9m{?M?YdkI-XYi;EO!kWM#x{LV9k zbdt`Xy&)$*_3??=%KH6V{WnSqKgF?;^K3Zdu!Mw(#e|Uyw|!D>HT$DRcJ7h+H#O_W zdK7I0A+7REgc?em-v(>#XIht}IID=0haIF69tu7hP)IHxq-|el(|l-y%%k2N97XHq ze&1eMRX$5r@-CIGp}atWT(gpB_K|&cz4lKNUMgz0JauDr0wQOqv)-LyqdjI@tyJOoF=e*W;@=yj%lg2oBrMYz>RxYcB3>+IQnw6~rd z-kSUH0X3Y2ee$?$$MySJ~yL{&E@do zshf?C&SANh&-v?6COK;%pB2Cb)-H}3Bd>M4?abm+Y~dLaRhBjBa9NmiCH){hD`kF= zvzfnE?Z6IO3x73Pbyc&YOYrE%~YdgMA_hL)iGdHQ9(Kr=c;atsu7zQr^n8^47f@2L2-+YY=F_-Qa2Y);euwD=jF3zK&0O-TW znKDSwOPCWLCKVy8oj)7P(u z!4q_*U+jjb-v*-th%()CzgT$h9Bpd1_lIL)qP(=6}sK;elO-W zkiLtn|GTsdkQf!2YhLfH>`UgY$_uob_eqyv7ZFbUT3lS+qd2f6)rQ?7eXwI`XstF0hK`WM|v*Y9!o-6%#1qVxpoX7(OsT zq~dkhiiP=*tn4S*dsXxC>Y$uc)^a<9$`!MiA9x}7bds#yAk8}})pvkve|mbF8*Ci~ zV0(mgObZK(`D_G~ng?Z1otsSBO6767BiCM!Vnx{q2S+hrBKQHKK|!2_24};=mt*(p zMn{KwwAR*4?%;rReG^05^2faDgOlnO>Bi0*3u-$bJ7UyqT`5Wn3)c^1PI#{T42_%2 z87{)~xiY1d9vf?|B^3F+O;aH)2)ILbHj#P;1cjSRo}Lplc&0Ke&zO&uhlfUb+(U&-1*ou$p-ol0T(PBg888)^rbM?F7tcJ1K7tE2nzxb*8)+L|NIz6A_{-^fPhzLSx$75kQKrSgv5Bm-i zQztk0>}TtoSn3%X=4n=sai9Hsij8Q4zp(=}GF?<`$BO0?$2#~hFissWWN!9`JeSq; z))9*F=VaNb^3VcGzYtVbO{q=Ut3KMhg>iJ%@;(aWPu(isH6W92?W#__g-#5xyRn4ABNYr{%=48l~I;{AA ztJV+;G0@RZSCWIlL(u;@RmeR8bX_eP=-6ON z3lo;+>h1&~A-B^5&;U}#89|ccfu4rzo;f@rb_ss z7ZkEvQv`>35Yay}8!JLk{|$c6z#ellZc(d$jE;e!*Fs5ZZV&2IAYs7&f}t1is|F?~ z&y#}%g&||^?b*`UU~_YtlKqdOXYRDSKhwJQ$Hos~G5NTD=v$C-s?%DxZNXEsOa9^RHY`j19F?inM5dHz+ z-e?OGj%cmz?vH-EZz5kF+I5{*Pq955@oJ!Cj#N+{p3qX}MtYuxVPrt$ruzg7qpNCA z=R;!uPxZb>0}ZYRz0aUtMvOkdIf0Cv91{&e-L|(cvGM{DlpBa=z@#?9>V>`i5t!7L zSWF9^6!L@leS+)W3uosF@l@!(L3@eF@BrE!1P7QhN2;l)l!1DrK8&7)#R57oNL6!N z&nbnML73mr*xZ=s7wQgldzL zkP+#MTQLgh?hsQ*3yMMB&^xXG+CVP^2sJ-HS@wIv=0#|!U%u=gEmYo`X$j97h(E8i zGBhLtwBpXM5Yl4t)Dk>Z#g~`|w{@E4bqERh{Z$6~lAymPMeUT`M@F=NiG%hKTcmVM zx}wY+c>~qezfrY+XliK4eN=La+QtUI@aZoCjm#|@lyY?g3l>+YtCGF<&}52qx$vry)sL-F{GiHtn?MpGaDTm z({%d9%PV<>M!{ze-8bWOk+GH7$8TjIr$N<9^n6oq!#Pt1+%v&eSI@%~9QK?K)Lpn=*+%eI@D|Zvio3^@(Bu0!JE2F}TjzU`>dY~GEZmNt zeQRqOpQiNMbMuVfx-|%cChSA?W)q|AFJe&rwglPzbg=i&ez3n6X6Qk3Zuq6JxcIe8 z!e$=VC;+Zdbd;-`*OxOM9(KLCDMB^33$nGVg>GGsxJ><5#1z!FC$A#c$kMd7F>J?6 zTG^M|eNreFI(F*wzWKD-nH#6KI5Q0#qk?_O#IONW!`irjENLkDei{a&AZhDu6s@F2 z5`9xNvA@1hAb$(jziCK5qqHdi2cJjCL0e zz##_gBm}=os(aj_&Vp{*)isvomJ)dn(`Xfg(=mN5SMG!RnY%|6$%FRKCmTf`<>vJU z5c|{!xn9h9n@*yz?VLP;t549Kd5AN@EN8PlVZFBsSL~LcFdQ6`Hs0%ej`8Ft#}wC) zzLth9*uY*F5OhN^W8e+_PrPfURCocRB8r-t%Brg4y}5?Zj?9dQqIL%04X37b-)z3u zaQ3Px%qlBuW}@P>Wc-mc9&vK*xnbu{hJ{g$W8#NRhM^PQVDGEj(+SHJ;+rjjHrDnf zq2imOR2^Ggw_gD!+0kKAS`~K`lMwJ*Ejj!!s^0(O!Qr0mBjPVHTpUp(O^)An6rs6? zL#=z{!)uRLw{jppmv{oTc{8UBOUv8G3}+GVRdXnlKJ4+P79{=w@T_(}x21JSw=Whn zNzk30mcRFLw)TS?FI^_4t49JsAU!&?X8he=;1CV(0 zlOWw?=>Z3O*m=2w^4(k{)IA=!&EZphY}u%ZH8X2jv_e5i?a6j&6{;KTFqM4XszRiE z#$274{B#3@@>vREckNJ_EEKM*fW$igl+rVGaZ;) zZZtCb`{H0CRcJsws{Yevj{x0esaV0MLt{w+GJY#HR)3%)noyq608yB>-8n5;{?-N` zj4OI9Htr1(6NX%)^dnmmfL_bg&6Oi(n*%wa8h*LiRro7>2`_7C$exk$=F7l}%uJ|s zyk&Od$+k9UDWf>0479)G)$(dMl_wq>N?2KS0q9DFj$vE5$pDSszHHbX+zgX}^@Pg7 z2XqarB6=)@r2DU|%Qt#k(oRmedt!uEf!H3~xvD4aBrVMozua5b&;Y37J=|0pqPwJI z@3o6`gm66EkhvYvd?*;v@~B~QF*y1KuW#o1fM@W>q3 z+wF17g|OBf1Jb}^yoqkaJDra zX+*2{VWQQ0Kx>8j@>259aAl8Be4YI*qp{eds|NDBM7Ac_i$_GuZ3`SB{GM$M(M-n* z=E$4+%5G2BUmH_JmU%1Mg_DxJ-gvPfeuUq8jvDUVOH5zTmDJ~bAb7vgs7~nxQ2|R@ zG@8kl+^X1;%nz*#z{y9)$Dz9+^`M#SB6v&L(c0Ivw^U;;#~I?yUfIQ@A<)abuAb>l_p_awV+XQx|r-o_4?)Z%JVeDs`tgmY6Iih zv)fdkJM>|ZzlJKFE=^7!p>laVlG=xd7`EZuds{yq8pa4W!|2UXlhpo6XZF;boa$d` zB?WuV$L31TD-T&QO$QZ1-qe<04y$$E!-D1lMrxzR)iqhEq7JV{!5cb!{OH#Y&N}}n zd}4NbStdfMFEhDHrGvwz+^J;)j2%XC;V$6Y0lx!4KxiRt4D-SwzWr1~uOnZ{TOZ|{U!sFiZe%#7K^SsbOfc3w z_T;_t=>^NYwpq;w4@!NaBe+!pny89Z71R|KR8?I#YYTdc1|mxPM>G+2OtUlZHwzESTe^+R2A!OE z#>Q_n;o;)iU50z&T;W%N?WCkc37XT~T&DxY7n})wKYwoAxr>Q;NOs)ODp)F&OsucZ z;F3Lgv8AgU`)1IjOR5-c{^X-D56|N3{CnbC$CexK6kAo8wn;~ zmzC2rbTy<9;zaU6De=Gw7uQg8u~8fp{{v*oY1o1HNWHjGV!u77x&=dpqJSl7s*wC@ z4(GG`gHrs;$vEQ|=3^L9b=C)I1h4@R7kpVE#>ZG;Z`BFgT5WxT?Rt+M4Hz;OS~J9J z>CKqF`K`o-=y{_8{07HJ_`NKfxUl|jYl?cmJ9#r%^4{-WjAn-5!OR{1CxQ{S zwF#Z>Y7&!`$T3G}J6_kv0806e5q-nPrh&@{WylK39R7%p$0}y%ypD2 zgBi`3Bi<)hv8cN)_$IB!-1sAJbnIwT`y&U>Av1asM4hqwS{Pr$pPg0G-gkEF+`7^{ z7-cc|Sc9ThAEpcQdeV5;)<5i36#jCnWh0K@G-F))dVf=rFBrY3KlFR-wa zaH&CBPXjBM;czj(DmDSm0;FqI_p~nWXJuspuq3*UD)%oJAb_TBX7|@`Na|iWJVn5U zy@)jjiT##flgmu6;ez$(g5|bxzutX$YIPLte)-q=E6z_l9_OTXe(?2eNGkgoUK>Lh zdDcQNGQ-@U{pD^{pS#AmdS6QUm`$vG*I>ld%}N;3#;7xEfprmRF`?DD7&FbwhlA5{ zht3rI{a{vW1r;?Y)ki>N4gd_W=)%PHB|G!F7zxmuAPxK$y0_H}n2kapcJ}+3i_-V}^a0l9ul|uoDX66+kJoXic)kfwA%AC<;WL?sJVdsCXf8 z?D69|&^%0o%@3H2AUc5QT7-2Un|V}pbh|W+k=gk9tIqPy!Se$&^!8exGMu_8l`^(y{I8wYxXpx~OzPe8r!7ocl z2=I72Fs*fZKD$p8sWsjo8{l{7@8)6nF?2I9t>mIlehDrg2HNtwY<22z14Wh!zm6fi11Zj}pB5OiW>IdN486Cr&FbkD3(Z<*oSo z^>KQ?MvZH^i*AzKI=I*(8ePBEA3u=)UOC8;DYFD!!YO$9somzfoW4OYuM1HlIvLwgI$$;&788bLdpCX)~!6Vp+G1VT+V z^Gg_L!k{%*v$_H-uFkAHJw1tiUR66ELNhl)8%if7E+aDy{T%e+;8Ox)(ea-@KSlV+ z$p1MzAy6KQwxEi&ojdYx^%ngoG|U6khupsU4OY4(uu4$8CjPQYu&rq#U3B62MV%%m zoa#-A=^hQR!|7>mzR%XjMd{A6C5YQJcH;60g_gg}+}W1|79>K!!cT@x^Qyb=1BY@0 z3`v&kNz${>P0>ccFq7;4{q~`uqmXA5fDSv&`P2J7rwctlnGZhSw1zuBIyxGLcwmDL zHWI&oyHd6H^njABt*Z+Y8(aB)`2Fzqvg*)K1tX&s?Odvd5A(l&-$6K~Cv|or9Hk(C z4fpRh_ZHXRjb6VmB_H4`)sdY0ww z^SdqShv%wC3#9xsHj3mZ#e|1*cwGE`V!#}9M~DIsPgn$40|J&aNR=?&SOOmlteZFa z+ls9f1 z5(J3CClQxWY)zSnfSJO)mH)TGLes_raIXL^i=T|&_x$`uscmUGD{D#@Yf8>^G&-{- zo3LlPe$oEYyZ6LB#=C~IUxkK+4e09F*>Q|JiPzFY@F0i<>65_50>-FOevssYhmSu> zJ6V}SOI4B;RuAv_3PS48qybYwLLzp8-!D>hkGZpLl#@n}3HPd$WnM; z6zCLeMKIM>2VU5TjMnln$vk>rNCwW0;DA+HP|&w(>t_BbCI(b^w{P9jXC;Qq-){w= z3XnE~NxyL7_m&t2FEA{t0eq-O@C>5|6>+F_*Y}}Gg=QG1H!Ncw8YY+vCZDW@ixq8= zRKXyouTK@mueLe2-;5_td4*j^M8^d)+inAr_CWd7|9M|sHAYE#~ zmE#JTA!G@`-B!f*A;W&HIS8143w5 zZM@)A0+a(yv9CB@O%x!@_spV08X2M-S*z%NPo;Rv2*C;5%thFSDF2UyeW9 zX~6dK^aPrEGK@Q^K0l{H_nw1!fPN{$z-)h?9qXN>$Z}5t1T;EJOLu>gE;662Xm1x! zl>HJNT>(LcU=6b|R?G-qJbwHF0>4}@fSAAQhd2*NA2Ez7ts+aR;+pAF;52x838YCy zk|=Y?Wxdjh_P*Lv-cB4!=b$72z^6C3ovwoZOu!l z!=^_7;Z+5RvssGwSy=KQ)C2Mmfw6N4q=O~va*)TucHXf6S1qaCNS6XNqsdDyfod`^ z81(;^lQW6apk8iST~jqGnI~~~1|PVS^ z%i~Dr<6QXXThmrtohQHJ^ZbRuSTpEihuX!TgOT0QZ}xCMv?!V=YwcqX9B@HGJRD`` z=*3O~?I@E9Ozm9Id%tuHcf#+CkTS%xx+1^ZIO z+s~I_RPE*+4NlQ}gem=^_F-0c|JLtt|4i`kjot7@wtxNYteIZqJ3est{PZk1g(ZN) zX+ph~lBSrUFMvM8}k0iUw$vV zt}~_}Eipx%)(&@k6n&mv48y$zh!Oy*HNNg`3U~?a1pJ!Ue5U?h#T74;DXYr&86WLm zDWhH#>EGJA-s#3aJQ=C;MPzRao$TpDy0V2c745=+9fA9n{u(c)BkR75r`NMG?3_D0 ziWvvq##MHx-6mh#tFEzXn0?Vu8}FbrkEZa^c!{u-k8$U2P?fJWb#V;`CKn8plK4>6 z1Z=f9<8Dim(1n(UHJbFP#}9uu)MR#Z_7Hk-&BpGS$;eBOZ|DQ6h)B+WIp>`*6g0E% zcfRyr|2m$2Zb5n10VnI@M-0>!vRP>Jub7j~Od)M?;}qK?qxQo5{LsO{WACh2X`9&RJQzk8#-^tI>xXf* zbukDwEIhQ20EQAzJ%TBloSZu$VU>NHAneBU>8>*J)6}$3wTg(HqM?fCX_!?xr{>po z)ipQw!F`sIUtCi|(#!gvb0OyWz#{!c>RfZP5*OF*TxzIkrbU_fCcVrNuglSghssou z9l%cMi6dePQE_qNGvOd7xqKjI!GNOe`@*Db3IK<@q+);jdBlpFe^Gvk(>r7)L3&#) zU6PzWVNr-dZv!fA4w)V{b4W&5U1H8J#h)tcZ8nhKQ5E;#G>cE~x5Mkz*GEYjApb-5 zg^$OYgrGVIQYK~OKL9{^K#Je2BKu4=rz9C@_I*j<75$7(AIZ9$$+2%_<^=`pJcz$~ zTmelP97QZ5)8j*haCS7g#&2}HPjwq|T!hmRzKb!=}}492K> zk?V&V4<7Iarp>Q~H)m$j^&84}X7XA<9|r#KyRzHG08Rj17B578)Dj@T6O0Y4KP3jc zgSKT%IKG+K_pMj&yB<}!X{g%T+8P+pQBVx%zpWRHdSgi4u$K?zg@HbU@3s(G!(FHW z?Pbn)69M9dZd+ha96rKb*|qs~c{xpG`Rt12kVgq$^Cdq??y9g&>1JT_X&iK~E`6q} zMDqQroKDK6O*E$faQ2MmsF0so8l~hh+mC*+?o@_9{oL-(S7sE9qc%xO=bH~no$V+% ztt#z{tze&!3N#ZLpiZC)<-wJc2w)c2r1Bg>Io`tV{iLA)zo7k`Rv!CGYbnMA~26r1Jz|*oC7Sz*5$v!p7NsM&V{y_8N#C;>U|1_f%ic3g5d-( z??CDXGD5e7R$K=MhxZ6{8g2}|kr?g{JemZpM81qavne;J|Q`@s`)qQY(+rnkYsL-F)Po=^@|DK(E1PelZ_`$M*m zRHAG)q@qJY?qj__zYj^wN8m*{3=v>Q2APL~!Z$1J6l_VTek%ETB(~CF&acfMXQg-Ou^|MX2EV-XT!A@aD%!-T76kY8elUa z;ora!2}6>Q{fIcDzB{92Dd!GDC?y-!v7Bj-1onq^CK&;C|>)%P~zuL ztkz&53~+B(SXu~+abH;p`GMLN=H0`z@M^bAiC-tVczy1bPq{|(YUYpV`a6b@PmFNy z21Tv32;d=5SAYkbM!~|+w_#~%X)snqxswPK=BB2rT!V_J$n|hgfiM&*26xndKXWUh zk^@k#xO6=^8Rg}DJ1CI#VTEtUbDx-b~k= zL#_z)XF_0m4;p+3eCFGET=kc(C!F}RsY(C*^bly#FlnUGjAKm%%OFIp1+zogtt29u z?Hn8~VIcp{7lK5*SeC{=(#d?`YWy_tV&j*?28Z(g|29w@FF;R=|4@vEqz) zJ2^Rl4pz0;sRVz zn?zc0yjZ`?22xLOLzkKvYc%$i%fNDeOaFaTh@~;DJ*}G-i^<$hZpTScqmT{uMq4bW zxDYK`g@ac{cQS{$!y$=L($`rO{4Ladwd<|qjrXakQR*K)E3+!IdVBj?nZrLnSWjG9 zST{VtTvAF+Ek-kM`}fu8U;iF1#+84!SR|yUZ}tAU36Sb={$kgNm$9OP_({7}l06!G znIh~X37Y=ncXHYaL}k-kLp%*L^KVJeW~-k$IC1myH!NJKfoH_eMo`1exfL-7^amE@ z4rjf}X7{f>socIQ_8*K?cgywCI;rb8+wz*v6B3Z$jig+K(j6kb$m`aEiJeoJ>&d5AO`C!QCvRU}HTyz9{Ny(8{{(wdUtBu^ z>+GYg$&Oje>tg=r4i)o7Iov1NPJt*=ZDaG4gIloJ@>@^Rq(ag0c?}%7ArBRF`&zU)E=17hlGS& zhm9QZczfeB!{%^t7p)ZRg#r<#xg`ghBO1UzS65dL_J$o15&KeIHUJEXw#7Z5EdxXf zQUyeQeAzh)BGm-?u|N3^d@$JupmAby68G;y1os`qf{`H1`IYVwP=%}v_gR+1&3V2Q zW3kn=3VWgq_)0*Q0@wqkrlcIWGoU&Df7=}x+aiLWnwy)!^p%R~@4>RR3$*IB149!s zm4U8*CVIO!?1N!w*&lLVb6?{c7T8g1Y8@*j!~%B_8EZ1Roe>i;3ns@w=ACk zMD`H}2mB3)W}n;-KXO{DsNnS%Vf&&0aR?AK1O`72;DyG;b-AB#X=`oGmJ5+Jz=Q!5 z0GRqP{@J|l!c9emz(+n7!Y{TiCqvLZ5D&5PYf+N8*HIDV{^JNi_^1fzCE+s0+zOH; zfkW;NIeT#MwZ~H~fcnL8S;Sjy0v{37z|h*(0%l00>6n<3(%8_T3%1fAO!@+T>F|P6 z{zK4g2YmQ|W`hojU$9cY*m9_i0aGXT9{xg5eIpbM8<~GO<(FRAf?tF@E&$)XJSwdX z{O(IlLzCk2Ix9Py31&9`IanV2k4uIB7i#oQ&9wWOnX@x*LeKE}p*phz=*1!B0*+v< zMhYCDb#!Jyup@%lPeHo_T6=`?ROJy6JODM(Rz9D__W1Oi2Ba(ml>l-wJ$%^fNT#JV z{q5VmMA>h$_uw5UoEAdrW9LBm0G9`+`6_sCgT}%B6ncIE@PLCtY@`}w7NBl<*4IFZ za~)ttlUOhcEG{TOaGMYh*q2Z((ncJ|So1eEtfjKX51BRjs8`oM{46=;vTfraR3b## zJ_FDTWbX(UT|WYJ(Z9BG!DfWa>W-%e#`AA9H8n*<-dmNp@P)ya3iQHxK*I^py_-k> zF85$43x$)fj}L`_v+bw!6$n9vjqh_@M8_hWGp<}PtmBTS|8qP@Z*hD6Y~Ig%64yo2 z9lfSk!5sNbDFfU@XP_{1w$RqqEd*Nm{75r!j5gz`Ks6wC99Ri41bn?fN#Z8!hO=H( zT@9KNG$+KFhC2fRP5$wVAQ?Zw36Z_GA-E$O{P`miZ(MhOe?K?e2x95&8 z-m^Z~_KoG}2XXZ;m%j7&J~sRX4mYqGodHCSfG^eh8s*lrD==G^8K z6bbwMd2V&p38n%H;Rk?NF+6y14r+)aD3+#=2*50C1#;uS>WtH#la8*r46GRbLrTOw z`fo{zh;M?mJ&HN%b;qZ-iG)}jmM=QLckm8Y6gYeUq1&~Q9&J{z7k>l9`^~oEQdkmz zkz@c99QY1I7FJi=miNKU%&CR;WriY4^+6xpg0RuUzRbSE0N`H)i&lI>f(0uvP{S)A zt8Ly&@Zx0NqV}Bg;lthZ1b5)(ZNwXrko*taJj4;g^_$|B*13^n!L))NnKr#v*7&C^ zA?1z|7J+jUo|?J{NeZXxYKn@FOoy02FO&z2wV8S^pkroaWmTR%dICH*Fyb4XHAdrZ z0`)@g=qMs?3LGO|r1tsVaUXH~1kbB?e%$l`hx;Hh9y|zF`1FbO2SpGJgJ3BFYC0$w zz`_ZhD=R8~?M56JRQdM|KX57JT7JpY65Awcz`>2Huv1~o{Z<>tO%`N@ID|<<;CI2J z!on52s=?tA!xe{WO>pcXE<(o!gf2Jp7a{^^+FRQMklKzA+IY}UmfIK}JLowlG)+LN zzHo?S=U65;7B zs^mjv$3SoXqDHfwTlbJa*Hc35{kF?Hi4F178r0?qK}=$s$_@vI5!{#aTZk=Rd03f5 z5;W~k2Z{CV%BRJ-)Ds^4UUxc^>8K~rjV^C88)N+@-oJHTeLLbR#g=|q@Kw&yYA-qO zUk^|huwI9UguI*if+(rD>jF_G`2P7p{JUD0_(%vSnQ1vWQhCD;7sy?%|9sZ}d!6iIjQsJB8XBIuk@Pvinu==d<8w?}Bfpqm*Sb*K z2!d(n1tLzr_ls`Fnll?0+_b#zY?o<;VowztE$u7^bI(`6|qj<>H(E-<0gw z872I`VzQZMpS-{B;zEhLn2H%*1@Qhrj$p4v@j8A748yoK*NoY?Pu*-aU)`oS z_4vn@xP-*~+?+F$Iz%&q|D=?oH>^P7sceW4^DgluJ!_2j-XH?(0 zy8eeJm-G_NAN(xaLP`JlgwzDCM>=?P0D6 zQ*M|mf||h8bR8z5pyGrQ0fu$9yps^YUbplFlzlKjKt;ZSdjr)IY^cDv9L`Xj+q4J` z-c|5+O-myUCb@O%5QgLs0Wdr`m@hFBO8Bp66X;756a1E=VsBwBghFZl4a8)qGe7%V zYP`K@q!&SzA~=#W<`j-wDzLR|?AEIvvRlNTWnY~p*Jwp}6A^gQ)7f0jY)e_LZ7>;Kg${{O8p{qFr4 z`sQysLz(nn1d_bC`48S!7-DJyWCPy~pd-gdz4!zKA|gx3TovI+muhh{0J&72pG&f;{?gZS|pP`tc*v!t$!{hDagS9kvjX9X|1LoPv};1_w3o--;wam^!(rC@9wmUC0Pue}Q!4t~D;Te0k>8rrV;j_q=Aj zO)|!K1YX}VB_Hosa49O$GIQfim$nOvTOA1^H%rVJ(vm1vdcu%&)i;13@0*`Gf!w>d z_ui{rr_{T=7$K$RLP3>kJv%%p8s&4@&ORSC&DmfY(v$!fm@Z1P$J18Sqnyc^_oM7% zH=3CEG#Ugtid)S$8R?E@~!w%p-@YFWl<5@{bjgZk(8=IIXan{fMD)C20##BDv8RRNe z{2xa{er9DCFpH3v$Ho&KVsw3($h=O ze1?}ID< z%H3obGjqzztC{}tW8O+bZ0v*CgL3sCU*Gu_wInVxNvb6H7U=o;Oq^%foocme?KiuB zZbb--d>FDnYVyM!Iu$4g2pB;qMsMDXpN(a4ajR}^>kO9A^#fay+ZHdUI?7d|Wwn0} zt9m>sGVtq>#rHKSr!g3@+hh}MqxUv*P;(iT$x))Grw6A3cj$H}&A-Ev3dq0~jO2NE zqWoy^ZUncC=j%Sx!v7Kh6IKKsDwEjOt9_}kw}6K7ruPpB-f>Iq zV%>z+2FetG6rR6$0S5fD5ZnZPnSUPmS>P`$Zt@E`zqanX`X2dIM|9#GchTp!%-v*d zecJCuMc2{y>TbPzQm{C$STf)%u#NxFrAmd#+QC6PM%0-eZ`Z7mO03KF8;O6Td!wI@ z2m#)l;OwZJ&C;m`V-7SkXnX=ce=5nz(TBEeZss)0SYqC=8a{W5{e*MOV*~aYX>?5y z!3vc%ruq-Q;|4^>5IAge-fnF(pDd~C7?0Wv5;+oiO+}@Z7t%)>6*tmn6E^QsOS+|H zb->H9XG4Ba$TPXnS9FFF`E7hKP}HuPD-EX?GEiWw1>BcPx6<$5ErELom^|P|br3ZS zCVd%aU+BglBpWdVv%h}_u9+j?6gV8L$W3DJHQ%;SQB|dYFA9Pe26V?T6aeQ?R8)lB z2W-K$wY6as1GV?gA>2)#AjN%jd3A+RMyAE#!qDF6e=+tJU{$W&*6_k2q*0`i4oT@o zLJ%n_L0TH=ZcwBIML;?QNeSr=Md|KFq@_d}1pc|yect!|&N=^e*5$Rut>RkGb3gYz z=9puQ8P_}E`bk1!3h#CH3C+e`t%B0h?tEoiS69?am#*A{Jx)>4;@I|Kh@@{Y(G8NN zQrFoRts@9t-gLv}=d3>~Ml?+B-%lLVkdi`)ny#x$;wQ_?izFAe8@YD(nBVtoX`G9X zEZM3h%-(=h#%W=}ic84h6Y2SNiv2pJ`aY_&FuQBKVu#D9mW(>CpS2S z3P9oo4(&QN3sMpYS9eOHL#iFq7$81GBd1d8@zx4IW(I|*v_al{RA|ThXy7*M{GBbLpWT1J_QcIVE2Ii|wa(d?*xY ztW4$i)N%z&3f~YdMGr_C$$i_Te4vFqATXua9W{w+BO?>?yCT~J2j_5M!>60sTQe6T;hc-1*KHm(gtlSOnGk!gNV#!Jnz zuV3!z=_!H+dqeYMUkY}0)B!KqL={s>fx_NEI&6?z=O8c=aI`RphO27wYShC7Zq2bnCo#mZrmY-^ZW73Jr+joMc zXWISK#gSB0$l(HsDnecg7PN%d=8LMPdr^18?Oo$IK1N%s6qi=+?m7)QReeQ{J~`Hc-7ms7=l_1s*n?b5~hp@K<5S_a)XVUsXTmdN%6 zDcXWa1q{1HfljbO)jXO=(~bS<1_4XO%5Ao<+k=EOg#^a)gRc%dcDhpAmT>C;l~@7Bkw zx%xERS;+fH^!)qxz~yJc5LM5&du&7dd0BL)3oYcc4cwQ?aIQigzkB`Mxl@eq$;Cbn zx3-$VQ&r>1nt*kxLF2)8Q2>0H)0l6dZDPX05axZC^ZK5FiXW+~3#0Y#!=dASbu9r8L{H zk7%h93jUH#YEr)38!fiCgMslA&2uIwc)+)IqEl)#`+Lpm9itw;s|V!S>DU`t=_yuI z*V=ooBs=U57gR`3so41>VjtD%qiSE33|d)HaV*>M7g%@XF%xT_9KCYf zCg&@uz88_?^tMXYvSfN(PR_DnDt`03rHoWh4=?ZI{^#h0MpNVv*c8+pFR0*6y@64i z`SPWC+)HKpsE7AWU}pC_9=3rORpL*cJXLNh%C!)7t@yQ?(%+&G5!t_=LA(D4X>6$S z#jmNC(|sA{-Iws4>|c!9r2o9^Q%5&3t|c#}i}R)D;&ozyxvBA=Z!%)9%$OBqrh(j`=bP`1zwr}j?MVz zhN>x`OTEc=1E1i*aA}ESYRq6Z8fn9lld>Fl`6w3mmZ%4HyAu@*bO~FCYG+MqDWDe! z?+T3KiLDyVUD_NleUz6=HY7E~QKufoI-7yIGs?1M%8f-++^ z_ye79VGIvDEeK6N&*6`nlpWrlZXk4C#-FCRS3$MFWBFFMNrI1>L=yWkzHUas&iMny z^KEXLB`ID#5Q{kXR*-q^vz$Im^>=Bl4)LZ6=EVtiaikMMiFZXCra7DCIQ9*<5(t?J zj4BUX;G=%RMbmjDW9lvE<+R=bu7T!HpKwv-Kn?|YPKI|;aIAtG!<+&diZ=6elR{EZh!9+ zZPM~q=#TZ~gp!Te4GrbHckXHTjb7oOahDCq-BC)ZK>HS)5!8)UC>& z2hTZYn1=@|;i+11&ZCLf4!hKbWR$F=e9|6nPibutq7o7VCe8Ds?@c zR6rn8j85;6XsQ?nvVUjma&4H+P}l@RYbDCzS0=M8Zrm?8#!j zgCipjpV1%{#EgM~6pljb$5(9?6y^&O4?I$r85y#NdS)DacBL{^)YY|Ym6i7CJ}>Lt zDsbP(Q^PLGG*tNVM0 zKW#yJdZYTYpRNf^7qPJwn(BWL3GysnShOHukPBYvV_z<}H4j|(m+(%$;l~wh(eHbJ zQlFBnY~J(ghW3L8u`c_cUhu3h0NngE`xt&J!f4Ef1~Jn!eK(MPq9_p5YucaObQsq;>< zovnH3q+VlTG+>Z=`S(qczn6|Z`3B$m`*ydb1+qL>ZsX(QTid|)&!(88tthRuwB5p; zd{H(_!ugm;$hZa9i;U($Ww*ymI|jVc`mwo3CqtVYjMJ!Byj&7cE(}|m`jm-5g}%6U z%UOr5_0zM5y3=-teeQ!SouFZYybclFPymIVC;ay_O8{8;oR_<}EL^&ED->!RYij~a zRX>I-Uz2?($}4QN?U=LQ)RgrQq45StEo`x)#|hJmQfV+puMVJn^6t~~oxfWU9evqT z37=$%%P0^PFZ0}mhar0x{hdC}OQyoBDfYVjG2Xg|?eQ(?HHI@T4O>e6R!xOckn)A# zKs%wR{5Ui-)78cD#KMAxnxfZATE{@i)$M{|P(cA$(BNUS;e#@lW8Oi5vo1W;Zkd$z zVXaS@(1&T%v2&81@$q2kb+y}2mDYxpmH#e>Z+go&5a567nUBYdkQ+9XkmM5f5cuTX z%(ZXgk7X!C7B>Abz~Vq>j7F|Li*&lqx=n=~LQ!pV*6Q5BNgUC8`vLH?*A zU2(1R;JUMJ?nk6x1t{drGO#@*c&}OLDlVY}NZ11p;5y^p`X*E#LJsfTd8(4z1r{6( z3<+;=RY(nu^??QF?!9#nV*im(5+;U*g(|s|lS0OO4&BGF)WYmtIk@I|xhp!19WLz@ zPfe3UxeGtSyg%!2bX1v;a1DUyV3t@^)pT00=9@HyRk2p!@MIe9)bgu!=6p%kMBa;Mp&uK8>3_zlawX0g{$ ze(e5q!N%H&&}auPO*me!s-;O_#L z7l?%7O&MYHFFO2%ogxqmYOQYm1WKdz=K%xE<4|fdj9eXEAyt(k6n5m=4|tFo{Qf=p zt7C-KdyHX}S`y=(zpyc0GSRLU7oRj66GHhvtDsb%{c*M_B@XapTnZQY5E{Ys)pb z7G$p^`g=sn7^8WAbX5tb#Am*g)-c_U%1=vKD($$zu9u&i>pmB7gZl}PMXDfI)zh1? z=*LK32tI%M;2Df8SJTwYQDX*K=5lx9s46wYUs+jOJKqZ{D6sbO@^W-6UAjXR zEeBN7XUSyLD_2ZSO)Uu_ml_5kD? z5~v~UGr-#2*LeLp4nqJ~RG*lems8M}mDSD0ed#%*iM=IF-C(*1Y|~iwPGl6@wHx;y zxS^!YLIH7`ZyAfyhVI&SIpvOprAnJIE1($2H4t|Bw!FWOO&wXuJl+j05S;U7em*`_ zig17(=d?;PaQ->!r#_+Qn8)aPe!!Bf7nhqd{nlOgf%5Q4L*uWXonU8n6HbxK{N_*% z8zVRvv9Pg6W=uhq4$?)~9cj|R5e)XJ0{uq4!MI2u&OkL*Y+DcZJIL|`{@G|Y0fcj4 zJgqsMg4vjXaBYjziWk8|F>KTD*Zu=OkXcAov|Aa zi!`Y2I}NM$CIeTv_Wcng2!Wb#AYogke}WBvyIfmk%%UPR^j)AB7aD@71b{gTulwj| z^L{I6D+!>l0l5?##?p*!xkTuom^2ni~#gJo`Nc2>XEOl~V=PBiXYMC<(ak=Gj#eiB7; zpOWX-km5&st27PB+3$6c7i%sEcJz#E2@eeKTNO*QuW87QW^?@`zB?e$xsfg>? zN0M^iVc{x!9-8#=2!b(UU)b-hHdsQ;=d)xhAR2P?zWF^AgrqX7wXA?g@!*X`DAQ8q z9`6d8$|Vrz@;k+@9Ek1?3p=gg3R%G{pf2FxYfrwHgZMkz^kyX3;4S$ghDOB(qOvn2 zv(5ZLeh5Qoc|u|I{De1~MxjpooE@l8*I`#(S;;Ya4CFmL??ND_2Px<2f$#YN$YH}o zvRMqJ?EcXLz)2n=m97QCQWfTC#wG*;l6@ZPk5UtcT76D0Y_x*&0kCi)iF9Tr58x0e zxjJPhm*~GN-oGBFSnj%BO0?(9I??-h7hp?b;-nol$sE7@VBM#d#=Md<=;U1)b{gIo z-xKZL2a40L-DspD_aw!xubk@}v=r~pYUEVCCRMJJ zFssNTH6BhjB0n^lO(yE)20LRBSV}_K8z4S;$QiQ7{bI7wvYu zPU{0ThRao2A|B|bGKz6O^51K++%AjH_VgHdcVJPm+_RU+Z{g(W8I(GkV>2noeKE`Q zo&Fd3$!Uz6d=-uN)T8sCGz@T?D#U-^oS$cjYG>EaBNF=<9UM50R$rGCoKsbG{Pk&R zFfF1f?O(k@+0!(oE{2?_Bcyqq8!6>qm{iCb6rOuNnF z;$U(fjaUtaeR~QQtE=vzk-GE0IseCgN@{yXVPn_rpDOn7J}#DJvn?&Hj0~&T+GTuA z<=V_iR%pyO^)VqiqUOoMcnix_(O#(hOy02ynN=s(n;@z~q`Yu&S{L431uEq(oXSlG zyjhxDpUrYYB+GU1XDOL7b+Z_c-hJ4xdBT2@JNz9qh_FxF0w|fp0&$kZ{`$CXtqU|$ z2_mGZ=eFITv$dl79kfqn5Y7!F@WA#P8Tk|7@EJ@gAmz272|v`^^Dv2kxH;RL=k{mV;Z`Va&jF&G|IdpgO~!vH&A-rOouu~ zU40}xa;!Uf&CH0Vtg_OB1UrRYkFtj;cm$^`703vk4W} zojVj0tn|=08?)h$KWDppWi5ounTx5UC0XcYfdd`h%g8YTyvY^fYYPu_fb4Z>aN2gU zj~K!|9=M1gx>^4!{-+M=sg)vMHTT9@6Ww{G^}nvzIH_40wi~wPzIv`ng<4cg0dWh?R0pHi;LZhPzcJ0uR${# zi0t26&9}vK@qx9rYMW-XApAv~h^Rx)CAJ{P-L@~KW;W(N-ms@AgO0-f)_&S(laWPA zJPe`pTyIHe)g~cbNT`Sg;K;9|2rbB+|b~Dq+z_Vv^W@em|0@9@~ zx2hs~*dE8r>p_(Q*AZ)v7gtG3YvW@oY)OtZG^fl$`Z(G(L0AMZlfv^Lfm00wA+EIB z&$UP&l*`e0?!gazmy^?GjH>h$7Z})(Fba0OA-ymdwi_NkI&;_+&($k-?zM$VMZF;q z7Ge(Y0GZsO5Bm~iE$E$0!{`bpp}SVuM)(i1#{+5L@6G6z$Z{?Ux5j}epG2yvK?pbk0zl9qo~LWa7<(5SxevuT>i3m!YEW!n~qDO zoi6w9Db{d2{`>)0vF8riGan^~(jGrzcTX-)FSYGl>x5l^BG(V24;hCK*5g46L!s7w)*Z9m0lq zL!prfU|7b8^~3W&gk^d8OB&sc%^_|LwV^q_F>y^Ttq<%_sn=WWf4d*WphzadWU%}6 z)U3mHXk_|{y*-$}wX_~->D0JQ8U$glGTE97=8a8i_xtCTkGl};=MuQILNlq4gR;W0 zQ^H2)#|XU~Qy|r*va&S2p#F)Zq=Z-D z13tz&01!}21T!+WWfT|hefu&Ah&h?J6B-NH+hrfVc8909mHsL$Ej@J7BQ}+SgFZXJ zA2*I9(2$}Xg>2zzL+gt>R){8Z@BB&AMBLnun6|EXX8~v2sr2-N0Rg+2`^VF}saMS- zY4OJAw|5!$XRz`L6G#DI#g3pY??B?v|5I1^W11;`% zI(o#g6SFd@?psiBa#B2RTT1gT?ywwk**~%eo$q$ei8;T#jzRv+?<#7QOWN!cu*QkO zJixrnOzBLOaxuTw->UGG2Agc?#*~yyq~aver6(@Ba*E2bKZUj97Wua?ScU)1F{CdL z{+EH~zohYgn}2>A?ku7Y)vXIPt@o2e^Df8RUIY1z&qU%RuMKE5XVI}UDCCl;x};l( z)ZJdP?GWi+X&EQB?HAX&tHs-NiN+?!7ni$PkpphuF^dR|$hsng;IWf;xnmGu-NcgR zz|SAO1P&NeV`Cz7OXo1VT>BnHOSJgbmD?OWJ#L{_I&OEApwMf!THU*Qy^`VeSE&q) zgr~Gbch-bNxU;I*+*+LHH20n77|cjQzX!Tc9yN$bm!j0-H#QmOjE~NeXOoD3$|BLG zjW8fkw5zgpYiYo2Rw!V@<1M>H`f!P}1~aUbYbO0^N!XN)AG(j#?Z}X`(VTDl#`tx; zInPXQqTlU1mc=p38Zv!&-NzxzOaDRk-r~uNnnGh%trFncIe};F0{i&KLSqVL#%rL5 z_E=7K9oqx_Ic779ZjD;$3FY~rOrRmSK0#FKw5k$lc=r|A_+F`-u%3y@A~^YeOg2)2 zpE__kk3L-M+d6ZyD~DVP{xhw`)*WJ|20uIy%R1U_kzigA+j)TuLv3+ z;M)ep`y`mN92|baB#H68Y{g^@G_*b|LSP&KQLnANucwEBLX$Y4P6a#m`SECDa4=}h zAC<`tez;JtUGGt@#;jXvs$gmP9XvPy1w@>F{Iz9pOqm8GB#^*(^?hg1F%}iEr}i>H zrM$JaR%qlS+q}oFFAdW9jWTwmn7<%nr#j6 zwt2a@j8fOoad6m~ncK?;|D5% zCLOF#`v86z6qABqyf_AbIJ}b-@FN&sjY&<_cXzKgEq?b-s@4}5`E&uFWiilfCHlWH z7uk~TZf-Cf2Gnc7EJAn`?7PKlV1&_CSHBLIJ2LV%$cG`_7_T3|Ch#{7j*c+?32yW| zmE0wM&_$k|oz-|DNeftJaL;9=pd$e@Y9BTFcw1UBJ6yqoI60^QEC?ZN?(zkc|GFBA zI3lX~v>NhK+A_g)*|wu)OW+l@0P~J#@-+z z3by*klq1r=W+ZB1`tDnwZu)HZv8!M7t%nZ$Nnop}t*#aj6clw>FDrvl=+rNQgAF{h z7M7Ql;%^7&4(76>3#q+e`y+SFjE{B)K1bp$?)bCJf7h}8 zAmd3yb3(}RKGXkGH*(bi5FMSE5QNC50uA6aaQlH4o|>BS^7aOTCp(3yCaQB0{?a*A zml4-%fWdZjbR1;y@bDnKex2&Cx76UWmEkR!_GPc-HYl zXdw<)>+O3``a@QC_qQ-&F0gxtefYbiLI(AXUhqhI1t##Tb<%M*7e0w)k`raJs-2v0 zhPUWQ{dk#oQ;s>h5N?ULd-4_4L@T zVW_I8{4Zscc+buB=~~w!D6atKgMJnGLoy)ML8&uue$vNaPqoLzdzuCw7vP(@KK+GKloj^M56MyywCV|m?1db zq*mT?(%Bz`2h;qyCx|$-|4I}>qzU~IS|NXH7v&GUL4JLVc=^(0akGL%CvvRJsOQaqoDF)B>TsE5CafC~VALG4;JAbK_ zXztV8ClofauM>ZNdb&CK%5cnA9!78j3n`ar>5B+ILcUMr>wkUUe!pAD$UovI`t|0e ztgOMbQZnqH;;BZ_DJc|$g!={=44T};-d30h1AbRB%`>?Nmgx&1Lof==(b z`D!soLq`h6BbocqKDIRWLov9LeJ66Y(~MJz!w`Y+*DZLfcu`!7cRY>CCYbb@DgT{8 z6p9@b4&kH*Zgj^VsM-i3iD8o8-+5{j(4Rt@Pn1?Mcyn{pZUUj$ZF8-r$ZN>vDsH+jlK76``9EH9jpDk>Yagtu2V z=8Qz4dp|SN08Qok`g)wy&!s;+?W;|5{SDdl`0q;*WGfta+6S1Pe(|4mnsx?gAQMgj zNt{4V12o75(EFf4p`NQoJQveXe?@NcT*4oyya0%a~tVGC^}Mt$#FVMOn)ytYL_sAJ?^6W>QJ z0iwJ+5R-BW=PMGP+`oT+`ry&d{;_U+K!We2uN)RuDmjU$=ZRA8#M)?GeZ!L>$jjjl zg|`8?$BMvT;_V^0l50-+10+83RuMhI)`SVerrVIi;ST1U5ZPR{{Q<6kjor6eb@uat zS!=Ce1xGD1!`R8us{nBI)q!$f_jtXu7aBS81y-)4$VY=(_vDMk{)S@^O;*t8#aSYQ zA3s_B^emZ&EOJy3Chox|Vn($^$oGEfrFZw#d8?YbIyA>~)bjRGDbCbjSVKp9`}V;> zct{8*AK#WfNq#bIL$4yqGNr)=?dsqwc;nDtklF<{4zJ($bkujR%!GYf1aRYjcFK%` zTvRVNb3l`a((A_^BO{v01W75ly|5Pn_W-U3aOU={6OoW4q^1@rGa^@*catKxiqD{r zf%hPrqbHtA1_nIh6A}@{#mDEm^FddQmiBX610!S*mS^ays5~|?2{;J~G6~C2-S{!a zb9|hCW`)V&c0?%7&U5`cw;GdQiSXx=RL-eUSd}EtvOSpZ8U@Qhc1AuFuH{wr&HXiY1Kua4CfW z?L}IpForY=z)A892A~!o;b4ZPF9gj(b_ zZ_l@ae|Zh;)<2HCpuBP^{R_c>-qQrS4qCPF=&C|&NJ2v1iL)UoJ$E+&!0iME|FNtW zaHNy`95sPdoujl~U`;)ZzN(z$hiXX-Vxs z9iFoP^T!V~Y;5@RDSWninwn#vtnaGG`vov@(;~JKE+iaaU?Bq==&SH>WELuqH37uX ziUG>o-ulN6Kv0v}32_}ZiWp;p(=H5MGF&{5^`LKWh=~+?HC>gFH?%HI$2g$jVK9`)vbSeRFJ$4Wceh4)U4UeucY**tSRXrkL zJ~}zM2s9Gz-|w_-s1{RtU0&{NZ(nShI540>F63bzC}#*BP%z#&O?P#4Siz^J)NfD?VK{dc*D=j6p>(B*liz}$8 zuDYp7Nh}evP{!^$7sK#SsJ(bXxlFtGy$(Oz@xG67=^0G8%u~w)@2^;eb?3WxX77SH z1+fwb%Jo)urdEjp2~+q*7PJxdLuxsL%9)6cpSYbqcOCSDs;JD-9?g=d!nqD;4mf6; z*#>e-%JVT%rs=Dy53s}YiC-x1v7aJK{P~xBXGguhkb4R{Q)x|2LNI6-z$`uMeJ~B! z7wN!*#SRM*$}V6zmta10=jP$xj>7tnV(2<2i+EO;X3arR1VpFm!0=;Wd<_i^AqQoR z4h04ZwF4)KjC_Ul5YRe*`O>8i$@>2b>h3!of;9|@382)3Qcyyw)^$q{c02&Wpy7cq z5`Kq;Hkjc=GW2i(a4|%H%(^d=ZwMr^>o<6v>|0NX?Os14L~W}?_%UC;gD@8RjCIGK ziilfVdlHsY<8}+Fi;Ii*^nutYi*A*nVdZ&D1Ky-+9hlvdZjaB-`a&K99A3=Pa=B_V z4c;ek{(Rkb0|i2qJiRJ&G~_-5kq=(+2~YTLMG!%qs|L+4Bx-n;|a1Vb8d zwXyNLB}-0B;7A4(Qz`K9?9YC=N6XR0b~YY=ztw%rq?+{$DlCiuiCnc5F<&2;ds=}A-JD_=^u=Y@GB@-BqSwy*5Gytx*dhMzuD9-@u;?xEK&P?n(s37 zlfPR1DWOk#5h0xXNPRg0!_kv}3b!nqg8TC_y0Wl= zpUfKl{WP-F$60SDN>~06mU^T=Q<}*4Xt{uzA@^sjrY5;ffBolSYRu)p4So2~ z_e=KkP#fZ6Q`#MTXaY>Av@)w5u%aLV++{5LiyS{9PZ;4d!5i@p%h*X(7dX7g0_!3d z*2P7Ryhx$GmNz`M4dqK4dpKtWiGm`*x?;{AeF>GLB3^6Vl^%i;5ZVmS@#r3A^XD&L zoOJ<$0ro1arw0}7;5?DwV+w;C;J-zNCrww`OXjM9w8{tCwjvuucz6$#mDALi;TVLl zff^B*qqhACjhN)xVa7Y7+WBJJ4)@%@X&!fq-Qyjj6tz9Ba}2*QM89Hudz3MI+(QKrgN%v4#0 ze4}7S*o1_Iz2HDjE84xulCxI5c5IdhlMjKh+x{=d%-LRNoNk%a;Mi z-8$K0x|VbC!Pe6|>aHT5V_!0IbD0t+w9=sQXrK|Kzhlacg=D#M@%{7$)P4}CI28-t?_h!5^V4g zn-t5U_1MB9_v8V_ZTudcUrDE<-&Cg8bqciVlJI5+^{g&-z>Gg2j@#z9^`NfuP9BC% zDzGz<-B}Jy53)97V`g@6cGj(fV74!zkiFRWWRy)88=rzAB`Qi%%Ia!pRx-?nDXOc} zDb<2{3t;1G$hmXOLP%bm^uKm0`_XTQF|bhTasUQ~$+%i6W#C)sdepm*ruXu9hT6u* z$E~fcA^#u}3KbYW_-=kjRIYZP+05FLUY;RX*I8(X+S_A4M`AsR|WTN^nB zWxq@TQb70fMF4Y3OG``Mc7!`|0TT{E8`+4A`1onui z0;3H*MzBm5gR!=zrlxgMU+cjGtp{&aa)W~}NsVAe+vRqJea1wGE0u@sLb_4^skxaB zza46&(z0J0w!V(e&M;zO>y!YvX+R6*qX6+_*n8*E{tYhPy7nT4x3i~bBxT4H%<>aT z*RkkeU3*8TM7*-)=U~)r4tzc1Pqkp!dyOKT4^|9_ z9DyooZEZa$Es}|Q;oxT6t^7PMwg4IH6(6sqp^;Tz0(O4TlYm4Ux&sEIP;&wbc*2_l zI}a1n5wg__p;yQVGXPdnWx$HU027$)Vg0q3-|nklW#xg;R$a#QGdsXk0Q2F=VAcF^ z6WSoZRfR4a6A(oRu#yGB?-$x4AjXd@GQQcV=bAxVlfD=Nas3*ig!1P6M}i+8IKNCx zc)&;HvzY>3hVpnKlG^fvRlC>g2X}Gt9(+8dGc3&+Y=L%$cA0s9qOHr$dN1fR4uG8< zo0ur^Pl2HSJDy8`WcOLLD_F$Dd_gKq>HBLN9@=0=psnGTzsWGdcT-4c^eR=)*bx_{XUnc|3dxIh53N=6f*x(?+ z5hNFV-E&tbJa`%yg!pmPP^G2-IkoHo|D~3k9tZ zrptdE_sz{n8aRBzEu@GK;dcjs-1vpRK<)};uyP8VsA*(te@Hh#w?)jAin1g1sq|KG+gF?!R=hUe53RV=}B z2;(3=xgEy%@$L;n+OeTOZ%$ZE3Co1oWIU%titm8o3Vx+=`uUd)TgoExXQpxj3jb3x zcRepHEe+agYY+|&L}E?hL&j^cg^ubG+_=%oaZro9?N$fH3*=AeW~x9?X;M-WAeFt7 zArHC4RR~aluyAGOXb`Xh_{;g*GDYn6#SOSrmKWzJ`n=B_^f)TWD!e5W&ug8KjeMCI z7^J05GsUK1Bh8?|Sp^;0cCeWB>9)&TDQwKWT)XOlc@=E`d?J8KoY3mbq#* zrrjjS!x45x{c_7;V6q=r{rO%^Z$!FtBix1ctgNiS`2j)3$*`!X_i8w3mj%p(;)-ed3kwR zS*?`^O`YI4fG!&kQlO54f`U{gB45yA`w`bWHM*RD-c@yhYfj{T_6^fKGgouHhu75h zN{}bke?t?*29IYTuIVBwHVn^zxOG8M(KA4_Bbzzj{yU|{09Wrnk;K3K(|ufDKmc-x{Q6@s*5qrI0*n=E`aD(Z z%FfNr&BBtOm4%FngmZDCb;yhCmawoe1H*DiCTvB&UxA2v(WNNUyUf_rbjQ={#giq2 zq)1Z%NDwd`4}Ta^e?Q=Ef!bms6!=q+0|2>RT2?l+`5>K`Ie-pQPIanpk6Cc(K?x1! z0m9^@q&ISO9hiTz^u5~!43 zeJ9H>-leLh#`u!zn@-AX`0zuI)X z!Bmzc$f$Y`S8g#@A0!_Dm*H7+mQQ3F1B!2={0U4Ii-?RYv77q@ixb!+f`45ny;gbm zLVq9L>_nXe7vD<+&b2kgIfbf59l-KJTi`QqfYVP9On&+N8F?IsBHQpoB@p_G94iG1 zjw~r^XuSfXCj%^>X-|@tva&c#;rayhG`t@{XxGDU!Sjt|;lQ_(pS=rGbBH7px_R>^ zXrrMPbh5Ya7qQMtD=E1RntfVYTG&G1$%d>Xc;o-J_W#Hn-8i1~+QGo)gOi}^cG9|h zwpLaPJ4e$L79fcQM#@y*kv}O#l!K4&01~>kYVO^4B$Y{mU-@UFLAZ4 zy}dt0{vTo&w$R9`6PeF=f9@he{@=pM`^f(fJi%A0O9=1lgd}&vR+C+r`qd!Y5tF-I zy7@(o*yWa-PftA1v1z>D@Jk)GKa8%|;g`%&3KRR}|IlbHyKn9jv4ypT)4`RNR6373 zG57EGiI!!~Uw@xb5ewY@XIU5hzX)TXtjgpM3=ZOv89l!m1Uf7`zbAixLaosk3N$?D zguW@%xy;ddrzn4j1q9;U*YkklhIIWo&ae8b7eaNUq{So57Yi8!@7^lGutsx7=PIS} z`H~SA=^;GcpOdjv`HC>b$izn|AuBGXk(Ql_h}yo1@HMWQ)aUEwU#{lsNJ(kNm>Bx{ z%Gq`7VYfj0pEbVXL^ubnMCF!9E^L+GfE~ zp1#=rSZ6_tLw7$vJpcVmU5^#f&*NfbX(4$I93gp8Qooc8;=>( zTsgjdT%yQ;C5D5`@whL=Z&>r5Z79>NhecXPJ;$x*1)gV@eNE4H*bj@m%%)Rq3-kuZ zNq{4~ih(hKG%Y}Lc4N8IxCEZ)vJ?V5yzS*^-j)`i5YC{z0-aMeX236+b#C^qd~!!b zrIGM3L7ZQFTwIyHI8W=?m>YCGA@TC#v&*{q0{r~^Vq*GiNI${x$%$(P??%62(+@YX zzR!(MKOBFpEi*Ly?C0k!a^-Zh^SKBXF>hSq(}u8y{K0$8y%#Tq5tZ?O6|>zjEY<|j z?Fn1Ds;VmAtJ^JE1bU~XW&QbTdeupiQUs@ZaX-GR4F9^tfbDc>0ZnBvF7qaK&u>G| zW%x(}{t|EPAW0t*Q~)Lbr->p9VIs6(PEN#tB}YZ=1(b0L2Zt4JV)I-WDc>ekaZWBS zHo8-g#C=~y1%GE^bo2mncmch`($&o$?CxfTa*m$f6uL<}OWi__OJM+EEG(E(dvUO_ zA)-5@Vi-g4Dv|n|>|N2!NDd+_Kb!rj5nqC03qQ=Ym65kX7bCAAPQUg(?s!cl3Fej~ zs0LDn-AiEqHhb^5=WEeX?zI#k*vSs5U97AxyG>#CqFVQ3xl!bw-SR#61Vwb0F-X9B zM^x3+-T+BerzkI9R#9;VJ+qmbI2CXcJ~99eH0;h01s0^kw)Hp}nmPC(#?Wl&61;rj zBMfxpvz-BcYiWHwKR`&v3?*YS=Nz?`HD)4OQNtA@XW|3LWAUaMispIBaZ6o>9LIvG z8;OC1hBt{vstfxI;+#LQKk*sdZD3#182GV+K%u#^fqrP=di={hq!pSDw{PDD78`bV zKJ&h`l;nGlCWPEy`r71&7iHED4qg>#X@SEGs3UlREXK;4Qo+{A#XVRh7ZVL_tjt0c zh!L1u%cXh~*Vxn)nm}p z_W-;KT|MiihdM|H)`gZf(-e4B7-Duwy=Gx=Y z75A=3joSOIS*yDGBOCALmQgO;#hf7jk@_$T!s^e#YzUKE0Bb^`E7BW0)F?_P#*<~Z zLV5Mp-HzZd9SuKyyWVM)SGyWW1*Ja5U<9WF=k41Q(6WPO9<=`YI=QCcvHE;{d{P@LU9hs;=ygby<5N`j6A;xR25U?%BB2LP7Ym7c6PUH zp5}3BaM4Yht9av4Ps~y=KtBn=Xx7%OcyzS108oip-#nhh=G`2h0j2(yao(+_d2U`mlh#5(Gxo-X|57 zKcW2t{h|pSlD=xc69r`h+`trROf*efqrR;M`LV&*hW@K0E1fHyg2~*!gvFbJ@2s77 zl##cqzg33SLQhKzk1+%_xxiWLDG>IeUWY`mMNVmjFJJ7n*@~#7i`_ceyu7Uu(U!*`@kaDOpfjO2jRUGXr!Bd&VFEh4u{+4}*tfZb z^Yz;o`?4}K;nLUb^ayQlZ^QkvXLn?cYEMc!Ba;IkQo|a`6V#-h%lTZI-XH$!luDcR zCiWz`ZN;y9(VN&?D?2JM6%~z$bLEqMe8p(^hjA-DzDjVZWB?lj{kbT)OkLlzgn(8-v<)O=&`2 z34Cq9(E{u|1+7co`}e8GM+3F4_qS%$86iN|R4PV}**Iz}IFPYDEI3EHzl&w?SoOlw zruKFVSdA71US~(kAVa$FG;Pfmic1W1bPJJXK&dt&ik45HkB&njykeyeQ*W-Kp(!&c z=&)4+s}yV22mDGwY9N>M_o0#Aj(!Qj8+MHl__=z=%=5zijm?hlimfAm4(X3XamDDh zDH$2}*1{FI)(hXx5|4Z->~D^9HmqFVQ5bA($Z!7V+!Kboo<&4Tssu^7+}yiBNy9Vc z`<;i0{Hls_Ag2~HlV<-H6=c5~&f4OtJ^5lyFHmSf?Y*#IZ2Ic``zLFUWnj7v%#Hxy z>IL>{sOi8m7#EC;uQB{|$rM)$1ouEy!Q|hPyu1#mvRhltV4K;hKhQHX^a7hdj9fT} z=^>z?W`M4;zP|pj2}>R_g&6udP}sx7jSZXIa0CHE07^q>fdnIcI!Lz{$Tgv&gI!MM zB?*|g*{s=!VIxLBler!>$!M_2;C6nfcF-oKED2(lfRr>5&WIxLfv)tuojzSZ&)4DR zv$P31XMJ>i#`OOy>?@$ETDNdF1}YMwNQaUlNQ2Tyi-dG{rywbF$u`&CT)LdiTD!hr`3*AbYK~*ZTiCznc0W1_N88`~K$ck~&Q4h09)CtdITN znv~Dwt@@j$Db&z}cg;p@NEH5xgwBV3d~k3NP8tmR(2KDlfkPwX6>w z?18!on!da5JfSnmrBMdHRRt_I7q9*#4H+&9M2@>QzkPeHQtJfJ-@I8U6QF#74G0ti zFkQIsNGu3{XZUuljLIjSiV|l}8b?!V^v{aPqu6|&7(HA+Vd>wOLbr3PA7Z}Rc#OF~tKsX59xt3%5Bik04VA>Z zw{Crg@w)V!Z#JbV7#|UaVmuOIur$zz`U|zF*8j(%>UQqi>q{OFcVqAiR~9~D6iP2R z7P@*G2P&+bldEwct89!L zN@8v~fPU;pw1Vtoww&*GHn0EckA}A7ig^G<^t_N?q5fr5eeoY9Zk_@Na6I>MDI(mg@in}euRXf^$ zS3W+Tzh?w^I^n#8|MR?jUtka>V_^ynA8H-5BJRx-bC42aciMWFiPWRP7f#8Z!#O)< z|HK*Z{!3SZ)`*G|LcRXI30dc$Ky+rWVq3Hdf4)qJX1UbA(4RSh@GoHBo4uTx98yl~ zL3Bw7F@OF}Cc-%ig$ZjFa+9|xEU?j>!#mlA4}hyF@$G}nRyLb?iD)(NFx4v!g9F* zs?Su&i5K*$wP*)jWu(+hwtU)8uaUvQijN;109+U(`FRx^yS)Q7!wa@M5QRwoJs$}@ zkUm%$t2EVOVMW4v_VH6e3&E07D9=HT4=6`NQJ8LQz36u=dxRAj}^3C4-Uw+qcY}c!2aq zMzoO9PS8E{m#>3vZ+X0~4njg4)7}cFKmzZ^<|Z2}>ut&#H*UZLTd-&x?C-N0Z2hJHNp9jL``N>1+@Gz7kgj3#j z%%yewbtK*|dZG+|%y*?h&!-dtifuul20^F|bG89kw1Z_BmcQ8ofSwKy4xpw9A!MBd zBP&SkVb6xY#l^*2J^0VP5Td>glTRim4WQ+sG+0GgXcO!~MGIIdV4!ilt}#LCP}-_9 zM!kEd498Lfv+$sU&ZY%zmZt8>-%wNx$2vz6lEH)+NmShS=E3hv!*y&0#^NQ5JEKP* zm;~k*7m2T3`#fQL;oQR~PdWi6RC)@Z6lA^^X_klh!z2Pw1=fO?4`y;xYCvwW+gc5b z>^s|w;L``Q&E0o!0Q&^FLdd_h00#$9AxeY!0w~=xRX-dVl#QTY3T!l(rNRWC4Fv^- zV_9L4tk9h|++XT4xhWQ=Ew{1V*eZa(@w*Cb6mL&l!HD5bsT z1Cp7{h$}k?M_fz{jGd6p47brZm~-gqAMNx5*H%(eq8tH4J|Fp7M>0jIxq+2c>&Q?#=TB!Vl@XGssG$jdS)E1adP3f^lD0C z+i_t$LCiq>HX77`?h~v!@JJSne|Z014Yo}SrO5etGf3I2zDYs?TBx6p=z-E1J_Xe^ zC?rq!Hq+C8{nDcZk*P_cG{p0wFhusOwo>ZWC-jT+l-Fw@of%+$r3g@<-k~AM_G4 zzW7@|HC3)r&ob>@Iw>!MQt?@Bj}~Cxr>3R=)CO@Cv^FtFg&Y_?mWG;hbvOfRE5KUg zLBIwfIWR^Gl7U#a;L<{C4N7Xjz98m5U>@32I0u**MT(u(_KtpW3624f&>3h}V;$`6 zZv=?W{|$8i;!u?~H^9Y3-f8w1yC+x8KG?kZB_ohYpvs^z5c&X6kI@12ua8lUGMmv@7;Fmq(!D(wN_hC#xVXkD?dfpAM>oAvK5nD~y=G=+c6O`>e-L)U2Qpg7XF(a&hkH5v_3uPQVZOEod)aeS3WH)b>sc1LF?qnQ zJvSN&h8au1;04GVc<^d!YZLv!EDzv!C@J?QXwt8v(!8PJ9CzJ^(UBidQw!(dSq=dh zCnt;$X4YbICf)u+8R*@D?J!`}Eq(keikt_~*a0%V`yhSR{?}(Tj=vwPZJaWj*P3c- zdr(((vGy|CmN{3pA)d9gy z^6fuMMY0#+l1c?=Vm1C!k#s%#^9xvR4NCs`8HD%2o3L}_nmo9Pwr7`SwJsY2Lin4~!~`%4LV{^J8BUDj0um`?0qxLB@z?(Zy{eEn^(V`+)}W zk4I=fv8^wmi|xZCx=T=*K6?1f0hbsX>yp_6q2ZJX1bo5!q;4frYF5w~)*$HEgj391 zG0XS=92LUheBeI}H>2JLe-n5@_mj_Jb5TFmiRBUtlShOYM#*C0UPq!OGy9CKTpy%B z{4zg-Qe71CU4w4oT8n-_bVfg{bGovOgGeUF!c!!z|MsBWQD29^Jyx3`w``Meap#Ls z-?>)lX#lw$zUgyDJtsFmC!~vBGfeYd5aI30!D1q1?5h4HYly~A%z8GO6u&enC)Hh= zHUc)i8n9i|V2YFqzZRAUMFrq?z(Sq<0UjVft9kQE9W`}t8`asa|N4TG6oII!>O>M^zC2L=YB2H1s%b6E{ugDr)KC@1VDU=oQC zk_2@KKnjP@V*omAeUv>uH5E05sC626RKOCcBbs5T3XoSqqCnGXG5rR@ z2J8}LW%zC)Bz)v@ckps)LA#yQ@vm>nY7C1wV4%6pU@m~*7%uR~L30Z6GY=f*pMYs} zs~^xt7!Vxnb z3RZkse1)V~lhi5+ETu+T5|xtBYMZx_Bj!&wZW+%}yn%ZlFpx48R%}QNgs#3do|~O( zx8u?m&}~_dRjYI65YIF~0oc%xzO)X*v$rO<7kW^#5g678u%WjvLDe<wvn0Mr19^}26D&^~ zzkLH~n^Qm3PbO^#F!9d`g?!b1{q}7Z=&05u@X^BP5R{^<84R0tb`OUI-$~QjLvoS~ z*qp%}{nsfcT8z3ehi*BI6mK~SBn4R^yiZvB2V;#y-&w_uiq42G$mREcug-hQ?YeCS z=r5QdV8pS-{EZwXSGafJ9mlHZ|rjcJD4 z1vHWFa5jR#=Ym3SZ^XVMW&abDasRUYOPA&cjtSxZG$6 zkJL&7sT)E5-$fsZGg>^KO!y)jg~O!mu+ar8^GJo=RD_%W>QYc#8sBrFqssyYclW9U zSiK>h3&w%w9y;@Y^603kp}`1huL4tiJUp09Yz#$Us}Y3p6&JJa`e0{dWdSLgM+@?G z*Tr7?vrF`uuafh7|boTaJ>LQ9_Vk@J(eH(Vs7ny?{?Mm4>IYY+qeKkE1 zLP=(YA$pLtOv7&=PZQ^R#Ht{r7@WV}^YUA+Z=vAbFiAjy%b`es`vZ0|%AZw^mT>7{ zPSWJmQ~;2J&4Yt6^g$@W8Pp7`@557xyZYPPZ2-psCILDhBc@DH9snnBJPva{C^aBy zMn{KyE9~E^2ye2~QlMW^Hwc_8IM={BO~z@_3*UHqHeFVvgyZGh+S=lFD+`XCUsk*} zVR7)A!N$g$hqe1VV>N!k!5GfYhww36$6W-uiyQ}!$&W}A9sz%w;^rbGva7pSfg#t^ zg7r1`Q_vL&LI&7YHAGFn1dU~7MFjxI%i!LC+zc2=V;aTxr{pMBIx9IclaeU5pg4ocg7BG0!qg6a|@BP{a*3;R)>v;?NU^Ow(jxo z0o|w6iOq5Rrm3I&d@S@}`PI$}U{JIvj�syy03A?mu5HsABnzYi(JC5kIdj9!y#N z5zt(sM)JfNb3Gj+#lzbMx6;7Cz>TngfJa6~)4(w&f?9Kz!3xI9f7B&G&AozJ1^e)! z>0gA&TX@``+(_!!Ntj-qf6&`r>)F!JZD42IdLk`TFw)kx+V?zSaf#$uhY&moh=VNN zPZd99Vz-wcJe7EEs2IC0zH@wV_y!^PqS8pLCg-G^1Gq#?)>9Va`*TT4?oGqt=_)=PF>U9HOdPy zf0c&|g6s4rQ0_$762WH#EA9)S^?xb9ykFd`xz{>c|8TuqjWRbUjE()g4{+R)yE)Otc=%Ct32n~y<<54+H>x?xisg$rUix|EUYze))jE8i zQe2#~EAOMGH5AAGygol`eSd`L_8560wpQVat!4{$w7yhF9f2yG_{6~uTgtk4_YjG7 z6p@YPml8YRCSlOm9m?}&SI8JLTNC+FGjO3DtpYwnIHdw`OBAIu`?IkkI>GJe+1SS zR8vgAEZzb;u35*G^NNoioy^2aQghoeO5DNAN@tA!y*NN7AldizYp<*S{`WAEpGUm+ z?1@@{^vp!BXuBL1FH`+f|J`)cU<*FJi4QtaTRi6%x9X|9A{k-Ui$YW}x!HAfOP%`o z1ol#F>_N!Y{4Yf=f{W832}QbXpX#-=zRlV1l%AH=R%KOWYbi%r)TP(eWl1`WjeYsq z*H>7tY&~+VT;K5f@u8y&({*nZR&i8;n%op6zFyrFieJsK+ue9QOmee5mO`^`c!>YO zio`WSuGW{8Z(diLy>2Nbn_^2XkvB2iHRXArhC^b4OE?YU*`PQN1c&3Mpj&$bT%_xd zWYmXzxJK0#Wl39#%gdeYO6{G?2L=qxmV$N~CZ)P|Wb^7_mhK0Ku{_-(H#wGupYi@N zFWiO)t7yVH=4uaqrgwK=Dw&fe<@j#a)IPwevv|(iM*sFjU-29zf#OT=-{Uw4s!C0x z3l&+*yEO;sCw&q(&9_s#Jo7c)b;83cI?-YW_>Oh>GW!*5WO0$edQ{9pH=M)Ud<~a*@*2K`iZGi z2+IR?zM^6e7hQm!+QpasZ{Lzn-=(=YmAyobf;u^D;Ix>hkEX)5^QZ)CGhAIvnMp!A z=4_6q-%SaM>C}FBlrrMB|06T0<7j73F3hcNKk1(4+=UKX)qDFxb48={hXV;8ypAF3 zr4xVNp)<~x*OT%?>f%;lZbxX6|8kNU{B3lw7FA%sopUp_{PnTJqV?-%YjRm*Cg>x5 zF7>Rs81(X2q*E52krBW{IYhT$;79f~d$o zOd%$wV!IdT$Wv#W`F6)pEg!v%=@ zr;oEExQFh033y~wWV^$&yGo7?%Od9GGYmM{Zw~Wz$`sNbJyL9rvfoVUpq@ z@HQ0qnc!hG!fDHPU|z-CbZ|8x^i8=qkHn?Q$7{P6I2&cTpK;tDV6bZ%lEiXgF_u8! zVz@e9)U(rcu)eQla%VN~xpQC;!@-0+uW*Xm9XxhUyz|d{IlRjro@r`6{*Hgatv^5M z-a0;Bn!o+RFUzN{&eBevJJA{~nE@UtJI7K(@}()>X7w!IwKvyb{*@agoR=JF%R>2@ zF*&Elg29!oZ1dhow1XQUcmbST!D&mdlewstD}dV+sy7|Eb|Q|NEh8g5W7Ba`wuTW{ zVBq&~T=V8Sxi|0s6M53vCnZROWIQnF9sZFqj|VTRJT^Q*LA}UIV-ms zmODtjBe43Rie{EBf_D9SI=Sph8|$_EeCIGPV~xxzB6U=N6=>F% zkK2d#dd0LX8q#RpPU+Gct%YFogU@>U5i`30c2N&Aps^W=$vKsY02P<1yHYBe%XF z3^mt_&w21PvOK$N@dI&e=-ni(4$>!pwc^@zAVNW0iX13|0y^lfVV*oRGH`LO{mlV9 z5``K7v>U*9fP6~)>`*>^s>ump#f4go3g&S}B+ao&h$%lZL!@M4c6Ojh2oMkat1O0t zm9=a607lfqVD>biQlp}y^Gwju(LtIBG8p)^sQ4&O-5e$K3m3p^mQz-yQhEer1R(oR zJOiW${T`udUCNUx#h;_3&P7f{Nv+Na>31#a4T{nx|3 z`2P+H_%EVn2ET2Z0{tj^*o6xhj`pTPLFNG-Wq-Inp`pLGw%D^148Oj*0{Z3LW=PQh zsu_h{)=%>`4>`Tc5boMMT)XMKW}x*P!Q!A*crV&g)1yw7u@Ena;SyKOytTfxah7~x zr|%DJ@7tY1GtXuNk>V!eR_s*m$6t1fq%DzM`K})K>+*weeEs}D)m&9p7Ud6oHINg~ zV1uMz6KojJfQ*igYIZ=s4ti1DavL(-jLb|~`0?X|oqzzZH+aTidzzX`({O=rK<5Rv z7E^q%PoZKbAk%tcnUt267PLDmGGm{dJ6bUbu+AX}@4!}~q7uKjST&it2}STjVL*n0 z3H39~Y~(r0wOW|T2IsCp`!TsX@$2{3m>_N%V`Cij>JR4us!$ct)dvoTtK4U zXVshi(cEU1v$s-}Yb(R272R={o++9HIt&ozu}8oqg+|C3B&y$4g30+|;^hH+|0%o1 z;=l0?a-2CBzA)Et8vvy|T6nZT4D-GTm`Htv(N{%P_bA}z80o&8_@Iu3f;21%E3 z_pLSDRGlyi9WFt=+EP`8k_o4v80AVdEgDjW( zDn8>LWchx82D7j(tF{($FpGEMq4|=^Q0SWmRx%UjRYMlYZqhrc42XmQ80u-?P%?ZK zOvbCixv;o+H=-HSV(vTmCY_vAWU9_&Dt{sBosnTwek_KWv9Sizy*69Wr}2+Jc)TfZYtUXa`Ljn86#W%OLdyAi`6y*W(iql%HBb;8H|{Vq6oQ zGcBwQ!^5C%o0_s;9pU!*$qm9=aNR3MfS=x&>DF0-+dOm$e6y_jrKMOd(rP#lc(5G_ zxzjVn58jIhesV(`ApbXO(e~jleMtcWy(q+Kb|eW>;Nqs-%><=8tTx&BK)^DC&K(|S zX7E=1`uqX_s~cS)qo9?JSqJiywPks%=2LO8{2jcqlUul~7b5}7n4O*met=FuAkjZQ zKK@N`Fw7Vonm|Vx7y*9LgxQ%8J(B2kvQNZrLip3?1xhRpbQP>IK-smze|od!;Tytd zG@SRCp^dzqV@clgTWu|$e41YEOk-lEsiR?%2J6V&&p?LYq^3y4rs|n|kyHn{#=8utcwSXq4`V~atzTcxQ=Y+efeJNlKz~951dcls{XHp_2W28)EFu6-nkRQWvv0IFl2WOS~+=oo&cWAGaL)bj-e7$K$O@A zD&e2VwLR9@7KhCONLH_ttt5bW!zA&DiGNP)2tb*NK#Vqyq#77E;-0up?G=-1si7gN z#BMZ3YBJ7@Hp#6J6;e|ktc3Q(7Pk#E>u73P8W?m>#O|Ic$#rHq6;I}$n3&805<57d zsqG#ZmXI(yVaj{-3%EUGc<>jH_m~}5n4P^*aLnY|;xb?L!@JSI%%^X+UL&zLX+YlQ zn*EM0B-kUwO5?PKJN=i$ytiS_9&~;MelY|5;iI*V6jv6AEG_LrDzD)7@x7%aK^(sc zMrj$m3k^@6_xCmsp7LGo)P@2ROMx2~3wo=Xd--0q+kFGHLm}^#?r0QhGc=mf^8=a$ zPM;qWF?ctlRF!%uZzGN|&omKFmK^frGxcQ4xvVg|%GP7N5@%xKekGZrRXt`bS!Sof91O66RlovTTVsDk~9 z#=$3q6(LABZ2WtF3LMMT@V0q~ki;VibA`)rz~|qLGIO74FcG z`ftaz*{#a4DG9l_RXff#Sv$MN{(AvcZc3=*$gBvb7v9((IXQ9?NIGO3aQc32EBA*) zG*gt`ee`*+Z)dblwtZFOT(qV}%+OB_s4<~;|K8f`J9u=gjr2;aabLhcd5)iKYC*vz zNzIv-;QOqy%;P`|O5Enr(L{e(oSY}sLS!j!2FyeMgoU-qYAD`%c>^D#@pyNIUAP+b zrNW{Hb~BCf*Oxydj&U0Cylt+Yu}8^1SQ2Ir+UO}=byi-#Gkd^)o4WYw5N_9F4lc6* zx_c>;9y7w%oj*)YSaSU-Mm-T;(4xj0T8w>!Q;|S+{gY(u zcd1@;VqWtn0(Si0zr0=!%+bQ5#q|v+KAkeVV#Ygf|AX%KZT|1dAhQck3+l8rd(i0* zXa+QrJJ8gGTkm-2I0cjr&p9HSFO0GRs*2Eh25*~DUkLKPfDT2ETxbA{qxU~Y-e zDyH!>`VByy#=f}DvjmA(P68hE5nJeK)$XA@9RmXeC&zbwehDUxQ_qZo_@U^2M2)P9?pZcB@uRzg|5D~v&js9Lqihjw%tuac38P*vW zC-hsZ%E7Xo;Ra1Ov74KvKoaj0!5IMZD%~FJ)v(M8M^#iGrKo8IWTMT}bU8M!PegU> z3*6u{9O+E)X?jCs$F-3QQ-Px`dCfOV0MJ;eN3Y3AXKAO^;ba4bbz5rC9uVoniEJ}- z^KaGJd6o^bS6QB%v3K4!E$y{Le1`F*oLNatT1wDnzS>t^g)V4cb!~B|lIZj2{)@SJ zOYR;CKBjM=1>xr-GkGQHs;jWEVaLYFN}F26gG{86xr2B0wSft>#?z-woNLt_RMUx> zfltVY4Gi0NHbur?BVJYUbEh`_18OZXH-R3#tSlurSC&~Zt18>{8|E7PI_NqW zHMDdA;Y)eQgs*C`d&}I~x}Ej@?bO&y3dOU?Qn{jVNDBiYB_*xjJNq8vLdyDREocvN#^ z%6`7cHAeq<%&RlH)LkxUC`nyQNr0;15IRJrf&Mdyuf4Bt^|_$UO1&>Yw0G}XG@fR^ zxm}8J!F}=|B9CFH?=45iZ2M?w-$xakQ`cOkMLQ?*cBAFYif$+`jDy&C4`&~&$=4a5 zc&>e~-wi!nSXC5@y58|pn%gK`!uf7gUpL$Ny}mBzI=`L0J)iU)k8b!l=gT5{Ba;J@ z6TNEv%?zERLRWmO=WQ@O=zJt3{ifJ9UK#I+d8`DF9W>xLa+fmG zCpn!WMHNIuf8ZN)jZV*0^!^}Wyo7YDnPlan64w|~8;(h;O^j2DrBIB^iT2{>ZB>&A zj%+l!*g@#5s6|9LuP)9Rrkd`!Nr%qE>R4`7y&-wr$>$g9K&G!cq~KP$-v4d#!Cgwq zgddt6=lYk|^b4e7c{Kgfv6Q;2`0kkhKbq=EOw#SPiA2x!ea|B%tZyvuk)of`N#B2d z{MPk>vRj%mUHwn|_r6^BeA${>30dPAlSqEIl65S?`Z8KEnwjNSI^W6i`!@D%#ipjD z8g~)KAy-=YQ1<-sLmY72ESpp`ESDg*YOhg>4)x)s#5 zv95Hp1JcJQOG7+{RLlA3ad9!R*u*OwaMx4gR=(8^E{RMe<@o0CRxC~NzKzFZ3=)&b z%5x(8!ITT`%#Fqy$BJ2-{ZrnYdv0pO;k&&BBHieB%{M%>q;sU1^KSC9IDc@^neTG- zY}N;zIWi|z>BJ68MnuF%lKKE5W(7}=?E5}}rpD{^aNNhoXJvUAw6D-5f|liEU(VW+ z|Jc;Z>ZnVC0u)yFv?^G*xbUw4B+}omQ*AN*IhS1ZH>?_S?13Stc=T0F3!n4}?n_^_ zo8xIsWM$o`dw#zm{C9_5HlFv%XS~b)X66?{0d8geYB9(X)MfAIicON!9zzBdxPp$N z&c5wZQGjn0E2RMj1Ayyq-j@*bc&yugSf2Tzsi6_${%zXSVD19p_lTLXqEyh(z@KCH zg_SI=La49GAn*)XKLK$C;MB7MeqOrL2&e)u%Zh-K7v$@j%F2xswxBuj@Zi_TOWHp; zAm?*=4-%4$jEh51uVBj(<~DpiXSbcFk0${*t(Do^JaOgsB}L0I1pW;0Ze5#rpey;OWDJ^>w_yPNxqUlj0Eei!YkAt4)466fyEtuOAGr3GUWI z#>+sKfb-t^1QefnQIMe_q_|TD9n=~sJ|Tn*G*_tOZm*W3OB2%<<$&(lw6d%<8$%@u zN7yuEZSTB|sO;zoK39-2>mZ7EsjFHQ)xhq?X8C;a-1VL{4_mqqV{|LnT{v>B<2>#5 z^*G~Hf(RbJ0vfx>=xERkT^La9a|n6Ltb5`(p?aM7sWy%+~VR%b^SUdS}5Or z2ieJ@c(7!|#W6%Q1J+V$)o}Jh zYResP-fF4ZO9lZwOap^>P{2=sryO&c!lHx;S&*n`X-WZo+7*n0sj!d0A4B>$7{f8I zT+t7thqg;bR+csuGB3cA4SFwJc`$MSn?F#b8;aWJgaq}(m1DlTneJx?SOM^j%6yZ^t$g#CRhx8c4KPC>=0XffUA;805NYXtjGdrM0T*x>z5Iuh^UVL%ko zg>z`Q$hf#r3c*DBGpzwHujWopem*04sNc6gsy*+qp7|L1N8;&v@;AGliZ3$OS`D$` z2N~PgkYB%^T4j~~@na+rUxo;(^??rtQjr9}r~_h@^mJ;_dV(bXg-~aA_t*TUuU`!S z-uls$nwG{*icJid*4fKd&fYNdyZ_xGj!ywU0=kpb3c{Y>uchJUeh$7s@b$1Ef%}6b zG_bZcP1!vlEmmvAECJ6NAu%xzWI6)X{aW~;=s!e*_lQyRCr~er$M%hp|94~k#GdmM zMnIJVMbBJ!^b81mGA4+AgA{O4h`#LG3I`c2tasK{R*(P}!wsexP$0D0N5frm_TrGV zZ^U~{gS@kV8w3X}+T3cH8+OxEqf@Of`NRTLzbXY9JMfq`wX}f0K^vMvLDD$u#v+!rs}wa zgtiG=@Wd`pr$HDv~%J+j)q?rsBp{VtOb;H~2tAz4t@eM5}ny|}~ZpPXm}y4d-~$iW(kw-SG@ zBFif+;~D*UBM~ z8{&7agLmv(_CJGvZrF=HR=IQM&cuwu4+w-D@)-6=#U*Kd>iH8LQ!kMd_=+bYdnGhG ztp)l}gZ!Jn(>H&s89732LKcoW%3)b*dkgFHsLlp@M}!JJ7h<#EOfOUE(#Ru9n)-!_ zU@4P!SiS}k_BdMmdo*OsJA^kmwZ&K*1}3Um##cXWmz)%HhWV{nawzNd8KZ_aKc* z`CiWsTOD1;G?fD512zwN7Y8b(Xa#r9HYrZ?Bx$JMw9zaQAVG?YO@zEGpCh!AEer#+ z#>skf4D;A_e{7P(c8Aw0`@7p^p{fgY&rQ ztUFCeAjqyPgVDWup|`PpRImvGQSu!*Ql!MW*jMo8%wX#*^*#@8NEVB${<=E6S z(feYg4_))>`Vr7Fulke*`%pa=eSFBnpskPLGg9;Fms>^KWcyk@mo95hKC)kjXb=_) z6GME6Rw*D5o>8x%=TeAy-h}*U?S6K-HG0y<=PjbFUsiu=$F$UN zvOZg$cqm|kdvz^)0udB^{{W5Hr^`kVNNhxP2wAY5mB`15rG%YJk%n_IdOL6}6cN@MoSTxw)^0t1E{SAmz&iuY2EvAZ%Moz@zdqg>6X~8@;S&R%2 zpFJ6``d2>BsB48IpO9mth(pu*$XqyC_d1Sccvr#oDywU~Z$dPXi!ZSL=~)u@kNx;e z44>Bv^_lm7j6VGAF0Xq*kNf-@By);!HAB9@t-wV4We=fEKWz-16f#8r@eggXGSm}i zy+YM?BT~4EvbJR3k8hdIog4dEoO}=;&RJEm1*H{jmUYE;qe_7qks22+oUlxOCWz0c zIaM-ewZfrXRiPWb3cY$^1{0DH4T86QdUtQV>amasSndur!2xgay$vU`XOzg*AVzt^ z5QKuhF_xy93+-?J_8~8~;M~dd5Sn=h5`ouo$m7oJt@=#9;t6>>HvtZN!V_{#WIz7$ z)oPC#7~|?HnMM-q8U#;sXFEfbnIe5=JMeB#L0H;MZsRA3tVvbPif28mB)Aqfjt&^1 zp*g||5TBOVv-1oUMrvr=x2>r{(n=e8;=mAv&k8!Vgbcx1#|P0%;KJw~Ylf^iP-wNv z+tGIHeRTdnsUp=JS`M%&(z;}AQ>0LKBQw*B?1v9wk#~kguQ%>Q*9tp{kV2tl!W3Qa z!+B6{y_(e_!rB**RE{HtJS5!47m@!=b|6HZ?u@>!ZOqk1T?BV~Q6!PIr*IqLASCVi z76N(RxaPr}thGPz`u3o-xPc)j;rUi~%V{_m^L8Z~ zoFu4D`xst!7t)7wU&N)bAmmK8^$Z}S*U8W!jcEJ-7#2T6-2ddx?;kAMeHti5kA;}l z*w(3knib)mb7mDzeE*&Hje#%G)VCoK61DXWu`*PW7()b(@NuZK<@fW8ZIzX>p15%j1ntYIq3o9pirpzZR~^3E#HU@)!*iANcn*C*sIo(TC8DTm9*IS<3&uDOmu zbMs0|+U}0R3C*E{_Lmf95PePQiwm|k10@FB6uL{YULA+9npbICKbDUW_4$X#H`oK4 zO}HuRu0tBjXk9%+l9hQ$P$km)T?}c7w~ueYaL^@{ejY!nqHv1B$58g=Pkwxtby0c8xA9us@;hEDz}2wVye4W!TH-UOKR#S0X{aeUrdW%t^ziM91TR6PHHMq3-Q6dbNc26 z#P+{uoPVyq)UyOMF#*mt`7X@xLZ$XP<%-L94HQwA>^7!zytsx#owy&b=Y&{?3U)S zW&8FUj{6F5v-**ts5C`mbFSlxe6U9Wu#B=*hXvnjjZm433&jkjLWWM7bc{ZobrJ{v zuaVP_mP*RdU@E%tIf`_qLwaE?nQHWc$`>L@)yu@rx5Q??^l!sGH4(eFjj#iIEaO#k z7-&!-czS=xhN)X#-`F?>dHH);Wdnt1hIfYVx_h1PI(zSY_oCijMctjYV7WM1LTrwy zU|B@UP{BZzXl)CG;h;!*TtKk=nj$qFe?IUwI23AP)L8p`Z%jW4_$Ten;}Akm_P)kE zE-GTBaW^&A6f`-^Msbg=Hr1!CkJ=2dcpHGqZ}qI#TVy1W-N7HVz_e3@m7P0JUR@sl zDzWb?=BQysPAt)+ze8K|+Mk~2vzd~Dk*1~wIIP-`Le2-ifXBoSl{mC6>}Dehq!kg! zbMvh3Bja`2REjn>e3o%9YNPHPwzs%j5TWq(N@2hqgKpL*o`!jNf{IAk%m9^UrZ|OX* zo|tjTSEajL4^>2R;V<2@Z3;V7iWyyK-( z*=Np~RO>O9SA&6{KFPQ{-4o-g)-`iJF|*>G#(@Y6JODOEQO;Fz{Kgl~jumP6En&31 zbp3UTF!Z~{;BS#WE2B#W)=x9GoRGtcTCA3>&9a+)Su?%)F>Z;}5eQEl_OTTr9wLM= zuj{eDD7EKli%?)Hb}K1<&q)YDT{B+k%~xOk6_l6tw*2^=gXWA0Ctr!z=4SeHA2yn> zlTPa~MM-ZuIC-D{cqX{BkgOfNQQ|yjM>&rziBlae7mspt zACe!;y{nz9)E%8WIJvkOMZSy*HC3@YW`PUR#z}j5pNOt28~883VOghJc=z$*j z{leal1Gu0k2o4#!z)7>6SCfpBq8y~fHHd7VOEiCuI60ck8vQ5)eH+jsB3!IMA4x1Q z@q;)u;L?DVI0fA=Xrn?^b8+#MBvL9mVAFBG%2U}c7e*a<$M@Ak)RQewf=+HX4S#L@FptPT9=Osw;$#aGJv>@MJ)Ud31 zUiipDOA^q^R>4ES9L)N{(kItxyL7Fq0Z`>mZJ79a;d)K~8py0IPDD+t0A8FUBW{z3 zHNDQ5Lv4y4wKrh0sViO@5%E5xVc9UnF6w0k1!cC#`(iUi4!0zBw8UfJi@rya6fDiQ zc?knkk(W5(YV9e~wMnL&Nrg|~ZNeh+_ zL?i}F)vaP**~eWpLgX_Bx2;dBJnLgWL`7{mtS5OP2a-e%Q};1q$xYe6HzI9c_IS>a zEhRadDkPwn%gb}!^0ob>INauoz%3u~Sb!hU$sJD5U@B$Lri!NBPmc?T0^8?y??uX= z_uP2z&3^=zD5HNFbvXUlCV+4JNFuv?Y2_;2~#0v(hzl>szpV_)xIX+3@P0S9&oFX`A4G2h5h z`9pGEC2hlR&-LJy!T5_V=f>eS^xLnsn^@&Zlw zx7Wc=31(#}Lo^ zLJ9E+c2CYr4r8tWoGr)=D5OSasoN$#d?-4ng4@Hif8;o7ndQe~+ zhYt=nFOp$R#SC@}k*NjY^>Zub2n%O!` z8hl&QWTN*I`y6DfJ1!dvX%UaZN%J|DIRiuIqBh~gB3!t4n!R+Q;!Z)qn$(5E$pmJ+ zOSeO$nJ*U-Z!aiyAQbchJf*ua&$s-9vUHS(^hB1=_P!2jI=(9`zMi;06=zX>p|UsD zV!?xO&P)g4W>kBSbH|SYuv9Q;nnW&UFbrT28TXO4CFT~j4Xj8Q5(J>^` zudMX==n8K{Tbw37GTQH+he=UN!wx^YP1e&@t3acqZi+r4$6qBQz3Mx?4V%h9EUs{^ z2F+gYZ5Vo;QvapDM8yH+s{9;bLG*8Zy_orTI_p2w2P(LTpu}JH{|X0b@rH2G8KToX znO(c^WUH#(t^OndIdN+U^m(AoTO!C#+lw!chK&#S~8Ev2rj$B<7J zaXpqWg+F}R^Da%%LZx%G(75caX?bm}#oAqMo{uv!lN_wkRfikZtwmtrY{P06;dED2C!drqnc;2B&z@e; zk7LD~>WI4Yv*A$K{PuC6RL;dB!LSI)SyMuAznKGKv6HwgGGM2y?Bht>>Df!eC1!C= zC$;C>>(Qq8kVay_5?sMy1NU?Fm>8+%StetP09vQ^5Ex^azHAcNiZz z+<^M?>3WaNCBC!L5)@cSC4w|s_WXhC*!H}puC?jJOKPFoDdIkdTQ2GaTB|p6+k(on z<16`JArKeodSMTK{3~iq8n!RT1YRXh)heP2y=7sBfx>*=$8jPaa&&QMHPpRc`}>pM zXUA6Z?QTHgW9H}Dki+8wIHiw6oXVBtVfRSkAx<(T{yNlD(AR2Z^j(6LpIn^yC%}Fk z0YrIQqAh^7&GKD;iUr%f{vXRO27s#MSJIYaJWY+Wfu*lUj=vkl>9pKlcTfY-(Jj4m zG74Ib()^+L=NtsHp@8y?ebk3tet6JA)B>IP#a8CnOj_2S{+M4NLP^-$xPKTR?$NN5 zVwH0Sh}igo`ThQl{i)4$>U02ktMQ^VD7(w!(Gf+|=>o}9QPY=R{zAod^j+^8MyA|1 zhJ~OfCyz`gDBe&h>s4l$S6uCgH#ibyE&o$kS!e$zSb1i#{40_03H(MgA31l5fMBZt z`RZ?Z9FPP9HO}U4oMvKofZnp#H<|j?%$5VKie+U%Cp!-u5dcevWsZDc2)fHF;P!2R zhnK&#Y(UcwEE(Sa9!SpB?2gv3jNpyt!>um&Mb2+mtRVRq2O^HJOrKtBlx}i9qs0vl zT=qV1qhYS3i8+Qq7;!z?!b_r5a?VB-u^?(F_4|qsqWmc$pVyk-^uc|t?uirZYY=(o zH6Vu-T{g%Hm2)d%&6xNB&7F<5+_I;S|I(5ZFwZW^J$cgX#nYg(8WeaS+4vQQ|cn5 zcTX|exG6GVgbbh1erjWt;Dua1lSbBvIbh&GPp)E$3@JWZJo22GDojaZyN|UHAA0v4 z2GB#;4iQC$17ikQaNI=*q%W3lSTak;gv$iGAK#?pntp<`@QES*Vz{1beQv>A|J)-z zeJv=qaUyEDHg|g_b`kut7_kjCy83pIMGXC&VungP%@g{CNl-}yCNNPXm45LnsSW7< zqW5&knj%yf@dABkY+Z~DO0(+LqVB3E85Xv5U_xj5HPPqhc(1gKFCwvJiefWjCD&aO(h$U;oN|myGP1` z^*nlqV8c8sDS%qAUM2GuB*LjXnPghwmr}wUH6L4 z>2TOr`d>RDB~zEY@c4e7IG2-s{blq5(4*x40dU}n1MXd>wiU=+444NPw;@0NJ}~~9 z4EFPbOve{9Y)XMHhpjkRa+pnVeKUH|!3oZzw0?=kkrdnCM8{0J+>?x<0@d-(Z zNlfvxn^U4X_ds@_cJ=&3$R7Bik54dTifx`Uo$+iz(NZP z%Yk0WXn(_~98A~$7JX|O6Kge7V{$M_a|=5r>Jq7V3pSEzJvo>&e+^BYOFfd$LLi_b zK$Tnm!v9)6YLr)a<)UX>S^4F|PEd`du5<(6uaVj$Poa@dGE@S@q2V?kL2j9|k245{ zUW3F^>CQ?1FPYm|kIOCmTv)BUVT=8-Q;g_l@=m3YosO9`n(S@mcR$szlM5~87-At6 z)4}KWh}x*95M-AI^gc}V5PjF#a__#pp$QaA%_v>??VR4!T91q}oA-?-J>NcX(HmzvqSu0U0+*XO?z`VkPP5H){^q)Fc>^ov{^E0$-&eR~)Y=f=MBgYM&WCN| zfQp6@7RG_9Y|!`E*bO9*s9Iqyv;^4T5^+lZCcYiEV(g>TU*gRFTu8zZkWTxU4};>_ z&}oaoE>_5~9yib8m;xD*^p729CM^-fCyU~Eci({(2D1x@4yyaz3y>Qf09bSIGT5-o z^$mEH_Jn=_Y*OOCL#8BekOh?gqM=ic!~>Gi-*jm1HhRB(;XfiA-Jd6*`ETIMPvPb& z0g2AE7lbiKN_|kIZ-z#;@eF;R-rug4DG`r^K)SI1Z!v|7rw9j`h-+>|g}EmHnga@* ziv-->6P+^NZXd#o$5I}dN(;Puos~q?h!XALJ?To{U4o6pk;9tyaBJ~y&2CwlmcVCW zo2ry|Hvt=#RV&>4hD}V}vhP8+`>vsJ0uwT+#1)ziYlW zRqtD7HSl+e=ivSaRXWf{(p60C5prS8?YL};*y)^{pdW<3iP3*N(uOqx1FPy)QC>bb za~7Pz$6=`L`aE(<0;rB7G4~e)+H{yONS%03=GcCbU<`PkyQYer#(fJDBl8Qtv@nYl z6WwX8)#zV&M4a1$pO zc1Xt8%TrM$m?B${?bPM}HEI2GvMLaG=?FQuJ3<4{EsNM!KY-JP!@k@XOJ87&TTW$6cA`@M%Y^6p;m*Fr{S!76uwCJ&Cg zR=wS)b_cYy07aQxOF+MB+N04Q_?mc2HLzJ;5 zVD!0)RBVj#vj?`128Sf#CZckOESXrQG_;~!=u)1g^5}#!E%$$j_CC(wS#*sO%b5G} zL<5Rfr(B5`jA;#<7LH~3MfWv~TD$KaPoeSHlsh=AsC0sE>NmcRYECkxdS#FvYWEwX z*xOkidN{72XLE3L2Fp}tP>$3F1t~svz>I6cju; z9oWB|+Ze%R&y~mxAn8{vuYghFpx00f^ExtjmclrJrqXX~B{4HcP*DsRr$B#;BE(eg z$OkxIvGdotbI`OYYrg@V6~)fnZk#T>CU4YwqQSW7f{94umz+CDGSJS!lM9oDmE2(Z z*eOkEupL{$Nhn9A{eL~XsZy|KqI@1HqGb;xg`u~21I zwmZp}CslMaJ*@`p#>*-2ipO+uSy|3LEzK5ZwEFR-Uu#Q;j$^w~V?;>9w@>J;p%m6;zli5a<@w=Gm_ZHsHq7zfsRH~{sDi@_L7%T40>^s`(I?>3*yK@tm zmQ1+_<#p?~ho)|$Dq6?70^UI|Z(-|Vwc&J2Ic_4ca5DS#1L#|sESL&>@Jf(4Z5d5c z`lxOJ3iKRs^URMyqj1#vv_q!+@@U(edFQg@8sOXKB(F8qdg{a1PMv^it`(zn z#jqNb3BR^?pwNHo^_VxfSOwonE~$R{tdh(FCsC8Wr|YS9{QXn){~&)q@3#6nM+FU4 z5}s2Z{OP$+y7)wd>i5)I!Ct#f#LMoxh)}Zex1wITjkr_LEz}C*6?M{e_JqMQz;0d^ zT^`NbOkW;0xL(394_=20!Mz?W?zjZDe;5%u2S;yqlt#u1uObskYz7=r|JK&P_7vkV zu2vHh68JRHE90@-LY`Nx^?lZtV~gCsQ>;IJQLOiExW`V7=;2%QGNe8W^sb)WgXRJ4 zY@8lh`-NQ_$db?*DWk7*p&stShiQgB0TuaADLhwouJsjHtnGVIHHytdIZl;rockb2g-g)|1s_@#r6Mc7W%r+%!R4 zH0>ezH?N!z+o-{J{Y6W1zt<(wN82UH(vN9qaZYqtEBkMninu)Y>{vw&rJtSy(!~|` z?ck z-4po70+*$%ImFOOrVu81eQM!{iRf z4^<0T^peTQZgW*Sat<(>*aWY0Cw4^e?gZ5gjggRfOnJ|nxzE$~bWH)@SY9b3+xpt= zse`vrI@8u?TY>< z(TPv@k`F_G^AMONa#+257nqMPsu;VbH`fp3k6WOT8k9IFelxbCYZxJNRe-Vwe#+TX zi|WZ~?c}MDhwq~wQ(?1pl`6k%1hkj7vrSEgNPYb~Q;j6UZv;WU<@7Ns&=ho}8Zr#- zO2_6!1{XpnIoabIqA#B?;swE={?D!te385%wl7z3KT-Q01yIQTLQk|SImTOxp%I); z*GW`?f&&3QZXe&bkg82fTs_oJg^kQ5?WaMxbCj($UL!2*Ojkk6j$7@Toh_*B_0lIY zs0Ervj*lKLUxg6#*jw?-s~i1tUkw6mrAeE_ctaIC-|tu22*XG1wyB*v5(^3zvTj${ zc#ZOGO+=Z-zOV*Os1rfFz-xJBMU|=yJEpGE`}IP@GBsB)uk8B%i!e%qexSEDV~S_= z_pv;6M(vs8gNDZ1Sws3Fa1TA|d@lBGv&gyB#%XP4npvbCYjjp$6J+?=xXzqe{5usQ zb8+4+cs`#sKK^kZXg&%e840GNXI2a>=PPOavBvJP)Az|`_;`G9X&2VW?%L^iDL}#NMaabDck(G|-xIkf`bXs0 zk@*(z#W*N8!FtiK=p7!NahJ^ut!^MCFUG7ETwb(P-|8^3uEy$rxuGhN?DT!@ba^uC zWu#(W|5j`L(*&)amn5oWsWs8*lL539QQMa%;R-s|3af6{G+zA=K%$y%JWHH3ORq!z$9^Hwk2&-@SxhOLwq+ylz_$U7t-S z5b;dW5ly!qL?-R91oEIlf&^i_@rZVimhX;OG6JShQ7;DZrT z%Coyt)G=OB)her}7cVfyP_e|v5>#E9xgw3fnVKux3E%IV%>U_)?41m3W19zN3`3gv z%vT`m1jBNPlCCov*RE!GyPaJ5qD#E-P;TPAz5vcAO{DXSk7z)?DrJ;`xfNV(Gis9q zKWXac@nt)A_VRb&6m#?j%@7>8HtmnTA`O)WT)&%P3J)JAKPjpol|jFNCp&@8LkgE!tKR6EjVAAwCImUtrQJz{qz5Y}5&^>DE zG8ja;h4oye^YT<-+UYc#6eE0kWA(V6@>*Xde9DOZToonwQG7dfd>+ZiAx4V{YDYLJhLE~`++)h#7wUxeiGQ{=t=~7CtBNih2upU^Oidvr zv@fr4E@09C2BK_MnhEpn=Ea)gTEI(O_jf&QtZx<*_PhR4vri}k`op=Gz3+G6=aE*u z-(r=>6N?wKxKPN{U9-ETDEL0>{F%&Y(@}Uf>XbiMo@VS*UuBe(x7zEq5}|gB_LV=q zPkpmJsgoP4xuo`*>>PI85`&T&(*Wtrs`80fk42j8O{(j`*EaW$vIC^DA}&Fv%|FAH z&@~6F?Gkliqd~q{LRc;&s*KnJS-X{&S0?3m~YgvWXfJn2(?2+1N*yX=Wd{&eUH>; zexj(AlpiU;tZSU>drmLz7HV*!7du0`BGVa;6)o|DbcFjodj0tO6;=TIqWMwWuU|S_ zlPy@h|3>dTPvqp;@#%GxP0Qg* zSIbhz*vh8}Cew)>mXXzdsyA}=uxn0*(VNJ#pt&qA9-LS^JKgICik(jIV@B*nE!j7R>i7DS zd#!tInwC<5Q^tE|_3lF6?hs1#L-L5nl()Fo!q*PEeiuuK3z4@_A72Cjn+F1)uosAO zo6{H3tUyUQ<+wlX<`v#!>47M}zeEuGVMX_}{^LIQs=2xMixpuet1c%tRP?12megXi z?F^f0rp~iJ*1)QAtvGIz0p>CFY3(ov>z=227)y{!dnQ;&frX{iAxnD!$8xp_BhF<` zNet2eo-l>a4OQRc(eeV{BP{GOKjK?ODr>5x|G$?@q zO*D;_7~TE+WeKt~5T?#aB|U&gYQjCQED`HY^i}0C(R8B6#3v@PS=+R7-fN5d2qMBB zm0v8fSS{*dPT1t?GIpRZVU{Q( zwyTFS)5cR!pmDTu5`rdQrG4`qX=A0t4Hkz!<0U3dhzCU!UPe#r&NDY!rCScd+aRv1N9!tO`G~+0pLCbZgeMNG)QB*=J&Ox zP=e0}?&w%n^h_5!TFOE4J~O~62_Mya`Yz5LkjhJ&5q~LvT;d4TeUI1v-aypIVf25& z3!1`!i{`d7qS)gyx6Ceg9(a~AjEJ3d zxtM~aFabEoi~|@|>3#0VC!<3mwu`MjSR<58tkiXd=^yl)xf1YQ&HB)jwQ!BcfF}?D z*HMWv4l|WbNAY9W-b_O9c`p_eSdhRNhwa{vmpfl;fm`BpwNu{HByA}f>t+N3X{&oi zm8_h8A#F-vPpe#(J~9CIQop#5&uvbAqRBV8($KEE_32vIh9-5|LjX5;56_qG9Z2sF z0~$Aw$@@IfJ6K}L<$5Ta7?A+|QigrpY+L)DfTRH~Wq(1Kb)DLj?QVtr>m2<_M;IKob@FNY9|}dF`RTwQKJs-uJge+ov_x1nvI@bX8nVx7CzZZT;BX z*e*EhNgyyOR9E~jCT?$~%`(~IjDKb5ca(+m3uS?!sp{-wPC=UoOx0KoR}t|>-E&Vt z;c}Jig6p79^kt*Lw+5{`rmpvJz4DpGbNN_fFjq8Gt5g^BEMC5CPFbA@Fc;ZsW#zRF zt(t6tO@P-X)&7>DNO!p-Y16$+{YIkgby_iu(JjXsp34wQQs-GVEiLf!?+0G?zot@1 z)Lz}sHAeNa3omNyP++q&Z2KhMv>da)=QLSCWXbox=r98stChizLW%U3v&kdC86Ki= zyHD=7(HrjIrmu^Z6_v&D;Pz!|Q;Ke(Dyzk-SMbB%CZs8~Q$z-I&BZ*XgyD!RsOBks zi)zwOePyaazXw3#ZXk;s!ROAmU-zlt_&ZX2w!YCD?)#{sa9a#U87CbG5@=R^ zF4Zt|oOA#!J_?h|;)};N4?@AEM1Z*a3$B0;h`wUt6Mfbb@`!*I6Hlb2V(~^ql5xtj zTvo75o^{7IB1g2`Wh(FDYART5)YJo#%|0mhdQ_Z9L*IdcU)rH>-olhz7vkiBfZ{I_|Y^N9O_R#V|F01&g(tSpCoz+5amI zVc}Rel1%n=igC(Nob8PaLs+yScaK?LdHj_t&SU1g2Vt+a1{B;s2DtwOEi^1XfB&LS z(~i|bGg6eqfwC^Okv3#1=ik^K!nB7ABZVEYeM~hb_oDhxxIX%&x`!s)icIjN{eevS z-QkC|^tSKMSj2Tvuqi}aLkV=~ zTvrKa<4ghy>15qG-80>W%R^J<+Wj-q#IYkVEz!%ts5nq};HkRSrM}d5o43b`q=z&3 z`53gO$Ap~{J$KgH(TOJPBXA-EdQ1+Lx3+;_O;5PSWn=N&hF|E9FhTMcOlVJdXgP+4 zMQ5axrL&m4v#a0*V)&>U3jtC>8P$KLf{0B2i3&QKcTM^mE{GcXAGn}>LPzlz*OtUd z_x8}jyzJG?|Bme0+Nz=cGdH9$(R_)AC&Dv(?tH_gBEM?)X=O}YeU<0#aceA?SYFeHAy6biKZamn5Qs@EVG0R_ZJrBpzgtKE|j3*0;Z}8L2I8TnV z*22;f1SB*2m3q#SjRYeUytlslwz=nC%>%!6N4r7{QUX7xcCR$vf1Bcc>n*~e z@CQK=L+{@OMd@T?+q;lH-{>N5MxZAuez(HlZSuYTC6Q&lx3AZHV>yUK68MPBV)ssL z4gCv8Q^a72(!mY2!#EzLBV$l7g8E-hc)A*~9aL?jzeWeLUVM%y5lW!GhE-7mU(rrk zK>-QIk{&UrDnR1|3?%UXRTb9K!ub}>UAKyx`b8+dn|A{p=nd6aZW$;#n5o(kzfE0^ zkB0w=%{knJ0>5zPIN*&yqXR~k?$0Yx<=FxINOPYrCdy5To_&GJ2d;4CLa7EubRY4?JmHg^9PfRM zOTtsrw1@g^Puk${-@O3KdIi(5#@`S{nAG+m`YU@+Iso$h3n&6(TI%?kx~I_11E zEnWV(eEk1`C|6&-9+|8rX!GdrZBlMCeY`U2IgnueVR#=by&td<4I)qbutEL`Rl>3; z($a=vqr7=~k1UCwho6lgPy+lPRHL3FN3fa6Sa56)SwP3tpovoxjccw$p;->}%9pM{aQ1^s)ZmLkPP3 zsYp9*u6KV&33ouxe1#GOaT7AHA~3?^EIxM#Gt$uPNP6vQ+{C>w*w@H*-!`J-gGWOc zBsXB6j5i2Zl;Lf=S3JdzW|~C#*1-ETTi*b@89B3TwcX&RqKhH2^I~8E_^RvL%Jk_E z&NkWKoNY;6ic6^z#Qe8hsDVkj#2New!5mm@`f8m<*6S}HK8R|C3${*H*^97}sL;(L z>UAvo*g@wERH<`$#W@1J`>1}@b;8i`np{!3I6y~-W{Gp)-Jmk={C2*6o27t7GdWGM z#eK3Y7use?nO=ynPbD4hiPq3lhFu~i}ei`RSLd5?PCJ+F2{t709S^tCy z=(c}^2?wbsruU102F#XMTs4Y<4^{dX!*FJ4GxK*E(r;__pE(5#hJWA`-lPhKgYElE zQXvWQ&!j>oknU)if7G`Bnk~@uYXM@5cr|w}j5ttYEm3P}3)Xju_jg91{XjU^<>cZw zx<-y?Ey;+_1+{xE8;N~96*{%97Hp?ef1(Xi;*Q(sVrX?*kFBXQv6SCqSz%S6vez4o~$$2d6e?R899}K+KV`>j0I1$;t1Nc4uXA zw7m=#_+bX`^A7DHqE4I1>dUFV&n84jed&Jy5H9}L7~cVNBy4a<1pf}w5*he2Zl$me zB~E=w!)Kt*jE?!|*qnl?nb^gN-F!Sy@``Gf<`;_am^u(q#@|LP^EG0OV*|vk;e%k= z{Szy5t}6&czUT+eeb5-ASgHBx;KieZ+bXJ<-Z0gWkrGUIzj#`~sSwW*oXr)_Q6rikZ( z9^I5!h=TQhnV3XqvUCn~>OH}%dd|K&FkdOfX|I~8NGFbg(O!gzBgGQpuC|wVM9fM; zQTT6Y1jGFgXyk~@Hf5+Zqcnf{(iG8?F(KkG`j&Ie z@{<)&yqRsD11nw})j3PudkF~~ODTMwi;L%T^@l00rqe0*)KMub9S+X?8@yp8*lpXr z2CuE~%J9qH$@xT#KJsxII+Yv?g8I@TmwXoXel(PEa=uD4q};G@ECgSUq0y$Gn%ymm zEl!Z#{c7Ope%Scog_G9{YbFxRNNs)g<(y!Q_jkS*kX5@OUb=ose=8%uh={s#OtW)% zF*7kiq``ypNd7*_d&OLm^AiC_xd9V*KD>Z z&<2x<(nq@T6&2nvta+FhDXzHt8#Ep=?l6TuC0d*Wjrd4R9$}$1E7y;<4H0dQh8lAA z+DX#6(Go{>Ho4D?sPY#EHw`xDOstpY8^(oNB z*8F(coh(Y~+^B!D(_6Q%_@2t;u43sO$TBIhQ*}e!44a%>xV)^mbn`gvg4U7bE5{~B z6f371=P6?OkykC9&)AYJF2j%o1ktq%BC6RKw|=ads)ipfSZfr zU25GPk?BKEAV?B&FTGW|6091KT%SLfCu8ECfP3%h9l>c|(NfMv9lJ(iZ_iBTw}z3T zHbEXT{zz?y%cMPV+oKpUU(H_X#=3qn{mF=|0RNTAO*VPiF%o(HP%Wq0qw(|4n_tp~ zT)4_bJKy&c%bQAwPUe0+zs@|e;6y-^y*5!}>lst-^MgsYC*c~o)`J{~3(=36bka~? zwA_j2Zp)D$w&@c4!;xaDp-gg9b)w8mp>MB4Xp8cvf*!o28^X?q)BV|H<>)oz#%|> zA&Bm7J2<$To?3e0c*Do~yjX|vZLr@9`i1(v=%)hM@eU_3LGN&v5Zn)RxYv#@h_9Xz zZA%QrvLcyq-k7?1KVhZ%_*SrAm%%olrC=4MS}w$=H7uo(Liv?R^Ye|B#COR8r91*) zMsKIeuZ9C-Q@RE|sWvo9xE}nj$KZP7=%B2)SlKjhg<1J_b<*pETup)5L(_H3l}!%$ z!oX;bNNs$AM3=2hLz`9fhMmv{HvA|uQxJ$aCVwaj#DDv-a9odZ3FODoOP#zaQWq4P zdvEiHp3p{rQHTEFZ<(@U>~@yl~E@3S}XvzgS3BV(&BW$NUx zXQTX56gNL;ONi=3t9ocem_P0Jvxlg;PA=Yp+x z%*i|=E-B{R&>)2W0e|O$6Wrz7*5$=^m-kT**~JWxH@GF1V^oIho?Qqz?%f-Dq3<=@ zM@f2jK5ErwugxJ*w?g1n6w_;fShCO zs_Eko^ReE97+kz-Q2#1rmyeY8L_&7%WhKzECgjm}-jiXJEEG@8dT1b@+ABG~%9#WMZNX+t2ibXL+@S+EZIzl{)K0nSMNT!=2;B zCttWMi;!G9G{;0{?fuNF9n_Ts<61;%ac({umGw7W#9Eq(LeJ~zH7@II&`J-CR#wD$ zgn4bmd4l~B$E$YO*VN19n5U1op~55fxnHLU%&T!AZgIXvMJ_ompR_cezX(wZW6@0& zP-|YYW8^-K(iQ1oL@E7_iv{J5a`o_LrpkPLW46-g2`wX%7*!l;yX<*zr7k=!Wwy0= zr}NzcHQ7jk^$jEnh!N!qI-=vNseZGEZb!=co-Z$Cc^QN4$ou>m2LYQjA4G72NF#Kt z;yLEqm=V*@NN@IAOU(S%_r*@H$MwUL$6cobUT-d;X=orQ>`Pp%AZQ^vlqon^fhcbpq zwp*gm=DKD^)rWF@$$X?om`z7UKlY<=dn)8!N8}S<20YWSP=KGM`$=Sd|3Dj*E}vsR zZIGOlK*WkKfV4;2#wNrA|2`o32opW(QO*D>s`e)>Nt*2OQ>2=`rW9MuS0*t0#1zd> z8j3fw`k&CpNT)r?=XP)S;!;^(z4ONW&_I}lf~^-J&VSoqf+of0dGYuLlaMDTeW!7W z8Ry3yYXk}D`A+Y7J=P_WiD~yPaz8zA^VroOhI!s#euACheZTif1t&Qvd2M|}ox8%r zy}`Pbc$rPN@3YVbNxZg(5!6*G}$DCdTS~W?34Gm~mFODcn(d*5KEpQ`v(Q{OEFG z*CzeWPG9QN6dmDc4hbIlv2uOFQNVl}o zASEH8(k!-(c@|@AIDX{?7gm7yq~}Tx-rX=NQjj&odR# zTA92i(x+^B-p%sR3mS1V_!7|Pz_|*O#%)>T(qn@C$&@1LcB zH&^IpDhr6Ql&g&NF0isHwzAT%TH40QF|;)55YnGLrqLyQ`NI)4xyCyAAzdn2PN;vZ zMiELOp1!>9oib*Kmqyt5{^$8U46ar<&P2(6a{)Oqhadk|{r+@YOM9^fcQI=9%YLGMNDCTt*``kCT!)>G* zr=f>S0C8&V-j~$7!wXGfbzUd~qUmg3p`mA@p%Mt^;ceQt{Hc6W?PzVt(ah~ia+)V` z^^L3wg8;@I)I8UE+g2^f zz*o(zo}>ExrF?#`$Yb+wX4b^opM~VVCr*wWEFL1OywbM!l}3ONCO6Dbw1-z5UaXga z!W@~cN_23Uc@z54!H7dkhjF~wF-s-S<`XWBxmp^v5sauzvr@$H^>lWA_ggb{$>6)~ z*j8O0RH?x`mZsfzanI^X369ScN#h@+)5GB(R=b*Yg%FL}uz7D48cV?NedpD|ro3$O zI{vj+G?$c+h@OXDN#?;OLmOW^yW4Ef(Vb|PMb#}EW;(Lo|0t+ke$d>hOTRv2!Nkw%*#qKG#Bopql09drv`t&2MY$I=Db8&|Z7D?9G239$*Px6R2 zew_6FLe>i1!zG$&F0)Icza+!WTZ|e`a!V}?Cc+IFwR;cXYIO1QDVQ7Tzd3ElyrEjG zqmO(-cpTw%6YsoxkwQCRoqc7WE#9(y{o_$pKKk-Q*}N6`gBE0%CI|1`?CDW5PPBzH zO`AqNZCu*e>*I>o~w?tJ(=+R}?B?|-KiL1D%2eCpNuKbLDc3cl8`DrT$6QX}IZ{CY1e zPF7XuoRN1lNi+j9SX*(nrQ>$FJHoBdpLjrhvZLq0R!6}s4CXYNE9DISk_}5hbJN*t zepL0%<9i&oC9g<)Oj-tl4=OKnkis(bn9sb{C4aMFTyEH*6cC#}*At!IusEe(HrMB| zt%DCt_A0F3DU1po0ejftJq$$6!n0DqxOenk%4=U7Ht+$hS zO2iY6$)4C~wz2yV)kQtL@N3>idivqA@-^g1rdm@CTwf_@|&hPzQi`PM?{Y;U&$AN}=32aW#*&CSV zhEAn5Rxy)NIz>}QI4DsI9tuR!=YL5S)F4QU4OgvdH*Z59VNl0Niw!+~bJ)9;#hbJc zARmf}pS)w=?g{;rlQS+n&bD+^Ehl==ypYSdA3b7k?4qehh5LJCUa9b>q*+=~ta8pT1zui|T)h3AqdFwo&L!3eg`huZq_kH6ox}I|8@r z?YHgY%QSei}Xg zYfC(?{fn62TvKTyq>w@BB#cjEefb+XXL5+fd?a&rHqNKp*o^8eK_Eh0Glr$phN9#6 z!sAqF;d=Tl8!wO3h8LX(x_z2>+;hI-G|B1v=baY;QbEIv3--3D^`xbP&_~>(Yet;I zYK>&iK_cB_U4RC__7=9KgyK5$V>S`ca=lM68!r>l(P84V!XoTnYXMopyr>L+Aourl zXQxuc8)9L+0c3<3s!tpVtBmXi1kv!K_DzuUGBGyYjL9Q#HYZr~Mxd*%A(J z1)k=pfXx?>g`$?su&Qq*v=;1Yxb0G{aH3UDGiEKFK-2MXu=7^(?wF{)P0J&EScm*x z)G(;okb|+LLnVv2bCb3N8{T!@rBsy(NG^+6m5L9ZI((^Tpy$-cy9aIKkBaJPI8>*7 zN_N=WG%4FW<`nf2qFdEIyC&ivvn5~~qJY?*IU@?o}lHby_Nj;|pQV(FhkPi%6M3TtGWrt78GpWHI$yx2uG@2RsMRH+& zMlA1s#6~81{Cy|djkQOt^J=2H$S3%CI@~75O)j6h$KH!H~s?Omc zm;Hgyqm||Eb;8dgMk#QA1)B-&+Z!2_nFgRi$D(T9?T-uI86ZOdOl#%Ke-8x5X2o7P z9|pSlOb&vW)E^Pk#tQ9j+wr9q3VYg@B75r)#e1*#{#bN?d5Wys$9J&F@W98+USR(# zG8BxpFUseBxaW0ftXwx7DXeevu zFH3hYk-IwdEQA0Kxg;<{;~8ZJ-0Wt7mn}Lw9m9&zJZbiMJ!@iz5h}L7w*#Nvi+9El z!%S+I(PJ$%Hz#{>AOv{f??nU1PViJh1{30PaDeksENt`j{tU`&zxVO4qAxDk>2gvk z@M7?~ii^8w6}k_g28qCoqBTlwN(3KDST`@Q_W&xL+$Gc~8o%Je^9Eym7uILbe9MZt zFlSkXn()A`n-I&gs^%hKEKLM*$@&6Blq{7&z$xzOfy>bFql~RjWaLONq`%_=d*8G% ztd>MykA)haFKJ0f@9w9uz{LAe1t;_~PsXICVIe`J$(GyVI6ezwCY$L_q?5@FoNS2R z-mp(4+@0aT;g1@pbM&LS&XC<8H>&q>@eA{r4@v%_H!=3}G@&z9V@hnRb6(EO&gs9p z`0#?Uze#(^%J$S&{WJ%}OYSdR;PP6og%5nMo z%k#$8sz^N6h97=orxx6fY2L|o?x03ieEUg|J!3OPwzT6^_?1nTE*AM>ui@1uKOeW? z+0IREc6z%?ceX&q(5`Lysb$gRv~4N1h95<+p~sW`%dSvkaL1o|dD>eZxAcqv?PD&7 z%=z>fBk0_T{-w9?Q6zV-748FCcbR&h&WcG=w`GDk^cGMuAGppWtyS*r=%krbt+uwX zzv6Xpcuf$Ot0LD9%M%l#%m8-4pImb@vsZ2TZ3ZpW=?9}B%`+BmpQE3H8rr?{D(R5< z!@e)dW{Q5fkjGG|=N)E$jpM7Ea9@AHv?W! zu9X!gkD5h3R$L1=WB@&~R(rD(-2W^%CQQmfpx4+LFb8n_T+UHl?v*$?Pv;%84h{Ac zYBN^`Dxf0LsT+Lnvr42d-p2uo zS+`4tFD_o1;rbNklmA9xSu5at$XV9J+GPDoORX#WZMK&&YiqY)b~{igXRd#E$LXKZ z7*2Z?JkjCns(cf(=6(FNvZA4~!gDsXOfV&|G=%WT!*Mu9A=$j0i~nXY+eQ&@x7h9a z4S%w2#dPZBSI-SbO(t`3oi9^3k2j9_)M*OJ?G*+-yqPXRSR=n@L_F~J9tz^(;!EU1 zqR}5eemoC4j-&xUx0E;c%qhfM`6GfVIRZo$q^F_n@W$rUvpAe1`D1VwzI6?ItFj>L%j3jpdX^p1*LrY@pVgeCG;R8ZJS`jjHV8(s2$$^4`hrrdQHe7`Ef z$HRTZp6*?7il>_hRW0~wvnh<38^u0=i9ag0S=iJLokT=9(3yDp1CJvuk)U(k9-`n> zk-6+FY-Nj8icP@2TQdz|fbtnb>;ZZKbl%QqxMTwnu-ve_HodtBD}vR;)8;ks27eJ zonGEEpLM0{C8rbLRaey?hQsHo2qSU3BBef>qZ8&yNw*h_pSu-GWu@He$JT5;>Wn#^ zf#v|0C>S8L>(g?)n(9u8s#1WR>4~}(DU-vnzaZd4h$rQJQs(S|QOmKdd3P$<-<0(1 zLP=kc$ENgJ^zNjlYBFdq9mz-kZsXT^4-165z6&-3NsqMQ4I%>W(Mq9SMa5^q8a28_ z9epOT;jGnd#*3qeNd)La$4 zyxfB{RTZ$DJ45+c){f+|auo|dykKt1^HRPm?|zM+ua+XH@$<{Du#5`{Ph{(uv=on{ z2RD161Vy(0l9M~VHSqUo;$`LM zR5|P1a=tcBrhgelas67t{S4Hau1S9EbY~CmBE>?Xs3vFI5&y8E5(0-AesHZkG<8Iu z@U*O#08zbr3R}OHJ;&XaK$Cm1B*6y2@Grwa-fGM*Bz_X{nt*P>{&s1GvFUs8`~S2- z9@oOB3dpj?Yg<+#DGB^=R@eXGj%pt8Ni2&$rSF4(^^1Tj1YOWr`$SQGyvn(vh-&Y$ zTRM~nF}OgN-Db_9=Jq!0?(mu!fB)`qCT~=21E|WYpGQyEqI~&czgOh!CA(t+hoTs7 zWk*h_e`Y9>_fIY*_#l;k z0#xhcNP<+h`0kyw2i*A?qt@)j-`XF`_pHap;@_xGZb(dS%oyOJ!um9YlUF!SX-4;I zqc1iDFyz5QrfTMWeKaA;PqRMD3kXXyioWpJ|2el^qTw<9<82vN)DyH;SUH1-T&RyY zk5D!0NI@($g`#b4qt=GDC1$UAF#n&eBSa#*0QQ3(;q4EJp+tR?n|>D)(8Wk(!4CE(9ub&F3FXV%qMP z01QTK_eQ8N0nKD_x5uw;XqUH2ox8HgnA6Xvdr(TIhNGad!Ts&lD0YXAL0JOyn1}nt z;6MRy1go}{2iv-VvH4iU`3<3s)>BLtfdBZwdp& zGXe7MfjQE{;X-AvzAo;hoJv)s^NXP9J_YLYbSph6uyAmI+8Kx9Er)e^$(^SEs@aoF zoqovlee6@pYg6iQ|--%j%*bWSIOc5qUSgSc`xdjtIFe zCzwb%nmZNMf2Whh&kV+*x8Sx|B(EY|RNa`OSujsMUw)3m0)W2eV?E-jFkUi|&fq4* z*6u&42_AZH=b`=gn1_GbAQE%Q@B4kLPKvh1B1Y$7MesmKyaCe)^2?%=^4DTn2&m}* z4{8B5TF4tIVsG-=R!d&t3ke*ukU5L#|Ysgk>Ze+gN9j<$B@>&`bv$N1RGbx!Rbd(`;f- zOZC3r&I1{Lgn4I`hF`-^nW6RT!KBYJqObA$#40TqfLOAS#Y;NxHzYw^e`K6Y2r^!O zB#}$`Ja~^yAiP$P9|i)(<~g=Uj?8W!jl;zx7WA8#5W%N_6a|J(K?73+iOAkZu3jJ% z)*vb6m0dKKc=O%Dc30C?SF+i`)W9$8hym+Nn>J*~zLY_g>Xbwhn}$Oh0cxca6<@J% zM%9TrA<^yc1y6SgRA%6?h`U3`R0b|sYuI`Ojh3&is*wn$6c(r@xhi+pGR46N9$~$w zxjU}g-L3SgsQCGGs<-osWV6`Bz3cYM@L&_ltDP8s(3l?OF*3I3P(ver3qTYOWqRmm z`_f!K6HVk6VTj@(iuY}nCis-Fb6uuTDrBk!`v=Y@xvQ$sZ_>kXIr?Yi;twDR_)n-n zllt5Ac>8eX$H?{!@QWb^X4VLmUwI5nD|Y>)%uDb1@sn>tnl^k#=YLeb|BF`OiFjpZsOAm((F(_+!|&|$Vjqg{ z4tL01?<^{&?*O5FNLXiD;pMh-I-M;w_+YXoD_|~eu4Q!i-I2bgu*=PWNUHY5Bb!1d zDl`a(iz!xq=_Se8*X*2Pi~XxXyA)a1+ff`FKVbFsll39_fLcy0=vDHJH4>{eEM%wo z@oz3rW@5nRCZT+edd2!lQ}}#xE87F(YBr`*C=O`vvhAMvy-M3Rn>O$H#6Vr`kI+K* zr3gIe0`}`@GWO!7-=(t>8Qx*F_o-~xudy~DG4CuCarHM5H1m#PN?>HV-&<5ktZ`Fu zIZQQbEDNOsXix%ozw?HSdD658o&LS|d6UBoL?@b#Txxg^fmrj>$?%vLO77l|(abj8 zc(_eOfNaegucGqCer#s27*t=3mf?ZHa6_%n(yVx>6Y_N8nOat-l*2CXocChX{Uw&` z$V_^e?=JVag;ly}gfOO8ovuzqm~l>Z5;*$rwz6%2lZC@=4n#_4J}@_ziC9~@;|8s$ z)gE=Opp3xAxSlwI4tmkX>z&N)4+PmMy>Dt~t;0rn_$|m%6VP0{HPl~Q zsD99HTd$efr5x5eS*G`UQ@g4>$#PjF;;twG>GL=s4@7IK zb9)yXKZRIT;t0kVdb{5$;ELT|ly$poPnq|40IbpQu70B4^kTm0%H!?UM}QuiT(%sc z{kbZM@3NInw`t*0R4XPlzfVne+a6nnACZny}|J5JtuH&2xeI84e)(XA;z_%rM9K^e%=>9KvrPwFwJNFp4` z@IMx$?JSdzyRLQb+7flp8TTBGDkz~X#~9YE@l*$}K8R6_d?EEiR&EHs7h0IUiX2*c zy=nw(?(bSw)XOo>n`Bos$261ImT?#NR!ej*VxIRu5{zee&+hq0dd9N*e^7#lfP!=x zQ<&Z*{0-V-&T zsm?PnJ{!pul4*nWittG%$+@VK(?l^QZZ{Zaj0Y6RQglpEM2Mf~vM?(E(78gHa6PzI zYc#n*^9b^vQrKTI8|v!6@idzxqFo}HC<14w8}BSxK;oe9o;J0;+`9?bxw(u>YrM}9 zvEvMlRyiNkZ2J}*7d#B;R*)hM#!3VxFLId@#~%=^!1`OdiHZkxy6DoL@SA|x>agk^Cs zaS42hIJo|ok&5x%f*W78n(w;b<;P0zHyb@Z{j%HqxZu0tkm9pn2$s(Af+ja+GMy`2fKKTul3 zA>v;-p@K4R1|PzEKW}0Zy374{Ib~_cbxT;7J*rPr~=72sZ^cN_d zfOC2#NTIJDWtqBGO;arq$Vrfbp}lHUzbNNe2K4>G5jo`-@22_3IW}>f?2R15eDN=fIk+ z8V_5YUJ@`R-w^xwvM`k(h-dcnuq&&8c#RrUtwHH2@dy36JhEh}ad~i9m7H6tqAB-( zonOLu_y=>h6#v&s`kzexC4b|(6KNuY0NK)aF%*1|s!8pnCb<~&~FgC6#^u!Jc=Hpck9a`qjAb0iPMs{HY zh>2K7Tuu?21(5%)v;sZBr46nmI@PqejBphu5{&hg?UZ|)^F2Kck`D+sb+;D*O^-Jc zm!z*ZRO9D42~VmtC%YD`V8Lh^zT*qY;Cc6*1aT_-16QC^d-UO$@dDkbjJzQlH_Q0R zZ)D%cgbo$DVC+Eo=`nu_TO=XE0@y(~q7GB-ID{{wq_(=F17iADf%O$$GpnyYCZJbj z(2LB&E>^A*wb$sxB}->GV1^tZo}==X%FtN#x@V@|&R$?bT%W9Lv@GgU_xn zMds4C{COtN*U44j`J>Whqz2~)KFVgRBH-hdCIwRS=uW}3D*&ZX+*el`fDg^`6 zWTN3KJ%AmnY(I@2_mQ%`;YDz26dBlc7=Y=2P z57J3m;itpA-bfW`!5SPC@U0qgb}({D?(^F109H&X)Xq? z2a#7pFGM`@iad5YXw^6YBF8Rm z843I}t4h{qWd`t-7#5?jG}wVLZK0qln2m_UgBp?ks*dw@T6{Uhm%=d7u6=3>`PYez2NTOnL=g02FJVngx**vUIAeA_!^S_>tq6%I@_T z9@W#e=ZCn<_43RQD#$jz%d`6}CyW74LKI8Pfsh_natpGhYu)ZayN)`rWg#90-<557 zSRZgIahIPesJ9)#S6BA}hr@>%@9gH34W%+!6$b{Apwl0H&@c!OfgI&fq?TnRsGTq% z_L*2Q6#sqn4<*A7C>e69_jlDC_^&4Gj~q60;S#~dCsD@<@Bxfp>GwrfwXySK7U-Ej zZ0hAz2vOoQ25gj`F#P^%e}hc+$d_8kAoj6) z(xjfS7AJcIt>Lpk${%CBDBhXO4i^z)67`_syF`_FGX80WTB*I!_Snv<>>Jo~9gg`N z4nxH}-kkuJNLcUfMenclMyCP>`m%Wgk|*I7SyV^P*{oUxk8CvEU&R3(7%*~>lF*UC z0BoDf@$5lO3a{(~C}YM#CHP+_rgUdFC4>oi?7q88h>1R4yjlmoz=1b{E(Rq3PsS-T z4s9$1)@SczA`SKfQ?*aeb2=GTO+qorCX-30xLc)^9p!+1`qT%(*>?v#Z3%+(Revfd zd>%Fh5FI>f(HIF52(O$u0hAu1;3$wt=tYe01)j%aG>biAwB8RcM;M76Hsiw5SSui! z8lr4ldrqOu5WhDEqNr~A`$MUlMJYhbjRiOE11TF4PIhe#n@XdjIUDn>u}I|V6j9N4zMaOP$T)7vcvvdK13V2G5TvJ6 zY3*P9T1K)zKw|Y5*XJqwh|+&f^p8fYg1_zLKWG%Wi#&ePa8QF?Pgx)EbTnVRd`KIn zEEybALA3nkZgErKX}vWtV#DD?KmHFNmUof7Egp8eduw;;h6p3&(epda^yz zk|Tl%mfW>=sImUt-XojF4xnj)45zT$_B(EPF#uc&KFJo%xu542#=v5cGP3{b`k>C# zN7i?D62R=zhWE#|U4m74PUe9p-m|_l(oTi!i^Q7K(H@K-)IT`@Vr(@B8H?niH=i>b zh@rm|p>uCsj$Ho?g!+uzW+7)9ad4nl|md;c}ns)z|#rJuh9ditf&Ew?tBD5e>`Ww?J z%IIIIQW5st`$AZPY1c?4jkX{g8$snJTrwCs-i@I{MzN-616jWgi#<@Zns?Ypb+jC~Y z%HlwKAxo|HB&1WCHC|e_jlk!upb67F0Qbku!x^=E1Gj~XJv?+t2hLi^vcO`i-ffRE zxy$8Bi!%K3rtOi)4-i`w$zBj8P*O$n{rg+Ct=;bfF0DMiUyIQ|S(dm=SI1g-h-VR`~_9U^d@u-V@Agv(Y&7Eacp5 z-T(xVA(xrS;kjZ>$zbpSCld>DR)o)MTiw6^5qvfhDSo5m~BCqFe8#cvFb{kGdEyEhWIU-xvIyhBUNhr({N?J+;F9vx0`?G|H9MnVgf<{^3@~y2s`o(M^ zgw&MhhLwNsu>zn)C#m;U6$i~e$@!uvUiWNg~e9(V0G4s&n?y(XANylIgK0LesyaR%%w>pg|2&w>Q`g|^atvVW zm9+GYms5vOI#!|4fEioWm9^(P(>m)PuXyWPyS@x(;e98_{tQxr8~8*^J8QPJ+O_U^ zYM=TIhjZ0HXV44HJrLIG&VCJAefCI1dq8*yjF7~{xT=`k{IuPLgX)4gEr29*Q}6Ek zM5oksgKH{ME{xFO5}+Kq;!lcBIm2tVAOHzKePD!jJb0L|k~`8GKK@HIffz*@;0<|5SH~*NNO^99ff?+&R++_`i{p1OF^?m z=$(rWKs1bKHx5(z1qLx;voW^`OSKt3`3#;EH{S8UB;h z?Z9l1d;TdCsd;G-AuNFK?Ri9$LVH`!woP|&@*{k8d$X5jgW8YI6wOK%Nx4BdHGOsb zAaT{I>(6K|kByfuW<}u+_}$V-nO)@ipwN@Bo)1T7HKQdTGfb{`xu&*w`>j(ct_(%9 zZZ^+mDn89V`!ij+)Hha`e@RNr0hZpY7 z^z?4z7o(j`m>E2HPGFd{N4`YmG!yn=HOOG?*w@{S(?5c>{77pm{T+|;3Ygos0B1rO zz=kdvr@nvjWzF5W8kTeI?`C^) zlH{a|co69bV^V>lmyPVBAL8L)r%ER$>w{cMuG@^jnS>*Fa?^lW(05J{)niNMZZb97 z8`tFGx>874eBWu9E>K>)X_r4Qi6=>+m8(cvLC?J*E2Ut-(X`XaMBO2At_Vkg{QzTE zb~NvkCH}B^8Rmy1AesioF<4=-I7sx^!V2YBXqx_nk4pCy%h{7tg7Nv)5qI)Dk|`1^ zcDrk;f;vqx%ZzH(3}uQS`^Ta!+w4^KC+Yh=mI)8qFSoJnv1k>A7Q_*{W1rZRjtK8O za9=f3+tiV{SG^@Yz%W25EdTa5l3g?#C{vXta4uhUNd8x%%Ssw0)HpLMj$+C2` zueLLXWyb3ppbE_iXcIhSoF{xVoJIb!Jka&-?rXr`?AO%{9)}loQ4rmShv{&3JVLsQ z22B7xQ~5X7H+h;K`Ox&lYPe8Z#N^gkK!f=aCT-;v+illk?? zkbeIVXq{+&;_g@v~Z#A_{_r}3)>gjPY4cet# z!`=>>7uxLAjvs?ImroBO3DdiuD-FOl2PDS=vnptq`;YkV18B&h)T5|4fjw24nVHq- z$#1I$I1s~`@XD2zESdFX8Ucd!m+QbeJ^(4ZBk+PU$ZPOgWO}XI5XVJ~TfB1Kt1!O- zIz0HRYvXJ)^Qm3i2t|2Mr<+~S0{CSZv@9wISO*hgxhuB5`n`z~={*ylb_f_vWG(XD+>z z7{;X|kPHSW*~Uym)^`WrGLt80dDy}kDBw>@AB!@x`hT6!-siKd5MS#kX?B&1=cJyf z2Z0GMK=(!6S4*7T-2BBaK+6iy0z5#D?Hajg*0^k1@0F-c&~ShflAt~sJqms;rSa2k zesuTDe0mUp)wk99CK1^Rs5M1y{kl9N+@0UeQsip2-q|_O(F{(oG55M)ecU6{dvwOQ z*L~$#U?IQwDgQsY^gN50TNX+jgTfKt_AFxvK{M!f@uF`>uGCQuSo*({>sK#ZFn9U} zB!3~HNh|G60|OlT2wEgh&ir2d#jKU|KNw^Z8zHu(4VOHsbps)8yZO%7SL36tkw~lL z;SXQ9tc?S59YW;ja-#3B$xxvS>>M(o4XsH5j}n3p!g+#^(T)_aK7@_-vT8vY;M(qa zU!HXgt9s{G1|xtq&6q2fZj0`+`wEwX>>TK@b>n zQU87Da}$%GCxf78&8=C6w zl}v0yPjd$A*`u)vVWB1!Y(Aji?s1d{M0lQ8S}Gq@2j_TQwp5nwjZc*7b}&qQLjRpg zTeXWfw3HzPIU|=%J{vPeb`tZzHA^Ab6 z7`DG+7Oand8_~$_Le)r#j<^q9_ zFd4(SEexHjNtAo~h-0wGFNuh^FLWlifS`31>5 z<>Sa!SRcYNa(YGONWrId0m=-0XCij2+%3FV7-4xKpI$@nk;dQm`dYn`@(*r*1Nlfc zM}~a5O6h$T92PAraCrib7zTnPAmR2rJPC*K12#}%NNvUgPUkypmW-Po+)n1Q=)1d-{Pe4Op9Q^HY)L01~Kv+%Sdh(d`;gS(X>&3~= z!lON!OKf(99coI!+SorqDpu-(p595YzC>#UZF11lo^?Re{#pcBw}YofR}I1adT#i| z8sFG>WSLxNCYNmmblfjjjIeLLI0N&B&et*rMsojlj*4?*h$$DmZBJL`Z&rHCU93#s z!uTkX#wLCNeZ_UQSwqDDI0GFEJpXqH8F=pexp8vTv_@#n?yzK#ZI@-NIFY4-$JG4- zS7H8wwVS^1)#${}0{N?}ncDHppg3rvTsC~Ir?WoljyWmcG2QvVY-EqVaUUj2m*tQV zAsykArk&!y)-gDRMF$W$g2}LTrVDE^;P?$1e!=hg%bC2+|9hNT2<@};PppwqAxY*h z&|}G`(Ip`F57ZUT#LlyI9Fl&vRgg=7&WkGNDz8(1&RmOID3L?;Dymq89|vfwmH{aDI=$|$Xj^B6X7hwBrpBX7{ra&{=m@i z`i)1&f3=8`+Z6mO0Z~F5i3Qg@QNCAnp8YqmHbx%u1e-8pIOJ0mc@bscIWXl__!Z;s zN?SqilRV%N^X?5JuOI&ru@*>I;&wj6Uwvb)9>pPBGhZ&;HXZJgNj74ir&w4nNKz=g z#pPbtw;eo6fow#Oj#l595=W2rm~RnsPZC6pV|IB zUUjwwdILezDcNx-@S-|Xg&SJu&+w4FazM4(=SQ~zU?KQg81w~^ysOrwB8ykdd1-Yz zw>TJhYVA>r3mH+Zsz&W^eM1^%kI=F_RnxPq@}k)nDa-~wCX03fgYS?jL#%A}eN?+) z-k@G*UUza}+lq1R=n2lvSq@k{`!IPyOEdBU;TmV<@1*R`fWIkT9x9dtG7fboy_6q* z13L#GjP6uYp71j?Va#P)K0N@l)tEr5t(n?4SHsf0eBc;uX2(EIK{3yBWSHM@$V!1= z#t@PIVnrE^@UGTlI zVDQ9s*^5pNG-BlH3q#JbL>oiSajS4Jh2d^__8oAeFw-62gD+|Rpxa01WU1OH5Hp<% zg{idock6{barYn@I1^0p&+mAXum_Qg0slt6@t*!f02-{>ca4YnYCu8E@r2VHS4D}V zh{CZGbCQ<-lA@T^$I9Y_fd*U?L}uMm>1Y1Fes!vjOti`EB zKY+(B{io$zseUnl=iLC0suxykC0FU^{YfVHa47mA7Zb$rQ&CB7Ac-y~Ss>kU%X654 zAsf4xe5yEjUm<(l?_;NDAqBf)_A$xgyC009mAF(@AF&DroC~m|(v%3pF6u#0er4kK z-T7wS{+h#sOltsDzYkF-{y61c~%I&{C%XFmGytM!D6TX7bmVKU-(zl{P1TLq_c_mP(7>i0{8`K4IxaHjX$wTiE0JRHMt71 zv9U3jRfgx+bMTSQb~@hL1I?t#z1Xl`U1=<-0-NXWXHLCt+%_cx!&0@;5i&{A-W z`OE&%Q{FUSme?PcD;d}yvvU9E%)0u2WY!by7+DbE`qyWshR@XBqNh2zbIyn-DRvt@ z2FXQ{t6hw=P>=tdoRiM>-e7j*0byh-54*NV(}j@2<$v_1W*HVwYx_keO$VbFdG?&^ zD!TdNLu@kzZS8cR#yql7Z#Z)^OJX}+Ywa-5uafivcM7`uY$fkMjn|2+`XA$UKAZh( zypGhTe;cn8&y-R5kJEKNs+0KoF0e{=Q9&8*wi7xzEnM8f0vvdZ*cMrzKv)%J41GMj zY;tGL@g1KYDn4^iyF<~9Al?~QS^JfM`f@wnm6i0&RZ8M$_Hw-@EBM+C1z&dH1ANd&?{dKuZS^nf`aCg- zxhk~gYJeM|zxq`M;Nm#u&QUJ*Pijef?{~EDy}`|I9;a!BwCoEtgADsRb8-zXu9&?O z9ZYj?5c<|K$@_g)Wgl3`x<|9k*u#xZl?q57JSEmH`l|PRtSbGlXl`Od{Bfe1>rE%i z`9)wq{`**-zj|Y@zwjne(Hcd%odd=zyYU>{jZclu9fyyEsDqqLOsQ7k5VM?jb*P$N z`3B%{QMdf#Bp=;hivP4;uJ6?Kc3j^l$N!pZe2TU#0-5k!1_2)7qm7Db;5LJ<4NJGL_20(Um*IPnjVh)=oR|ac;gRdx zJ5hHVqM44^)r+*Awnn%%X)KEBVk$;9Dq3?&Mpa^3ojA+xN*3puskiRmNL6mW3CuZw zAGMx*cFx&B8@F%NU*lXy)pzaHyDC|9Drqd@pFRK7CN<-1H-Cmsx@_(>6#8^HPmslbuG30wFdQhctGA7}@IuwLb@dH4AKTxM zOr_rF-);6><(%!JCmf+f==FQR!qR0m)Ah;ws2RJ*iGPR@uUv2HjTrrER- zg2FCtA}5d>4y?4NniB@ws5|($ENo}mNxOZ-OJ|(LKtH+VjP<^@3^|MV)QP!79UUuR9dP%i^z|_1T zt<@-8p&!1BL!fby@3!v%CtTd?;&z!{!HVGNo9b_TyqwxuBJXk+;@6vw*^sIywZL_o zG(v#blF=dOZ{~YyqpF>G0W4LP^f(J)(`Vj?Ys?;OCLYG8YcZoaM8e`npG7VLwS+~M z2u_{MLM(Ri-Y<8L{z~m|D3TrSq3TU8{R`B zi1Q~CdrghEenO8%wU<>kgv|J*NB&Fc*4}DP2Ua@cqwhqpIZ*&hMTLV}!qXGx^w2 zA?@0$diFBJXR7E5P6h zo|>dt?WJ{2V!YeB&oyh z$QR7su%R<_7>6ZlZq3{mjNhY|5Omoh4EZ9C_$=3ZsN0u2G-4*H7VPFdH%dfw+bNJq zI@@8-9AJ`+xm09n$s66Mm>FL&;qWOr+Kwxx%ECZ;-9zJ=R*bQY&PC-kvROu0{$Tow zdw~|s0&#C#2OFlu$&YO`$AnLvizM^$K^NR+Pn%Hq0_`R(3uQ}1Id^R41uA%{N5!J! zcZGG$4((<=wn)hkd{Up=fvOgU-~rnSJcz|venON|Xke=Btu;Sc5NWnbi}|5Weo`+n zex82`FUBM3Z?W=-*m)Xh{AwWalR8@znUd{(^ken=+HPa$r7`3(H8wdkT#OMzIv>BD zf5gQuJI@P0kmzl>N4!l=8mY#tgtv2IWc--u&VG4!k{YRksXg))f2A~M63y=l?d+M8 z_<`r1CRJOJh6Nf&yK+H#=zSzi8MmyBwVEo!mqOh)s*_omim{>R^=FD+d&#Yf=ZCv+ zk)sMJAwfbI$^EP6nfo$LDCDX9c@By32}#L!o39Y?l7+roH-dZ*Fe!2*Wx!-9!HryE-8DF{$eS*Aii-7@w@e>`lKpC(F&E|UW*GCtb;Z{21oOw_`0?>?RL30I->8Y z{JgiKxXtZyY-SYT_O`cWd@T5i3v^+QwjN80>N^d19kJWy98wLVFWJRQ#9=&1_mr{H?O&x37oG`Y^REdCEqUa>u0u z^1tZ_ZaX0j-0ta}d=^Qhr1*5KcQpJWVyCx3@1=XOvEE*n@CIYH`@3{>G;fcK4k9JI zeL73y`Fja_3k08Inh(6Mj@t8LkNQoMD8>B>_3j;NIZ45XOQ&ylDV`r%pRCCmPWCif zDMn|Vz(+(X_PRv6arI(l!h}Y8{V;msbvR~**1Txzp?7Oa`UGpEEYWkiyr5K@kBSB* z^;~OkKy`57yLEh-mR0L=Oc`q6;Z(=d*jyB~hdgCA$qzBY@bb}vMm37ez=sEd`&p6# zE4bPBxELE5{s$+62o)BxzlpL3con%n<2?8&1yvJ+iKKI z_{fj_@)$U|^JBr14Ci(4iYG}U?ryJ+UVZ!j82jq5td@4~1tK9RNJ)p3bcduMEg{`0 z(hbrjNJ)p%Al)S`EiK*M(%lW;;C|n8_Wn*>=eqa{^m*2-wPxo2)jj3|Y_H8l29C&J z7vn~7_#peop??iPBVsg#xRJs2_`@U_gobo}cg9nUFi#<%ht|5~|42TKOrTb6RT-O; zjP$f(>4w(b+Rf#3Uf_lXhd~dbE|sgH4m7QaZZqh^#|F1HhR-7QERP|Qi129cdKTOvy{v+pcF%pS53*!&2X zHIdMnbFa2kqU1y}>%qyMtmj``NtwwGf^Vq`H&9sf&;OZmu|bXGLJwiC8e~wl221yj zRPt@D6Wf=Ky!a`DwWDyAiSb5AH`;mz)L&Kv3{oRzNn!^2az(1q==s1H)=i5Q`FU8R z&c%8@Z>4iY?>liK7x{t>lJ|MooAH^s%wa3=PTUN4U`4LAc~J-ndbmiYXIskBJpG(mj1d zG{%WA;|v9io1mXeiKK6=M#Z1b2_i^jwXcI}3B$U^cM_L~0v`+gAi*Db=gE+1l}j!$ z@WRdUI7hb_g0;xg=Bi=3mhGz0-YQw>c`_@Vhey7flfHaeZga?Nls z+lMngcRdvj6 z>+LLh>bKnds>+?o&9R2zp<$i*$(w{A6;pMd$D+%TU5cYa9*vbxp)|J5l~WXNF1u<_ z{knPN_jHSYZ8J!wrC%*klh&$VE1qX>e32tuHZKh80oEHRvC*d3%Af~$ctV2R9m-Ik zq2)9Y#;>&6sw}s+LnpU`HvBPmyQ+b#hkhI*IW>WE3sb#4*}It!l00qWpFOU&N|n}K zTAm9Y(YZdKeRomI+n^DfbPz<`n2-`7dH3m2u4?BpY|~oRFMq160*-_>Q$m7-YPY+C zs4Qib?#s~Kb|b#KtFmG%-lEjTJfcE5qt=et!ZF&jl!3EfDhx9;DRDa1d@L-?46MuJ%$gl$I9;u&tSA9EVC5J3E~oOmrie1CSw@-W|0td;4W;pdjH8SS*FlFJmYLn|NaTNo~}3F4*|T*zq#YQ z-%Wsx?-UHf73}Y8g5c?~F>Y?DH1;A%xn*Dz@X~ZV@bc<_fl?%^>?X`NQRf^z3+~}U z$}HWH(!%k;t~peFS3anFT$6XI{`MDO-|M6vQp*js*ZKe33sBrEExox-{Nt{?xx2&H zZLVlU7=59;7L^wpJ!{em&lv|o39cDG*&rtL*|UE)!xZ29Y>yxEx<1C-HP!j0OvNr5 z26G$|?5q>1-W6UKr%tY_6i|f5R%(fq*noYIl>*bX zOk<^C%r)Ct?ylj|;*IVSS>_ZCI&WAQ5LX+|4{FScy8b{Ldu(&Up! z$Wx+&xBPapX8f})i?R#<3FyU!3HrZ`R3@9Qg9+hU9?-wSn`1^4?%g@OO$kj%N zO1~bR!$k?!xfgIrrdw|8)?Q?^pU`J2f~Hu!yv!%%6?UUXpJ)vrrfOSx^7A<>rCvhp z{18K{x0w#FT`>Uy>tHJIw0CRX>c^5UQ>r}^v``Sc3kONDgmE3vqYrf}p8L}O`Yd@) z#GPfj500|YA1*c-cnKs#+>%EPg96_^@Zy%D#6kkK4}T0R+pZw5ixPYXm8auIJitLx zpSvYLuh~q17eF%Z__~6}BbzR(T~rTBdeCyTMe)8%pQZ21S7Eb}9rp)dhLGtHaAK_) zF5x&Fbqyru4H?5!H_kB6h-C?-to~TD zSCb757eGddjUMB-OG{)yT~eWg51LSA2-I&UQDuk`ygV^QgjgR8OA4|M7h;nntrCQZ>ub zSbJoz*u!*pqqwh&xt{_jG#y zHzw$hC&{@iUhxQ0R=kgdjb=%Yqe7oPi2usps zwJT7`(+O~e{F@gI07e75(=-NgGI8&`MPtloI1JX^tDc->>7XF6`*o*qs9IF|_3h4@ zbj1bQKumbwJgTO)p#}eFR*^ae*atXC@oGw&oKkcyN8%9y{q=|6zNeN=H+HveJZFho z%353P*J-Tc<%0FvBmt8;z>8hnW)V<*ddJPDV`zv)$eN z94mOQY>Om%zMD;^$pd%i25#AZJ*oLs;{5n&uo_Q3#TU8pfPMAhjTpN<1a5Gg784Lk z`ff>0hdV)E?D@`Eeoc0Eo;9|Ay^UY8Ax ze}LZ4sYKAd1_HAvpZis&Am}gBvTFA--*ApjtZCU4QxDodEnQmK<2N;~xhxqPw;TIl z52ky&aSIqg*n8LYv*}U)FHa?XHJ`iODdrw69M4>TL7;WXRG?n6#|s*r8mN&etJ;#X z1+V47Vj%UNYtKgP;KtnV_0GiTPtGk%nXafw2#wUPn4nys>ToG3I zs?85UqQg}4b5FV!5TUL?7uXK5Y{NP+zIelc0jN#PQB|s4c8*&sJSh|gAh?{AcKtqX z)|WhIwQt6;v17_<+G^xcqY^%@Bn?G!X|>kr9SAUJ+0lw&O8y1$ChJaYk`&p>!B4^T z3Z3%w{`v21@6?$N@5rsLiIJXS1~ZZp9r*NC=sD)~vMcCQI!w%2>C$zXVd6LOxgdGI zMUN$&ZzJM4TIypZnsPJiR@W0RrQYMkJXQ(>CX}mdW#|5VVJxkp(+GMS5SGVe0)UmbHZtV<5_bcM8MG3vu;}N$M3|*x z|6HOVay6nSzefeyg%o41c9^pFkv;dY{xAzW2Ow_3YYaVlX;n^P*aqcHYc7I0ijs}k z(MrcQF;ix*sZ%4teaxrAm2PfQpNHygst2g~MHZ@so-2#Dl1u!6k1-B$(J@pP`b`s6 z2%#~=)L#wh5&4&uR0I4m$iu>Ir1~gNRT@foJ3pv2Ke*x$-eTx}eA$hj>P3@X{&C0( z+WM`&Fyf`C6yp;ls1|vt&kxdLAa|SMfmVO~y!A`A!?!(z0Kz#sZ3B+_M%iXR(2KJ< z#5jbTjKAHyLVFVKDEpRO@5W{m(;3tX%#Kk_CtBUZ<*vyPXVZ36L>nSv6kHSF55Ri}c!N zq983X>FMVZrqY0Jy(sdRMylKE_-=3K>n!DyQvFd6N||_CE*#~f8RUxZXVy41R-vTL zt}1g%x3h<-H`w;{Kg3)8B35Fr;^|Fe-z@8RVyikI%PE_7{I9M%gzEPtGeOXyodN#g6;GMI(iVNoBO<@`72&3!C5 z-u?}WgBn72pXl<3V0>G~PyO5Q0FJCov(>ftCYLDnx~nphy-Hg-OC0Pn2^wt&c6=~lY*a?;t#;INteUcmXE9yhz|e{9+<36tqltSG;tAFQDHXyJ|jHy{PSTaYSi zDup?qR---HV^~#7Q{2^>mXgd@j~#QnmeQcg5;xB)kCQ*jX7Au>cV}ZNHA3rq;mRtX zd%kW89uqh!I$NG#IsqR{whzA-?k>Aya(JtW&r3X4<<;8GdkSV3V-u6EmcJxmu-c(` zPkf0Nudn5ykLzEN>gl=J9jXFT3=r`y9*g;|sI~s0IB*dWXj^Q{-zNdN31o^nRyNbJ z>76GX<&8=YrLns+zdwp32V`^2&{9g0YvBv3*9*lZf}cPJP70pPQ7lsPxgiT8VB9k0 z>a}ebI+F?R`fC1+dE`M`R2E0Zl?*XVSU##sB>9FGY(kdWw^(+%c)G8Zb1+bByarPo zJ$oS`Wf{l=l*BUfu1NJWP7ppxn4M$gy}T+eW{m6MeZpfUHpI<^>vCShzf95vY8$Xm zwF-Yy5JNxahH{LJ9W;iG_wa)Q5glnn+r&hnrseiZB`jr=q5n;9>qX&ZK!CvRxTidR z5*7-+=sC>YD?@b$+v|oTo=u(~)L0u{7)_Mb&;JIxew^T)ADA)L6qbVr;A*~i^usxU zY9o^JpE?`1>WeSBMz6{z%n3Mw6Os?2gsDu)No5>p{iV9YUY@{tx?=|NW^PAKXxs_9vgzA>KlowO#XIkm-Ck{Wo@qC zxAmG+nspB+m=anjQG*K|cGJ%>wRDtznCheX9Nz0?OC&MT^Xuy!kRJuqF7&O;Gk{xY z=eTn^Lb!kz9~J^k1Qec>xbS|+VCSvfw}#bqd(;qEFGNCdLMBd|>iu?Sdu zOr`7TtW@6X5Ks1AN0qUf5N8jd>8EwJm+eoyw>?v~)Uc|XBF$0q&2RLlJ|v1PCK8rkiM#lqZ_$$cZYRRZ z@^y;jx@d5r%la^P3q?uXUtpmh@H0n4^{jlHcjmf?&^_2TQAF_uUVfu6tROhI^vR)h zlW*#=NvThw4!IJSuMSJ32jHk8Odmoh186#$t{6h_{~;)`^(wa+RwqoSn)rh21h?N(2) z7E2s|jmy@~(2{t?;r5_U(buB5E})hdcM~PiOyOq_U+-v`{X%@;^#^hAJnPWP-P^X@ zyYeu`%^$4;Wrd0lz(7D>g1m}%l}5_>br$OtPuG1EGN1GB)kk$CetqJ<4Cpc`L)5@6 zbL^-~pANmF8Ug{#TTtaZQi?@sNB}{isf1U=De)z@B@xbdJ(5U$^Ah#eJMt)Rcukp?CZHbMdjge%*?3IpFo&K*Szl9 ztYyveB(R}SnN zVZa(%>a0GAB(E|)v_NDdeG8rn5&>~i`~lI4ar{blb}Zc(P~tAyC^DslcD(llgUB@= zV-nwhNZR%9!eJaQASnL0mJ;_09~p6LoHei%=JoSg^Mo{Su9a4ow8Q7kciL1g*2V_$@%!zDWV=ZX{LAavN32j)gAEPf6~}s9cNe}hdw6Zh6VnI z(HM^aF9r8uVLHOIkgNn*(y`1l+`I>^8V+`S zrZ_y)SOF*+FseU$R(X6p?&vUIRFHI0M53kirdGU(wx>_E;`3qPO)U^}6jT@=g@SPr ze#0Whg*!MxowMOtC+SMLyL>0W;0zRz>4=CxYDkwEkul}-SPtvbyB_7OFITeH)i1zT zod3$|+)K@9@T02F&6dYX+ZC`luC@I07r4STv4q)Ob$bO$?3?3l^~|S<)|10+7wHEB{m#yYnm`pInT7}8 z-q^>Gg1b%wG@~$VF_RIH7UN7ADKG$WhJUfD-^$sH13=(PS1IKcvui*J> z)hacAbV~edL*;FRr+0vZ{kZ=nBrpsvRH3;P^qILnsdeyg39>N_CW7L5yJW#DBD_Bl zd(jc~@L~|}r0ip=l23Yg|8VY?Cz0k`xcmBkKy`#Mda(2^k>NQjwWW*Y?e&T4oDUh) zy7in1bg;lppRD8GB?T`{8+>cWYiBiR-$LXI=&f#_p$>u?(;Dii-~l6U@!h>xumRi` z1j70UhmOST<(lrQ8!p0Fln=ZP;wDdQz&|5NJU_Y)c!vWyso9)*hj*NGX+Qt{060yW z!_2@pw5soCd&ml9oF*+(Airt%ygeV*J^uu*F9AkP+C2t zG>&&B72sR(8SapX+$O|5+Cyo6a8ZdBf%x$5d~VWv`xuZipvVm|3@8*IIMV^U7UcD? z6Xl~SXU@-bEgv$v<0l@*kvz_j7)>Io0jpu1_R;@6hcy~(gysc*_;n>|DjKnp-q7`NG+aG4^vI572t&U;%g}T> z`2*mhEUL}NfZdFiTz@lD_(i9zti*^JU>rZslU?pC;QNX`b;vVL;g~-dRHC8&SzPJUA<($3Gq7W`(k*Ft?Mx^1BYQ%=UA@i4Qlv0)&c<03Ib*0=5T&80~9 zjX$rp4JWU%C5M;OfJ}$vcc_AR4i9Cc?nWU#d=lxVI*yq?`nG~+b|Rb-4*xHRy<> z0lyqeX-Pqr9tdZ(44Z}-O@nK}%HE7PYd(=QOdThk##TQ7y{FrZTap-^31rf=6LQA2 zY4UR%1~dAkf_aq6pS2_x3juMQMwV3>c=ww01d3@|-<2huUD&c6GC?>vcF#=Ki3yQo zx__>)+!YZWHo!Ciy)Qr6;&nWlqWn8>oA)|n&-&E5=#IG!riEzv@p@N1FGq=U8j%Q8 zy5ED6zh&k7Yv)P!!X!mfn>f{D+h%Z*B=?nn5r08qoDL#J&}l~Y?+o(ll1!YIx77)V;nhYMgoTJaoz$U%Ja*?ITjm8-GuhMnwM$c8PU7`x}N^X#OY7&H}Tq8{xaQ#5>?1+xu2{+wdsM^Mve1ccACj5hRwO;oba% zW`26BCEc+MZ7Y1IXR|+y%dcKb{ZPH@qj0@4-2%qFDN~6hw*%oZL3)KqcvhTjaDR`s zr{YaEX!(0xGZc!SM1e}3IT=X2K4z;L0!B^hj z+)mlkLn?TPLRb_$Kn>Ys4#zWkItCaZJKroE+o+U|#XWow)*{zQzQ9i5ofS8)T2Kjg zcl9rtgp|Yn`8i3}|Mu?%lZ}Ft2jdc-_)(EAfafHE0W0)J@@Xy&d|6EWd9GI|_jTpV zd;tN!K#_Zl2|TJMh91p^Rnyz2lMj7v+Td3Cs-Wwu;eWBnzp%qZX*#}5iqe{nnC3@pfS z$`xPW9c|X)`9Sj!vUiVZ;I~pWU*CrJ`fu!SM8q(U%2)vtO5|_nrbDzkZvG1KTogkf zzU1Yi&4e5t7R2UwR@6pkzztV=dH$o`s>IWtSH6%}seC*ruG#pyJEYbqj9?@WQ@~|q z0ONgMEZni8xk^iCowe^jMr-tX5E36XTFKKBvS$a-?i`;gtYqhjspr z_LQDTB$!JABquRv#fdXLmQ)8F8#mlj?#m)zFzPs6ohvG+S5Y7i$JmvSrJhZ=GS@v{ z?&b`)B1Qc>fUq%Lxn{lB5p>PQ_mI$S1C5P@W*T}3LEYzm;B4A*ZyGcCucooxlGxys zx(|2{0D-L&Bd|&Qp_rxQBe0}Za+?)khayS{QSmGPr6}C+V&_{px!F22ztx`R;$^Nl z#rS!;Z}Fx@uT;Ncv1IBOyELnCCmQ!r7$O|(Q`PWW_WSA*zD?}D=0o{>|Jy}eOyWOY zvi!Z2r-#mKl0iUK12tzkKmUc8hs!U7?64^E$*m7ZEq*0&?yn1g_w&5ttPk5DTfox% z3Qo5xdc%WF+}S7v~i0>dA|Py49aSOYW$< zYMTG9P)SVa;Cz7M?R4{2P}2Ul5odRuqHcysWwP#nZzt2yoH8E2rus`kcn(7hv0yB} zb(>NDB;=;(D*-%y=*Q~Ni=HlV*#O*x_*@Iuu|a|L^2P6Jdnx?DYn2;=o=PIXM*&FT zoi^GxStMUkzAgW|hH+uf)rdFTLW*j3TDI0p)868JjuB+rmKLpgQ_QG2m$p*(f0YtIa{$lkiGpX;!I9W~^?=IBP+=Sth3JHmk2s~>@QUuXJ13pfoalo1C z;bcWiI8e6A^z^*!{ZE&am9;r61UO}Y4o+`#hmNb)@x#nkefEQ24|76X?$#;7FRa6V zxBSRbEfh^hJaQ6>Hx%@yIXc>D`L&Yp6dcI6#ZzmgGS&wgyNczhPl>>4>p6&yd`+s! z677{fh9A$5C#`(J-`cS>)ALEZQ1khB9s+l=)4^dOwa+&9tpz}4@ z&JjLHYx(S+$d(t^%cbQ%(4lOA|Q))j!wk_LUs{B$m&^z-E^&~w3HXDy3OTpfzD z<`%6X$iJ5c@D{0v$QVJ@1RN5Pc7AQ44b2EAz0Kzr^OWak#K>i=iJnv<8^GGfxW{jw zBtMvk33`Ve)g-QEQwDG)Q7UI&WL?WC+0_oyf#87aHVFml8`9rLpWL*igqN(Ja&uij_2d z9+D`An9qE2wb8DP)#hu)Av=e2HY@3rCB1$(d9)b!)P0^YFFXg&2 z&D7XUzIBbTQ?g$slyNp;dXQ7RcNmPZDiqsBwIHHv!-|#$L6k~75)=8{)Ah8RIITE6 zY8ZHa0FdR;wmlJiFE;PhAwPBB+<*012=q2KsZ_vD?7iI;V1AKQwyW!&71vhGpAP<+*V|C5T6wgcjE(4Dvap3+2*_NB$n~q#& zIY1Kb^@?XMrRT3C>OE~?N|LlOx3a9(JROVhx!YpYVTkj_X?=}FeA*9eS~w|j6+8q{ zWp*?va14|{rN1BgB(Ea>`4hMF9% zT?UsorY%OYEUfu=T>W73d8bl$L{FMAOKOcb9pt z$qo=M+!*}Z+!m>gTs)e0ttYlm!CF1<>F(ZTuxc~m%o91*+%?eBe}W4HN{cPt?^INj z&l9;p$pG_8Dtfon?Ee*tdQgzmf4O$mr@#)7^<#UPGNiyb=v2 z)YRpD4VaAX5Z`$|&i|W4@%FWPS=%G%o+#Z_9G%O}%jEBTUj{YlSHKV8>bN6Q0Fyw? zP^57PsIwM;1wCW$r(VKGFL7NfBH*cCtewVKq`mDBt7VjZ)wAbukn>V>(}=0$uNvCD z>c_6Chb2%o!JZddZEWh=we1Z93A>$@7XGV{F5Y!MuwXsPN1o@pJB^%wEx5piMF0*s z0!^y%gNvOALBJN2lt>HiBT~aXJR^Gup%1G>Q9h(xQbdKSz6PGo7e?60Zy;b11&yuq zc;;47-tayVV|e-i#fkX{KWNk;w?HUAGQY(De9B7CKkQVZV`d?v=~kpI;HDXeTuwF( zj6Dv8!vADxtU;Ehk{Adi%sA3elU^AjLH6W3rQV&QToU_mpoB0PP5i{?<3tnitOfU{ zC>jo0-zH8AeTy#ymY1ir{h+r6m;;aj6_ryQn;OpuL5>0rxPgDF?w*&AA3@w-o@+9Y z!AxE83UB^Hvw$0|T6kJVNk=8~EFun*6XdaOFY62O3H00%#zAH+lH3LbSsR=0|DahE zj?{v;6+46yP@KiGMAD(5m`LYvpE zvpeiJ5%l(NX&EL&*bbXgFHc~p{S%pa(0??v_BaUmA0c2k`!Cj~WwKX#4t^jSwQL=_ z*WKd1p|P*(im`6@0>qda3UgQx>{vJ__PMrSggjD?nol#Sq~WMdl6>Qq$s{0?t;ZS% z7y3rTEa?SrbWG->C>F%$zvW>`{*yduOu(Fg(k3q#xZf*+nH{VO)Pg{uEV!tn8 zv134>c#zP}UPQv3XI+=hQ`=7`jxH~I530(|7bsC1=#y5!@mA>??-9GGj{iRW&CbPt z(cS0YUglFuly0VR_@$->P(J9F}rDWmdc^7#7a#{#w_$u5%p+!}DA;%!On|@m7qS*5Zi)K3YZilxqUdRv;4%mpB)3V<6(CoDc9HcBII+&A_xEg3ZA`+ ziRI-AXlRD37j*+%m1=g_eHSl0ZTM)%z`Q{HCyDiseFb}dNE1&2f>t1zPcR$J7)PO$%^)E#@;2>5)kEX)|Xro zk@d#-5cKVdAjuf=@%5i*3{ujy7`$MeM-B-E_mJyj$#}z$LJUudJ0*jLO`SADyUaHT zaa~o%%Qc^kW09sf{xG(h9ENXXUz?yalCNBzYSkP%EV3>0=JIg2<=d}4QZ$Vi zX}$(!UkTK`zCOuNBjz|@PInQKiWaq~nEGn9GxXwT&eA9}Ff+@^&$o5(32eb4Qo`r1 z#RMU5e@)U+hU(E#zWzhp^!MS5X7kcY<+K>=HQGwi$4H0>sqAPz;wA)VX7M|n2+K!@ z%2O_usDX8nU37k6a);*eCz!eq$GVEurefxbz~UE4&1CxuQTRova|&@(nmvfP7@+k( zTm>whct9v+!zHg2BU zZ)ERmXYqk0bgnC%fFr*m`wlpKX6G^|NkRAy6a64j@8C#dr3E7j&-WTcnDzAKHX7=X zt9~UJk~ev3Hyk*a*nAQKhZBW@_{U0x%9ap`P_Q)K{|roiSPXIh18=lTTlK4yL1_xK zAV%;r@?aRh|BY#wl+4}5R8k|)88=Ys^M23zFe=jOGRFb%`qEq(bVFU^?4EfPJiLt- z;gu4B_5fP5ngP}&$P3h>z@}F3na9S*aM`{b?;I0p4`hOQogcRI(&?W5e-JQ_e|+pcX-EKIa6e2=RIR{JG2)IA#M^qa& z9$_-n2VNhU&%F6=(%&uGs#R-NUXzKIT`bKac-|Zi0wLk^<=0mmk>G-$!gD7lM-GVB z)ZBe!fW=DHfCUFQ;KaUS7%bU1hs5mU&YyD%FPOJ)E!NJ zZt>1~B;q-E!{NN%oYBm9FZ6vMZ2@_fc-d?6|A9{it+x;PlIx6&%UzAhDWCK5 z@n0gL(+H9@ygRuTBk0JLbf~aM0*BH81T)velKt5UUy-Z2f#{|t)t)*#d3RFWSLb#nHcE<+YEMP-oQhWqTX!=MfSIUvKb>=*JjkPPa_M6hzXw{ zRLCxaI)$%}r(+qXx1`#jVzL#MKQk(ZfDj3ikn6YN2z;x{qVZL#fnt)~-r??a>C4=r z+$PF@W-pSEs}qP}JHTm=5Q?l6Zm_7N(Ej-?Z=RzbNHWh^2)1eP-S2POwJ<<7~9NgrU5qvOI5C3qwyIh!Cw6&rV*q zSP*o~ztm2X>tvkwyw1pd{2%~D1(>Tv%|?u#U|kqG zr05Qaizel*ZPAbD4;}_Trvgn3pr$ojCa>Q4D#X^yS7x4zVBNTLb3O@Ev8*_GGO_It zr)p2#(7zdP&bANr}xpe-Z{n1Rz4(okaELYj8tg z%eYU^&l_oQ0tQ9&#qUDCn~`85qya#>0DBi8EEMe}SF*A2cP9nBqd+*+w(I8#O&d=TMH41nxDql>D1bcOZri7&m265`)UjlvA zBy9XBmN{3#Vx(4&KjHW)!a}qb&r-wMOluXDnYq~?Lq#^u!|1unu&?fdD9FWu5K9Pw zL9Fu{gK)$eKL|&_#)$DcbpBP%|9amCdi!U#5ueMXf9NvME)x6(8YA6t_aT=6&UuirHc3~Y z1`-px?!}LRGY->-PLIDKwsIJpoCoMlfc483utwFIz>$bZ@a)hT+=3DP^(9A|)sd(- z1`3NsTG_Fw41jDD+VN>xDw2p^!S{lc% z@HbD8@e|kCZ`kq7lN%YvjmjsTC_jg<~Aa8|zW!8_Jnpbay2065M6xnmc6N0rV zBl6K4mnKfGG7GM7hPw0WTNsGV!NFCc^jARG!(tfBj}v|c4g6#=0XZZ3Mh&4+mwmfk zlWSj|N*Q)ZNtuCkeS80uCTc5LKLGU*_P)@-9X#MB-u_q}# ziCk-sod`Hmx|s_qZNDdiQuS-{EwETWh%-T88P=S40aoXxfP3bUT0&aWL=~@t*)G>L70(jZAb5aoBY%I!6w;D))3|q=K3HvvCUdzb& zLenWt#hTd*ahv*i&t*b6s3zBD9#lJKFZoJ+1)gDsx_;*iM)eJHpo|->I zrE!7HLZ!0l+~wwiu{ars6w%`xKa?ibh(Gb}ZungNO6HKKU1%l~#c$vwI|1IX8&!89 zlFA4Fs(=U1?@b~9&H()P1V8^)?dIRE`Nv`+~iW6Da28gc#Yci|V+ zP9W2lATWpvhPb|bbhM0TvawOTx80znwl%Y_F*?M6z7NE(G&a+{6uwf=D&ZH#o0}rd zz=u)rpnQq!|Ko8xC>UpG9C`kgyX9OQ`wyRb&0T9)(0!o3*!=S~QKr-*s70F{=MM(D zlEr^4swf2mJ`$lknb^YNO5kP_wJ(OHbUoWh7U9=}e>07sgH%%M#&dfb-$8SakqNw< zt@{sj22gV?+$^XgFBRF*O=kH$UIN3p+QlAr&69hbOlFvXq=HDJsiVMU0D?!Ic zBSu|$57ShIrDTW~H2BAIS&$w;0z{^_1!vdkAX56`C$zBhj_CN!|HaL-mattf+=<`? zgjwQoA<*ccVnOyM`IGn#PsbbVufccM2ieX0NcVP~2j%VKK#HRbs&I6&_pp(mj(V$+ z3g}bsZG7^-&vgqDz^m7MqP>x+jfTT?+Yy2x8QxtkMAZ5^dbZoP`q`S z0z}etjhv2yrdO7SjeFrfzWT(nr6oWBzNPX}LfY+psK#9ApB)zd<&Z18ygc!XN=ZI! z-dHA=I1LT9C}&K79ULH!2vkqUJ~dHnSiuoxFZXJs1HZrDkrcsj&zB@ye`!kZ6?Wvqu*4@6w@fHTj$*_XeUYA{}57@pm*bqUb1#m2$79;UlG#Os`b8 zP_R3){E8=nqZCd6#n)baT`RJiEI`%fi@?lWE>YtO1rlwNWCUKi)%tejlrjN#VFbuK zpJ~6uON}m5lOkwQmGb+Oq)kcU3HGPH*q#=aL?Be#k_EZuipMV=AV<)9rC+ zGr=$81Q4RM#y%mp7s3E((kP|nocTQa$ z4pOn4*GlgeQSLaJ_Mqz-YG}+3B}d$(__%CaD$aoIE0ike!<;BpEN4;tqPJf&>#NmF zr4j=+Jso$bjwx$zJiG0rYpu2{1`>Xi6`xJ61$$9S(}ClbBa`@dtJZ;;K|ZUh?7&a1 zd9-#F8&?kIJA}-wyKOn)0e7pf9wfAF<1@PryRmO-#Xl4}0_U;bUA6e4;%yDDd~bAj z-6NK{WwLrL80jG&S=a*kNh<_CU>%n7tNWHbdIssD7)@u%6i9zu#ns*P6N=@~CBMMaDm5WJe|HK!285~CzEG=_)qQ8~B$M$t) zlW}HSJtV2IoW|Fyqk>24&QXtA>M~>UFvc#%)Ag8-$UiAH`Z0bA;(oIMQz*w+nOYBm z6hUDS1fju82n#BPiG}HyKjz2f4>kNq{vq2TdrMY&&GVvcHjbuku)daG;K1G6Zz$hy zlU?B3c#Sp(?xA;@hQO+Uao(GtAuJY#gq1S{0*3LP>z_%tp!k0PnbXb>ye-STnQJNA zNh(b#%%>|Z&f}V%oAlTt?H%r-cuph#`{0em;vn<#aRIa8@7=kD5=C<3oz1w)p1V!m zYrz9pEpY68)?`g*7=D%^WiNJxlzPsv1MhaWv)-|dsuZ;ER3G6getr$(-`%@ha>JZ- zsilO4o~k=!evx%y%0yX7*$XRiD#OP=U&`9s=&?udtEj1=2F~`qDX6-UN8ED}cCS~E zamdiiR;C7>cPzskN7zP(J}^3H`t~o5L|eTWGHZiv=qHYiW!9;^S|}aFjPoN8*tW0W z*UD7-^^lh#ti=%R)A*i_s=eE|OAFdltrQtcMECN|4ryQKy}DBR>qy-tKq1kf#}^`x zaJH;k!$Nn|rB)Z391tD(u;{z8uRkB!s1>x9A;fU+(j_E_eg*N?;lTtpoUE)-mRxnp zOi#UIxnAx#)#!X_Pd0u*LPhabmcY(k`#8h3=NQONGxYe6grIrt8tkg4Vr8%7s}*88 z><)ib$ewbJL}OLWZ;9LpLlfcP#RJYR49~N#(#jeA8Mg~I6Zp2@4?p1Qa3m$fGW%l~ zyjT%C8v{+KHYp^~YSg7em5a*(mvL0#6ib;OGMd(geMPjd^0 z0AH3u^&$)aV`zea0m{jzo0pRtIZCl{5_|TV3T!cUIX*E$FqL*9XoTHk#(4GVB4}zE7mz ze-UvGna+dn2$mDT4I4k}UCEC!3>w}!y}XuNljPw16uOd<(rlCX=yqaOfX%85!CSCu z+$=W!rCoixk#VdftCbEMMCzIAQ84xPe9!Yv&Ryx@36N7^yxup<+H<7=M1gYT4Gcq#w5Kx^ynZQ`bExP(UqRpb&WY4a2TJEzsLf62j306vcX6cu+mSO#zEX*G^e|>-kOrjTYD>sLp6Z!M;H15A2o-FM$@g&hLos_N6 z1bGE=q%>XuDjH6mrg={NlBs9!Xge@%U$3h+c%Z=hjM(9&5ExG=)W5VOwisMIzPNeL zkJ`d?UaBD%TXFmj1Nq!CY&Wr+3I7{Pwx?Wu2H}uye3FKJ4HE=SRJ}8+p2o`h`UZx?!Jb|I`@_hQB>8u$`D`Qm z`qX`6dN_u$@ufUk{mFDaOzapazD)P`94n=G81|KGe@hOQ;e&UgQ0Lpu$RFEZdLO3_ zZ0ZmRKzKCQfdKV?G`zBnpKE#d!l}ivAAcw`=3`e&fU8aLxKmknW_; z6d2!O(iEW#1ZE{pQyI$pIylLklQ|^(u;VD-hu{_K=zd>zPEh&286H9hR+=XxV+1*c zfzm14G7hu}_(G{zDL;4Z-4Ax+ie+>*i3!gTU;(jX)%(?x*Y<6;vfr?j#wyG!8qDb5 zn>Ga5V;nK71`;CP`?a}oaaGBUHdkjIq4T?ha9;ZEh7v{mxdb^osJ?aU#R2zyX7b&Y z+TU}mu4Qz;cPE246=sBE;&^$Jz1J5OpC#^{^P)54266OKTiF{{;zGtV4`V~CrTcS- z-qy%3v{y@g?7aqZ-l-%5w)gf=!Fm9H`M|1XOPboLbqHWlVBI$htl-7L^)%ks0Wiw*#I+T$Yhd42w-*7gjg zOqC|psg((a>SCG9a{!=KDx!{Os+0imv?-Bil&MkxfZ@py5}{D2e{?)cqml{*;e-A_ zz~l9?m<$n*J8NBt&gd!P7D&o>O0_SXZcl34_P#WV^*S8GjMKA;XESIfOU!CtPiuxN8q?rDA-fI znVL!L8+oFtq6X17<~?=g=N1r{}vTok*ceva- zweph#4MqA)A)iO!IFG|F&DFnM7iSD{EptOC%lEHkVj;D(IJ{mb?B39@*}4=N!R_%{ z?Tcaof55Os9dR#!S||emTsDixVK35~qm0xy zV(1+)6zelzuPA-JqBQcYJN-jZk2KsJd|Y2uk)5H=(kSDG?V(>F$=)ddXqEC@t;Xx~ z^$fkzU9%#+4##oR>|EkU(P<`ImecJ%cIMItjrEx-#gT@ck#8-!lK#G}Y;Fi;`S?Bl zKwxgchT}MnV+2lM7&zS?u|5n&t@_+Rf;T)>h9p<*hgsS7f7;q${@)P_wD9!*jMj97#uUkP4kM_ zQ(Y+)32r{p09oOk+$e11QZ$zU=_9K)P$&-nCA z$Af{$C#*z#US(0f*YCf6H_;QiG;>4fdja-$ttl(syRE9Zqr0Umx>{LPuay-yZmW7U zZu;{N7uMi(43hlp(Ec=$aMU#Y)tQTN*8o#ius{eLKiu#}flfPPvA*K*DL5?VzkKuy z0>}U3FDGLI=p~yBc{!k=+&E-n;jXGpZ@#uU6bh|b2tS|Sf9y=N%j3z?C_jGdO+IJU z7p$>a%%hF<1v;(684nazOQCG$w=mhrNWBqEM?!(4ix!QEwqT`QE=cpm$R~d>Hj>LKaR*kmz z^tSi(uG#6lKEG+kY_%`8b>Cn6QJd+x`Oi;$e{kpa);sr~mS&|2q`9+uTlt1>uUt=I z_UR?VW-@a!)Ue!?G|gnlOXCF?e?$-jSr@2(jUWgTAF3Y_1VQ3M^&^5HNPMV%L=Xgt z57mzdf*|oFy}n1MRvy~*I)-7#FSU)BrdH#c5ClP<2T9kD6$Lp|fcT1n+|=qv44^nC ztE#v_C6h224BMjP(YUGgP7k#SVkEUpTDN&)mRiYVkT!?2e{{UHt0&og8W03QQnP$~ ziYP9RH&>hP_4%4RyRG)5y2>(%P{1Hb9LG6qR=Pq~o}c~T(P((X1^~#< z%=mc!n@Xv8DH)5URmw|qvwDZeB9kEqf~=CYULRhp&ph0))8TSoywx=@Y7B-#8?tr# zc5EeZ{C4kPQ)@ewjLl;H=7XPeSgb+A#1B_**d4A6rR+fMHknvBXfS?t`qElnGlC$m zrli}C&uG@VkR}!$ztlE7G3oXDeSW`bc8(zMERBlEVBC7pMd55m8~`xMpY|zDSEK>J;FvKG42BO*TjnRt7PU;8ovsPT+;K%*mBF)ZQ0U%8z00cphRYt4*=nn+`?eqT$zm$rE|8(TwN?iem#i}kX3WY+E zQMD~LI{;*=lu_F;Q{C$D<_8FZAggDc+m9qc9BFui$6;T)`*32`96mlYJ^=t~nRI_` zjZ`Qg2|~c*7G`OwO;Z!Z)r+1xI8IfL{iEYwpT810Ngb(s;8FL$qwq_GL|j>v z7Yc>ea?b+wnnc}JsO`#P&vk`-?|9^dWXjxF7${Yf*`AI9omn%+VqOT zT+4#(>+>x%D@Po|_Pn;aSfA0y9*rOfvKrQ*e%!rv69AlT@AUW*Jw}aV7>mhF z6ASek)#jo+sYnHfqrpc6LDt4v*N=QIMTJ>X1S+U#e8#PVY98QH)yt}#* z07fPzSNYly1VPr*^7SKu__zpyAkU%y2W{bU Urd(nQX#fBK07*qoM6N<$f+W1a-2eap literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/pic3.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/pic3.png" new file mode 100644 index 0000000000000000000000000000000000000000..0b7762ab830ee20e18b3c2fc9883ac4a9c16093d GIT binary patch literal 75466 zcmd43Wo#xpl&<@QnVFfB4l^?|Gj*7mnVCDx%t?o-JIu@s9cE^_*|Yb|o~wID=Sb(z zu@qIwrCMILm!I{zJ~4j=st&r=mEIXn+G%k z6EjUiMTcmKh9r29erunNqB1MydiLk5U(IXn=SwYOq)BRZ^Q`ps8~x+@?sn9{@6Y>3 zudCT?=`);mXmTxWP$34MK%&A@6?d?`q$A;IhQk*t} znHv&v9rb{Y(k!+Oa5zNNxNzKGj8!+Kh(C3t-02Sd+~gg8%c zyY}y!x#b5pJTtFr9+ z9s7%Gw!e2nv(!4{5jNsinM?pZHlc&64 zIK@5W_-=UnPzpA#*4+HuFZ}k{&CdPyTAsE%CP!R8`FRfQH@4m% z5cJk6oK8K?#)MYpDSlppuWjngpdG-5{2p&Q(KK_&Y=fsfMk4~kKEi%Dk077@+SFd@uP<;G^OF~WH-7ERTX-SOAhSU`_716GeayI`w(HkeSqp? z`a9i5X&a;Tut{B_Z$$oz^^$w%b>4oci}t1!dJd0)sJ;$#OG@(OIf(jNCk4hg#mgP( z+OfcDml5QjjDsxy(TdIREj~HWo)&*q*ryt{zfIC|k zLu>8o)@DcTw2u;{wLuvkKr_A-mLgXx=f0Jbq4#n=@u@dPRfn%s9{$UL5C=k7|6T&2&rD{Z;9=-P46qmi>hx>%;Z=d zwsnR_o;DXIDi6LWd~sw|4N+?pvOMnHV``(56c3gG(nfbA5+^^1zm*xv{Z0)ei9voA z;Ms4sM_{L|Z0!J-cUSK)(dW*bCe(>w`Ax5WcfBo2c7b^~G&D0v=Ml2^xB(TIG>HZj+^e2i%0)sr` zE6yw32z}=W844}Y4tb>$es{i6QQ_1o=VO-7V;dH(DbdJ8mTuydPtrJ9>34n{1XyBM#6Jis2N9Bvg@VB0`4o8F2r z5@CCo6=d^g0$k^Im|*yE;+B?&W{${8+eLT~>>H^cH`S~FmKqb9S;`@iOACb(I3H9g z(v4X6{K)}0$5T2tz2Nx!Wn_>HeX<8`5c1NaGxnNOr#vadt7Dki;f6yNW=w*tv0}c8%t343OIA*fe6aTC>vJ0mGC}S5}C0Mv6 zl#a3Y(YdxAFGNyOQ6ZhC#pcEQk#4KiSsl9zE{8u#8u1%YtQTG-(o!3RK~4RD436cd zv!PDkv3$Z7MPiupjz`>w-s3UN1;`wgW^So;;XfQHU_}GHQKt}X%}x9a0Ft7OgZ#1a zQ2iDn&FPQ`l6G)&SOi$ug4_?-cfSP)DcMQPfb7*88C1+h4h*aeEAaP#3P6{g(h769 z50IcXjaffJAp>HD8E^KNU5cPnL;ir!OwI01VHp^4n`tFdoYE5VG%>b>YC@2?;7VPG-f6uEj+4@j9vdxHKT+iVMtCI=zr^``Ol zrP5*t(maL`f9iy&n(^|keU8x&tAXq~SjpU}V z$q0zqiXf)IUXdPJMhcvph%PUwtEde!5L&8`1>L|xBDtjVlqAvxHXzP-0GR>c&6N>- zi*AY9Flsj86I)C>r9%bkZ`X0kD2G`Z2c9B|DvTH@w;Xq?>5HE)Ug19*uMwy_T4Gwt z>rM&bE=d7ghAL?4v{x52zN|SGNaV?T8eA)ss#yLl%zG%QZG=sx*kBw=Wt4e5$?Z^X zO9j~A`as{pMz6uQr^ekX@_`YhK&lh+_~rx`DBFna0xcms-I#_>{1Irj_VnSlx~};u(79Mz?EDoJQ9T?-ELWFn202J!VmX)nZT}y9vc|1BzBcj zaD=K2L#nKxDgRn%*+OzW^k$NyfKbGF2qO?OB2N$vLtPNncuvHeOcYemSA@i7#c2>S ztyVqJOYRU%QyHf9-lZwboJ3M^25}kJohku1s6a}fKKm_4#PsPXL9e>B0-!y}@96XM@|4SyJvSxp>JHR~(S!e-KTY-3sTiNj=FdLC1Cn8C3!+ zXtpU`!0G-#^PtdT0Q*CoaV*PpkK&{ly`@rzLoOW@-2McH@*oMuh!8a_Jrd>- zz>nodImqtfDp(E!wZb&G7EKQRMd%r3qPBM^=&;g{Ih|H0&Tj({Pb}Mmt6WYpAPm3_ zPJNoHYjflx%oge$C9SMr-8Vw9h7gkcA?!!eBa-YYP_Z(SuwN<^xJamWYH0RH6ekvs zh_plsm9U!BbHE7o1OOH?MAw+D9}rHR$$u3em@+oCV`TGO+zg5;Fh{crtd=O@JR6h< z9fy3Ae+?Ki;czXKh};^9H@`~4^v4hHQ#}VB2vB z>Bo72h{r{x7tZQdfSHs*tO8d$gEi0hD0cuiHr_}8bf-j}s4?~C!Lk^m9&D82fdYeG zCu#xPg2S$@+7zrz8`N~x!ie=yXy*i-7E}=}jK1|_OELWE&dS3J!4|j=gEjmOx~X>0 zPk0qZo%qcKjyO|0IcHZ2o|FE-oF7cI{R#)f zO9ZkpZy>ZalnqpyM~d@|$4bW0wR)zF zfPaUqx;Fx~R@4AfK>`5}Cy^6T3xKzaG7)WL4mgQT%sul>CtSK#_9405-!IFM+CEB; zVuCMT>s4rp#SHZ5)7P`2wq|Fu5|2>0x361fKi26+u7JWMM4Rhz-J2ZYU?75Kc(5Xh zke}3$3g(b!FF8EUe^9}sCOkvd0Jld1H8)kVA*S~x8|X%8>JIZHN|vIYe2d`9!!hXF z+jps$PY2Pw&0shvxhTXAJHoh$Vv5oPXu=LH5faANz5?NIQ_@b60Tq^JxS1nh5cSjm zk(huLh{qt4+Vhf!-@&! zf|%%!)JrN}a%dXQRA4gsWHd>2#5UP3z#=6rA9gS4eB^SO3dw_HN}(917X&9dkEI&N z#7>U+tq{?6qU!{zU#%$uDbuV>Zyh{h(g4@eKP`2VjLpOSJ495RM0|p`PjH0@BWsJo z)lZyxtQlC_ZSv&co_oshlMYs*;UD7m2v%Y9PTC$(A^Ca8K*lpjs7G#1GK8^;nV{X= zk7+=feZ?7=aTqFSkQIusA*f-207W6tZ^KNhI{T2`t%@7a+hC3E&M*=HnxEnPnlMG- zut_3d*};&L;w+I$d<$_?429?O1Y*RYKl8k4Df%R!6s#1I$wq#VA{pZCBlu7A4Uj(T zJ#(Qewl0@t$1`C8bp)JGif*GBgvWQEq4NJUQX2r7mIGFj&db4SjSzz?yaRmnX9Nx6 z(ck;zK`z+t_4bs-)sgfflX5z}!d$L(0~=+9Mb5Wyi3cF%AI?S3gQ~Dmfl_tXg1tbO z3Qgs0iTRFf?a@%PCnC##@~nuq;^*+Lzq*@s&=W3H0tqv#AXGC_GJMw#^Ilo+0vp~a zpT;6N*}v#^5`gSyt2+VeA?pq0ZpovXF83}F!)!;TNNchR&c4x@CZ(S0RtCV?w+h0? zHRN@qx0XAVu0J|-3_sbIsd{E?@7Ic~oER03Ifh#I71=ZjfWZ^bp>XxYjYE{6iMGtV zKLKHN!4O&WtBY;A^1-!TPnUf>fKVR*p=+%l@$~t-f2+UZ0<^TO)#-3<*R@kqj^*RY z7*_Q=_GrZ;32?IWdH4^D@dA*^RUyiXj~d*k?~lsYA2pDNm9rv#`^bZ*A*Po)z)*4r zBjyNJ$Pg&5Gk9P^e)lQ-KB4l8>r5CTcuw@Oo!4(RvIo*l0ymv2cHx$Esr1{3ZkT&r zUqJ%O2@&uFtrk@bn5_m6?5B>UFVmcd)|{5Thac3>6IP+8;p@RuB)d;=lPo-FGrd^Z zL8`0*cA1~Yf7!;>jpyFssEW8lE{aIbzfztUL}emNj4o3NA~@bnqT#`~>W@)}4XO}L zE`nt=>p^=0JOHeYoYaHx#p@J=C@c>_I{`XmdC(3b=d*IP1*va}%I1{DKr_-+ezW&?n>>7pdjdu8)|mCN(97kLT`e0P48C zyr^?JiIoNvS2bJ)kt&0DWojGic~r^nj1u-B*zP0Q8%KnL5Fhx?6;h>rmx2m|V!1F}rU z?+$ATNwz2vQS~my+61#yUEPadd36U)xfLMog?!dx64-H z-9aLV`;04&@25`yJ8MeXM)fMc2J4 zCD@NA73lHE%~?1E=RK_FvbpRpMQUg}ARS}xT8ME_^Po};BkZE{+x_^?{{A+M9D5v{ zK>eX$+?L4)#qecq;Ia81`$FZyj50Q{f@1!7a__4YkDm`KAq3Hi+Z6BAg*IPWO^2#&TGAwyX8cj^xs;Xy zp$keQ=TeEAS5^}KJbJY2z%p6G3&x*GX{G+;7QVH#0O8J|Bx05a?7QhYmD6TrlJ=N}5DPt1RlUu3yk$r-Qj)k{7kr%~mK z9*`A%&YJUMGJ&*Ir@W!y#*G5ossiNa2>1PDYQMkNYXDZSKJcU6_68Y5P~Ay4bN8O@ zp>b1(JS!$8iEf`gop_lk=vzM8rYaocm9gcHxm9W>grhWP&iFWjV*vW+D&!7J1q-<< z0R%LvlZr(|5NBH(iC|JiVWUy}iAcOnbnM&0I^ZFCsnVRR5sxjfMTXNbLOOn> z;v@bW(o3xTcDSbVOT62#mk};F530_VG0AZJcVu6W{OcWsGEV^8tJ=c{{v znXAlfa2p*Gi22#99!(%wq#3~l$V}uaM^RcqJ?otavH6|U`Jb{0GWqJ10JgN{do)}f z-Ef5{5u#DdZ;%3CQ$g)M4TIFeq#PKpk36tw$4C z5{i;ykp4BJjRDd6{4H)qBsL{Ee!GG<$VKl3M`w0fN1zv6bSX#fcW`4AAo}nIIYV+5 zD5}IX4KBEVau@m$J9i9wP2_1%EX!4LaDphVV^rFwEbZO22Wm?EVp&Z?15#*f_oU4zY!G$)Q=|y<)p8HN1?a{k#{A{&wkzfe-j(K5ToBU6OKm6( zLUya~LQX?B8wPI3FL&P&8T=`%K>XJYk zOOkWjEI5sgy5;bWHNnOa$@1Hsb=%Zp9{PoGI{K@T4;3Hh)=kLIzyyc{CuT|Jt^UZ# z3$=vdg(f|eXcF+G{JZiek=N$>*=QM_^F6oy4i>MtP(5~=&6Juc8jAFzI0h=2o)6f> zfuWQ+#GSIu7@}2q)SW>$QR<3z;kGT5KLE@on2Wy@rWu??P#Kz_CKh>bt`%}59j?c! zfa1#)F}t&hNOXlt93zDbT8qMoNHja{Ze?Qy3R+t;pe1)B_bByIki+3<5%~S-Dq7k= zu!kwB_b*!x!ov&WS;B<&?e6znWOo@#D+DOb;C{3($jZ@XlqGwYXmBJ$dHiUi z!FE&u@$YS56)H9C5p&q8%PgXkf*U7NrooE(R=02R4O2f=h9Ke#KRy@EL|UeS#;0BLO8laL=#SEXP6cgwtib@%dB9H0 z$wT34z13xx=yKp)?4Y;~so?JER>`6&V;(+}9q>3xX@_)^Dn+ z>hrN3M6rb#P178LxOJU*@KG<3O0ybp6_>2msHg@)V{J9u|I-5LF5Ib}pP(S96C zAbBH|pQ7#T7X55Vwm>;Gy3jGj7JP+xaO!=y{uGU|o|cbzhRcrW%UrYL^GMU~ltkfP z{u1vnTA;eiYoah#VZ3FT*VxEft;t0M@b&_u1wi@N{v7y(WIY@;#TAl56BP%QFS&31 z#_z(^q@Fu;Rs@K6=5T!AL~Co~cg9qVMst&(#e7yU9?i|{KORM$h3|A<3E#km6;8g_ z#?)_fA*}e%6ru0OyC=jeZIxQli|pIbHVv_;9GQnMrtmEe@&EIIIka99f1*3A*308utXe(Xz>0v z3z-UC1q*lVmneTuFUbd&%+eG@vtnm&Jq%3-W)Z}5tGp)Y+ftjY$`X=KE*}PJahGDJ zaL4Es_^X_{r3#31G$G847;6Btv0eb!t_CE~-G(K=A{aM0EZ0_VZNCb=dx0?&gs&?Z0Tau4PD#y!2EKPHbht;<{0y2(^(|T6WotapfPuM4>$PAH~ zua9`Y^U;6Pl^HCc!8IGQ+(3!!Y2`8W_YoXB!--$Dmi!uQ0YQ$efhp>O>0}GgdUWH6 z0irfm%lnX>k!^Yb=~NXap_O)0!d_=&UHEhPdn4u#cwk_t$S} zD%BOjy=eBMUnDoIAaFSj&PY@ppGcg*C; zy}cfW2^Da@N9z@GV&_d_Cn&I-4@8cFj#J*ilew{!yEaMZK<4o@RxgD`Do|sOtp<78 z2D8m$lb9}dFBF}!O0E_V!LHZp4U$U6-eKXxNMqMYMc~Jb(8}i0!7b~s1dEMTm~IPW zXZWmUnxtDFe$2QlGE1D~Ktl14MTHERc_nYbM5eP?zmK(COigaUTzIuOKXNMqbd`-o zCmey(ip|diOp=j>@Ar@m6h0Y-n~;8e|By79y~gW~RHS4J=cdF|l(Gosk;?my&mSmt zB>koxZ~_@daZK$=y;TT+XH`gOzT+kv(mU3foHRBo@17Qn1h$D<_*3POFK3 zt|Q=O?_%Z*Du5d{TDZ2-Z%5Y28F3khXi(U&^q5~Lolu3m?KM^8ZVGGI{V!UwN39xkXX*yXK${QUGCf{*T`hQEWY1-}(IFmr(k8_vtV|qZ{!H zD3m?c2_NzZkK*13zZ->tSPDg9`rp{}j4lC%bbvY{`@mS1@01l1p@9f3!)({uKB?Ts zD=klAYQLivL?nAs51po~xi?f}Q+YEMv1w!6W(ndcQg?M!C9hQ>Nsi=8DXD504+cos z5=I`0&0KKnwYY2$JUkDVx)u_=gD!`LT2clmg7|U1l-vFoOl7?eP11|BNrC^nQvI@Ftt7%ER@wF@yUC{KZSeeyjR114KyxHbkRzL)IVsEt=s5{qEeblK`d z0CYVft|V(_MTSrLC4FyA110q&S8`GMD|-JO;199McwbML2KFjW#TBGenHX109MqRNfhVc6O` zW9eE6T)kYLdLG%u&x(OJ;YstIq#7y$8mh~yszwIIJo6o|4F?;32|F2*9ERjgNsn%` z@_}dkfD~0vV5ddL6^2M2Q|*qHGdDNwA#AoMyn7OFQ;0X>u~$`nh}o3bl1HZ7Cewjy z4m_L;vSPBX$bBX?s=K?2Kf$%;SO_p7j^M(o%ty zSWJtR110Rrrj11<fT7U4EQLb#YhXhXLIf2Q^-gyAHufFHpMx>s%4@GAFiIWof>?<4TSC4 zx0(Xko39jD8WMF>vHug!?YfBl)Srfl*D9F0Vhdcpq=2%e_X4}*>RKIWRkDsx zJ5rWoRhuJvLja|z{+R)z1M37}kbn(lndq_IEp7sOZL1`p#78T;ATp@Z4_DuKcEb4v z-hpW2=bq@YxaG5Xs-AX#EcEYruqE_XU!Lr7;8$ z-V6;X;=DRw4(oTbU8y*bNM!nJPQ=HQ_{gB?ZK8T0N`LVOVgE>a>I#f70sdP`<;Nvg zrP)Pj(CAWH^8x!{NL7%9X>5NE=-nneXUe5MacvO)K1RC_fv;~|_G(SG7UXQeO&A_&z}lCHN)6_dBjuPiT|W3k-raUQs9k;8uvq9=m<6YuY<2JsX8 zM0hmxUh7&HNaBxGG_M7u*Ae1RxuHG%hf3^u`28kO+`0lF-})+4)<{q=5!zg6d~jJI zC5qA9pKlZ+O%!dcLJ?%imuQ&B!rWG()@nYoAbs`^ke}vyM;6~S%}i{QV0^|%L@OuW zE3l5_q%fh%MmSLuz+O!a(94v1Y>`l1Le5>*OCfZ?%Nn=j62QBxVB&)ooPYTf#!!B? zviKy4-DCH|uIen*$CW+EAV(|-RF_@}rDlY(jbN1)1{_6JbCPJ!=Po`SMQ)Zwi@`CL zJri^G9&=GlhswPj0~|KAJ2BwkJ349>Q3~)ut;KULkXTeGETs*Vf*x(i2=K@RAa3te zy-4G!(x6xA5P@R$7}f6v!SNyrOGv7o&7KB{LJVdLSCHl|qEHi+&e+L()9eY&8x>vr z2+UpmxM!+jAMmHY$H17`gdy26zN-7?(b-MwpUXs7!<93g0j>ScFVL|#LQISG>+bX4 zj8~iAR9G4zksQ06E^-Cy=Iwj;!!K4;e$|y%w<@EOb??J(8jz(m--{lum$n{&2UxVf zDojkdLOe(iT2X+kzIvK-sYdZ=#9K_5CI&B;oNi;-i_#*8mh<}0UPH!U%1cEmG$p0)t$*I&ffLL?wRa`Cig>)>)|=l2EmNlm8bDxgZ6MjYGS zKtdMsJvmbzx*~1F*3r~nmu;AADs86Fj9y95Y}M_ucL2-gHO5j zcbwKNi;&b%ggL2QTVRAmjy_xB26XG$Op))3N!}Ws#2YZ4i}z)PRRoyoV%z3>2>gbB7c8xe9f2G5f%LQr90MvXz>puV1@Xgwvruh~ z=zeeVdr;Mw*gQJY_2*o|ETY}HMzPu5UQpf0DtJae5AO_{BSeh|>SwA0zYW-zR1>uB zkryC|;T)0@r4Dmf_@p%O3gBizD(-D+0f!2Wy=n2@oo|z)4izy!B$)cB7{nmtjm=4s zu0=>sA=W*VXNuG)RFxphhFP51tBl#N-1QEQZvVU@gc~TBm$Z;dH9hU6`?g+-5d=$x z4cA`i;i56~Jr8|yL%E@@?3~QVn`JoAUT`;?ri9H*H2YS02dn+$peuI81!g(rN(>-YwuAkHotKY-#(6+BY&k80kExX zm@Hgu;=rmhsQz6^#EU_>IX9g7JZx6I%6$V%pd>A#cuBMK9BjxGS4sIt!(^CDMQihS z#5$4Um-YAnfY5gfx^V6RRB+U317pis^-83n03Wxbe%uG2!~4jYYHhC?F2qP~Zm57! znEQx(DkJ6xCuvuwW9O9iB5dV2S&H`r@&rF|-2BiJ9G|HxHyb%Cq0n50E+Qdb^ji?N zs?BhsJVDhW!i9*#5YZzSNYy^A3g0=aXy}01M!{Oyk`HkyvFx8w*iFAK;C}hU?QC5; z$rknbWge(j=wSiBL2(f3eiBinz5;8kFIA8;xux(GMa=o>D}sdjdNIFR6C?obPqJt)Yfw6P!-R3}eN z%IU-B;6pt{%+Mn9oaVbA0hB9;9ZHYamTK=h{S?260oqA-&YBG-i>IQc)-N2&PK;g* zkrb;yBzMmRFA}v>53(+lQHA89^lGV-o+zbBU1mcVonpzO-}NBWL|W%E42SA(2H#a$ zZR%2UX9~>$3LGkx*QMPrw4hMXRcOY^S8_1CzLG&KGS!x5zs-?YXB63+9a@frZiGeC9|Yj(<&ZN;aaBS)eB}@ zD<2NEP^+M&5ri&ck=qGbYfUtB;lnaTLgdc2GdD4(a)NR<)ACQ%tvo2i7dgR}#ZuF8 zN0=ZDO)f3Kw;o6$M4VAqL^Ew}V@nn1JF>IGqyA|h@w7ttU@kD*`N~=HDot)mPh)u7 zaYf@#iPdVi$Zj~fnP)=T^YH^S|IZ)A82jCm5zYJI`IX>Lv8e_POM-gl()eHnBo4}Y zml+`}Hb6&|Wsq}uu&Q2OB+2!0=3S{|c}n#VsU>^cuw9K};>>L|C2`L9DwT;^*t$t{ zT=0}-D;bM{fOh-&{h4~KE^OLk8}`)_ap=0VnZ}iX`UOdOBajW@M2WGPk(;8_AIB#2 z@0~l!N;-^rGZ&!XbdfRZMaK8jT*7%#b^EtD;nU0eX19;wXBHHCT}UXj3LVq;_VJPE ze)>Nyt70PgOx(&!C1Wy`4^0u(uTU<=?mhStlkVG$8norllFGH)1##QGMasfy!~Hy_L*oJ7?-5cfFNe>W%avIwXXbE^lHCxJ4JqHbh|^=ZcHORVReW62 z;k{OjsByYB?lm)Zdo^phuNV6xBaGAJ6+;J_jRGvmyQr%rxfnI1$F6n@nUT%qiP_b& z&4C1b0-hf3KR2%ogAZO5K+rWKEKcj5cfC5G(qF+on}yU4&jYh;ut9w|6JRHOC>;mB zDOsPd&Ty;>sV&^4E`B{DhAouN#ay$*vOu0ZBx=lb=#Gc%gL;;47P?CDlSe^80 zNkg#v``xYqw9QAyBd6Z$L7SQ?#Md9V4cGOVLx-jhD0dL()DrSu zcKoNIw#wNvU7$o&F6dY-ou;5uWO3SKO@1rC&&pnRO%k7S_|BtW&jU@R`&f~t|8$9< zT>8+fWciKW6_Km#yiPU6PNn#9my=la=onIXh5WWHCu{GD_aN!HOW58N+)foqKmKEI zG@dZSsHwHFZv%NjRT8HWNo#+kYhG+eJa98IRNc$NH+pM%1Zx+O)cr$8?Z!!Y~3 zixd<>(psSHm6W%gR9G3MLgBI!I~co?84p`psVdc6WUxJdv%?+h_+uL!;W~?(_?{E3LHnY=Z96>zU+o+Qc$Ks5~OQGTYji}55cVGYenh!lNQId;_iBAe_U>v!hE^!ps#Q_V7 zSlY>kWF7#tz!JrJqOn69S&XX5ZN9CLE=0u(EqBwkp41%_;~OvyH)XG7phRy+QlcnC zb=am?P+-^rjwqbljrFpenPC7~q6U3v0(tIL3>fQpJfxzb6mKuVPkAY2n^!NN?;|v7 zR$RO(3%>$xn#yjar`v{#!{8$x1mnM7Qra27yPzIajBsopoGEWo-8u__FmH~%QhM+1 zSIaTn`8eAmshF#WjhOl4`>Co~S~pW+iI%9X*-_&*l8rMkZh{ysP=k_fY9qsT>7R0g zsdaZA6N?mD!B$y7_|VG^{uZVaH%6rqXOfL2GXD_^e z95RXiI5&3UoJf$&nJDl~ud*{GBRIrDu98?GxX2ZAO3dSg0wEUK8+D))uwW&kullmU z-i55JoWFb6cp8TH`%~^5qPH8aTMt5tjo{*P7|2=fr!EsV0CrW<+-Qtij;q&W!FG|R z6cXNf+tyama!mH6DApB%!~_Qxq4cm!vhOGeK8v6WfD~GRaiOadt9ZyhhBEW5i^GNm zd5z1Wjs>c!MJo{mk*Uk8T;4@56aKZ_;#<`wml!lgX&@LL{abk?ytYYl@*5MzYVwKx z-3$7hgQT?xba6tLDFn82q9{dnQU&7hlFO2y`~4U$(_5N3jg*?g@FP%hO^c?|-u*9< zt`u&Frf;8nzX%SG7p9ahpW9!BnH3E9Zyh~T0Mt5#)mLngHIsbj;sAQ*qM*=E1YH&{ ze9P5eUH|}4kcF_Yf~2tUf1XA8I)ReqlfWy{CxAPkuWS{if_p~zCqAD`6A{f}o*Fe@ zs0>ZZg`w>ZCId4O6+^tIX?uIfXrX67QyoHG5b+J*;OMO6oPhk9imKJ`ZoeMq_K5Fx z3CLG8$yi_oH*dEwRgz>()ko^9JraWiE(jkUXEG(Dg4?=wi38|gBJ+%WuICo2(`mp$ zhW9g%GuZrb`BN^tw%sX@Yiy!P#z%qAdK}mvr=!l}yQ|}uJ&gwndjcK$)a21Oz(R(zMS&JPcl>1uxbotch$;5D1!Unhj1>?Jgv000=2zy5## z8CjTLl@QL7vZ4@s;9$V;aM)B%uK)lcKvG0d#eMZ`!%qiw;e-F`v4#dX&|f5w5=k9N z8jQq`kiQd~I6g5F_3;$q%_dl{HpS$}@wpzH?b4`~$%jyFev0WanXr(st{_tbDiRb_ zLU#(H$g+Vh`ortcUSls4H*Lq**~RJe)sC^-aysWN-z6`zlj{L2k_O}bJ0fDg6h9{? zCnPX&gh56T5%C;5zl5|1a)JmcP=W{pk|YeF5MZ&^hN)LCq3 ziz`Ryym%Sz{sk41L`qWVh!W%w5u*B6F(O3?8&Zx^FowGKQ0;lu0@lAC3PG1-{l`_y zl4vHUFrJcBiwJdg7RF-xSDYa82aEXu;(?3eXj|x?c3AGf57vZ#AB;y>(<|~zV+V2S2+cNFaMrN5ht@V56WoU97hiipPC9Kur7hON8D=!GI?TDXGMf?;|54nwpwX81yyO)w(~w zL-qb+xR)aNSKQUr)!UnQu@Y7482|Gg$^`-f7E@7s`%AXlrr>+JjDkYE3bo0WRPk(G z9YZkK-^PRG5q$`D2V=Nh&om4Tcd9fSkWo+?8X7qH&USVjPUg$c&dxCO+=1@Rm_9x} z_V@SC))EsFySoMc%fQspRO`L73xVRoXZ}nahN`N|L%M3En$P2g+n1jQddX77j!sV8 zE+_MG{2yuY@&2RCWH>mPk&)03=M$OiHa0d$=zsfy$hZ68Gad;Y19QeZvg3WZ-Hh@Z zp3h^WNn&lNx3ACbV!hnSqPe+QJPto)kJl-LB&-){m4#LiwZ4|eYT0tzUG5J*F^ zwN?;!*>8FXrqG_B4p9BpE|R`pOr_UfZ0l~>^c))*`TEQYyI|@G9nw2C7Ugq#aZ#f} z{jVb=v5!a`<237qff;u9*lZn3xh0ioF2=aj;+}0*ytVjj)@hSq_4uMh86 zeF%z9^N|adGkXe(UtOJs3|98f7kCU)Py{=m-uDHs^czXTAjLqGumxRAv;C z9czm6ZnTvBh%Gx3R!WX5sqI(zD`Iy*bn z^?h8{nr(jl`c=lCwDQZRqq6e!U?P)*grvo0?cn&h&14My-+86N*K~)GDgow5WfT-zg0HJ$nB1{Py-%u3QQZ4sQDWyZ6g+DF_7oo}RCil$5XU z2Zp|PfOt%rjH;@tii(PchK87!n1X`B*S&=W)qfkEdO2+^Exz?sOisgBrv`B^v$v`HS!tgur8XI3Me3 zZ!L1QVEEr1X&?9^Ns#HA#q>DQ_-R416WoBy``ZiuPfyraAXDN$@IOp*9nho9l>Z(M z=gfei*Rqi=#jmjO)_3SQ-hYkcmIJ*-MnY25&?r-(rq^jj#Kn#F|GQCtO$Pg|p$+Yc zFRQX>G3O=hsw}Qq%c|w&x} zZWnMg5x(mKdwccrTVST@8blWy{(NnVgxq>>&UFd@@p|FK&@>G}&B*AF-{}%6X^Z??$>aAt&P{kjSby$m47qH7ak#T56~_Y1t(fO;W9T)<>wY6+Zo|gNV?Xa z$7xc>zR<{LIZr7rKJ>MK^Kv}bR~pQ^y1G_czF;vhFz|1WkY4$4*YUifwAXBP(K!*2 z+F~p#M@fh?`E)gm6%}V*?oY*|F?%|{u%048TGoJ{keONeY>*lsk2o>(>!0pH{!o13 z%b>=NRGLgdRnfCLkr%OHzbS7ET~%q(KBK9`cA2!bvdYZJz()G3)SJ%~1O@_|dj2)t zO0z|hNd<+4U$|}dd39A+U;0WS;$mX9Yt0jLb53JF=>GNfs}&X5imwb`sGqaqVKRJ< z?zMn$*(9OgHD~mg+bfRXms08FnqoPg#)yT5B_4~bNQruVeLa3xES>srzV`SPjURuL zT}@RL?W>@p|42wc`WkICG*vCF$hyD#<9~&9jh^`9#}9-@6SbvK_qKbY0-UDNso#^f zwzd~fk_i$;&uYa=yx09Gc>F(dbEh^xflE?TQ%j$5js6*t27~n-=8XSCf(0XLFgI!W z=(B~#BX|y+t&5zu=0PqG2fV!iPF<6(DV{^dVt{eM>*Ho8}a9(H2#saZNbW&9z_XCWMSFF#mLCW z%iFbK1;}4B%iE6@{o*#NlEJ>nU)NbBn1~15FS9ey`SIww5+HGpib-CDUxuE4{c)We zdqi`~f;=E2CN8L}Qz%!_Yqclh;J^g>Cs#BWd}+mwmJFQ8)30D%leMcbKl>7Q;a}NZ zOe|D|Iwm55^GlS>6pHim^8TwGcn-nbCI7EgYu!^G5mE3C=QKY!mO0co`S9=3EQ=C- zbk&?n8xA|Fw3<#jE`Movx-&lZs#2$9X0Cl@ci*?`q2ORpl^m*-<>jyJU1w*v*=b>A z74`1|XuUL^&)cLCPeq?JUJusuxc`LL6%pgCqx8x7)P}QTvnm*$J4Ltb5Q?6)jSap2uPUc>yRQ2mNlD6@ znpj`7{vRMWhUAWwGJRUdS&CSauJ^r_~*}|jZ+Pe14 zYNaAo`R~`)5PWJ6>94&(#ySoje^z25lkeNp7p_IVFx%hXc|C}OD?K?j=5@cI{+9qd zJ&k%{PCs93>1c1a-R$IKVsiXaYdJYN+RfI2|E8pc#{@l|I>nXKx7v63PagmD*~QgG z1s%6XHK!qj!^1=QY%W#?1_lz6-8p%FJPv!p$6jS6rJLK^-%o#Fcr5$BS5#CK6cqIK z_A)Us1qKFw-AhSJ{~Ny`aV}bGe>z_)=#e-%<57^1%FF4QMK=doSxjC3qjW?NCrF%H z5oa)&KvPfk-`v~)0|O&M{5_Kl^xzk-%Z;yyMt}Vui@!=Qkr?FPcYyA|{{bTZtvVf) z2yle%5=NySF^KJfwh{Kl40L@7<78#RkpJ(-PZ(7ZTE_I5#T`7xb@Pr0gtW z!f_@+5gBoW1aabD(k#5_>&I65f4ngG@4D}Qkb|&O9zaLM;s3+hS4UOZb^EHQs3-_1C|!b*N;d+V zE(s|qNkKw71qCT70qK(N?lzF_?v2EzLAv2ieBUq5x%b@W4+mqg^Lf^q>sND1@NxO@ zV8=~==iV+_JEDP@zJq^;9YsOCVE_M6g9@`16cy)zBXBQc!}$znmqI2hH8pCy z(i*tY&i3}d08BfIi%GYTlaW!DzR|dz*CcL@r9`$R7MOpZ@B z@tK38W1+>w#6+0ngMU$;oVuXP^@Oe`ZqB-IRu%c{O=Q;%x|StzD>dp|4mRbJMY*`S zNjTqJ07Tar&$qm~+EKT&v%|p1DEiMjAbk=WQKuDnETEyJRN{Jah)pT_*y6>D7Y}f* zFXf2MqEIIM!w;ya5L<=+SoiJ*Ie8yOQIj7BwE`q1PBeY3N$+B3&N9HFU7Iu+4qPVP4 z(sFWL3@^&a{(09MtJWxM9dwe5h7Pokh)*3au%zae<|bAI$|1caQwdlMrA0*>gDJ)C z+z@eb;bnQd*qeIJp%0hy1&)6U_s9AIUlb7$@$~dG(AU3wPedq!Q7^(IcN%czY>ri3UHP5QFe0_IwBk|Le-oz* z?e4H)*;n~LMEPl-vykJ(Z73SD(sW>cug>-YjvUtxH09 z_bnCEi};%9ROd70Kw{E%X;L13PRF0E0;eUWl_(7ymth6%};_K)9bt)m*?kU zJ1Z+HCM(fqXJ>PmkH32TI=iy*79o3vQkGG?qnBP30C>2QlBt{DJu$w0`=+j<{}i^9 zGLq?B^+08m{=)qbMyH}x@2Z*j%;oH455%Y$MgNOvS8KL9d4}>d6=}m@Jy_Iicy+#6 zr2ngmUQoTTx>w_apaA&KW4~5XQetE9^6jxvhY_+gYXINvINnDzh;Uut^+leOY5eN3 z4jMeapSpa`FQINSX;zh3Aod1>jEvme06pWsDsHvis(PiBfvM>V;6HCHQY~NzczAfgRt!4` zJZL15b#JY$tR`KL%%vgSW=Qz(qG-f;?S6siIBAupxNQP{c|lwPIhFFZ7)lt~u z+pA*{ZmJ(?HoI^&Ds?cINfo}aC?PwRyh7|+M>({Rz=O1-DiFhf) zQ3jBwZ*p$n0QIV%OrOU>R);Jb->O##owv#s-Ey>Kw zlQpVvz^jugq%gyudR50ZImgADRTDatHhN||{)Ruehce#9y?x_-VB1iYYDYPra=K8i zxgHf(S1Yqt>5}G6evH|Ok`(skEQIW)s<_G+YkcnQGuxftt~ZPy^`|KWiRKsgGwb*T z#3E!(I=D~Nhw|3ycw*YJtU`>GZdp||(8yI_jg@G3b`K9n{!ylG6B3S9+gqFn%`U9% zG-ouC+`49Cvp0=o`=Xq!r>kqXGE5%PcK625Uq8J0czGiQ0`9Y*yh&Z3J-ovlWxBI8 zfB>;G3S^1%&q9Q2?F0q=D606xL zYe()v&g?4aJ00Z!-O4SHhFV<-0&ab2GKhZ0m7bm+$F z0|U(oA{jqC1`{*0iDILm&PtcJZ{fLJr;Ej1{C@ua{c8R|&^!DLKP6z z-o?)DC*~Aa?z7s*5$kShAJ)t%c0 zXRTA-d%RnS@sW`g4y4Q0fQmK%0N$;37R{=|*7!)h(-ZdJY2!20N4vegto%~L zu)_ifISkt)u}Mh<%*QMCCY^(#0A9O7$uaIrNJ>lVuSxV&Nh^bP^&Sl!b_*K_-I~>QZx7}o zqLbxqls9FQnedu^{si%>9$?RSf_~@Hh_$nu+u7!(RmavbNa@Lj=Q1*T^@y~jZ4>?ssM zpc+`2Lq#Lw*lhO6){<|Aor44RXf+7y@b4ac9oM5(4t^=6U5m`!N0bz__=>JfIDQYM zMflASv$Dbm^uJa{ia`U@(+VQtJ4}smtru(jrz$odKhe>_Kt}ei>!Wh6`p$$s5`@1< zw;C;{#Z*wIEygQ?ePm-fu}MhkoOYJ(vlzaKh)ouyqos{^UgL_J3DY+)0J2$NVPX5+08%~Bu_lWQFcQe%Q_XwgYgJgy zwStN@Gd+E}8Bt%rQM^EZmGbf9Hz2MnrpwOV&tn|8lE6f}$I+*5o-~;Kez{lfi&InR znFuMjRP(U}70#~+1zb`>+ua%e>sevR_YUW%?0#bA$+FYf-> zK%rr`5(SMTV}X>I!HRcvKkX7n#NEN_!Kx`1LvNBMd2alSFK2Py zTbrv)f_)#yV{eyv!@1C=>`f(42Ojz!B%VUb{E^&A^sfRP)XF$H5=Ak8q~DuC2_y(X72XroYjz{yor{ z|J%+xANpC9 zwf=>R8Si{uPH~NNBr~J7G|e=3V%nyyLyR=vV3~}a)EYIJ1}|tJG&MD)r2PE+r1G_D zZLXx`o;pdyWO@3C%l0E?Cq&szLU14Xm}cfaf9_U`K$wlUW#;A%aPvKRveChT9EaV% z)g>VCdN8NAS0R^l*};9{{)-o5pnTeA_7W2lyB#jl?5+-EtFYyhLD5uyf?uF-Xvn`m z<&mwDzt($DCX}dSfksXN@-fH({ia=AT}IlZTviP~f6o2H*Y01jnHrA$`t>U)orX76 z_ywVupR1~M$9qQP>FMdkwzPmdNS4B;pvfsy&X(?UHrmK9sDuDz;24SnAX7 zvDv?(4GvA?rCr{iK~D(0d4eh`TN+fyKjx|2&Jc{ln02zrR;AzF+VTs6xSjsu;vy`B zaVcJxH)p7Uwzd8ViZaEYqA~u}d!y~&Ivu4rsc4@H~2AJ1fNT~3a< z#dpn))U?L&K%>G>*lwybyHlN)zp`n=5iJj+TglE^tlfxlMOu(k%wYH}VKT|BI<)D^ zr+IZ)P+=>r=arR})8OIyuBP@}?chi!ybKX&LW`}a#$=@&%785&lNEL#ZO@*Q zk?{%u8KtPd&Ln8~WzN#ZJof98I~yA>k)jAhLB3o(?|lM-QA@l)149FY03xoCw}iKE zzuBB^F;^bgUhHENo1UfQ;85B6#z;<19^Lii$$QYw@b2E-7>V^JaeBze80hE_KqBbl z=eM=7vADuW)1f;&I_e7Q4{eyq;r8MxcgnuER8ZBcJ%}C-qO8V0HlATWb2;sI9Q*P_ zEBJR6*Uh_feV?Dr+gxl=su(c)txhH!WZP7U#kub(YMO9iL>i}o?B5>~CKj>GK1GPWMIlQ^K z+27wEPL0QN;A0-a#;!kiP21a}Sd73rw<8kmFJNsWg1Uu|k55S0W@LSOI8@)NUj+Ex z-|aRPP7CmJ0zyKg&e#J~j0N~FTOgir`&s^;5)=@q2gh5mSd>w-YP*f8{>=xtCkuww z`PN?_XY)+;4^k5_#ZTOyE1CIdvqt&tvg7wSA+KCze(R0CI_k>?{maipnvr9YXhhp z&Zs$B?{=1#H**$(YnT_ExugBPx1sZ6*r!k38*JFX=#M8QD1%+LIyi243S}zAb6V;) ze{1`s<<6+ z&l5KWTH4;4@}%Mr`{TZ&^?ElzF{uifO0-*BTX1@oG|omD#rLcnJ);c+#JKW#YHA7t zpYdT>E4-ydD7CRBQ^JSthK-gzq}v&=DS(lQ&tf?(DVUibI>8crf{7Fv5uj!5p$JW)WRtBU9J$JJcLn3PJaV#p$A-m1fzr6Oav_$l;V>8p# zOxl_&N~rVgk>65TvzL#t+o#YA;!?ns$!MytBB^4#Vh#>?bvh@&>&No&G;eNEP3X%U8=>T!6K{1@EJ9(VPXzeQII9wrBlurFkz2` zQVqukbCh(Z66|jXcSy19|1I?LNgeSqQ3N*+4D5i22X?Tgnkwm;+SZ7DuF1|%(t4Vt zo|(p@F7>an_4_}~J?^}P`ad!<(!MMc!~Q6&)hOYuGGm0ZvvYb{T2*CbM;uRt&m}ap zy_FF~C8hqs!Nx+?KQ_2O;Qd^UN@jNU-G!dyAKqB`Sy`|Z{d{}?QQflg#3mxD0cLOTiK-?Ha^U-(!IBw zJUlcsG-ti=-`l}RU5r{ zqzc>vE>%<~c3>)a;Vt?_04ph4TU&2nVQClXw?KiCP2gW$T@8pe0s>CV>+lAQcDVj4 z4IsC|EdlK9?KqY$mjHG8g(lKFIGP~1nLD4WC?pp(EUodH`s?V+^a5?^QuTltn#Ut+ zUyA7sPGO(FPcf(-#F4+$w_d>`#hcBSSJph*pHEwg-0J<$q*`+2-$`|Er5O-0ZinAr zgc3is=(j~6?G>dR92{I->mVA?HkaVC={xw~m7UA&;JCO60JTv=Tk~DW5@THK(y>nq z3$IcYxPU05%>Dxj{$#i60;U&@T>QBt^&mvRsUs^pdt!WixEyFkUS6JPj=xQ>0bh*8 z2D-Fp%`}?78c#c-AM@I5d#H@aEY-Eg0@#;t3r(vXSeA;gQ#z5-N^vhs?!!@|{^A8F z0HdR$n8e%-XG=lfajT<`&Q4zrJiX$Jm}jhOswvGaCgn0t9IQOYo}i?;0Ljs73Owm@ zI|cvi0I=rmo(jtuI3&xg=R4s6aODA;S>|if<25xlQq$0Y#P#_ow4LGcO2A`CZLJWq zB9s&qm_e$gicPa!9;~dYg1Z>!1DNB7tTrfF@LHcG=K%6Y(5n?0c0>;kt7aeDO;%rR zf2!hMn6Bz&`sJ3UIGwqF2YE<_`HYR+NejJwZ*{efThv!q`dN*8Q)Xvpn@F6c6Q7kF^~Li!YiMdB zL48AsMm|zZBNA{W!uD8WwX0Y_6NtE8V8?1JPipc zsjcnplEL*1uI=uamac1t-E>UM$6w04f-bnSF$=A}!pw)W0hj2wkh@J}MXlMYXPNgF=+ZmD?RboIOtdgiH*4c~X zLu17S^`*>mvksRZQ1ourgf%vb?(P8R8KGnT%W7@6){AVr#mvG&3d;`ua857X$j%Md zA<~(Uit1|Xpa7HSm?XRoo3j!$H0iK`?53gW5fT!zu&|tMC7d1a&ji7jrAW%JJEY~{ zum`%n@|5<1Cf`7V2m(ych+(@?xJx`Ik% zRzZ3LW7*jW2nwpVoDl^;r>Ka#SAcQ z!n+w0qIAods`;Ntq#K~eu&^*7R*>K0j(Gkz&!AK>^(7imqDU*!n$4kpL6OA%oNg;B zaO?D-%gs@06S?{HY)kud?t72)IEA7JlH+ z0GbxZSDTuXV+uH-{dUZJR|1Fz=>kV9#f*2Wv$CL0EqP$^LsFL(xZcN)vaC*UVwMgskbv_ryAqq|outIY3;NB4c20g1FDsuzU%WxXNMZ60O7dqD-IU-l z*(?5=6$I+2u@(rq*4D+J{MG>fHa#QLyh}i^1VMujf7|sJP8Kb(y{Ad^B0BWxmNJ`X zs<3`EWa<6Bd{4IcVTz+ZS{9)b0PFc>e!$cvmjR(#70ru(c;*8IuB^1w)))^g$G*?- z0n=T)X(x{z+H0x!5Z?0}cpNSIJMjW5WCcn9m_E!TZa1Z*))K z39i>i|D=BBDS|T)ApHY%0bo4WxoNfBs_Jk1QT4vizr`$_baE8`U)+6Zh)NaP>Dhfm zG<)YYNt&Uw?b&V^%jEK8jg1q6iwF3%1fhP(3g>BlYy*q=MgQM2xGP+tUpWNLPAwtP zG(mlhVA|uHo&16Qn(Y!>b@LC%$uG(gUYQa}$<=&@VajCipE0qHIhS#BUC^vSTc}En z%{sERaK-eZfC<7434uysC58ra(IGzJhtnr;M3iYnxP z?yCjctxx9GX`d%nzGjs!R{Z`0k(ca(wF`Bx;4Y=5QA7H1jdr24%0Zd$FNhrZ=>Nn= zlPPmt9?I|P>@?J}7%h>=RN{xFZ&f)yHpauoHqg;w29*$T=ia>vIQt=0QdY(un0jz{ z2>X2n_TF4;7#yPzP#}ifG+26&2Sy+oqS;>4bIKp?uEvChZUO%ALMkjuYQwKBj*f zW6n=`))UuN9WLS(8AW|l<*K5{EVb_LC)A}L$kkRZ!7w)B`cX`=_Ex%q2J2Q4<7pqm z4XY4q#uL0({x+p~7u!CCi%PY1sM9yNv1wK!JJbjC)V?_eBL;&W2I@pLfdcV_7KbaUQMsa$-HKc&1zMc16QATl8& z^qrKCCFF?PX)yOiQ@lK+4V-^|yo*RtqUCZucAJm0&(o}Ktg8jia30-GrxH4qwAOy` zt+ly%xXdhOmodK+ExToMvMw*r9E9I!T)?IJ%p*kxZL?Nfga_c*m#W8o{@kBR+ijuA zoBhWZi@0Q5x7vc?2{i*nUvmND(I=+Bsrp|U!FO7zuhmsmN8jTr4zCLtTi;nb|xjcjAQ>%_~zhCi@Df&DKt>njlt|912FWvduU-MbqhE|g0Z7uVqm z@(vtBkZpvm4=3v(P`LAwB#>!GtQedC8 zA(3YQVc?s0c6FVfPr%k7T?VE}S!CorijTg&j-cZY=BUA%y$>QO*k_8?o$3ZLG+^&rs}~A_DXV1}KYod$|2FJqWzb{!B%6m2bs2_Ve2gG3nMc(FR+>bciB? zZlr%&ASv<}k5(l2j1c0+|4tHhU*FdK)%{PQhGRMRZ4bnyQc{D}*-O&PoP?!*}@a8}Je&2<-(wfY};S`Kz@ z50A=~jy_{!hxt9Khhg@2(kK$xDXh{H<2q~)w~bNrdq73t&UgL%>3RRLJ0uB6YE1@c zQMOQwT0&_!OozX^Fw@gZZ}kuT<#5RfK_-$H+{2^Y)tS>b%F5vZ0k@6@8ynM-lHA`q zZ=qy5dK4H4`>vNl#``=ybv)&P1r>fD-R@tu6^{V~o8gKF@$ITM5$Z!*1@Q3m`vxL* zmQof-47Fe%wm0o72}TSWq-e6)t7JbPXR+AG&29`1P*X`o@#AnAuY9ta_jkpAyAa{+ zl!Ngp^mr_w)AeAbbBXig`xFuNB@La3L&{++`^@M47L1SkR?H&gU%upjALLwrvdhS( znH^#v%Eu)sb46Y*Q1?{bMPzq2^pwRYk9q_VAJ2<(ZV+b@~u)V4(DonvU zG}6&_+4y;Kv{$@G0qVuy3`^JRk=Z!~=H@=%FhEj%15t)64dk{pjLs3SRBD;ILpH9< z1~}OiwFDlZq$McR-I&_fb8;;>|}#V}T@Mjd2s@y8gTk#Ei`nwfN%4 zt|IdS5uM)`_B@5u)g{#JR)Q83(w-!&n=EG`HJT-?TP88@4;20CNI&*+-rujt%oLXi zL$G%1HM~ui5A|---+5jX>MjZ2&IkPi z1EnP;VB&8t4M+`DjV|R!lw_*=_{<_?5R+#5$<$oI?#d%4MAyVev6Re8*yfQNz zsJg+!Qw^KdmOWzdCI2WKBb?X<|8lPsklsRxzc&x{R&f5ZuO9e*h8%hwztt?b5p7@s zW{m88!yf+Iz7m&j{N{lUWpHtJ_6{*|hb$4FlZ~F9o}poyWa{BcaaZK>yFf_Cf&702 zsM+9<-$q$bWyrw)6f@2~1E)%dL3duvt2*&1=aJQ8L2;gHY4sp#k$T>K3r!t6Jo=lP z8@XlNo3lMatr>7mR+QCu;+kwmNl$Xcg+z6wV z_6Z6aIv)Nf83i7}T3rZg0mLdC{<^SJC4{2cGg}!2(9;xH=buNiY(IG0l5~4P13z%I zj^6vpwKdt5p51j@$t#EkEw7G~boMIxByuY_ z>gP=5`-qd!CG%Zb^%vfe3!^{gBQwdm8yre_NDIjt4gM{u0yZ9trZ#`nWLaU@XyrefdUNj*h@ej32vvAK|x)c zb8R4e-$lv&xkb|T_D$U(c<(0iBW?NY>^!M#b{_j2oTN`&r`n=ByruSXyid>fRYNk1 zj}!I?&W>_lKB9JJCPBwdb|^nz(1>Ju5|6HDO$f`#$eAK5-vajhoNr4D!Y-P0vT|$h zN~m<`Rd za~Vc19>r{Hz2y~0AUx~qi$llki!08B`Z46`dBPeWKLTzPgGR+~upei+EY#FSz*mDy z?z?b&uq6j8R7EZ%`%jAhTq90NNrB1&@k9tV&`EqQDk=g@*VoqfikO4vHasF6cH;GrGG_&X^oeVsC7pjV!GbHR9|V1@f^f)~qUIAPGD`38^c%1crX3X^2(jt)tb|7Rcbp_4MyPUPWXT6p+i}Gd)J;rx;u}sj+7$}w%^u(|@7Jcwh919V#dwGE zV#f%26ak5A%XIB3o-86*KA92E<(aawqKily-_jx5qj78Uy9^ z?=<4Rz^PK~L2K5J2?2~xl5MOpBZA2U5_R!z(T`F5!ay65gozWwSCt*1( z*-oz-MVh>xQx`x@bvI4c1?dTl0dIR!-&no9E`?}+&BH_3ff@XQEdah3GSecYZ5^j4 zzn;CM`scgVqo<(DK<#+QdmQ{ep3xkO+sUz#Sxw1B#BK`}N7@--ZIiUvQ;V}-vG+yr zq_&oHVP2nue?bnR96A%8h2oNk(H^RX-z~ zRy-pdWN2qH+Z>#H_239=ZGGwYK(OD7NtiTmo)Y`n#x7cAr1m}6g>wH96vjYo)_R#N9Tm-%&p&ei#owwl|uu zBO#Gh=Rpj|U<_A&%x{i&>8-Ch#tYm@oO$o?=JzuiBj>QJ+*z9Y&WU2xokeS*l5N8c z&So+H$KEUcF=Lik}vf8(Y{F33s z#y9q2IRTccRD<#UOiHg?)(LD!mQ=;Ts+&P#H$2@PU%r0*`aT^qr^en(&BCQ&G}^DO zPQ?$Yvc{^ij}GvuX*zF_Fx$M_?w320Jdj*R`)o%{A=|mzemiUheYlWzL`rCSW*vu( zUR_D=x1z@5h**8BhGmnvS|{JAC%c^SA+J=URbB-Vu?;)Wpy}LlZoGowk=AsXmml>~ zf~hd8G+B8{t2Mc1_v2#LztuOJ?Oks+t(a4W{QXc1=`v`tzmvt%akfNc0=N zGdOpOFqi}Bi3LvO5H`odb7y-ItZ4DinK|$|xkqt=v$KT-Xn0WfQ&$R4pJGuY`TM`- z{8iF<{-NY%HH^)bwHPu7xf=pwq< z3kupgiWw3Y6~$_E-Ku(F{odJM%xbkm!g-<8SR5S??!3z=l0!;Oz8P8oMyT9*O%%Xorc31>_jI#A)%3XV@UDdOLc{5+4x|2a)E?V`1 z$Jgr3)Foth``>d0_5_EE7U{hFpj~%X}Hw_;P9~q^nLm=T< zdiP`Po2`DaD>xnUwUSb9Y?f_}thtz&Wy3v$lr)z7FDD$`owce+=}HewJu*TYFL{=T zgSo{i)Yce+g-x95Ub(H^w?6Ls3jcv<_ce;vwC|qV*dY_s*3AAcA&b5=E%l$X#@|~hE!F7-y8qHw2+9sN2iaR{C#8RN90KnXd@gK3vsT{N?uWg(Tmb#qRmf4Me6ZiQ{aa-}|#D z$$rFs_-@U$uYE3|r!~QvLTulY)z3{CUqTPB_tUqUJtotnpdZFB(v^?2cBWsfw>s16 zI{M||%{TKlkI*&QeoG>=mXxA1r>Vwm`HElbeYZl9WuiIjOm}+E{o^oQ(vMr-(FR3_ zO$Jy;HH(I>G7s#Ke1#$}ao>`3T3MTy)j#jv|KT@xRb!J;{#2+(EP?)T*X@PtC&LpZ z^=Eu>qF8Oe#oxZZYSkNUkJOZut^YwH9F6`NPYFN0IVGuxI?9DBixw-O4{Dq@} zt3SLd_X>lz3xlDt;6{OifdLynlahl2=bm*D6f&wMjQx^S>V;0IY|8Wk&m1y0+x$s; zPA3`)J+aWN@N!y~WeQt>~HE00;`>zV2;ljD7^V%lK3j1yig)VWmI_i+p#z1|4N z3hFNv)wK?~Y)qC3>tBi_B6~zOhHz-S{4wsEk&}b+^FUIP;a%^lxUxXPJMa05S%zJe zDCcOA$|vO_hH-(83BxQ!k_g|(ig+fyZ}d{;;(Pf(kI6N;^++?S;Lxg@Nv9{xd4aR3 z?+4beqPoX~{PmAMxQt2HO$;w?J5b3Cgu4nNt3&%fp)SHD?utIyf4j%5v&Zn5uc%M$ zsi5oZlMh!l5($5@==a`-$%c0JGd%7S_Dw=b>u6uEAz3df#QdQC0+U>Bw50P=P*5BM zR&P^b2Pbi z^ih~+)?3XjVrm%@t>?DR-@bp*G(N~Mml_Nc2cC4fi;$ao`q$X@Sn5h^{+Y9H3ZG_k zT-s@%ss!!_XRQl49^x;mzu*n$-GQ#+j-*c{2p&(R=C};=^X}!x^5VqoK1HEXT zpFJ_~vj+Ce4xy2+?(Fm^?avR;PiiWvg>u^^=g4wbS64_$v$3&BrWRFIIRULLD|0*E zqz)EmHy!?>kQwiYx*uo&AxC%*+OSp#cU?wDf2|q(^o_+|y`*b6?xQY(1ubAMW$3h%nL&+Dz! zS{g`HL-1g-(mFjgHI)uyc}8G4DBef8^l&-|iQBVaIdfvfpp&kBtXn=<2|@k&@3p4Z3-2F|Z?H9?Iv>pJA3s*m-MWF+?Lkwg9VRLBqksWa+Qg{U%wp zde^shcI;bDApS!0(TGt(Slis>{6@HuTS{QmU=U^aBh!fFG>lrjVHYgnuM<6}2~wm%LiZ5Lc=EN_y(I zvQDBH$xb0|u92~kvD@+|tJHm~c)oVNn+Zxspc%RT;B#>qr!UH6-3`vgR?P6GxW8Y) zu~o7URj(eDi<#>6D}L_o9^RNc#LradnCNqKy(-Gy#r9WTpExPnqD$GW1A;UUYBz8& zn8@@4biNqZEB6R^4ZhC_p{^u!b;a@Q0UFdTbol0eh4+ZRLIi=JS1lm>(^elB6%rTs z76gkffwN;^b!}~JGm$C~Gx_;bv(81$T314%Ww9?kY6vc}Vy4nc0xvJGa=uo__7!^Q zS%!9RX`_oxv_L%cnboYUn6bf(^z`;-QY+F2NgX6&P!91%qj;NkFC}=8cdWB)spl$V zyxP<04!CP|_i-s%JMB}dYQ>t)8(;Aho^7lIr#&^wX?Gv|j*Y_a8Jm>nl_=& zfWY>Ujt(x#eZp5A)jBvhIFa4>|6!bopj36v2=-INf8V8J^QTM4YP7_-eGIIf%=39E(dgBqAD514qOTlTqO-&ukDABOC8y zOX6!8Ifz zrV83|WB{2OZNP!%J46@*b@t=E<9u0ImZqkrpvL#6 zN>vK9j9>aOd2MEWy=ziX7y;Hg3~;&QI=G3{x~-pWy-x6$JSfJXU5Htrgh0pMQ~U;5 z2=`E@UAIkntmy`x?VcAtB?-HwsKP_^WaYD;J;DYC6S>iips;Xqjd^<>9G@1ah#-24 z`g%WWzxl=%7VD|cRFRPzwoD)PEmU78%{t-L^#O$h5ggVqcPa8vF=kGO3{b_Qa_Xj? z7!rc{{fklwJY81wEP^CD+eIEqJJOTU$dq5_z0tyEW%_>>l!876a9rB&;z6_;`jaNs zkKWkYnwj)k5hiLd+FM(*=)8C)FTXKv-DMnjGwC@9?x-Dl==gxSB`Xk!iTUt=^y?bh;6C8dhBFFi{=%VFXUBP2gAOti;5qk>b6kNInbB{^@ zp92*jA?c*C%A1|jTs_$AUdK<2ZLaKHqqu`{&DAJIXS$Xhl0K5DtzBK^<>f(vf#%R^ z2H9r_PgNI~{##d!2Br4?{=RfPFBdy|xMMYVRc9ybZo*zSA2Ben^%`0S3AG|&Fcd5b zcMlH;Uo9^zRM;*nx0&zcm^t6FrD`y$x;{qo*%Z=YaF))}F2FSx(v z(oY|>hdw_2{rh?D)4o-2_bwher@gm7sG=}VQoWyE#X~}CJAT>Yt%v`C^=lQ3M`7XT z+MYIY`(4Zou=QJ7;{5N~OsE)m7;aVCqWY9>?5d}HZK|Chu~C{ZI~q9{YQ#?h*SDhaz#U!LaUW3im!XbZXY$ffgrY6%q_+cZ<=9>HJgwR)sV&+&H<$&@D_z&~|aDfguKRazjpMK55Xd zVxd*LB0Is56bdC9`8aV#1Aqjd<7Ud>6>cuBMf@gskR5bQX-3aMrZu|DdSmCj|DHW!dqB@Q zHw*m(U)3sSvw+&TYPvcHUaAn4XP<}O*N}v>y5q(GClo6aY!p^|q3qYpp_UJ-)tifo zqGK)cGSt;cF{`-fu#h{< z=4G{-dTNyRP2lV;mu#HVm-Qe2W#9}=@P80EyWdd>qw{KOYS_)k9n^pDtkH~;Y~b*;pSg-|M^ zhA&%=d+(f$RBu&eEbY1-58a$;Cd+u0;)5L=_>`8S9GgO~w_)0y%y@UhPbu0m+1>!F zwuL7`+dg`~ve>`9_q*g4qgBg0Q8FRIr&Lt(zE`(daEg2$(sn<_Y&$ry+-5DhiPk>V z{@aOvU$2vSzGj|#XoRuT%1tG9asW|P%0bRSGcSaatAC-kffjGIw?)VlTtSc=T-(|5 zWl91QYZQ#SM|TDQk~@jQ`A{^bZS*Zhl6gRJ%3G7<9{ru0c6Yjs&~z1zhjWz$Qa}BY z%eiG&VpyY1`%+^fkUKDjcWK&_;OF|lXqRmf0Jb=RtNd5j@`B?M#xO3sLmLZRRTu`r zMNXbLM#s;M-z~&-sYvf(fk=}oQ_XL84Mr8(bw|4hg-jSyGYip=3j9)kQh`Y%GJ!A= z7(hwM_W39WCg?c@-M+YM!ew{F2oz*(r^N?$vCumMjW8=PAI8?s4kpl0Qjn4+K)C4q zo>x}duO&iHF9Zd^qdJD%8rr2xE-o$=YO&A^&&^$xlOqjQgMN`+2V^qPF^Qln{_eHQ z&~XS6x%FM4FlY|}MH*&I+L<`RK*U-n7-|Un6?_7FD=WwWMYO~Z>`m_prF$dxHXN-o zq)W$EC{FQiORPmvYL+%kojrX<``T#V&(S5`uMN$u)+QNiKD^eCWY1!^@oH)Onc+IS zGOFnA>OJnz(XV#4S1d>gTPBbA#wV#CznV^I(erSpX^}8q`MQ)4V7?aE*-0rSl_f`{ zvQQDG^E5S8;-u;nsTBPMKjHu~*d$MS%fgrPwQ|e*V-YuY&eW0ile5!HOHUEcpL6sn zbiL4$jqa3NsM&pKWW)gz>A+%rd$Kdc$KWzNPOzDNY%Y7Q;fvT;Ap+P}MM=1A7GTPO zO0N2VB{xi)n*$3U3^nL=6+}Ru0)EE3A;k>yETDH4EI?~OJTR;g@i{Dv4Ui8sZkv}x zK!YsACBRula+r-G5YSTvGtK&31zWQ7>#Crs6Ygp~!e;90dl3AgWEFZS=V=@Of=WwG zeXgPc6EuDhW4eXGd2eTBrAt!{*%nBw?>>A65mjhQBYnFqZ(~y;lz8p>^(T|Xnzt+~ zkV8nG3-&mC+@JfO2(4e8Xd-_7Y|6khhd5+ZCEK}AH@x29hw~xOj=bhGn8!) zEOt*PI=79eBTvJ-hp$(1kncgSx{a;vo4tG12Uc+(G;S%JE;#>icc&>7Ta`30Yf9d| z7!#fr9(z)g$@*;~NoncHw~oTZy2YTxp|DnUsSb&hPh2D^G7loqiB`-*mO_fk`etsx zSr|I0zUfc{&6^()6tUl|?s*y8ANg+}L`8Syc0O0)hZoH?eO#PoA@CJV3U=bKniun9 zQaM?l%Q~BIoBHXByp8?i6JpwFzk1>7Kqre4hpD3xKi=L~<5_LL_(k0wWD~WqQSyBT z^Av-64y&&8v!IC&YX{qBJ1_dQG*He$bttaRYFzAw%jqPPv4>g`&>3a1O97xR+s`|e|yAYYC?RFx( z;ar+L^SdY6dmXWUPb_(SoOq_pb7GI0!2xAq${zk%Ly+Cw z*vT>~KLNSFF)eR9M2L~8arS!d!r?b1r^vShYhiTdy9$h#bd@fBcq#{R94(0R!zP0? zMgVs*soQZdwA5o>9b9`ml(x6zz={*V>LrSGLWLoo_RZe4NIc z!kJB-aRT-S@lvH7x|Wm-=uash;HU`HZ(NkCEH5ibxgxIZMO zKWwAvOTSB!y z56xc;6WWxVy(n5x%g>x-l;dBtI~||%!_PM#iT#+0mIg&bXjY-VC6v_aO@WjIS4{D5 zclqpr3w(1jj4Rn@*;(e8B`RZ+_!)0OGTfGyXbBq}WTz-1G@0-#uU&u-=Hr;CC>lVp z+f0e2`U0znkk-W9ywn)i$&t&Mm)(n;f?(Tc`IGkM=hd?gOtcolLa`A-t+mer8TDt-uQc~+J=PLB_^aLpM?_v?L3LTUp3 z@y3=GEq%%oLfXUP4Lilqv_kogaP?vK=PJmY%*vgi`jNs4bbU&s51)EchO8_%3se7V zp%6WyKDVw@pE11Ma^s-OS7eBu^s>)1A-Ny@h!UX+4o6E4&doKepMBJJR#7*%s&syL zsqac$pIQ23z+qYu5O%lzIB2=K#q||c-qD4HtyZsucd$j#a)PZCMA5Thi@$HN(<=}9 zvg*Zom12l2dR799Ph5Dlc{DsH%w{KKwNzk%fTPojg5t2ZQ0u;Ww#w&%3WloSQ5Co0 z5Zg<|tlo_Gj{X~UZvmC%+HMPD7mA>Qf{3DGkV**%sJtR2N_QwA-3<~JjfjMRgn|l4 zx0I-efRuEDf`pXPCGgKz@mp){y}!NB`Og{u82>ZYSi{BA*XMoWzOQS}dCe(hZ@!!B z*8TziX8SzV3kucl>Rl9JVx}q`MAVPWSJl}z<_5uu&2Z9?2lhfwn8?sn)DnvyQ*a{Vl|kR<`Z(DC=ujm-*z%t^g5L zY%ICCe0h1iJ~V<}9$~U!231wv6O6?JE{Xj8;-uuY4v`lxQt-4=we|T`^fiAw7QO#; z*RJh7+4nA+S%y;$1v!j#lz1!n?dR>x@XoBFKbBU1%I2`((J&zbL2zfGcE`czGFxoA zZ^U-n@G9gquuGBp)zH{~lus|tiZbQ#7g6n$=i}Yv%fuWnV6Hm8>=@eOG%G~>26$&q zJx`Y(cbrZnnSEMJU}c#coo;1VoG1|uD9v;9ITY5u_xtinf&`bj zM*U;g(b1TgP7cP9y?7qUJ!gJaVNOJnS0*~n`S8r~+hm1i(+2#D*S?CV5Y~--cRnk) zBCYv}z)e=Fpzg?fe9r4scDUNxZSTHsYaseWIvAN=7z^i#9C>WgTKq^|a~mDj0Kw0i zw&NGJt6ce3qs5v^YWn_wlX<9V=d>V-Dl*cr!J(ng{bPG~cf_jJIrn|q`S>ka{#i{! zzLo*cOHy;LucOSUpP3~JdcJR5G-bhlr)N;7SID|Qm9EBVs&*5d-5Zmav&Ge9zE);? z4RlI|qn1oNve{-fd?^>z{qc2T`EDDa{&S{3mmM2X8eHVMU^{!ty#1Yn1J_*t!e`L{ zI!I6U!}|1k8IE}d8QC&Io8HodaC%bY}jG?uGXF)U=;zt| zOWiuAbPoiUTC&>QDx;ih%5z;^E?(%qa)MPoZp+q4t9c9B#gV;!rQt6VOa+$jCSV`o zu{@iiVcIZmJ@at~mQ1&e)BeS=;^KyFzHeS@ZpNwdvFxF{X%;6{!xk&)G)J!BE*kKm z&2O*8L>mrPG_x+(*}a#!I^8~17(SI} zaK};RLyD|TmcA&oUkOBX)U4JKX-7`ixNeRPv8 zHG3ezM#&$}ck1?SEQty7Of9M!q|5tm#OE73(E-YV8obb!z2I z@wp72i2?Pkq+w?g6?X>;h%U3LWs%K9PGXCRxkUDO&*!ek+&WL@);K%}c*tCwwsOJB zmX6&jq^znl?QzPM`0On8_(cB5`Fv@m$B|cWi`@1<{;2WB!qT$UXDt~Oy4UjoLp@ZS zPDPz5TG@QOJ5rKg&pXOB&RgzzmAxZxdLylGJEgD*he1QCd-$av9>?|xT$`wJXZSgG zX4q>nWrNO!*ouXaI4lF(ae~$_yfyDO-Whtz<@=5+X(cH=hq6h{)Tp*2-syqYAe$5u z<^8wS2hB)0QzzFq&(DU&{?P(Bk;W;WqHVJKFqOULMy&`5vr1Q}V6n#8#>Foh+dd|% z873wyW-QAGL_~0MzcX{Bq4EFJR2^0`u$|6COYph}>YOfqwuhZNuE}gK{Nx7L=n9hBae$Y@WdQ~Ve<vDYJ zQc@g6yY}IT^Lr;qPTpu_JhHd4YIG{TLqoD}XKnA5xuwx9-9`P47LLu;bCR*}BY!_I z2_L3B-cju*;tMF2if|nPV+f48h??penr;QOKa);4mI$X=@ABRN(IkvF4OZ%Y*w)5rl1%2>Aqy zm4ssjjyY@98g`Fa@=Y5aas8$l6-b%G?Bj93Y3eO51g}%HdR34LdhPAohr~a&p^{#A ziHoGLJ*}&z^Y~ubanm4nUCCGWGJW6XcP?6$o2=%m8EXD zyAj8zyEruqy6fv*7_SQsvO7cXEiX?QRpT;VLdDl40Q=O8^z@b*uu2fof7uB0#$=V8 z`$^itUu{f<|3*^4;mrmy8V%G5K5ZAj8AKKeC4PQQ4m1XGLO}DhCS#90a^jvW1 z@T-6gmR7^^^;qm%z56{xqE_N8AJu}`N|U=0c=k=p48zy-_~!#vcOs%x+uJ(|p5{4Z zIWIjH-Tcj|!G1L6>C;1)7e8~R<>SX8xL%L7HqzO)`r6EdoDC`+z%RyL)2@9BxE&YX zS|Dnr%ket~-lZ>%U&#M)o@+7IRluDx{ro0EVr<_Xn@zfH&)3%rnh1Sa_k`-z3i%pW|CyxG1x-_(vDiRJSUE}s z)TC=zFvd+GZ7lGHsVg6O)qs*?13LcNm%r@$2%nbn#;{A0S%lPtp9mL`$-8E4l3we56cFl40ru z3=@NTyLRn@ol55&{fZ47F+n43gR$qoz8wBWgMoHbzqkmtTrQ5Y&c9t4^X3 zP1Rp``l+VoJMah0BQAaoxRQG2t(Da@Yy9F$%FD6jSj`mr*EM8E-(LJ3tf2Xdee?Mo znYe@mLlcv1Ap7a*Lni9R+$+b84fPr54UR~Y=Vtmpcq&ZK>Cc&!mEG|(Ch*c|_nUVD z&w9a7w(O5~-rZ1(NjM>rBtegLD$(5_C$%{-M)h=^Em*jkQ>V{1X0Jv&c1Svj6} zS5m!li_zv zc`#FaV_Wm(&%$^LTm{CiNri19aqX&=Tl_IKr3&NJix*4ao(5@UUWB~P#SP}H*U}i$ zwc-M=ypQ=pss!VKtjzRYy#TT2)z#JCUoR$0&o>|ThE5aH;3tB_TD|$K0N?5wX3MLrR8#dy)xg~S$Bs(khO{xZ_ukZ`3eS+MfH_g<4Z}CyYtv%;JB`DYD(1R z+uXri2ND+|!oxk{o;=Y6-@1wHz=#ylN>Av*1)@O}#?$XzAg7>+Ud(;z>M@<{@kvfM zr+3!r!Bb_EFyHkgu4`Uv!R(%`vP);AB@I>~gtlTfi7QcqwT3WCTQ{JwwX*QRd@vp3 z3-21eBd+#F9-AK<;1zRK4?q7SpSLOjrcnk4rz5)QDZQ7LmVEiCe&aors~#|^d;86& zpC~8Y5LCqL_rXVE{Q(-T)a#AoZv`3V*rYP_j=XVd-Mwy(esXjQjc{hGVJQUf`b8U2!Oo{v)+EPrYiuV8YV@(s? zoonB3eUidR31A$jD=?n9syN7?!l2BE&o< z`iGPxvjx9C24C^05V0xwbujHS_$xH!>R%})AA~k!hxjz|Cef4|Vmi}0+ z2!5h;*t}*Nlo^=3e-qN8{*j4#i(-c>@snyr&Pme4TBg5AM5syNx_lwUWLsOli{Sy6 zcYdQQbGw{Rr6kQ8etN0U#yyf8984J`h7r?O*NQhjz1m{FKD!>nS^(3tv*lxI8yXr4 z7RT~2^w&|g_Le39Rvbj@*ZWOKvVqJ-pCjzuy9;oFlGI&4N;Fk-)1kC{VJ3Qpj zbGO#Ov+0u_2S9xbEv*>%;Hj#H-?<|sE4!QA-qFzovVTxF*bI49cu@9GV?EQBJ*y4#dtr|^!AvHa@eGN#S`&@dY8z32hX&~4w0*W z)xP2S~P}mAm3Drqpquq=*fv9d*5kok7Y|Ad*e|P`%|;CV>$5|&(7nY@6L~3 zb_(`qWzo76*@WKlAo}bEi9P4PJrkdD&g@G04G2;??B~FQ5^oo|zi>>g`Sy2?-wSQO z)g4Wq#EObQ0m?<9#r|j2*|#0yr6H(MNQ>djZ@a(2xDs-C_`MK;e3+}4+?CuE-)Jzp zFG(@&w#w@pq`cbRZMRCW;Sr9sYR0R?$3Q?opPe^KwIMrAx8eP zf?e;;yxTo!_$llo%&w$@vM} zIM;{^2?@cX9h5e7N3gwW$j@#6Rg6uio#?WOiEvy{gBGGzFORydt}eRs1cfKuOy4>? zf4N5mYuWRJ(J+)>M!v%AwqvSe66!3EEQR_katZW@2HW72<^qG+D+2S+dY>d;ubu}> z?1-lg9B?+HvNdPiix(f>zP&YkbMUD?E;@&-S$YuQQJ|lkbUWE=o8Z!v3e6kC@y5OxJyB(5 zKCCYM;mjWGz5OO8KT==$I^WcfeEekjin!6ePa-lEq7|(H)K=y+hSQfMyHd(j-ZPXP zH%vI-+wi|#{7n-p4v=#r1H>8Jmei;9;-tJUOzrLGi3A?pJ_Zl)aB|8menI^Q`-t%2 z0*k(_kuTz5Yo>yXExh8Wm*Dz>mwgcyK^g5TQh4Y0?ApZ+C))d3Lwl#--v|An1*TIm zKSh{A*{rLr9nxGIJN7cwvwJSKaNj!@nKh)ZUQG2A4R@%THN9LsM@f^gEFo?(c#f%g z=Eu*iq53W11UncqI6Y06sjaJf`1mm#c-X=3VGV_OKZ@O1%{GfQReDGBxfb+y<{DV} zRR|0RdSH`>WtQObT<@xFJn!oXoB-TRu(-k@=3{kra=Y@Dse4zChvT7z1reRY;32S) z$Q!}^f|oX}hPjm$aTqLL^X=`AAH|k7k=J6*sX0Z1IOYt~eUrA#6Ne66gPBppeSH>p zfrY8>rI($#kE)CoE6rqV;i6y~W_!;>WvkJ&yE7-z)-lnoq;u?eK>oEBMZa+&Wg9z| z2piGr=;bOCkGl;!!VcNStaFyp@Az@O#sh}WJ6yweTk+k?%ge*~h@+z;BV)o?f1}N$ zABe*n^wGlfd;G;|w(LVyyGuz%#jv7EP|Uuey!{+%mIpyWCC=bTQC$=3c$AbahHEgW z508*nCY^Z)8U-2*vN9$$t{mFO?~r-F)SHAHobvv&b`PAAFNukLbXlH^a#JC#W!jqtEQ(WCJglS>@XyrPmKbZsBD1h39>y`52Drq7aet&WsSk9B?tHW zmm`&adRu(%y17QPlJmLkidyr5_r2q((#m$%$|UL@jyYAWx$T!Z6194RpC!z^9hfY+ zKHgK}4e{g5_wJOXo*+qD{y-6TiY4`s<>tHhNcH)HGru(X&ePrEHB9HrS} z!q>~FsLb=a**iE0U%Utk4wy|5u6nz^oIE;p*$(?H-kkolLeFnXE7zL2C1MCsS-I6b zFCq{Osk>UO7z4 z4Y80xmQ9}_Tx>cVZ%U}vYNk{sHcvSCb=NTnTP zws6$@T4LOhm6eZeq0{}`!4P=uV3e${;t`D2^9p-nEY~mx9zMwDwpJyN*7oPzIPAU% zM<_fYSw$v``pxl+v;$xM14mB`Z?L{12xCTY&zNIp2q3S8Ulw$mv~+Z=0p-w(prQtg zcyF!y4jAvi>KZB#j0>hBE|4*$VB!Of>0W(x;-ZDAG?3fo^AkES;zx_NG$6QgYO$)M zb9=O>Aekrg+a8Lb#m7f9DNC;`WxV*T6uXeZ+M+(KqHN>X=9x(_^YIT@xJ&g|j4dmC zeE{zmDy@IRvyZXbKk)GI8ng1GHqKk1v}Ghw--^}jM6t$lH|baNq%c3kk|8;6-qulO8PY>^(GzS_A$ z_Ew6&iCRWPd_A4My@o|PYrxv~u`8Ao{@jm4e*9stcj=QAv++AcUrK=)=Z^5OQ-G1fL}juvLjK^`et|5me()Zf7Q>k#zOB+Tz*&D@81bY zM*F*#bY=)|t)&0FB=5QR;L+7ELf2b&Kr8+}TsNTp*VG)G>fw-aWXxCMph$hS=JCOE z%J{=r7wO^l=D(Kds_W}yqq!}5M>Ne33*g-AD16t$84k5PTdnpRJ`-|Ap|Z+K0x<+5 zLxYPj`-L{=pK-6Q5Y_)akT3@L^Y;-aH8 zOsi598n#>0d`UB*=3d*r%e?sz$~?>@pFKeKboKKG`POO7N0eQ;RqYEM2B>7Hf!;LY*iqY!O2=j3+H-Qhjwb z-{TFN58|mxdA6>{9`zA5##9ftOk-VXJapgTo7i)RvZ(jyNgE;UU%!MLAC#$Ni<~ zKMjxd$G2rq618ixCmRJ6U{i%7V#Purs;20dgKIF8QgjX3$V-08sN)C&LKq{8q z{(%8HKAXh)=+)Rk*Ld;}5x(CW*F^&S%pjD9KbphhXb!dmh?`+xwR6v&65kU60iK}sC(mvtn~(7Mz^_iO~e2r|&}e%CMfFj`#ihSd{O3 zdLD{yXpoJU&AVRW1)r8{dseNZcIkT6@FvB_r{&}vi&jv#zvr<$?&o5~8z#Xp`QyjV zt@j8=c5ekv-;|>9y|=emz3Q5lR`1wY^&W=3ZsW7F-EfToVtx7Y_ICF|ofmO&@cp#L zZ6_^mXl~BvtqJ2yK+0Q^yhfgtXMTpTt)n6a9e~>d$3WWz?6z-#opcv9H7rx2xVX4p zHVz?&*P?`qUOCG^&e|FkH}Si`mR-W^>D8J0)lM<@~ne?L{43leEFUxtQW zUOnz1ax#eF=uz`&4;x@+m~Co#tolqE6&xHhumT}I=@9*l&EC=aY2*nkEG)okIJ0w< z8BU;tOt>W<@Z+``yhlbUQ%6)GR&1EfB$X@H570dS69~( zZ>n9GT^z=MKu4nji^gkJIk~>kQRaYhg(CLxtrVDRpMpk6%;Ic`Och? z2t9oq~S zjE>9n$n-(EtRo1R9#+HG(ZP@8z-i9T?p6FzudBzISXl>nQ&e-W8yovh6NkNUNJQOA zSxmLg)>&(sBPQ#sl?+#z8zU+zPLHXNHDrs)-bvi_jfER##hAUupbGSNM;I7}*z@5A z12mYT7HtJ=idqG5>5a_4pag9@>;ms@hh;A$q#Y=}+R~I|d61~}iXDU+L{F2Ed((81mu)9y%orU8G z?lrDOHbPU6E`Ii7(R~(=FdOKmB=Iau7(WCKc})6|8P)5C&lbda8q9N~gc^9He-FJEbeXI_#NU4$qy5S%DhZ84l9$S=s@GbB(druKkbVuD$T`@RPG$1LrwPV3dz)cQGMD_Jp{b#P#6vnvOWvUbtFT27mBmMZ)#(;2|cCdHe;#1dL<-+&65{XwM5FUuJgm?2*0+- z*)99Xg!#2olITh~1d%2fj)A7m-`%|wrd`RZxfci+YLOr!o!+8+!15rpg zUP?!{b!*+g#Z)*LmZOI*b*@0f>mvD~7g{xu{75Lc|I6L~%a^4bF) zJ%XCO0G2%%*n&{e!(;QzZSqb$XA48%5df%x62!Bkk!=*Zg+UCL16UM+9i?3N`IE{6pooV z+MIA6b>_G877>AlfDtK2J#@*ipKSD=fh-k=)gsO8caXX(&dKZo#6!KtwSyIz^bW6& z?ESq|ys_H*YR3Q70L}Y9Xsg5~xwlClKEE^(#T^0L ze@h|`a*=$}AUX^FdafLh!@3SS-W2%_Ix&CFmSK z3Ta-JBH6IF&%L+sU<-YyvN91hZIQjb;rUSuiqu7}Z78Uw?05a}RpOjmTkF8CZ_wjf z@j@x%2Cbm;O;;8Zw#GfBZ}dIGpEPVd@ka|lki0Ilh4N8wndqCbb|ze%Q(PmpXZ_L$-a%RE8R5KvoS!`oHQJN{Ob{} zAWd_8`udUARkv?N{okv?9t`i=x3By^)?u1^>NPYqHKnA00ixTPnw%6m_v_Y?ydi!K z^F?Ku!e~jku20EDFAJV(T_ILr0U}4PUAqSM377?{igoQS|96V8+eV+x|6<0=`zDa* z1dlHia@NYSt4kgm=ZlE~KNKa}Iy&T14i0%pUxg_U5!(cv<+8F2FoG zup%ogEX-Z*>gs}c4R~k$7?c>D-$qa|0HbZKxhX(AZ4CU;q@Q;m9E8wnh-d&OcKI@p zQh;DB@W7^DT_qT*cXyks$jE4!nqDEG#oJ20)JwNAXvGmK(f{Skq1{_OMUL-AJq+Zm ztF+X;FsvB8duL|^V;~zTMz4xs#)v8gIStuljujHP*eD6$+`88-J|#uBG>X$u9vNuJ z%(MFTa3+FtU2sZDiYm(h?=MvHPqgWqsnF}A#f^<}Pp|Iumpyq|Osr>!d{kRkS4BxF zjXPK5xiqp2q~lrC3lNPZL>L)mYl|HQN7r$Z|%DiWSN zaV2)5_=`}v<30rutvoroU*EY?Ox%0s_drB~wg71~hoTXOBnV>|)H5)jr7iQM-@O%s zmbukfY{437{k1E99u_c)Fb;jwou26paUm&-1?COGAS+ zZ!%imuR}xB6ci6b;+nVJzY>1t%eQZ$1Uw{|n$caHTU-SD@Cep5$1*Q1V?mz!xd)qI zc}>j#FNQ#1mF&;BdQtkz{(W(xIkwdsfZWVmI<@K}{QPWe4~X{ot-}Iv@N6xO0F#oP zFMY?U(p>-}ND7IHZwu|Y5kz`~V*3vQB(@+wRR=)ia#C;qYpMTWU*jDX7kp(hEZ*(- zVx*E{>y+kwyop3|25JW7q%o_z9UZECe0;Djg!Mn}AU!W)WuQE9cXt;#Vqn|pzKk{q z{$<3t+pn9Bv9c14{Dxs^IKr;<=T?VfTi5F+C*QI!o!%f{w``{_-_o&3sEXks=>)%Z zHQ%es$}rJxL@j3d$SyN03tLnYhNQ56fI+I+mzABpb-l|ToreK<=^`@5IN$6#%Eza& zSi-`7qwxH|2Bky;LUKDXJP0K z-hqU#BO^>ZH$&X8$s!trtuDR*P>s`}OlQw_l$Yycwpr0-b@7|pH)>B;uk!83!G$|l z1d@#Wviq|gE~@z%&=E2Xn^{;{Q;;->X0FjC0%XMK z?+WtK7ix4+1z{WyrqPS|?VfQc15r}}Q{l53{Dh>>U{;Ofm5d8aa{pg!^!I*#LR56j zfcWh{MV$LBLpo2#*XJcxfAGfY$KMh^{}m^UiE8=oxPx%2%? zTez!}6Qeyw9&IO|Ug_VYPfRKHI08Pe~fz4FSMb2KRG&1r1djgDy>AjGLflm5+f4*@$mnVTp17NCr63-0}mvC1q6%{>njCP{N zhA}LL*a?AQVMwIG@{^`t&p=phb0xyR2@G4|&!d6`G=t|A*2StWZr1=q!{GC(hK7cW zO#L_8mFW~0HB2z!MN)i2%#gw2nk$*B%Hl8bCp4MOX>Gkxa8c1pPPa30_Tu*KwWg-u zQRyFxw4-Nb^`p%Ln~EVrs7!z=*epzA)k08_t1AhUrqKA*6ykFSc%joNE-wC)!OiBh zG1;lo-u`}2)}HP*F)OFC!Fl%f+se5$doIc?T+xNR0!t#4BP`@7*;G#kL2bsu#NH%MeD58C29qb@e+jB)^Xjt|BCxJ|^7^n*#riIjpg8JM zRl}^js+OGW1ujm>IOCwWjY?#7F1%m~zhV;YRW-QqQSURa7ls&}7+|M)djsk38_xEI zxQcv}Z+I7DNQg~z7&nFu<->;*$&(m^YE#%}H621`jD83s$hdsbMDe&`bOzDN0`u8*@8w^9)+b9#uN`K23|D-_Irt1Cx}gAJqAtt0ZrHu?#qm)O zA3YlA>3L_3)$!hiTYplbzp+b>#)MuX9MLZG8tkHK-eI0yue%n?sQ`w{J-o3Jp%y*w zw=4~;U{q<#y?1kScDMuO|A72|pOR*}&txPOpW9th&L7x|iuYw}OkTGB*3{G99L9TJ z0BMZ$J(DXZ-xFQ&Fsp^FoEYuc>nC_%_wHwlzAv$%&(6+Lc@jXG13U`K$(e;YhuO8; zYexUeMftD062t#p%Yl*h0Kw%)d2G;VZBvJQO5CvGq8$iAAl{xtM+)FL+K#YPhANX4 z>oHzcn7n}~hR<7R$8{~OJ_~!0M@1Ns23~?H@fZWc^>5Ty|I4NKPa^e;norG6Ki_Jd z9D7~Ux5mw5upvT8anhJ~Nf@+ithE=^X*ljL*`HO2fkz%yK&60$Fh5$p{;yx}{`C$90nK{$4COiytRm$P1E|aB1a7wM&B#aC z7xMTV92Op%z77o!?-+l$OYay?A0suG(uT(S`6Kl_M45Z-Vf<@#eIdPg25N@Om#g4? zm@5Dv1&-c$m`6W^|E;Z%*494K9Ca-O(PBi!U*iz^6I3rh=6|9>f~zhv6fg{jT_LSr zskxz{rM-QaeOtV&1JpT?U1B5C(177s6p%?XAqWrT)YOvX6VN-UpwiqXz8m{Nu4=V4 zFker??Y{uU_y&Qy7rsC(oMq~`g^cWqgv9R6WA@a;FxG@iJzmZW7cO*x%*4>=hE1C+ zg>;Ie$| z`0+vT_~7Ygh~xb`5Mu^64l;nS`zR)=nRa8K^PZX3!^~uv_li@)+PGqFj~?xr(L`LUFZegB@qF9}Np zuut&l_ZWm52XQ~ZY@v*dOzTsq6QM@Z+{BM994ow(l+*|^YEzILWWxKe^$$4M!GoIE zS{EwmiK!Py@6lL-FEo8U&#=hDz|ap66{aZHijMk=yelqdJ|T7Y!Zmw)o@V>Lk9)A( z{ROO}P;pPnVBTeyFBfgF-!iFjh)b)xZK%EB%+qYSXliYKky%?JuZc|qE9@?`kIWDO zGBX=<6US^PCMR>N3kuHSE+)$?zCfm-7yc_5jx`u6O=jofLd+LTiD0;ChWJzv9}d+Q z&~&zbetW02hQjkJO6iU#fPoL@tgdPjw`#}iMRTGl52zjH)p@@12*B1yfeENodhlmx zFQsN>sUdS=_F)?&@}pkRpKxbhg1g12fiN;b2gdDiyP^8t$7v84nUR{EO|*L_o<3xs zFB=WU+^FbbFw~avOuw088zQ6swrl=%N!AO8zWisWG#J*4E|*9WV2D^Bc0TZZqP+yh z0jkKKJ>IOOb(PS=ARp>G)B%7&5%$_yeH~3PRx=0KtPs<1Y_utX$s&dg*cq z+btR*N=q61Hfic^*qPeO!}JuVwM$~M9o_GR8zmFCwPLu`w^XAvGI@Xy_KAreUN|M| zU-N_uQ6XrNWJ?%6K^(yUw|+6+spZrW?YLW)vTjmWr!Iw=z7)@)?0((!tk)4rI5b5> znt+bFtu~lh1)*1kn4l&`npxP`P;Oq2=BUs_9~m2X!V#W9GzLZI;7Eybm&gqrKaMzi zX!P4GYxqkq4}hMSAItxaEf!KcDqCCI(R2@;7A!~rouQ4@IjscxAt0b0Kyd2v?A!^FXyK=Mco`bYZa4}o1`ukn?G}&fZGDGhZ%8)6+-_?G=+wa3+w|ekA?R9 zL?5odlVAekHoCA|EcQ^)W&m?A2cCfK9W@j(YonYO^iAktr0fJDf@tLdJZXM$kyRzT z@*TzVU*tE``A3c(MG6PePoTh#eE1LlC?KRUJHE*;{?($uqfLA1qh)MwT&v3YHY9%b zfZyWHuVv(;)o|K^3}@6It32596P>#b(T_GaQPlqOIqL5I7D8wkyPT(1)r0Iz;HYX< z8~{@nw+7y~JY@?!6=_&+c~=3Z{*pIYe((9a558D^g*18RHm|m0zoo3dfBp{?_3x#_ z+PfrAQdoBKo0u5iAmvFT*~&Jue$z;u0;$~IqmMRztxNlwsl8zBtsR&NsFDvmrv(LZ zJFdgOU6=WsUsJ8UtvD@t;|9ZRR}uP={p9}ELhjmYW1&@ifR;w!{*o%#Wpyd;2IIS>dHNljjjp_>UH$e8?%<;0s*+E3 zg=yHFt4(&nrd}GkAKSSb>^MxC1vxkZX|?0}eW^LUu7w~)HMxfP46;?CuFe$zI!{`R z$T#bsdFKwow@)?gddZv0p#RX61+9LA%G+pvfj0p4m+jj=x{&aZhIghxURs(0X|~C_ zSnlU12e!8q0KI!Iy>I8vH`D%N&%y2nial@Bor7f@j!C=m`J2lTPtYzg!@bM(h?gRh zq?p*liat~fhyVbZKb(1%C{o6uX&}*OPX}>{bbP~y5B({@Cj=<9=Xzuqh=@Uf|Ul(BIA2fMMQy#Tbah4oHvsy`Dm0byYab>ggQuylK)zOssz z_Ls;?c7po=YRT@hd^5QQt29tH>`VElbgROk{f(1PKoZ_Fr`fH=Y`}Bj%>{`o7CmC} z>Et{%p7?|WnS@4&(_&&`4wEBbgp7>r=~WQ|<{gTQwJ`LBhuUb*Z>Up^A;7$T>Yprg zg#JH~&gM?8ZJ+<%BmQj}2x@b`di*RzG&9DmxEe5UTKks&idMvbN%3l$45AX)wskA& z2e92Mfap%{_JRoli!w2+4q^m4xS4+sjvkx;2RPayei7NSAA85$cF?vNw^v4B zq|1i(WoD-A;>5n+H;yDg=ksjAziT}6iWVWb!_v5A{cXsre@)Gd1MXQ|Tr~9C_3xNm z8$Gac$QNum4~n>;u+Rx=WxleC3PxBtdHk>O#gx_QDjwk8tEYKW^u5x&|!rDM11@#ON(o$rzu@u>Am_+o zL9}QfL?K0o3KjwpHe0+*=6kl&10QgkDZ?aF1UEkWA1EsFIX}$k+dkj87((VWX!1B0 zkL!O4o34$Kkh?5f5o=#1D-`I2!qLd8Y8;AzZV(vatc97HZ`VR^dH*nEEGPk-F}CKU zuZGDWR2D@=E-ETCj{4=e3P=tFhEAZD${!Y((tVH}nut0H3ChW!F&TGn{j2!!k@*9k z_Wb5%+dJo`4HUWq%C!+Rk(AT*GBI()u6P^y(PPI@L4(1ber}Cx{ol~FlAvp6VidR6 zaRmhZpKbS@P_)M$Hu^WzYW>C={~1-?zjVw3Whz(_Y??^E4k#yWQS~fBA@i{`k3ip;f%e$0vE&32o4WLC}c}{Cx zb`=NA+^~I%9C?UHNkqW#zscV2K%Du4jgcv`|)KZsxaTr z`^5K^P0Nw`&yL(3lzgnT{i4?cf3Z>36Sj=Zqtn)MWu1E-=}?WTk2%jM=a00hwdajc z>ZGw)r06jQMu=I^JlwtIsOQ!4kQe>4rD>&uH^K})%BBopo2(ACtiIXL|D8v-I=^Oq zKWEW37F!4xRFhwtp2e#V2o~Q7!W68ioP4pFyWkSVdi?liQc@pJPb@jr2*5;~oA>wC zx>Qf#a=a5})SjoNqQ4c?9`K>zX2EPKH+N3kL&(R#MW7>tu@aOvBR6wZ1wiuQr4&8# z5M>IMXYjrU+z;2n)N(o~$Y>3t_u< zLCqI}I}SFstItn?v_h$XjbTKN{d8y|k)Yv5SNc?u>Bg~=>rm9Nzg|^)J(=ftKJzpw z$qAA1B^Bz}4zR%6732tO5mS+kj79*x!Enn3lZB9(f;&yE`CWq7*4JBtFF~mzia?#v z&{hbGUf|5(%l6>GPWtrhYzBG+&+c%qe=bf%kGHTb$5g}4ZmuOw3m7rP{)mM!FxG~Q z8UTZ=ekHogQ7EpMnH{HxtntCPpf(^uG37eV;Dv4FIxR*EE4sQ4bgv33KHW5UT~je< z-qfK@!TO1VI_xXY89ok*}oh|+}J*-(iOeHI`uFZt{ZJ|?RCM+@-f z>(^(mB(7e)3M2=UG@i$yzK0YV8Z2*5&uftgaKQ-1uE_?-s7XmlfvAVZx{>aq*u7hJ zco=fq5uhWeOtj^9dlHBtPWaDIU7S8d$1sq(NbiryhU+OikNSo}D2}BPWst{l4h}2S zV;Fr+;*|m$hYq$>QsCy?)k~K){q1Xw&DORSWOOXaJ-4(EE$ONX4-s2jxC;^~&qvbZ)Pwq*G?&#>ig))GFx8O4Hr4eld1IYKZkL_Xoh$2P&@@1W4 zc*SsDhEAOj1VaoO8UdLMnlPKT9J+b1BQ$W6ojl1Dc`frex>zIO~Nl%dx?Tw zRW?=P;gscPra}f2gNGQ=-QC?cQQY3{2*X%Ts=n)9g1pgJ&fpHFdBvKgY}06O;;4xqpQSh5Uf(Y(MmzS;v5C zoZ{xzJqDohne>Uj9$34obh#~3`Uc69NR7?$6d+Q)nE`QymDw)N&&pXNWD@478SFSmpbiB= z0sAUrteaKa)Zx^3@?%HG*;A*|P9!BHCd%%=z{$V>SkEmYGb4k~X~C*03v+R{@KD42 zr~|6vX|5Z1MlfIy$!!`b!C*+^`7kgL!n{&RqV5Pq*xaA4yx%ag&HZ(FW}ocGr}Qk= z0qm0}BSq6+m)lLxJ%2cjsbQp70x!tMl!h{uBF6X7k)RaEPd&;yI>JkPf#z|?S)-bX z5Oi@y9fc%DE2}A@#$aZo^~sYbST}t4p1O{i(yXq+EX=0jg@pJV6V^Z^KGLw`Y7?hB zj9*}U9vu`W-7rv#{%2-l;xs2G3Ycww-L){|0zauBtJHO!eGiL=&vU;#`BLn(cTlr{ zhaMgvz=u8_9&yvHK0c(dWB{&6d&cZD(xvgVfD_C!A&W}GuNLB2ZGo3BZL_yKmK%I;v2|90fq4fr~vtw$Q{>5>3wMG3LAyl-wt&!+eoY{Qdk>OEA z_s5MpCZ2vtdw3X{Flj#e{l7I~)X;=&h9->qn1H~6Uz#w=R&uF|@Bh?<8IvUc!6;m_ z|3V=2D*iQ;`_CWSfw>OIth;u_JZ7`+&#BI`B&E|^>9{SV>+3)-guhRwFq;#WT)U&2 zd0Q5lE5rA-GubaU>h4N@qHhu;kq*q24MtCpk1 zMiYxS)R#g^_jQM}mNSi=ev&^;RouEEB(%&}&(J0(^2Pl(vCng(UY98crt+qh`OD_|Z;p*s8cbT+>NbU!{}>^bTOyl0y?|GveUTMd$(3LJi>AIW(2Q(Zr6zHe5{uv(iyAnc{4 zrtk-G2f(Fuo`%cF%*G~?Ltk1}wtd38=>(`#w)_$hQgpa8M;=_vum}`NMS3XgZe9sXt zMI~D~@%Qq~OQFg2>T#m#245L3r?BGc%Q=~KzwOfR;w7Y1R8$nCL*+#ldjI3>>=^|G zKjBcbW5*7{d(|B=cEPU^hV}F+6b1^T6O=C!JffoL+>5c4ZX@50{)&rh2DJkc!-?aC zs0c9{@PM8a-8BS4Hm5;{rp<2u{g=9ake+l>|4>7d@;s)bv9``BT6gNHcw>v$jJf*4 zosGsH(QB2Liz+B6Afq5Rmxy|YLT|SOgceK@0%ytgo&JhhBZAd<&qe$Nfq}an9Ty?S z0oiZE2hssw@MXdEe^U~j5B{}c0eWsJ2MRVcICyYX9`t0sq2qf@hP`g?VuIMf#OZ+2 zAmx;=8-s%0JBa5;RA{us0?RfwG!!SGSkvvt6nymW|mBp+|`+aX1 z_8g-J$o-=G66C(%okMvV9SqotZ^lJqcf&tArYZT(OAau#dA1qZ@ga{NX9QqWK{|f- zAH7CZsbO%En1|OZj=)MPw5Fg zGW^&_!B3+}wE##y;eE%mY~uZc`UBNJ)|Dg2Ao>Mcuwm^QM^+X3Jc~ZBJ9pOQyPkvv zH<}i9PM-AgsI002_ikp!mVVdcf{+l*YbekL(9(|f_30QG znQJ1`HI)*@TL3O8y7XPpa63o!h`cN#~c)rgM-6wdBZkx9c^u5FzImf_cyoJ0m;CS zdG?Ujy-a&7cX$bbO97V#bXs3OiI@0a*GRy6cJWn(|G<*9;G;+j`sj=ljSKGW@lBj) zmvFqS`f7Hjv7ar+{2E$j94D%IF#A%K6FHl zJ>2iR-Y!6=MTUr#aO@s4-}Z?#_U)&@U3}a9GWM5~eCJ(ypilfew%;{WCpo8OW{|*C z#yKL9B1bfX!Ld6oV}|^dnbhYV9hW5)-s{f}v%9<(DScBS-hDR9OlmsAttjx(BMe4; zgCZ@mFB8)UXddbKOsvV+12G3iPk+EK2czYvxd4Yj9S9x`B23!@S7!=SE$z;B zVM0gybf}-7-@`qfot-eOcrLB4tQ>}xLQTcd-N5+JuX7=BbxT+Zqe6R&@haR|1vutv zYtb6VR%*wd?3-YG#JaO~r@Mc)%#F0kl>Ke$mW?o`h6qUY*Xry94;(y`D+n_5&;!hX z33SoRm*G)#g-*~pF(CmcrAC3>c>-}b3WUHT7PT-idPzy6gM)KF=PfULd;{$cbfEMs zoQb;AwBUd)EGHNAQ0~|6tc^As9W82N?9OBu^+v)xW-@GTXCcgdJ;^Pnux)%#opzGN zS*q%Gr{iHAQPE1bpNt8k-rJE#Lh@tB-o1Mh)e9W}<>4e>rtEoTr{rIl)Bw=_O8GX-lP%57Ir9rnW!*2dMUOHY2@2ZE`UJhmQW`(c=xI&s z_jumh1J*h*(uzPFC^;3(9 zziNf1I701D$_rK9y3^JAlV|qtSiHpZ(|QL9iJ9gG61^OQqW+5v0RVBZSy+I_#uAQg z^#%*O)J2C2a}{GLRKcMl9>qK^eY!WKV_yaM8lEdu0pkOiyhJBvLDL~mX(}Q1v3vKT z+x`ZU)8GoqwozQ|)^r_o7@3Hp@baPt4GRy9Le@eQonBsBfuI8&rnfLNgHq!?`XelJ zu}MjtS%wNX(jYhnXtHtBCZyXS>HY>h;K{HqJd;_=JY;#Oo!stsr&s6 z)sC%Ht|Wb%-RF4GlR-ng?j-dV5|T5iYe`%mwrx6m;>0(g&qT*=thQ)gVz18&wG~D` zh!P?MRy^O$++$IuB>eLv{b~A&yr%TbOe+hEy5{C6wrjUBIDq+_%H8LQ;`BUuC3s=o zTDJ+y6tNnnq{OLnWe27LAqQ3j%Guuoi8KWIS}Kj3rvRrp(3rxjLsz#{a^r>#z^+VC zJvLGTh7r4R1#85Kj3po;2>3}npXG;%0?_O>(kd#8m_;1hZ zrE3>|0lZIWrEQ|9LM4Ks4y2{!N0$eYBxoTnqxS0ue3PTo_E@m;FPEQ}*B^Pfk%`{y1)$rr>~)sbiucTiLK zZ0-KA?hA3lnMDyA0Y2}*E`NTrm4xJ@0UeZ?K&7BmYDabtE@)x<#~Ne`gh~h#o(Vl; zjb&mXch4&qb@zx9lP_nAiH1*$J#Z3uveXyYPY$WIeEReQTJp+XJWa5Fm^lFzDWWHgCEISjXP4xo4pef$`*#+SodBA6JPoYXo-)IdxPO8JWIt};C& zD>L~>$&hzmVU{hrYNG2@6NHJx_4$)ngZkpp_d*dTk zjq1d?rP=N%e#hW_@mB9W$gz~`n3hpPtcoQD*aqnISGl=7XU-hge}iydBG?A-1fLvI z+S}UR&aNhowZs;BWUw?uKTTAgPzgcq`m%@<`O2811DcFJWS5kl-XMxqZK*9GKR+LJ4!yr2ld zn{z+l5`r8!2=Cy-1e?*LG}rnwD%i5f;$?YFI?mYKN3npNN%ka`To^Dh@*$NiXMRW8 zM9v9~SILywQFOd7OSgvYH@UY`E8@z{NB?e&sB$=Gd}jas&`_marQeNG1SJepoH)Yz z8w7ro)5|mO8Rk6@u)Px+M>T648yH+t`Am$ z8xHDZM+Np7x6>!Po^kXg~ffd#upPC4*d-cD!k*^LH~EfN;`?_QE!-iwLyp8xawWAFWoJyY9A!`04ZwbITn$EK;&O$O3v zm=dPVVGWaDCCNJt@C(!{n42NWNqu4d+Hb<`DFe*11Hg2k+AYBx0`3FZT4jY<{~jx5 zYM4=&x}+T68o0Mvn`YW~C}jhwMPfzWw1JHHKEOUdW;OYu!mTi!64WanuRCw^V{ZG$ zxJ|Zhs&~JzvLZfn3c8~(T~1C)N?m;o_0b8NPL+xs;7~w?KD-9t9bn^QS2+{YRZiV+ z0G~Jt4*}&gJ(G_33>`f^fGiL;0)g@XHrgj@YEVQ191CVM=*e@NZb)e@UDkn6*8Rna zDi2&7u{mYug+z@a3phex#UKFQg?c!7k;$VPOh9RDv#`8@BSgudfq>GCSr;p*8O5B(Xn6tO-2W^gKh6OT2AqP! z@T>)_0^-`}=|n+Yo)O39r?;jzT7hxILum@5oK0Kw!2cVGNItL~rX z0RBb%babz4#%1CVT3Sn-VB!Mh7ud8x6Sofzq#&VbYHY-!W>6Bo`v%X`!y`491P;0A zXlbPMT@N<@9&Eqwsa$`6@?e84szaKHE@8#Iv)?tRUuS5+^zKx65!er*AXM?eN?w9W z(5|P*GS2S`=3$xYg_ZfmegHCz2!vr`3FjoLJ$ks-iek-gNCfAbORklk% zx$;?xY+`K(96CU9*oe(rfDyR2G3NkYHOZL!)hxUaWx}KCsf&)Lgc8kU1{|z30yY!C z9yav8`SAnSa!cpK{r^J@lwL?@8`lRklG|IJ&?J+IBnQn+ z4x#vC>s2_!rDBzv;W)=SbY*F2TfDK8Q!h}^ZQ zAG>Is8WnBl)F$xhwG`Oh?Pk2DPx<{X2dC7-UK8v!bJV21+S z0(G;h@88LLgTKp&*9o_W1(!+JJq83FimZN~M0E9ab+DgEi;3ZA?0r4X2K25lL5;e; zeiv+0A@VN*9s!K&QV^u*kP!nVC6YP}u)PacIY+R0e|hj6ND%P|Dd9n3Z9-s*(*e(1 z3k+bbtgN7GP*_-4TG|YRM1B8U<5Hh~!2z5v4%Z1KJZuyOi4Qy)p8VyunUbOND?0eq z+DPQbLno=CFNy`8Wp*>SLG9&v-mHY!5Y`ez$b6ifx_I+v&YgQ)&e#BDTmYqjJP%YP z&>LkyAVs18+a8!*LPq^-{I=`*{{Mb z6sPeuz0)|ErV>Bq-H0KNgF;6o5mR)_IEp&wgnL&mz24?uKCV?(|CApZSN*;A<4ugi zb9S)=2d8J@_$!PTmy8CANOK;0gIMWU`=aqoVx4f&+CLw814~^6Tct}u&rkmSp#CQp zRZQbwB-VU`zyBCJ-@lc0T^M&L4T{?3Z>W^;1VH9qzhr}9og`MjSSHm9{jjk1uRLNN zkww$9vys3aDr_3RYaQJ6ADutZD2<|nH8*HsZz27RO{yoef<}&hdF49z6SQ`AF&hE$Y83ngl{WNbkLR-XD?Sq=8Yj%F${;Kn5UL%$9 zk&){VN}PBl&!n_6DfnW(cCu@Stm0s^AGFBNtGo!ntOs)QHrVsxKrK_e)#+1Mzy;gO z?c0x8$>bt=W&omuP0bt7#eadhJjUfje+vh`KxH}E5-^(OvZadi1Ox^Q5Z3dim}fS_ zhauwzJ+&_r1B3XDi%qbdftmjHx#MgQ=GL!|&I7A2h2ao7KFxjLIzTd!VUP#qkOFKN z2DuVc?U;{E-kuryArk<#NK;Z*Q7MF|e#$~)4s_;Fa}m0Ad~C1$3&_1yK$M*P6|^eg z+5t{PF1zc=mbOrShX@A>IR%Kaf1olo<)NTwhs_Kg3#jYHrl+xc<`;7_dk}mBMWVM3 zdEGr?*JP98_+WHr}}_{6Dikp zfDnvw2ra{;+p%+SJ8GtFNLk3M-9o8#VN%;m%HPO(mv)UEpQxClLm}>K)YJ$#S^!Vy z&EW#<%-Y`G-qx0dl@&ac>KYrB1{n5iE*u9O1A6AiB@S5dp>2N$RyxaA{~VMc8!FLs zh4hrpbp5~>@C8yvu~ zwRDenI@oD6*E$gElSynTj|oYw)1smyR9B=wY{>k~sNu+96M~a1=gF5Rn%5Hmc7sC? ze2KqqoCe)&bsrK!dLS9YJ-`-KAS7EFLPz;PXaKV?Vnqr7N=nd3fzk`itH7KLe15P> zAIRnHd3QjG28U)NWZy(Ih{o|1z*f7zs3q$4_q~Dn1$ODs2_5!#XGceZjs3uiPu;c@LpCtTXU)xsAbx-u^nc6B&;*GdQbVJxi_H@rk(bx)KmkfwEspRHA66^z zX*#081`>j6m2UL{3r=?StiFxg>G_!l4*`8CXZcI^DVFi+FP6nWJaTyOul!Zw$8qQT zpOO=5Nlw_%^Z&pNdY=9~0VoIBhGEhh7V>W@mTcf8%GIKI^EIR6cl7fxAPoRr!KByA z_F`YX$=?5Oh!CdQ5W#q++L zxBN7;)im_{?An{%n9ua$g16Ts-r8NzEMIR@Jb$ILz;k_l9agfs zoDj6-gmnAi4Ok3H(U(BC5gP`b5}~>`P~oC+Ty=q>29Wdlci)EfVlA7%O9lXbGxl%I za7;p;0Ay_tI7k)FFD^o61vMQAy8u$0>x-O9EvWo!S^mFCz~@+pQD7qoII}ibwaCiC zHeHyQI1WT03X;S>_)c`gBvg3`$$5-HY!5|8zk+aJ)-$uSzjJ(UX^DZk55rDRXTI5g z`OGOm8bL$>oia9{@eHUHfx`z20agJ3Pe5NtGx2?_`eudnu~71AC#!z8mCwXEEzE?> z0$vyEcy)e*IA4PVbYTuaf)l1WJ7Z9&F+h9U#cdBKo+VPK{b%`nH_m^Z&)1*E!*d1w z91yu0pV`^jjTd4)L9huvcpc9470iLbbMlkD+WLCED^H58MzQ60^FFBZhCH_g(jj#C zgp;+A?*UyKR7PO;2@Vf8#&ROy$DxoBlsM7Cej!YQ^ z9uJnp^SmD$HXW05z+UN@V#kn4LVi9-c6$Mm?e8xG7&@Rozapq)VkUQW1+3M(0;_+~bdg{63j%WWshTwjQO&Z$X> zr0s0HZgwa!%#5(WYODjESN(?zztlOj1ex!@<2ownEs6gM*2y&E+IR=Yy{U-_F!Hd@ z!k|)?L0Lj2o9My?Vc^{WYp=-&t2$6n7zG-Mii$WA=p~G@(LL}Kpu_5C$EK%>r8?0uY7__6FIq=^{J0KU!9c`rDm)ap zRK=Wq+68Te+7?>6`pL(BWYz)`tn{v=B-errw9McELGkKKQj&*HLSiChy^-2`AOQT| zkeq6Z>#&0Z17#l0m{tgppt!7PD+JnY_>W=@p!^z$2zEERbtw8k;CQvVnHBgxK%UcQ z1YLG21e|p#lbKnSt+#tzLVtG6#Jji-G~KZv#75=r`QYYib5Z@NEOS|lKQ!HzlmvYm zHK6iPV1l!YqR^ZxP+YaG=bT`bV#W2i7U)C22iaLf-SYA>05rfB$o5@YfZ{clB57d( z_&yZS0f_VKf2Q+}_M$KN=a>yPdl67X!;*=KffE`7RYH)5e(q~Bz)`rjuv4548cbkK#cXn2CY~K^6+?^etkhHps9W3H)0Ya; zV+WhkKPVHEgph<>Qd0`biLUp#fkY~YDD!Fi=nMw+b-tC+ohvDzMV+*6E$4|^X#ECMi2#>HF+m;ssN=UN0T$8XD) zs<&&IJCg^3mK- z;jg9@AiYLT4$SWSESZ>N+LC0*`;{Hwy$mq?6aj166~rwd2B?(#`{9||6L^(6^t)}~ zn|aZPCiCV_ktoH~bQt>FKv)zQhw8}wdGeW4kbE9<3h5a8C1ksXmfqBimw=~>lhY8! zO_Y`O%Mdk9fo-tD?ZEZP33kQYj>ZV?YCUT>hQ=EI8BInDJAR1JH!(?j67}CyP1v}# zO`yU7?Pb7b5ZIvlK#PXrFz|yx%T}Ichyo=yPyu^kYk}gPqi`%5Ez&RP0ctC)L0AQ4 zB_)u=WyDv)^6+{47QWL4&{7fz!ob7u#+^G@E*|VQ9UXSSrjLwXz%I*qp>O2QEHdn! ziT8%75f+6(ewpSE92!ny7pBQScmBFiLtWjkK+iaRp3Dvc;P|;i`qpli+Keu@iu3#F zYy%)B0FVVTrO5sWA%`p_W?oLtPZ+$r3ii;Ig;B!|KnQ1YSpZ4mYh%+i6F1yj>BPNzwRYmGE)eR=u4)Muy@ z>rcbDpg%QK|Df&;oUUAKYdMYiN6KvJ^;fboDi@~x)1AKX?8Pwc2SSi#{4B#XNmI7| zg{TiU{-O&%4#TiNe`9rr5^8)<&^$pZ>uZ(?IM2^6#PZ!D&nIA7gt4IS0!M6DXr*X+wFfkYHz;zI{;)x*~hE7*8WaU zS#_ExU^d_D_=-h@=nRkEJcI$>c#RD*jh~sBChhec8X6AzGI3LQ;I!5=j>^k;l-yzZqFqBGqY zBx=7Hge@LnZ6}kTV4kk}Dn5F)+RAMt8b^8^d1IKgU}LZNy`ryi;Y|xnGX0rs2g)^k z+CS96R{1%8=|$0RJ)KR=w1+>@Wpb;lek8#Tr@PC=Vk+#5#;oov6b7DL8w#r#6k|A! zAjR%;c?S9hdm$faS45~SJGPSin4p4oryosPt~iW*C&MweH@zl>0@B;LTQRd5%VDSs=c~TU-oV z;}YW~St`Xv*z@V5XC@941GLWGPorhzt!1SdeVI#jXE?ujyV`dB!98`;Gc>4swRKYk zLv}W9C&ztHdLy+ks5O(y=^csp!Q}UVjrO~&EOBYUklIZzuQE4EW;4%BRO`In6sBlCLYI5oJa6CEAzPax3%3K8nG;z|;<6Rad3a~3%-NdKYOdd2&>KoC-hP12klvyi zY9JOtz7K7(C~aBRPLhkG`}ID!X>5bUas7R2PXoX0ImFR@Px{-YG;E$3LrntfWG?Wv zQKH#>D9gk0NN%;NZS_Ws!bw4wd6Occ`5JNlPutd`oE0kTqr<%dISS6+D+RLRG`iDB znnRbXI&*DR`;i%{D)qviE`$rcevPTTcQp92D4(WLIXtIH0F3EuwDT&zqYT9j&Zs+^ z+3yONP~XZ5zgJgj-T7_Vd{V1UN?bYRl7(hzct#S(hdge#x)cipf_|#WAx6;r6t^~q zdtVdzje+@t6-pa5F`DbYJ~;-~re8sPI-OQBEI|}ABx2{(9c`Ihqgs)0v_F}sRfGQU zkp1)F&Uoo(gU>C~Y=>?E#$sEsXql#+X~nS`$AdQ!!I5@0%dHC2ALRUgy8LJqh`MTI z+Pq}&Onr_FaJ30#<;L+i%t3;V8$}Dz5s|MA{lQpdi{UC;W-%o@c1X0Cak%s5c;N&E z1wMXglr3u(lb3@fdV1mzr608R&FJRjS-Wv+5lO^*D(cY3M&$TssHoumQ5!SA(2rC@ zoP2P2*57sy2R!9ARdEqBHQx~v{f-a#!0`1 zEe6Y9SUEf#2dlkjLP{^k`)g{}2RJ*hFrh;42QDhE#Q4|p$WtUQkMi}U8#x?!ks(6gU9?Y>Mc$xxzI5d&FTV`s zT)uP5(9M=O=H`<3HoM;0h$eIlQ14duZ<_TYsNe;QU8i2(@jdNO%pnV|>LasB{&+40 zXBOrpDnVmarH8h;+~0|A1mrAeD=Sw|+T7%5SQ;qhGHNq5+~s8Sgm{|S--~ng@yzzK$lV8pI$p)2mcuK@lWn&N>n|vXg#Uv z(Yb3%6PUC`tv&&1icNhMSumgXJagKc^0$6(ADyaiI49(K5b7h{$a?6o|3MEEnB-x+ zb@o?J-Q7aM_)4AJU}d*}N9xd$fjOyB-x)vb3x%rB@7>O|#Y-rkTGxs2HKQ?fN=sRU zb?;E+gO$%BjB=_ggSRXJpmKMTyOnXU@gX((5x;Dlqw6jDt+5477|Z>0G#lEJ+UCZA z6xWC>hEOkO^cJhnm*{b|UybxD`Tgl=PkjCpbwGyGS5^Ipa69hXv+19!(e`r+vV_=? zdIrKs)3kNw>WWC#Nd$<>L#>bWoEFDM_k4WxNIJSy_FmG<`a4|=A&%*!V^l-?XRBj2 zs!a0WDB4EF%dLz{_!R^KdVSxyu*+$<7b6qCiCLC$Vcms3HN&qZ$^wk00_|*$HjmhV zP43Hx*g>5nIs3&tqOZR9$0alV!{p*1Y7ys*?bwg`;7B6IHoH4F2@^9m>j7oyTp5+y z4SWK@Q6<-qGVYZcsPEHVF*FV=?pbf7IGw}QEx&=o8~fTX8vn!CCu)LQ#N1ROUVR!@ zuh|>a+ai@znD0K1ANnpaZZUJaYbY6R1oES8_HX&I(~-VDg|mI$9;rT$F0rJ(XPU_j zd#RQ--(`?sO(WpOIoj~a9nGaGXzwJl>-A2Sv8_0e!F58%cBe(<;P>9BPs^0!O8(2{ z1mC+l7KT$q)PlMD4`wFUd^Op-%d_2mb~T6`--ks7$E--Lw!0=h8XpS%5DJT#hst@! zJx8G^(hW^H-8W)eJyTKTd~Nnp+s53^??Qsv?}W>vw@t;gR7-@mCIW9p;XZ4mvFvHd z<@efjHxxOIh9{GGS463C0)zKybdA87e3pU&_WqYISjv_w-xyt#tPZX*2&4`fkvf%X zzofdfEG|{ZIpQ+z>f$_Hsu=EAhNE>y!)+n)^?hU#8zUQ`GI~Q=yLX{dM4VY|V|dOr zX7yF48%87Nd6QiB+W4EZL^K2Xi|!gtQB)o>$bsLQu8sFmoZIL>*H)3j1$2@GOuF#Hcxyo=D{kYuReEiU9N9Ed0u{(CZ zo!>l%Z1V!O2(wGYhTN%Z9rvu=1J$!_+_)bST-!_>dM0_b?_^}ba+{Ao;qL`pV;`Se zEh4CGN>%+=%+8pfq@bnr`^8yNLQ67Oe!#go#)~Z207U!mXhgSi|48s5Md{r7;|kLj z?Vqp_4R*6kmC1!0nk_v<=8^69Z&i`#WQQ-US0d@0wnwx-OK+~cbt6%jI2^9nHhom^ z9bdnPsoU8hm#XGvt}Sck^IyN3d;0<(AGkaryo$1N@bOKn&QRrmSD8&vBoZJ23!RJb9_VvTZVc~`m_hyauuf^1=qWqy!{?Tayol~b}Gt<+ZFh|#q z92+8TGQQk9m|hb&+CdSLth}W9@_b%YW25T25I!)aFJoWHJ1Y1v_+@ap?dbgQdZ0jD z`XR&XuEYJ#D{ExC&g=6ADAt*Ge`fQ+w2~qKlhXS+&$xB#G-8TuEksM*Fx#@SB&FtW z)Gvl)wsumE+_%Qnv&ea>^-=P4j%dOx?(7$*uN%Bmr*h*{K4m+ETzczGwDbsh)g4bM zzJ6JpdGTH^f}UXTgt6vAqESiC=t*w61a{;iNO5jDi6L+N%1kxOJTDN^IrY4MOQl8mDOOmdw9cSdcA;R~s(pb?|eO!EVUQFx4qMYEu_) zI8!Y?ql;#u={j#$_m7YF^*o3>G&gjeNO=>Mxy;_T2C?IxYQ{fcmoVU*DQ}n(Vr3E30y#hQm3_A=jQy7&J!U=*GB3$65VW_gRVA z(Kma7Js6$2WpRQ*Prj^{GseaXi8mv*NPmbgToYF6X{c4&mHH4#bt>g24i3q#B=W8j zY8Eq`d3Ab&2$c%sADyLQ%jIG`sT6+yK}1cPBSptfV%)73t~X0V4Q>hHsT-U4xW@0& z@tx;blr5iTv~tw_=o!Hk2}oRTyfe>yx0Ajg{>@%$(e@8GI_Cu zL|oT_7wg?L-Kh1VSGniX$O5~EooZ{i$(~Lqz!6R=ni7tSAYZXfZw|%{eEp=9;gH|8 z{*9p9dbfIPhU;xL4L4$U2knj27rVQq9{jtKw++0HD5m2+wFi|-I2`=6@3LC_>*Qi} z(u_pW3XSd)D2d4L84K(G+Ul~{H(wA(`9L;j%41&6QxW|f5us93=Z@bjaMv8O-8)3d z+hAJ|Ej<<((Rx90yQ{b)Ak(R%ox_CZA}P65(mRWJWoB%6e772nXkB<{(K{#06Pkn z&PE|FU$HG-G8*zPA#M9SSHet+_?iKPk0CZfZ~Cx?{sm`7ffrYCK3$J8M(VrC+KEgqPP1;>&V@~#N#vFT{X6H{kSzD9y>&hc9Mtx~@9RKLrp!`+pV zecGO3xP{Oy@-_OV&Esm3QTbO7?gw^Qk|Mq^Zt?SN5);0YDnYG1s4NZR>XA&?#ErCY z93VIqPfh9J?!$yY3^g?oSYxXpf^M>>kCVH%O>pG@*tg#3%9QXX)RZ@Pi&n$^9)Ev$ zuD_=BXJ6xw4)@=;yxSA$XRjt$l42i3_{3%3FEY{utf$dsc5N}_S zhK|PUPiTazsYP)X$yGRP`AYHEVmlcte{s6AR^!xjVT59B$LoOAnSXaNueo{u77f8% zcV<|_S6q9GXQWW$Q>zK;>uAr!D9hbHz@tjbxPsu5UTooxWum-D>bPTQSUG){d7y!; ztX@TR@9NL)dpsIcH(dnBrit#Mfc>PrZMF9;Jte-v5948Y`pgdMJ_}1QyOurvlWRa0 z^c5K0|F&?}J6BcL2O&@M>Qhnhqa$r}sj#y;`{A0QW6Ao^AfuyWb>j?OFnVffLwA+9 z=(OilzhvJ9zPwyM+63{GYh^LO-Us##iS%wopR0k0PaUUdif zSLLER-hao>zrK!b9&OCdq5|Vy>6Gz?|hy-k^E_Tdjo?`-{QFd_K5i9_?=_kl`&ak%k{Ql zy!3Xrs007cIgIDltlYyJ=^t|V2%qfn`SWO$YrejI88!ymVFVt{t)74h@Cj%&m zY;63j3ePspK2ahk0eSTp-4$c5&QcSe6}l@)EcxGp)To@q&4kg`#ee4H z<>~3g<{Js7+-mbNDxm2AO6vxgi(n0GWlZqOD*tGrfEsBDtz3C|N#h(8i_6Netp$Ki z1RS6CcK4)>nn*4ssJNuWq$|?)BJg zIp%)d78XWHE4Gj74KjZ~_D!{7ffE)Z zxB*Q&Ykk%KX%Fz;2MkC-`~}!^)b=%8oP2_Ls@2(2bb_A2DbW>>g}zkSmM$N-25 zK;vwThN%H2ES&>WpvPGPK>@%dKZb|ne7uNgs4rfG_HGBD3W7dqSW97`!L&>-!8ib* z0oNL!;oP-hx_Asq#{fqb&~OkXyRQmODn8#L~M%K`!s{AGTiBo9)j z>wuJnLNk^DLm=*w zGv?*y0!I~Vl}(pEN9ZW+5O{bH@EmWe1g?0h$vXgnizA;1D>(1kbHi4xrR^LR*x8^s zC>|%by5j})i6abpFVL3`J$~nKo~TRf+og2-gCL8b6WZCc*5q?fkp%5zuQz2c1$doN zIIGLabhfmh8+reujm%LH^7MXyB#Umq_j@h=3T9#x$jL&ypvUJaea@V#FU|T|e4s!7 z;-GS9Fqd*}*Y$vxyNuhPh-kdoDAuuJ{O8W@Uf|htk;KF!EWvdEDx1x{nL4r~n$AHu zkb2_IuZ_Qpd@#wr9|403gvpB-tcPQpFM6x``ThSpjW#Zc$jyZQsrR@uh0(z{&a6atAhI4 zT4Phwi}#*G!(Ls^J3Bt$TU%JjXCeU103o40kWhkb4*FBQe}}`!O6D({l9w$T$&-e` z#2Mn^Ff!K%kWPxzp4ws_Rq!HDJB8vvqYXtdTqh5@Vzq5`;wDE=D#HI2vUi$evVN=mg1RWN0W~M-ULzfm{jAjy@{~*L?&ERalrR zFfGAD6RaglU)zFzGt95ePVl7n^1_QejT1exCn!uj+&xNW@Y!B#YwyGfm$=Bixmk5@ zf#9HzPQP6RwV8b$ab3BP$5C?d>eg$bCC=NuHSW@9XqEWl-}_E;pvO`u`fU#?g0;Xh z!(zAsOPPp`JscZQS4sXgGk@AlXVz0d00hj^l9D;1jkiAnHzr*v9Xus!0yZ`_ur^B= zH%z|Zoja*Y%rloPm7w|c`}ev!T3>Z5VRJQxoy6Utb@YCx#aRYQ%Qm?P@|Q(uZ#{Oa zrd%LIYoEKN_c*i?bKC zuP_7RBzSECs~5cgKx9v>2c#2d;snGNQ03e^6C<0V#QgcPKAd^r2Zz#Mei|pNoY4tV z8WT_)gEx1({OJO9H0*%D6FW}}tkJjPiTVC*#vpn$x3GY|t>8muM<@ZO2h14oZGnG+ zy?Pwj)>OFcVF!a#jLI>^Jm4VxVNi^(Nk*0&pvmJh_G8P*xzPfp>o-yrRVy+3Z4JMA z7=`rLY^33 zDg3h4Ft7J{A+!Yr{u=0OB{^m`c7d8EX&c$q*$Hre1L7&Tx?_dZz%HoN{rZFz4!h7o zaGZxb0b+?R#KluDz`_rVHn95?8(RP{x&s0XK46KJ#k!;4M+ST2ey|-Zt6~nTTSUWc zG7cBRbO!e4bh4g5(RsN1YV_s)c3RuEOv&h6i>upDExFi>sFwr|fqTY5y?rQcR=KZd zX@7)Vp@|ROBPjn5`hb-V89`0lZ`yUE3yDS<&IAte}dATSkJ?WB*>fJ(LZGqS`BD| z5k1H<`}_MlO+iI&_u|DSke7BoW5q($o}<(_;@uk2E}%ST1>-KD>@tVcn-&ZeTkC_# zK(>+%)bDXP{jM(Je!Em&-75jyV2Et=^yn}T;Dv7|xdu+Ud&{>Un^X@h=867jjNS;| zS-TG((Cw&u&PS1ngIdBwA9Y?F<2dt;$Z;`9R+#4$PK(i{!F%gH$V}o_w@K)bpQPW8 zH?z369gulCY#b$pW%tK)<0WSrv%sL1=%&}?QZS;9y_TY-u9*>V#lpCC@Cpu2Q4T(h z&i-Iehuu*}ox0%`4(Dtw0{cR7B`hs#YZ}=|VT+*}Q5F`oby@tl!|pGh^Eh&1#|^Q+ z5q$rikJ-QTa&^Kz+jNl_g|p0L6N&xsH_psqVy=UOI(?=3ti3=G){$Uee2Y!8a7OUr zQ+P_}#$`zzV>gzKqYvH^kpS7#_mD(=_8bTOCw|>PR$Fh;8 wKi7xj&QE{*DFEo-&i^60zvRyS$D0n%|K2YXm2)@5ej|?L16gF&J>A#;3sp?Y_5c6? literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/pic4.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/pic4.png" new file mode 100644 index 0000000000000000000000000000000000000000..df74dc46c6430e041e68c34c74d1261f67faa266 GIT binary patch literal 47733 zcmbSzbwE_@w*Dw8sFb1-3W$mrgtRmQf{1{MbV+w8DK#h{DkUP_(mB!%Dka_B-Q6|w zTLZ`M-1yG9_x|=DFwAWBynFBWUF%uT^Q`^xsgxMO8HzIq1cE^Pk;rod;$#m3aal=|CMj);t#6=#wunn6ZK&rfuMQ^XL?!SJ4*MM@<7!Q%u zy?HCz*IAtY{iTn(bEfJu)yeALIoWW@29mHp()pf?SQ{bf%u4qWUuT>a zj77Kn6pGdn`MXxQQ|g)vtvFG8Tsu2mwrev^jT?*7jHjOFEo?5@3VqNU?_lIZxVOU( zuSld9XIVMIscVxX3>h-y7>4|qBSGXm+2^$;y{3cRuMu;aCSswN>eHm=Dd`ex^Zt8t zuhF&r$?rbZy;tYg6#rm?W28anqnn{HIyUC#=NHO=ldc=8YVYN*1f#hk3qRPL(CmZz zn>gF5eV5JAw4HF&bf+*RX(F75rGOqUhQh3L9dRF{N+j5_qgm@6)}S72Z>RhqYqhQK zjMAlF1-7=fsR|jtj5#oiHf4U4XAiH-Y@9x0{9<)4j6|ZcqoJ1RqI@2`E6Hg>LVoM{ zZmTZUuI}zzJeLmlec?5A>*p~l$MqJk%bbJuOC^~&$FLs=E`(htCAoOfKRn!oCD_o= zaL{pUV3U)I!?=5AZA$&k#6=xV7vj;MxYQ~+hW^CA+S+8S=?{;X7(&7;X3(GiYHw?4 zDF4;ir;B%si;Eo`9s7E0o1-3JcDu@2+uEp+`>!gmojClD=^GC%m|1VS6HL!djx0>b zj9OSsldVbK>|3qLtj&#x%(h(_jS=%{3}#I@a0zXge2asNo>Rx{j(RZZHiS$p4x@aB^-kg`qVyltD$$EQ&)U2#+ zP^sgP?HGakN$i3}AcmjL+Ic@8LPQ3*xf4>JAtG8bAF8jfUz=)b3gcRPi%XTiRJvz( zmHTjK=fy969=A~&Y#jOWp}dUk2fV~pDl(B}EP3<4djc+_R0>uDludiSYm|@I_-Scr zMIzBV*4|y z=riheOsYPXJ33kv$81Ou>ETB|a(q3G?#WZa0XINTpQOXoc* zS$2Y(Oa#t!;^$=Ths>M5NK3Qu_w}V=zN3F-peaX@UZ{aow~+eAx4j3~4u2)Rt<>bQ z-<+@XAZ^gKa4gCfJAF7bL9TJlqcMIJW<2#@-zvBG>P$86Jax;;Ps(rs`>FG#-<1fy zTVpRp$BBGj&+^k$u)a1t{J(5rn8d?LU4-73byXYHgOz2UzUo=76Qe(!Ve*pU1Cu+`mRGz1zLeFP4$;rxw3n10-&YeHMx-%7d*{iCxwUt&P0FP#Mb@e?V zWueuaoJZwzSy@^h?S|6?1P%4|*=f4Ex}qW?k35gY-|^ae>K21)VRmULKR0 zZ&;Yhix=zTe$saK_Io=!r)fkz$u$1NTpiq$hi~7$otc@*?fCWUSAPEek1K~mi&BEH zt^0)Va&uQhd0$;Z#nfao^JM6g+zCG&{{>zFgW(@`f;VfE5ne|#`$zP6J*kXsbd!LT%FfCbPHD>GK=49ZTSa$8 zb+uVfrcU{Lf@&XXY7S$Criu@Y_b9xM9XpmQDhOeKNJug2b}na?GnLuy zbxhb09Yh-x*hflvwIwAb1#_AWCO(UCaBzSG(89|6?dr;(o21alW=n)}}D#_m*vJ>&K3!g$QEbjGTElzdY-`CeY*xfjLiT=^!$B!i?y*xbDwzkx>biMwXdR$|gU(Ti{ za}*#q%XNy%N?Mg#yPFkcpSA?6c=i|*o<1!uE)ICXr$s-E%OXB!-&c%krY~=))Nyan zcFcN6=bZI@E$kJ`dRG`o8NK8zepEvfac_AaSwpg@et>A5X=I_JHbIV1wy&H6Nq!%yp z?Tq^k4h}jwIZ>JlnD*x%>}{C=sHyyPQB6&)w6t^~FlO&K4i02ElN2{Mw^y%TogX_| zL^&54D(i!;a73X(-SYyaKQ=W)Yt?fG8`sg*-RZM)YHf}d?n+m+hX5nhnfXs><2NzQ z&%}fp9o6icEwGq^BwJy7cp;v;yg%HkszhmAr4rVbm(6W#pBJ+qc3(CA^V^1-_R*obRUR3pF}00|?q5 ztMI2r3Je#`4pN$4p{6d=sS6;u%yC7)$)WqZ2Bj&gYpx8vJJ$#o!c$aBP|(?=qp7J$ zn??K|QL%u6fQH;)B`MB6oCi zc7KWV-7!l*nv)HooQCbUsHr0)OwkWw?$IiI;LCOf>mpjVwl1V5ODlzf90=;flPeX%bLfdH?OKw$R zVVBPkG*^|RwOs6TYQ}`Z#mdxlXg8*^QO~AhdiWASjli>vKBI5Pt;2D?`T7~FS1@(U0%i`Bvi{aR^*wOF5Q^xVp8~i z6=E1WJ3Av|=kp(NqO1Oeu2G_ z%z+`b9gD97Ua$liESPn(xNh9IK})OC6u~DN^J=m_xO26d%ATH%ZYtbnuqV%KX!xhg zQW?gnkFlqx$M2@(8A3uSaq%}xC0pr*v&oxvEsnIbw2=1CojV6ZijIzk*AE{){4<^q z7*BiwnMb9jYK{W^CvSkRe__PbwW1@4s5K{364Ef)XI56C^9I(pv9WR6m-wXk^}{W| zK1bGjv97lE%$YM^C{G?Pg*%#*XRn87Ggxwme=7)*4Yj$gNO|~yb8n*2qT1n0M3?A) zhhTpK-H(-2lC9qOrJNQ;`heR_P8!P6s{EoY`B9n;g%WLaveCgDO|olc_Yvm!a~*DT zECAJ%rd=yFZ!<7#Y-}uaq;vuF^P%K{xjfk1+-xjtV`Ecw1m)g0pLW{zT@~K4SfRc< zqmjKLyfr2^N;Kd@{ZYVD!086M`CuGldGf!5*}ucL?(+!5IvG1}Ws--C0@s(SP$D=t z!8AaPj3!0J$ z_NKfn;8Z+sjy*o&>1`;`tOPpcF1}EK$SvoTlwYW$v8U#+Qn|NS)buJkS{eV8FeGk3 zYj6`yO&1}p9~>O$;2z#KX9}(fi?99t{kru*Ba@R~e-_0;&<5~VQBjedoy}!2`86=G zDV#^%`sv}|)dbfJk zXyEJ?zOl96`MTYIg}chZuO`^15PyeSp7ygpcZ4y%T=FOXnq@;ava3K@A=4h)9 zITB~X+aVt0?A;b4)7NN38Vj66k(@NHD0#=H7_$n6mG)7|D8Ciz9RIi3 zqvN@yif2RWh+c{$6(?b0@GvHY$FF}c`@FQIWJB?rwa#?aJ?wB`0@MdFGvpOm&b00= zm0^>VT*}-~S((Ys?i`Af!fW6Y6~p-WI6gT`MXupJGKePQZb#R~F3D(d5YVnk$=Arp zGKZa2-|J#Z@|P(nczK<~`yIAN+yQ30BO5knP4WhGm8m#Q`{^PbMz@=D7XA(gHv)eA zKV|`H0ViG>nvwOHwi5d-DM?Ay49&`fNT)*6fkGmI{ik^6h>3?sMtr}1)gHLd!txru zzoYK7qobgpAR{|lR0NVPaZg@uFwfk9HzVx!P&3^QOrj{A!3ZY~s= z4V7{Z+6v?OMn(!~{BqSOf4|UQu;xO%;43&wT$vY!9m|zjkf)IsCfny1a3zR0xlT{u?SMHa=q` zNv%P5Z6R-HFq4;ur_Z|Vi>#@sX^Qe0n&gxeBxY~O=B}mXjk|a6-n#Y3`l!B4{C8Pk za+$2(xs6QWqc^sL=Y0N93PfGhq&SZ*U@3)IQFEaW8P9Q| zc2-5s^b8Q4c{+y*`U9=82zzy=@H+!waa^4%^&dPvzf!vKHq{aeGWIky{cg72vUt-; zq^$a;PX7FVMDSr}w{HCdJiDvl9}d9zH3am-?bbiv3MwLfdL}DGSg}41b-KZ+GEHhC zMVs^UWkf@u0?#po5Z<5z&BXy}LVN01L7*-_D@EEK|FM(p;QgG3y6kJg_HP2`Nr}{O zIBajb*LdLB!5Hlf1>nhOdCm-X(K}o^(Ohns=6Ln#>gaV&L5 zFAs4C%ZTOajUo2B1WY6U?h-vsMYnR*kb}fDlbQcyAv=neEBU#{#1u(P+l(u*yxNFqLh;z+<-SXL#F2 zmFbV%`KikzWLUjAQ3vQdtuB+41u7%G7#Bi)M0X%+z%f z-}_c)Syno1xiYG?7g*ken-zmwOAuwB!+BPCWRlZpuc>c`3i!Z4t8k)=j|=z71=D6+OB+~6eAW=;Y8=Yb!dhnCq>DYo9`F~+- zx@+mA!ffZenPXaPXWNscq=;qYfMKv^{^YZeDHCM-LZu-qM@+@TsxW9i7sGygl z4k)D$AEq7J*rxv?jTg~~AD@~8KR--p>nSCw+vQ;7MEv~BW|bX2bUf;NKqTKb+wogJ zB3=Pp-5K){FxA)p;N@jvY}}t?BtIoq{WSqqlDkCIIk8eIN@KaG$fO`It+p=^C`Omt zPs_zskeE1KLl?Qexw*NvmUYRSg53}pScp!*GUU(1hvu3ND9OnM1O#-(y%%}-P?7Fk z#!Y==gODFT1p7=Rg+3heMfr@B@2Bm;bhnBo_399cIKP68_Pm24g!JF-qA5&(Zm-K5dj%=TAO>4Vkuc+ z2-a0HQ+g8Hn=@FBdddeag_ohs8J(P?ilxG=7kY7U3E3D_ifvy3?)l|*){PjKh^lxY zx9<{zQhS`r6LWKO6_x&2aX(jLm>y7*<^}@I{>j6`BxR~GylrkHTmaCkl;r4-6CZ7A z6E*IOwxI-T#ah>S$b|h6i0NmW0&Ts<9C~_sz`uB3;d%{ZOo`pbtZn4Y`2ET1w`A85^w3|aQP`>KkBhzgRdjq)=&;%5i zIb{cn;eC~j3lfWzG^eslA4pEP#nSrZK39`rH5)9>G3s(!ZxMrAPR6S1Nj%ybCjs>o z8t_yhMQ&c+Rs^3t)T)41J3BeJxVR)E1GNvqNukm?1fuaF?MJeYA(O{rc`Hu~l@Abo zuCr^oPJ8B76C2UU@xn6Su_l;R2rp~LZf^GDS;soVlGL-E(aZz{ zx^o<9wM|V>?(SSRE7njw0Jw;A{J1eu%HoX@H4MO~;D*Vf#lkv$w%nW^KtCWpCvxDPFgxfcbXBIn9%Ic@)4V~KLY-~KAz*fHnuvtPMfV=}d)UQ;kS@}s?;J|XA&ry zI(Z+D^;SfY35r7o0)`ZpJ+KxVSfYsioQ(06ZBcYn9XAn;Yofsgv+>KW#CnoA%BE(A z*lDsUa%plhz~7%82q&XAkm_7dlVEXfIFC(XK>?KZ2OB8l!uQSFGVypcgOCQ|-C!{> z-o9<11i0%w3CS9ORJt2CE?l?(#n6R|7p;wff6grK3T_r?Q+ z@ixwhuX@sqlMoCb<=p|UC@pPawiK0$L`n34EQYP4I|5%@SSULtg-eL@FtIzv=MY~z zL`;co8l!qD)ygynAI~G$Dy@I|Vxw3H&25A~o4OnVWX8_}2Y?u~yC7>dJp( zbJyK|zQiLUviTkTtGt}xl#4LoQ6ZjPgD$WS7gALnfU*Q4Qf${;5i%P%GG(8h1K|hC z+e=pvI&4Mlw~#BofLyv>uXPYZ0qZjFh??9d!#+K)ds3Lt9s7o8BoyQ3!M)4GRGKSIA$1wIx$ z3ldD+J;$qGLBTmkPJWx`%sSazS6!ouLah4XaVD-I^zq?DKP>)l>Cy8366_d<_iYV> zavy$rwCk*`8hkI}2)*UIE@a|3^ODd>@!Bp&&ELwNbtP`HHX(vi*(E`Z=e}u>6&El- zAhqa}Gf`*UsK|Yr^F5&)C><#&DbP_B;1|fZ((;FN+?k%6Jcvi<*{{tGbG&B$;<>O& z^{J6m#(LZsBxMkLL;NEL<(f0UPo*m5Bxh!>LT&{_6RqiASp0v{dN#v8fBx(xB_`+f z#|v|NSc>jt6 z`siywz|EUCLlqDybF}<5J-vVPVE>6h?-Ia%oBvlaslyxIcY5 z6VrkX#SdIg<&@dm+HPkx@hi*9T0wmSL@iB4XPPp@rO6=cAfQ<4>!0))0)h|`as9)G zG63s~M*}-;_2@-bR#fl;hV$XuRCw^ai8Fu2l@{3gl_^z`_IgaM>Sp`fU9xB5eYz>(?8mv#ZKbF*N}mxQSBHXWVb@6R;3vMxWLK7D$X>4?N{mr%9X+9X)^r93|W3a)NEk<^*9 zXN~NX$qxtf-S=M#)QY!PqCz`S>H@$o$HvC;Eaq!La7UxjMxCj?f2P-sefvVJ5i*G~_kCKbaa>lJp;yAND1zEv%HpgY`y<*^+ z{3Mp}dcdK9&h*)DQK1TwR-(ElXj>k4TL8J0>w3xa(UGNqB5bo5b8r z|1Y#+v{xu>mo4y9LjJuJozsLRFmMSdZouWZ`bUR;hUXg)aOvVjc0dY11=V;TSzySp27jP~|+kQG4S=4@yO_z$99=#%)6kPrwG^3RKvE>KVaWoV!b zDm2tBpUJQUEKsczCklde!31LtI50^j0hXr)R9*mna|3)XbD9EX1s<*~Ued$I2P&z+ z%L(x(PMwP2ckInoQcxhfa)th{#nfW|FGEbYgVS>CZ+7(g-|d5vB2nQ zirN2Xr2ijy_!BXlnyI$4iAd`y!Y{tQ8p@1!?#vDp zug4#?;nIP!#_pJU{_xuWy8u( zFRPGPRINH>3C~=jy!$_dBM0Vx7mj8#iLU|b=i=(g4S~WiB4Tkks)b8YQ4u@`nK6f_ zE4TnUVrp-(M9u8s--vcmh`&ccC?7Dw(VPE4NdD`sXP{yV8bRzi<1v3VO4j$?V!|=Q zgNxv<>p4wRRhyz}H-+`UjLsf$S=@sa?^W=dU04WpMMOnwy^mA)`##vRF!&E7mX5Zz z2>)Hw9^c(GUD;;awSwITcM2mdMyP0yM)6N)&fka`gh!)xUXv+jwY(v92emR;-t!MD zPvCb|wGKL0TT!(IIS40VpCAbTltEEm;jAwV19MYy)M(~NppU|N&AuN>-RK9vW95KN zB;5P!b?i6q_i~7Dc>BLFW5xcn``?A4|C^{m6ZYZXN1ce66S$8aJ-WrfAPK~#ghVK- zp46K+JN*R~{}emK7$>2$0*t!9qac;ar7F<4-nU(T3EY{AO4vpms9{qR6AUW(&AY8Y zlIQBTd;>esoP?MURXD4jH;ZK3{lC|=8Gr|dShZs~o)lQ+#G14i%5zW`ucrQH$gGiZ zA#$y?+bo@WdazRs1V$kA;!HL``^lYvItohhUaT@9BrKd(_w3m-STx>dhkynl$a#zV zzvH3h6LBC%Awg=*?Eqj@lRFPeH>3x-gpVCZF)ik}=-*4&9bmc(X5TjkUAO8OJIOxM zO7Dw(q}*syT3QLnKPD-Tdz(27>V=jw0RKSvkfXPws&E8hdA5#fU zNPW4W;9xGhb^X3sC>o9Hpw`t86#Ow#?ygKV3nx1=GQxL(E`$pLA?Q&i?DX{Wk(m92 zzd$g6w|^}+FmS4Yf(*u`REw2C^Kt@Em~#W+?ybxuM5Zg}EtV4rTo5{O;sg{R5ExI> z$fc|709OWrjRtrVsHmtwxB`+jIKFIx;g}D9w{nI2j z@h@{2164)su>5nrC#xw^z|8SB508CotT=o*)FD_PFDtJaCs@Rma;TQyymsvxsLrrP zpz26WeDv24zKvnd=YE(kJT3B zUy|!?53PxtlOH|UYD46pKm7x`joXxgN70`GD10%eEtm8$N#j5MKW**U`CC!X$jL1Q z0(B3*clhTy&>s4qiOw68K)mHa6lim*&kZ#7-1_RVv##yJaxps8lxlV`xZzsYJl*GnSV;MBRTX_Mx?Rk=nS2XJ^h*KX7)>faBct>;Y@+ z?t(V=KtOOMi8ED_5*QKr$>W+5c8q7r%))=t$V~~!^Mo4jWBzWB%Ot0k8H9W;TKO4_ z%E9<|n^k_$ybrfq?ys1g-?i^n_^B(ne$PIau{$+HR_}f##QLTeB9*od+g6DQ03rZ%`(6Q;`nS<>6-R12*NG!ZE_Xl&RK+ z+_%ay_dkxxxCx^!XKcH5y!|<*oc4(43+KM$o-#^y-xjS@{=1SXm5Q8A%35Ull)Yb3 zy6~8u;8+80lp;mhZi@p0CpE@!l%*rhdw;#~a=&GR`^gTq zLbCJRE11SNzvN!0X=vBQT#UTq;Ltdb!Acc|X_p{3z-+hS$XG98cFzc42>OT)_Lk9- zD6ac~u}(`SiU(Cgk>RkL3yR$OhL-ebs(4>p>6LM$*L;5a&lU@Hc6(Ppsj23(35#9F zcy4W5Yc780>Ldv9-x?O54rXnp<=eY!8hIv!h{3OCC;C+;%IOPF+599%#l&c7`|(q; zHQLtQ9C=DgXY|meoGt0_1Gg~3Y6(2n8UP;rT#d(~infg7LT5k!- zD~>I&7;5?Ci|l{)jT)0%wAoP3Wmak$(8;uQ9t&NVusx%;7Md$npwyQTA~@XJTOfyc zeG3!*NH$!(XEG&mL@wmb(%f=+kZ21=R#Y`m3^zlsG-!5qHZ?UhDk=(eetSE+Uinu; zZ_%_vNc-GzZFr^HyV5o>P2_yq<2t;ZyIOhLWb3Le4GoW7ejZ7}Ziu=kV&# zo0HU3c2Dp$I5?@hWMot)kQ>bbMcS0T_8t$A_s6c!&C09q+pl-4o2pRoaqO?f1gjdl zdF(8{7+LoH3C6aXEp3cZWQDDYLY>j)G1TKu4^+8Na@$AsvNmOT6SGYduMacz$0$?v z8U?$AK5vshI@#bJkYksI_aSnbxsRcn>Luw=rb*}DI zZ)$g2NehCl$HhEz0@16Mzt%jc&I_s7AN;o0qaAcy5*GTPO!s8hCb}h8(XpgvDH+i> z>-^R!o?ELf#-KX9Z7k2kZiBPjl#HBhQ*gG?@%9os2S+X8nb}s2+1NFk8jce7B)_8j zf$?;;8%ns@(jVFGBBI#ScWcf(eMG9aoFjlOjiGYcTc2TlvpNp+7x+24Iy$U0Fi(ii zbzXI#sH~3AOic3Qq2vncUC$7^j#Hf`ZTGWqf2XCqEd<}-G?h&#WkFERl7q_17}4&a zuQ~Zo zS6z9Lb|v-UY{jz}f;9J8-=YvoyHkb9Gd9n-wu~iA_}NleyUs-E8SKxBVM$(p&$`c# z#wa1CF7X#hy|jrmPz89Wu0B+TMB3PFZRW9&GpTK-e{bP_DB(pM=t|altC;?qC|(S) zT}$`N(q+}?n(o2v5Q#uTep{Pz+$B@}o#){h*4-PNJ#tKI3ua;#qF$$S7~I9A;1p&S zyinQomFu%{W_CnUZ+f_-nV5KzEy&eM);$6aP$rp!aX!`Rjt%z;Xp%n2V2pk~_}Q0v zy0cw8OTLKvRP2m^iQPTQHH#;FuiFV{Uo_o+lCW4}F0`P27crrKcs}mO3d)+dPeac0 z*FiE?ftUW}y?W^D0H_1Lqd-PgL*(A_P~!!m3-VOEaY{@c_b{1n6Wph~x;%-yvbPjy zOG>5N$L$vjr_cdR8?9UD#c|Huj$R3C{^6vg&&t<{Vi%1S`B}{8dv3PHIM^hc|85=` zKsD+(?7Xqox6Piv_2Neeb?q2%jZiy=n0xW}7Ob4rpXsd0TE4NowP(Q$=6Nig8qBJfYtkq8>{-jmrjrZm zqEJ3^;kGNW9gPG1w`NJa@M*nsb~LW$Hf!Ha+q^&YE%Dmqc5hnF##ea~Fm3Oi?VHsT z!*sY+Wz+Cj3^mUw)exL?b9G#QkZH2fouEkaO|wWn-01%2!mQYB?RigJZQRiiCAIf< zPByWh;^}bDR{!ilwh+wY7ZJ5vB?`iZZ8)2-qhP!2k&{J*FguUn5 zi{0ZZ`W@9Vb+{aCK^ERuVDM{ZX7d+>99B_|K1~ICOLI0}WelQt=Qu4Ib0t&M?9dr2 zd6N8JOH<}mYCIK*`ksZ?>FeJ^A`26x)gy&xiq!3AW|GSG#y&iw$#i-BN@`s-F8plb zLR@^GrLCI@N0Nx1^{Z>SmYycEro7IYVPoZ&n*GA28z>peONq3cf2pjl>Ps^M%S{J` z0{G#ecgR$pgt_F79l^!70w~XmLU_-vi+B+W*~-~k=kGPoi5lB34bPS_Du&&U$;epg zof8|xU?Q?hEtS^?&IYFU?pJwq_zMhh7|doN2l8K92UaKJm)cE3xIQS~IZtHc6I#{( zC7Y{b_j9~%`tq83$wW*U<3XE1ZrbJ73(K($NfeP(ap{e=L#muzU7_#sDI>lQ??9># zn*Y##4cqxr@u-?`Q)Y3X<-wLh4v9HkWQg{VH(|4uO|aF|w8#7iaYKGK2?joD>>PcD z3A9;}uM}?{w2!FC19zS6aO4<3uNq>RSB*-1c(<>uz5?4cL8nry5BrKLI8 zR4JM7jC+~jDh<4z#6f0y`x;nP6Z+7*+OF<&Nb{E!P6RYW7%;fv;ZtE6#Uk^N4hz$5 z)jW60M6EBF6BoVjF1T=rWvQKY4}+B3`%oe;8w4~4?#=VPHQj92$pHSZ%3H)!WGMX3 z{8{m>iz7=?7dI^#%pXhfB{wGh0mOd}60LKH6HA{L?maO|7@p|3`{tmoW=U>2LxM$C>-`9Jm=avZq-7Avtu8Kok_q(Zc;XNM_;{u6YK z9+?zAjJxumZvwD49BC(IPaqtmVRJeK4%3d&t>f!#UKsxt2mRo~FCJPWBgNS(SjMU1 zjXNL>J=8?-=8A?{rfiD&xfTfVke=bJgB{kBEx?VMK0D9clU0}L`!qMzr0!jaJbNbghXP%rw;54 zqjGNjZY$VSscnbf#$Of_5xK(ekl%9-L}kou3JOat?``HHy4IF8Au&V%XQW!-m%1Pm3q+Xj~_oC zz^JOEq{I!Hd!V{g54g90K)58dzR<^c{rcDv5>sR{2J23@2s(P4W?JKNa&kVMO1p6i z%EK`AER1mv`awqx##WJYY)A=#2>h0{HW%@~aYa$yp{LLGDtja;nd84T(>4X7ms+;| zvpUuD=g&h20SO6-8`ky$_yF3?RCA4cfHrZ*CvO#gRp^XM?eHDOl#%fa?-evzfOU~? zf4RI<#ZwK%1cbL8I+Xmr`EX-64`!IDAd>o#EalfP zAu}_xxl9ylsm714uV)J^`dpUN%|@t_$&sH=TEWl#RVpD%N4bzY*XmBUxgJR2WJ}jubiET(Q>Pm-R zj%0AIfa4+qj6wjI6As9zfZhQ*GpGSt9@t%8)?EjFvDeh-yb$z=0a;{~Y4H8yr%#!U zS=U{$o+}UmhdKj*S-O1hr-w^VUZ>0C@urW+eW1O-U4U)Q zotY`ns`i$O*Lo7z6-jOP9FIn-=%caCNIc0oUFPg(cq4S_Mpl7fO9g0Eoy%?9eQ&OT zNe;O6TmwzuAqQr|#Uv%c(E)2p4I0X<*clia>gwt?lfi!c>Q#ox29URK>Z}XzB>ys1 zrKULUt}BAq9G0gWF-SUC2?JI{DifH_!OZdsJjbFj&y?~Jh$s&w%Bj|M#@Ki!=3A!_ z&H?jHl|c=Y^Yiokj=N7HcnhMVHDDcESy`!e3$zKlvg1XHu4E*T8P4uIB}hk_aywtU ze!V;wni$)`BDiUT9Q{a_Yc}KrVmPDgC#lP9`pqzPwsm?V5cwa{ ziM(bO@hLA}ytuLP;dCUlBOg5%U;_*s(9mFplnB=^URKqJDNw_sF?7wpQSn)HMCTm4 z5Sd%EN7bn{x1}>y-!gizuJ5ypKlK~?YQ=va8N4r1Po%n6xZim7jHGa-{IWEXG{f+=vp^9u^d@!tbV1zQ!^ zsdnH3Etr+rPg!SJ>}}(k9sC1eKd_pR7H1OZeO(PUeko`lfG=~Ji3@~=HQ-<)>}GH8 zX{v!y6l~tB;4R3>c>pGjwkcXT_Pck-_hwru%*>WxrhWDImki}d3vXayVS%Ow8rOXA zj+&KhbixQY9IaNs5=+W7Npcy1xYMq2Exw%c;bmc6u&_|rN5p7fBqOs0yFetD#Z53N zLibhqf<$B3Z2%J7TItPaN3_nlnU2O59YJb+Hco{+gWR!fgEp|*`j??MP%zQOrKOk5 z5mL(O1(PA;otpj%rOKV{|nM+7U=2T-*;FU zs%B=Rbq#_ZNe@T#t+yZj9<(`D-TH0l?4`JT8I0sk;L+}r2`5JGwnajWKJ+ZwPb3i&W2 z)3c>Hi5&WlH3Il78*8AeWvKDh6Il@+`J>1j-4B;OGe^)r7B;?MACfghrJ;DzDcb2H(y+6nUBYMH^hrR(a9jEwAAa-nI3 zer06^szPt>;Z(&e@TDdv`J7{LC$IY?a?r~yf7I(~?Wus{ys3!dRtW;b!VRNUt0v71 zu;4(u+vaS?985XjE-Ya6g6BThy{M>&Z>>^+K7duPF_G(>md-sgaBxw+P6U0`<>&Bc z;X~5{f?;lvHBB}pJ>9hB(8vYm_yK`l^ubY*yKmlun<1Q+HHzD;Eokh#ac zw42sHgkgeN^T>Gi!}U_LBMlyIh!D zbjx&dise@EXFnU$dG_r8uS5P*7VlzgN0MMTt*yJcKP&O=YvIhsmR?%OO7FRSYiof5 zq+|MOo|%9=7vRYG=oPf|Rt!$|xNCz=EvGu^57x9}bWxpj5&Pf5>(a5Dbt59otvoX3 zM#)+ofuP-5+}OTR@Zoa=nAnh~^qrKmoA(#zL|Z@Ee?>f02pfMLZ?y1kl>R5r2|Yvz z?ce`XX+Y?ZV_L+I#%HD~Pex@up=v-%UKs(7X z1$u$V8{vzA5$s6xKDZ$-WK89tcQeRx+N$;rtni@6YM zLZSdq>uh~6D>N!Gx;7tn8}@@Bg|*v2Ydxs$V1c6W67A7AOtDwp`3lIwr8wc!qi)Ic z7ae!adtGvKPI*k!G4Wp%@}#nn7|wP#o=|uC-sAn5+Wg_~Y_n+Lj)BJzFhS>jZf>VsCafE%05Cg|7%n(fIIEq&vV9$RItX-e zeIbiIF(Adz_Zlh3!0OydaK(?j{eb&r-0J88=TBdP`OmSeQLh+~m6X=@_ajuphkTL6 z1AB9GrjfoYd8p}$65-c8&LnE~9UF6VHy<`4Q|Lr4COlhN>~cz>EyhWn$H&vl-{tzW zPsBT0x?d|GK-t>!rggriavl0BnVDC>L^%MxI1s-V?!O}*EnF!p*_h*+jU06e7 z1Yl-b9eBuy_|}_2Ln{WKwzakRA3gYjP;6Wr$hr*>(4cGIors!>Mf<~XW*%R#gMmBF z0uVA&>GroYZ09?qMV}NJ50bV0>h6cwAHdj|o*iO2Idb%X2acDRi;rWh#NZUJH3jXJeLj)-qmIaWc^s zZ0`ImRsCP#D;NTs1Tg*jY~X}vRLrc9;|GudfD23>G6_=b2d2QkVc^Dhw6`mu>x?<< z?d>^v=5dI4!AvZW{iFgQPLDRrz?-S?&W?XT*pwp(Yn?5Bb`ahdb|)->jx{Q(vhnT4 zNC5%Blzp=u@I(~!{u}ZZE za_Hm$5p;HcI;hyitWyoWRyCR8DU?um@H)pzR=s5^{v*=3KmwFnptby@`DYdu7N(~3 z7_P7zw!>2czI^#|iC)3HO_I}OpfD#jl^!I2ycJAO5hgz|c^^5f_tN!&h>XCEN4|6C z(F!D94>c#;i8XKe3g!mY<)=UX;6xxG9{VkqPek9!TAc2p%p|dH^E6bhY*_47ViH>eZ_P^AVw;@{RTN?-&vxaJOBcHcC!UCp>fJdDi#hOslz0 z=*2JHUxj8y3kz$_tI(bo0J61sTr14;_mJhQs@}W0HlOu7weWtYW#`f_!tAy>vWJ!F zrs>UQ1cJzM1sZM--5)b_5JbX#6_9_hE|vfIsO`?`TrG-{dS zJn^^zB|oFj!Qj||5M!b)FTmiR7QV$VrtBRiDx0v=Ujm>ZJUkp5ui#NN&?A_xYvXD8 zM83RY=`>AjmFtDj^CZVszWRRTb0xmKn%b%gO^*4HX`#xyo$mSZV^U(GLYWgE&G`P# z8YFWtmIZ88(ko_;LxGr%LeaU-gU$?IFf%cH8g1x8foBImUIn+le~mWuPdI@5OM6}% z`WK)ZG!!1TlbV|wpjwQ?ls>xgJR!DtbYX##oP1}0t!XRV0{gHFSO*7y32r0J4PgD2 zNYN$=uXMGP;@Ehh{DO4WPr9O|GDc&>ACn-eTex4H;>;aVFk5<0RTkp8vEK44&rar5 z*(}O{oJ57+aiw5BnS5>{YKJFHheZ+4kG1tCpgL&px!^987$^9s-~M+o<7)$FbQEYy zK)PZd{PF27DZRo|C8b)%fUjQxyeSYUaqGez>(pED;Dk^CBsy=%X>Ywv8X9fT zJ59(z;Aw|HAzX=tj7>~1OBgiM{rmap>FG}X(d2P{O5ZC=#MTXO>Gn6A&QjWzWXl@sNO1pQ%vUi)E%SP$M4?^7lf!Ne% zm+VS5Z}$)4isT(5YcC1(NRXCTpN%B3iW?eD$yX=n&VEmS^PmGk%0!*KMZFt{a5pRC z-X2NET|ILJzhO^v9E^4#x`_HvnHU--bH_Qa^X^9%igiB3qcL&KCSi$o?`DbhB0f#y zx^7uVg?`F#m9ND5f=4BU)WJM6^*3({TwGinCO(|I4ydMwTmxtUdV2ctP;W{efKP?a zha2aR1MA(p5(d01!Si6hfrm&yL;$-8cyhM;hR~gy!6Mf(@-SASmtjkKkt|xd{2L1F zwY4=dFA8=Hsq1He^R)sF(8e+3um;89GOQ$UAa(Tj!!moqqg@_j*@+*s#KXCJ{M{#+ z)cn%}%%|LUD~$xV2x5v$F5B(TveveVxn-bRlqrkD83_V?uJNlMpJgqrZP_QM1rkF{ ztQtZl_^iQNamSJH(X-tet?}`Uo;vjUoJIrLwLSI+b1{>#;YPcQ-JPAekZqv&aM+m$ zgl7oA1D>W%(?Ae_Uab2L+vY>-z=p`Dt3YiKSfdS?5$r;86w)RpX`?qXq55>3+M;!Z zzPDSYOf@Yn8Oh0yt;BaGrLkxoy`IqA{It>^3R~EYzlC-S7s|Hw&d$vdck0EyJRi{@ zQHd4O7g{0mVKC`WglTHIv8K=pqXdP0)FpVC&{4yAPp93?0*M%p4YoR{c>4LZVf$SM zOF~0JdfVICUhWna*~~2t!YXtnzILtR6HUj>aeJB76T%{{#I4uo0ur7T+Uw{{z}$r* z`=&aq%`$I=lMsGZ(ud$WMc9m&ID8Z>gwyA-TeG~c+3_&fJ9GM_q-6)ByK_) z&?Z8o4WR%G=eq@M2}r1(baZqes$tMuWpMBFVO9WvjR>=0)ny5R&#ro+f(W}I__%DlN zqzLYWy+uBLcJCr}$R+C9#G6iPFsCc1 z7iZgInW_L%4h$S@F7!ph1bxY)`CcH?L1kIOjb9v(CU$g+)+2Ysu?>0%NJ#?|6K_Gi z1eMkI@Ar0g;UOJh6@!8cDyop6pcgM*6hjrbDk$+adyPxR==Z9PgPZ$5_V7+vTU!%R@j_b$ zS#3942G|ud6!yV={(C6HL|6CHxpT}=i4Pqt+TfRes2pu?f1yfw7lIcpZRLkP_f}@V zs24~p|G>bhv9a_Y}GKlO#CB` z1X0@#jHR0w?s#bgK|%N|Ml?7yRKRIJKQ*-;iU+6$yQt#h<3l_>G{`1R1+ogC<^eDI z{rh)lU-@nX-%d!$eFHNOV;&j#*+?HlKtx0&CMLFU__PRYiKo`G&-b|hg{o@F|6}ew zz_IT8{_#^9Dj{T*L?uZ^h|E$-BsD+!^DjFMGC$u30p%FOnE zozZn)&;4BY@jSoZas2*Hd7Vl|A5~q_5E)+=H{%+T-3Lg<%F+@all5-e3i!b2VUmKJGJmub-m6c1c9(a2 z>t2pnBM>C?EjkU5-QbG>hgUZ@#9;^Nxso38OfA_=gj;v1r#hwLjt)}pc6xMp6Tw== zdftvaTF@~%Gr3Mnl}Th%?P4$)`fd& zWkm@6B?(lM)y5L3o{@}EKMU3>yD`c4`jgc#dPkCdi1uz+PyXym6fudaRDN;ON$UM- zu}6Df%zVn9rTp34AVB`i^Xr1$^`0TNkJOurYM832i5^9Q%cC-$>a2IcO28d~uyBO9 zYz>Dcdoh~t&+9zw$?wSLRlxLK{fE49|ACNp`?qJ!ihQS;3>x`Gb0tf1&F5JTO6O`u zrR&Vy7Yv;(`=&%EVZCJHVM1_cK0mr?%r|v&k$4IjCE?eeU7D9#x;%c0U11>{jT!&N z^&j4n5o$KSRLT8fDL(@~Tl-wQCO|n$(5K*Eds&>0D=&sg{`U`PecU?TxAt)U^p}{$ znSh?j>hTV`)&_!Kbx()6lIfbKCpL33c>T9c>So1un` z{R3YYF5PW?%wlFyee3X!9X^;P4vC40@$=h!NmK!44wwjiJnhY6k;l2{7Z*l6ZzFBv zg<%Ei@a%`$>go}Arfux(&@buayV#@twUPHl^j}`aHV6cT-~y&Ahxw6t1{@eAa8tK( z_vtqu8sn_4=S+RvB2#{B1cP4m{#V|WLfG^hAGm2US3TEfh!|-sf zd-4cw3WjXSKC4%7)N%3=WclgmC0VMsDAdgQt#g4tRoWkjcQ#&JG9~cu`Vv z@;Cx*#>f2w1M3RxSd>+GcN{Cz{g8j%s;}Ue;?EYZbsO}y-!@?4=1|`=UBKMmvcsHU zXHxz^B=Mkf=g7$Fy{xL-ZBGq?*OF20Z*GuUn5rI`ZJ=F18Pfey*e|cVFs@rtzj&~3 zY@_$B`%$fChkp$P_OFp!8jEpXiqRqW-dphb`qL(nd9q(DHjE{#8lG)6V-3M%6han) z_a{muM&Hpv9g6rrT^E;G9E!r_S7^ot2Xj1gRoIuJwWu;=+n7N7e3ImyI6 zZ;QC6Q=At>nwp!f8qB#Tv+`FjyT9R*qE75QdE5Wh};~UCT&Rf!%)5F zRCu)3&CGbe-Jz(q7@;4o_eyTd8`~J=!~TAys2qku-RT$ z7Stkca=ki1X_CpZuI{lxGMT%6jrJy{f!hkLdQx^#)=czqd*mLiO*$fYrpNk_pQ*aV zXS}|c*a|m+l#~>V<3K4X)~{ziXc2C-?&XX0D6xeZ=}H^?N%PU?l9H~0)WT4?U3i+% zqn>ZTcneq1$z69_URnEIyOvJ68r;F>ynK1EoAE$|#k(7u7rF}ZYG-F%ZXUZlKYa-X zONac_RBP~L3JU(}+?J-MU}x@RWeKxCdHmR*i#R32X*PvUD~e6W-`NCjH!CV1F3ooP zk?qMnC(cKHP;S%xbTP}r3mZ>7ASzuC;p{XpJkaF1I36`TJX};%1RDY-{v>I!_>TEE zw*;j3ga(GuT#24&=AC(*XgY1%`eAPP?*02N@K9jpaK$@;Np$R9ZGw`t`>dU+>Suh$ zk)VapWFr0v0g*E0VA(KYM7d9CMVxW~L4$usWJFwkzOJbRq$L>7{Q?8??fUMbV?@u; zq{tDJ`10k{WCPK2ei{S3B61J1vh1OY27=6deY1ZFj)bRbPZQUna{zJy6bbxM@^gWi zoeG2A3P9@z>6Co#K%W;Ky`%0>Z}4naM-V$xS9vSn^?su_RGEUA>>Xm>EYX2cZN;Kj zTsjlB5{5dcXNKI{DV%hd&OP>=&3q!ekj(p{%CngHE-@n0+{5Mh74{>OtDbnyD053` zp1a0UqM5)v@Kp4uV+G}mwUT$t|94|;;VmW^IYUE3kSUPgOR&LW^wNAMF2H^J{1yA! z+tW;IIpZP{qf}3~t}-(>*93vr{zMQ~P8cW$A4f!JSz7MTb6Q$-50ZN#wl1GpJ*TK9 zN$`4H;X3(yi_s;~Om_sMgL2&tws&^)TdyL-#!Qdve%z<)eMCSfeu;(u-MOm;LMDfF zYKiklzXW74D<#+kzmbUDwI{d&!2{5nB`7{%prtjivXc5K<+s7-UA}MS07ux`UTPeX zF3@ws{T`ov8598K@<9X8RB(FbnHY@O=J?3O7@Rmx#3cy*`2|MIU~>vuYHG1Y%C5yzy>HL-NC$(cSIjkYHdONFy21`SYVZ6j=KL1}hE2cCPw0^6rZPto zy(aup6+e7p9`ciJ|0U9IE4?>R`6E&3i#UOK@Iy+LdxE{1nwq9&L+__pMMXu81o}IX zzS8Qy%5%})2HtUy;hdlmyq>w4gZ1TCF!DYZm888~%rTn z%Xsok%~>&IuM?F!9l-72+8KJ%Z~7?#pp+e_4D z6W(KaHrQkAY$|I@b2EaP#Bn@82Z7@9K@-^rY!Xg2LHNxHObTO5U?@iE)g!6>rstN%SkA9K)N|D@ zYO&v~wnlRQeYSfnHSUjUvI&RmW@`2=p4YCrQzJX7@lbw2_`<#GKUI?6Xg=a}7m$gm z0f&Xr3Wq$hHJEIU1zeUopt@_<8Ol+$x(lSM78yT?A(kme1~@!~T?5Dodajdm7jJAt z#7TyZgLE$2RVGA9dEocWAez+WB)ipI?gY50EOO}+B zB-xc`sC!oZcM*xEcE9q~L5T)CE ze+!4XOgRie4Jq1Ts8o+0vC`Ay+h4i{gi29o0@)k z;_wsl{x5~OH{a$Io3ip$wYDB%b(3-*Obr?2_~taBWGx)sVP#Q+TsNlKtk@=Mm%s<< zepOA22a}fe%U0h@tq_z4U4t@<6W~nGrzd(Ci|H9C8S%f?Od6>}r%d!YpQYVNO*dg_ z70ExvX?y8X1!~*-_jl!Xk)Vu8@StG)si>&Hh{JZKoo*nDgh#hpgmz4gwDDQjs3v8? zWnNd8Rp|7&wibBRN9a->KHP>Rq^=L1?nw@~Z#6YF@hsqcL2v}vh$cmnZ#6Sh!hJT= z?cpuIkjeZUfTFPpFZvx|m5F$#H?(--t4C+TB|{L)fe)ppKa266{C* z$Yu=DYo`r&yCN`o>DkN}ZFMIMU2d7hq@CW_whvw-z%pAIIMiJw1} z#j*maz;TO;iBa{j{~lh!x4PahJaO{@lFu0V^el{xPf%>Wc?@ZEC?d$e6V^OVJ-R${ zM@hOmB$5X1Cd}?tRaG%kG$t}q)S)rGb&OVLe-E)v{=eIt$qqH&*&e~j2I*>lB_|>| zmsFI0s-)rxTGPetyRBRRDz+gu0SU+Th+NR8>IvFnG(0FZ+-14L*BQM`F}Ac`X}8Aw1gc!fq6pl zBWaZWLa@2h>Y)_x|4%3fe@G1@IR@i}%c9;?dV46-)_Qovi81Y)5!oe~c`A@9=0o?1 zU;Tnb=EO3}w8cxmYMCQnc?wAj5sqGvkan9Pt6s@qgW`*N)?nq`CQPhzU-8ra+c^FP z2_QApyp@kmJ2vz83wvqR{{EJL&G_DE&$3?DSx)x!iCa~VHORN^mHPePD9IKkOC+*> z{!&_Vs9ajJH0-6i@Mf9gr?(5*dvAPjUDtXw(@GzkNlsQ%r6OPUNVYHAT_uTZn4azT zPq0(;94`@KXiW~_OF49|&Qa~-C+@g`H(t{-Gl=_N;&=9AtCW_#c&5nks;w5GtOa>A^aEz zf^pl@^vVBy+9?lq{{ zG$Q23#>Wv`3SeG(wl}uD{d^s}Wz&lig#BU{37fZSP>$TLrzt7!3zH2!A0PZG-D+JK zCA|c%<~hxq-!EOh{4^;^pD{T(86!{Ma>gXorMg0Grk0kLLnE7iPIMZtCae1Rk$PmQ zQ77)%2_wvgQ1hU_edzZLiW6>bZV2R*C`hC@)Sn2`Mk;ofHWIa^7N;-Fa6){fk$eWd za}t$BX#jJ-VI;}|A}r$VY-}1cO@n8J&kF%MN9W&E0#z8WIKR=AOWcMb>yiM%$S9rd zRo=CoDd=X+G|`uve$ln)(3%mSJ)szZRS^*S@7I2LO4bSqX>d4SWK@us#|6+>^7t{w zlr0Xp4$e5Kxk156K}}?7UjU6JX1nt9+XcbK_R*#U+7R;{m1jf~>O4m#WqClh46 zc?@Akxv1O4zSO&E*Gsc0bNGM@z?kmv3E7U^_f!LX#b3L-G06xmo?vQ*I_UR9H+CxE zb8x2x{Lx^gl~pfC&~OA-xV`Q<;st<(RR^Co`W~(7>00RRjBP3qvEK@j9qD01{-_}Q`_vhkpgS}MrlxyoD44Dz zxO|rn#f@m=>@i)0AHK3|nS#ppJtI)tYj26()yrfEV3*JCJ(Ur`At69&`}J)(2kk(z8l;Eo+BrIs;Bl_fV&N0Q&E}!EmV*T##fh$E6x6Ty zQB^vO%cP~T(P#BKNtcQ8)1_xOE+HZH0uTH~$QvNH480wa^z0dk znaegdqR7-acdi-DDSeMyQ}(6UpvPL7)XOXmi8pjJY{JJ3cd0-Beyi&fGkCcITPZtB$nYTeyg(Z@9nz zshwlo3{yI8!xF|T%hqIqm+F`9;oUxV_V%n&r-n+7QpWz+B8()qmG_Jw8Qa8oRI@G2 zc;)K}l){}O{tyxjLduh;$9=C~`VZ{fehB{s4MyhC<%^U+n2s3zRYIt-55A+7d12)r zhYu4ei)xymbuG$whu81ympp0buVm8TEo?t(U%LyrKcAGcY+@1fxpgBTo;&FKMU*E>eK-c`|6%c+> z{LdxYorYrdYEr_4<0bv=PJVQ;X1Z>ym2uT|&icQ94rS2monW;{Si0ySQ~uGmUAsbC zKXqm9D}a<4#&qGY5gSW>M{x10x(xX{n|&XN+Hm=f=kowQ%Oo?FL(Y|7Ht|}lnvr+E zE@bzoa_4>%`OVnKwuY@O+IV6wt0O~P=hS1_QTK$|Na?4hM9LF>zXM~=2TWi=%Z2ax z@25ZCkJZSpZ`hX7pGQmS9~DKEmA$6Uy?@`n2av1|*{rTB#JFpW_Jn($HYt zn0AFmRYgSr1Yt-B{dIldn?EXW_95nV7i|T2pW?S~8^1AMC+(HOLL>=aL>@ks+E&bu z_<#o@)>hExo0up+%K0Z~G;3B?8+!hW7vt2qQ=UDeq@d{KYq~FN-ajw^)(3no{_#sS z(HhkU6LVt;)VIL8{;Fm*7Yz(JNJpnW)AdJ_8U>&^1ON;o=5ZsEV6)6LY;6VE4Uq}x zLj!Ro4aMYaVQ~LZAt5R0ef8=W37=LZSJc(lzwpO~FBIs% znz3jTN*dH)`Yi>NfS!8>LGWNAp$= z1%4-JGTy!W@vCqtACv}gT#T7YO1B}i!^Ui`}1zlXJ0anDkOsr8^K zk0bM?Ux!?KUQ6v=hT-xcq~a@_ADbTSgyPSw?Q3&Oi_$~UOGqD%D`Sy#5t?&G_y$B1 z$}vyNCBR1`LlxHpXZt&_o=>Ha9M-W&9! z|G5cDw8#z?v+6&%9NSH(;RAXPjs0b~D8_zqtzW$=M%l#7Og@Fr$S1IlKX^DY%8DY& zW=H5t$%|36GL@BctO;sbS~<{zgAi6PX#DmqMYKr~T_QR)^bq%k#SvGDjF1^)+<2Hs zH*u?PiCDL89dcM_AcPI$<907klm-rtJsjKeL@$(5##OUnoPZ%WSQpKJ>Jp6$V)nQg z{F`Lav7jzrHIUG;RgcX#>Jwe5=`|t%erG5P{J17cG8i^r?yS(*kxauY}p?e6N@EQ8n;6+Jy(B2iCoJD4#v&ieX6fSni_9lPG& zgE|-Ih0Klo{Cs6D!#N^qBS~wnn}-z>!+m`-fUqdJ&u@tUcJuEL*cs^-5_v;(pVWZ8 zwd+HeF*br&w@So~Txo?&5bAy}Ez=fWhpUUcT7Q zofnkJlFKC!ADo;_;w~g5ztz_}pr!6N)>c&=LoW{I?U9r_sl^3+D(~C+Bq4#M(??SY zQWf_H(XLQHBI^);&Z3I{>%#13 zMV0>-YLb21h)Ai6r+NG@okF|Rzx8B$2!H$f>ghRyV;q}@{z6wgjOGDU*nQh&$S}0h zym^TO5aG`}Xr5DeHz{hy$3>Ij+ib)0X^ox9nJJQyBGTSPb+$i_x`}Sx$|NZy9Zm6( znoDLp)|%hCm!viD{cf*qXW9~Uyy->L2UU>-vx34ajBV2ug%+14d4qndP>v!ve(zu3 zDagZ_`KO~Kv~Qk(!D#vMpYfC&*WaC($D3=f?kGPDeb9q`tA=sqJGn%UkJN~)zZvIf zcPNsPjc}L`V8-~Dw{6l}e(YG%VJ0@K)*Yi@Ug^VF9P(q%FGG1|qxEM=zq$Q>Y{)Hf z|Ie3PzdMhT5w1rrcKe(1yw+qUp0mw-IuehzOTK|l#z#gUpChtHX-=$b#+;v=n21vi zN-Eqb@vO_ap9I2*zEr;W@q}BUPvfVW>kA$Gg+93RP$dF9SR)*A~Lu>h4 zee}a2f10vIsx!(=b93`9+LJ(N4U_`$4X~$yPc}E7!(6J-)A#**I+_ohwAZh%oskC` z@Z!Y*aL{v8mQy#zENVZ0MvL}D)l@;@1Kd;3Qc`@Qqt~MAONoy5(&gde`Z+Q2WF@Q* zX~>`07%y;cxs}c`o;DP7zwZ|sI@AXgty@e29koi*-_Xv%i6c*O$=;r1u7dyzT>#(( zjMQ;rQ2+`sdfY7O05SdtRe2N=k_q)CY26#rqSDg^L`1@9zyqu_P7p0(*2LPQbK2VL zR)Cs%qoR<|W@nfA znvauo)clJl6~6tJ4qX8ptAia-F356hE^%7Ek_n>z=ZKY1{~p!T-Nmp}aydKBO|dU9 zIYrGwY~1ozKPyI<#%h=YU!$2C;j{ZorogyJ1?=ZvsOV-F%U&( zb~%3uKm5GPfWPyoY+D1P?>VYhuk|j)=HxV0nC5<9dzftf_4WD4hNh+@gEA@c_gyYtD{Yps>JdhBgNWArx3KcNV>Mb#?~HK`huL`&-8f~gyP4%yeK4-Oo ziV8;BajbOVBTjsp&@|t9=6@*A?W@Jy1?WOg4OT)_U!f&N<4Q z1^GOp)R5(k)G~1{E}$V+7(gBbaTcex| zz6e$~elUEo5$JxlUCj3m){mEgSwxChM`mQ$9zR}6^7&y3qBi^W-%IM%N6MW4y>#A} zHF*cw1B_*$$N*koa>AcUJoCSfr_aB#nY8kr#XUW_=&WgPpw_AMvU3Or#5wJAwwGV* z+js9&!9E&_5D!kDClCw9$G`ALTZQ#Z0b=?S?@v6>%$z7;Bo5{kyng+)u1=$GSbqF_ z0tu6F^jWD0UaCUG_1Je{Km9X?U2=6Xm-Ed{V#+Ibl^4TKPsxm&oI`)BXBU6C3#cZA ztQh<8g@vDgEr)KCzRAt#N2dWHG4EtKPxBvq-Vu}hc@xX}%bvSymBRq97#i^9=Hy+_QvGU)h zczTh4V*&PBjZIGO=j9ERj{}|tm2i&VJo9zLv^2hUwpCD20Ik{o5EJNsFK70eXS|XA zct%;dh<8~WrMj|ekl>ZkTX>@46!*3Z!rl5U#f!ACp(Ctn-@$`Zpr|l#gE%u5QH+vW z0R9ig84@N4m3nZl!Mh5OeLXuY5-5K-$vvU0iHK;zx>R-Fbnw#8pYx`l{aRliFJ>Gh zr>?C%hs1s;Ya2^TIRykhH8+dx*l}2-PCp!^Hg1+;-ZPq-P+P0~>zSEMl|V2!=ek;A zVVe1f7f93MIq8F%>q7!+ZvvIU_6dLgojwnxJzHyQgBK*F5pD?C7$k&aihnFF;RyHq zQ{>hOVtjE>k*&hyjE99h+HKggOwW)XFa9rvmdF39^(wB%O(9fdPv!NSZh7DE+M8iN z<$B`FuWePX_4Hu{dvRo>>gJX5;vmk5`mc_Y3hBAoSp+I4u~kET6Q7;P(W8ez1DqOl z(trBWpUfZ^{tNgoBqAbyNYQb|+jcVW0b}L3n7H^BH6$SG6nZ?;#ez5^>Dx>K91;>b zeM0FRK_qQqe_8Qqy4cfIa8ZXwMMYs>I#B)%ebPO$k4P?!SH_H3{PIL~Z;4ZOaZ8!+ zCj&>aqc;v4zgfAg8I=c4I9-1D5g!}YPRh&k(#aSeh`9ahVMVnV(o(a<9nH3=Is9E) zf<-k^qL(^=1-Org$?fuU-4yEg@E_5AQlb05NB4t%rIE0Gr;&HKx!}e%WXym4y0IFw zI~LR6{2z3^0b4fo)`2_KgKS|kLF52*?d~&=Z^sv!Zp9ADze_;qJHOwLWf>AyC{tN^ z<$G%S{3Fr~FB@O@g*FCnlFU_a=v54@mxPM>+v*y^Pj3lYTI3vEmR0PI@^^e6ve(a@ z4V8@K7CC*os)=pTUOwGWxF`%v?np@zM-Ln}xVfPbo|v%H)^0(zfSC&0 z@yE}fV~1v2ZLJ{E7a%oWwg~*~z;nOtAC&ds+|&C%q8v5$928zO6mPBi`t?~>99G}t zy-=O8$iqQ0Cb0MNsyC^%5$MVbraS!Qe~ICyMQ{4$ro2EgnJq6LpQF9KMsD6`H>bzQ zEFFz&U-{gk=|4IWAWCMHa+8ZXVaT+5B=fuNx)rd2(92xdT810twD|I&kA6bfW3mJY zQ8W9>4YHE8tMB+*qw3Xc>dsom z>tAubjU7bD=`sws(5FVfHn zUl-Z&F7X^Aah+|St-apwQI6n!9bedQ zeOY|nN!JQJxyz3%<{T)qtPM0q|JW5dCZMjqKS=efMQc8Z9+0!r?X9vxkTI`Xec>LRf5WvT7# zbmwi*+awn@7Oy!3Cnpa;MzD{Y8-m0VgHc?x>OU?ZlHmK>YdELo;T;^QIq{M2Kfu-5 z{{J1D=0s}%nIbRmEgCEF&#xQ8to%~s&aPnjY z(l#1p@x|EV>+)B(#+X$QzWLyp;#0Y<9=YsJZ;zap%ujfJgT}z<`L0NiK={yJ_ye0A z?D<_pZj;^CP*%o?N5jH0i$l;J3$b%4*gRs>(v(L_P6AT&OV#+D0)RJ%;aWlXRC6e( zDXd_A)lx-4F1!zv!(CeIk~!?IDUMVq*KI_D5BH%Yw!?%gqfS4 z|0gL1%C8eWg5}q20Yut=`lQlxts@8X5$u=;;nae@LjLsWml+u?`K}^E(JP-z_6?c; z8FCbHhdvZIKl7F?_kx2vfq=NpTy{{qbZHdB;loFdFzH}a@(g5?)|-s!?CiuXg2wS@ zDBCw^r~XZ;R%k!K@VDRI{52nlP}KI1R!;3!)|RI!a(*429jP@2f)~Ph8iOrTKp5Ao zBAc5bo##~#`|jxpT`lr*FwM%N zM$l|I0H5^tpEUn7qqz`s2xgy8Xuwk$;#&10(&A4`E@%PN%3b)$^>P5CD9;Jc$6k*nte|-~) zsJ%p1%#XR%6?*$qoCB)s|4$WCNW_@9R-9pRRpqVD?CYXBwE*zH%x2?ivs0Un^4u^A zh?~CNJl}gDG$WP$+ZMKuw{IQE7e7gc_hCY=cH%`5_@OHyRP(< z^c;$ea*t$equ`SC?*G)59bPVMezRsiY}ZGB*(m5N!4>l^AIC-#|+BL3l|Vm=5_mawfM;E+L{`Eph3Xs zK;i)XDH1rDFj?D)QI!jhc8 zek^7{=a#`bF@nvl_E$tE6F28OZLz&y?~5wC`Asj@ULiMT3-s(|@*HO{ajM6YcSa5s z$iG5tc*b!B?9TiSIs1>MCfg;uSeeBm8QX-6tgLwN$xph~6yRQK@_hm;JE#ljL^+7) z|CQwB`&$_4{?xjE_5zhPx(@L1`>~S|Y(fw3C_4I#P$Znq_vvxt#vMzQ`~jO63*PIS z%)5B;NxPdKAfAF23|S2U%%ttmpz`9IuB2EDCY<(Mq!xULKWQWwG8v(IfeNO*`6MM} zKL)gS?{@L>K2J(I$r1EW(iP;@naSb56VzP1yns8NJV`X!P*6|+&Ki3gprwtA>w>Ne zUp`omcr(!93cKz?zpkyNwRgC&EnZJxLec<}Y6p9y$E>OI3kV4m;yz=+(u~V;9X^On z9#`;5_`Mj|WQ>cV{Z@grC!6Z@SnSn*w&HAZKs18u zKLxZR35@S} z@bfE|41>n*>+gpFVOhO$VFgW?3e(#~3lg^gdkmm_dYPV%t-VNR33a^jPqXtc)$}Ay zO8K2%Us*?~b0gIoV73!>eap$g_mGg_yAVYKEceN@i3VC)W#v15S4pqCb9L-iV+um^ z9nb1gqA%g_dWYz|)pWiBhl*P2yttLV248 z`)5f?IbJbQQDlXlTs-urQ#rjoAR%E-OJB4>t(O4SU>d>+O?nUHmgb004+w78Lo zVw01*k&`o1G=~@}XSe-5)}2+i+`cbGNDN&Z%WNoTT#TCbtdc4SjWu8_=^ZN%votR#sRd{*c(!~I-{f6Ifl0pDYhMArSl8hz$^cG7xYNU$$oJ|M103ADMfWl1a_`+7Dp za8#zf`Cl<=ORW5c1h`P zG*Y9kGwWpJ0Iq~=G8dvc{_3AG>zVi!!g??6^QLOj=e9?ijU}+Sazv!cPt_|2)FwYg|OeDn}AdArfRTOaZL1E!d z;RVCPx--7w(hgr7rS#1g)8^(ZX700zrwkvIFrZUr+_nwfOE>K6#DZSfysfyoxDd$3 znb+IZ6;~Bc2KON}h3Wn{a7Kim9emg31+c}n*wrQk2_0IZdY4H zkO@)L@*Vj~sCl4L-FArIgE4AEnts}SDlltWVsGcXoJW!iVL1xeYjq6`tUrN=OJR>} zJ?-yvo!;%$S^{seq~8X0amIEYO4cuyg-q8Y*ye=ilpg7>UiC&zNm97I#sBb{>-um3 z*oEt#JsVy%#}d)@*|Ph1d|@t>B|8mk)Xc~UlsEJ#tSSkm*ZX<|m45!M4Z4<5%3q0g zdb_j-PjE%9`sW{y>M60D(ltzNr-_zD5yDk1_ch6xmd*?G~s&4 z%aP1(Dgd35gOB%t@c8umfqQlCYgMG=zG}6(XXoXZI*;k#z=&4JFW~+;^ntwEzuHzp zdJb6n=9&pNW+h^PI(J<$Z|>t@-f;H!n|_~r1j6-Ood0SWy^Kime8yF$-jO)Y1!b{! zkEDs)o%GxyJ7=2Uwh#1TpblZKhWULh!BK-y^SkleUd?9<<(98O3_Ts7H?^3wr2CmY^{Xia979r)JM$a75?=Pl?Ev?h|3@58XHQJqOld z*Z!!Uu-2=G?k%|&)ulN}c>=#Ew}*R2@x`j~j`3~P)l${|)~hpn=R3X$%Hxcq^lp`@ z5nuaD4Nt4r_iz)V%c7feyYr~?tsY}!ABe1yua0&B-A$KcWND~A!M9Xj?V|tEZQ&WC z(TU?JOZK(*vs^Zw+!IbLXN2v?4K8FTo*|5{sY$c#IUOYTj+0@-HQ;#2x2g7A%Wyr3O`9(tVT&xc8)n^>7a2(wLa zKLsY>C1iS`zeNa1c5bejuF~@RS>$*hE>9YYQnbPFJpxU8v+e!Yy-v(|Uq13T6y(TI zMD)#GRr}f2IN9)-%9Q4A)$U!p0QD~5i~Dzx5{Xcee?&o7AE(-f_}EX_d942yQ6H<# z%?E%r(#l|xyS?p@DxP+2Z7he#GKvDFA}o+nFx(>$1)wE53rPP_X5emY4m;hWPOm6? zEzPJpG9NHKLP#AxB4!0V=qrmRRU%Ouiw|(zZMgOp=y;M;{na%a=^1zK+!^K4pF}2- zbi)S9Z*i=~b zAnu6tRCgLDhZMKZk_uI2}j&PazCP|S;eq1Se7D4K90Mzq`b^K%^&tMqZ8M9XUO)& z#ma@Kst9e_!eMM_sY@i1EbE}^9f;_m>4J&tEO&Eql1h~mk1Uk+)@EksuqE2-f%>tt zT3Rz$)8zfl4xKar;W*!c)aMAYDPOW4S&Y4(J+^qI?Yvj?&#ZQDqJ+KHdrh@CUGJ{+ z{Ty6}_R9K&u*sV0nz);#Z2I!;99J2`d*@qODJ>2ko(??SqAUBJOgBq?=a$v)sW*G> z-|~d#+nFB;5@w0>IQS}m-IjRt@wy?!&L0i0iDjJ?hQpQlj@<`xW`0z$zD>v}BUt#p z@qh4U)UMM?boflXj+_>4z&B}jpZKgLN@GbY2g3P4lbxv_?_{hGOG@JN32Kn=N)}-$ z{N4~8c{|Y2qjb3boS3v&(`TV?b#=m`qKThOu4`S&IgwOYSctt=ZEZlUsy=-R(l&)< zae+Z{G~CncL70fE{QdaY-#&~wvq@}*cW`*=?Olv3Iz&G1TnflvT-j7}+tF;~=H=Cy z*&~Yxp62m_Q=H-+^G--XkW~Sfg*F{C)Gi;Pg9l-&fhsUOz7IrNXvhUqA(n5lkljK# zKQw^29%S{<$xKk4J9ccftO|ry%_Wfef&(_Pgj5C1w(FYxRcGrc2FO0mLM8?54(UH} zTj_G#=Uxt{g~2z2N%PY9=PWGk!gnKiFEGz9&c`5q`u)9ql~2*0An`53a0B7x+r|@F zhj*O!I4v-GBIeSo1995tZC(`f|JO$Vlk%qR`Z~{KbhHB3f=8I zDC1v!s-g~a4H07b2q69V@#C2IAj5!+P(V~vG)9s#dDhz9Q9nMp92rw{s!g5}FW+6t z-KsE)@ z4EbHO5NhN@tqadA;4Xw2;V8f>#AzU;xCb7d?(P=}hI=!-y^n&w)8U24)<~MAIhvf# z1;4M76`=q?=)=RArX(9zd#^(*J9hACAybLNM^s#VYs(qVU<4eV;>sfh^MJyr_s>X6 zWzl|%&jlrj^9?Yc{QR=@tSm(tM_v*Rz6ntpsF>w>l@m!uI7=y5^X>Jcb>=yTwGwB~ zT%9>!x<8?~vSvD=V0P-$OnRtVyl-tz=i;dW@bfTpGU_?K!JCtoRx@E!nfA1>=d!bU zxcb~T*Yh@MnNM9p4Ve{0;}Op#PXGAzYf?DoB}YeN&TWt#Tyk*{Q*gMP^BVjhj;`j9 zNZk#uDTUAvN`{gr4(`^D*}+xxjEv%k4hi_9JI6m(cHp?9 zJndkvO}YIJtT8z{i|^=^dcS2o*|THE4o=Q)%pAg!d9V`_7y32vRvdJ{_l9=6n4Jme z6%sK8&Th>r8MWbVSIaUUe<*R)aB4LkOC302ezu}bm%6SYHX1e zh+@%yYYR&QqVaTrq1M)3nC13P-~l~UQPBrWHvNWc2m%L*cRA_hHYCO&t1H}_{;gtN8eO$11}mOkBuT8 z4|?bC2<*;$Tvh$9dI#Q&P}QVu+qXM7Iz}C|WO0=zV_ujs+Q@FGZeML*8t_1*MP9yo zvkZnHcQ$9Ag0@uMeLL1h3Oo@hhC%N}-)ct;SZgT?{Qbmq;Q-|W)HrRM2b z2!l5-_T(XX5G(gAnuV_Hc?8)O4;*6Rg{ghXl#vIr&LIMslk*qECL|?leAsG&#r@W@ zne4(Do4J#Lab-m@f-!U1WUCDb=VhinZd3kbVv#PM6_>uC6g=eMkzHnh&-k6eCBQk* zw?ZT*A7`NCId+!p(3!&&T6t4Q$R9e-aOLpNn#u^DI|X`O1%*#+cq#qiS|U00DjyGL zL?JnP8^CTjrbtl;^s@Vj1@qq=+k~^}YSsFYjd}HE^#ucHQu?Y?I2il^@bAf7XI{GP z&T?dw5Ig%3M@zg)Xzr$}E9&YdF%_VSVjz8_%m5&X#63N-Z3xl0pdeZgD3ByWU60y7 z7@)WA;$SR8&O&(Ui#qRlv9gvcSOa~q?6~y{g4E$2V?UeQC1|A(PvU52_oJ&zpn9v( zr>0La?znzq)VYnTrvm%~({0KolfvJ3|;BvFbIR0+tVdEpX3s zP~pCJAQ^|P-MYfGCx)-g>Yf6bTE7K7ni$s5YUyAB0^(GKVrI4k&>2K29D)2G!AjcNFtekCGn+TIcYV$0DI6c)$WpjP$YWq+{_Omjy|IhdPt9?WBW zdan_LtBSKu~9JqhE{dOWv(p*hPOqH_dUBIZX7=E_z5eVe?FTbI8PUjW4+j#UaE&p%;Yd z^x2%m2ne*PDTk>|B*Q%BYZS=)1t^#@F*Z=2fh@y!qX2z}_z|?3kkxmxB8R|*9U^hQ zZ!F^Sa{9Jjevo}@rig8BJfsg3PmMuA&;kF@{nXN0iywCJ#f-M7_neU4*)lv|Bg7hX z>E4X-drtfo$Tk4qVMzOW06ney(a4809`$)67hmTt3Qtuyn%=L^H~xX^5Gub)AY2{U zVJYZg?HwJH>-w=)1ji-k0=RdS6D~g%CMFEqzGr!i&a7Fx7J)pf^!9KgOoh0Vv_QcL z?KPL*W3H!Y{iLK?&j+ID74x76P@|6%x0J_4;_fafOLFRM+_caTQW~%BK>KN_>Ybup z^6^vRJ1)G6+vGf1m$~`DB7wj>dh*y2b}CnY>Z@od zUaV7|KFY@+823z?p;+4@o#|>aH{q1jI|ITXjpYwvOvSUy0f8s?%^LEH-hC^f zr|l_9=b6DikRC-}zF)q*yrmj{v++Jl>7_-%znKw#VbZs`A?_(gEs-i!Jvu)){(9D- zoy>|WBMQ8U1>yNq0)LK;23eY`#FAi-^W^emSl2^_S6sP9&E|?Tj)aqOstRGN;saj# z8>KKZ2uY{y$TRb(pB1sBol&(Cw@ibQc=ryy0;SV8o zUh2kQgnc-5_wX8i#>#6{-Me?IW{S-jrz9|aq~<9<^C0R?l9**W$Ja)MNz1GmtrZzM!2QBV_t%X6b*R}<)p$0l!5dv^bq*Lan9(D}CN;lqd3)aYbY zuoxx&iPEW4PfU+dym@S*L*i|UD6Yg%N&eUOrl_4+C~yYTjM~A?G2?s+6I~m#82P@? z$V9q{^-4!k&1>4$QVz9ddAn=kLj>aH9}w^w-Q$-n;cMucK)CqaK~&I64EMuB8LwW! zorzsFM^nz2*HT=23r>6Kw2~4r&%qJ^eY!iil!ejTo`{x3nh$PDAgvb!Ul^_Z@W(^s zlKsvAC=PP@v4sOGY$bx8J=~o>5FWSr{^IaR*m`#VD3^1zbHvKIHdblT;mA-PE##ZH zA&_DH538%Ur5CefVo0jPkat*gOQz<9_z}Oyq}2jfu8^^@Md1q?Yiq|5ddTU6eZ1Sm z?BK06wX+MkXl;<5otW5LbcGyM2tz&?NG%{~#>U3jKaI!}s6@RRtmK*Z6~=wIC`{9` zmLPNEw2$tG+0H@2d8wtSqB+H^X?%QR<4WF*XEf|ELH?pS^ABFhvC0MCIp!z-C6eZ}m-05s$g7 zW>`Ag+iBR?JQrr|>1tnPX7W4A$sRv$Cd6V?hsFw}=y6~P2$jLMLgLnE`b{kmcfrZr zb@>qclkDuXoWYijY3xV?LM&%lnXh(WWyRT<{kphA&4Do3^zaZ)iA{}u84P0$%_=?f zT`a!LqKqiAA=+KBHj~w(@DT+Hk8f4=S)FeGzL60mcw+Mc1a!8jv7zY?!d|sK-m`t2 z+Gc#rNa^9sMGWEv{TM7GBD)ktUSF9IaPfL49}Pvgqto`Q zpS(-0uhq=xzqzP`j+hj5g~J`2V9Yw!Vt=L}76rB~Te3i0z$6NbB4{gAzdKsHX>USKujkSi_vXzJ#}zs_q|p z7%pXARpebW)&FyVhQL%rX&2?xb7kS~WAW<-s;6eD);mYzB8#|Ph&5xcno6xV%#F<3 zh&g`k8BTfrd^-z^%lB)H=w&x<+^9%hhI!GIH|)f^xvy*#!$7$4M?D}x_tpMgb z><5VtQ{)ZPR9ClXc^OEf3I`P}?OUI<7L(NpEoh$+*y$`lJ~V*38b+ zVrn`%gFk+};}JV_2>&SH54ApGBnMs7xL@jdkWNMM=sAtDcbn<9m9Fs;=$2j-JwYkt zk#^{7lxfN*6Brh@Y$)lxEe(@;!lq~8GBXSJY)o%}hdyE4BYp0i(Wxljja2Ffb&Z-J ztK3P)tt}^4jx^sgo-^v|ObSRvG&86uQ=F;9GA$&zV*tS#?{eG-io86Hf~JXIcrUcz zIq>h^KInVtoAXi5**QU9EkVwxz}p-7$46hiVqa@A|MKhfmEz(QHdHM6xXVsXaJ{+1 zS2(zLjp0PkgZ(~tRO%!fm<6p`E%xn|JV9{@;II3S&9feb4k=ztPOZxLe0}7*Iyw-n zQN(i~;}wm}F^*3dZde%@ID_Pn=b~&fK@lFQnw*&#Li1ReYXwMy0Q#u>GC%dI#+Rq9lE`Atjw!X^7or|K>*1_P+B2*9gZ#$5zRQ& z^aN?=XI|U(?eKY~JEtvk!{2`+`>`D~5<<3|>`ziPb4K{~KHM1FgT@Nn5LX7tlh-{7 zUnY1S=>?2-QZqh}6X9ti@y}}=4P4|U8F_W&PMvzFdsScMs-Q808&AGVm-CHxGNMIaI|*cDLw3?_55m*Ps2k{MmOoVM zTEYhoJQkn6wtIITVmY#2zC4{+gj`(Ql9e6svm)a@dHv9v7o>Y8;o0j`@|_2F6L!3- zxozLuNaiK=eB9A2m7i|mt>Dyygg5IZ!mY!-KAt9;1$A0mvvJ_#Z<=lzO&q@vNuqDQ zW0@P&noX^(k-@=&!#9{D_uN|gh-Hs0{A2)kqj-T zq*REs-nZ8_+7~0vV?X#}{0wGRR$WA>Vty}wmJ9Rh!FvDau?F>~J!>VtEBx=@?}$oDJL2BZCx{?p5L6 zsm&)%@H+I}k)05}p;AQE=+ld??^`oiJvlcbl@`v<-v{_@G9kqihB_A)BVOFh%X5Xo z?egUpzP{^TodUejc4v=qLDb~1D|6~|Pes>4T7~tKRx`|VRcDa}(mymN z93ougy1J~gDmVqv?;~WS@+lBA;N*Ufkt9+PshUba%4F`P&b6{C^s*qlu_ANpvl6J( z!%JY~^?Qt^^5Kq9Qo(w@*AH*VITI7EaS!B9#0jJSR;FLxp`#!Uv1wjaxvqN6K5&IjL+5ntEm;!%w+GbILE^P zLn~TYEY~tfcY12O(s~jyoNJ8FX@??xQFe?K(r1iOSde$~nA&|6B;y^-+xu}%{6opp zzX_S(lc~u?ud2xZ){3{g6j+Ee990RC9edPI6EGwAM;$ia{(sui4v|_~%Kx9Xw9vcq zEdRgyz|T|Wgsny6>%Wtor`7sp8$zlFgKgxi3vF)GdTG^6zhBneo1H65Z5YH2y%Rhpy6s)7E5H893Gz%sMhI%-`Rn*aR zKu3|DuXX1Q?aF83wVjvbmcFW$VYH&a;LtjxV9+3-uv2K^TN@vp41@Il)7g~(HF>7t z?5GF`3k5_(1yP715iqDw(JCo8++AGdl1Stdume=BROB|T8oW6YQl%|&$Q`cX2!|A{ z$`vdd4G$Vi zL!S(5)*^`FY{?7A4?$KL;YUtryhDMUB47_#{OowRdiCU`B$tp%hXs$W zNAMc^{MfOzTKxdAFkxqDWz}B(b(S*)W=hENYuPk`^Q*|9|I8ew$_oN}KdYSGnTsf% zI~jQO%JVzw&3b1UaWP6V z)QdXA(Ajz(%Pf060Pp#Qh13527^%X&LiJMSJvKNnUNU_3$@>*u6&2fxPGTOP&o|Zb zf+&M|3(*rT&ejPvWbC2j{NNM}Lecav6)S7iKKaDVLFLc9-;o1X^21!2 z(`;fYBdw|J-I^^(MTp=^M>Go5E*BCPN6n)X{O^&Vs5gF5uI-kFP}AU z*W3EyMM+ti*ei*}Vu7oWBb$ayJ#f=kkeA=Y-+?F*9&Z9Ii2ycGAls@S9!gINsf)`7 zFuaHtgSsD}gAxrVj}wf zeazGUG-9lWH}LOD$&Km!^_^Y_$->4N5DHDfl=dH`D1slTFl2A={+hTwbSFZlkLkcdD! zUt%02$kX!e%eb&Be#X!7AO*#Dt^xa&T~lpd76x zK|ga$u3SnaAGXm}qT^4$q=L{An|APO;=;JJ9LI|nMW-D%!G~(5EWA-LZzJ&}WaxYh znv6+*+Lc3_zwsTkrCGY?o#}NyLOvP^8y)PuSIoB*yEY~jp#KIQ*5Db2C9ipLY?seC z!iKJopM)}#f4>T6z9IucYivC*)}HiL{&N)zxIj+L%bxDHi_IS^OiremHXjzi zKpW98jr&XIYmPZNfgsxzgVfapWGj+S55@jGZ{w@`8{kWdytiRPbd6jU5SgvY5sWo# z=WBo3{?T}@$&!j;2>dD%?%suLPSh?C1Ok>pzqN%~%IBlAMmWhS(0foYRG=+|F$y+O z%vm8BY}5F6Fm!ImFhG&f8%$}%Vq2k1k_ItTTISZ%+#EFfH+sg=x~0%m;-2GEfc)t( z`eMxT!+-w#a3gqFefKH?|AB20R}t||J5^+Z$J$PY2;p%Q!(w5%u9aP-<<(miM#OVM zkFFwX0kaGuyA82BS2c2Vy_c7vk@#RD#eOtUJHBX5(IISzujfq9&I;=5M@L4U`|eh; zC@v`(8X5vVjSKKJFq2|e`tjrZfbM2*)US#( zyj@)utezM>GP1Ind3ovEUjsZZS9ooYmZ{ucVY!mkl2o!AH3FqbhWcFcyCy`ck{D~l zN8ZRN@Cqf=Wvcey3`*|nU5Y6DWRZ8^iC zAX?;`sI_K6K|E(lQXE}Ut43bfKWvn9l7>ABOAeQ9C~GetQoHfbG((*Xi&wgl&q_+2 ztY4;MG(2|s?9tWY=yo7TReW*&fRkAAJ1KGl0g}=p*M~0u`?04h8qA~cc!PAG8j0gp znn^sfZL=6kJv$ryA(XmT)>8td5^(DBPiOQ$|98Xce3U86*Q6Qcw;Ya#q08@^c)(Dz zp?i(tx7aB65A;Vlk5;5CKmD$j>I%be>+0%LM3MU;t&&H|=YZG{5E=`Dp$IzE+>N_;N34EHn`yElx#&P}_iUp%BaEWyfVd$vFV3k2qc#F$bhoKGjNUUZ|4!f2UQZnLle6n{iW)5~+nVFf=T9~n= z^B86*%HV|Y*yAgl$R|$pfL(1!Il5gXL601PA(DK6bNXy$8l3TP>O$xZ`#lN)5sI%Y z&x?X0SmS^e-^PTP_p0JsXiIO{8J%vWaMLgYk{Ar<&(%^=oX2f#&fGN6yPr$T$jj&C zujRU#}6ydFG+kHv#ln)mTZS6g2DK4=H6O8N9dj^(b2*&fCvrq^BVyxc2M+w z5-OX^moI;DxcHsLmfH|oQ)8AZodi9J`AFn+LH_}3oZNbswnprEciPPBP~aOu$x?br zyQR6$e=A@vz?$>ue6>L7#h?9Fp&jOc#==0%*H literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/regfile.dat" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/regfile.dat" new file mode 100644 index 0000000..987bac9 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/regfile.dat" @@ -0,0 +1,32 @@ +0000f000 +0000f001 +0000f002 +0000f003 +0000f004 +0000f005 +0000f006 +0000f007 +0000f008 +0000f009 +0000f00a +0000f00b +0000f00c +0000f00d +0000f00e +0000f00f +0000f010 +0000f011 +0000f012 +0000f013 +0000f014 +0000f015 +0000f016 +0000f017 +0000f018 +0000f019 +0000f01a +0000f01b +0000f01c +0000f01d +0000f01e +0000f01f diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/report.md" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/report.md" new file mode 100644 index 0000000..a57163c --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/report.md" @@ -0,0 +1,228 @@ +## Lab4 多周期CPU + +古宜民 PB17000002 + +2020.6.5 + +### CPU + +数据通路和状态设计 + +数据通路为文档上的逻辑图: + +![](logic.png) + +其中指令寄存器处,接入地址为`pc[10:2]`。 + +时序部分,每个周期需要更新寄存器A,B,MDR,ALUOut,以及PC和Instruction的值。 + +```verilog + always @ (posedge clk) begin + if (rst) begin + pc <= 32'b0; + end + else begin + A <= ReadData1; + B <= ReadData2; + ALUOut <= ALUResult; + mdr <= MemData; + if (PCwe) pc <= newpc; + if (IRWrite) instruction <= MemData; + end + end +endmodule +``` + +数据通路由控制信号通过组合逻辑控制: + +```verilog + always @ (*) begin + if (IorD) mem_addr = ALUOut; else mem_addr = pc; + if (ALUSrcA) ALUIn1 = A; else ALUIn1 = pc; + if (RegDst) WriteRegister = instruction[15:11]; + else WriteRegister = instruction[20:16]; + if (MemtoReg) WriteData = mdr; + else WriteData = ALUOut; + case (ALUSrcB) + 0: ALUIn2 = B; + 1: ALUIn2 = 4; + 2: ALUIn2 = imm; + 3: ALUIn2 = imm << 2; + endcase + case (PCSource) + 0: newpc = ALUResult; + 1: newpc = ALUOut; + 2: newpc = {pc[31:28], instruction[25:0], 2'b0}; + default: newpc = 32'hffffffff; + endcase + end +``` + +控制信号由Control状态机生成,如PPT中给出的状态机: + +为了实现ADDI指令,需要加入一个状态Addi completion,并增加Memory address computation->Addi completion->Instruction fetch的跳转。 + +![](fsm.png) + +```verilog + // control unit FSM + // input: instruction[31:26], output: control signals + wire [5:0]Op = instruction[31:26]; + localparam IF = 0; + localparam ID_RF = 1; + localparam MEM_ADDR_CALC = 2; + localparam MEM_ACCESS_LW = 3; + localparam WB = 4; + localparam MEM_ACCESS_SW = 5; + localparam R_EX = 6; + localparam R_END = 7; + localparam BEQ_END = 8; + localparam J_END = 9; + localparam ADDI_END = 10; + localparam BAD = 11; + localparam OP_ADD = 6'b000000; + localparam OP_ADDI = 6'b001000; + localparam OP_LW = 6'b100011; + localparam OP_SW = 6'b101011; + localparam OP_BEQ = 6'b000100; + localparam OP_J = 6'b000010; + //reg [3:0]phase = IF; + always @ (*) begin + PCWriteCond = 0; + PCWrite = 0; + IorD = 0; + MemRead = 0; + MemWrite = 0; + MemtoReg = 0; + IRWrite = 0; + PCSource = 2'b00; + ALUm = 3'b000; + ALUSrcA = 0; + ALUSrcB = 0; + RegWrite = 0; + RegDst = 0; + case (phase) + IF: begin MemRead = 1; ALUSrcB = 2'b01; IRWrite = 1; PCWrite = 1; end + ID_RF: ALUSrcB = 2'b11; + MEM_ADDR_CALC: begin ALUSrcA = 1; ALUSrcB = 2'b10; end + MEM_ACCESS_LW: begin MemRead = 1; IorD = 1; end + ADDI_END: begin RegWrite = 1; end + WB: begin RegWrite = 1; MemtoReg = 1; end + MEM_ACCESS_SW: begin IorD = 1; MemWrite = 1; end + R_EX: ALUSrcA = 1; + R_END: begin RegDst = 1; RegWrite = 1; end + BEQ_END: begin ALUSrcA = 1; ALUm = 3'b001; PCWriteCond = 1; PCSource = 2'b01; end + J_END: begin PCWrite = 1; PCSource = 2'b10; end + default: ; + endcase + end + always @ (posedge clk or posedge rst) begin + if (rst) begin + phase <= IF; + end + else begin + case(phase) + IF: phase <= ID_RF; + ID_RF: + case(Op) + OP_LW: phase <= MEM_ADDR_CALC; + OP_SW: phase <= MEM_ADDR_CALC; + OP_ADDI: phase <= MEM_ADDR_CALC; + OP_ADD: phase <= R_EX; + OP_BEQ: phase <= BEQ_END; + OP_J: phase <= J_END; + default: phase <= BAD; + endcase + MEM_ADDR_CALC: + case (Op) + OP_LW: phase <= MEM_ACCESS_LW; + OP_SW: phase <= MEM_ACCESS_SW; + OP_ADDI: phase <= ADDI_END; + default: phase <= BAD; + endcase + MEM_ACCESS_LW: phase <= WB; + WB: phase <= IF; + MEM_ACCESS_SW: phase <= IF; + ADDI_END: phase <= IF; + R_EX: phase <= R_END; + R_END: phase <= IF; + BEQ_END: phase <= IF; + J_END: phase <= IF; + default: phase <= BAD; + endcase + end + end +``` + +仿真结果: + +使用助教提供的程序: + +![](pic2.png) + +![](pic1.png) + +全部波形如图,可见每一条指令的执行过程。其中标注出了一部分指令的特征信号。 + +![](pic3.png) + +仿真开始的几条指令,从中可见四个addi均正确得出了值(3,5,1,0)并RegWrite写回了目标寄存器。 + +### DBU + +本实验的DBU通过控制发送给CPU的时钟信号实现调试。其他内容与lab3的DBU几乎相同。 + +仿真结果: +![](pic4.png) + +图为CPU运行2个周期后查看各个信号的值,演示了读取信号和寄存器、内存地址的功能。 + +主要代码: + +```verilog + always @ (posedge clk) begin + if (rst) begin + m_rf_addr <= 0; + dclk <= 0; + led <= 16'b0; + step_real_old <= 0; + end + else begin + if (succ == 1) begin dclk <= !dclk; end + else begin + if (step_real) dclk <= !dclk; + else if (step_real_old) dclk <= !dclk; + end + + step_real_old <= step_real; + + if (inc_real) m_rf_addr <= m_rf_addr + 1; + else if (dec_real) m_rf_addr <= m_rf_addr - 1; + + if (sel == 3'b0) + led <= {7'b0, m_rf_addr}; + else + led <= {PCSource, PCwe, IorD, MemWrite, IRWrite, RegDst, MemtoReg, RegWrite, ALUm, ALUSrcA, ALUSrcB, ALUZero}; + case (sel) + 0: begin + if (m_rf == 1) seg_data <= m_data; else seg_data <= rf_data; + end + 1: seg_data <= pc; + 2: seg_data <= instruction; + 3: seg_data <= mdr; + 4: seg_data <= A; + 5: seg_data <= B; + 6: seg_data <= ALUOut; + default: seg_data <= 32'b0; + endcase + end + end +``` + +### 总结 + +多周期CPU相比单周期稍微复杂了一些,因为仿真时间较长并且信号较多略有“混乱”,比如寄存器堆和内存读结果等在一些周期未被使用,但是仍有变化的输出,这增加了调试的难度。 + +完整代码在http://home.ustc.edu.cn/~guyimin/Legacy/lab4.tar.gz + +sha1:f44bedc8196e0709ce59bfe68047f2e395d46f08 \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/sim.asm" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/sim.asm" new file mode 100644 index 0000000..a2dcc7f --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/sim.asm" @@ -0,0 +1,46 @@ +# 本文档存储器以字编址 +# 本文档存储器以字编址 +# 本文档存储器以字编址 +# 初始PC = 0x00000000 + + j _start # 0 + +.data + .word 0,6,0,8,0x80000000,0x80000100,0x100,5,0,0,0 #编译成机器码时,编译器会在前面多加个0,所以后面lw指令地址会多加4 + +_start: + addi $t0,$0,3 #t0=3 44 + addi $t1,$0,5 #t1=5 48 + addi $t2,$0,1 #t2=1 52 + addi $t3,$0,0 #t3=0 56 + + add $s0,$t1,$t0 #s0=t1+t0=8 测试add指令 60 + lw $s1,12($0) # 64 + beq $s1,$s0,_next1 #正确跳到_next 68 + + j _fail + +_next1: + lw $t0, 16($0) #t0 = 0x80000000 76 + lw $t1, 20($0) #t1 = 0x80000100 80 + + add $s0,$t1,$t0 #s0 = 0x00000100 = 256 84 + lw $s1, 24($0) # 88 + beq $s1,$s0,_next2 #正确跳到_success 92 + + j _fail + +_next2: + add $0, $0, $t2 #$0应该一直为0 100 + beq $0,$t3,_success # 104 + + +_fail: + sw $t3,8($0) #失败通过看存储器地址0x08里值,若为0则测试不通过,最初地址0x08里值为0 108 + j _fail + +_success: + sw $t2,8($0) #全部测试通过,存储器地址0x08里值为1 116 + j _success + + #判断测试通过的条件是最后存储器地址0x08里值为1,说明全部通过测试 \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/test.coe" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/test.coe" new file mode 100644 index 0000000..d2a0fc9 --- /dev/null +++ "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab4/test.coe" @@ -0,0 +1,67 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +0800000b +00000006 +00000000 +00000008 +80000000 +80000100 +00000100 +00000005 +00000000 +00000000 +00000000 +20080003 +20090005 +200a0001 +200b0000 +01288020 +8c11000c +12300001 +0800001b +8c080010 +8c090014 +01288020 +8c110018 +12300001 +0800001b +000a0020 +100b0002 +ac0b0008 +0800001b +ac0a0008 +0800001d + +; j 11 +; 6 +; 0 +; 8 +; 0x80000000 +; 0x80000100 +; 0x100 +; 5 +; 0 +; 0 +; 0 +; addi $8, $0, 3 +; addi $9, $0, 5 +; addi $10, $0, 1 +; addi $11, $0, 0 +; add $16, $9, $8 //8 +; lw $17, 12($0) //8 +; beq $17, $16, +1 //jump +; j 27 +; lw $16, 16($0) //0x80000000 +; lw $17, 20($0) //0x80000100 +; add $16, $9, $8 //0x100 +; lw $17, 24($0) //0x100 +; beq $16, $17, +1 //jump +; j 27 +; add $0, $0, $10 //0 +; beq $0, $11, +2 //jump +; sw $11, 8($0) +; j 27 +; sw $10, 8($0) //here +; j 29 + + diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/1.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/1.png" new file mode 100644 index 0000000000000000000000000000000000000000..d0f8d30e9a5ef41c2fee9d9b778c2282a62fca5d GIT binary patch literal 70778 zcmce;1z1(zx;Kgqf^>+40wM^~B_)y)0uq9NbazS$C?H6ebV-YfbeBj9i(Jy(-QD-a zf1JI~-sha}p6|JLJwCcztTmZq&N1Hct9SW6lX-IE`mO6IC@421#6{#$P%iVMpj;wG zy$G)aVD!I*e=gYyNhqSCq7F^Sj-#O5L6HyfIg!?rDM?_~vV-|**2B6nd6q&~kO z%6A;2*jdcaiga0&7vKa9b=`J1OxF}GG55x5#1meMslt1CklB{{}jzdn2zt#YjyuF-rU z9%k4m|HlxOU_`N<#Z{kWUh^8t41Vg5*1Y955p*`TGL)3G6W`V|Nj(%bu&XD`@4C8x zM@A-%da&56JiKv8av0VjiAsS(C5C!kViWN~67&45`VrbqYW>nhSD32qBGtzoxp(y= zrJqyFxKU#3mx*)e)&rljv9;+!=E}F>Pp1;3a>(?%uz^q;7(V@%p$1PRZ@G@y8EYv0!q3 zcyCJtefsdHwTnyzW?w$5aqaxd%JK@ibPbbLcX06A+GtfAHZDGUbOItaQWsx1Q#byX z<5!WfpMwM6aS*elruA8l-Tnw(0#+t&J&mV@+>w&h0m$dv99xZhczC&O`m8h*X{l4Q zHIZjaTOCqK?x$tex=kbGu1|`v`1iGtIW>8np|bqXRaBgo`{hzaYqoZI5piSgXRfO+ zvnwi&KGaB4czM0^b~~7fYG^pq&(y52zdqIa6jJP za9OLcJlG`g3NgGVP)E4YF;rrysUuIoJHN6bjp{V%t7RXMnMvc|!Ble`hRw>OjlnkG zRpp|m_ksTmU8A=WkzZC!A?$-AL`P2_Q#(0%hAWgIoAgtF$i0AcY=`{9vkBK@q6kaIjM&560|q{aIPt<|?^T}P ze9FrZ)KWPWRAMDl8xtG0xzWVCw?cn*aO_mjvpQU+>#(qz`XsoxxcC;vRlgL(gIE_C zEDG|~)yR7f9abMu+~wo@pw?gEV96Uq_BMOD*~ws*-m)fP3mL<9dU^`Ckeg!q?dgfR z88=^(+A1lP)0Fn3At48WPWHBTp|R0dCfiHzb7Z7S7xESTI4B?KXC;w?pNdvm4JTGgHp=E+h>vb%cqv8Gs0^^Vf6%i0XCP;a){SgKEn zkI#`G!I_SI;g+INIY}JZ>+!c2+S=N3st*Tqwd)4tv9R_S$K38}$+jms&Bm0BwGSLM zj3)BC;0oE;jBQ*(8>iecXR4^BG^}gFwvUK|c2mD#8mGx}AzVXV!t?#!eW?7kj zBx>m;M(ZVxa4iQg7_Z8QI2DX>wp;8r^G)a_9<7m(kz1GYvW*cSWuA7M?tcG}`f$}v z2eBM~tI&4#^q|9?k%58ZGbbk}RLFR~gY}6zeSLj}(?>I#Gp)nM0aPr$fh)zssv(>S z*!fx$9w{j)RW5sr_G_o^m#$TAweuFAF*&Miu(r1IC?7A4!P4ZXm>w`ZGf*8gZk@1K zi;hy_jl$j(z{2EnT-Q=j85mPIb?e~Yd*A7p_JcokWSjIQ_U+#HI9=IgG3eaypnnkZ@Vx6B6E&j(?1*Qv6`9F)$jc2whTR z-3Y@3?Qx$aiojQ#xrw#HJ6rOCvk882mlH?2gFFywbrLa8dU^HTXPN=ogmQttr43m&zf z-Qr(yp0Es!x58=rTkW2R*0;t6Ax?9lpWCMRSiI9Qc<*jK z9K9Ii^(R<(GsQ6e>`x$Z`CgNn)d%$DH@j0m;vLRh#(jP->+7sc1bP~D&6N=WNn_`* z2Y@BlKORHNS^d$Ql}@+wpL{2Nn$y}8Nz;E}r3vRNUq?^uPtq3=5!jV=U-EH192}o3 z*&K|Mkq~&4RMTB%vST&9<7oKQ;D*m-OXtMsDs4e!?>|pW%ck;*;o%$gKM%VRaKs>x za3UA>@H@w!IEd{Npv*eV`TWEXX8dQQsTDpGy;CnE!#vf#0k|rqob@5E*=)zJ*(ceh ze)ZrZ^L9cS<`azv)R~o)FMoV0e~_jQV^R!p%7Qr%%D2TnBAT;Fz_#VMdiQ)FD9vPt z48Nb;amd)iyM&Tw_w!Oq*n3L3=Hk15d`NIMoiYl6?pM3~s@7(o$qSopYrX_U{Q4d% ze9r0uqPSv5V2N+&u%9#cqf|T%At~wW#b5XRQZPv$ekYSf+DS_KDar{dKbi7JLqYlY zMLuS!Tx;k}l=umu`5~WqybuV) z;o+f5fdN|V$b958&#O0DIyx*(Oj2k+=jB-+Y|bPmCN9^T6!Gx#4rwtzP2`KoY>$qP zUR*TsOZoiyvyiZG8>9H3D6g=X*wuph;$luqOH08}Lp?pH^fHg*s6Gi9`qbCFJii9m zBI`~%bP=CrlO8F5dueQGNt7SI`W7#vK$y$;u)ahSPv|NZ3Hd|qtGuW6G;v;yx`7nJ z-iQR=lCNK%W-C>c!2@kI8wyiIL!dOyRK`f>_%0SmPyJpR(NyVTclcwH2Ap`hc@ zlv;5a8QpP5owg(c|J|lfzs#B>p1tFf!l4j~yM1H&JL&oYCkhGBf;S@p{C{-wN&KO7}oSB!Q{P9J30*> zyi~LHb7dHlyG6`T$LjoRlPrCBV=&-dZ=W&0)SRcvtqy@0Ro5qmmJ8kK%e$)?(s8V; ztf&=-8d}z;Pg+v+ zbaa%Il>1wE4pLUw%?ArL-A`V%#d2hbMp7EOZg)L7+FR2svq_gL3EUaB?HH+a+N#46 zD9jhK7MfIH$0|6wyI&(R-@Y3ZEu+;T>yL^PdomNrEOffT=TfatkbEaa)Tpg8?1|CP zscxvP(WNfRLhTc{V3O%NPbh_kD3=R`a`8AZN{i4|OKxI#Gga?T!W2Wlem$1YDgR?C zW58~4mFk4_DKTY6y)$-xKS4h)s_v5V&fiVDQFJBG= zlwWI$<7#SbWL03)tmb?8a6j3HynJueMK=Cnp2ON`#agw?d}p#(2&|V)_i?AsMfGiM z=G|!$s@c0UQJS+!Vca9{`4?!Jnb(gGw#d0|ILHn^d` zI4~~JPV(a~*Ye^c=w@$YhN}FnhLSl7 zS}BCAN*VVHS_R$)?%}cB#DJQ}ldziCL@p&MnP1p9@T&-~!f?1`Zgt|!s>0oC=!9yO z{4_XgZ@J7~hpXd(TCv##W)M05AqW)9muq?pf6OyJzUeFoEh*!}F}=g*(vG_vh2Et5&MUYown9HZR1UA*9d6Pw*>6!6i~-I`J_ z%PXnzo{bkLHk;5;B(*B9-cPn4GIL=PUS^oav61GO=KIUdX9XA~pAhlyYv(-#Dvp<( zSM^{iySP;3sd8ONLc(|F3|+R!U}dBt3Ofb4uv)b@ zD(X)dQ}y%*Zk5JZ&Dm|D-Tk8@1$_OJWn9KVgR7p}P>2wsR8Yazs*hH`7(?%qUA}wXg8wnNU1xnJtUxa1tT6NOOWREejQX`GXBAJ(>`0uifQdQB3K%nI!c= z)LM!1@eW3Je$HLB;o)KSThcZ*n=q^8pFQhbSa>1&Zb)LnUP#^}*+rB=dcFCRCh4h+%xns|F*US|u`6M>+e#RO1~UqB&5*`k2{!g&E5V%u%f8Rz z-J6PRX)%W=@wd)wev%IM8t26v+oC4eOx(Cca>VfV?b{B%t*7ehBd{{#;^KG{s;j>R zIoVG1E#2U9-dQ<4YAYz&l|6H=%lx)+omVjP(aARQ^yG+y{~$3mJiNgD>~x^O5WPwy zu13{Ow=rNsW5FWO^BR^+0#5|6GjtG%&gkvRx*1hz6J(;IqxXTWv3bI8HS9>7Nopwk z+0C91ACE}%*!g}5>uD_e!hm7Yb0zVaqn1>oDTRhom-_56`ME~j4mW8k19z&1fRMRy z?`RxV1?|kK^uUlBaZH@e5I!N(ZhCVs1JBUsUI}iO#L1Hfw5ExZ)0t!sM2vg~P*?8T z2qGkO91`igZ(K?(i}t;;r}oQa=RFS9cK1CV~9H1N%Q!p^h!)6qdX{4NI1 zc|T}-ZRR{X<<@Jm5-xJ%m2IcL!t?INB{nn1)oIv!VEGNfemA7Exw&~bR2qtI5a6^> zmR1u;vM@8#z{X;}YE3Wfjd3vP&$R8#FDf_odh5o~c+JjIAF@OaACx6-vwr4O$p=+) zS-nR25%kK5ybeJrv<5ig_oP`#IQOi*^78WVMXj0qP;cDG{`@(Gc5(V=cxY(ovg7HT zj9;0NX{WGk_ znwhu`06hVi(rFUmAS=V8DUd{2Rkg*Hv$74bn-K3+Ge$X^l1=exjIwNddmH2!l}`29 z<=Q?=iu_aObM}>NwtSAlJh?qO@KTM-+ZRpc>I;p!QUDyT-sjQK(1_zSw-KMY6?l)2 zZ`k{b=4CW2tUe=l*!2Bl*p0jCb1Es@Pmt%R{(G$#xn*cJYy)nv=r3Hj;Ds$K+W~+C z0B5#l&FE?DPRW2~MCTQWQX^B^B}e~e9_~@0Ts6=%zrX6Yscf)wHX~WjUpipOXEi`X zyPs|)2^_C``uOUO*tpc+3!f9uoDK1Ci$+e7EVpQCjrZ>?8?y9%9nGF=x-<|mVhFC*MB%|NH%npa2#H;Q*`v* zrW;dcbiz>IdWWFeQeSz8a2P=ht+%e?4qeqTApbw|4$hg~679k6J-NG*i`dI={+aQ< zI=3Xgm`CxT3>}L%>tyNX;{8R2{~(S^*g0d}mcwV;BxiTFPJiX=D$8<>@qgM&_4m%j zW2Vaf8kn&F=!_5_|7O)Sl(|8=S16n};V;ZT6tlmm^=rfWit6-*ai+{jGTxe{;E=t zhIwu(q2LQ&IEkE}pKocA^h;4yQ!6naY82S;#Cp`<-(RZJaNSzx68$?bFGWSgTu%Z5 z0vsG1+{WLxw1sldtrgU|-Q@u|TYl{yUNGj!h=`4+!{9BzZkGS`>v98;CO(5uu9%kA z_`%lPKrJw~@o}w%AHQ$^JDfE)o$$%J!)+@C7nl8CN5>q~Xmy&jyC(w~^pUGnkufn& z+w)y>N$!a?HlDTPb8~xuUfqsXO77pkkBLRTJUuZXr>545sZr_Jg+wa+a6BK-W0m@) z628$6*V=J5uMjFCmxW8is1*GCgPyO?f-$Ib$ZvcqWLCDb+xEUe!jnCWMA`xXhUP@Uslt3*O=gg-pA zVph!OD8t>|zH@9WDTNko>i6jML&pyzKM2-fEV`~N95Drw?bAEFE~!A+rEEK&oZ~U$ z1W{4Zy)k!p(Ci=Wk6-e+ay`g)x;ga1rK`D~w*qIkjrj_H`(_krU!4LNp;<3s4XU1zihe_VQd-u-TlIiOCIKInzxV5G)tscgW zsM7ANaaoXQu@n|3soH;qS(kyw1W<8*eFDgGaB#5f)2FYu+PIud9@))z0wOB6Ur`Md zoBGgMtF+Xcy#&w!s*IW0GQjvt7cVO1XfV8bcK$m)-o)KjyDoE<^={GrxUa(Btvr|* zXemmFyUFe3S@BxHq9DcBck1B_S3D?}>3 zeno8OG0;V{EV~FbH-}P@;jujYl`QPz;o*@M^m`THOH{f^t!AjFFrc3w59OtAz_->v zoJeN$|E6Hzt(Ctt~^#e$1ZLSoQ0)sclGjqvB2wZTw&gC zlk5qcGzv6V3KT8(_aNIGuoh3|Qz(`%BgDHVFNAa}WnG$=|KRfEHGH5{x^hV^7W34` zWFQYJ4@sZ^6rlxiD}ELh7GPiaqELR`d3#He{dU}FeH~9C;f~fgmzQN1HyFKEGxuK* zPX-+)<7teJA@w-lt-y@Z($bU^N*0z4peYp&YnZ60Aw0C_C;bdVxil#{E^Y|6z~SMS z6Ykc}|A(ryI&{b`4Z8}slS|4u8s=tZcfBx(S*PPHtGkwVV7>YiGEjOcDl21=KD64J zZDW_#ta5(77*ZP$5)$I)cilc0&V-Uu=&z!HZzYfi%)79pL^gq^sHi9c8_>4ZEFuOf z%D~Xj5G-Bn&H2>eZg&1xi@!WPE@pXN*}8b?Qfo^~|9RQkm}-)`iFG~}T7S3_5*ymC z&ZSvZ{I3^XPj78{O)%jfothyD5|7>={7GWLZ+lr_&^B7-BKn?~w4tsp6;L->rQ=3Q zW@e_>#`&S%(+s!Tfas0A|fgmJg~S}Bf@vvc9KgVWo@k{bNCo*6qe0;RLM20Zax z_}3`2(MM)Tw+G%l|2)dHpvRJ$iCfLm)a|vSNui`9waTwCiDh5kUH$d!@UJWY2Mp-! zcuoKox`5N>G}QL__?xx0+s>{)cLoIA-QE3C?gso`5FbQ6+@xAQYF^!`-rYWlYQ#K_ z;H@4~S0Xz$HaAb;vK*ZX7FeB{D$s4hoU2F0wEr*4)sgSc{F?4beWpx8OG}IMa$Zw( zZ5)^7=br1OO^>5qt&a0`8-&tx}mtZVtEH+JA+;xz0N#f9;1M z|gSam`T?(XfeYCOM^L_mL`(ZM?*uyHgyhE!B^`L zI6H>RW~DQ)^#z1TcnfHe0PA6@!E;a?#L4i_ZwIZnW0M+V(ca*8syB8wGH&~>7q(D1 zIU>3j(?81Siz40X$677N!-Y&~nlE3!>U9uba^kU@$KG@6J^wUHFJ8`AScP$vQR^Ry zyD}vd{@+ys1tIj`#3Rg!Ws&SDX%W;;z5LD93jD zUuqQTY*yAC*8i-DOP9}Pghan|;x?A_0_9SPytcXjZ~aFaJ0 zE=Oh|PSTRw-lN1s{RNaNttfZY3KzBtiP0@<2ra{f$f>Dk{&PR%&W-B_$>M6XzHEO+4Bo*{A99I}6A;Fw$36R)ivv{8m5g?C0-E zC++W=3N?>w*IlAPXo2Rb7vAZJfH@AHukPsF?5sRKhYK+M-d@CcZK%{46;0k$o zdICzWQ^=HgWoNhkE8{n4;{tt2tJWheEp4R2L143)3TO!6D=5F9RoROpz6A>OKrzY6 z${H9L0Kv$@!os;q;~2HUn~bi6c<1;z-SiA+Pr`~wjJdZ;&2_bG$@a>8foIndl>>y| zDvQSHVrcxNsxPv2gx&4&IAW-B-sv_e0v@6uC)ZVE+GnP=D4a}{bX*gC(t z-(I?O6~jvG_?r(+4+RAU8!!V{6|o$qcYSY6KTemQ=uBU#ywA=2ZKR{=j~Kty+MN9Ua}@)s<)3$G8++ zuDCYjShbh!d&9jOFJiIZcP~b;W47WHmm9hK@{3Y-OMAOUkx5TyvM|u5s`B!3*+&On z*sNy#xu8P9-xT2Dz`g-8#<7%xtu5>Ua0h{?&49`q<;$OXpP4y?e<=VPd=xgfapCWSK_Zn|8*Fc{GMA>PR#CsSTPy5%R|-g`g#XKu3* z<%}P~ofIbBhx#3FPlKp3T57F3S?{~Lx(c#FZvK+cj)f(uV;IR{*5B zXDTXL$OUqj?Km$h{h{KNoWw2xKr@3J1qj6pTf&-nEm+FHqbA+Y(P;;3jOF5tN^`WFGpu*H#i9; z?{bGVjRcv!LDL-b{#@;@6j7!2hR&s(lcO_hvY?t8fwNb~ciz`(^_STiLTOM?P>_)L z3HAUlC}i!1mr%-nJTl0#V#`_n=D(>ORikL-8uc*kusCmnkA;hiD^M&vKK{6ssRkb( zzp$uiLsnCv^kH1NcyO)$jrEezP`RF*R%CHtO7&qg`Gp6+iow6 zrZru6o`aY9@mwbQQDqq|mdfpVSlBt^K@H$4Ffedg%hi=Xajd(C_Q>va?wblBYXRzc z`A*{tR=6a=C6}*W&B(~;?dc)F$7ko_a^P`wRabXaAIVm8al)Mx4!^}^Q%tfTiU&}C zfq7UjgC$`POaPrREE3LTNIM{oPLB2f9HIxlMntpBbtDn7x7K=IE91gOM@OF;)xcOz zLoNUz9;p5P{X38}$(z9i5o`2ZTd#+|wQN5j6WD6(U*d=mZm10k<-Ds#%e;lLDlzAm z){h{O((?DgnGjMH;-4$f%}m9&?*)9yWD z_hqqrWUi#!%x)t^yO&QKrJXzB_k!Rv|Erz4!q*|ErZ6! z{xW$5gbL<;rgU6mO+659fD~XwEZFe~HUE1YQ+vkxA|xtG`T6rAFfW1yP94X;zXkN` zG83T;guXr_ckCvL3TwH1B&{`4<)DAswx&0ivcC59<<3*lJ3S?V<8J=I^0VxQGY>Z0yIV+)#noI`|4~=VS!6Va@=d%T_I-V_;YT3(wlx+ORzy zNAc47L)agYvI12$Gc6$DLxzP>CI2oHlkDQh$9((h*5)s2D20EBdV4m?=Y24o)@1Ka z<8j;IT5gS9cux1c#_goCvNE3Rre}t6a#U(RvwxesynOklo6ez(ybl#qWSD}6hRl0P ztZSZ^jnLO>2mS&YD`Lp{NNEZ^4ba>ZBc( zD1!kC6n_#~-s|BKOX1!Kbf2yIRqTI^IIyWmN?wAc$8sMY$;LarFexgpu6FDFs)Eq6uvk6cUvP0* z+1SdaoH|QbJpV1y8*b1yG$g_B277Dk7x6#qX9HL6W1gKQhKAN2w}MwVIyyS(^PBA0 z+}r14iID8clue>n{szntT}5W^I~sBzIgZ_RawrFl!qevlRy?yOnfqk8w9r&u5_lJJ zL)oW8u*c4}C#WeYWhi|qn>zUaBI7W$wsLElTjbKtlD~bO>|lDY2SGAqVukNn+X;b3`&>{E@kL{T=9Co_ z>JpVBLqfEeZ}z8DyB;yB7QSC7Nz2T%?9Y9>(7*l*q5!>}ogaHl&?wT=)4RI5fbOc6 z+bz7kbPX)0JIiC?HxkeI@7~pe?5^!B0>EP6-MqJ?pA_k4$fYhz<;sXQ-itqZ+{MnY zx57!yHuR%x2>AkCMrWbo-4PBpOFX0WbdqK5oNrNKAt{d?Ej;eu|2Z-;Qk;IczvsTa zL32uqzdSXT;drJG@!LQ$P!Pe}Eb&!gkot?fu zwWu6`lA&VrDNPZWtrThb`C-`kPFn`M{@%nL;3`^>d>iy0>1PSptbYU&2ofT{{oHQF zR4Zo`4t=8f@?yBH-sr#sSCuS9I?jU^=z*nYqwvo zpB}A2SRnMiYLUrvd;8tb&%bzMk{yn^tbwZI3WA$gh<2U#3OH(Y-Zy|*kdu=G+AO?R z84`jWn*o$v_1QBm#SdVk!GV}GDnTNw124_8YDX!v2*wVssd3RD>>r!5KjV2C5+4)X zyxE-iN(>c<3bBA&CC3K+um21}M-W2)1VY!U|1AhTdp-2O0HJ{A9;lapa1wA_ABS?C zFA6FFEaY!KAyN(ClgX?~V)9|B6Cr7ka<8K?l|I(9`fIO*x_ zZHFBR)Xw9GsK`iXN5@=GxHbT1md7DMeYIpue)D8159Xqc-J0AL`4;>L2zdZ z%r8uG9=rFhn$MpT;Nt$M3=RsKB^+R)qy$8cw>%D>=t1UfZkuTsNeS5eAfgr)vR@OM zbmrsZ18d2Bf5Hb63j*BSp!57xQBjeJ1V4IsbaZuTX((Hb>-7Hfw5h!$Qyz>JFYInfaT1D4 zJmQ+Mg}5m&iGt$1!MvBlVdIK}H6NC4qNb|azbA0IPv!FK2NJmjCqi_5_F{HsW@p4< zEYEY9nm%%Qq(V6pS+zT8O2TROqR=Sxqdp+Y)04B(RO0v4j1WH8%}y3+DxPFRcoJ1@e4=~lV_2GgM*EYV)nV!)#M$P3nm|2c^U@}sZKCCG3A zvV8OAP0ttQA-qZitZpE5+3FR$AV!iuv>Jz4mxj{}0cd=A_m{b@K8^%=pGI=nPU#Wn z!Eg@8S5#}}+ZPJmS$vPT7)r-rncxu;+Cyj_S}@R73Wr~%Hx>B}osCw3R`=QRhEvff zH9M|QGk74k$jKAK!)?L6g=z=q#x?~C!;`>U5#izI(J8+ao~l&kOxR5|94FpE;^g4) za25O!5S78c&l*t)DV1Iu#D9@^@gA2&asmV#GQM!i5Hum7AWhS{fL)a7a z6Eicjm-%`U8XAee1m8NYS4F2srA zvgVi&(hdR|unZD#0u{PuAEp_A{nOuSjQA&H{03tHpfNS>aR~9!Fen8uMF1e%?IJ1m zmIvw{v}Z|O2puAasx=)p;K>u*STXY1nq(lHyTmqD0p9WHtG60(9gE84p$45;U@ z_^}24uw|`1-|y!{+EZIL_%HUHaZMr?mN zD_3Gl-U^3#Bh(<Nr_o$DOg#RJ3FI;>K2CsoD9);8y5Sr{nTF)_ya z&&5b${};fztoUfP!m`1S0Ce(H+RxS1r)^wg(~+v-jR64|u^9(j2-Y|&7r(DByUuUy z_+3yT=^_?EzPEO8AmWTGcNzCuDA8nt95J{gV8d2mk#q7{{lF-G|EYqc3FOq-dk@4{ zA3zjjAXi%$8WFlupJeBN)=E!F>EQ%$d}(fu3-~0&7y2~aT>)l-grx5WYU`KJpUIu) zlY@dV69*w*1@sd{J{_IOJl!VywNbKjzUuq_eRE3-?sm?7Wj8lBFoeUXrJy)OF{pv@ z9FvgHhOZLd*xA_$uF=aHw~<(V70J>zQKfH2f<~L(h~SG`q)!#>LOnh;lMIttVj8oB zrKwtfdA<-sRM4D?R? z+g8J6g+oX579@$UqoYSXP=QTUw=Mqx_3$huy>g+He3oKlbrFI3uLj>36XeG7O?$@J zj2IGSX3yb0vrvxKFahMaQ+_R5RJ3UCSNwte0?~oqe$ong{J}xqHomhn{UP^2gzeAi zzTOE@ShR)zfa4|B<)VgOA0G)E?&G;*Ufky!pM#7|DJ_3syZs*Bj&leDq658ce zR3uObDxKJlVzj?ddI169VrQSwR+DwGi+iaLvy> z?sf&Ksi^`7)8WvaB9H$H;-AuSoFK%ri1MM8WPd`Us$+E&XQcR-Kip7g8?k5k5x_p; zq1SYi;J$N_{jJIYv*u}qx&ry>j$*=wn(7BDSoV!R;(~$0sO|-M8Au&_M!jkU)`L;2 z(TjypYll+P z2pQ8CuqU{<9R12tfZ#W8!o$0Fz5WiI5K!N{SABMR1P;QZ*ZWqGyHK;N>M=G4flxvM zGQ#Kk-mwBJ$^5c`vz8Y0_7JP7{vjUA5X}vOhK@zXqu2I9DvEIhnb`q=1C?T=;>`M1 za%QHXx%tZ2>23)uv4f){w#+RSSJ6bTA*E@nO#z93S_`QdH*4B*alvQ>)c%^FECuqc z4C6uXBC0_X0`4uofq9dpTZVdxmexNCYAs}uvzSy5Ik$$V2-@!%%$gt7gg9Mz)iUK$ zN31ErIeP5?P!HH6(GRUtpkojq!q?^UXH`Qa*Al6{Lbfc@@=2@}LQyXf_Y0zK&|s-3 zcqS!jK6@5o&SDGH1hhf6s2AtpAF6~qRm4wqICGfn#TFwinoh>XSw243c<28kI}+-m z?@okIO3DW?LoQY96_382UYgPu*GXTRZRaXEIk<2V5@@{pwpof3AUl$HE zOg5pE^(;IWll5}~DPgbmV7|V8?iCem931P(dNlj<2H1*ZuP(){)T^r@pmy2{dQjYXeeB4+bh6m?%Utkt1McfIY7Ye1E4EV*lrg zHF0oFjXP0gW!wZr|H06J;4PRXP6;wEU%V)Vr3c-8jZIAjQ~+&Aa8* z9UtGnKbDo1T}@{?Uw)`4UXugHEetxlaovQB{mR}RSls|238*j_79ca^8XW#>idHd85lru(fPk0PuMglNNy+_!G^hp$=LWaV7tSyJ zjd)@R%LnEav<^jsgq5U^I2ebG^BCm#oWAc7w9XpTZB@NRs7YXs zk+joNawgFb-n_0UtPG)H;A{)qJFi~BpOV$K8Hx8;(k8>r0~TpS(tKzXUwgW$ z{>lQR)meqdG!{F0eg5?6CZ8iS??at>RC+^FaO@zUb%kN+KSD%nYCWRoUc~NzAuwIg z*)xc~M5nU~qDsif7>quRX4Zy{-?HY!9ws%^k-hh~Wq+8{+kADM!P#`b^=-fu_vJ@% zU(nfCawMmVzMo9T$~?7YT*Stw>$us3OS4rHsn1AabM))|F1L-=tcu5}ApMzxVGh%V zZ@AN`??DaM&1OEVnAesMEz?o|XI5hG!C~49=_L9;cz!V7rnsWwhxGgZUTxQO0&ERw z=~C+{J07y%odjER9UZN$$OXHpreG{o)E8CGMY?BX2lNjfkg^+fLWyo(A$bjC6y)IC z>>rQ_kqEy>269JgsxIV6J02Z9P^%BO8uBY=O{{w6$GA9|Ce2Yn)n@1LfY)0sc|iL0 zJJuVCG$eeszAAcclA#Q|Y9G>f8q`(zhzl}=O#MDIPqbZ)A(FgTQ;f-kJ8TIqB^o+9 zFyUd4>Amb#SIfJnR2x>Ft^S8fpB&ns`svgBJ0gv9CY_VBb}s6*#~*;g7n`uTJWv1v z03sC`d|TiY!PWtxUWLwl$od1@P|8+~h>WxcE$ff?!ozX*XCDAt;e`*;@$C)U!e&?e zJUTwU5|%<(7_MImr^PU^;pX%Fmad;8u7#RhU;Wml)bqI;rl?k<8D7IFnxhm`=uYDI zl4IpKy_d-PTEaGk{3KoOO5EET{Fst3(rT`FIXkIyu&YcHKKdR`abzkUVT5AP|4=E`UG{57JgGR~FWMzRu3Q~ke>^i>aBg0okgJs;8< zH&=>6TO}uaCj@yf$opM)R{mx*QGU}gB`c4b86P7MQ-}WtUy32qP(`X4wd3WL{ATi| zx_^#*R+^uP_wVLMK03O^UiMOL)HlbU5U$J{#st4zhf$V!E>sGU9q&T9QqDh^iaP_! zV>-Sell?*-_yzz1z28JdJXYaV_EtS`N~;Qu5VY9Jx0YNeWpbfhPr0Vn|2!5szQ}(g z><9CLDDW*OCyB!}atA$Mn{bJk-(R=$qae&RsG<0x9N+|LRzn^$oJ7LjzPMH^n$L+< zHsPPcXtC-c=%uiAD1Cily*kY8mjd${!r{^uSp#?NRO{=CuR8(Ti2J`_8zcsK8cIq^ zA|jW!9&vH?L31Tgasc0u%RdYtX6yZ?-im+a+BIQpaV~iK>hM0^We#Hi0qfUDgAvbxj!)l6DKWFkj8% z8%OyD&i~wi$Rrw*kO337dwMvmdADd9JI`WY=5>VYyZhLSQpUL8l)k58q{+~HG|VEF zp0OrvIIR+S$v4s|(QF+-ZGdwoY5ma0P@~N3^kFBvwiv3a3Z|u;L7hFZN3hiYNE6x? z=sMZS$jKoZm;nU#J3a&Uvk$?UDGps zm%!M%on%7{jQJ0Ou)kc;0ovw0*~AC)pQLuG1Dj+jJ1W1OEa;ey`7e(<9BpQ2Y5xqP zhM)taj1pM&{Wy>`e{ccN!UO#Md0+3Z0~cr@w)gz?hwDhXaQh#tsRZm%M&|O@*|BHsz~?ZviB8t`gH$` z*1}$d=zpD4k-h;0UrS<-8&`$(_0wfCA>q;#78X|Sz*U`CjYnXD+bbwm z6khj(M+R5|4!f&}2nez?{k6b;3f7>h)^)j`#eN+E(Z8cF5S6UnY@vtD5x7Dt`|2MRPrS)%Gu_TL10SgVB#eBP_X^?== zwvx`+Z(@K=Go@J%a~O>R8Y#itQipyR&^*3k$>#L!t(`RyJUTr+#TQi(sF;Kl9@t%T z0Kwz9)`Cei&g)k*I27qo8h*aMXc!pKbTd6lRa<<$Vz3+qC91x_C0eb1c{|-WmX~_3 z_1l6b;2A z_AF~E!-`N1_KmwNN@TA#^&dRL(s)J^$ezH_GMi^DvjO7fu0jZk#r=CSHR-oBHz0`_AK%fRm#V{*M+)Tj%CQU%{mhVcg zL0hbPg}uIk0R+ajL!XfQ`uXYj=NA;5r_v!p=>}0=uMlwMzVs|YE(Io-3xsjNg1umS zu^$pV5CPhZsyUv4JwYsD$*w$Gt%Q?<1B6(}`hXHC6UP}Skob?epNvglVRJJx3wGsD znyy{GeBP6tM;zh{!6NV;s~}@ITwUuh<_g}-mGf)V^Xu1nLnG80fFhuiIssc7Ffsp< zyY$unoA7))b2@B15<@Zp-$ZEQ&B+S(lsPJVbDm|?Zs~a%GP3x8Rk=To0aM@s2d9P9 zl*&l2qLFlHYyL!8I7mRyWNHCg;XB5qwZRV?F;i{T;30DGqPxy*seR?fX*B}Ve3qrd za-i5;O-SgX0~rwoXf#k#l8I&iX~sNEL(tgRD34EY`*ueV+JA1{BXU{2Yu=8CVT+NMf~oI(ywL>$R(< z_#a84XbhDBYa3Ler4lI&-4yEVX5xP8b#iT&CYT^b1s0yabkF?Jy; zmYL;uChWK9nH=(-I69V!rCRUGpCeA_rJCO$JXmLL%KCq3dk=W3|Nedaw3h~1r4m`$ z%ATb|lATbtv}`4nS;g<>v{ZBSO2G2udKZi-Pbm4UF_vqE%BPv_kJ?XTQ&Z7nX%<&FAsAv zY;+%~md1BROC8#+YPPIO`J6f~UB3Kuq-etGu`^Ry`UZu-{bc@~_~G@-HOiB{8>Lk# z3fFx0Tybds*u{X~I-w^b>mT-8ywBrhWbqvSaor9HEnXWg{#8b;$x`bSl*em`YC@85 zjF3qGK4K3xxd$pixi?g))LAyR1g=IkAa~B-tku)sTA@o7xliaHT)&Bq$%98N-??Gr z^EjWguFGG=z3OiTLL?ShBJAX|a6neIsFUiQ-^%W=f0uY7I?mx!cks~K%{w1OMs|Jr zL{`^4bEX%YoRpMQi+6+Bm48-JXpB8+oXnKFglSQE&f# zENyP2xpqzMfcsL6=?hH*#zV&rS~IZSe0JSm+e9L^u}-J!zKs|3k5xY;g0c%)wIxHL0F2d9NxjQ9X@MGd*Hb`=Bcydk^A$4q7L%8 z&U(S>-7I1EsdP;>%`Qg$BDEH3d=ys}g@fPigExW~`HGTBxQQx<3R&5&2|AYJY z_Vz~m*xJ&vVR#DN8obc6K5R;l)pT^?nuZy6?(DD5>D`s~4_?KBN9E<^FJE%z8MuPl z28VF2Z+2=J5745)hfy10^Lb@h5OHb}Tn`%ZaJ^u=u(C=F4b`=`x1U(5?OZr2Wcy{Q z*OgU%HBE*^lNRTf(Y6fb1Zx_-d#A~VPCX&l&2I1LrF-;MJ-r5+)t!qIeyZ+|PqQDg;rX3Ft9oQhr$nNPM zob|JYE6DVK$mQ?hjamVUC)Lyn*StuwIkTzmS;qw?=5^~l!G9*#;;8h0_|Pk^so)%B zzp#Ldiayo{Na5bUzxz$#qep0ajA_@AhBn>x61Hd_gZ-VsmzH$=>s-Qpe|C~eQr8bT zX20o){GpZrN#E_^UnY`PIL{_<37+=gk7?t9paI7*IM^#!uNnea;Yg;a)jc_1*TCS} ze01*e&$h7dmwgQB80&+$px#7Vj?hZy*aNU!Mtk6`rQ)$;S+FrFu|beN3sxM}cu`^` z4hvxHlP4SQ&~Opno;_OP)nQ^2_#s#l4+M|k#7BjOZy{6D&@^;*@&;A5m|n^Pfwqs6 z^NudKrfelPs8jFXx%2YH3v}#IeBlu+?JOR2+^}1oCi=b(w?Ur672q8(UB6DG=A~sy zpGIc`SrR>OP;Nl7GZthd4Z-iC>(ck74e3{nKg;s#tXuTwA+5047mzR|`eNc~aL&h% z){2&mQ!8H2h74Q~*_Ym8)>dn8*2&3zXX&9MeZ+BQKk%MJ7X=)WhoC-lMrrx+mxeOb z>3t(y$7}LaS>)cBo*jGGa6E9=!xVFuD;IonpNe17RZ6-`Q3Cn*C7NYc$n{O)5y?{Qu)Up}TK({^75 z7P)-loEB`u-H}fH?<_B^a!JgwW51Z#DaVi%ZLvw0Kv!5;nc34jIOOqE zi!iObQAeLpaBIY{_TAyGpz!?fhpm*m60~MlP-pl1nrOP~aqwGa-Iv96&G>|EYf)AXeg0g?V~}meNgh&4sBnGYgAPR%}qM*&hBu zZFP-Fe9h|B+y=R#2Z_gJAg#6eI$Wmb&u731?>gVhNZ7QXzi7e^W!)tm<#u3SfxFAE z!)r)~`1}GE$Ep^pk|x43n{4Rr)pYY}y+3W<$?-9c2M13ey(l97v}%+X#bYsbmW zt;sYp_P{OgXvO)_<;OU?E9p*`SjjqBl zEESzI8b~x4N_TXc;w;J*ciX%wZY-*LaOSO!Ns10<0B)9Pte_n`cIe$_b5@Z$Rmo;-4s}MNuf)SHqEQDnK@9r};WeEug z_*v?YbQKhI8MBnpN^?jr%}?a#mH&c#&AgU@EkF@mz*;eGw~nmK&$F_EbuG-yiWhWA z+-?iTAJ4fwT%Al^88+3kYP}Kn_BI~ewkS-|-vvnxHRi%IYcmTLD`sv-=A#~FrDSG@ zJ4}wu(R-9dLd!T11f+nTLu75Z#7}^LkqLA+9UL7QbQA#l;^VnQDwBn=)8k}-^_`iM zgQFZoesI<6;&qki>Azmr!VvxH6`_{W4MT7YPD=4|;~h#-YETVMA}i($T}ONS*RNl1 zZ!BAR=Z?=jy&cV}n^RJ{#kKxMJoNG3gk!7+eQIi{+ZtrMo*9@|Q6|{F%ITjgJ<;7* zQ)b@z@UB&c0t*$mrC=qUwkiP)m&;9z9 z3wiy>EYVF3;xkk^etfR2Ymv=Q0V+OxQt?R-AZS3Fwc^VU=%1J`UAYpVz=ARpKYmx&!h3ToQGX+&H%{CRNL`YsoiH%)Y zcFijO_U7gci5p@Uug-qWF$kT0UEZ!UW)P|{td#wo&6&02(4=m+(eT|pT|I+?q7ZG( z&N>{)_MAE}J16k)VIA?udKXLW-AAI$$6oGg7TS^!O4GuWnVK_$YOZvrnwl{4bLCU-}5xBY~ z4}Mf;oJsX$s1N zoWf7=xr}du+00#b94Qvx@l!WO#Yz0P2jAV^vhL8eS?NvD(%0Vn=o6Bpo2-1`8u%q< zW!gdeJ*IvNeLX$JG7SM|`LoZh+w+inMzLCI>yNRhq)RT38MmgdA$_2W84!ESVCGV& z6t-@MkTpe2QU*UoJ<7hiI^%m6s_xXu4L+e@4N%<7m~!R-^7vk8ntemV4iEaux3)8H z$_GQMbbM=Sh#TC<>oLA?{Rgg}NERG-Pm7gM>lYg?=CT9(M3-RBilG}B?x+EhTFaDKVNuLG6 zJI>gIy(WFiRa2WNOY0uyAstzl)Bd-cT}R^e@2u~_)~Jr(zShPqqrbZu$@Y&` zDM*FcEkE8KaOpc=Ki{JUjS0z*W^);gC=9^TZ7Kt?S--9eI4x=nX+Pmf7d4E;T| z3E9Kdo8*3l7#<(uB#WgaKG}${*j5VT?WoN_2O1vRiBSWRI?gs zpXS~}BI!P4wIi{ONA??rxnCAt@uc7VRtVjlF`?Qwx=Ny2wLAI_dGJ^IT3_6sqr1=+ zpOI?jkWdhCvi>ToPu-C&t%-aRJ-s&>s;MV+7hq7>XU9!kMWZv^SMy>gWOj!y4GrcP zAb2yM8w7M-P zH1w@L2(E#2(mH>JEu$Z|#8AN6SM@+&aIA$qdIVL1`@Q9ubc_4dRc?}J-#@zAd7WKc zJUc6^R4r2s`5q{9$s!TQkH z2sFt;>$9DT>a?yd3^pju)6x#Qc9+o}xb5!g$u$n*_pQ;nP-A+eQiao}Q5b&>OD&%87}NhTBw6 zPY)?(ctDs`^W~#ixd^_w6im?x?p}u@?z{vRx}ilGc=(R5?-#!IIN9Y_bBGa(sx157 z$!ymqlnrQ_1fom@-Ek#{7)oS`Ha~^{6^XFxP zHF9!uoj{?COu!?IUMuPo=GJ^?N0<}+nF z0(_jW>2m%67=OGEpA211@@}!y5M2JA5x`?|`lDPm`K(OqoL8OrE$}V+$wo!cI`Os< z(_OTi;g$bYZS6upv=!fk`S@xZ8cq(B?)b+u`k=kl*@)j+Fh-xbJFRR@%kM=UWj3R% zKOuA1Y-m>H0U^u&m0yr$Meh6hmD5iF(lx{? z1w}--Ae5lESe984zL~13Fo82=xH#(!GE)vO?>;wFWbaxBHz~XBJ|=VaLg!XDU59Lk zJ&muYl`}7WQJfvmw5P4jHJ(MX1ac~|e){|Ryh?kJor(;``(7wwak~JmHk-m9vVP-6 zoH}*+>wGHQmxBxnpClXQe0k$_(aEW{C{ln-j$BH<>NtKOTcFS^F5`Xzy*=05fvlh1gx&LlXcQGMp z)mTR{4n3A!Syg%8BDb}GDP*4=1>1`q+Gk??+Qk2M`wd-qm^vsj68(!W%QJR*!;#e! zXJkFk=ue(hQCIi7p}tu+&OYk*2D;JAfa6w^WXXpyNt#sC>X^vi=@kEQ>pvt~a)}hV z*TO|wAk>{WybL(vu1Dz{uhu<65qP#D;CLu$-X#HGD)^Gt2Wc)FF!AOZ)rtv$Nz zraN*fu7fJ;ta;y?$M5ckUpAOFX{x>6!gZ;whCTF+#g|k~EiF#!I|g465%L+W0yJmS zm73iWn>r+)sf0TKs09Y%q5=ImeJ)(#=;+9sLS{aupzz^>ARIN_`w#N-C%=5Dn4qPt zsR@1IP`jVdhaK$11;4>`_ZvGKm3IUf;O_-X`SJ0Uj~DJ<(xt57xpJ_|Hz|>_?o}3F zPQo=`UDw`E@7gE8B0Gbcg?F2WkMDewsDy-dODZcbZ(>41b#rpUI|8pn%G64$Dk^Hk zHxMCkSR4pTfr%C18;t`8<{y7^ZfsS(sPO{E*`LsW5mp@J6o5ZZ3o>v3-Ba=I9Xmfi zzqE8G6<-Buwuf4rxBIgRPGkC4O+~^->w!+Oii6_LJO=N@-8v4me=8@z2SuT_)%l}x{XaTXjfq| z2?=7VfFpicYpIORw6jibLatu&fn30hY zP>I~)7P(EyQZN* zMLG4d4?=%1_=vHxN9a@0egrUZyW8}drI>&?c~eEgC-I;`q02tqLg z)9Wh;8PT62##mhIeA#n9u~YP|bZcZzSHDxF?tJzyovuj`%uDz*W^=k0?s-8CibE0; zJg&WuM8Ss-84}&I2U_5BuCK3myLHQpgOl@RUYqYwhC@dH4jp$-- z-MV#=YPB(!?dkR62r0VZ*PL%W$h5X3*n46xmITOG9H(a3Wsw`{eRFr&Cz(;R2)(0Z zyW>jQ@(*;#(mO-ikC3+yt_eRyV0=i_tlKjMV8jBKHU9-R53Q3Y!6o^7A-If=TW8z$ z?f#LGNt&G638$wJ7?wy`8vkU-^5F(k`4y_vwY8oeT`17qd#Uv&BK?xoi^2-4?!bJ?X;3MDWjgQC z>ZM60OLc^Nw`#hh@UA(aYiaQ(d6IwwUDsfvVW6ZeQeF}E_;Fl^k*L!zeoAl#$l4p# zYkxqcb@gh-26qM>FBNcc8{K;nGCIW(77JEjbFBgyL~g@W1%1?p?QCQBd+F{ZyhZRH z;%F+~4)gpYgAmE}j|_s^>~97k`r!ABE|=qE52c(}nw$twxQ_79a9#KqTN+EzBb(bT zZ(`By)`PI)raj-Ry>J;vua`X407R|c>nTKU!K#8^I{cQOqJO)aam`zMA5gT=UYt2| z22?z*YQa$GP6qE#>B@+5zo^p!eqIqKdLDZ?LG7EMON_JAbp?bcCnucyhV2~5JX&ztO z^ojn zEv|~1pW(6vFwo)Av$$zoGdRw3@7_Hq7n72bM4me8@Wm7`?%ThE2Y+VD4*#f9@OBG- z`u?VV?+5YLW`)_8iHXxwQ_zMes;Jb!X@cyyPwnkWWX~szEkQ@@Q;Lf-ZB z|E7#yw|tisZuE9h8nhv;PUToU{>@my;g1seFzsLo|J{^*I!d%Vcba`;JolLK;Bdah zz5Mt4i5PELOYEE!OcX~%X%2||eThtQKZn%zX@iigqY2P@)NlSt;Cf7ZlR zKry?j#7*|Q^m(?@uKo>t0H#cMgse9U=mfHw??H`x*n3u$cwvqAq^`be$`*@49NZ!L zT^+=eQJjskKi(IZ;MZodN5;P9dW(;~%)r^Ca!ZLwi`LY1ZTWuCv@x2Th=%NRnX|+$ zS9#k~x_#J$4n*|-2K(Hw^H_$IM(bndyuHcM;L&E`@%;Yv>w$AJG3{EnFCXuqxZE3W zP8Kx(R%yj$(A(H0I%V7S3e#XZ!Kaj(q`0}2puB)n?BK!7WTGf|Zc8!o#_SX^S_x1pi#{mPsH(5t&3-yQN;J=E9d zBb}!|9!F13Xy(^1M2kR3uG_=9gE1l*0xmkR4~0f~F0RzxV>ByE{OU#npJOD`fdfBq z(l)_;hwwM$w&6_%D<&2VN~MQH#znfEPM|cDhWCD{Le(V|u#xG5)r*9LF9zEGSAeHv zaFgm^0guNPYLcu#c4RY3SYG{Xbj9+KiGfWcb4T<&V7Ux|%q;|1q?hMtA)fkT~ zO8ft{zK>SUsgosxYd})FQ6$1th+1Dgsnq~l;@|d7I&@pn;mJ&Z%pG*bLgT|yo$Ex# zrdGVQ?wSO;hSy}4 zqAyr!nu@kpTwGj8$WA)CAQ;#1z{htvYm&xFlSwkk7DgRJ{Gw0Qqgr9l&?|l)W_3iw z`?P`D81C*aGQ7ol>iV@n4;2&Klq_$o=^ea^Y|iyamg6YLz5#92PFSm<<2Nz#6qr)Y z31M%dr0k%o51?eB^}FxE_H$d^YKOyyiOZCO!z1U#NTlkGW^^sWLOgSont?$Vu`EbG zVdmuJHNfx+B_$;nmwb&EdQe=^89Egiu$+QX8m#0aMb^;x*RLPtrK+Q0Y+SMyfkSVe z(^BH{aY2VyU=y>k&U;~|4+zFOcU)dei+0Jps$h(p48M(x2r|2R-|oNae`c#b{W1T!p~=#FmF&#$8R4S!w1%vhYi>;rpkyV{+9q$|GoKY1;F02*Di*)I8jyTZa*p#7sK)7;X6Ivgq)oLAFr zlB;z4GCpi2?mLVq6vEz$yz+kwZ6ubV&Ge`Ggqd&l30`(e!Ld@jhEZx5Uor#h_!sMp zyZ@5vkP>-%yP>1QQnq_7ZS)C(7vE2P=X}KVbFdT2r$gxx5I^i+BnyuQzbW;DKNc{_ z=!=p?hF7V{3r%#)TM<=x&eYUKKVecV++SI&#h`m4Vx;~aQ$ot%{e0I4PDVaVIp|jq zPKcR$j)3>*#O#rA(Hm$)W9QA46xXFi7f(NW-Cs|Bfe1?XoMVW_v>C@v{ui2rx2oKD z^Nj!^am45gy+@Ig34c{^vlXOcn>K8?XkmfyI3$*hia4J*v(Gl|T+4Q`1DafHRCOmP zTDDV8UC3?`Tp-3tOs}^NN7$C0zJ6A`V5^g}Gn(|sVfBi`JP(BDoIg;%k&zn$XPdBNR? zx99KgPqVQU{T^)n*APN+^R{5-D@np4JnZSi!(X*SYHMmtOfS@l==N_O+S6D$`2C%N zqWp3E$+vwVc~GOri2cPSqbAYU_Zow6W4$3Xm`}-3YN+L2>E{Hx+H9gcxlHq@1Rr2! zXCHv04$@sSD=W5Wj5zTw?a9m(6>h;*@$%XoV=el>X)Wv|YwZE;d_!pa!s8F!r1hri z^Fi$;4PYFAFO{w(M7xHvDb^=KcU2f}z>-$A*yohme_vsel2h9IW|H_4 z|7!XU5c9;Nuo&oNo7Z39)7iF#w;t2Z?1l5X!SE|I;D* zqdnV)#BY|_eq5r!e`Vb_W&;luSiq7a z$RKdTM-Tq{DLxQTOWBX;V3nRuzY(yvmXl!3l2Q6&ts2v(9`RjJQ=GM z4}gW*&hA^eDNH~kjEiHQ=zoD#`t)hCM&dg~@*(1l(rf)!gi+oPZ@?vbI(NI~|0nQh zD}O6cO;&a`QmqESj1lh`?~=@XeK;XwtC90?PzBVX0>P5bG^wtNmjI{7ZyD(Fk zoq5uf9!>^Im2n4yVox2d-g-oI3q$3gedP&NDViNSW|CYNhJo;KfIl0ZT9b6+x@(e2 zaH#xhiA&j~tRdoUBqlg zI~P620SdO#O-GtUAN#$9swR^3OJ>c;hS+ZH(++0^h3P1+Gy8eXeebn2+WX%Kd=5Tc zouvnl*S}km|uo_MpR{M8dJd3<-&uwe`S{_g{XX3XO~7h?ypu z(4NX~_EC6jVcxuw95hhLkv z%JGQJy?>aN#C^<<=hTi|)2WB7o~9!qhPzvt`Ci;>r>*BYlQhf&cBTCpUN*Edmwga8 zaFR2i?AfRNTwKGIe375ZP!eNMN1-(Mwr7g58(}8dy!qq{P4u_~?e9yvGfb^a=lf*C zwZkI|+BC>}XY)BcjIWh_F&7L$F>}cW;ctDj0m#h$D)H-p%(J9112pvMJs>a_7-Y$ zU=;utly2HuT03)&Mij53w?A~~27oZ~1<##(hNBfpkLCV49QaxIVvq~JP2@$P zO)s#W%-I#BLDtmH$oZCWp4qibgA6^z2RY>pd5v{!tG8NeP3}o{Se57LXxalQ(2rmBB%T3*&QT+BTlmV`29T|{cqZ~e?* zs4Fqx5~i<2`Iuvf2Q|JwkDF^3f92|V4_ytjOa8@Q>Ha9V0Zp&^iaCI#xQMk+Fq~-)l z+S$=>oQsdIDMCbvGXNAcg_S1uTdB+aO2>~^LLav_!<5ADJIz-_GDX}6wzRwm!`EH9P)l4+cl5=-=R`c!u2uXT257hfIz z-zs3dn2>rNDdngYQ^$QOl^m}1+ z9$qG1~#BiQL0+KU5`JNaF zhu60E+ZxhccOM?o!pA*NiW3AR_qm){7jCCgbwgx>47SWaX*9xKVqp<;cJk+$*5iAY ztRtA1qhB%x?rN21VDO&#FS>#PFHZ#K7q5#>OoW8b8Pg?LqEW>XQWVMSAODb|AT05H ze|0EyQ>F)6&@Wj>AMgfs*miZ?f79=gI&8(rYbuCJ3o%i$^-?H9gT1@sQk+S(6BHXf~`qyDB=w7#* zck{oql<-4y1s1yBtE`w_|Mr&mY8o#-fdXlF9G{*JRE+KK{4LukSW)d}F)Z)9lhtlL z+t-niyHMwPd#7Y%hz#F@jJCNW_zGVMWtsF!(&WeCIIrHPrBC{c?wxPmn<$JvfyQQu|ts| zvVyZZ>_5p&EE_{D8zlc7$@XXC)0?)`wSotOMf3{Q3j6u1?w_mP=1rc}*m7hUXqVU4 ze)kldbfvwwkyL2rZMU1smQcaWe>PgY@1-#5S}ZT{+IWvCiD_sad_BF@wVH>vVS-$r z=8cS96Uec_G_&3-*1JV5mDFt}-C&Jg8g^Xi`}?hV=={3|WkU_Cp8_;VXyJ2je_Hy# zhn@;L;`>;bp*%#F4#yhWQ}`#*x~q4YnZ0!CQav30fjTLU|Yh>_+>X z%j+*%01YPMqcXZJH*R=o>yeK>>vB4;ZUOIBF z$q8B$uXUelyikl4M^cHJni}*C=+vXyQy{}AQh=wbYO*qRxyv4#zuubzhctjHZlSFk zH=ZtVaYn?Nyu5rt7I)SVm?XrbM@2=!@dYy+1}~vJWz$BRnlh@3fAHNzO6rg@$>W2x z@Rs+s8V^LWcwC;W&Fi-ed9lPdZR{-)8jx$b+Q=fOw4&l7GP|IAV>o#3Znxu5gO%9W zu|?S>ZQ9v_OP~FnBJ3;GN`WLVaAa`!&0ly_hgWoxlV)xnNo1XGEEy=rGjyBdSG1cT z`}nHzUO~Z6PTxC_&~sHmiDk~vq9z!cIvtT_i@87PdZl4 zXNug-x8BFlvD#?;!F1j7Th>4FJ)K8|^4?54cZ%$*&0kB|%F{a8AX!`Ra8HKrY=6&w zn-3!61u-5Zkh1V7{T0>tLBB!>e1tD9-QSk#NGv!x;2A;Pa^GRz_hF zk%>NHw4$7xyJ9S2$p%uN$Hsz3#TJ_4gS{012pS@bihm1(|7@5F?)06G22lX@N%H_e zIv8E@r}&qMk%w@}&CRVv4x^@!Ctzg`DaZZ$TU8fy_Nd-Q>k&uwfUp$gr0p_6>_My| zXpI14+JwSs2`{gC`|vt`r7k}DQR}^J9jo`QuPmr&kqb{VPvvs7r1B=WhZuy8-K0`1 z5K5XbyvVvvH{$B24@P#&gjl9cH_WF1`e^72e0_QRpAeH(52#@A?j0K1K}(B>7;)!| z{}%5;N^NlJd`4(!z*Kx2rBO=H!UWi zilYGv&C2}#mii0dOk4l4-^zWLy%;f^xtKH#{Dw=9e9^deTK#h_>1|P?6C{UO zuU@@MOS|0g@+5BO`96Qu*0#3I6|svKwI}+-nWJ@0vJc#P%lxD6L*E|ekVAa*8pT+g zNLih!fBS#cs=Ww%^~f`XzLu7i{h$LyE1tr51B`v{co_qZ_xU6N6n8Z>_jF&UrR7{6 z*phK=Y0-H!YP9hNdohknNeoOQa6aG_C3N2y>^&V?`7rb~I`L?S%|j(Bkc!@jkyriI z6gd{Ei;+WLCF=URHZ~R(y2b`1d++r<+v8*cuLHCZ8{JKy1SM)cNM>wjje#$4`p7{ zD8mgd?^lvOcrJ8zJ-hEe>*9UBcJCFdbPs8xBTxEyJO;3wUx~fBN&i8z93p9beSIv9 zee!odtL~4EKpS}aLbjJztJfp4ze9O&lrS?5rMhZHKR`C~*KR&fSDj7fs2V|Rv|o7o zzt^B|ga-X^f)>NcTUq1eUqwim1#8Ep^{Tw4CIY1qZuZPAS0u3ydkY~z2|Y|gy)xX$ zSlzf8^wC7yn`yT2ft*&i?Nz(kDaZv&id+J>yVTjHw{Q0;)p%itO9ah(b$R(`2i>8| z;_RW9QuB%jq*|WuK61LGj?sB(?@S?W{jDLn7n*1(|G?T5&wwl|HNgkH{htzd-!>Po zE5(ekM5*?!ZTS2^5%kc;{-vwTFG&fdIJz5YwQa4fXfu?n1QteO9eG{ArGfDR+uNxW z6L&wp-Gvt@N^J5dJwCFL4(t3{=;8`y>P}IeOuvr3$z$CiEbAk(`c}<#ntUMx|Pbrp$?Mvp9DWJ?M`>y@;ToqvXWIM1XVT%pwF5t{#k0 zH1>3U{WGiGx%N)mPk7#cY%G4Xz5JGkY)}aT2 z@5ZnWBD;8W%Xz75%%Ev5U@8Mv7|3ZPALIhn5Tb|W9T7ea)a$_3`i}NPSaR7>QAJe^ zYfI>A?>h`soEspi8}KAqfF5 zstS}b&4MWG@|@>{9nGf=SP7+0t?S~!jOO7+U-3;MFCQ4}CpLh_rl$A?v-H!1qzNAO z;aBTM=Tz3NSp%d7Igzb72t;^&Fc9GE*)Q;BO|6m1e9YLZ`if5$YGVu(L0}!f5*%$w zDN+QU+a6O{=F6ZQABGuM?H@Oh4l!B@8{F)rcTt;I^;Qxn|FK`nDD5A8cOlxbgSjh& zZ5r#Xc353&<+)7^hts!dBE);^pv2z9?;tJUVGQL8x@}dIzA1i_tafT14;5p9!umUX zpt;oK6#8jE07Oz%P4h5MV~GkK+%;Zb1$4Xoe1&)NxGgzs>R!h~y1_W?sQK3R;{hLPQO z=6jVH|GffnzI`*3}jitkHha%4Y=i#`udhN zbf)T(A_{O=GnDWH!_)-}{Jkh~N;l-x5XJ9tf7|3Ubji$hwJy_XXd~|oQa2^7ag*?LEg-0* zxiS3y{aSo;)t(gNA_~J_`#0V8m@B*0Ff>(CpuC&ia&FbxN+Iidi#f}Et5JQcN=<2+ znwlyol*5at)VbV@&!wxHFc`a~O>%vY^4{^y_Nbg$(o@A`aNO-C0NS#jt=cay^E74gWjPduM#>lv2^99 zP1xu6ks9bzh6*F0|DG3AUeA<6p}muVE+IuniHuIM3+8HLlM6Cn_Pg!wjwuCrz_)j= zx;Y6!BU+l8ahfmDFGz$e@-(`IXnJkWfi>sThYw(q<;fH!nElPYZ{Lwv4G^bj#G@+( zblLcad-U_jNR?q`iu>dgrYa5rh7dM5)`yI2+C(JS-?#B6@zc&p%{q5au31HLc5NJc}zq})p?a;ez?t<$#m(U4ktMTEUGvaBF0s;u3 z+9-Yh_wR=2*J*MRDKdE9%qBSxE=F!kvkXA+0bff?3zau9sC_|R2qOTX)T5!LeQ%nI zJ^k79=j+z2p;X6cQD{%~c+dO8@AR8Uwi<^P|3ENdqJYNn*)u5Xp|rxo6fjPY*V&!n zq=F$1181C+@XC5$_!P$|-jqUTkXgz1haWWcQ)8oR{!h7wY<3CX`6q%#Bx~j{W&ImTBaWhPoq5m`M5%Eg9^wkvbLMP#SHW+?3JJm& zPBNS-?_#$iz71~~C9StYlo~#KX9O0^s{;-Hm-#DUYU%+nR9JhgtY_z@M^UJ&8r*D@ivWBggp?`RfQpKux{5dR zkwei9id>w0PQ#^jK~;_<{1ytyWqf?x)KO;UvP@u_FRkvvX!~08JszRpr`mD5H#B_d z>k~wX;o>9auaFN|-hbxf1zP!-;0w>N9nelvQcl^KLrclUy!%L1ZKMn%J-q@MAtxhK zf#?Kai%2uiG^ZoKoerIa_V&F>NxnVE{{cV2`wXk@$PZ&~FSA*)2vh)bo&_V|t1sEusA_w2i?LE>lF z4jt7$Xb|$A|APjh+JE&Q8ibl$>_E22DW0B&49b|j7#_kTHsugO%T__O(?*AR5XTN6 zHUkE;Dgkv@H748=(yxf-e@0g?5guy8XDRNXM#$lWQv(j~gz5LNrQu;9GxjJbNHs*z zTlbM7K?u0fc%%?Z$S6v8(~aQfudH;*qPskOO-yo1tE+ifSe~Y&2yk)5V~^^ZRQB~H zKdrmqb*WiGmwA#6Q_X0puT5PPl z{?PaD5R^G!su8B4vCmnWIlxy7?KtK-O_c@)1r2=t+G-wX9GH}3jaeRt4v{FK*zQAM zic*=|bDR1}DQX<0kaS=xHWkN+#fopdbh+|6_&i_DUTmXXe_ zm#W*CcX=us*~tialYhXZVS-%?VNo*nBafMUX?M>HYKqd3Ck)d84eBw-rw+ef^9vqmPFq;hpaK$ z?a(2z?R=yW^)AoN=k0Pz>*{O~yn|ta9(G%Y2_lxJ_SY0kHs5vn z=!7XevBaFUmLi^45Sn8Vz@rwZzYEE`xPjABQ)m075K4;zI;$;t5^!X6cvu3SGeB<; z>={>`0Y^19SZtUvi#@)TGu+1l2LHPAfXP{Q9T@@iKmBDfD1<#cZGXI9LBcEzl4UCTR z1SqbHtc1<)`XtYWjs}Xs zP4t2BpBf`QuVYpw5`0jJb@Y*la`kuXT!=XScX*u2a}dg4gBip4F?`0;)x3XUyp^^X zJ>En?@#^JEEOWRvaEr)#E{oaTyuCCUShHj&`Rd}y&g-Ad^M2IC+o8_T)^7Ur>1o>P6di8bCABj| zyhvlhL7s=k3d;ggI3EcEI9593qro+0%MADp6=D9!=HCiX2i!#&oet>ZSz~)-qq_ot z3~z*09Y!+3)`y`Lt>!4(?fOxSgW17I5hhB&Gt_sNE$IiF(AfFjk%O^_!I6u2@-fgxhX`ASgop2@ z1eQWeh(}u51+d&=lPQoXU*6x(G37DfnBMOD>Nr z8_hge7|b&yLEIvwp}psyDmvd zNkLeL{Q;WCAg^X#)7IxrD7(ieCn+{<8g5{{n@7gf4mhr`-M(Y=R+;?>zV*MfnD%Tf zE0kRu^oJ0k6i%1XO@_qBL7L|liEQp^qPw5W$n=J>du;UahNRi)+>g~#)~~WF{l^ip zJBG+0g!&=A0&yN&w)6}C3mm6P#v*G&2n7@@%Nl+G0rVpP1t2m+J_!f)9h}Vi1uo%U ziG~H=`ukycr$J8yei7kK=JUVCK~;O?ibp8iRs1)On16u?cT(NG=}y55KMQD98y_5A zsNF^0-6P(jkwR+wRA5Ttnc%`2E5@^JmzRe2)K!RHx$0>DIJ-&_{wuUpllt^k4ko(Jczql3dGpY~tdy~)MXoA*USSpe%XWgR&7mS~{D z!O>A=!k&|jc$n!#aH5-?uFU(<2VipGKcYar1Ec1L(TgPXrY8FO;ph)A718S~FRL3< z+oB_zXDFXi*138~Ga4)Wz`}>*9IP8smxGq50XnxQxt9Y-Y^75#=n4cYl9SH5xnq)mR;%b z6Rmij2&2?@UX_{@J> zV8-)@G7$X$niE44p%g&}8{3P&zAHX>c($yqzk_kcT4eq^IOl25q)YLRc!_`dXRcxt z*f%5YC)j#EK0VeRt;w12qRz1%>Ouf}1K4MWkT}~SASg?gl4(6q)-YZawA5cQ3;hGp z({3+tU4p@@MF>h=kawt=(UWFlGXQFZGVCyg{;eQRyN(-CnIy!j#Bwt8HDA@HJ zLyjr~+Q=BBK}Jv@p45ZR{4_tU+TUwWY5134UNR4@b%y8x({~^CQKowh?f1}cnhJk8 zozVcp{O4K+XuA+K2N@Ai8@6{!by?YB+(9t485r!Lgi1}3;)JlfU@(A(kkK*>dc+NyM#CyI#PD3@X1)lf8oVxx2W{s7pC8&@IPb( z%x!dAh-+H7?N6g{MycRWPd^$deW|VHr{^p)wy8thiXMi8gSZ64$$yefVMTtA(~c0d zZ5dOGZvb#NW|v@#1{%e<`QY8{#fZGYW=Gf}HoH>7?cWdL16$wKzH-V%1-p+yi%3$1 zEs>Y^p7NW&gj-1RZ@-mt{r%gIqdEi;2tJBq)=x;fnLeJq!qey_$2UQ`NNX`M~gEW1-0Lk9#Z21cL?@mV} z%Y>7P>*;btP_x9Eo2*f-%OMGtO_E0*DL-Phqi2Ih_8NqOx9=6RT=wemwwMmp8~Z6a zmo7u0>dt*?0{;C#n3jD;%^^2JTNcxF`x=3LolpSNZn{xF+!x?J;YhqsYEmOL)p`ylZ6={Y@LU-`vVq0VhOm5$3qpikrc|0^@}pNQ^HWo-DD zZ(Tj9Bd&UZs>YGpOVeLVLKl{4YOj50(cgK$RYHz+9RL))q* zSj&Is0(2A4e1m6ZsU_!UGDqT^>*w=90ih;G>IF46ObuvjAE9~bv^lyxhk4T-y_IGQ zw{}u*nDr8Q%R+C?DDe2PBL9UV^F#hr4>-+jvR2z3-YiGK9OXr;&UBl;Ze>q0w?u(V znUa=Hp#JTSHJwT4BcvtJM4G93o{-~taNzFrEKj(Mlx4!jq0FYn-fQpj-gK^`>Bzla z-_(@xj+o{PjUB}$uiKDE@)_pqRTqN=x`H78sVN$7GNbGKII7yUQW2DHwF4m9juWvw zT_|l}kwaTSz3DK4Z3~kHDEc$PB(AFL-G&(osYf&Q5}`$emtcj45bF4*VwnO)MOkoU?|(^_v}d=enMO^qh|WG3xQZ~0CwO& z9!vF%j$+QNHeNx@)0`YfWJt@YBhMe@pz-~B!BP0D!SJbr{BlHiW>BTCkB|Aqi%}e$ zXp0sX-`b{e@}v+#7+~QHd4_1JU~_nWU&hCywS_?sXcEkAOhxJkX5EAvA($H}7_FV1 zHPRQ^{40QwnzXAGhsB_)ICExSTXa^|44P#)>|QNaZ&57!G%z^G;iu575AAp>w*OMoQIH&K8$IV zOaZ-XY3G|FQ8zHieJC3Vf{hwfRW;A z%l!Gv?G$qAnAc<^eNK$De?7eMKoVDZZsOjF?C6QAdcnGmOX}HAtElJZmObbJOD=H?UA(`>ibufByObdYF! z&VtoPDbw5mS3f|Bz4ka$Eb0UNpM3@hIy8?j5)K$bb)BP&BsaLJzLmXw3;!zM3}ku0 zw7_vMc2G#Td%Wx2v2*9kOk0{M#4n&Kl)_A>{rfMXgaY>$(mA4Ly6h}4;u!1^OOaf~ z-1{JM{Y=@R1s`Cx5+z%xWvj}|2lb6mA>j9nL}nm5oW@mG#$i$+#RfiRao>>OU~CEg z9eG!V1Hn7x#+0%ik!NNAs@!*m38O6oy14^1gUK5{V62l5Y#wl~Ud3@2iK6d|03h)J*y zsvT(hG&%F}H_Y4fy3=~7XPc}SlQM-Ud9gh>MeE%C#D(T2!Z?l(4CXh4=8Y^opl2ep zPywM}2P;spgPP+`{Q~wZtj(4Vd|0Trr}E8!Nr6Gy)6Q>|z<4x%$V~vN5b_dGVY*Nj z6TJroG;nuyq)g%j5m{V zt7zYfpxjA|S&gPMFQ?tU)l6&}2 zD8&o{7a=AB`9;b~N{IR*vWAE(B*d@~ZcPaNQ;dpM#>W3dj53Bu5;`R*sRc;+U%H)w z%MKNGg35C_IVs%37@I6*H>d^=FcZR9zn~PxW!IFdG^~E#kaXA?OA=lmMJ1)Gii+`{ zKOrAwo_N4OypbE?b^--wtwhA6Jleldd7eMP)~ElYF@&~ZfmT*QUf#pcPu;;G`(qkP zUI6J6&y*^vs^H=}jbzHpm(!j_LFI0R0v^3J_^O$Sacmfz%z@?w3{IGs1M1n?R|Q5S zNK23fcwoDc=IV)-^O3v7F5M2+U=C2kSiX-3kPUnSA7WA%Q1v3Vs(fr#KQlG0W@{ z-2btBGKZIgTHvMj8F(w7g1rXvT?FgGAYs-F%QIVB@IeU{^sls zb&bDnd>Grcq%*5(EUoRT)_A)9fXi%BLRWA#t=Nt9S zkmQ)jpLI)}Js~7@uPO57kn;n%B_%fhD|UA1kzq-dAYIVQ&An(~Xz0|*lSqt%^$0A} z^I;p}*Bgn}bzVC@psFh2>d-m|=p@`gN5~t1k9#g<;TFP-r)!|i*p)-TI139J7eDws zF#}Qw61%qSE9RIL2k{B?(od;pUSQbPuf}eT6Yu2yOHveEpP`mJ7OP<&^z0&zM??#}2CF3^vR!ud z%EP$l&CTOAIg!93h4Y;&DYuvw;RI5EERK#6a_W%gq$DRB#Z#?cjq1ryF%}gI3Y9gE z?Qh>cO*;PQ($%X;adA&LzG68O6Ap^dXA=IBN!D>gQWA0l0DFDfEJ=8;vr zcQ2%&f)K>#vGDS$46!Ph@_&e*!qT_!QTGiUR~Bhu#1PBBgk zCi6iHqf7H9^E5I`N%u>_YH9CAE)I8U*VQ_XeLhSklkcy@Ilb5_>>Dyn$xKIlpPEPHDhlfA)7VM^BJ$oRyC&n42=^eLo`!ozI_G4_jv1SbI z$HYHy4)&fqH3wDe$zN2XD36B*H+9Yj+G_QRpOgB=_B3yF|BUzXDzT2Vr=w@Y%LNbg zPt(&Z$sL^dv4IIa74R$AiJ@WF+$D>_*iY}+!!U{zxn}*+zRrB-wzqF@&v0*Be{Yvq z^lOiNUiJ6LPm+=f?;wn7=WYK4G2XTl5_qDZiyH z&2^=@^OCE6Fvy**229-#&=Kt@`UTg2Y_Ils%+sEpXwcIW>yhADnhhSR>lOg`rqq$gopVh(Uf`uIDKE zK`7o>tD5>}Z6jZYM1?;K6w9ke+e~opA+$5HL#3>w6v_F0b?+XM{I(RxL5@zZvCK`n zzwYT?^NTY>oxV$2Tn-b)<$HUIA>_n9b^$O%Z5l**Lf5ds5FV9sTAlxqEQ;)Mataop zZrwPJ;OpJYh`~v4n3!hX(oFmM?^VahZ)AyD|F2btmcnY)VHR%{&*Y)_R48Cr4XK$J z0RrJ@(R8aWt4>mC>b5Ogki`rsFX|V4q@oI4bH-#AJk=PQ`BQvlPKDmr#L7xMBj1~t z4gm@SF)U0wcSu3ZW-p@uJDCCkbfjS;V@84$LT6&)I;tx)Y6e9UYs#Ko{Lcd83vS^J zI!fZ^kkJ;N+2rug8eR*5TiY4&<#FF$=>0=`_QVzzf)l?1CLXN{)&yj&mlAprfaX>+ zfMWb9OCEM_DN^23E9FPqT(R_|qU)iq5EkxgXi#IO#Pgv0N0zvy_gw4rl_5==yg^jz9g4@o>e}TkYLniCZCg|Zm0d1M@{{-WMaBsJG~cvd`c`E+ny3xj`dYYsL`^KW*SvNr^~ z>v*5iMvx_Z>*L9*Ia4IlrdCA*l2x<#t1URmuN5t8D2=(Zg(jGWKD>8t=-S7&wxHwu zFC-hqF*g~o47wwC-IdFO|I^8?UlKo=n3&4XPB+`>xNi*L$U;;M5JdEEGlJCA)b_{* zmLz{fAyo-Wg5J4vFlC)Q&``nPT7-H&`trq`&#OoM&iiEmQl38_#nVN|%xUysXz z%zcg>oANHw^*GT-ci`BA9t>KW=*vFrBON1=bh-mY=br@EP8M>5v_pbLi*y|6{Kn@D4-|9vkzKaJ`8;)iU#e(&9@}{Rt<5i0rGgvh_Qy% zN7FM*kPx#vBBB}4s_CUmtz+y*EeG(4u(mBk{wxJD5(ET?&Z>K&V>@xc(C*OA``+F) zZsr8x=+7&NA9|$i<1BcUdqygjs!X7g{7C@);lLftOd~&_>S#3L_bas2epw z0tc?u_7}&9TStAP=t6pCm*27e?A8i_>Nd`It{uJ0b)4@E#wS5Vi5Q}7h>_Cun1-S=pX-n6)V!J^3$FC~~P0!8bn3yjpA4iEC zH3&o7AEP}4%ZbAjL}xUjn5L#Q67SQ)mn^A9IeC_CkHL$plH;r`HJg(zgB$xRu<=H+ zYNxkLgjd+(Dr0<-(JKI0Fos3VW&O}(DFr^oN8C!oQAgkZxdCYy}P_kc`QZ-wFjq#eG-f5GMf;KnZ3I6_pa3;m0F~!EgY+ zt*${N@*Ms(WW2p=og_m1(LErZqqbyuIvwG@dYKBb4G$2ZD~2FpP(avuJp2F@0P_So zmW}6sDU4={?HLQibof$`GynmC>kT)}nBIO>J)!E!F_>Tb{cvK1-^+7fep<|X6e;xR zG{qG5N|9DoRzfT&ucD&unD?J`0ybKgH?pVI)lq5TD8v4#DUgXNFxWMq+kAT5`W;~D zy*mw&V04(3)eI*NM1gj;wp!=36k=)r7OnVknK>)&;oqSZsdsFN3x3}C6ElZvc=m#? zbX()&pYbYWodFSMbF+OlCl5)t@sT~EH)pUG+^2Uk#&cd?85>8*g)3Y`eztm&gMaK5 zHW3bk=B|{>l#Q1Lb7#ZPI97}2w69o zTkm*CXP7!jcRreH$YY$WT)f<66X|d_ZIUaC*Y`gGfxoFJ{;X*Ji7lv@v!9(`@{M1i z9JHR^bP>lrAnMNfryUk+2BTV6g*kvPq;B@HZ%WzyfS1rUCLPfeXo;9T1^U2YM(&w3p7%cU z3kbBew4lV!IJJK58ysdE)~>l(hI~{kp3F=&({1N4?!`H<4iR4X!|g`)rF4;Xbss(i z$}-{OB7h4^NxO6D_X;+nuC;=cI9y^s7eD_+->U`ZTwPbdo^QWGG~Rjn`6hN~=dqy! z_22D_$Ah_8>+loAIxa$4X!3UJmMumLToR=B@7=p>X6EJNL+M?PU5*gJyh|WffP{1D zr~GM9gJEG|fWvF)VSR>%C%P<_bt02*MW2$AEMBb6`= zHFrzq^hwjXzr!#x=4S<&@6(U~mWSx#hdk3xq@Uf=TrIU?>rrY^)6hhSIqQt8apNjY zP1(?o6`b4vG67MNxDp;xpOL+ZUZuFGs687M1#o()Xf6~szm?UEjXbNWM0?~@;!i#N zpzpz59el%eOG5SZ=_ZH?7iKIsZQ3M7I!PMx#dmDB*R{8yft!ynr{bVtEd^m&@GRXc z?&4IEIo+x~hk!QFy<81E0@DbBK`6-d*frIi{3Jjmyq0RAb108qZBN}?>?`yylCuo@6M0jicSsqxzxVRPdMd1IOYu{PQz2!NaX8&OKt7@$*W=mnaX^ zj{EWu=PmL>U(!O2gvb|vhBd4EQZ#uiP3~W}rO?Cf`RAIi#{55JX0Ckus^&daW|V1UcuB`wNTVXbbnY*;N?#O{ z{Qr|$rAXEWtuQwNR&8wqYX)llK0pK~4CVyIAA*JH``AaC_>Q#O$3Mn#Eqt}cRUqATd^4zrfUhyierm6~S z{$sAWHt5bV&oWX*aF^B9$uC~~IvImhqowf*2Do3|lc!b=On}}1aRQEN5-q=3Yg2c3 z>I)0Egv@8m5H}Z^HYEUP-6e%o?2`u`B>?ul->3ly0Z-D)Z&3fujGnbzHS~~x@?T)T z#K#>cN9!r_>2XkmlEH|hVvCC^%(XeF-PLuxsQ+@!a#EJzz)W; z>T0iwzC+Nh)0{^rUSyp~oA6-|bYi`PE<5GU7DPt>hVXBZaJ9DCGjfa7h9tCxgq9g2>fJ%+!F4)XtV(ZT-zOVMHf+jvJdwwqJ*o~M49 z_$O+?C8{;Qi3>LhDcQobb=1r#^kH}$;<Imo>v$+3y$@A!MV28@hPu=g5Z&$#ei>Dq>>|NJdIpSz8;&j1hFE2xVT% z`}X4pW|v8-HRCvGCxHh9!;qjk{xAxvp%>7 z5cRFwXA{9`T@AqZ9Gsj~TkgQs5Ay&F6&Nv%#4o(S$`He?6foNh8}{ZqZvmPk9)oHB zeuU5nwoiBOBvZwp|uN>!2ceL`{@7#Zm`T>v;7l1^Z&@sU{0jg^B z2XssAb+{n-XIPV`|JlQLOh83|{4NYiz^k*!!5Y)C6W$~xos0eB+uMwXpNUxW=vt+U zhtmbFd%0pz4qVH}G?etmmX;-K2B5Rp!Qt#~f!TsXL?nFqtdmobk>}@sN&|S@&TD9= zEz=Qqki^HL^QQ9XU$p?C8z!OYHapLl@nm>2BYLq|X8Dt!|7@X|MQJgTV2T6IscalL zN(a^SjuUT2!u78Ve*c_+!|xO3)b1dt*$5eFH-MFWXGqbEp}VU!L5e)=k15O`$^kwj zG5==d-vi{U^*RaLU)opQ@)zH@H5VjrfEUy>AY|lw_d1|+L-+^O$f*3t*w#aSjQBDH zS#+ZSEHA$Z(2jLW#ea=^xA?}TS8uZYt*Aa2@b6vd+qXrp$?9p_R<(3z&D!!Yef zgoQ!#R0T@z8HO6S;cNmhiNFF7jx`@XXsNyU+b;F6$6xVmS#|ZtGLNP-e_vzf#xM3Y zdgR?dCkqWrqBk@4hnb|(S}O(Uzo`v2p`yBCW(G69Cfn=JR~?y;^HNuRVTTvrc;m*Kz2~{$LbCxL5CeGE^$$M>FsZS z4{T8ANFZ(>iZN>7>t5LJV!YbW46RG8vr<#h(SdN~tb;=Vys?CRtUd;D#d~yC7n$3d z`X-keu%J9A_|Z~l?YcxM7vIQewqteC==(*?=-z#Z%_B{E^*2b~4;hJa|NfR@>tM!^k;ZkD};r)Enb2IX%!nA@+&-?)MdoocR)mv3B#( zgw$h(9#N4Xkf#(3sv17b+t;uA56aEhX0^2*4~KyeuYX~=|E8%u12?pt%)olFr_?h? z7s+>CR@#?v=4VgHb()QBE62@!8*f34Qa&M9^KA(11;YFBsam~YJIx$1wl z5t=j!J)M#K6KTB^1>EyrEx*xP4{}%Z2J>=W?`t(sPVKGllN#)%!fFwHh*3Q=_Us!M zV#qLqud{O=VzxWC=Q&SzW<>AFNy^!!TRuvhCiw%46qS>bi7o$*ob@ z;5E8$uzh1udBg#c*q$Jm>;6@|I3@T3NEKQ*lz>orTnBQ6U6iouIa2-nR}~}Q%dN%v zH)pRGy5>W0U`dElo8^F;WVJRG&zD&k{>hTc;j@B%^ z(}T6&Qj#0Cx)c2um%q%Xg*U~(j>CiozzOz(uSR2zky2VqS_cGVjwmE|SoQ`P*}Yk7 z_vW^Nem3}Oj}MtXJ^BL=3c3BkzG zH`R9Jc_qsvzj{15nwxd-iva=ToUi9=$WL3du+_M1N|%q`%GBH(zPm;kQXyyMr@t{5 z(y{)id6@XJVPJ8``V^O~27kjsIJQu|!lW#5D2rg$_K!e6PS6W_>y(FfYT{Y;4WJG%cRS%T7_KuD`1Br?uMi(z)l-%IZP~|=ZTjT1EK_yGd2$3WQ8yn@8 zJ5XK_+8xA8j_7{6UV!U`ov$m;@|(bgQP-VP7M7N~g*}0xAlqc=lHxPT=VnZ=rHzPX zIwiP|d?E7SYmzoe4i*-SHN>+bP~o$85;+`V4ihJyro@%}Ag0fdGESenUha1Fc-cvr z!!?^3cU5ZaM(hc!u=-!iNCGEakQL^en?aoAnYBPfWBq#?8Hh zbUm2%(bu=PfbXiUFC*;vu>4=S^2(@u%b@?$r+SKtWRu4aA5KV4Hp2m>CzFczVo@_VpoH;avnVbjMS^`FUJYQe|gn=p28^<%R=DA_)pQLP1K(h-V+` z!lEP|gK>(BVq&B+qk9JHBFFmrtchu{M^t9?1GnYWm3FUN-D^lf9iwmfA>aTkAnwLC zGBS;zeu}xim$}!^QZahu>}r&(WICQ1B`3^C$>>q}@}lop>vh@48eKrncSFAHq^1sj z^eFn02TE03p3xs@6OZpxh8YX_fu33T(_N)$;?Ap%kt1~N(;kco;;ZZ-vT9G|5Ll3 z;jdRBPpSC_v~ce7L#F8d0D1moL*`_n#CB7=>{fUGTERK7NWlAzh^Z381ohZh=^Jyr zA;V0(&OONmB{`_f?gd2+o4R+~dD`{FBI{1@ll-xnxF?vBd-ATm)X%$7u*V47r%|~V z)<8~94*C%|)UgJS@rf)9SSsGzt7KLX_ra~`#I6fcq>pL}LwKB9aDgsdzWf4|G9Z0^ zhMh13^6>E~Dk_dT6Jk>Ah7&+onxCDb`wfo#atPH@KXZo2U`6?jk=9%UDwLRbB(lym z&z=L+547?#*@%q8+0*jO2?L^*(q6YpBOdNcvH|E5ukA&{$;qG7eo8U!kwio6#@KR` zW0^GgY5KVRR^JNi8#i`uxkG5ZkQ7vMkiFWWvhol;M5u|+SKfM1NcCSYkY(~hQSR;i zErm?@`!e=Uc<&&IT0*XTz_MNmx;#9}*amUn2XW}pRI-b3a&Qn!48Mm=WeRL<<$dG+ zwjmlAD%_P}SPEz{Ha4=jLWXS*Q93j>PGAO`kvC{!%a1RJ+zNbb1Ri)DJ#nH%32r;YRN~^|34Q*LA7=p&LU3bv_&xx*unp0R*sI108*MYv z#8M-PO4z~MF14}Viom$8UnfpmICi2<6U(PcE=0<@eCW2?%;=#Z+Qn-spy_x&2K5Je zCxmOvY7*lwv0VY#2F?!`D_}WXqEsroj!eVRjQggthCK>_1o2_@8Ejf_{46M z-}ZE(h-YbLPL4*n4Y}j(1XCrG46-v233PkghSGD)%YVo|wd{x5#chX2!e*B(+xQ=R zMRVU>U;h)IF#4X1w&TirhJ=#0b55^>(sLaovCTV)xhCnZ*4O8^T@}sTA=5WoHx!RQ zfDRe2XqWg4E-qqCA1f=vu3ROJ-mKqGJl#=;r+_6H8y6{@Ft>^_p`}TPRVVHQ*Jx4w z%_FOCN0LK=Dwgi^d0R33fm`9vaB4T@=x_LGE~8K8&dh`m#ZIt2Cug@lbF%|kthMyu zZ=NVtU@~?(OfM|t)c=*B^!bsEQNm|#oX{1yw<#-i*Z){ePZ%`AT8-RG`1E$SlrO>n^hwE@8`UcJhUljz}@GiRQoltbZ(SLof;NGvh}>2`vK z#7{mR+mWS}RU}3RAuNn2lW|^4-NQ4Exj-m$*n?^`o8Nt%ghLeNZ5}lDun~a{E&(+I zm=Mn@kZZJ7oi7e;yU=7PD|Gh_L_gGYj*A2SrEg{#$o$?d1!A%y3u0CX6-NlK2~?Rp z8Cr=S!o+7^vJOfcTr4xX2B;Ew*+U~E)%)&0e25+s+VXv8VjYvfe7txOJO{Be;?v(n zWMyR7e|>5f>{5fhf=EENvCwGV^M{~Dj&z52`Py6i!ODShMIk~Y-oNcql{Uy6KE{em zSx-*x89RAO{QQfiU&rCE$%8VC5G`jATqLVP1iC7zeqmUrIw5Iy=x7K)#FGv%78 zrnA)H`9++kE)DO@J_?hfsqT(F~JRUV6>LZQ#ke=ed*%X5wZBg)7G1z zy_=Tm;S7g?zCI+f!!X?VQS79nLx7d_NHa@|64Q|*aJX%~^A=iy-{XUj!To9V1)L6l z%3J=oY*}-9KNOj0Q+`fO8CR=>@RS4YhrXnt;n8tKbk9KjfZ~3K6c(c%h8R(9fqY49 zuKx*6_m=$E1J^;f!YBssZ$cqc)13>#*Z(2P1y`ek%h1Aq`GHtP!a93d*kNRL4R&ye zFmB|$?DlPckiS31^1u@s1~JjxL=9()(|N`DZ)t;7H#{iR>kes?kp@YhGd9i&r{KAz zsOeY*-KH*ds8CDo-8=mKd$-lDzf`avM9fZ-W@cx5-@k7tR#8@_p{WV?L;%Y$M8|Y= z?0dXh(&I8R>Y<)Ej=)r@%rhT5I)(=ZZor}}bq|xHVWP0ki;DV$X)+hhtnKVvA;u@- zLA)a84wm7pK+4Q_kS<1VwBQWbN5jZALL=Z{xE#R z17%!Da4=V-^KZY>Y3NW#RquxeQ10G+R#%r-{1w7xnI6faz+Jy~4PzOKD@c*P=Yu28 z2tuRGe5|<(2orvq7;ukb6&iMzF{-UmH!D$8zSe9~Wb`|x)URS${-V}YWtbZZ#p2h*IOit(VhR2gG18crbtn^L0@ zV79H>x0_IrKJ@i9dC6y{b^XW3<5KrlS#7|ayS)ij9jwYQt5A`y$HXhTVzuYQ6i%;$ zOvOa3O@Dt8^goF7alnQ#U(oecjq>8f=^CfiM4^a^bfx)ytB#eSk~LyS-a%R7w2Vtu zva-B@f(}`eEdzDN2~TRL98w0C8xt6nC=bWvFU_ibc{MGc?hH1Z@kI8;paoF8xCzL4 zxxc1u|C1^}j9`?|s^Tz*%bAoBeHk>ONR%ROhsaIvFEh!gSkx%vm6rfrJV@Yt4&$HZ zIRT%#ue@r*>we$uyOc^z0E6^Dk}R-e;REPK?T*QFX1KKZP8X!t83xtz94_-YoO@16 zu>VjfHLNJ-THpIOkpC6}r%8%%!FvKw6lMSD0yoB?Bqk<8QV2Fn7hS{yy_X$;3sJ_6 zXuLvqQhtAQgqei}L#!{_Jq-^>v7Mr}P5M;ig{CZMHR$>1cdtX)9&Km0A$!vBHQ$f? zpZ3JSNDKplt`B(7jBsO2xTnv#FFSZc?W^ZGMb`g~M@gkgpcG+4mhBmzA&o;eZ|x4u zQnl(81E(<9aqKRlB_P>`Yw-u}9Y7cRaijQLWJ84u-53EAC_-OXQ)3Qz744x0og3yX zplXVYWN5mEDbj!C(lC}Ssex`A-9IiT%|_i%<=7JchbnN{;QK$zz!tv8RBA};85yBr zV2FhBe&9N^9hkkFVtNSsG-^VC110w32dv{jwPDYMmHw=z53E(U5kg;4Q3313Riqz* z6OcDY_1J|+9PHj^M)141k0YF zlF_V!jLHt=o)Abr6ZEFrc=yk`u2OaJsk=*0RGav7=!Z?}C=L+jnS-QCyA~;F%xKb& z$TZpfhA})3&`e(#Qt})pqmHZtI7&aF1tTdIyJ|jBu90xrY!u`)$mes>^U)`g>T$3< zd`Lecz=aHQ-uK%~k4Eh!XXJY`x;pKMe_M@9O{M*`XRAK7uRs%l&%VAoD>e3yB%k`V zpu%iC+&kuocOIb$th>L)XWvjE{=_^}h|BWHq(c{&f4U*hO=O zv@vfq)|ZAzXvn|OH5>naj&wPvvXiu_M^)Usob({S=hw2S9ZF3Q^b|f^dzbowYcL9} zHoW7FpK4VbQnAnV!iS*D@J6FvYlUxS~p zmc^P1F*Dy2#h75JrYYR%-JB@oFfEk6nznK8yh*VNTAN1+!#IGMi|Zlk!BA}00Av@| zh6$wic5dv(o&|}kf?6{OXu5s-a_SEdSI>v~zd%Y4mV1Lx`!+Iy1ikiyYj1tRlfCwq zo9Gt+vG5_bw^!0%yLuIdB_}b+Pt=+qz`()#{mYlezE9xUdw^eJNC|7P$A3VeyrQCj zThX>{cPR6KWY7?y1Psnok0K*`i69Py^DOkP=x_Z#!nr89;nRltx~3a~B&Fwk#TU1y zSS5X4N`G_pLy_|3k5&$da>R)ai!&Tk!k<>_zqSs`wCt{Che^$#BB!}5u!=9ut*l@< z!cezVdt%(!_+xm}FuxeD64(#cJyeYZRL|U#K!7&#r-8jX7o1C*diMmFh5C+By*)?WGBk$)*N7l)$-`Bn-efRkjE&X|o3&O0w z+RppezvyjjZM8)rC(NE3Hf}T%8JXbC-mKMn%yqGp?IwPetbm}QGrGF8G&DP-G(%QFfdS>oxB*ZO5%A-h6_MJVeb6 zvW^pD)$IVIAW1i8FR}KDSK@>gmn*lBxO)!gaIM^T-6nJF!2&x^$mlnu1q1rS70xxT z+WA06FZplkN!DWAyt3D?-5j_S_fw#b03rkROjyYNHzHCAzr4eTQ;1(zMT@;2@(3nSh}*Gb;;K(1l17 zoVEW8m?~lLX6$1DfrJ83-pyE6In?29Tl;#e@FI{MT@0hrSJ%}|5%h}R0JBgipXtt* z;MzBt>4 zG>*uvg4T^t%qd^KJOmP8VcT=~fkDJmTn7XWa1(n#`kBnz#}SlDwQEs|V_S!)UNy#^ON~)U& zfBaaU>9R6G)$|YvuA=DRd|ek0o>cRyKt1v0%oD^N;B0H}hNS&Xd;5#t9I_Zp%CJE9 zO4Oh@)ltMlS@O;&nAp>cNJA5j?<1Gdk#S$MZ0rB;mF)fn6PFuue-^TK;m|<=*xt+t zi_wRgnhOsxCSjKpl*#!0_QwQB`tzrt!vs_I&CzylAjvGJ6Q&p*zP+aeYTDG4<)yB+ zwuzC^Tc?@d+_y?VU?8jVl6K-_WIkg%NT|#B1Cy=rIUEui+S%Tov9zaLP8i*{d>eH} zMg}pB5;GzxgAUcJpuYve73sP>hmG+2=qU6z7@?fz-nb2wFZMlv2jnKdmZ~D@u3Z#j zFTFuxOMOXSTYt!^2fQfSbrfMh&yy9d-&-8-)+u=IO(E5}d3e9^n;yrgs=*JP(uyX5xW;6vhG zbU`1L(~dChx22^W{71j^^>uJO2n|3&$3sHNuc)MiPf%`j4YQ5_6~bY;VeKvC#af;E z4cL`dRbV~3) zw_YMnDXxjDE;3vN7b&SfSsOv6TK5&6t0@dNR#8y+HZ-KFx2$+cs7f(1-|PpcB~9ar z8HHPUD`N5=^Yw>w|4n)JMVpwa0nqh%YAVWS$_ibWB4*m33PjL5#{0qqOE!A! zCcvl4%1VqZDswaUt1?ErhLQz!8QKE$lpcO)5u;Tvo0!-QHy#QZJ*$p_w<85~RcjwN z*E=YpfDHioRWEmkG6OHs<$g?0NfsndKVGG8)%Y8D-2h=rW@pc{p2U$hO`0PrV$F;$ zRwT|ZZ2ItQwm<@BHTUlBMybkdhJkk;!3}YN3Z$O@g7_BWqykUoOPI(i4j_*SNh|mi zM9R{qH|qwYB=%=yWp(CT8GuaU{C@0Mnr=QaRWj-fib+?i_?8RXjFb@g+u6|(5*C(S z6NR`_EDLMvN%+O`f-&D6(;X)NQO6gjj4Zh-hb91q4FzS@Xa7%0Tp5|n@Ci^CAQxIf z?D!4ycazu~is}p87dP~=M`?xF0Z>fXQ)55$8Hxrk+p(z_ zRX+)yxDxWyMfk1>hAr|KJ`BzYPJWT`TT$o5Ndg&P2$ao0RLV!8g%!hiEx3P*(}~n> zkf^&EUeaWs??c6f{m2+3=EaLJrXb_(Y?C|!LICw+x`oU5my?6C*kl2`qN@whET@LG z=_-8AuFFmc6ToOZu)hXO6aOLn&lM>yYj6LBiC{2JKe%^~@T0>(7W=++&3TW{A}Vd4 zN!+e{ioC5>L0FZaosKB|d`3ry^~0%6mNBL}~0l(p~AZ$$jY(0%&eQ@`#u z(x5DlP3q<0(YFzNF;>9vv6I+FNbXi{Ew)=V0g*^-MK(bF4)RN%){wZRu{d)P=c`+- z`4vi0;JLm(>);U_I^ra~?R6B>wve%P@6d91x+^K@e!e61w1P`Wz?dFc1YH@mi}&#F zIS+2~6EM~<-S(#!@o$_1e>xWgdotVFpN4n4HXAZ?jn+?DZ`Hpl=&Zy|`{1`u89|KM zJpG(H7FYt(y9)w ztoy}uem$kG{5W%9t_H=kE%&@Wo;tn%9<#b{ns|JxcTCDqa`UtfJG1`ohQgEOwCrA| zBoQ2Po%G(8wMHkr?NyD7S@(NTJnm)ibv}08V?E<0r=Il1aS`6h+DYfb9>zR%itBIV zB*rxI%ciCccd+Z?+=UMhTTFcOFf@OF^e9MyBO#A0O!Uzgep<~<7aK6Sqs)lA`y8^` zag5;6Uwezl?I%PdwU4_IGCr=`Ja|Kj0enNclXDPMF4Glh%@>Sh8PW=FjHWcj+;3;>kMrDR7&p)YC)Z6I%c~yOVG1uiq zY+8i{znokoBuMl?q8_)Iq(RX4j346RkqOJvR}k8w-UqOES8buYve&Y?)j0bp(QFc- zVwuQ@u4t({B~oFpKFcTYRa+KzPf4(RHT(Qnj&|8P=VnbxZlF$t%fo*9>ZIi4>dMLt z45yl$d~&mSfV0r~L9OSumA8#L`RR|Q9vj{FodyO9%LJG<$P`hDsguJRu?QR)MtL0W zV5gr{q1$qvn>Z9%2MERp+x*a5m}GDtoy1lE58N-V!B^!Hbc47kQ84#E> zr=_M^nwhaa4GRsG-#*CvX!Y7%M}91DQOH{5Z8yM`OGZXE)U6`E^<$6|LM9v8~=0t;!gCaIPUO$0@T@1 zoC7@DM{WXDDh}BGaeG8zfYpI<@X1}Wy;8jn{OI2CCy4X8CKztnl}9IJQ;7ue8F@HA z)l^kK{rI6Az#w=Pn6jFtW&kD)2LQt(67SHKNy*}M{W_0l>E`X*F_3Wq*E7xl@reY- zj_4E2qKONN6SHiJEP&Jp_R!&vAl*@D5A!p-tzIvREG$lE=OvH^6jFtDE4}YMQ5O+f zo~u_gA|mu5NI(+;{JGiUwZ$=E%xnm>&Rw(dcJwaunS)YCD)G<^(FS&o)5)LIkDi*H zy-pXOv9gh_VZuyFz6OHYV`=W;cpGi)S+w4E-&((;(8WxJM+3}nPEGHAcL>;jHB$(U zN7a61DI>N69#e-7XEkG1$9YrJ4m0qkd}f!wpxi+bnhHXzq(nRbSl{k_`xFWH4%MDL z9A~pUScid1La_igrsL?NMRsynJE1p*5f)KpFwaQcb9a|kSKk>B=I@^ak9P7gR05DU zEMsS2FIOopM7=(RUJvcJLPM?<-~FC_^OKZ`8{vrUIB&(R%M7Jw*!#mCD8tWfT4hkJ4-^*i+g$m3m0u!k5 z5FxB3^h3s2TY4`DLKspXVQkNi9fgJQU$#QXrOMw-wPLnJ-qIMgrR9jJsg`2JJ0^GK z`iU)*`X$11xNjH&A}T71a%%X{-V;+_ON}07L{La2Z+^yT>~=4sEH0Enj8W%A^Zvz2 zLvD9jT^4VO0~d9?nH-f#e7f z!!0R6P=CuZ#hkKYGRLVC(N8LOTEoh-W&r5$O?(U}IK2JeKKME3bTD@1JzxN?NF%bcGji=p78PPGq zUdP7F>=*7H7pg>5Tk0|jOxa1CqiDa4G4l_ugpHg$*xXsLQ>uGRTS^Z0Is?m+FS+}wNB~DHa zjp{?hS4828R~XMlul^2nN_g!hyNe_g{^2_m>Uw&`5OX`y8B57xW5oX!An%sR_SMLi zTcfdSIx{MMjK2kiQ-Ay?DyvwdERR!`In7_+xUP%$>zS}DQmI9zUvKXUBvSZ>2|?Zg zF{4#avW}?qxZGJCMu-a4t)Bw-=Q=t zOZI-Ub2haSg;auKh?M2exkZrr^{1RCs*X$v1|t_7T_sR_IBaOAS-bM(IFAS$MoSO* zhZYwU?J{0tv!gjx{`&+uzFB&|uuIe1#;*f46P;s2D;vMJPne~)1X|S;Il=gh3Yy$= z5_=c8R;^PypIWB=Dv(QGh*W%ik9eSmGBq=kIdP&=6Tx0zZg1F% zM9mjA!(9xKh?s59x~Kqh2HIUkD(h?4HgDO2#v%}R1Ouf37KElgs2RZEF!7r+#L{VM zvPOST?0!=u0Mh!te0k@~pmHM|C~@)ShM0TzNReuROn_DW5=TK=(m`AhBnGwPF~GiU zQ0zF3Oaxf&0cTCn7Ep zk-+0w(2@P4qv$U8(9+fz^T2oku9?~D5K1>JVzgdB7oyd%YoX5rnVFkRU0zyx0qhYf zPaoxWQr~?c$;8Y)Sq3Y{UpfGyA^h8sV zu=j2>bBd&vIO682g6=5vC;6d7*C)1Sd^t|u&35`?%yfeT3JoJgPO40NFSDWs0yeOx zvt4$$sODIcZ%Nm$Eb)jv{oZ)sw?o^u2yRWH%s1Gg%Sq^RNcPiyJhGAQ;**8*9b0P_ zx^k#{2sm*_9c^NOo6BnCx$Lb~)3`)X;$SaB;SPa-7aqqd_V+p;(W7i7ly7)xdP3)q z7y6o=v7;oN)rerg!BOpVo`*3`X`5id({;mTlx`X!aUD&%E+YlCyW^wC#SpS(HdaHF=a$``~Cz18cpNsr0w#-UGbl-A67p zc@|$Ck`eDKc+j}y^Yxfg+yk!GD0|w;e*SIB?GDYv1|cFF4Hj$#aCS{tScCchr0W>CZ3`;S53S*nP4_N z>w-^Af9!4veLG_vZ<7~)*v!(>%R3$v(HXDkQdZrR98&M+*>?~4K2WM1?!H;HIJX=T zJ6ht?UbnPhCz-M`>^fb&f%T}nSvy#Is|BcpM5 zt9zRQjr!JQe|kBE)^t)XS|hs5$c3dZ48Gree}!7=k59?9e+bTa%3VU$t6y>;s>R$t z{_*yysZHcGp#g!i0j`Tbmz%`tho|b_mxRd0f2@?}J~sEIuV;yraiycsEG|{I%;iU~ z3ERgk4(7SHrUj9V#BFsWk9x6Crfks3L^1h|#!Nhgzp_@$@w9YU&$5O=Cy$Y@{Mf)? zT+8?z7UuOdeR5x~#BB2Atl>#X>D$Y>_(E@62V`ukKX-?H4;Lk6++0GZ_p?-Hr0>O; zK(?L5xwQDy*!a{7^dOmAA6NZBNM^Sq5hyXujF*X|`2p`slZUzM2b z6qp$*2#cjFiOFu{v@W4OcDskp$nZ&I*vT=kysk){Uf$cHY-hEyE9~yx%LX`7Y%Nya zhkNg$;EG{ z6)PN6(!8Qf^Q4MzzSf_Dr}Ezib(tjWW!a2-#4girdb!B?08^WY{h8}QEp+Ct#KGn!uWDZsA0bJ~ z$j04po}xkcxJt4sLxQ+Yb@a-XrHgNa++BN$jD|zMYj|OIS6Q(?k^1;!c zD|ePvmAU6@rw%Ulm>9)aJnbRpL3fbyi2T&-ot5|Yem$o)^5}0mEiK)?Kh7@x#6(1A z{NSU!EBHJ|4N9`?22@${l~6D(K6C80+xm?KCj8_qXp+_| z9j?I%Ip(TmX?>}A@FhVyU4QIj6vY?hm>?G)f6u^TY3=# z`=dACkflF4buvV`vraSnIMJ-c#qDw8=yAV1$i(z8^?Bzyii#alq@|~p z5B8BVdT{Bm#Ai{?38Z6(UtFY|t+4qdZurebsBC6FR2c!8dM~Gh?`)8uHTV-XLxxRw6rfdyADU#7_`M^hMAouCpaLM9~_ zyLx(EI`Rg;rN5$R-O9(uzbQmlY0g&iW$oi2?fffj<&mq298ph(!!yI7widPD>KS< zcE(R8J-_MUqi#!P`Sx4+>HC$MjCVh5UD`9mT(fhGS$O@$LcUq=OX_<^icTa5NoOD3 zs-u6lexmwzpmyNi8D8>qq35-vT05mk&mLO2WhrMc5Ao&6rJ!y7N_$4=q@ znofiwh1k0sYLW+y5O^j%pV_W)>`Mt6UA!>K^61f>H$MM6upjU{BIB>UV!r-mV|{e6AA7#Ijx z3)5=yZi+m;3p)vVGRQi7eN~i|KfvP&KqugL=4aA26rI-*?r|)PunHw&PeXNWMSCg;uSIu{6 zrgX1#7iy}h5tbsM@|v0yXjdQsRg(h>2QpqPas}RYK<5p^Mw#{)G6nQuaB>c?G9sH5 z5A*Wz5o#X5&uDi6a~s!{KsDiKX*@MF;M+_)Og3`toOzC3nh=o{BiAPiS%DIt2$)E4{bdvzr=? zD)?8l#qi)F%Y~dysDF4^?yHQ9OwWsJ?xmlf!&D1l2*RygSC*lkhw!D*k5L-Jt<8*3 zF?jf4z6CF}kAAV^4yk=~bZG2fLBI(QJfiK8!{623&PUr19!Ez*gGqr4Zg6508#nhb zlC+WRj(-=##-R@B%O?UWdsik5{u|`kbRgsjk;c+d$Hu_{tSWHnD)D89MpkJPI>#7p z>xy4&Q+pXk7v8I^2#B?7U%y#K9oDc()G@@ld5Rg20VW9mU;#yPLj!9DdJfXj}o6!*nSr>h%*^(o#bR>ahq&I58dp?d}yo|gmP*afr-cMg9c7U zrL(|c@)B4L%`T{UhC>g@6;MR03gQDf+6~r*ti2^6KSzK7a>~kDMjCp1LiPT!t?bDu z%3>}aC^w2J8i?_iL*x8nJTO8=wEV}7ZOZ&8Fss2Wu^!&4>+`@u0TQ( z7Dii4yKi53Xs9FB3DzgbJWy!W)MTP}WH5ob+(@p(cJD#gl^PW}IVf}58XFIIz<7X> z1N_|FkKSL}C55X6!!`y8o}hai5y2t4DkO26iT7D3+sS{=PcmZH<9NmeNo1HuC%i!P z@KeESF{ZchYz#>?{sUHv{CHYSK1AXD9_CY++ zHfpXY!0J33kyDusy^j^~8pHa>duch6@yIMM2dSKtV!wq!(BT*(5*&Q_!iA<3ZO&t1 z>^tv6&l#GkvnM#-Z|K9k*i7;*x* zTJXJhY`KGF1|5}okSZRNJ5q*7KY+v{FV7bCGv5mAF;r9t_5+0M<3kp$DjQ&tv-TDn z(AQ05)Dnb!Sbci+ysMP@ycFoTk^;R>&B4um?al2Cge$Mmh9%&!pI-(hE+7I9 zR8k1X;Fm8uDJg9bfYM=(gJw47q&M{2n17U>o(?S>!rlA5R=pa9JQr)NGR^lNdHKjw z(P+{(@J^DxM%7K0Vx&kOhgJp46`tbI_w1~!*P+N3ym}sEN3bbCREcwl(dsg8{kMkL z3M^H^giRrZJ4>i$F_Q%h9tL$T%+2NUMP*`k1Fk66kJ_&DaqF#retLsXrJk({_c9Pr z1@ogd@p>GHPd|m>Dz&wv0BSYlk@XQ0ve&!38aX}Qh#Z8kCtTcBeg1q$bxk*NIigD#iZ3akQPYyXWluF@Mg?H{bU@&-1>&8}=>o%T!fe>Ml`8zWP`oN< ziOwI_B)6^}cMGu&h;iH`ZM5jxQcXr|+_P#~;z0CLGdDlzpsdguoqK{OGA^F~ui5TvT!xS`FEC^DjagrxG3OQO700$B zL{QbEHqfrtJ$Xy&gK=+5v49k`fnD9090oh`F*V+~YdpGQafXel?5lBcHju%)F)jU?sP`Cn6CTt444>w3tJ z21;Sa=EOm9jCBW~(Sb?h%3lyJR1dWCGw5$@4x!354%9I=4#D|5Ld0Lx*F$F?I?yq5 zu^Y%ANW5fayMeDZJ@!#{em=n|TjwNKUe4!JNF=zfhcc6N-VY2IgQtK&43BAoGJ$xC zai?MMeAP2P^07IHSz57zhoNx{UkXeLV;X3INlF&4{qFD~mTHCFFnCil?Eg_T1h}pq;^v3-Jzr@)Y&Qyb#`e;#cam0;7#Q+_va_6np z!>y6C3fVUhaJ2;ev{{!#Lhy&(#8=<|d;W@`<42PuY?<^C#>x9{&NEbI4i24uV3wxj z36!X-i;JuRC_y_qR=QWp(V~#00Ol~uNL@=y3%&$~h9A)s;If6M<#=@kro8p zC~N!OmFR3kw>NmI#6AL;JEw32>;o`dHOfTIM#$LrXY>b(P&+Wod+(LZ(YD}7^JIst zl#;x>pXzuLm(T5CHKi#jiiQUa$llPvzTOK1JeKJTI4{7YvZ=8VW|EjSgH4)?BgDHK z5$|mw@4*v5Gqd|XiTD%6x;!h-w#0L(Z0Y{<9G#ilVrtLn$CkJNhlZVornZz>(81Yf{_8&H=<&bRi*VL~6?9uh;PUi~Q=v zwP#E7BBXy*-J}?AxWLG-F$dEM24(H4LZo3aKmi1{?Z%usd~oySq*0e=EldXII@Z)0vi66x-&XKB$%PR5;eA;H%{|WUuXr zXel!^C(N=4vpWcPXAC?VY&^MOA)$4F=ybtwe+O0tdOBPRpxkxN^V!C>EnhP zhJMPya>>or53^5e>cw(`kpRk~6X^wB2+hHVe%&m6Ur)^w^|h0wrB)UiQ9=gxoBdmP zP!WU9LE2tGF)?v+c6L^mwcD}<2r7tz*j)7rc;Gy&QP!KAd6(UY>kR*2JGlh714)tJxL<_!LeehV+8pM*LaYf?*5og*2 z4Ny1{PGmO`PQ*1jO2Boq4BT$Z(kJDr$;WC0r7s_?f1dMl)$blsxKD>zzcx3&U(clz z;`NmH_3ZQ9%x*;V0Gm%67#DopdZyvtA5#pTVGX7v|c`#58PvexJf_zBn6Vn4R;SlRlE zR$6jM7(&giE-TBR*S>txwJbHTrn;Jl)G-awl##?T&GQjpF)9gPBr;FW3n3;1fdv zE{wp_1hg5ClCpwh19toBnwmBZ*mq5iZ~@U5J9cpiX~`KgR*=bvqF%~|fCr-do7kGe z-X*i<+kRshK6>xCo`p(#XJ-(C%)u;!r&(cVdZwO8=*qokp5I1RJTR~|V{F=@H|rV( S=j{`n^{;1Gt-V2WIs6x^02%-Q literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/2.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/2.png" new file mode 100644 index 0000000000000000000000000000000000000000..f7f956bea2d273aaac1e58e0f8bd76a05f17d873 GIT binary patch literal 79774 zcmcG$1z45ax<3jcf{3($(jhG%EvcZipdj5yNtbk~bcld-NsCAb(j`og2I&StLZrLl zzKH9bb@pES{^Pm#dwg_C%=yI_@A%a_0v^dpT*bJ7frNx~RZ8-{0umCc7ZMT*5&8xA z3Z|D)8T^A{Co1&>9UXmiO8z?%5+#z<{d-TG5|+k2pWv&Uh@8X|<31TF%*%b!Hu@tg zsKN}j?Qzgi4`CSP$pueqx!U)AS|7q_h5}8ZTT4)7N*!b#fAkt+>dBMtPtE+CTDbR0 zU4!V>^E-XhYzhYB`byr!7dDTd{vsCRXrYa5m)&q94K+4eX-|w-SsA--X|&R;&(Vb^ z+Be*Vhm`Y}z>tqQqQ#pj06q39mZ82Omh9J|PQkhx{#B1~obQ!A99rn|E+Q(EPb4C{ zug&oLtLn0IY8BWQzPR)uyjfqDQS!08CKqC|#~mY}W+S+-jWgh#n2N4$;wR=qgz@_; zXTP=-S~W&B3%BC;q5A?0{m;wqWc-wWUV1tH^!oD>X`*F~5VHVz;$__ht2%4$|2my@ zLd?C8(LU$ydow;So3EgT(R<^h;#43ZSt61aHKR%{M|V|;{{B{dZvAU=bV^I*`3I+%g2WXcWEdo!pn3SL#- zOZ=}3IC8IYy!&23`F&5%>Zu0zR5^~zzW2Q7lV>^Pv>6}2SJH|TVEBlqNy*7&8gFiF zSdLd*BO!?o3)3r0Kz&XjBw*V2K0G{}gx3;2c3@!ODhZF1i_47_R(g7g{6RL=0aIB-dnQ&CYN!o$ zy3GcK_g-BZuXvu5lM~cNet_C=^S0eM!Sj{LNj%Z758YTPS8f{ZmseBRH22<{u`Sm; z(I9HyTmKQwrgPG-qQgjlftXz?EGnu!_{HqP&&DR7)mweE(YCz2jPS$m>gXU7bXrS& z5QI*3@7}%9Qmb0)DN%WOY#Gawlap)Lu8o#hXcU_&xw#z?>A2l?*)j^YEM(u8vcOHODWJ8Px|Bypme#&)mhpdw-|rW--52o~2}dXPNX& zi1OVTGOXtljn+TCoR&T{Jz?sX7digyd3sXmxH?i&E8;DixFseeq^E)PI(2Z--Q7KQ z*yH$M!M2D+@B@wY{KgsE@j(GX%m5$3ohGAQj#c*Nc zJ}UmTSXsJm0nMRLZVb^!Rny%9Rqc40g^vktzECW-S6wm`8%p7|i>zDodaiGC_X5kn zRh8;goP@?^nkB*8M9!K+l0<$I>?>pCb{jJ-U%q_V+uN&gKO89$S*7yuBt2Y8zVNEb zZ|_sS)Z@PPSk9oJpwZFMV)f;dFL(CwqkV)8JV`gYuG|HxYw_%X=vp1 zE{u$hiX3k#?r71{(cy`jnwedu5^z}3{lHe85EUNY0~g$H>bN=qgTR877cqe*>K`3F z*b&dWu#ldfUR5P1>i>95UtiyzU(4K_MTmryR8vtAkv`DZ=k4cL^i~It+i(E;?cMj^I~!zUhGnP zdC1mBV~tbeOqSc_N;Wp@QMWXVx{`#fzkk6NIkNuoHN`bgyV($czq>LXFW_i7S>q1R$-hE`sKk0Tp{l_R5RFfCH(FO_R7kHLPC~fWm{YJbPGSb)8ct7sJ%%A z9L!taGPXtCN)w>u;NYm)pNp?Onq|`ziN3=W`JtkMpPt@tLdD66&ov9l9Z9p=<@s=- zAskDwD$2?(PEPDaFxyq~wGEB(b!sj7f-~-KuTIt$6s)C%3R8Rc4Gt0!5WIc+_M23i zcnH7UoUESS6cm#!m*WzPQRRH?WnaYIGTN~dN&>qn?y7s;GZ?z`h#ZPOw*oww;@ef} z;>E~f?@2^qE^w{7abEvDBj(Qrg^q^CXszdIOiT<64XsLt@k+-~#11zG-pIo$*XFPq zgNvhH=-zMABtkiQVRmk9Z0H-wCkeL54)*tFq^E!Dg_*2TYB~FeT&5m@xa#>OKQGT{ z)hmYo`3FBg2}#N3&P0Lg>gw_R{R<;+85NV9rUPET#=^!9{rU4}u14v>-k$kT!3&(} zsVQ81{7tBCLfd_c`1EotjEvn2_BqPAcooglbj9R~gV!(BD^bfQ7i4TDr2nLuJmmQ7 z=yX$gG~i-A>vO`Kkf|;g1%BNO8UE)J{l9##JkZvESev6Q+G?=H=mW?8s z(|@opM113E^BbzASIW{CglaA=f3JHbEG(Q~bHFr?oHDq$yEH5o`r2mgd!6H?`)=`| zwiufCl`qTKqMtZ6dIXeY{8~uvt!vyY;8e3ncVrXdyYkETk*qjek(}=Bjk1C;LabMh zPD);A3d5S{?d=^E6$SMMZtl+ZZuDxaPP}c-vZwdh>dM+X|2pdsN6Ybco%q69H=-Mi?q&1Y|_{fe$oCm9YhGI9{%EmKQN)?2qM%*=|)YBI~!ddH4NNvH)cuV+f8C2(z1 zg+6YGz!7!X8sFki2)ymQVPNDk-4Ku^mzbIbD>I_Lp3kr`FEi6Lr$QTd9)&;5AfWC#gANP?f&TvJ84Av@>V=2n1?>vQ>{KNsJi)OFyuWZO zzdgKTvnwkjBT>MSm5Yl@P|$7QquSO+>7>VDU$$anW8>k@vLXWk;DUDcs1m3PZLm1~ z{B%nql>GQ;vnyUhF;_V{GIG4kMl9vgeF=%KIBv7`>Bgm42?+_6Cr{dwgfw!M@kIjz z1C{eMW0RBfm2>7{8MFWS4OW^_MW@^Bm@)sq)bVMjv_}48rR7ZU2Q2R(y zmiqnjYw47jAVF61^dK0urKOH0COX+|A0&%+snI zXlgQs$}AJba>x3+R||K1TpS=QKP)n7^!=5})w!KmW-~Rr7 zebm6dW=*caz8CB1u+PmY9Yp%2NK$j%PnPdCyzb2Xy7UWk(s~F36^cuPK~hMIpjk&8 zM=X>sJ>UFP9E=B#0|Ej>qwBL9C1qs^l%jdI*;9gOSOqWnad2LB6FY~|LiKWwFJ`gr zg}C-P-#&-dsA2P5mo7ed+|kjIn3#AJ=}Z5X5vyf*a&q#Mm|P42A>rKWYS*szA1uH3 z^oJQ=MutwUhns=x>O}R{*=}y zb>r_k0pFiP@FX1SZY+P--IeXFE!El@xvU>)#BRF+e4Y}2K149g0PW%lCEEFa-wXz*_N~lBnW4RQX0=zhC>WcO?ou z4r`(z{&QYm1nlqrd5N_84H@~*>nbs{i+^6O$%%*F&F=J2{u%D|mN+DrA!6GjC-{(q zh&1r1Bgxz_lc$tZzTnb1x3VN~_+{mdLwy)ukbzWJ{y357`d_r{hBo5zcUn3UGUiX8 zAMO}jA*A|EWTuq$vMK|$R_&1KEtUR8g^*R;uNpsk^v&lAkv;$T`i~|xWf@u7h9Eo( z%J1=XjDga!vi5W2<|dV)fP*28N_G@&QeXkr}g8fq~#g2;H4K!v|#7EyAOt9Tx|3hlYlNgE5(lI1Ykr z`rbdjdGjW(<=D3c``p}I5+3ultu3xGEjhW@+W9&Ky2vs~j~_qY-rnX<%*)GTrKN3{ zd99h$Liz3E<)`ZEHy%7*vf)urp3stzX!`O3EYz=@@TZ;30y#Z+?Dp)XhognK>#!! z$J%o4{nq77x5llReMGx^dJ63q6c!x~J_ji0XcZa+G=9Wf^?JwfE4Oq4lH z4^I@T%!JG0Eh%2TfzxIyR`iAnc3*Gr&oJBV?b(?NCXpX2C;)|-bovnjFeE01*hf_F z6EPY#xuEBX8^Cb`0|RO4K;@i{mX=Rtkzy|qk$P;|VlJ|3flMc~Y0u5~_6F>XW)jOI zD<4dJLN@4Xn9?iFT`RG1@*$#UUiB&+7%*-@2G!YRIMTLd0DMW|8E7&`nyY8O` zG4h^&T?;BHBz7p7p%kTlHj|-hv1d8|Fwd(QKOkAJZl6!^rOkY2Vg$YXrAwE-HZ|E* zW4{ETwi^00`B+i+%`T3}4maZ~iVDU+7&5NM+k;kOeMR;v?eJNkf6Uah#lJ7dP!mSsD<3=u6ccCWgnQXPBSS#YJor#{|4#Y_vb zC|sixSQt*z%hRC<=BT>u_xN(2 z*qi-vzR|tPg539~nhWEE&cowRcxJY$rIuRO)@y*5bllb-1Tmwbq2Y@X zvT6<;635)JoBJ9-{)9bJ*ICZu6tFoBQk&3sstwWiSU zxk{AC8-+0{mX5RRUYOt!?$YU~!q?MkAG{Djc|GGiBd4nZGE?^whH4q3OrMs$StJVi zMI|aeS!i(;)g!bxJblzz%Fb!#>gJTd#-4g&=%xnymW#WEMXq`-Ij51Vn_E@4d~Z}g zgQN*|?7#y#o5jPSay$A`vRGVla*vg;vz*@{_m$-?e1s|6faX1EyWhS~=Q zcVSiq1O@e)aM7vo*-T&L)KXEAm|PP@Ute4E4+z-r@;uq>QB+e`r+6h=Hn=@ zS<4dTYD!v3!#+L4-!(xuIxr%c8dCV8Sw5dt_9)D8&L@O(@lt0&0xU(vuvk2QzQ4D( zwb1M5{_y(3{+d85sMJLTrQ}ObfJ<`pAd;}#zF{XgIXkwLnD?_im0& zk$m3I;?a{j@T-|PK6vYnf}vg|(f1;q#M#epJeF3=iPxY@Pk)rn1J9yGE0S~6fZaP& zfkE&A`D9|w^cXT#bldUqF+Lui$I1Tu-`FJeY^7*8ozPG`+5=2q$11x;qZ40(yv71G zW8-($E$F(mEXi0&3b~0F1g+p{jw4b=J zN-TVQ{pn5|$iwE}rXXm#vN3fzJ41CZUcAVn{`q}*dHLthpL26NrVrxgj2_x;T3Nka zqiu+%4zca&^Ai-ul@~={=yvQ~v}Ub*XliN-XVla#e*eB|`BdNP;^tOk@y*Jb z2ebkb4!l#aZ^HKl5`*%z_UR6zty>ze-L0%wFGU*$IE7>8__aimwM~U($%gbdY%qS@ zkNep2t%GKDtw19-B~j0Km`Hxl#5BFR^+(<=|B~Njnc^w+v?a|8m`T5?#MDV7EN0*M zHcvHpx;&Q?2yKqg?$#~jsN!)Do!R&0WbqTAB*1t8G+H6M%3)buN-Chyl|t54TV9UI zpZ7uPR+P$I9jJgi0PDiS);oA7gF%GepHJp3%~a22WoE9l`Qa;?;{W<}61Uj^kgj3Q z(ATf?-oK9#a^*Qrzx()ccWWf`=H_O<>2|^$J6|la3g=CuCJ)l}A2jH&vdhWHKqb&L zF)?v++WV+h^m7)-7)(4LAD?9Rone1m8X%{ij5=-vH8QZVQE;2~G3Qt54-Kvz?@sDe zI&>7*-a{BAs+nx0x7CyvNGUXI)x-tL%_zI;b`tCst_Wydkwwv8w{)pl)%bMfx{+sMS7)yd?z zxC*$T6cowshdaPEX8=*t4Vs&pwsv-s10SWDsa&mz7x6qv5_08RmPzYoh-`iN@+FXH z9xg6;4T3NKcTPzRihD6U79()g;qmc@ZEUqHIyF_PsV}uB`ueu&udtbqe16sveiP6> zaDle2u2R)tn12!4X$dD#I9VAPrxr+N`mVQA?6?mrOB&PJs7sieU#Z!C!NI>i`Uo>R zxaujQ^W;YWWz&VVI{leD{menN<#$ZWxwF4eMDdjGer%lOv6}VG4M~^J_pdtE7$()0 zLep^p=j*FMQnAs)SXmzPA$B%4wwfSXg22?W*BiP;4Gs4h9jrOt`(4%|wV7h$^W`-w z-we^{^gHK;@n^P{oX%al2!1xv-{cQ?s&_9j4#5L%US3BBhYgh*i!wd&VzxWGyWrZ` zNh-4dqCYx5-k-Q~&h@}RQt3bEJ_{{*(&FWtZQ0{qQoY{YWNb?Yd zbMQa1UBGvLPWyjQV}mX9x4)K*eONC`26PVva>UBM5BGml{(b09eQtZ-oF%Vm9?qYW zODjFC{RQr3q>QNJWeM+T<-Gu)@?KX!D|5VgPu46?kPEqon0HxV5zvw7IgfGH@nmW@buCN|r}Ud!<0{11b*Y+Q5Q6 z3mFdhfaczo7ZGMoXx@oBfoXh#8{tN`HwOAHGOHL3Ct5s5#QqC)y+6?zuh|io3xlU* zI?BKu%{w%-tK#{hw%d9mGRh@YHR+xl6n(@>D`Qx1=6$)*g39m=l=`WqRWJ6VN|h8R zlcnH~A6ashW#m1#x+R`Hd*+R^gXQme^n(J*slE}LR>js=5-Yy+_nms~x&5ZazSW{& z5z2mS*Sm~mScCG?ka;xRoZ*h5WRm*rq!E^$9K&draK!q$G3Ce;!oRWr1yfx8i=U;v zU#B##?XcdVASHH2T(D!Rvf#d|=lSMU8ckQytL>@fab!J99Ug)S9_sz4vb00kXgm37 zjvu)DeP`b-Ccc_tXrZteIQA4HQr{O86byo<4vQ$%=>c<5 zbSWt*xB=a!#qjiZc6N9)?Z7;Ov8tZC(~}|7P6yBbMhH+|zohN;^>SE7Y;0^+$riR< z5Nd2}`SH9~J-zT2K%cyE(r_9Z8o&%68d7!P;o~dI%=DGY&Cb4zjcpv@YhPSaf<-PE z6YKsfI}5Y6uDQ7xxN)M~;KanqLRNCmmEl)EmY1s?SG9AMM?sX)Z+u1Xs9I8ZE1-IY z^_`;~f+fs8e6PiTV{V*5-0BUk6y`18=%mQ$MXR42@ho??*^Ts8`-gb-CR>FNa-?L= zX;+5_Z$}$+D4(;}pZ~;Ojn3F>$r*c1ywDI@%lWbVd2kfvMs>teu%Ii#%+BeK{oSA2 z2jEJO!ZOLuZe8~hHDTq|dy$gmGk>zSQeN9?x4N_4!}DW994Xk#M5Lru)>HN1n|H@; z1H^*L@D#I5Oaeef%|;(H`aV@VBGsN(i!(gOHDSpY zU=nl2ert1`4`?Q_-McZV(&%l_~IP!T@W%+RN& zikY%%CFa8u)vm*(Fsw6XkY3j(ka)AAqbaa(Qr)MExSo`9wax03B1(4dD9mK&vQ^j_ z8x9ft4{X?H#^W+k;D6I$wvB|zmtf|BjR6oh=Jr#tSPr)rn=B4*pk7|4>aA#Iv~;I9 zW4oU{;LeoW&BqM?`ekb5aRHg;>{%-tq^zHc{G#d&cDg0SSSfPT4U5p6;Xx^&eT@|n~nMv#d&7_tF*mC`L zn|^uL*G~c$XkO=TE_D=IDnz03CW)_H#1xO^=o5&9nK5;Fpi^V zb20KOxjPY+_hJbja4~EI5#);_HO!7c>Jus>}ri!+e+bi z6jq+^eYSY0N@MAY#Cw|JN7;M+Z|L(9>=66`GZgN8m&mE6x_aw|_XP{jeO84UX4+>x zud1CNHRxhC36xsSSxHW*V+QdH2%Hhz_8YHNVOjrV+?51`erU!Qc;{Af8K8(#zCx7c z#r#^HUDHc;pp6KDDr+<_Ia!;M@h0V6@};GvK=BXT%aYV{2i64i3()+o z-+YV1VLKx^*FkW$?l&mds)(M>JuNH_w-0arq*D|~>$@Z+p0lkjVXG>PF2kTIEKqNG zbClZZw%G17dCi#rNtRjuoh&C#&AOr8hlmCQ4dUYCWn^SPhG)ske`Yzr#e2Flf-Wyw z#n{6@|IoepDZx6e_ZxC|-fFDD@PeG|?CZRiq?{zUxOK7=eAZvOx>olPG5z39mKy+B zk%>yr%JTE^Q7g*7&S^x9WndBlB$>Eon2L+5Z%qp`?|x3d@OlG&`w}QaEo1eMw6rWZ z>X+(b1)VuKg+P*nx~?|XT0$EYJ{mAvLl>1ksZ8nlR6PHa;_8mS8ubEg5+C~prkSwM zQ2l77gr+)B=c|1U8@L}oJ|pC`-z>lm^oROv9*DR#a z?lQa6hfSd)pR?Zj z*$pE_Fyd`Q#O8A8Bq1RoIiIx`&h-3z)+2_E%}omDnJ`$5-1esYwp3p|c<=y9h1*=L zF$7X9-j@F}#csm~yW{cW+pat2#p(}@Yiws*kB;1m)rFv-fTGY7>QR;i*$N{gqY3bQ zM~cm8#Di5U9hQM`%FtII?~H<(&cw<(QEHVenu3mj0r-?U>LsW1#tdj7P-lF6eSQ7> zz-127?ktS7kYc&%c-#D#OhFFs*5Ao?IKsX0nL)PUo(l}s4IR{ zZW-MtBJzYUpZs2xoAw@Bm#AV2XxM&7aV_noSQs`SOWlq& ze#+4mr_uigR#Ro=0kHHvcPl5=ifU3$YW4^hm`D%*mN?Jc?17IaV z#0u<)UI0LdP$7?_JwOg1vL(tn?ko+XULnL01?$KIoOuayXK!ue?_XZ1GHFRROLuZ~_a9Ww=?ggub0ogk)s7U#TITn^^jdt-$(#zh;=st_Xhl!Zy6m|D< z<t zLbr=eV^`HzoPR`d{+G2%)K5cfdCeK=B|jVK8TS~Qk??^JDKF!>9G#N&_OZXz?@zM=7$F5WHHd~Q<)`9++vDnc0*`hhV;s-8%B0M2L`Gk@A$+Lys)7-c70~_2NQEBa5(EQ`41GkyA|lcT7l~L@zl0kneo)oZ97nplZ(D!A8^QdDpi$fQ{2shv{XfjaCK?zUdv5ouY}spT zC&^{GLdFA9l%NDB#m5VPEdpUxTv1TC&SoQE;-n<uVz~+SrTZw7^2S_Ec)$Hj* z#RQXEP*9MGiHValA~Y17qe7GJ)P#ft;4bBwdHtp#%IKFbtASxyf)FCiU!`1I)yn8OPTP+qFFyJ+_h4j`8jwc;fy zB{f{}oOxWVE_@U?8wd+en66Q!7ZyVLkK@jrJIu_B5Dtbo+^TBH9GVHQUT};N8@`aE3dL2%yrhie5J41cpy7$hZG@sn)abZaN4O8yk=tJ|})+p;q_B#UUp5%f13ADLA}u-Pxez zW#{Ddnic~^ha5vX5g`$g8P^R83JMp9=FG#=0!(!)4`7X!y84HHQ+VJI+Mcm>mXnjy z(1=&g0XW01C}|gK*U|7sZxw~Ap{;Fkt|NZSUO_=YTbrD!;b#scXCUeK>C>kzb8Bk| z>|BjdS5$=Tb0;~qz^!vbHNPB^v(5C$ZVFmj)z5nAd@hKkzKkf)+(H^^A7exLop&7+ z-^2Hzx7W>ZUq#`2srQh5-<5Gty1ww53|<+}+4F8Xd*0sK`69M6&9F*dKtX{y!LIuS zX|Xi-pSb0iGF+R;>CxuWa1l5nbaDw`%!t!vL+ZVvLOuJ*-0ZA7q+fxVZ*BZ5;cG`+ zp$j1s*a5xvm7Sdp_WmsvmUIG^#yZ5y()8 zg(EI*v&Jn} z%EH_n69YrPt4On|MBhfb-&CGhDV+Lp^3Mxz6OU#yR_)=WD*Q$ zKFY`-v5&+YTz!x zTK=u~Y13=agJ7J%0TS8L(5Lpiwzjs|hrfRP0!UfkZe(b9+sB|~>_CuEpl?7e z1H@+39<#US)LE-O_?LC6Rx^15A(yM>WMqXUAGAbAAGt3U&1CPTncy<--NFY1!EUjI=Uy1IGG2K$s!X zS#!oEA&aP0(btO2OaNhAi2t4*&5OtjZ9f5O0}?8v>@HK))YK%qZAfWqCWxouRsWkCx1Y9#*<0>@Bd!Z^xyVMZaEVH!uMs6k`wsPgVe^nbN|CTCw+;?>-Q~F&vd~f6u zo31M}2qx-Txc#aD@hc~%t*Hr4L3qimRkABz<%a8)7BEFQNi-^it3TRweWu$G;;jKOEo$nT3)D0Z4{Y&CVh#iJ!*`K8 zy^GtfJ4-1|S$wcm0Z=|1G0V?YFa7{Ak&21~2(pI+1n4P~L$(U;T%Rd-{(}oh)_ilU zk5tNd!U-b6jQ8!(myC(E`8WCyG^o@YD~PpFe7mN1+mQ{exxwLIW~VTj36j8D7NGoB z3Mt5<+j+8~mF}h@*^`dux(RVz)xfj3?&O>LV&Zo6Fz+{EG!h(E4t>9~x}P~BUcY`V zG0NIq-;cwr*0ihbJYjlbE9qXMFSgjK4y7b#r#pQf8Oh-$*G*TQixPgSD znE1173ztoLiI@4t3E^O+wp4Lufbdd-!c6S5fMYr~pXOd1XWarpJw36TdixQ0o)-M! z1iu733q+^3G1~xnL)!NKeemKyUxwU<(jj zy9V#K8Ec$W?tWOZeUmG?TqqgX!$0MJ<3Ynb&;Q1I{HWX7f61H`C*M$ zITr~%C}a>3sfD;7^b!8F(*dLh4?W>eW2kmu z(;-upAi=iw7G8eI32B=wI#qu4&IqVcwR_V+U~7VSn&7M>4m|7gvtGb~0PoH$O5y#P z2$opQGHWVo>S@rVS=rboK^0FvJu*JfdjY8<=xv%?ol((okHk@U^yo{r_Z&y5grcIN zgToHsC`f{c0Ox}m2@$&j&~qn};iPOwC;L$)SvZRc8R6{=_b3LcllOe1>O9|)h+ zOZInjGwD$#x)y^O@GlLK4?Z3L;%%1QBGExG-a0X_CcP}7)dC|Qmn@aJigD3!VIWUXt?taw&vL_k)~k!!%WZ4SKo7H9sSQKAg%oe zGxKjbUxWrbQo49+TBeYEQndYkhRjCT>hT;CTtk81TQ*EuPdys79SL$UMe#n%{Jbz^ z3XV$CYcl)_f)&a7u+y+NvMFuw!{5WLr7n{WQ#c#j-^GkSKK1Qzj!UXp+ld{$Y7rWI zFitV}+F1D=5q}CTQeK)YybtY0XjoILbqS7_H;u@>pJx=Yj9pXV0@04d#Pz3l$N1Ja zeM-ADS&0k_(f(H5A9wgxAx~nPNA)=t-WTmQvgzXwofj<5c!Yofi(8z=kNC=`#%4r z1|TU{jX60uV8M!F)9GZ{UvHua89=sCudsh6BNHT@LGArSSs6?UI(m9zL&M8jtmnUN z&2+iScM~R_$Bz(RQ0RssL8*cDzXklgaRO7JJ?uY2|APE{HrF{#P8&S{FWlVR?dC8I zS4TDeocFBMJCteYZ~6d{${P1di}=w0?;*3<6?F76w|x%I(V-z?0s>l1oAVF9OWf&n z@##TZ@1fI9nCs5^f#H`oYPdqZ6|oC*2g$>wO4(1K-HqgqZF=_xXlc-g8W|he(q2O& zOhc1aQ{&P9LCO0K0Mmy_f_H?3stXGX)6$+oY-`eO^Q!x3J+xoY%f@``?!IUB9FmP^ zS=1s>N@{9L!8c^Uc^6n3ux8`Ek#FG z?ZZ!70zy9uJQ|!ReHBdW&bDLd{Hjq=UMMm?zS5{8ZsIASx(NC# z3(=YtvHC4S6*gAZlas?$NPzYUv>e=HfQ35-_;^BsImdHwtigQA{H@({XnJhUJwyzz ztXPt8lycw?X7-yNA05REQ;GS4K7`zca{eJNt@Y8oqu!9%a-;=)#8^XSBlw7f6)hxro#SM#(*U;8xjc!|9T%2^CClhUkQ9f(C z4=qJM`?cM-`ymkj^5unt3}Oc(EX)w`v?okgFfh)VcfWmm_k?M=HV5_m9?&*1lHsTr zJh7Xc%=DDU6>T1@T^>}Nn4BS8BD<|0)of9n+Kd`@16gd>2D zNFVtnAxg)`%1dwRlzuPuW;B0`#Ka4~P`k5L#&RknNNi|*nUCOn;gS^IC`-TiniOXr zcrd8dWQwHLB>!i<`-1Sfe~yWN)*Juc#n0h#QTq8#k!bYA^ad022haokMCyLCxi$O9 zbtHPa(QBj<-zn{tKzO`z?XS2Hu>V7}Ui@$}6aBloQjrFkD z3dvM!=ZmZufB${1|2MsnJsv6wqyZD;bBJmdr0VYe01TIu6w-KkA#0{ zZry$ARKm#jw)YGU}Gdw=`_edh&Q)BT`VN zKQ)mnWv^vf7&4wqGVF?x-t+Jfb5~5pg=b_gr?vUpsoJcW~s;% z19O9Xx~Whd4ksrkRaIiDne412X(=i2vJGl!bpM`zfn{K;etKFzL>%5mtP6UCH7gx* z%gSPKL?8Eo8V8y+q~cv&T|sEpWbJBcd1ht?d>*9co$YPIt^_kWUrbjDbShZbpf@Nz zDx7`zL4wpgl4JBR0|6W(60zO7|Z_u58&rt^}=H|SvFZPbfNb$w(slLukYSb?vQ$i zM@Dj+e0v9Co~R@&vEHm$U#zUlS&-XR)ynP>jJ?1b;r(5m|<3+mzSBZ^B(dIaeVXhKu#MN5&EVf$FVw(+&^OcdnfvJih^EAF-w z?96Fo{-j6dr0{k|!Q4w|2{RG%^z=NJ=&H3Tu3c-ai3U7XWK7`}2sDQLj;(hRxq!n* z<(!AIX9vh20K77y6$zBIwL8rfR8$;iTdx`MFf-?*r6Ke|=n{SWb}ARYT{JKi zm?O!vf#(w>e`)@3YWu7?!U7t(p6csYR93=v7|&NHgs?`>WIo>Y6)K5?a9s1Jq_DSv zCG`73boUG$4~5LhLU#nr-S#C=Z=g-<=K{zq`D#U(@7{UgZ2Zyo?x|st>zP#M(>oB&-{~_;rk4;(i~dO6E8S2D@(!^LGU!-;>(< z#N8rJp5FNtZ38j@&^_$t<^};4L``P!){#rbCyB`i=v2$z_Bb3>eol|l6LD@)C8cL+ zoGwO+U%!331_6RvrQ7}nq_Wgly8iD(J2^5Oh(2_!*74mC^TpJo_^f~feOoYLLxm4a zWMwgntfAow@^}l!nMz=%MLl6lyZ6YqUwp(Jr~Y_Zvi%#dsfryf06Me!=IUY9ce=>;2L z!~l8+fe-dc0XL;_o4cdK+j-#?J=Fxkv$y!vG=FQA-{FG>>EvXJ&2SkpTwGjcmAnN0 z=S);H&>R9_oxMEHB37XFtYtys?eCTa=< z|0)pQw|8}^^i^wPq3Pn8S%VG!q=Xo zAbIf-V`+b3!To$653zNRy=Z4<;IQhefr;%mX*V?<-OC(a56bpt)^5mIS*?P~2#S^3 z=8R9+N$Dj9(*tJs>7x4ZJC~J`9S4O{-)=oeYv}@?`>kDJM8)ZhGQs&h{ws%J~WrjzkMa1_LwOW zR7BVf2Zf7d#_UQAz_Kd#$GCjC)Pm({2c{iLGuSgAZ*95va~dl z&o%Hig%`OE5dirnSf3|dfx7?@ns;UU16UK9e~wbXZdVvucsa0!Z)r2s56bTV!&|rv zRx{BM?$<|pFTNx3iLZuzVuu2QHU1>%y^r{RZ(b#c9teG7-ZukkE=BCEI9i|lmAn7g z^xFeJ|D4fxXFhyG)6DWaj^Ietf5D~!LNAdi^-PA5NiX=}@x9s0iP)3*`Qdlo0aT^B zJ0H&)%*9gKsBN$=`ZWS*^I?4%|7RboxPqW{?t~t{zukirh}R3gME-Mye{UxL4~o>k z#_QEqclXQKit6f2jFFI1@A0-!^Sj2fV91doeYZBT@P65hFA5_HX&$%xIV+OaD83T* z#WvLUlG5l%dC0jTk8t)6*M6&DUhfPt^ByvmRx+U?O{5g#Vo-ZhtdjlbX7FI1^cCD)ld$_vU(u^Y&ld=*V`MRrk3&u(&u13K`5qR z=K8g3N;*3Ey$fKX0g#nlk-SS6^r!3J(g(85u)P6zhoF4G4@?*Oc_7SoqQxmSKd5Px)+@zGYCgMY>`KcZ_&8 zou~8kTdFc)#!{Z1nm0K2W)cTR3YPr;!Sx?JxO(-N%HUTz#jl0SLCmMnuLm1bWo1E| z=2=@Fh|m3~{FFCHNMgHSJF>quT=$USFfuZNK9u3gplYk}&srOqhzDHll%NOZVhnTA zx7iCwbq-H@*MRs`G60J>mi zWu^0eM8FM(S5J=u1OO%`lA@#OqucCkZDCWF&+5zY@E{^E%sC$yK=seNR(%Fw^N+h$ zX#|J&`d2w2ednr{*DAxhg!FFsXcsA~w&vTfm+6os&#X_ItTU1`v4B=nm}CF@Ey_Xh zZL=FdzM!3ZX~}$t8^qm1Aalw}N|5y4o%HmCFF?l9`%Pb89~cN{rf$wPLP7@zhs?}O zjw0A%Cs83A_MHN^-NM9#7}}OV3p+E|joOKgm?5Ij>OITZ8P6-9p^&Rx9q0b=AOLf& zJlM<2t1X(1GdmTq43 z>$=|W*Xy}nP!uMQ@cu8b$E<w@@xaNznv9fh*Zfw9l=Xh>V!5IAUzDb)n=hy6eak zIFhdjD1s4wsh%X13X{vBou$fGIQUtxLb@kYu*#?YwjwcSsqcBn?yh=8LZi@MSZjxk z7_6f!8tA#H5ms8-y0*4FaAvsTt4;YbM~~%rU86ek`H@fZsQ3m6vR&-&zkU_lvu6Oi zeJ(iuldPc(i2;Hxv*XC05==$mWO8T6VYkJ3U{VMf1CO+#hGwPv^o{XGPft&2sf@|n zgoKaK01&JFWm+3bajatYsQ(bPmJ&$>skHs&i=Z>uZYu zC%LTMVYQ>2BNYv$t%pkfcll7;B^W4=C7gSC(ud(!Pngx4Ytk#CW*~jdMgyok00k=` zU<-j|nNJw)Z#1;ESsd>PGM}z6 zJ=ji#3y{{RNWFBfUCr`GhYrK9?b-}4GAy2Sw2UkMSEsIW&+d&jlaEIJYSi7RyK2-m zw?{0(?0Z_JINz1ZR`&eGS@Yr)zSmc0$1fFU=u9vBcMoT3 z*i$?HA}Rbxk<-40q|oHfmfe;%BqWQ6-==rAuA!l(7Qn5-T|vwC_N|Ebp!wslO=F3q ztKwjS3`>kB54*>BUrxW>J|;l}kIpN1xS5wl!G2j*MPOLp!!rRzsvOx7tdf9}4JjxrKRZ+56`E^%Iz(lYc@=rZJC`;y(L{1^xJro;lgPeOs9H0 z!`uJLV<*FJl}Sx|2z!A26N~^yZQTl(X$-oUQT0=@vY8IQ3=lw~x@Q;1_U+rf2yYN_ zgiID}ji?z@4~adCjb)K=vITa7CbOliU8SofJz*yX41zb8E>YI(y>r1f{Kru%^i49er#Z*C42O!W*^iKr^!Q!)FjQ3|PnEQWgo6Cy zjwrSDyGBw-+5 z{@+zHDaKVp{{m^ZQ!PXI?@ zp8&}pwX_V6!NsQ)9gFvB9=Px77s-g0eYU8|I9P9JJ$v|TXz5PFjR*V6YI@&=G$k6z zMC$e-_o?Sy%hT#xPe&OPNmTmFr?exKHVDtoQs^J<8`HmRa&YYpN}LGGt1YwQWLq-y zNi^0zh^3;~$hxh@EvovK+R?i>Q>uoIE-W4<<7+(o>PHAk&7;#Dvk6I6`i)91b~59S zCkifWF5EZc^CEn1ZQZ$ToAJ=-KFTqMoaxV}`HA_gczHJ8jz*jdsw5N++84YC0sj8r zJ%AFwuZxY0)Whp@EGquRi^tHVlrl5E#~#ISr;}=x$e!KBZ26Abi%=~-RzbApz}?&b z=I#yJ?fZIzMHzd3Pi^-y{hg-Hv)|#CmfMl3D;Z7(DH{7qH!v|>?#N#NbvHB!81DA5 z6!1L|VM6x9&CQL<+7{?7p}VKY9uc0X(2(5}(V(rPgMu1=miU@97S|Z+a zd~D3y&+m|GldwreQ?{M?$&+`Zn9@v#J}72n2|Iz?3#*X>zWncf(D|iq98Fi24j@j}}4Y6riS%a2S;? zSnv%Mbe@$mYuYYe@=)%1YHF%$PTv#~YJt)=H=<;cdmiw$duRyadJ}sR0|*y^N9dpA zb)`dXKky_sz8cjjp6O)FQY}@)YNGu{W6Mfxp?61tHn@n%Z&>g^OXm# zcoDm8B$^J1e{oN7Ry7Nxf=p!QrZb0kK%v-kxJa~X442W5Q z9KScXio(L&{H6AOeqP>hGJDhbn`wa%Ao4Lw8=t)0es{PgdU{NFczEnB8HY z{qwA0m&+zCyYU;IL&L*B2Fmhv^RGK11&7AYITh;DZJSS=IYXV(_w%Q&vT~6H-|pSN z#>cyr9v{3ueFyHB}}8sNcKs{*1`1{yDVKFtFTqx!>x4Rp-eR~-50M&6A{ zrHL&S@-61|Jr&^tO8{j66jbla%F5oq|59I%g^^<)@wkj868(+SL)AkUiaemsLP3qc zIMkjeCLz(*(GlqhmjseJ3hNb4o$BxFLtIPkB|nLS?NiBKvis=ywKD~P)&L2a-_&#wjM0ytEkz~P zvA3#eQEHKIbtp*t>#8wNr14`Ri|Mt@yM*;w#tglv$@ivK>?n;D{K33`Dw1L z*RD+wk-?eYKU#c_VkPibR?e}us>r3a=?M=J=0BpZYg&_gd#q=8i+*En`ZYVbQx@Zo zx3ulC&socXtk$opYHD^+(_3D}3Bp9*7{Fv~VxpTpep&aHfE8Ojzt=ly^R}bj)-Qcb~4(50M+_kPw+|nGzNCuDxB?FJJ(PM`1xh zC4AtXFMoaO>jD-I!t2=XZX*uO9D55pyL95E=K5X0JN31p!5&RN);hZ@SEk|G`=rwJ z@JV<$k;($p0Hpyr^J%wQi*`15S1K1>wsUhOviewSns;_Jg>h%v!{p{WQZ)bF))01{Y2dHH`Wov znQTdiaYle_W2%(bqG&7Z?s~j;4OxoD(7*r_GLXQA zq32o@WAg0NP^AB1RH-4k@F=fY=$Vks_l2d_uc_wgi5D2W8;iZ2^l&Fi z4X*#TsMc-jM)b~p-Q19Xfb#qi+ds4b96@qkA4*D2a6G_im%;8LA>jgcW~h=9YJ5Do zM2H5DTE~u&(}j>w0$)O78YIgF^%YUY2Tm3-(ZDsb>;Kbuo|q@cE* zpMlS#1CCt1V>cy>%3e4Y&8;PQ`d}b@zLn`H=UUp@!)4DzMkEhytX0`IQLSw&+;?a+ zNcnEr716_oEATNP(yyh}v9|K*5=HPsiU9o5PJbjWCT(D~HzDO>V^ap@XF(Ir7q4GW z{Qk{^!XHm1KwHQ-!KQkef-5#iaX`}7G&ExD#<&h|fcuD<0Kh!IVuJ>pD`ws8 zsmO;Hl63ug2PY>u7_xG5@byaZd^G8Rq>sut*U`1>>>Tt8MWc#uOD^P&Delu^s4{ zW>BAQ zJ%~ExkyN*p+lf9|`A-cE=UkqzVU@ZhZk_eS!eFCTg8s`3p-}T z8EBnfh{X8u|M?@&h)QjUaZC10+34#d@l${H5B=l8nofFHu2zl(eUi0>TTOEhD0(>F zdb)HB`^?Qh>&!|yD+op0A5;xZ7m}FYlBC*bwAl7>o^vS4-!WMPSIN{Ob%)m7Fp7#= za0}PnGK8ypP`;C6M>cBDhA4%W%3p#{f~lB^RrMKv_|?Xa^*i@mCI3VB_@8R$yKDZK z>L4IWBeu?XaZ=sm{`!5VGP1Uv;F##0(MXC2D6WZ|{rpN+WkT}prWWa*+czu7i|&1{ zn)mXQ9p||a^G)MyPbI~2L5!a+PVU#aUVz4zhner!ld##(`^Gmf7xrW?<;28PFLRd} ztA!rg`$1e|$2jpU3knSnAEk2m%tghy>*PY3@omo7o2x&<>9eJ!UuRlGMc9tzTAX-Y z!L_~ap1KQ)*g*Z3UPsOjP}nbgQNKt?I=@sNTR#%t5FpD1q73C-DP{Hh>;>i7|7E?s z=|ff3enG)surF-B{T=^Y8k1Uzaq^LaZDXM| z<6y7)++H>UM{SbZ!XUBy`{IhT-MuTlwIE1va3keT@MneiO11H1Qu9;I(;N?=>b?k- zpXhM;ydcFGq?ygg>eImvV5FJX23PmZQf8lk_W*x z*dJsUV+BDuh&~-l1P=$0HL0bU>Qb>T^QDuEKqF!IMMUS9jt)1J`1p*V%$WC`vOVL} z_}Pl~BDPgX_ECP6uOaKwVTl%%GxMiWi8xc~rjjA#v@?Iw-;wVS}%{z9sC?!>5@P+8E1YdOqahwVn=(eUC%O9T(d9 zZ%u7cvELyqRc*d6R)Mcg%vx zyB5eUbu|94&Ki(bR#m0D<|EO0gm=NLKf~)F@~ZJ^ywc6fMqqs87U}pqaV&fpO?8fh zQ3Z(;mJ~9xX>3t8rq2$QxKo5U)|=Mn_=a*NH@3bwi9chSVrTAZOp3b{sXMWTEW(9- zY`|Ny;j>j>`@6w1c~?eZ+IcC;d8@m#=Pa_fR8xgJk-zX3&k=q{_3DIhpkz|VoN-gC zZ~KM5vWn_4rqgCBr{fevTW?;)9sv=eB9iM498hf>WIIe*tX-<;@S}hy>j;HSC;!Lz z`NS##pSyR<5R!%+lqhd8b98oAS5reiCNA8jzV7p9xaY&4JZUbpS&5<5Z}e;-$GXfnjB4SUfu%a z|Ay+ncRox?f^)+Xj50!t%+19hDQ`Ti#J3=l@@MCf`PKBS6x&v>OdeZX=lfo>p|TUj z?K?#h_G`8t*QnsSE90b6K`)w{+?0H9W3{-KR)Vfi!DctUi2L^{%p+$kx6PD~8>=L} zyY*mvHm1?MEux)&!m{{mQW5PPR~{RIw-Jiv;#%oPrUq2YWqCNpF6JsVMSQZ=iW%g_ z4*yW@2YeFFfXqRe^C8$WZjjCF9;HrZ?xTgNZ!@ed;cnmPmvn8j zS0Lh(e273NEKUfIL()7@c7%>hO-=Dc193vQFZ!J168H{9MMcrIWR}484iE{v2>uw7 z1{zKon4)o@YQO)oUZqR);Ah$t4PvwmG?Dnt-;YqseP85>1|*3P7;7y^DBD_Fr?mu9 zhzjB6H@o)lulo3L_x}C+!Lx{n1h*ZqXi0<9;ql|gsDt6Igum^vPPNS$KnoBi%`c3& zNx`xYry2roiGeYVnzI%h(O|tK#wvutI`BjT4xNS~^B3GIV%e_zYn=}WJ-g^s+{ZLCP$rVu<6 zar4k&TDRQCQj4q-5=>v3ESy{}PRu17xEpCac5zg)+D5Zsi zg#$8S^a%PBz4V!DQ3&NIq%C+6c(7PTpHDThXiDxxw=Wbrgl~u1N!+>l*p(}zxNXo? zX}wHKdzjbo6kZm{Vo>IQgf~7!)L8G|f4|^rI8&{si@6n?UU#MD*50BA3?e!jQyn3OJ)}$_6eE@&;~a-;@`otT*?cU z&z#6ymP!K5TOIrzCrmz0hxTq_si0jFE2*vy_tqedp zvkL$N4-aBQ5v4l&$Wt}3(8|p0MST1>`W;M6fEb)DwC7|FJccn0JC`5uVHl|w4~_J| zwMvvQ&JMhUc##N7;Z^81aU7qRz+b(r$7FT+@`2B{H=$ud_H;isHmp{@wfXH$S5=A^ zT}nS!|Bm(QUyopIv{GoJc;KY}b4$7r3RIi{LC!9DjF+N%ab~q0SD00t*4jxpqlQ=ZI z_GN0SieG8^Wdi5qJ$LNJ7b9JW@oCuK_0p5}J}TGIb`4c9L_|L#=5~bvwKmSnN;MrRlp)+ahkk_lQ?HJTkHa#--t*A=O^vZwb%KVxe0B zBoi0mv`0X||EnmXGQf1f)HWEtMfllNB(ukDDJOT=nob3!gAS9`yI&Mo^|i5ucB`uZ zIHhOK-8N9JbkEhzJiR8+(arc%olyLn8kOf&>!=MJ1+ zN6rfeD=isYy`@N~$a7ednwlKad~MFa^wH=I{K86-GlZ@NWbE08=!5jkQ%rwq-nH=; z9^Zs2iv(X}%>g*H_C^T;ZNNs#msT*-Hyxq#jL*w+fh>J!Jdyyao*45yu}7RVC?et~ zGQhw@VaCsys51z#UHIkaHk2OB684wgvJlsgqhcve(|JC!V`#U0SDfd}<$-hZc|OfT zkvo~}1K~M4q$FNe6u2d#_+(G|Grb;XiyFBqo`tY>=BHZZGMkT&1Rh~fONuj&P!Q)K zT`=n;ycen-%f;saYaqaVFan<{DgcxZr(d^Gp}p$ooXlyhAFnIV6&=U;hLdAJ+5V03 ztm2-a?95DLdcUxOtn;3)Z#c3>X|~bP$v#*1Eg3g&Jp=MBPLw@bgcxkX$(dDxs+{Qg zB7PLz)-yKM5@mdnFm|`rbHL&a3T6N+ff-`Pz?U>5wm7G+t1Cu6H)#tmqLz zLC@Q+j&;s_8?qxr<{6bIZ?{YsIlVCcE=Vv^p;fMK-s`h_=%M8g;Q>Q#bxg5&@wZEj z(|I1fFa3fgUN>%ZL|ol#%eiAc>6dHRdTCV_<@A00)K5R_IF;WRNf%)mWA67|&+xkv zueZrFNh|+U-zc;5AGh!D7ONfEYw zq1*a@qKsUua{1Tb>Ai@Am^^27jt6LM3np945lr2c^nGL`5|K<>w-&XN`6C5=$BrEM z`|*BZ*`$JQ8a1uUrjOrHF;la$x)I~kGAwbiCyJv%uJrD=DKTss|F zxhr~-2Pl)R3vR?Go_(Dd;cKaOz_sjH&quP*k%m`zV>Poazf-Zu7bZ(lO4#j~VC~f{ z?(6!v)91!g+N+LcnGu_Zf+C@OoGwMyVLs!VUKVpbq@<)oW_GUYLbk{?U9{p-w|4Ei z#f4w$!@H^}{hPkZnGH1`oP2%D*6iL%BwS-)7yQ_eMxex3f2HxRYG(>Oc_<7JMDP~a z`k*H-7+*HusT0SK7vnW1YPz6h!}Xz@0FX_HqsNN6ZY?o^5`cYcTN}P!o^29OVGd{% z00i`3z?Pc;k&_}19j!)an<}uoi&Z-h9m>G1hv9V|nY$Mo$9AeBL<~xpCZ8i>VyTbP z#x+kDD3o$K$;T;_k{?%7(sJChIXyv(aUp<>PWWTguXZ|NuFucnUW;$L-SXwNkLxMw z%}ZA&ekri0k7#`mFG~(pSICU|{I%@R2A`9@kk2n`*%uBt3_|k1EtSKY$p$~>X07l_IDw1(^K=w8PtWjrP##r64YqLkfJa;{10$ z1i!Z4d{@lyvA=&U-(c>Q@`uaa`_1GRX<_wz4CjIzQ*Tz>NdMW8s78gymq84I2dKc1`;0Et8%SzA zdd<%)iQNjVC-YDJF|D3J_0E!sC2)!E;k>vLq{D0TBf8V{{?maGDUS zH5U8a0P0@(Y- zHZ1&@o#*Q)&0QiMn|}$SNs3|^fj~}v&9dnXwi2dGbbwL z-piNfy1Kdu*gy#j_XsGs9rhumb#-uW4a@jVpF*F7@By4SWOkp06iL3l6F=2=(2^W2Jo}xGr(v=nyttxNrd; zwg$UHPXvWcl%_%swiz~rFs9C&ju5+6Raxn)WeW%*KAr(e`GLoXp{d`4;u)3?94@eS zj^D3o#TdCq*ftZH5!1sQUX=aMqUB1j=I=j&@s_dGTiWgGOz$5w{ zMiy)x;Rh}$o0`T~H69i35vVX8@=#xN4hSn2*uEG5s1-aSvi5gzU=AI8aL7DW3KLP- zM^9UephsQTnI8}>B<2!0ynK8ruyK6)r1{2ZlU9m4P(1*4&(g+Uk9hcyi{2YaE`V%)SUVm)dLuPe*sUvDB>HEak`x~t zj!^yW3W)5b-aiN%}5f+#>2cBPL@DipNQ}59ha+vP)py%33 zVpBM_{W9O_o7;-|)@g)Ah`t>d@n=Z9ZRyi!VawotW={~Tf5Ye+5rLm(TFVihcq@Ll zsBPzO-N}wyy>6!07H??HJJ%ldRm1gNzI?gKc|R;XDEp0snvs5plrkB@P#fcmg8VnP zC?nk#9nYN$CUBg8V{|I%tiu1tEpN0X_%0%Sjr27y({F{5BU%^uZ-3kG8FKh4Mx$`5 zKZm7xLUZfbh22-zhU}QM^&8o&zpwRRw27Nt{6Hg{uhz#muFCs(#^`8Q)>Fk8ge-7k~vvf;A_zHWxaV5;0aF@kKz*zUEQBOJqKYVh z&_|=ouc3>aRGNjN93>I9ewe6t=UjqQ5$)`}@8B2NLwKO@!a$jJPkX<*j@$3LywjrHWrWEvYS`;Pia#*C>5Jd`trBMmAXG-$_; zAJ391GJp9;FW5NObP~K1dJr#DIHQP?xJF2>scX?WV>)S80IYUM;5_0q&YR$m zFBa(QtHA&6Y$F=CoQHm%o-JNR1kRifp;7i6M`+Hf$Ni4mF~RX!Ao4p*EpP*SBJ{#9 zZ*BC87etT#5YtbywHyXkr=~G&v6=2V>U6?;9hI8EmDcYntpg+wFBW?WAOqq+k`l_L z7wOPx#APF{H{oQLrbzT2;A)wf)9{mRzV746trSs_e`eQ8|7Wn$|3x=WDpV_fUzV)& zJ(~R;cRAU5c7ie7>K(Or36^+}4-jTnefaRrQoiqF%9DMcK0Z#lKFjZ%YAic*`o`Q+ zR>s9fGhaI>4iVOhb_#e=Q`w>G81zBRDaMiu$Z}vCHHKlLFI*Z*t z3<5tIA^R6$I$ou(fkq4oydzF>v26X|7LqNJco&}wNIXawwe5_xmye98eE1rm_Gpd9 z76UnNRFMGNX)oHsRsjmmtzM&3n@L=!Ppu*OcDI&PKq8#-}+=DnU~lnWAxv*{q}tW1A8zQ+1ED@vJ(usX$}Hv2owvEk!Gf*)5Zmr zl|<79U_T_N9dBF+0onl0 z*>bS_&nE26Bae_B#H+X-za(WZW*)kVGJX7@U-31K4g{HQ#r-~>{xtRRS$REGDU*vT+ zui5IXb;?&Fr!?P=TB!t)An2Wf!bxtbojWH2b{G9|vNxnRz=c2>s3tk%(Wt38g9kr? zDe3=?7d&fi{YLR_t4bGl4YW_x)ZhC1Lmxfr1$>I3O~Wk*>xMLWg17RxBLx8^3W-PD zuZwG>b{A4nb*(p#X-_%vm~0zM2#-a!Zm~rhs)M!M^>Sc1uM9M)ns?2S11x@}r!D#%s`a!}?+YL=V8eQ0iSO z%b>7Y@ec)_oU1A>E{3L$O<`3@)<<}+yXarAuq}#H5LJTKL=gS2_b0QotMZevfxm3n zXJ^eHC~Zwrcr!uCyPlu>lX6+ai^@I>x4hVh7y!AkH zRXtY|K?xz*>d{BKTuDn4B(%x*ylMA(BTu?qw-YsGi-Ip9xhveK(J`}uWY%xdX`}E` zm(UW~8VYh3mRG*%6OO~I#hVIKYEIq@*%(mVh5UzOclDpIGz~S}!$dB${4SAK{DTS{ zml*p~#P_!%enrnmJgL8_>>`LZm7dCgi<`&US-tOf9P9VD&lTHf{EJz4f!$GmZE)*F z(5$TZ*KB*QAW?^B!hgDtWc&@0TRjOpJ9g?FZpyLSMqk`}FU92I4 zw@#=aOjGFf=PF8R4U+;PE&A5ZxXsKY8a-5;v*LZ#nsd3kd)za%>~kh>k^6?)wKW_v zX-G)w7VrZv0zeaW%>Z*D5sDFzClJLkFjSy|@|$%)Fm z#Utuit}%YJmVfJB=~dfx}*dw zJ4kxI>Wwx4Kz}HPdM%gczIH)GrJV4b=CVd=l!!jMUeJibBsIh(v+dq}D(Vaw^XjY< zvQh(<;^xnvzjt>(%Pxgh=*0`U{f{txLT6%fGZp|Wif=PAYz|#TP!(EAA7(LxI0k!S zcLp}NgOydvqUFG3_8rW&Cyt{>#eJEaq8P=*<>oifK`uUjep{#bXEX-tVtQnMe?LVr z<|O_)`I*-D#|m?mWoT<{4VdE`CPd~;{dWcRnnRLBv_6Wy3^gboA)WIyEp%T~YRbBD ztM=V2p^(lUEh0y`-})WeDaCM6H5kpPsgt1q#voIefbHu5i~uhJ?>UA|6oR>|WrFZgriy9j<5)&1<17Q^axpIl{zC9en9E;KmaDtG0efaRf@|qStgJ4e}e~^2` z#BjQCF-@pSa&z~gecSLTqeopli6fV-piCF%1`691h?zU1q;X zV~mMJGV$1W@t&4IPvR4E!;746Q&X0vzFkOiw5#mz);(0;+LLe?CK4bO@T8-S#LORX zbC#eQv=tO8(V%!igJcTNA_j6EcKppngKagBuA7yKS9~;=$M7n4h&${x=kcW!HQk7u@!&Nr>e^xn1>n~CYFo*T4a=z5tzCy z&uwTPgm8^U8bpb~HBte4JgDWNSQzumU;(D}3HDvNBoc-vyA$0Rz6*K$a&GG1ZSJ;M zrWkhDN9AIi^T|2yYhn_{>xQQHm-~5p@7=xo2!iO5zs95R*cR>p%Q|ojQ1=xQ1!9>w zIX2#{9uKy9dE^b>^t|WojjCLhK#WTcL(KH#=qOt>emIouFMomqh|y$WcHlWYtuB~^`D@$Wb7=Z8T?2Tx zIhak6ZijevFIfV?sNCPz zGdrS5sHvp0{khvORh=yBAD|V9v2s;nBgy6{&Ah$I=lrWIx6;z0fj|Owg6bZ%MjT5J z>}jSLY`B(k9MX04(U5lGfBo5>_wvV8TYGysu7Yk~o~^zPpPc`_+MBE#*uJWxalaID zg{0^PyVjkb-hGK75hhJ`ey#zHZfE93%P1FL;7LDU;OZhLCx={blxJSN?ChPG7KYbR zIpLuvUKFmaA5l|z67`yH)5WaWzLLohkaZ0Ve3GyX^h9=?IF_-u3VfKUn1ooyloZh7 zl2THUI5Vd`BN!_Y5s~k!O77P9hE5fDvYEmxwozL)fN=`m_kh= zw&~`vC=4UJ7@2;G0J@lH?iE|J{^hGzmtl#=qhGVg){cH3t4*Y+of#Aam@Dx`Wd>0O z_e#El$V(}KMEE2D!);nfV^0!sHR2I_Az&|?rDsTNnNrU5!Q+JSw(7ZbTB?BrXlDlA z-f=P5(2MsEweU!GQ1e@4W%(&#VhI+1&kxXFV#fh6Fk|I5r^O+PAP@X-wesGco);sY zZVHZ$Gw3m$d_C@#{%)leJdY=BB20ufbE-e)Gb0j5C5Q8%*|L z;@`#`i{Yk};wHDRjiyhzW2shauEL!mSGLLphJXRb!@dN+bRG0v397DWrrc)A_11^p zJ{xr=#5Hc^Ta)x86l{?OU22F`tgT07^>;}wOk^x$lEnWmq?pLq%SKZ1=+326ukTaM zuu~jhg;RpftJInge2*zFmE{&9mar%&Jb z^@xI&Qe#WcsbhByb^Uj;V7sOfUBCDC3UDoQ=^{fBW6QT11c@*I4m=SL^Dnaet9bm6 zi0ogBIThl)miFDHR1;r3)E9g`#N@;G-sjslF_VyJtUE2KG`S$|w=Dds;rg?1`*J$! z1i|QCnQ27Yr(DNuIXZq@3KD&ZeUq39((9-~A?-splSDIiGBwFuDzoNX zqHX%>kJ+~32i6>oty$Aoa_yDX*;xB^)WMGKCZ}IkgvCipHn5OLs|U}l`7$;3ABr`K zVu1=o;AFq1qNFrA#3*@Y_%HR_=51cj{bji}ZQ3OF+`2aU#IdqfOu7iq&ii6kF%*y} z$F6Dd=Q!%QJbwXmJ|Y>fX)!V}%_7I9t&n}|E^hAq=id;@iy-`gX@P>0GFa|8(Q%7# z;ftdVvs=J_VD_n?VJRkgZThn!dKG!|>iqOo3_V6!bJhI4!7m^<1@pg87yn$5ouAp) zAhKhnDw9C_g#3xUL2~u{btF|t5TgQhMNiLd%Z5(}2OC!^i+kqv8EcFMGf&DZeZ7f- z;xZ-@Vj(>D`7iYrF?dI-T0mY_Ru&U~wD)6$SCW(4>Rp0Q0ELuwR_@cMh0V>Oo>)s{ ze3`(jRtd;!Y3=Nm( zQo>gp1(tpQG-1G&JAJxtQGZK-;4mN7!QH#PJU3D>JXJZda{7Hr6;32Y4GqMwY1KS( zL#R=)l5PD+YjaWYAHl)ix3T}D;-7E;lYBVt`S{Rz5l|};x;r~z!Pdh>CgN0CWD^F+ zJ%{47j-i{JKlhB5)=LEepao#acEE4~osl!vajeDYswXGyeJdxwD9X!MV6kdSZjKpT z@d+$n(aI%|gok|J&0wQmm7bDH^R>BY$$2!nF*m!%);cN7%yO)8Vc=yFszq>#aF}T9 zqujD39F-1qqh_H9F$b6hnHeqAg|(O<319COpmFxlLG7N~J`K?crscwu$)}V3uZnMQVNgg z@-|^$5G~I=*k9;ucJjZLUwazw2a}rn_^dVuqXH${sE=#k5pbnKIW=6p)O2ag|LVYy z?TD${FUz>N%kDb-kA5r-Te;=9ExGFJv(X@59@?UY#>Q)V|4j$dY5*j9DjNU<#y@J| z0Zq=xXw1ZT3=`tK*;WG#&GGZ2%3#>OU0qq(df-a}_y`Es`r#_l+*qt23>FzZfg|OU zxbXifDA8=Zbx@{s^=>$XOw#+*i9DmL^&@mNo9gwW?ie~ijm8M8`oMPw9B=gyr2#JwC= zeFo12uv*8p-=yLp@1S|0oB(sS+Uw^(-Z60+uAYpz{8jSO>Tbfdk^>_&>C{0IDSBZ? zuU{bIgy>eR@+KM}SwT-0r7O)HXp9jAh;iCTF$wBnX`y4Ok`K33X8)sWdl3W17bHi; zEPB9o-obJYX@ousavOA=ARu5M2g?f4Sr`w=;w=Q-uL$o`koV3^DeqmndN(PmJl0YB z!R_&uqS-Tk(2MVu&d#4Yv+7C{7~}$lCovKoMxco~eoxsyGzycuva6~T>$CU(S|1_) z_>H z)i@VQie@2OJ$y9d2Z|G&#{+X0Z?)W&vLF2d?f@?++6}B#H5zRHTx{9iOU%x8LgfJD z50y6RVdBb*bShNp*RYBpE4(UHxU8;DC9eTKEo9ta)D*&^>?ViKFY3dvvj`Q|(Xd+5 z2>YGP%ozNEEM7f~QX}9IU6Is_PmR~)A4qgr?PN(r1&4Q1*NOF)udzKYU*{Te?&;uJ zbuFE}OC;-QaOkDi`HjTCtDPBhm1y{sarVg?TIc27@F z!1j2*4;(m<7B{#7L*0Nmey*!)KE>g16UFogsOXw5ZO5Ej0G3LAliimt{+nig85<)t z|I4S#r7qn{EvVoZY5&Xoj%Qy^$f}?8ZrW zHk&KIT2JZgArHoH$00?#K|JCIt7w~FD{u@NI3RR*w7A@R9Lj< z9^v3PHnE!pw-B6)34=_YfU7#`@?Uj#EltbN*GK`{i||p1H=cN+9f2YdjRBx)!84HH zuUb_F1ZWkHU221JW(q-{OJk8sfB`U~oj5H|Z}ImdXvMGvPv|nPo{d;@aSQeXpp>LX)coQ}?vlpqdV_D#IMIj8m4P+(VVOIHE3Ff4?cPFBtpwF zG?2weO${LxQZrQ4)ejlG_XM1Q0|m#`bSE?IIGOdtWJ^R|Sf=FXw^vpwWL{ahCTTV_ zEqF;7?Y5(G+H!vrrB_(NwA<2>f9A}Kq@{waI$a5&vR}{j5*iI6Y;hv+%G>Qdqo!7p z+JPJR;fHnN6^vlX=+B#K2R}752;A-7z0JOB##K+mfMhuR$n~QUY)04?%kv{(Zq(in zZV!il`43ud`ET}M{)*KwYccz3*T#%Yi?1SN!H<7aaTUDfa8`IE!qs;n6Cky5KO1(MEYR&-W_&|)wvkqAW6t5$obe>x9SjG}9uC;v8KB>%k&Jp9<-!|ppsFqJ)wH#T zIy<8jPPr;4d?_iponv(TpZ$X-iO}N;2?c0jjqd>`&!;cG@j zwY;BrN6PvHb5Ag`0eMuwRRGJssM~AG|IhW&e<*5Je1eUfinOQyF-t=->egx>tRg&Y zcsTCb=SS=M?u5iHh@U#z7?b!myCGsD87(l6ZB2g(j=04z=Z|@1wVB zN%P`^MoE;zfalqeb5~DNR@MD%_!!P7M26|}a~GRBSsr%%xQd;AyU)7&M z-BT98V+tzHqAR1j_`q>dqjvGm6kbF^1z(RCnsa1XCKI2Y3I_OsD1jVM0FRD&dw#g- zS1W!g$Z^azh*!6dfuzt$V<=9kqk0TH76Z+1J( zDW+RTjrYy;rFl?WZihwQP>;(*&3Gy z4zt!mgX;phQI_^1P?5uj505*2V44aD4sK{|ttjdGSJk3JRrBrq=hDB>VNQou7l+`g zSEOuc$cd;`eU3m+b!22v(5?sKg4cTfnH#e-$8v$BU}9}astt^NDj#H9LKSq5#$VGL4Bp2B_tp)3Q~0__S?5_*kD{SJqRu-B*j8^ zN4oTDGVRfdP3lS%;316XdQTiH?q2#~^(}M{#+&DpwYRsWso8V*^3almLzB6#j*Vzm zqr$pLV;Mut{3pxD z;*QqZ+B+MoFiaUr8#r4KMdxz;I@*@B=8|Q*j#O39PC)!X^~&>sPC=@oC@ZUP?&#!H z6)6?jtMA<6Ezj)?gaGMo)w*w~A=$ATPz{xjty{yi=k)6P?ezaMHq%SrwYE;`PQ2OW%W#{r&9&6kjp4~?jYZV;;;kRer_olXk)RbU_jXb^q=dhl;2 zJj}-sXCb>gn$;^mwKo4V^pZ`N*q|Ll=t?~~#d1Ay&-uOy5UK(G3RHY5e62ui>h5Ds z>fd3QEOOMl==7&R7<^TdT7VrS3#i2hgg;E&U~c26osfo-{Ul+e*1)Q`0T0Ndbxbm&k`AsTrCo~=D}o6+)1E&lQwf)4yXJZ2B}9zkl!qRtqr zEK&@`H^zH06ivj_5}rLXg7B|38lH$HSI5ckKYoBx6oYk&V-GK{ zzufa|mZW#7s~g!6gfX@m444snXxW5y;Dhg`SSFhAjEOr2(LV=gF$O(${`_o zro5XbD>GA;D*#P)A9MeI!CG{n+XdO04AmsWV9?BgWa(*{0dY5rV0(Z`;qcKBqY#~9SdtkDN$IJgUI_iMvlbLHR zb-L)G_R@Q!_I^)KY-VX-Z5y;D{AH;jptbSjb(`B;`n4=New0*XnCVdZ;#izbiE-)I zgAALB1zvb_Jdll-t)N~J+vMqPUSH~7L0)oGxSHCS#&|RH9bE)LWS%sb^7zQfv2{Zj z2g_iTLQmxv)o2F}?}hhozp41%KHhWNpg9zNm*jb%j55n~m-mMLN%B491+gpZw6v5- z(Gj+9Dd;K|r6zw$zVg~TvSa#`4;k6p1oOQ?mBV#kV;`zfX9aPLSQ2hdZ`?NgW8Hw+ z?&wGHFQ2zP&(2fV%pSDd{ratGI-hKg>Zd)XKAy6UwQuOQ2mOBbWrLpdGu6u*1WWxL z7a7ESxhPJ_3T>Kk-Ory?0Rd6Lymbf{k8tl5=G863T`g+e&2@GU9~B0sEEmb6Va9|W zi@>(U^p>fF(g^l3?UcC+u_Cb{{ph;tTH&i}8SXnVGtB)$GJFH~DbIDpvGOf5?$d zHz#t#tui~3)}yOe;oi0Tn<$CO2?F5>Y_o&B^1qqc`?bpocbnTvJM3Sys@|p2SEgXq z(00z&Z_KG;u0B+LjnngO94pI|JL&H%%0jNKRv-JX&kebUl-QAIr(n=fwZF=F zlEjd_Xq|uT+OAvCy!WE9=90bwkjI|)QM*$DqsQq^?Be1QFxPeH+;A_NxGlXxcmI^v z4B%~c4*B|rm^{X=iW$HZ2YJ`|f$p2eQN-`GIW6LEsWl6aheSGXFL< zbA9oaJjQtg2rcsIY!*uaP^_(e{(Khp6(~{BFANTstzP$o%GitV4m*v<6F9CSm9AlQ zW*$C%Ov2pWBT)k*9At~3ClCn;j1>gd@Ie%QPE%?x>tTa~_h=1sJBHbYR*|uJaPjb5 zv$ch38YXq3S^aQ-S(JjpcbB1IgPyf3`*iwq_S62M1F7pl(KH z)?_6e-3dgG9_Hs)N>IgzhDn{jX6Ai-d@v#CxiZ+8Q&7zu^OWZv15ada&EbKn3-b4jt+SZItf&_a>4;jqJ&xn zo=GPsI2Ujzg^M0UdK5JV)k>Is;hl{j_aa1};YB-&X`6J^)Y4>8BULqv^m*v`aXP56 z)?Cx7*{;CF&HdXU7og7;#<0Y9pWxk_YFHJl=Jddvs!={-*$f zI~($wR^RoV9tTa{g)DuZKU)5^d==g;wftqZ+S^DGZ zUPyr8uG!1QMaYo{&W7p65F>12M~RJyo(|{=wD-qlK<0)*vo`G9Yt3DBn;{lCWraQ! zfo_~0h>978q6vtWP$-~}r2PB{YGpmW5qM}o3*foJ<^Ug8k0&6a5J{J8_Udp6k>c_x zXzbv)ggvLAubdwCpTp)2uk!O(@61}r*oc9%-WacW#ok`z^`4bmldRW}xwc55TjoUj zAl2}o%kR63XQy~CxVe36YrmL1dwfnt%+I7_3dHA7f4|rL``jMbL?QgeY%3~WVdU~; zXN#Jopm>LA_xzW9>nPB)Z{G%=zkKNug#1yg7!o)=JG=FmN!63{nwoM+QO=^klmN-3 z`2pQOx-L@8`Law9(X+TWDfbOjYS02%HNW`*Ax5d>$yBb*t54AShP(|iwsdF5K2UjF zKlo`hoRU>io@yNpc$B^x_O`Xa8DJ6mQY|DboL<1!k!^Q6=Zc$K!D5ZvX^h?6#EzGJ zd>b_cPklIO2P+HO@|sJVJF>&@+}L=|~!E1qsaEL6O_vKi87YEXzt z!tl~bxQ5#X;heuewXe)^J)>V}7@Se>ph81gy)F?GwJ@6bQFTSdvz$%s4qd*F^jw=i zzY945lP=5%p(j!_UY+56wMq++G_BohFWuTpbIg9`o+v)U6F)PmT%?*@@w|ZT zhUp*6PGjEEO=?6jaBTGgEPjVp$Hrz1>0AXE&e~%@>MNt7rk0N8g{V5m#MnZo*}1v& zvJaS+5&RqCJOH)uJnd)i#tA^kT`Fmu%JoSD3mLSU6$Wr(K@Rr!Gs;wX@5Lb@{<(z5 zPkB6hPHInB$K~v)Vx8cH158M+`v`w3rgo3hLkKfHJD3E=2YM|sc9a-w)EH~NV~0L8 zcpCdmV!v{EVE&OL8{1O^K#j^F{ont|6HK-+24@y3Z*uwatsqv+b^~k*Ku{0!_Q;x% zLjj&AP9dyRe)1BT&8|xqmRhw>)UZ^^;wG2z%;Msg>LWK?#+?w z#ToRZZS-ukYAf5<93?vf^&h$-EKlQ>7G`Ff$;pXf-}pq(K>^R%!Wwf_>-_mi_evhW%oa)i9xd$!Z@ixs-?cG_DPceO8uJ{mUd=L+Y zgja_*t~X^AHlb)_U->N(4JuK#%qZoAOv=@*xX|g97}>7XKRwK4E4})qdkHZI%Dh&1 zG`=|Wcn=%Z6X_n}`4oAkb=3>*J>WpBYETimo&2yb(NMt4;7O4pr(2FyM0b9@xqOwqqU%X-SJ-R_?e$>46vum0IITJA9q~V565WPNFaB$Us`sDs z$o_ANrN5l@rdL-es!NuJvy3(`WcF8Pf4%qfs;-}7foR}v>7=w{T&tH?xXE05O|6({Jnc^)rENPX~HdZ`}jI@Pahvp@u~@`xY1xUwC}Dew00(A1X~{K&-Qb+ zQ#!+p$@wE@em~_HE+7gM2j+ES{R6{%pH)f{86Sy%z@6sB4AlDPA!~!GAHqH`Kfp$a zv7gAiY+QYG+|!#+lCD2-7Lu6?gJZ|mo;X_(i!KPcYT)3p=Ah+}jEww0w0#FW)_eaq zm6bh?j3}d0WJDTf7a-6%@8|u)Irq8m z|GEGF|NVNN=X%|*?vCSd{jT5d`~7}CpZB_T3%)ifdfR}R4|H{v-VF#0L|nSwwh;Ve z?p4%=iI0D&#aJt(ZqE2Z0u)l(&PEtF4`Ww2p@YC2pK%hi6&uKkzuiwuMN@lnL{enEj zf;}oKYJPq`pLPZB+s$&{U3BmKz5R?5i}BO6hu4W}9sl*RV{!OP)~1%AMN9V*`3 zzVYxMMlD{4GES1~=g)ArULeJXFJgjM)q6y~i?(&%jM8jfaoo{a%#2IGa=l(_m zy8^DFzY>FZH1d4J3-o!!X>oAV9qjQr`jQVB=$jC&K4Bb-<5(w2FO)I(pwE^{a*XKh zd9HeqmX||t)?v0%&X&RD)w=vRhjdxCa~)O8yYcz)YuEXQZbjM)wF=*OHw_LmK5w1X zIO<{5VbxbxmU?n_?P5+&4ysLy^!Rx6s@DvjCMB8h?vj#PLrIyTC-aX}I&`ZCDr1CH zo?1F+hubj}!Vo`z-Y5P-T=a_fvFC}jAKN+N<9s7k-8X(s*0Fdxjsm8|AD^jL$l$>A zsro>Kcf*F~M*J}h9AT~0>o^G0|Dm%BC{XykAAkIY;a4ORookPefG zG_wcAN=envqCb2{om4PoUg}nVKy6&9lBegiAxDtxFJEguaRF5pQnYg~XT0tk-MrM) zVc?w`Jm%8dQ(zi+aaSquhY={*xVdRudjt;r(ODQEDVl_gQ1k9{q~TPK@! z>gntG#{6Le2b}ZmaGNX_K~QTXI|(#>ltc5oF|S91Aad=Xy1Ftyq|hNh5`Ym_NA0S) zFB8Ue0q6DY+bl)p8p)TvlR%FLfrZ`6%Zo53Gg(1VN@#E}|K%8z=a?K=2zuMQE9%Gm zxiGg+03<+*$6x_2I`(KnFd9s_CJq)P2&)(`$YaJSC3O)jKapz)nI)8)nAhQ#l6=(! zS*X`w%milgyXtC!iv;!sH6IQ+_yczWF-660=Ol<_&@!5F>hI3J!lTP;vgBXSz7NZ1 zpPW9Gx5{Xz`VDa9;q!xe)fn*b=;&y0jiiRA2);1PF|Zv)-@6B2hZ)S%LSLe2#=!^@ z`d0YZZretLiBMF4Q|!aHx{u_I?}H#t0n1_KOYL)DdI%P>QQ zO1ZS%gTrVyK*G2>Oy$9m)VFj$s@@^nx!Psr+sKS};|%mRffowACE!T~IY7t9kMQgx z*lcV6_-W_Nr9Y8Nn7mv=`|&cO$Se-g*uj#Pq`LCVlSNivQq;c*QOcj^=x(9!@Bd_T zFx*&o-~A>Nf9oEv?`kE{bR7AtW)7#hrS`a}$N)t;Q=4f1+!gOg|92dW1&pgo&V4A$ zW2ns8xJTrvWHx>Of4`Odg-Bcek628Re`N3&pYQivz71q#{$(N@#%rstmafV)CiCQJ zaNWD%Mxq#o35>fnqH^~v%_BPRdVVJ(TTdz9x5_inY?^HEulL6NSIE8?+qEa)P~X>k z#U_uU?93sykl^5wOKecPUKkm3laBwH#HJ#ewKUEkzK4C-Q>{5o;#5OU%%a`>aYLpe z_eT4DTcdIoGOWug$Ypfd_Uq_DTkAOvVcw%%^g;)8?jB1)3pxQaB6cRG2giTguLCn? z9GLKyNiSjTVHsUBupg67*vL9wx|F2%1k?yWa&d5vP#J`hWfr5M4s|KNQz1t4Z^ z3wiSJAs?#t;VX3Joy^Pk+<1-T7j`;4XGlILOq)PltK@UKj~1!ocqT?;yU*Pi2Ni!MQua7A$=8oiWb7~-Fd$8!iW zmQd%HFD&fr6U6hnoKkz8x#ndr(&;lXws(iL0)UK>%RoGiZOA*|B?G#t2^ekOOlSk) zQS>M&=|Egv+K0{TP#pM!+?57TLHurvD+tG3oY-I#;wnLq@S(E6wUsDxT%i#0pZuw9 zGG$Oz(64ZA?!@sBxm@R2cU4qorZo|{HWO{ORh7OBzN#DCq&98pAhXM znjunVHcDltH250)uRu#sIePRHjz<^zn3|KQvpW_ha>;oJAKep(;F!iq#2ZBojeuh*7Shg6PS4?>b&=|o4?Va1+)t%~>sRA* zz)r)g8k7!_uc2s|njo4y$WIA(cvbou7)MjI(k)S{Jm{ z??Myew!Ev#&UFghW6m|kvc}ei>_0hC(KE2^O5mw+i+$Z{S#dUDwosdm-e3y!W<)7K zQf%6&tf~rh%J9YI2)9awxh_V6p@rGZ_!-z$q5K)#d5A6BY2*uR#=s+NZ6$1+`$n;6 z7ZetTsQ2JbL1!HP@-GF{@2=sLO4~RU+-3Lc5PoYDx<)(VWat4Hk@oj7l+D4MV!wd^MMpeh$$ex z=Z3brYgRg1{*dFsiJ6Z3Th5NTd^Tnmi*8qFG6FpsgQ;??u85sr@oW_mVxsoRJEs&^ zcQ-7oCPGqmxRG!K!BL=8aqrHZ!zWLQg{Xt52wl%_Yp*3HS^`MBe0dJub12yQO;IPI zrxp?xzM~#bEEu%ydU|)eLO!F2g;)iT>cwf;`ap7ov!|p)(M1!KAeef?ons$$Gwedq zBTfZlkTtIeU!>tfA=pwR3%3f)P?Rj_$ewyFs(I+Z8-$uFz8-ZT4QwSD=5WlF> z(^Z%`9*J3;>x1vanpIbhrSy(Yqk7M@ zs9sA$!}-e>cUW6?WKHH2qU&HriFJwD36Mf@h4D;0!Lc3%3Lwsd{O?WTb6dBX?^R8W z7)0Xk_W*J>HRVBWS746IN9X+YLVj0>)no{USr`@KfQM}YEV)9N01X?W1wOl7SQyOM z$^I7jg`!x))I~~vZj5FG=q^ZD?`mo+Ju)uje@4w_Ku8T;#KXI2eyH zeK#xM4R#=lvseCxSUFK8M;MoS-L< z9<8*6jR#TpFOj1IBR89D0Rw>~dgpw`Z8t&%*tJwjaRo@QEWl~#|1ORp*>OK^5chm&A0)6tMNrgG6rnP1xDNbM^!?O{sc{dnE zQy}CsjM#2SK=~Ydl5zm%ApAbTL@ANBbBZVWxSEV-dcX?`ubMvp@-L9)L#--@LMS4} zRQx(Et(wWc+HcLp>#J|@AM+BNn7b(K`iU}>3-YSlQBkv?CxOL9NkPHM@(8j=Jv}{4 zQ#{9^IoJjnB48<$lvz|R>(ThUP`b|G1{{0yrw2!plb<|6ssfl&7;D0Vm+ui&yxT&c z?1S-#c-RH2p!?JCgA@u;SNgVyx1V*rLP{A(`GoB{)AaD0YsqZMGf)- zBAdM$bncZm12u+aXa~{5psm7f;(M2yo6X#NhT4+!d24;u7H?JFcwb3AZ>taUL&w6l z_1}JDj%^8vd?@b|hsVef$W z_6!V6e=1%G*~-q#`vSQFfD)*n9q{ovt$pZ;=H@#vc|mnVv*s#TGoYcsp~z3p6Mx6U zj%h)I+{V9YBM<^=_CazLnSVyNHkuw|hAQqW6EauJ+~dSG~U=)U!Q?p1dIV@5=5a0!KU9J z8!D|aw?H%dA@zA zP+#pB5KiG0`xs9>4xD46T;Ch!MePzhILb}WgJu^W1Z2RKL{KGNb(|Q?9^bX$l>}8LM?+%Xq+NCK4 zMFiEeJu@J3HF`=a-rl-s-g8^wDVeqDAO3q61&zI2Y5OwiBP>U(Lr|fC2H0x z-QWJ;0x+yy8r0D!)!($RY~Mpo$e(mq3!iy|AmRN6V|%{Bs#2|-)VnB|mPui|US%+9iF6qPZFn+c zTI3Q4Pi@rNMsVQ;D@B08t_)#e1WKdu{_R`cBt2}Ux?qk20+5uH+@cYl2H_0Jx43u_ zbd&lIA0E|N>7Qox$H1u^c|$}55=sS-hhmVuj%5sT7%m2;12(ep5Apu(5WfU;$B=53 zafkRST7CB6t_|kve^qjxsq^TbYRKVT+D~s9ua2Sk zoR9-%&Gx<3um7jc#P}2vW5DwvBo$zYyHsPFg&#F+XEkLW(*xLhhSF;TQg|MJJZ*6Y z9|4G}>W+%GFij@1kr6U_`k%I@a(<(g#1qEm&GWfCdZoK>+GynhjNUR~yj$noY$9?$q~F04jL zU5cAkDFx1y_74m|#1kR!=7LWRj8sjT=vn1kxitYD8k3GOLZ(}DuxaBn1ZqTjO*rb) zb4x!;Ow2T-P}KQ=EgC+T=oe5jp)rW5se!!s$B!f1Lf|iloD$=;s>;gH>VRU1x@*XU zi!R9=_Z>hnBznyUA0Dzg8OF@beNczZZ8wmq*jRovGVp*n-z_#7PWPZ~R*@QoZ2qP1 z?DI~DlY-dMJD8j%=;lp`h$SQ>#!QWkjZdB0l$pJR^Il9VJO2d+Zz+!-JD~_&vns%K zt?}MAZP}@URcJ$6sKm+JjB9N*EG`Utb?xaJandk(u3L6R<0jpI)QzMbM>(?KFZN>U z-N^+KHKEWZJ%rJfrGhfb0l4VtZPP?bGURPSY}k)SmR{&|s^1`sXL@Q1J`XS?*4P&E zVt%3(l^WEHK1VM=d5umTv%vbEM2!fbWiBo*C~^jN!mx^nmsC}y;v>S|Q7Hh878d@F zX7)y4;3Og zOBhNQS(Du5&uiY9QogPVRZ_s zunhQ5T9U@XcN-RdUWdEVPMbzh3((6lI{P9@YsT~nyi=g;R~KTdca&fvq1eJv+g}M4 z38o-m3B@1uxqkg@YmTO97`M>@NZL^l^@reo180DVEj}+;4Q}#=!Z<7>r0zIoknOI+ zas@dMEMV*aD{Xpqh54fw@xb68>6=^m!`|T_4JSPL|A3xrc`I%oM`Mgn4JuaH_8Ah2 zX5+YX)2lfS&c3I;T4BaAG|Ne^@qll&QktIcI-98gw>Kq?|FtQ(SEpcgbgf#NPgSAz zd%C*Gy3e2IKt8_=4=cE#6K%(DHcM?s1)cE$YFr~8=!YA?RxEz@>}E4^65km0J=w3L z*|gwvU_1mz2U}NHnNR63@GNy=nD3^`9UQlmbG59oGnx%`nk}>L%~oX+m%dUE$G3wr zxi-g0pwMnq}r#zK7lV^#w&xSzrYzS9&;nG#u|sou<=$|Pu(Q8 z8}6Kk%@7ta=yl{6G4kENzg!?lHr9>aA7-A~+Ce`wl5tGlq*3s@N|O~uKYvi3@~dR1 z!vx*dvz;+d+SiU07CDb5C{maFnm2><>ESBc8%gu+D5DK!IL+8 zm6=m?*15VDgcR9$wYx!kcz{~sOk>SMn5iSAufID;K{}r@`xr4^;^652@&%7D*dNq< z==LFh09_&>!G}{1^OYU0gvCE)yVp+)+^iV`t$lIk4K<6tGL_)@tEE8U?a42*odmH+XWqnQo~GGEs-0S+yEYHxMC?K(7VErMOR+KVX;&9`FJly;FYI zuw%4j4PbbNJ_*A{%+KnNMqWxGI96NRX$I zGaaFkgJ=nq;zP)ddThCdY@+;Tha+-u*HhAw4%iOOrc|5FYz!~&`j9aD;;9VBt6(L) zRWhN1UOW=9t6xHDv3qx3cJ??V@sc@{rQ?a|j@vxWNCdHxsI4!jeXaj~TJQHV%>LNe z*+U~D41n$Rjl!@7@dVq=gp-n!?coCX>(>kbrGcI3!0}9i{ufQ_C5>kfA}(_ncmuD& z%E4QAv4Q3tW2ipx38?u%P{J95u6f6{XmG2N^a{{3Aw~_%s1S9r69wjjcUF-VdQKkm zyhZQPZM-`x)WlT#fzEM*wcV$7tTVsh?W?7Lk^lSP+p@ehh>wrZTBV_)b2mLsHe7^{ zuau?ut}dgb>%0T~8*;K)75ZOJ<_`gQOUZU}*tN5RNw`$ga_^+yvR?<%XtXWahEhJS z9{86zX<#6%L?Vf}-9`D3u5UXKN3;-l(cMrzxv+5wVW5k3+D^v<0Izi7_;%tZVEgI!vOE!(zvN0AH)m%nf$ zAMn{o$`q~ibe>9BA6F~8=f52-Mxk-!u4qs4I8lidYDKibAA3fVsHSs4pf z=775PB!WR6e+nB?71s^r3%ymGHmVP)o9~Rl2%BsnKIR@xFGpRz@V^MgJH&G&FhssH`UTV$QxSB?P=+ z_+t5kb$`3Oq`PEWhg+;~(WAd7Vg8)HOjI1-v4aWUc@zF?)s>AB66p_!moa>i#%>OR zQ1aaAypd6~ZW6>AguZfg8d(-?ZEZll(4V0S(da@M2D4cd@+~b-+|K&)g#^GSuVh{T zrYO0&VFqA$#0&Y!--F+>jt+bv0H!wOjXab&e9;ZPBkCZO?$L@rjb-cl`>jIMe`94; z5<_SX1b#bugoTAKr9Mm(dJp;v_ru)Tm^*4@#^L(zrP67FyEl%>d&Y4-HL-D&+imQa z!py^TI(r5Ke|Y0XAvO^dgMb2t*paa-1*60Di$7$Y<34p$!lZo6y{HgFwji#ZelPk+ z{I`VI2%iwZBN)Xok@^cIvx=MR?=vhyR7tQ!SCt}-kB&y5e_!eIh)e-1AwEkKw%)-KX1t%vNGI2p8Zvc(kqKU!MHm|U zq3`NXJx8IQOQ=1Y#W3YSD=yVrU=>x&e{8lPvpwb23q0Rji08XGEmuffAgv8?f3 zzx8fZnno>>(({?~J0BYs z1^=cH;R?mY#dx4bN0U=iw|GOqFgr7YqNcHX%qUNs$lm2pcxoY z;bmsNb^A7vG7lKD$VfEI=Hxtb&(I+JZZQHm6%HMxgz|vhD+=-wN{L*vb3DG||Fzg( zKU!A!a2ti7zEW@77E7NWvlvu@sJqD<(T)UEY6Q=567>i^ipP(Q)d}T2^qkPPY%Fqu zEj@%2z?A5CZZ_^@!$ApIeU<6KgO~%-&O5<`0|_ow2}EspUX(uRQEco>AbJZwii}II zVvY!x>X{h^^kC4BC#)v98YA?PvS{^b7bmC7ST-PTwwRs62I1+}-9>fa=EkMn-pattS9w*(Uf&Y%X6oIsh8@mD^+tok z!=H{1N&KSPxL(3ub0XE70rpLnHh)fo0tIDiOj#}yVygDBzB6H~SOuc_%5a3>d=En_5X;Od+M*A9pctDCkwKKdu2*6fZACS=&xuUxqT{wyf`Yp-2p zLfE)Sh&rsVF)RP@jp_h13T`99M%YtE#0vRxM757;bN0~$ATaUq^j4*K4B*mW!Cr1E z;bxWGg?V<`R<`{kPO_DQzo^bJVV@^6?n^6T{I>uFiT84$!{%4_`KvFJoWAP#?PewU zsmQ@K`c*V9q+lH?^|JpX-lpY|2g`f`ArKsn|>#}8o9}Dpw`FHFl2=b07 zhwgOd7t``pF&CUvTmMsYoiX)Yx0CDaDm{7~U0$r$s*a5(t zsA>RS8o3T-W9o&w#c}B_*aIQz<)8hL2GfqgB1WXhOz8RgRU=aBP1D-zH;DbVvC~n< zlbO+@myFEI!!H<|3vIww^?AC<^> zwCnuFz4L}(04b^ea*{>CF;u#)G*ZY>5a)n;mm>7$wd01jv9r7|#m%HGSrc zeR=R_D-@oe)?9Ov(&0eScv)^!jMyK!> zALxI_c={_nBL5VUkLET&;d)TH~sp?A7M#hTHIqgg#0|$9^ z{QVhLKJT9kuk6_9sNOygT}Mfki9iXIi6x`|0W2HAyE<9!cLMT9)eB zIpS12^Dz~oMmxQEu(^8lq$L)O)aU1krUTZ)y5EMgKPB3>Bou`yZbbN_nwFOIH+^Z} zp0(6|u?us33Ls3>`or)KUUFz~ARXAcHL0+0_Kvpv!(G#}vw;5G7pD8rs`t>Q>5E|U zjcLveKfe|@&!FIeiLNp=7Q-}LmTcSYgS!-d5rhuVSnx%b8|Hvo!PgZK@RBobZGJ_) zb}ce4e|-Ng`DpdkQQ?jHtJ3B*a}E@Gw1~KUl)gP=@!mUOIw2MeqQ7FwKGGD zHuq4kopf%Q^Zu&W`?#K;q4I7oqV)gFBJ!bIB_N<9tHR=;Phiz|QLel&>nII|b}8v! zr>a!a7WbqTuP-=GZ!In2aj{^hl~~65_iH`U{QFyx>wF+Ic119M zI(t?uljCop4kgD(tHa43Tl}$aqmYW-kZM%4S6JAeX~)JP1dnVB*|vGJj}aXm9WoZo zbI=Dte#qdkJDJECLj7b41Er`a?)mUd#@x-yv*7r^@&*I(BJ%snIR}PiLMQm;geyI7 z9Mqr4=-nJU9x8pcqr^#;_l`&FWj-I<{bR9injU5XG+DL6rtfTXO1W8lCSu)oI{CEQ z%WDo#H)b7{(%M;26*WyRlM%-GK7r4Rik9=2_Sx5NRIWw(@`ne0ykzmQ-eRAYRjTz) zl=&aH`u|~Xg$ivf64m$F7t;xGab8RWCc|?n zL*cM|OfekJP$59~6j3BNUmiW}LWtdn*2)GSa&fVOD}CdZTcG}*?ynRfZ+*R)^cvwF zxX;Bdt4Dt;zu6!l3ch8;^MJ^!*5J&nte$; zapa&#jPvZwV>0u{NB!cPtx~0euADjk2Nz)Cn#yR}zSTyj8noCNrw8rEL^v=vK(fe= z2wPZd0kaUg)0~eLpty&uRyE*%g7qVLQ9+_9IuXgn-cG zRRLYkw?aXvlQu3O(a67+x|k`Zo$)Er)i zqW}qm_XP?o^tQUs%p`pAg~S+lq%^j+x4*w6VxdxO($xFOH8lBk-u_AbsL4srMx#OHbj!+I-o$^D90F`x=sdVsfX&MDp??u9lrUy=71^9}>0dqVXGeQz^a#ts}^ zbc&Bql^PsRqnm#a$hqqZ`u)`0aL~APb?c2AE_3PrKf`4HSFm#8fMzEbEkvLjtxnJV|Oy^c$hBBpG0WG z$rnWM80;irO)}WSxQ;#e<<$otGd=c1vhL5SI6gQ%aAe{ceT9#N2EGA9_!(r2X+GPR zasuaeq47jpx)B|K}FU=T1fxzgKa-d)>i$NJ7*Vh9fc_v@@GMuUgl_jFan?{JrRa*43{ zyuk+km{0qiNR+-7L&DIh*PG+cmSVI4(~MJSUf>=l8N=^Ck&}b}QFT<@r#YXfCK;0z zz#pXhDuRh5_07E?ApI7d>VX3{)C8Zngr|pqmrHQJv1Y4=yOvu=5q3CbE+bAWeWS#q zUjRIi?BPvaewmr00IWZp9tRDR^5ToMHMX=-PJ5~OfY{|CalW97 zUSDZ|+raM>F1kLj!J&5Dy9mdZf=arjZ)i(j9GDd&oi!QVakSTN>FW7z)^^g_jF|YsA(X^MmU19@+&w}vtvGOS;JeDjrd>u9ykuD zsH&ph6g!sHU%jitoN*)cA@RBryQpFR9drPmp>rXh28T zA2SaXwgqF8igfeNwi^1Xt)B6#qk0;Aev_6M_Pr~2^0JIz?~TL9D22;1WOAbh!vY0s z&l@pA4Ve}*nq8Ai`9jF&UhFMr(<@byGRL}xT7!eu8te4V+jBf^wwm0>RCerxxOT5G z&0ylGhWFB&W8&yK%z?k4garr(0XD(F33fE1>zrw5k_l1RFn%87=;$aPUiDy zkpASNlykKw&EtafaI$sIVfAHRo(QwmFjPTrGz7`Zl>dRMJwI{#Ls^ynD7EL;0NIwX zH(fh)Y)ELaHbPBmYGY|d_2xPK5;=2t;`Q~}bKbl2OIr_xyhm!ORuNzZhEGjUlxY8keNw}boTzSPccW>t?+4K2S$*MP; z2Pg}r-b;u@WNtQ(W@QL*h$^5cd${B5;U%sgfjMzHIMY&k%3UZKefQdD-Ce>|M8&ja zQF*Y-x!K)Y;5P!(<6R$EmhEgvPQJM$Xig+}Jv+v{hSxhEEd7vs;(|lcmndHOUip`9 znq&0}>__&$>8AhQrDRLmO9|O^i@ANbw~lou?D~F2xS-Nmu6O>MMgmz;{n}f9T=SNv z=P8trj*sJ8MEis(pBCRstvz9_O2B2si}S;H+M(YmDgttS^3(PP*pY#lRu~=o7;~up zXGgb%fO*nr1WG=adWS}j*GF3~c!>i3{e9c-NFhCRi0M<;%5S2=FItl1&UQWfG4mo> z?#S+fp23ddlY%V3wh&ie_U;{qb|+R{=_J(ChY@O{D8fEEJqH~I&IT^sKGdO8a}Qmw zX*`Y)Hcbc3eG0Ca;MD~B`vV1=0=%oSE9go*CJQ?va-&Kv?2I~rkU_Ybs;jT%Qx*+FaufDBU=!zf)-C@?{SZw? z5+^fr9SAFW+i-kfEZ!f2KGWvxSq!1aK$Lh6E+WKJ)O`O7(~ya`flnSKC;R_!djKS? zFh5_y|6iaf@UD8*{d-R5c>g;Ia84_ES9iBPrpTa*K^cok7G!3qAo`R96KRNwV0omp z4ui6M*U2-dPjAcA|Mv=#h$715&yUq9k%&N0eE8dT{=}V#d+_TY}y1wn@lo*mj!66q?EXGTUIemcyCP^H&{nBAT0dHb8ic>rA~L6nXF zC24{8%T_;_`kOZr4z-UT`?_C-p&iP6o3IVGOzLgpa1+Y-WXtoROke%U$J0ZWS$H%< z)K5*5<(oTQSbyk$rxGo>`t7Q5LwjwgWyTc z$QXmCv(rH0ZqI4T9M9tS#}>Se@E;2n8a5Fo8Y_& zNAvKQTcD6;7f%m2XI^KDJ(3JTXmZh~l;gQs>t<(X$9+nT@~?!{!p{W30&hSN0psMB z!2#{~Y3SQ)#}U`#&6EN5X{d|76_s&&WD3TmNM5#pruc@y!rB#g=-N|52I6xu%m-ve zgA1RK$G%LP%LfxFtBrhCEy>Ai|B zlz|h-1DrfLSy)>7h^yP*gAsv6M3M&FXild(!v{b6?2LH6#j_8Mjat#N5e97jX(n~NS*HDE{!|3VNV>>dTWS! zi25a1exsmaCdp5Ky@PEXws$v0yL)>bpsoa0jzqm|pzs5Iz{eNA6S96+^;Jb7+`rSr z*MKvP5vs24?H>&X)YUI@w-Z3@O^fTaGHvqi3I?l_WQ%0Ir@H$2N_PmuJ08@^u|8i6}^5O?vz;c`Oh|XF# z{|7ZhxG~y?b@_86ThH|OC-h%^#CYW>eEuZcU!dX*s{CD0+N$=eao!~|vZ#GAw?}?J zqKqRg#)nmftV-(5sy8NA_T2g7jU{w_{iiG2F;~?MUy$Wj+U~y?Z~PZ&^3TQQ!pX-8 z&n`1|MoICPzSg+7RX3mW`o2ec>7Vts#>qzUm#UIeSzl+)I!5XLvF@$7ole-zfV|Vk zKWy`=VK={&w{3svYXMe~2Y30UDZVCjQe0M6XFkDd<;&OmS>b(E1l>j-)eCCV?hAWe zAt-k5`y`SvH-2x4LsP{-v9hy}iOaBUTzR`CgL&+EKK4zi_sUKTbo&&2Jl(UJYH};@ zu&6O*?R|FNKh)m(CO5Wh+`H|^&cT#3)kh`<&f<^ZEPkk2kpZCt{8=mUaFQOpp1vt0 zfcF3%jfcX19jNubccgwijY7lbb0++S-&!SJ_;zy4rAQ@CM~Dq`(cJ88o{_e2opa~T zf%A+XBE&|BR%O;Nzdz$Eo1#6PE*AII%||cHN4B0|&nuV)^_e8Z_M<61E+wV9t<4QR zl%eP(FiJ~G3`%gapWnS6k$SN1I^2uz<-b09_em|v1f;3G?m7pB0kY^Ep<%|VC4a|h zb|osR71{>uc=>j%IfybdD!4d+0D4@HZ6%%q;>CXI#;RA^A5rSVWt*OIHJ|e4JNX3# zux2dHBLnvwt?m1+bFe$2XQgFIu)@(VCYBh4j>KakGo}b}9cDRX%o{ck7L)M1WF`S_ zgE9tOdE}@!-=tmsB_gLa#7LYR9I)TcI%bD(A?O_k-h---=(Q_~in}OQm14ewS)mFw z^c+wQL0Fjl(ZSIXB!~06?_uVM!H-pT3+P1{eU@(7P%jAolZ)e5puYr7Fk*AqyTBVa zAXdf;JF0ZYah78#M-m$%tbg4a9T`EvW)=y~pu4-fNW}^|{*%V@Mqr&ChV1b^Ut6*9@3xz|7aUQy6EVD%Qw^ zc0`aReI2ZfiC3DS)U=D$uS>LsuS?zD9*o(3{#*=++WE|_%9-NlyyGrEWsCbF-pfNK zk$Q5`(HLhTY;8LR%z!Ya94SONJv3Q1*~jpY1u?OYQSk0a1Yrl&tpqVbJ1rHNsC8yW zutJ%idA|<2P>q@np!=Uc8_vL(E;TFbgVWp{_J@NVi;wmTS>rPXadN&-B3IsoZijB_ zC+?0qRJ|3yM!Di%j(rVKx{!Zwh^b<$dGz+zvcejK6XM)8-b+(2Gbti0X?I{*M{gIX zk)^d!R93qzu%C6+^iEcGPIZr|)OWcF3~2|~@PS1H+P_I84%e!eO9MWmU-q_yycBh)qxMf zpZhcy6ADVpHJ{BrsZo=U*#J+gb?bI@%0gjCSVI}5D!^9o{2~J20;3Rf%KLMybjz;u zEKtlhKrje+8VaCS7weB(!=3BBlR5IUFu|va_;z4sjq!8pc)e|x&Y#B*nhf8rXqA2J z;i1=3mQ~>+cRW_DG;A}O7s*LdszMG=HpxxY>bAf!q z_-GbYo;)0v;a$UrjDyoy^aRQ>qE~f8mRAfr9c~kfTMpf?p%-Cy04~jXStsZ%P~_L# z+cOFVk?kMW^z4@DC&aCQ95xlW_Y`^AyVNfxZ<#NCq0k@7wyw^@K(z(4Kq9sS%m2QX zBbd;H5z}j&mJaaehS1Z6E~bVEhdly|0`J(z*eE0u*Rip26t77KKGVIOhVtHJwL6Da zH|L#?(@Nw%cj*Rh!hNkROw^RJljw@$u0x$ud&QdAhi_vn$)Rm}+0Q67^~n=c{@h1= zc4KK)b^O;z4;u#1vH-5y&dTSXMq9Bl&0OMmuyfAF(WfP%9JZzFh}~lO3$~oss*dMj z$k#RERM(aIoI7{0E$>viu-EkE7n@QU;#MtI@UFQnNj-NgZFxso%eJxUs@Na@^}gO_ z(~#D46JulM9y+Ge-~X6)9H-QuUolA{GrstcY^9nav$($Mk5fOKXYC)(vBnP4W9%ID za*Ndtg2tLNwm*!`%*u`kn$@!2@#<+LC##^F(ca-+ghx!|?XT8zFSW@WBG(h|YB1sz zvBP2OOQVO}?Ajk&9kn^A?E_^tW}5ZAS>S++e)(T+nd%hy*DYoz~C4k#658&IfQZj=R~LH zg`S*>j>ncV51~l4&uC^a)_=wOrQY_th@yzO3>inNNIIpmYIzaLqLk*h^7Hs(;r@|V zb#(;w@U*pc-N40dAw+RgrTU?`f7~Ve*gU)zkm(!bLN>PPOLzC|SgL;d)di~$sk>!y zjDTXl_rBJ{Ln5$19r~k_rwA2Nlr89pJ0f5E`Ny}0)cm%O#SMN$jU&Q7+>|Z=7bS#G z!6^hPqpC!VKS1Jf?Fl)GG%`u+`bS6;J>8I?nVjAlR<|54YGoC$r z|B4fIy6PdY1#q|nqlfvx3qX`;TbdbG3@JtEZ4~J`{-(O=LRFQh0PDb|dIpqZ5QbpP za_GpBghN7<5qHYEFkEiWJ74yS1FTkT=jLb6rq)@7b3zZIF!!?zhYS5^h05P><0j7{t zz8&-S@sWlh}z`(%Z z74QfgYT|^Q+8zM-`rf_uqLT{Ib`q8IkyhAfD?SE;BXdhl>Nd^t4p73CKDxG|DoL{U zNy6OA@8y&s-wv2zFN3l?*REBCdg~JPQcXhx`|<}XDIysb_FgtK9^H7@P2tE^MN5sr zE86$5tthdXsn>v4I;ILT86ILUZTUwB0^o6rcLJMXx(lDcDn%DDb{>X;`}UEK-on6Q z^oPm@Z60D;f=KG~`G!SCHC^X0LWF%W331tnZ|@nL-FZJHWeD7uh+g=Bp0%{x^_RE* zbB(h1D@WDN0J*tH0slxxN)3;Z#t-Dzp%=|6D2TL%T`jRkSN@}tBF0)cwh)BAqbwk1 zq8Q^lz}OA8XU_DFIBDPiJa&Ks(?P&@gsX(8DDPbRxXZlfJStO|gRGzXyd`iBk{hs* zV6IQYhjA%>G_JbFAkMXT`3WaeIyJCmWAAPnk1ZJnGxeFu@8T|g`|ZbQg2&;u&M%vf zx_`73jHy9(HeTH4q6JiM!U*Dc>L*lUAMzFf;Z{^5<%Q8{rt?nJZW)vFO+upNIvxi(wHR#fV$@jWRNg~ztBS@zK?AX_nVZ_L+uY; zSv6#w{TB;#?yaP*KfM}H%M`nZlzw>oK)OeToL~J#j=iVX{TzPJ>i2bC1*I{50znpD z{r*m5r21m!v>2)?1kzVN^pTkQn$SHujT~Rp#DMIyc{o{EZbw8M*oCqIM;&UIMW`D4 zFYblm6V~I|5>`!4XFP*wDPW5u$J!?^h^oGG(BKHzl&>XOieH*dVI^|bi%$LM(i zh3HR9jp!0*+dL1iS^J|q-n@CBw#BT0LF>fJk+xRe77F1C1 zC^7NkFu%|MtP4KcI;o$4$r>hqWRSk-ZU0fNAB4TZRYHWg5I+3b4^nc z*Y~OHKpX%bIMB>6ELofsdo9#9{1eDL;5qSX(C`g<3h)Luk{wXqrx&B((f-S1<+c}! z9QCaId}P;PO7stj)KhhLPqrwCe@@Qol415*FiD+1@%z!m5p3-^Mc{}50q_=Y>T4v? zFjx2q!{Gv-3`FM<8YEN&)8EUP&cT$g!2#*a!zz!o|od zz?_552U=QO7i_9VaghklxQI^@9}iqzAuhn}m%Z@Sm*Uw<*J|e1!~XDt&DiN4uY=P^ zgBDZ2ml+{SL#gQ0@O)gDYIYVY1l(n8q(tEb_MLYy99hu2D$EaP zkEJ=bJ6~tIfcwEmF^Wdf928Q_nh9H>{Q@{X#}cuD;0(j_g)3|j7UmTs<$(DWjE%TXntm8|bb>ReGCv6Rh3C4i1_cEv z^FvILa7uKA(`j~H6Rjo5_hOC5@jp|Ymer;2Fnu5GnWT-56p8|#0GLayNo9*b@{ zaU7x7yaicJvprU)%*vdtMlEzO6_&ktyKfd^U;X;DGI=1&(f{$=AHyY%+(Vu#&lOpw z68RsM+&_(W*x4Vh;YfU($TAa`&}AuS@7^acvyK zNhg%5wx}(yR%M?08c`|CvGT@@9sW|W{hO-Np_KS$4#}s^)*8tt!`gj@@Q?1_mM@Ou z;Dsgz<2=aLp<`{nX{sMdeZWG7lFajb2@3^vU)sq%*9+?_(ZGCsOU3Zxux$H@61oR6 z+nL@_>##xRa|ixq4jb|Fe%=YKb|5R`pI{2JIBrR9%GZkXEr2mpe|2o!7o)QFZ;iI6 zVixenqLy$^fB#gS>IUS?U~>vlNAvS+!3+l;HxWI3m@OLul7;zWDd=aiE95ZMilc1F zo;x5J#Qg88I;T}%Ftk#gbe);BIpb*1`7IRleVT`d2n$v?jKin^!d3hH&p5tfI6JWx zdV5jHU@gKgN;87MnAX2<5LPY=Z@vxB7#K$rU;+kIDTevKKnJXmo4ltUZ~A8eCG?bB zV($unTbzR$5}B%xA1{F!T0!9p91%n{hU=ph+-C#MM3h{X4Dy>=vM9=JckyS^)z=qd z_lL*}h8b@h{s8oJ%pAG3{9-Z7M~n#OQI3v|;JaTh!y0t{*?HwiG87u;FI+ICq@tvR z4=$=PxX@s-!l$_dot$4RkjaQlfK85$yBY#~eIE${whfo~@8(lE z7!9U~M4audLnVC}kbo34`q-NE16HhX^(9bic?YAE`fp08U7*GC_6`gf=;;aGc_Ya3 z27t-EhzJ}^rZa-D$iopzY%NX@#XLOJ^zma)cem0clz|{;V7wT}lmQ||zM<$XHLx!j zkOR)lEMffh&-oSoKhLj1_(!W{k0F|=qow7o6o6TH#kzLkStMREP0kc%y&pL3e?RQr zHEvq=z=j;d8O-jONeJxz^wdCri5ezw13_^6LAll6jdKe*0J!CK0uWZSu(D#Fs2KyS zl&JsiV^0h0C{AB)y zB>^T-34j<=jAB(^RcI$&CPcbcBlM|%Juv7E7rf&(`$qLWvkCi z9x-K8m)#K(H7*955)HeTuMVJHp+=#Gh}3pS%+OKfm1fu0g{dZ1BDJZ=NRF|>%!;3*7mNNAPQ$BD%5=+ zA5*)cSaJ_2=K7Q)@1($W!GQ+=J?0u8COrs80#VaGVGG752y8$VvqAO=e1_{#@8tDC zgY?l#N+72BHp(iC>d0~_K$-nx%LhnFp6S1xSuxA`-inEVjRW)_syl9A&i?Bcbnv=K zcYmOfqhnxTr(Sbmy7-*{6g)%)6$G0=Fpt$YKu7Xtne{nu8y~y>HBuKC`>?Z)&;n)^ z9K4a;KVp{*r5>WG8 zA~KEY%{1;sTmlH!1{o>%pQvjg6+$CU7%w{_h*kkM$!v}>J$B}w9i!)YMKPM+)4}&?m$n~!rSp-LJ85|*~db{xk>e0 zEc;m7mK<_RUIDa=zh2UcrSYs3QfY7MDX2+EMAV*Gw<)xEW$-|jR$kvqNFIq-$!_nz zAAS9$qDfDq-c+)Ce>zVI2b1#EV5%caRgi&%cUDmK;$BT}432zEboL6RNd5BQit3 z?$$l)S(>Q@s>B@A8UCa=KR@A^(?LOmSu?6H!`FdR<}Szw^fM#0eb4#)h80 zNF@*x>pQm_j_*DpSbNyVmQTmoxnTzvp@O z-p}5$a&j=vZ>oa%mipM!dLk`AdIuSyw9bx>UOid2SxERV);WqW`Gq&ZS29x0?RI;qmqco=(v~aR!5qpwK!A2|Vt1`xysDCd!mf;FbX}--L41Tq z?Jl9cxGTNY5**;RioHibYj|LxexT@=%gy_aD96Q!3JHR01<0RjymMz~S69^+q9HiP zl#Elu))sChaEgWXG0?8%Q94-GLa;O(8uvl8Kwx1~Kjasi#DIihq-AAiPp!h(I5+Sv z4Cb)6d`ArC_Sm<^;2IE)gJ{~*41SB)jRui3V<{YYP%n4Kx;C^!Xltsk&ko)*G*ocN z41*@JEFq5QgZ}xEm{1TFK!ND3c|ZfeDl8OfrvO7KyPHK>w9=7Ev*xpw?nNz9-6jmv zfL1^_x41Vf;_s0jwO~zH!4wT5$T! zSTV915X9oq*>x@D*-F>bJ?u*dd7Slp8nq~!KLJ@bMZmW#sxq7(dlp zbRY2DIdkVK`;5xIQ1$p|yKWsBmJq@cYhHkdM-Pt&LegS!lf=irw5QPLTXIfmqru`5flz>_rTJk$P}cy0s8~72coXvH{wg7SdZ)eOeTI!sZ<48&zyyis8|0hT zqQ%3L7B#sdeXNO&(ollYDv$F;iX9YO()X%uvNcNAWsP{_9MUb$&4Z#^zP?!3uoaxm zD~K(cH*bF7U_r>_3+x8-^7F6YVCneicYZTIM|MF~Eg>{HmRPVM;6@yji5A(GSR^>K z19S!Kh%wUwu#<_yz8O?TD;CK(yRqSd_#sQ_&CmLuXDQ{*#2^Y2^#Z1|=uiz7 z=NEUH-NR}Skp~0-o78-}glJw|@Vhfd?xZ0(dac*3?CjMtmv95*PDmEi|kTah?_2b3=)h&m~az`sFG3qlL{?h^gj zX(XV+X&`OtX!DUjg7?P{9q&28LYnG+BarT=_WpHB#QoNX58Dtx85`>fvX%V=EXqrq zUlm{0M}XV|NC3cL=k;01mqp z?Pplz7vST|2U0kB9wc`?aV9}+vRX3Y?AZ#Zx9eG8HVd~E*bq)m5|JpE&!;1w>C>lA zut!jcKcUo*U3OrK1O}(CU$^!Bi%c52rsVw@U`8PZ1+8T;p|Mq=%gV3GZYeq508@(i zmHXeDt+*&3!Qql^c=T#|9ujq?v@kPMIti1N3)pnRL-z)D(O`osShEb}w7zSJ-##hc z(M)OW`eEd5sSjzedDhoW;*&)a*icsgO8{)|*xfA+)*jN$2incLy0FxZ-hY;gZs8GT zA;Bf(spVOtNdm7W9;N3NWKI65jIsiCO8Y5(-uq2I^$pe*8mK8gqf#RH9YZBqcwvC* zwV5;(M=&W_VCu-GsAj+R3oORfZfxa=u3JqUI;L!MEth0W)tRnDGnW)T^01@la?O*? zV*I=c=~ESMiZ-m^Nb}9=+*l}FG%W~sYI0m6%cMq_vUfWHu1!tZ413!G_rnBTV^t0!6xs-%s`n>e@1CMKRUOos65yTBd|zOjWbU}XH@>Og-iHi zx$hhPJ{=)I);pIADdrjUyC0n)L+-n|ZrRd}+qNS}8r1mnW3<;Y9$ zpN`21Z>svAV@+46sTG5B$h!SfBmnbj@V(bi;X|Huoty44bIrQ~Uwt zyS_gCEn6rD(T_-A1rS9G91%d3`)#mf2-HB!W@=&riT>JT?Nt3Zoo(CU%X0V}%#-^1 z>|#NEaA`K2zW}W#TxEA=?00mO@eRI=P1mbx53w$Y=(orN?+}nYhVCl)VR18pc=8Y^=g&z^$w%}`5?a@r#=e_ zUR43%(b3q+UIMme{ia4Fv;!crA78Y|-QAt&J;#LV^FyS}4Mv2?(?5agJMimSH+#N9794zhSytBo|(tm01*x5;Hpx2UkJS; zR(`$l;i)<6^2+S7wXk>z#epY?bb|6%I-EL1CO+w#CRu3FVqrAMeH zcV_H~^;Rpv#eh>=n{@+k7{YYX2P9vBmH~V4@aCRs^Plgk90&hwm;@-a1*L`%@50WG zi0;PHPR`zj#L37IRr3ws=;St~(LeP{Zj|EBGNJ};s`9NRWfS?!V>)cNCUa^yiG_B5 zVQ~*DsbcP|t=%Hbp_TIMoisRpDk>TYb5y^XS``L}9vZN4HF$FuBLKw5$=?2G|7*=6 zixTe<7s~GyWauNE935-#bK=DyUkU#-=-J85)u_fdd0OZ&ukEr8l2O={?R%DswZI|2 zspBEP-qGE)ZQBA)&T?6xfZl+3Yt0r<-=&r8|EQb$Nk=-;RTPBl7vbfuAdV_3HWF{- zAT-O^j3WY+WmaIG1@u^c`;{;HX=`U$5WGX#WIk9(Ybm znRTs-{D5#2XPV8}60+z5()U>kl1TugCL0S9Lma_9x9 z3T1|DaYcGsEks&?B|ndhnCBfVtE$>8646@d(d2!88c!qV)QHNCD3dnc=_*<2rY#u8 zv*5as;(ifQhecYSSwk~U##813n;12>RqElCza_%w56q6&*@N|5z!y&RJFa)pO$Cr> zi{27ifdA)xzf}{`cwe$_rpK{O8Lt@2X|!*tcV(wRn8T)GRwi0=Di!{Sr+pSkY;IX<+JRt8r{)AfwTW8>f=5B6`s5~v%;vLED${~1H(D#$G&7;o5t0> zmwqJhOT9Fynbcs0s~GodWdgkMAjW$Qp+3}N_&IU`0TE)<=F-fvD2nAH<`6?JZa%)6 zLHLKoCZ=Po8!mxcIQ)fxf|Q?JlxKE8M+Xwnu;5^8l||F%iPZ_b{II@H=~44#Zx@UX zf+8MzFWWGUj(~GT(gI?0@_feJ?x-U<;nuCkSaiVa!HomGmG5DUZ$yYBZiM`NC2{eS zF_^V6mG8jBy%s$)ZbK*&OP9MS^0Rj(P<0^62Lfi%K{>6p43^C}UF3P$oCvpa;*pv2 zSWX!8A-aw^Bvd!h2e8y0huctZXD7zssJJ+RB}-~UAOIOGgCG{HX4C40K^-n5IBi0_ zFvK$zUbM(Q>f(|Zro^vrYuf>gZPP@m?-}_6t?t+_zj;$OPQS`+#q^&mjm;?_Y<{6d zRD=oY(WAF_W<02^wb5blfg+f7_DqdxFf}YBL~0F_%OSiuapFW))=E+Z!mF9h(-rpdhm8(5V z(X`Y0E9iu+zI-JQT2`*((7=9$8(=Xof`nP$^XFsO0-wbWU*&C*NUF;*^2Yd_D*h1O zZTDpARX3Cz2DKHXld>s^(2zjTObYN-Ny^L&;VZGJVh1(~+*Hlk6wM%q25351s{@i^ zA^lJym~@8T{E`!xzK0+`1TgaKh5R3702EtcA;{pEu<3H3;_Ns0gTP>6Z!)?cy@?mXCUx`Uz7Wnd zM*1t~tVA!{R;pI*b561Zy$>zr^ZG2ed6C?Ew&=;%Syv1eOPsHbp@8jyi(6{U*|V6! z-GEPE9RSkCNSnr;zeCI(k62KWEtE&N#9YGwqmU|UYkSb)Rr*6pzW=qr_xikOcREK? z>JFf-f?urt{YLo(tJf}fcNf8S(u4JsPUBfo zI-0AQ`j_F4;f4qZCrkwAKw7mvdjlUoe`{9^qbHTw@WaSB&?&pa4<9|+PBED?eStC< zjdHU!@Lz7Za&E9iog%2|pv)$a%li3f*Bs4{X$hmQ@E?_w{G+Z;ANn!HOV_UxfvS*P zG5KH4$%)|j2#A4D~z_Qasp(abto!3pL&kX#n_{%zPDSw9xcI2Jekb zZfGb(ExLiinDvzyo~Mzu)cy4MWQI%X|J=7oo=?xp($msX^!|JeTeAp5FGe@7aT1Hj zr|vly4J}%`Y1MgRVfy+0g&K&PFa>pwIe?9-fxQ>Bx2l2$2t@uX?b-*5bMu>2`e*7p zbH#0@0E?tu%Z1GTDDfQkGZz|u){`ytcBR*Qp`yZBL-k$enY>vU{7NpwWdST33R29k zV1lu)D`ml}AsSgU*tlhICdWP6k5}=&0i0}hFVG<$LcJhM3!j`#nxDB2D*hKS&LXy?=hAGa8rM7Q z>#!MM${JR&d12}9IITK6)okypI(}D8d+)~~;7m!J>>*1Y`B|%6%weqI3ou({uM%)* zE)A`kYuKp2ZMNU#%>uwn%($xDCMgucXZ!i+@aeA3b z@Qe)Pk&p)of=wabQwwNtnw7AU6SI^V1_#Wu27FwaEPP&{S~hB}c`l77=bz5C6pD-) zWK|LWd-Mq(rPS=F_)ROU=O1#o<-m9{$Ibt7{z|O2ust;zo3ZA!cF8sW>lKSR1h_vf z9^QG|Zu!_C@voz5JCMt0k)0+UoS2TF(SW|>QIEG;MHbmRGt?oPAfoz~V*CwG1UT}* ztOxE3X*8CMYFy+gr@0N1$6g`cKP&o%qND`XRp&39IRn@}DKW7nl+G}>?8cH{(%4$8 zP(FBfRgG9zoKQ)S6ce+j$;&a#5sTnd5cNnbPYd<_i-&}5+N0yPf7xHv+qB7_Ux==t6_l`Gf6Hhb2llqWsNIq9~+CLSN3 z2lS)uFWL-hK)8W+ia&QQwTb%W4I9bOh{%1g^@eLePha2TeYt4L@2kJx{DcT_L;{i1 z)9Zm8B2hZX5Cv2Ky5ZI>Kqgo~ByPgP^YDHNA=`GzJtBz206A1(l6An@InAqEK@svh zg!94JMI!jujOM}5-(XlFmV=)iOwox!kw&tGo72};h7Svi8r5KaFkHtgd04nUFdI>tW!@~eCta4^D2YQR7cX(4u8kdT>OEcg!>?#?`c>HC~4 zeKKyBl%0N@^e(wKJQl0vRd-7>n(WxojP{Xg1G*4o&Uz-$S7?eTx=odKEEo1dSf`?_ zyifyl=wSpcBdQ`w2PGb*nuP>l9gISDW+uKR^mLe>?gxFT*Big!Ks2D}D-^^`uVYq4 zM&dtOD#WWw#%U0WOo$pvKU0j`t70FVrTlK&J&WSi@?gIUplS{YAs*F88}b4ZH3^mx zJ%l-Mna`Qf%F~CdmG||ZF8oD|Q`2Hu6Y62d zOam&=wZae?Yi3Z50B{Qk$3mGMc4lz(sS0M-b8@6Mec|UeOYEZ`(~dt?LT!|Pa#s}v z5`Zkq8r2RupZV(J*U)p=9b@t@+iGD^j4lk}msjDfQsIEF`2L$ol_E^sFvo1E-%I}k zMsojLkCU%-&l8|#NuQ8*`H_^{EYP~M@$vdB4m8aYc22?C zW3!?UhG=@0>hu|;^qKMBmFD56n`PkJPZ(OBiHvMYvv}wy^y&#<;e zWHwYlLU9MJS}#pT zvzPzw+isfy`TZ@>=P=y2cbXiGw(A%Rn^ptOs@bhVZlBA>wqoT|rAR7z8 z9qfvHDk6>>AIxvXMnaK=5I6U|FNKbMi|UO2Zr^%T-H9=|+XcTV?8fwoq+^R6J96O+ z37~SoT@_OphUG)c)+0ykF_P}>P3?c#$Hp175!PbHaIq+}`Z@%j9?F`5Y{RcpuPeyf zi2F6|2O(&7Zq1W^-F+z={J`Q;?b2h1?CC3ING=#yhnSD}NR5V{ec_Rx#OL$h9U8*LVS zM8eca0**q0xq$u}2NFuJe?1kNTo!h(;Wsdq?pgB`BF{MlaRHI^i=(d)6uiW?ZU$_A-v#nm zNF6ev-)FqDf2vCX8_NF*1-Xh6v&L`Tx>BqLmCJI^)!e%Ep;V`;&*)9+*O#}#oE%MA zHXc_k`Z~p)vA!-|_MSuFtHK?H=XlIpnfP0wel0Of`4!2q>sLu*QpN!t>VWha{Cu8T z7dbjbNH>NK$uKZsb(^N=aJ?|t>StHy96!?RqBCYS12G@%Y)$szHMZE}^B<>_K+A$f zPy1NlC}??>&V6?A&sP+}eD0h5r1H+LtMW~%^L}3*!0|k20}BOM0=^10gc3(GfzqqH zpb+Lz{NXMureoi?H_>5rx-gqAuyOzM*D>UDh@6dm{uUdkO=~-ISHynYGRFLySCqWB znDs;T-rSOmw`+Xj0retT7Hjri?(P_$Iv@eU7SZgPIY#3&w+ZCWmt#*9amZ9GT3bN* z3DO4=9NidsP>i{`QB@S}l*Y+fzbUMwe>)so76}h7E;1QuYn(Da5_jGDf_+ijC`qGQ z-P42lZ9;v4yMvY?YzRBB(~WBYlNyv|sI(BFpottz15^QzMaWYp03vzAdlk(ua>CS) z)Zv9<0Rm3~2RQMXr=(lm>YH~vE`Je_7WHZ4aNWJT4xDpHpOlYu1qXY1dX9$O%*l~H zUHo;}if^w}(fi}ql1sKYWQ@D4SHj_}mL8Kf=K?}HNavtf+q^8dwQ%xZt8N3(2( z<@J_*qyeXqDYxEqrgh@KUG5H-=Z-GpkQD8RDVxc0#-&M+QBPvb-NEAZ`gbGB33uoF z8Zv*__>#?c!02=5>1;hgVG*+a5%TRjUUrWp_)|QhWLF=`7%uv~v}-V-)ZcQ)31xqo j-UODHs{DB#V~&SsbPVi~UY4y#>nyZ2^*3JCusZ&4xOZ5# literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/3.png" "b/\350\256\241\347\256\227\346\234\272\347\273\204\346\210\220\345\216\237\347\220\206/codes/ustcpetergu/lab5/3.png" new file mode 100644 index 0000000000000000000000000000000000000000..68ac373a36f382e7e52bfc2db409c862ebb5cfea GIT binary patch literal 64030 zcmd432{@H|+xNeUBq2(s%*mXY%t9z*hD^zjF_~q^oG~*=W|B-HGs&DxnKKU|^E{K; z|6JPbd++<+`@Nt0d7tNhyz6irrIxiW*SfCX?|Xi~pY!y)D<_GCL5zVwAh7P-zNLsj zoc@78pb(>|UEY%Dz`)F*fG7mRBVwbchlQA<2nO|0iwE`9p3vAWsR61O2} z)W+Gg))IYbq$g7q7cp_}!i6-8qpcjbsdqHojpJuQ6^PFou6$lSMR)E+_~lwesqklDPj{OhJ#Aba|(gb;TYq$ zmY{I;cSV0sAvV#%&C1S z+R57Op9+f4bgXMWdo_d6{K^-eqvW&SbcjH(W)2LIX1cq(Pfr_S)>a;@OYgaQP`r5Y z;={Yk$k$07o%~__l0HMKXN3H?o6+;_`8tQi|0f2h!UkKwWij`HbGcmb#7Y$KwY&ma)h z5%eGQu0>t-lTIy`Kp)?}p-t&^pnB%cc(KC1g`2D6dVmBSE34&?!i4-b#&>FLf+1s~&w zc^}Hl54tmyOp5q~geu>?Gud6A;)`cyVq&7A8t+IF<5t|9{A$dJ;Z4QJm|0nw&^~hk z8~ernK({7CL~2^v1x(DlPTc0hx8+|eGej~!_#!mMshp9Y|DyB>U$RzM=R1{21smxH zM5J5;HTiTDC0zQ<#*QY9BseBKHN^S5+AoKQ^XUVIh}&Lr>tE`^H{m(=@?FJlQERvM zcIo_T(L!zC`*tB!+0h*3rE6XC%O;G|%QAW8dIm3LD0c3!uwK3Dxo0QfvR5dTB8sj{ zSkH=Px!9jqT+D4!ke$7>`n`5?;q6=MnZqK3;j6{bS$hxx8s%L!`0dg4<0<2Y+GGjt*@`Isi}eEcciUu zZIw;#-2CxF$;f+R=lE#ln956Nf01Lnp`@ZTA*eK0!jdG-f{&MXYkT`ePI!2@jkPt7 zXmD`wCMSC|W^Jx)EO%HeyjW3DQFrIy;9zbp>$Kfi`RuvDkG7BP?SJ@PqOB)mSGAF{ zva*tumDSQpP@oN2-yX6E2@J%;!^_3w5xn=1Zjd_sxLoJRn4*54;A=l>uM4}}eJokm z7#lUQ=|jxpcf}dR8v27KjWjGXT!qWzhh4)yDpAG68dff8X+Eh=ykul#a6ZptU=Tae z(a{-?R=Qx;bzxoEtE^Hf?^Za@&&^C*Ud&Aj;dOCzbhNZweRcglx5Y>xj^k2M-_d$x zm1d>$(^?-aw}T0C;r+#e#>U(126lFKH*Z!8A8!a(?TiPMSd3OW@2(&0Zm7Q3^urm< zH#l!2#^J@~+de}}O-&c^#i~8Nd`;xrQRr>z3uFSXgNq9bQBhG@C3EB7WM3jAbXXeX zVq`be*Z)vfMoUY3)oZ#XT93W8Y^BoaV8<$%nPTSNL!zKvm*$Bznja`_{_gNO>+jy! zeA{P9wCZs(PT;N995|D@nYWnQ{E1%%nNDgze4ECr_T-l9asaMNCXA zAt8Yyij9q(sgms<9Gp6OR+rUu)@j|~J9E3q41o`hs81Mg%oV{l%KIeot=MP$Zczyf z3!89Uzn-0uaVJ~ls@Kt8U)51_)j{(}g;Qo`Ca!4g4U3Ty3vDAKTG83brf|t%5;j6i zQ27NY5~o}M1jq|iY;4DY3-rS9p8hLE}N=M8nbohln!TNSno zDz2`TqDj?O$dv#-uVnL*=u2c|@0A%;v(#!r*=cC%o0}JQ)+UNot_3jEPOPQdCyU?c zyH4B~{ajs5%*P#7q$6Zd87m{c7b{%m{*^&jtZcf+F^_K_3wNMB<_UWim+?MnE-x-A zDXGAy{pfJTEhHpFJMZC{Qky#e%WG?EZpZth?FoX-sSNGrs%H0{AGvmrRrV2A_QmXq zI2D&$&J8Rv_%Ml!i*L3DUAZyx(KcYv)Yupu8#|Rdm6XWAg_(;X_F9_|t ze*4zzW9%dTd->_?s7v-wt{*PPZMw-tGa3}bt$s6E5Z}c^ULK2HW%zFFG_i&*-(%wk z>RsE%ly%&XdCZy39~*BIWsJF5<~Auv<3okh#v_RR9m_CeUl}dqP!GRNa*sc^uvepjW&LoL^Kw9 z`ll-uKPnHm?|XH?b$MSwq2}(jtC+g7ADwsEMQ>;JRKk657Mp~Xx1u(#rbfhKW#-Zg z0RaJ4OufYT+Bexg6Aq6a(enP|+nEf9NXct$D5tKjE-TyWapt@}J5f>B=x9|= zj(ld%i`Y}APGzd*&=_G1X+#`tX&*b@Bw9nkjB(d2f! zIN2Mw&qJbEb5E~x#d8%FX3gbLMpPp|^svE4>6BgJlVW0GGwq4?mX;L4v#~KTZHdC| z!*wF)59k<046dP5ia)^-HQwB>I){H0===<4e#vEh;29n_ttSpUA!bTS$}t=P*LJ8> z%HDit4zuP5_X&rmPj{EUm8sY3V>d5Ox5mC=zTV%_F*Y$FX69cvzW-z8z6NuN`2BYk z74akYd*+^O);*HJIEQ?MHBW8EKRS7IAGewm?bQce(RMp5a#3zllt`r48=VZdlY~fdgP;PfHn^DE;`wg~2n6En zjR&wK2*Osl_AwZHy)JqASzD5Kf=xnT;nBosVRN_Kw7aLrY#`rzP_x2u>-eDkSncGuk;?3mkd!>$uR7*0 zr4PHUasPgFK~#AFOJs9KtO!fRrlP3mCkwazA)*J@_Ns~p+;ZfibPIaQ#ci%rGB8Gb{5AK~x6m*hs%@21W-TuOm z!>m1mUq!T$dKYtpZB;{=*@J1@PAjUDRmk4j8*J381kXnvKFnz}q%<>tZmV{xEs zU_f0%lyuJuK`i440#*r z=9pq)s>jC!@omH!s`#qMgbF`Fsg!PV8etnzb|Z}UMw%P>$QXHqr0`W1q(Sl#=~VUF|}mWhBp9=Gp2b+vdRmHD}Yhr4+@6N4*` zC;j_p5iHua?Z-StS!(+{SFcX2xE(u*ih9VEGg*e{ag#Go0{e}=eoi#YBJ&b z`uJ$DJ#L_a#rt7iznyOFnFIfRc&P2V zn%RZyCgzOOSyz21&2A#zQhE<5q6+E;$Dy^J`|-x4fceOcca z`3@u=PkDd7=E++i++93=D=)ehW692CJuJj*d2-OZ(4t#xrSlOA4@>|3fd)kX^;rz4 z($dC)Pilz8uE4n|Iwi%3cNM}%8LDV^nhv3mkc!ybO?s)E94N7{yuY_+!bx1A6{4%A zs;X*dw+*K%48KDmsH@8%ZqK$Yv9z%q`0O?7! zAi8AKMGW6kpfjA(^%p+{XQV}rWH@hp&0%?YSw2ySlnl0)Se;;M=IB9U%I8C)&fYld z+Lx*Qh9s7%4tMAB)HygfShUJ-SGF}Z)v%g21e3yv7ZMTz^?>Vl3&Z;~B_0mW-Me=? zhKB|C_@vs&@bU3+ajj-MlI{dPy2XSa85x<#XDgMh($LVLdhgzK?MltGu4tyg?MIx% z-lAa<5%;vU+h;a1Mup)>6*D*m1zmJ>+y@J+CL|nBrzn;_nN!?`!?p`~I!^Jld)QPo zIhp48-Xn#ZtV5-xr73A?79-_P;cL-`{+`puyCu``-m-?KqIM$+`z_0iC@#AFfp}|3Hav{@v>e7Du9TBIYETPHPM%P102xBStob}3ATvGvCi`%-MNw6Jx zd4jb|tqt_`srmREpFG(NV5xN2UW^vU^}%wHw>W&7k*qa)bF(|%_Ca1#=RnU_lhxgW zogD}GRGfa8OK->t&^-0^{@6|xb~}Av8$IdOt5>ipH@)lOJdcT4ZnqS3nTUg(efZnA zJ3iL&H5`A zJbZi!{Pw{vl1BXa}avK&hCr3za=6GFwXl-6=snDvI9v1AW+fLjFvN_<7G!eeJ!EwhLaONy-e@ z85pc>ZMmL0)hR0B+R$9Y@Me!*Ob!u(PfMf7Btjy&hE*n8g+qUBbCZdgIXEDoYwihV zlhDqXCzuZJ-@k8q&yLVByo&BIKO35J{R_Xr*6HC1hkMlt2?^i7>)yC=9?^=P6gJIqp5&~#(1&&iazOHV!w_LtUSd zp`NSrOok@e4sL-TTU(EcG^{x&D0H^wdnPsY5Qx`T%eXK(OapUC8c*4|7ieK8wi5)@ zS(hGw8f9c+;v~dunPYhw@jCyaS11)9Iwj}c;V9~PH;Kxd=v&)DyNfsdFZX@DQbR(yi(s%f@qATOTm!vlk}Johi(r3$0NgOV!v)2B~x{;awd`1l&DB)_4= zi=D?6y_!83rgB|0-KnuCd4WEn`i;pgadAP1)#`T~Q7uunwziwT?^JTMWZfoB3_DGV zIy*bNQ*Ti{GBY!1`*a0`k{T3NNK~YjBRwVMGZJ!G?=f8XSocp6Cd*$zB_-akt5FNS zNugF?*y@9Ig-p=#ZKrWPX&sxQ%2V65H$g*gYuWNjr$p0jjCDs`?x;^aeKk;|u>_a* zrenoTisylW&WBrlCFb8u=ICVvpbA9_2^ks8r;^i9(1GgLGBhJE2E>iy0s;vuKYC`Rw+eATQ{n9!AKa{UK#@1<7-!!jg1ZM#g4i<19!lg}Xg=*tV=y^4RGUt;%=(3iRygeqq!3Jbq{Ve+!m`0^!fZS5e5 z+FKun9$2f+!ZrxH+y5yq^*Mee3w?3-09tNsMRu&UU!=+1?h~z>IIyt5DW-$;q|v-79k5wT_n~ z_$3)A86TpdqJo{odE-V%a4>sZoVfUq5d3O)>GJdQ=NA@sorSiV=S?-l{?bj9s^so7 zSF)6Y&!kSkDpbJ4oI9ygA^i9qr#+q_-RVoi!^3cufe9i2U)!aBYaMcZxs7v6QSo9C zJfy~waI5tp;%{B~K{*+G1uQ|)$Eb=Peb6<>6!VK+ym$tS90D5Fzi))Gpv^b@pR z-frIihim9tZ-y0iyL(_D`o)W{qoWq=&k71`is=R_ICXpM&evM&aO~aC}s?oSdf=WQiTaPth!5eeEoV62ZyyLYC5#UH2unr zODuzSsAy`@y-yVErB{GGxG{DvExM04H8n)_IQ3M^*CM;`GRt(WE!4QUpn}NBihKyE@_k8 zz^fmST)?>hwdsb0lhgkD2W4$>ykg5g?Jeb)h7j^DTHN~tU2)*TCf&VlCYNg*JHD{s z1Sjm^z}Z{$*~CtUQW|_@lHWdY&W+=Z`%k!W#kdXDPC*D>E4ym)`K)4iu{N#)(&vc@hq3ecL}Jm1X%Y z;NGcg7yU$&W@l&Vn3#}f@79hK zG9H&p(fjwoM_z4EWX`p(s1byxG%*a~8y5}E&KjF2QH2#27qc6E4|;|Jeq*;}i!@8c z%h1r9!52CQPA>kNu2Oe@yz8HN<2FcPw_%}X=UHt^i-YdE5j(1HWK^}kT-Mgs_R7%S z+FG${qrM{K0PU#j@tAOhhK=jiQJWj=mh6!u#%5QtRmz@jOh12)9xd}KHdY!3wbYqh z)VS%zHQ|F*F>`ZskQ1)1M`L4S?QTal>o^;ZyqIir>a*voZ%IfzB$W8}T34G>wV&l& zf$2a#(iH^1nTqNmY}RO=lw3WZJ-S;MMXp?HY-@AfobBw_$6Vr$*MXA!L)`&dp|Lp0 z^yaGJ*$KGrnj0JYE1Z735f8`;7@M5jRB7ScDo(#J670rkNGe8fHfSykd5ISl=@RD&T%=;HpL<`$ShT*&zi?2(kX5MTCDIp>FdhQb+<} zw&~^cpc!AyS#Sc}-C!L731P4tBSV!;=4T5=hmr}+wzc6EWNn`-OVZLziT)-z+4D$D zTuJut1;jL7(U-WMg}R_1*0m@VSof1DF`{fF&jz6&5XI+&f=@B7ink$%&ms_}myG0J zz`r68GW4@k$Um!vtPaj!K=gAs3?qZwT$j~cP84I3FK{oEzW>B@=63_)gC1mi2*f_> ziL-&|r~UQwA}sL22*mceS1c%5)t~G!Te#b@5}sos5W}9dO{b#RJ1#>KELTIyhUhIS45W%puAx57eK@_CIre5x_mZ#SM!4E4Ylpekp$Wv)m zGJ7tN3z3kJ9DtRolC5FD{;6NEva*smNFt|CkFd9=Cn7#x_Sj1H59wv?If+}hIJvlV z348nd=^~_BFAFL`cDeop6U{Rq;CxY{>|^-WgCg)^)Oq9e7hp#qo`>_R2#~dZ`*t|j zl~!9@i?4sFCG7JxrTbS=t@dMca72$XJ*cgQ(hp07g$21E8yZg61rRj#5eL-|4e<*K zRumL;_4VE0=f6*ZLn7dSL-{f$29!vKN@msJ_7KEc4?aGbQ?1(Tsr9`8bu$$e6*eiK zKC|I}E%6M$6i_!cHI*h84>biO5BKifYmQ{;n|neNQ0xLHBI&Nafk97S-^Q-mONdo@BM@3*4Asu|DvvH;(& zA@j~4Vtsao7{deK&Y<96`ym1$`B!gdJ; z%hp0)c3`;u`+{I8tera!4rNiS127k^3qSo%~0ydv&Ya^tl{4X=sr|P5g)JUTt zaxVywh0Mq2F7^iUhw3p?h~R7{SJzu@M?kXT;!>fK>9WR8{46=gv7F z-9?o5e+uMi!&)wiCf%h8|5j$--rOwB97#(CCSMR<8*=J2^V~`1*bu9)?vcN@=}gHr)3*+z_HHSqM4VNY`??XGo3seGIt1W|-rftqnJ2Senst=ArW4FzzdZcqixf!%*nxyJ0T6sJ-7j9;d~_*uC6JMU z!CxpMD(K@!zCE#fkSC@JTXPlswL+Ra|LPR2H5?5Go{O6I;h2AC8rGXF)qYejg*YNF zhzp+G%r$I{!MegN;JA4^xigU8U+--BK zcW_+gI&xcFBW*SVxok*C|y22&A7Wm2r`V zS4UGg?WogA#qh9Zw#t<&SIYF8UOaW1{XAz zF@_QUx--E)G?Y?i4^1-L@rbv=X`53-%mYt6?jh5I1C)@4`yZds+w|#QB-*;2RXf}q zt;mrV$uV^E&;E;qurg=L=Z99t#_2r+V3pQAT$`+qyWNS@BRaK57L1BYf!SI{7N%Z) z4TT?#-&bQjLi;QGrN(*(`6K^uQN~S|^bm{W0_I3*)Gy?q7ITUddkUnrrmF5M47B%Rb5A~k%uu3-z0_&4F|4gk4zm`*g5yMW*{*8jd zG+zE+P*9-)n+w{kubuqFf$upE6`ah;i)i+=eM?{}ZZh7EF0R?MM1JxMpWs*sTO zu&a`{sKP{(Ag1`UfuqL6%)$bW`%8`N;E<4*6h<$A05 z$kB1Hx*8GdKFvJP9Z!s?Mq`sFp$>_Ol{H>ZFLh@X!wqb2ZM_aL(Z+NueCZ`hXAH(_ z56K)FBxYi3mkBv9?Lh*xc_`JRl^h79N!9i(D%`|lXH-U7+O)JZUuQv37B(|-^Bn-? ziMhnm{Ihf-f>ggIaNoexlgt4?tMj zMOIY(5Bgo_dWF#3#ab)`8G~b*MMp2J{D}7Ow`90gq{l2TH)RzcY zBA~tn*NFu{5eTgC#R<7L1uM2@Qi2_mP&$?Ddu{7zB#l%T7z*r`1{eBr!gIR1x`cNp zFJaaqBlRD(Di2T{zX5pkH_9PCXXKZ1qBtQ z8xP_BnW~Z$7pFv0Rkbu@pIL|yIud%z4WTZ$0TP<^vT))<8ax%^qCE;|o51S?H)X_O z0!vb|0g33X%wk^IOK?{I&|EOM>rLqus;eJ7EfHeVh|kE!2bfA;ULG7R?G50|Ae0i` zn@zqd8BE~?veJHc-C(=3@pukY(k}|?^S@G1M$1eZ+S;QaQl@5R81XPj8sy{oihwAy zvY__<6(t4AHsM%$L}DUz$Y;M{ze;ABz@7}my1k^|cHR`js2xr+SVB2HCfXpJAWYk< z`W7wtdx*57^%%ZDKn<*aN--+QWXg6=OPldNPat%(qi2FM)uXB{_++*NY#7SO=9O<{ zX`RNenAATBjX}@^T&0>a@}X~iv5ATD#4Q4SSYBQ}Y5nx!!-s~3G&cC4UB<`9KYZYc zmorlMO?FT;sHXS5nl9peeOc_5J0pqkosq6C4grBhz&^*us^ebxN+b%HK3&f>fMBV$ zlXXyKYIWVwH?l9J_}+C(lCdq@aLK37=M-rCZ&O3D^%b@(C8b2+r$^++YviNO8?u_3 zns@FrfpzHQ!9|&SK}bKgS^^A=%t^6{=~htwpJ24WR1B zCTRfm0V~a>ql>tBU1zdniP=znT*~imQa(9Qu7`(B1em929Y7Fl*L*EypTMq7zcvUA=L(N&N$gK_t(W=CIq;7~bGI zBvSsj@m%7OpQG{@DnNsPUCgDE ze(-?wFzFrG3SH!-G3tdHW>21Q7X9LZR_kw~H%(b1<>$%q5cvzGesa~Xo9#k7e6o&Z zb5pwc^zgV2lOzRBmU@99aFrosf&lkU1dkKaXO@3-=&WC=+UZTpKu~t`7P78Xg2)mW zS~M_#>H=yLp$b(ipy(t+$Xxd3(m?P-spkSBINzWdn}o-5b5?Rg*bfOR-Pvd1K&@ARRj;Q?Kjlw-{|#UHJ;nN6Q2jEg)G9G^PoE!UV;$ltaHL`f{^ddf^;w-S zH&t;E2%avUk&J%vPI>8YO}>Ktw$!pV5%s-y-R&1r-Vz&dYSihkjo1C; zF=|<5(z-~6oE=Q1l8fZ)-+MkRZ0PG#P41lCa>T5KLK=vNIpO#8IOq@)S(3=h$msLb z{4+*xh!Y_to)BE41F%%mcEKYo_!h@Y;dJ<^)(3Em2!xe&gMY(BX<3=D%ia?Qhkg%J z58f9a^i3XnQJA}JTo!F~yBA7rex>MmdnT>Hu(hQHJTt0YjpR-TCnpdVfClXC?HL*w zok#WXJbQu43(2{+r<`!^{x}%t&j&nz&hun8v@{BoJE6}~e_nD`)o4S*41j$=P$b=@ z@H&0^H1HZRv9Xepl0o}TAC`b%wQ`o;cLJ-y}l2=WOn95IHo zalhR^nQ63n)in{9=Yt*?Z1#tHw!%Pg?>NA@S@8Ja=uovj=&!wZ2L5*cr9w_$*V&62 z8XB`dl<1%~-v;tqMC4R#6i;y1jrh1YM<=JIK1i3~%%f8#b3`=h6Z)797Wxfi{>;vT zA;O02ERTtE&U-5@Pnv;HzRc`2v`U5Y=r_Bucu=-mD>O ztQWqr&YUUs^wh!*o&cgeBP(ljV*_yBLgQP$oTjt;M;dF2l84Qo-Y0x4sPz%bWc*Dt zg?u~y8F3A#@3S)tB_@W3LQBMtrJ;tn7mJS+4zK&&S|6)HnKyEqM6ThTmXE=5H*elFHC;r~fXRoz0fO!Xqo{eK7e4;-noMJ}71c z`uo2z-&V)#`1qTd)c*B;JxC%}RIg`Ht^*wsJOObh@F<{dfl!@x1R@i4fsb8eFB{5gF!y(|>Z0CgiB{^!~kj z)O)57Swt4@(E{AQM0rvV&bSDhk!N9-}OeVPZ-Ix4b zkBJYHfq?;Bgy->@LYwrv<=`W&tQ5*t;WxjT2@1JdC{*yGHNr=B&+4om;c3w{Bg5}m zk+m}$br172nUR+nEIwFhC^mcN4X&kUp;EqmjxCBFaQG)bC;ruWgJeMUCIyf~9 zn>Vvcego;5naRS+MUGo0dskIC0sw%4h6(O#7wogzYbdVZm4pqGHL8E zv$EzUC7o)pg2KUC0-J66EJ@U*sPm1MO{wAH$k3}gVa=gDMLLq*UX~_IA>=b(OGO+# z*Ci+30xV8oVEbvXr>AgGp{&&1gk(+XZD%0Q&{L8RjSvUhlnyw4&QiT)q9hpwckW+@4KH$_A+e;y9)cw!qqLkH3p=}F5WWtp z6t>ELh_}{hYyOAhGn!c3yvJA>$}tHELaeOSG{|3~nyKa&xzm)4c}n*M6`?PPcJPFt zb7Q78wm~RTvFJusar9oZkSb}Voy*SP*1*iWxqYChC5X;>+?JAh!!7=2zlRiQ*n9?- zzTs8E3Fs>pf&_aCqL5%uUxJW}Mv5Y(7j(Z6R#5mxrne&d0YjV80sYk;iA*AD_!Ge+ ze@zFy(bAZ&X0QQa`FuCqs7}F$X_Y(C>OYbx=}shIA}lR03t5eOr9{;HiT{-Y(pm(a zC_Y1mrk2&TD^+&V(9+V<%#4=OBXM`l$ru!HcIJ*;PrP^*TQZ?eoi5fmjbR(K^(`_rTv>rXmfMPn3$cEdgc^3ZQC0{DWt6Pfe{c?!(8A z8gzIjz@z?#085e!yP7I=a@Tz{#Jq4pl_3I3V?EklR@C1zBeD>qxr(<|XRTY#6ux1q zrffqp(S3b=kBbJOX@yHjDB;M$-th0c%%mB|=H{M$|KuGad=wRPi|MaSmwk5tiH1H{ z>A`y|DB#X;i1X-+bHMF}55!Jg-P{vC=;K}eB|_ZlzP9<6?%tR_E%%m*^^nK)MLBet zLOBANZ%xfJ-fI_vgr4@VPwF;<5Q$Abp?s&duvv1*%`!E{c&Zl`XhPO;x{_t^!ft~X zlTv2ClA0>ywodYwX%s4(HCSKSDo(3oHS*xa0Nb<3LFEMiTSY~pJnQ=EYBg)i8}#B@ ze?z9<$=`p;ttiS!7cJ!2E=;nXEi7cJzTVa=G`+F=Fi(v+((T7Ldv9-VG|y+ehu--Y zl~p`&6mOlS^pb$jU*~&!BLRBS$OvsB>1|#0Lf|#T#l5w1LIMJkS1c?nR_5p5aNF|5 z+Xmzyv7UdGAD6|4PBX@^L6SsGeTzA=%RUv-<&+eluzcLZZGVZ54y!!rxS*-q)EB)) zVf#@~Q@btL+btM5?l-a1Pfwwd&CSOb zqgC76tHLM;B==t=Rcf7qa45(Ik*$+@9z)00@Q5H#^C1Rh&I2vz7NuHePiP)6&3U)JYpqG-&b#0n1a9zo+Wwf zR-=AC#7`a+jn_^Ey%W)&q=?N=J(UHqNlDbGdy9okxFpf(PqCzdwcx{Fy{ZQ$PXPY)d}fdE z@GdY>x`*BfIq)BW62W{x!kH>5dSa%m8~>wgNiJJOsT8;yD5@sqC3FZ?w6&r9(a9&q ztRV3U{WIq??LX}=u01&&6Gj%~!s5$2_Q60F<%ELSIr~3jn#j|qAx#sl;a{c+rA+H5 z6zZQe(5e-t+A|jG-;yaFt;^tGfOp}4^Edv+ub?R8RIc0V2V_f+&iff1V*5NbqCfh8<3n2;5v?f(>QdANgGY=nfD4|lv$j*ATALC@!>2$L#zg`8PQ>U zfyXbDm?JeZS?12YciTrhX<9_o*M0!Z!*FkY##d1>h@Ck5VZOuKxL!l>2|JbAH3vN` zGAYQ0_s&nWlR3d556;#Zhd>*HL~`fC7NHN&>;^_gU2d966ga@KeCJ7sifXj<_;W*1 z_?cFUziKE-Mx{ZCDFf)@zsm_1NhGgrZ-c1-eB|cVR%=@uK04~z3uL#@;Tm3nK#$yI z6E`9+BjX(9|KG_I+`cNh2|TySxNgLDOe%z*l0EXgL_>dC4~oILxjAU0PPkz~wyz2E z9}Wf$=UMhOZ9#}Y*O5_TX6lOSyKQ0vYIG{-jT^-CyXAbeftv-^VnPDhx-DGu&^+Dr zHT=bkzQR1HHWxSnNcX|IbYEPesy{ zdMV2V6X6>*>Zn4(6?tZ4i~|?cAUIO*wX2qAW(dg1SAaHrfTMb0MOEwmnsgOH^@2qJ z`}~&U-c3GQVxdcbF9XJ@M@qcvFVrG|$qSy-^_!o&bxTH3Re`cG2-ZcYHB$;0!- z=HCXteRCQA?3d@;QiPTQNIA@~U||UvvC>C3-a_&jMB#c2E%6tp*~kdr=3OA!goc_b z>nSM%gOtQX?S~KFh+pMV0pOXH@Fya1Lkp6C%s6Xo@{%;#5*3e$cD=bW2%ZgbGLl=%LAdANr zzl#14nyO&B2k=?2`~Ak@B&lRxyWuUW3l+2NCQ!qhyUiQ{Ah_Xi!d>bB3}SB19BTtr z*H5X^-6{k}dlGFt18>K%*3^ob^m3g!A(cCg)gVOLMHB=`YR*{J?T5GW67>hXEB4XD)&_{iI{dK$dzpE z|2kKK{7k;$eR{g3jm`d;=Y@VZ1FcGDBFeXrsX4FJL7Jr2-AweSFll?h3?p~~q`~9? zax+bq41vCTUno$)F9rd9<~)9*KD$X8fJm?vsbm`bLZ6gbyrywWR`@#$$VX`~7=n6Q#7S&c0?g`oGXO+b6u+&j^Vy0`yJme=|a| z3Rk*0+nb|3Iy`LJ#Cs?PxUvfa+m*lTb0Ye|M)$j)LVgmnL?z8K4&;(+vh5X$6k3tK zI;Bftlsfi55F7o$L&=4p*~`ld++S|CIU+D6p$&fvrZ=z~`2_|t!7}6LM@dVODDZT> z;>QR8kv%3w5cW#HV&Zi@;A!T_=K|sq8ew*KcVV`GcCo3tiOKxY;eHz3&zk8*di1C6 zX}mKeLj}*ilk0f=mt!JPq1f=q$RE%hP0|3)=P^v&fPzG^G)nbMOLOy8H}=!DxqEw# z;WuQTCm!jGz?$HryZMOj-?2BqFZ&X1pkTM0YdM2t3Yy*^y$_vsaMQk~NJp2^gg2&3 zJ|vyiYI_;uR}nXW_7gKcyJ3y)0nt6{UeX)-Yxn`Q>sNzM+OQq0_2k|fBX{at-Qyp4 z8T9okIR!VkKYiBIc)+O|=tDPV&Pn>yq}ky?%c>^e1sWL%Lqkg@ST`^aDdktl^LdR$ z0FLDU;gG0b-wN6A{QUFh&;QO@X)>OMHmN`0%6|ddbX;*8c5f+#lh9)L-)o%iHRc={ z83EY<3pGTe;HRH{Ha1q{xw-j!yYn#S|9(6q5!6l+%1eOId8PBavgx!kqGE4AEr7`B z|H@-Y(4xL*YiF1G_APP(5emwkJ9i}XcsHX?*U0k)KyOcX-zvb}Ftg?l=2^T|w|8Mm!$NE@}9t4Q-XeF%TK;BuD zulYbfIWl##e}e4n{;U3|%cSvtpo?MZgj9+(4BOF5bIBE{yzz(OK!1V7%xy#(;r}%k z6Z(CNXsOtUQgP{MudZAZAj9VkoU=|ys}aYhSI>m769xQk1rqn*C^s9 z5xhUbERX2E{*i(C%&VW$ac)1X);EN;RRliHeSHit{dAYdsUUbHWTmcT|FJ ziYFUQSI89{A7?|1;2AcEN5Ly9D=p>a;bBdiOzNb)a34psR({ib11s6=9(InY1bPr> zqB_!SS^f*N4}n=g@2j7sf{wyljSY|&H0@U%EmXN4E@%U-YW(Fu`W5pvx8U>< zqTSKgVaHf89d5iy$1j{+99Eo^(F!~a+r=ooz{`MzNBH=_W-lwWiJP;BL&Xs3X7E1y zR36a`+dH%)Tg;%(yv1NO#D}nn z9$<;@85L;`$4tC}d6};^4J((xh(qO~->nQ~7_Rm5<;y6+X0wROG~a`{W%4=F`_FM= zW~*uVSiSBZN7>7daxkFS29-7Kwb{8vw?y~d!%WY%VQ4lSPn_G2@de{Z+Shubu>86J!;aO^sw5Xj! z^?-`TygxUotn7Yf4>XaK`Kv1T?XoyJ&9qmZe{@{}eJl7nlLJLYdgYg52XS#@<5_6> zyA{F!BW*^%eKYGb=+Grp(a(utuLQCTT3~GShcW^0~fti6hFfh1J?jD%$5uqDWH2kXMRbMb_ zhN&+4K^*|*PP5^gFANthg#0M}{K$@a@%Y|Xr~BhDFN%;o_UNfy(|qUzcH)3VW8}X{9MS#1zEa# zwtT}7X{mmRZ-;S2`t?AdF_S(V(iOrvS;^% zjj^GF^;J{*6UiCD_RF&{FlO;-)X$FX#~ySi?OE^7y+O{4L42Tje$w$h7NfHd9p9$V z@ohNMGUxq!$9E)}s0gy-yG0Fj9-^A7HHJ>(El~a1lZ%eha zG8z{L_wgMBEtU(+? ziKy$~3Ck?h`8>fTg@w0M6f?0}sZ5GuocX~NYHkkTYfrt=ZBo>v&)%$4Bs!e{zX)rC z6{}v|#2Q4NF!707NXT50tw!L^xZS+*C;gB6t$pZ8x%a|ed?xMQEpubaXL3B)Sv$c( zi!{t2xlmD2feP2y*cfIL+@wG@fZ&RP4Z;%)T`G?q9C)ayCqMgLf|g>b6c`;xBP4VL zQ^$(u3-94j;*pVQX=)Dl_Hy&`HmyzrPHtc@1vDflv81Y>(PJ@)9LW%@_N*)<)JkUAG=(uHnWAb*=&3YtjpyeV zn+Ff9Gjqbriv7QSb%j*G&@9^1xVXf==!{sd5w#?c?1qZufDQNpVb7MLcV6lHWGAut-Z4M)!Cyb7jEy6tr(CJ4Kkt71*mCmCrUR;4VXCB;5^z4qeAm!Rc@k>Cd{uv^0^Ymr;u zJ%HIf5mH6=D_UhHdfK6Zh3O8^Uv+l_M#?}X4Ew-fPvHjOTpwKai&4%%#101qt1&nm z)2#)WqP`YLyG}1yuTkyudt8E7(Ykm>#beN61!y2tf%YR$8=$!m=+i}%c&mwyE*7b6 z)LzFUV@yi@xTv&4>uQcYm$RqiVV)+u6}V*yt}hPUN-{GaLq`@^28)jiGIh+%vhUKp z#Rl_6Rh7VY2cr9dGJkH^^)hoR0gX{+h(Hz51S$n&y^IbN!SwvV za=l3vJ?YFT+!eRoM{5tNycJdkZBIRW_6&Tb{mF3oSx-9jB!GF7?n196Olg{hS#h>+ zJ~Q~9|40b`7TFAZGEB@Wgl_R{m4Jy463M!B7YPZ~R8(F?MWy#Gkf!4}lDYG?>9Z?X zo!cFJpKo{XcF+a3hC9`FEXx_kz(CvC`EZ*WrnTv{HHn4GlNVj}s!t@obv`gWp36MP zLJVEDFk+~*vPef0a%Lgt9oBu}Xl#O8 z=zwRSovl*Sfyq(s6FmFla^4ir*VnDB4`uJ&>w)f>5E9-`BvWvCcK7w|@9Z>AS^>eQW4qrC0n8FM3xpyB}*YoBox_6wq&oYSwfZy zWnYRAk>z*2Ih{FY=FH5Q`OF``Z@0P4ALn$UxA*(?d_1qmb=|L&{97E{yS+m0v22kJ z?p{{NoM7uC^=jK4-%(m*RtxZWzt}*1@!^+BUQfNO&zId2phJn2unX#=~rFX(% z2}xSC@u|Sj$Os4&@#F-fehEVg!K@n}PffCZ(FBn+c&h^|jSXTe@?bF87;#XHG@hUP zMz$UyF0Pz}pg6*AvG@DU9*hq&dp`Sd%(aq+#fw!u^9DH?bBol#hvwN^HKn=@mKjCr zdU^_!N04})I&&M62a>p*U+*TI&dkcXy`ZVyl=b+Q*}D5Xif zuey~LuYMZt#%1uBN#&oJfyJd)oQo4ytB$Ly$tWl(NJcq0J7dMU@0e|!>VGhL_#0_r zbz5dgNxY6f!U~^0uo10B93DK7XH7{>eGitZj}dV!toV4`@DN1NOnjoawVw1Li^$b| zJG;7}!5U~uF0SXU-$vjA=I7@}7$_3LEPLmI{M)hj1>2Zam6Q&7Os#P7 zxW&XGI9@*IWRf}Tx@BJS;_4K_PxZ$sO$dS_%d-cu@XyXwCU*GHh%Jb&Bg&T zOqI=38JG2<3C@<#U!5(!tO8YBEVg9!Aydk)U$L8~U#Z7=J@}&R8AEV&OLMdFjI1nA zs3wtJE1?&r`Rx&x}n-Ub-tJUvkR#-oVWc%Jp?en-32n zm~MYH(72Rjf!Umh@HOjyv$p7S{2U%cmwYx7>HcbM5tSk&pB%^^0WC0kGCcmlV8I&9 zA$Jc_2&`adY!oP0v*toSXP9-TSF{}6ZB~R`lm9qv`&g7%5#`Ta)5M!Y>?^w_x|Pg$ zeuCDv{>N_wa3)GEob9?9a%ZsnW=L{NGU4E+?J{(8bAvf6pclX<;AzyGv%R&` zSi1w7&Q4EG#?@yJZ^rDvA5q7znHKc%0s(`A0}y*%+%j>ghE4F@X?b47JNeP#k-QOK zJf?)C*{#$b?y=qIxb%h5kIN5FfCYk+!4e5P28O86(AIbFKnuZjgOie&kfrc>h}+=z z@82N>cP0z)@K98K)hl$`OhMu6e=hLZXiyX`^Y;4C8o4+Cqey_D-8e#C)H8nfv=!{gDD?R|i zwzH0OW3{SI%BHl0&SXk;_Nz%Zj>I9&fL!S77^xWdeWCM*jAZD)1z&n3?DSzCGl+dg z%bSLjmW_2Jk@5*GEvh4p4Gn<}o_tqB!@@*(d3jBhEi95dG|7ujUFnIr`Vz*Wkp`PT zjvsPLE8|C|*IybxKHe=lkvU6X(k!*wGqtL3nLqyBJ!ZdXcouTT78VxTS!ZN{zCjM# zIP~JibtOwvq06}}?S2L^~<%3h0Q`9(G5kiTuzvBsTVB^*seIF}) zRV`p;g?Cj?K_U=S2WY$!O1u&h?_feE1TAC1&=6CKT}yb>Hc^trG%4fJsO{0%x&Ag|@p3$U!}#0w zOTQaF9?QP4x*VBQWK3N8sUo3Dm@udYshS74>?$6NSOmok{l^l{yL zyk0s=%Qu^j6HgXZ4lLWi9BMzXnDUqBj;kG=0{|; z+sP_~&vzc#d1B9AALe*k(}{lzy9ehJ9f-B z9b;^YzllN8+1XiO4`17jKN&viWKHo|jC9I2tZtfDEwa!F+^II?y)e^-)H1 z0Z|ILUcyOlWb_eXsIdJy@XZnsrD3Wo6I%~|mCGYK2GcAxX7_9c*MW1w8+HBV_JE|; z1^W~@H4G_b6&1Zj`)wn4Zu;RFI*l;|+#{blGl}mJsT~FOZ1!8H(l3L_&=*G5Bbwek zd?z?KO@D(sp4cpiKZK~=W^t3O#p&dVA7ff?c^AcZUWnv0 zlcD0JR@k^QYpmjGe4{W8j18XJN(WA{K(M zemaj)z}5SMB-6-T&m8zEU;M+waYT&pZP+Mey3-l17cMq7%(fqxmd28}XV-z_j=aG3hwcxv#FS@&z!5hY{ z8dB4@c^F=r1dzJ&1M%Q1b>0SELy9Xq^~E?&HdHMx$?yS~0XMHl7e<=xyCkZe5P8W9%e+xs1GG7u|dq@_5yTkD$;rv1oByEcEO?+ehVSwiq_>Tx34dOAs*S92U3GQSumegx=FJ?1 zim=sO?B zyywix8hu-tt`l?V(OnPyN0Nr>jVAm6X)F8Uv*Whpw$QpbOYU17FDl-G} ztuaSVQPIHz2iy|UN2qZP`MtnVU1|!dadQSGQO{&}1v)~^$DhBsr9qtkvSXwd$_B`> znVFf+-R^Dahs@uLPEY&Y`4A`dSY|1e*eDbv-CF`EcX(P*?&m$_^fte!cr&wLlln-nWclbgn~KZz z)@)(C(=nRndoFt%^_dGEBnK~z6)Ve3d^?PiZtWxDTXlfdzjn=liLhd5SM{1RGf~626~Z~QTSTwHADkc{47~H<*(j^P#o{jVYbnZ zk`-GCDsxiZMDz;n548SA2Nv2mxZ`hPU(}x<=hfJ^5>(!Vpt7Lo_v!44VXk{KlarWT zP<#LwW3wxTFhqY}f=d=wVL)+PVl}xu;Oiwbc$@O(w<*GPenwbb_8-TH!9(6qdiQ3t znH!b)pZsL?>IC2}p*1k}+_|%r0C$;(eJ!!j^P#g90y=SP?+ukwN-r9B9dvJaOjh^p z`AnxJzQ(%4ntE&acOwKebn-^WSu3lDzvXhWAr1gV8Apy>&aq<~?YG=t?}hP@$v{+z z@JVCB=y1_{G2f3cI{1%@s^e8*xYbYm$8E_ev75#TanI^Ko8C!y&gjWm@<~5-wlXSP zdtCOLTJB3u4h+W#_V0F8wM7v4%a;p<+}AMZA_sS^jER!iguerWA$Wh`esIXv)YR

      D;<(nU9g*~R*qS@pqRn^$COJ~H1=De5X;c{;$XjLEMW226?-c;V3eLgq3 z<~A{wmm9qKBp7Mfl+jLsy$82QxN{?3O%No! z<1QJn_8yS=Tq|Kxo7J>fB-1@B_xU0q%SWy6P$iJ2q_1a2hCNB72?5n&g#j9iOajq< zfer2W z(&&;c=_?4x4UnEuQ4x*0ZS4E7s$HKzE+m}~1^w<<$bfOZ zLHQ#Vp>cCT+Kam_Z$Ky|CE)=H{wTo&DFkz>RYBo-N=-cHe&fwWBz9N%2SNx4NF;Ce z)TG43M`_IgkD5lZJw3!ct2Q+$$a$~qyUJRc46RyjB5PzderydM3( z<-;(QEPW*m=`Vn;n7eSV!VAPsNF!iii#!HjRTJ zWWV-VMkZ26@?c3J4$`?f4*&;}yWkv=qES*J&cMZKH;9dPQR9w;L22+_gff*e=7X_K zp%8v*jzd_bRBR6tryC-jC3-5D-PX1rpQvIE22G!iTdQG-^rXXphJ=Ska6=Q>2!>*w z0;P+2#&^RDj*aV!aaQ$=rV%#RHR!t?H#c;IT~sr7-~;+%E|8(e#u`j--2>6yW{E?s zon_rwzjBmU*rRI%I#NuDQ>l$K+>8jk3>{AKehBQww*0}LUl&sQ9Rgvj2Mg*-7$W!) zt(mly;(hUjFWvZ^|9p>Ad+licC~zfDHFAz@B`ge)K{!TXxOQ)mi=3cTal-pZkbw5DUDpC=!LaWLlej#1y>#En@Cn7^_P68v zoDrBlh=3LnZCigtuWPnyQ`o>X7Uh>6oji7ODtcepWKXaidQ@@-V>D0~LS4fT61jRn zgh*q{XLxt1g+$ft8US3WOEJaf-jaQY?Iyvtw!(n%+Qy#%l#{D3h19KtVmY5^Lx=J< zPU88Dk$lCL0O7Q<8nL=W^6+|@%?wWg#PwSg$8&p9^z-8#W`D=+FH$>x`nCVG%>DzC z_=kGbvW|vkMsEQXsR6MO_BNIPKkMH}KL1|o`IkkhUy3XPwnqSL_&X;j^Ka+WmmT1x z8$TQUqCUsq<`sY=rX5s+Ukp_6o*xXIRQTNf3*Pfk2LU~_xe#6n}y@WgZ{T41PtuuxdKk7fSSup%zq2R`4?IK z?#TOZonX0Gm;inFxgi6LTXrt?Kk%6RsJbjReO=IWnR;>bl>nqcYS#xsQWy#hN{STv z9z_W0gTC+tF&(j4WqBkDrU{bjI+jCs3A(B(5}JgN2YIBBlAVaYB4{9T-#B*fk(%mm z+KKAYeqIRY3eSna%6GoeJbUX5?Q7Kxy~nQwTY(TzK%#;y!HVl;*LQ|da=LJ_DPQyw5rn)r&RD7qP=+KFmj$7-Ew?@KS?+c*=68(H zcusO*wq8a0uBY^Ro``YXb?4_{#pFiR%?lUB-wfk$`Yz2jO>{ z9p#=rJe?yBXK*?c0L6IYF(PH8>S<>?xHq%}Udm^lIZ{Y5b02tMFMi zugNTkHU9%M8Zi`OK-M8r1%)+QM}glC}$i(ZI=}_UQ=Q6 zkrPto(dIgP0{5hrh4JRr*p1xg#U}wu5f`_l5?%$$?Qb%+i`tc3E0C_*jR<4)o}>2W zre&3Ehy0sG&RjIIUp(*Wm5#T*rzRek-y_w1WcK|&*_7v6alb;T_SKv6ikG2rsI>eB zXvY{%x+bR2ud~kzF^uj*0eHD89bGdtq(xM;l=U&sVd}pWW>h+2~&~AvD!^7MX zXupTQ9c6r|x-3YTnX=O>SiHuFB<8tly@EG;Pi*KCSJWfB>3Ebh-3Byw!b^Tz# zIm$(|dKt->(sf;+Yb<$^cqu-x-NTjKDy#zuUWjkZ_?se@f;;QUV6Xsh{$`RxVk1$Ywdnf(N`yM zwyDD7#NT3Z%`$rIdK@*{R_?qKb`Sh?umx&W)?Rc3sptMUhHKO6cyr{u?1{K6aNaR) z*!K)a=yhS&H=vT`L#7u=x)gE30b|qwZ$x*?w})~ERR(JVMbU%X4Zm)+-nGsXtCLDh z7hRfgd{|ey;;a>(n14B`T;o6|gZpXA0$eMm_VvPNzS1Mf5IHG1DFw~sN-ksf!sx<0 zZe^WJ9J;#I3MdKvogIvRxd`rjAlmOZZ;~sc5Ge2-fiCaw)BO7 zt0rcFTb_=`k*>X*=a9u#hat)#gNm(;d#HSErlLid)F zBaBQ`T>u$?+CAQza|tM@D5wSDyH8e6HUh#U$>>LGofxWAJKWC6gr(x=^i+E{VaNjUEuqgp{TRLsn_IAI}c7F znn7(k-n!uO2T{8aE(cueW6}nCcV^h4MYcmK!t1rKti7&B@F)jM6o&sM479J0ee;n3 zCmR~)EmyHm+ZyhfxdyleBd8as``TL#SdP`hCgj!+-tA$cWGFEq!m{0PJ8K{nNHi75 zJYYf;$Yimc*j_6SY?2fIb)cJd{de^ZrCqt)$|6|L%NitY5?y&TZ+tf zj77gkrB8fpz^0b6_}~DEvJiDhl4?v(Uh0j$Ktm)gF`E%py6>nY9bS;as>lr#f1t{# zDQAfNJ^h3KW~lpZ=1r+X(DrqZlpZOqHCj=qyY#0WPt~dYhNxBt2s*8uFyTCZ?bPqnb0AhUcHiZ zAZznvVNqTB^?rO1njK~A1o$>p)%LM?=W%k~u~Q-Yb;it?AhXvkXj1-Awo2In>);M0 z;ZROGHM*qzrpUP_xd_!n+6A~R(vB3(p^CJ=I_7f>Vh7cy;5Xx!0uLRxmy9#ZAMDQ= z(@S{t1uTOw$vMcPOOjbc*3zTloKXe7mcy(ut%ZAm?A!`6V^|OdD4k**qIqDQz*#m4 zt_0cJQh%Mm)h85xg5w3Z2%wWj{+jEnELe~H3YIX0D?t^_fK`m^kt{+sz^aUdO2O^FC?gDa*CGK+&0f+gS{lS)xOd zgE9g2vp9bN84YTJcKoDtl3C0i@}$7X?Tw}tzm-&-s`iz}m4<}I%=6CotWj_N=i7(2 z8y`W$#C2qB27M4oyU(0UF2qE5(9)eeG$SU_Bg2Lt8s zDe>H{t9fKn8Dw&i8Afto*ilj&0dMSh;bfeQP3spMkW2HylFX9b9xNpk`J@^8_88DG zFf!pG3Rd}d>@FwozHGdHS1Td?c{5Kn&d9M*e7y`ODXQ&zWtG8cFj_)tCXc1d*Rk2; zcgF2wYYkl!lgbInyBg;-mlM10U+Y%fhvF2LD~|^#X3$4dRNnBq*Or&52WZ1i|1=yRVtVBmgDIBqWh1 z^$X2X4Wbz3Dhdtq-1aRQ31R#LIwlTjCkWH2>kY}Y`X{hF+jJu#zZg6=KdJLF58j15 z34>{hy@o-4oY~pmx+8dq-&${IQxhOAHELz%dlUx>VZRL7wHeeuKHRn57mWGfP7B{a z8^N5ug;T11_U(qqshLorCgg7CZd6+QdDZ#u-NA${r|>&4s(7Ls21I%0Uxc2%-UrEUsre7A&|XNN5C+ zpmz+Nq;mK{-^&R@K4cNiG?In|F4+exVOt|ahVl6gL~)#QV-3~h&ET=~3G;&&xs%}*bM-`?zY0C;f^`z4 z8%k;rXX#peLlE*o)$kkk!>HFHj{195At*zS*qkQthDE4WTbX%RAmn z$T05UKs0*{*q7)Lpu`q9W*q?m-nS)CU*)Y8LJPlolUamDzhYk8MqQ&z5c4`hM&zfg@)E~-Qbld>iNVKGBN5E z*~RlQ%XWiDh`iN`w{UcZaO`BJ%nUsdHlky}4|kXH>g^jXM%?F9`jC;d$z`=IGl7)v8R_4b;!-V1u!jh=TEWq2*WM&b`gW}_ThDkaoC`KrBD9KYBw>PjU9SE63V8)S~{LdAe zucS&!@(AJrR$cK<=>)s6OI!~{k#$@c3X#efJ;cyf<`B3YjN-R$3;a6?Gp{#pmtXTUj9es z5@znv@-CFa3`Q&~WCcQTI3JX^Qxs3k$VTSwav0@YZf;lUmDqKX8TcRU6&LN+sMC!Z z-cC0$9mtK%Y(&5D)3NJN6-%bm3ovqEXrT4t)QpKr0?X1BO-nJUd2$GkFr_Fhs3?x| zA_!V%o)g1N3B@1AKtCiE!1|CW|9)=~)6gGa@Q67e)KFt5YnHbj6klYsLdL!&8a@ke zpF`#w`zW@QA#f$#=)l%PdXa&F*_7c;@FEsdFj`hh@d`O$Fv&=rTNgXPyTWV;(#htFnA z7xH)jQqqyKXd!{(Qmv#M9furC0)4gTPgd_3Sm|1@=zduF;$ZV-h}s+~@sh6UV7 z_I-2Lb=xAREDTUUqwyUIJaUdm!0d<2Iqc!lJ|IXtvLH(D0M0x|t=QHD#KVcEyU3j3`y664@}g zX#k>%H#v0E63E?{mnCsNbTfXevhj4$pUkZG@Q_kFgJXF&o7r2*yIoIt1;?y*0T(L^RCf*I7Ji8v2YbpUdF0ZI6riii|t2yucJ(HwEFM&>r zssW3SSlw|8?$bjsTwG|hsQ1u#OL)9LB*$W>B-aD>-Zf|l*`yZuib7vEaf#{jl#NG} zK_fnsoVa{CtvR+cPsk8D6R|L3ABg}0fZUjgH;2=}se6CdBy2r26sU=X8bniiHzlS% zv(B&+Tl8ZkFAKpfH5(LeU|7=-cHFu&Hn(5iSU%OnW?k0A^Ji~CWqvMC+GI4D*Zddn z%cJz4y>4}|#Y9Q3h_Iu^@2eG8SQ8FqNcI#0r=v_yt@t7A5IzpS3)M4wz4@+V3k{Yj zJz1BXt&nUVViF<_4P1U0g9;V*+y?_Y?E4y#T$xkj1LnH4*5I|V(=iLkLeiL&0tFAY z%f&+b=am(^QR)t~2dU<&nziAN;rI49TGMs9`MHNBV^PA? zD#~ak)>*$qFu~@~EHkN5Pj5?CvDsLP6r+3^3{B1F0=6uaD{o+G3>tN+uB!uw2Z6oq zun@J3+{PP6-B`pktu|0rRt~A+d5rAk{SFyxb33x4_n_f@@R9ER{By~;_p&g*u5(`m z9S7~gCmSzI80n& zel{0Wfr2a3O96F#I1IdyxWNvc;RbAm`{<`@4*&NWCVJ#cysx2woo_QtrroD8S8d?`k@^qr}+b+GzAr z!HjKY1bA;@G9^Vrp1#NB4KiCJziL*e%`r+OXQ@cDt&cUpkefzXya>hnEE}f}685g= zacVlQt>emRIBDyscK{P6sumNYR%ME;3Lh!VBTjRK!nOV+o<6sNhzlcDIK2-cR;2Gj zQ#j|zA3?e|07__l!%qR)wL`>`;~-K!-G`v{Q;fh>5J2Dn)t8^qt z17;JTaUxh=MG&udff!Kj*0NymeGTCwQ)B3pa1!nqh&JMhJ!9BuhL)xuRavBaHffg{ zYS&7K=w?%9z1Jo(LO|(l`lDeGvbgL`z8hllqvmeAw!%cBww^0A)KF{Sr9yMU zBz*lKeuklB>(b4fl1zGT+{l@xHe`e}m6@^%mj!*4lnLF&jZ7JPYDVOPsBS=bS0{w$ z!s~CEYBK-f%{`@hg=b}vYBCiSeUd>3YRx-4KYvbxS>HxcxZqf034)f8 zwVbADBL(qzPIBRF9&V;dK70}p?MAfPk3|l1a`o>%L0Rp81g9T_B3hY5mAuYu{o<~$ z!_&A-)@E1lE`j(&Yq_S)P29B`65nH)PEn)#rq9r%g2kiW&3Ju*Yz!3weDjb?BB?Tc zU*DT=7pjLQU?-l1Zbp)X`EYfq!*xgt@>1_gl`2BR&+CSu=p=9z>(E-Dmj>I@LU9M1 zW|s7>@zC>LzV?mb*(+9Z%kpBG@>ksyJ*jk|BN#Te#s~5ULp}~oJ&h9R-L^j=e)W8R zv&^CAS-;)ex5`k8QI-V?Oz?cJ5D*=laO4QF%T`f#1GOBHt95cky6cW{tgY9dYrOZgkM_e-p zOFSM*Ih&=^k;yxb?lT;&5{kZ0m`l|r=u(Tf5&AZd=R?!0;}d5g{&7eH)}pZ=PDH6? zFW~Cs$a-nfY%xL$=VVoplj4l};QHu;cFPM-QiJz$Qi8whWAjmM1<8r}A@_7ig0y0l zi=?SYbJste+^zXfVos#EJSn*FpTlNBnzAx*(KiQT!R+3X87S%(nC6_7u4AT&xmL64 zCNq@ysZEG$e6hqBwxq*U1^M8GEEFBAsVaEJf{!Nks_-!8u`<}*H>A}sX+qH?(Mg|d zPs5M= zT9o6=LQNLak9HpflWc(xIfWaAYH+b-ob#RDR4ch%V>|@&<|UCLR@sR)BD&fNHkgKkJ1fT-)KLoR z-H?vFPJ73USf@~lv9P0Qx=JuBuo8Jelp?ve=x`)NSNfJP zcKv(~+KH@-dTTNcNWMncpqo54Z%JvrY;b7$J3<_c@}=x)-p-tro612q`jql!0AhE? zj)bKa1W;0pO;cMqGmfyHEOC8c2Gw(CiLB|$7zR6w&fCi<=B4iathbFRdu5DEetdM8%RAh@WOg7k;K67# z_4J)CcGxqY7;&-Jdob%u1#@obXXF$aygDwS>{?i}_NE!r*+V-ns3wozUdE_$c=kEC zfrPL+GV#GUx{ZBtb4d1tJL*dxzL+h)1Dl^tAGqL`CG5Ireak-Z_DF@3w7 zA8@r(r0bguZ?zU1JICCs4~cy5jUt@_Y%1zLTL&bT$`u!B?puw$ntBa4-aGy6-Egr` z(KWlvL6SR7kgQeVsam4N#=bf3zIiiCOIVuu-~mJFH)0OGvOsVPMEVJr~dFqJhsO3(N zZC=mZlq;#}SZyF#>FUJQR(m8&e_NfxDXK8kDq)*8L%&=;7e65?nV4BEqtauOq$w-; z_HfDXq2>EZmv#u3wXM#ppsWJ9NxK?m!Mz4YFQ6{*DgY6uy74YrS&R=ZhrDLrccZMV z$g=PqUY^om`4Q3ltIc-xqLTb8HPs+uZC`oM8gw?fgnk>2yT4l^5Sb8t_Y@&7 z%h3iuLez+rhl=`OmilYmfPC7SqkY$1i9vm)g_y98afW@?)(uK|-;LRW&xm04SPqkQ ztIwpGTLYnxZL~4Lg7RLm$&c@hS%Bu0AK#c{pKZ4zY$!2sNSjWz9qC)IffalrUkh6~ zkNHl|doEp?@%PlMZ(#{VJ~6@7yc4>==#-7`xoHk0eo{}=Vptf5v*ON~oSl6P&c+KA zrXPO0j9X4PuiKSMTpwYq_wByNQ}1x5uUF}7UuE(VM8Z6E zKVo{*S;#d{@~ZDFPp>O*NYz=dE-46Yv^(6-&hOt(*JVX`Q)#hPnpRJd1p427;WVEO zZVp!iO&ZVftx$OmOD#NRg4=IJymX{;dN^#26)TLXfi_NAb5^X&S|&ZMpw;MbDQrLZ z#HHOKv2Tc6C?t@;>+L#(fLZI3cO$Q>0#m z5;phaU`Cb>TOd2yh@!xhCHw8OaU_m~5?z$6!pTSM^$ps|hmS6pOrIlWuZoMeX#GX5 zznsx~OkL_$*H%tl=Ire1>{A%asN_LUU^Pc}f7#;18AR3j*q39>M^b3qGG@cHF(8jJ zG(R|R1gzkixxH-?MXRq?)wf}Wu_vO??*EC>jhoL;5NaOD7s?<_me3rBJqE7Ir%!R7 zH-lt8WMnM7&)pKLm_q6BgS?5aJRJGh9JapKLiwQJSfJNJvZJaj`HB zY&(jN(2!F8s=zlg}X4GC`#*x(*329 zUx0 s<~dzDq+1~84!XJGJTYg&ROx)6!|^&o7eQ~ z+D0BMo#RCzSAx)eiN$r57!On`8ZjjK88up5Jr zN5!W;J33XiVh4!pXxdk;MZG7a+{oQ=WF6pR1s$XJdAMf%e5M9B&-a<2CPiBx3J$ib zh0K?%#$##1puK%NkdU-RLr%LYhTCc+PMub zfdN7YJt8J&*A==qEIQ&G<;sL*KJ6{= za*7?K1S0)OaJR)S=`cEfYv7cusAfa0vAH-4Uy^s>ls8A z=Yn|Lz1hI?SU-?7t@fPf$VG~7Dq{rF_E2lYPrMfqezbx zkghRL$$98sl|IcNDHdvgDEHeEZ+2(|`ZVHEYexT6fM`_Uixn_J4o-+k1cC-v70~ z-}i%Sg=eo9UL|k&lDDI)dK57wW45~6)diKriB%WfDjHXvv|du~S`pbRMy#5blyB4P z#j{nfkFPp;cR|IaH}B_%zaM1RNshXT6c~i~Dj=%X06;Qb4p1ywUFI}`<)V60g zdzg3jxc0mHwFM>@+-HmBJ^^>T?QcB3edB|Q+Q+4VdW(I@>uo(JueRK1Iq6*D{m+k# z*swA4{-h{(zva8TH(EBPTIBZ3{PF6t*BRBlmao&0%QUH#vp41se&Sx0=w6#;d3n=h z%eaf2hwliB?N1JE8scX+@lbTl=sun1_=IFVjO+iZHZJoQX@fiu_K(-zewUJeX7qu( zuR2{Hy*sO|aZrR<{I_6;5U`INJ*o+Y0@2YOHyLOYkVqqd5L|teZZQItR*`gbtOaAh z6_SuE{wJV83{N`HoIrA|AbJ>)bbyO~JVLYjIM5)9RYLR_P?6e$=#l!|#-+`c3z+{Y zP#r-Qjt()8a6zA9NE8W!Fo=E{REIW1yx`YK0Vh@p-S|1hqyHbq*8CjecOkB)ofur& zuuQaw1uTIPGpzYWtTg=$3*|#MVig$&jPXBp1N&@BF7Vp1+Zg_1mF+w1-ue~u^~HT+ zr!PJIqQ*vZvmpA8ZJGJ=J!e1JWp^R}WqS9bgiUt7+ac{@45VFr0BILrhprZ4m{^o{ z(YwxK*~;QSJ~JJd{Ch3nRwfn4+#G%@Z}6LcuCd%{G1aus*{FYP>A^eOl_hO;f~+~m zXF%4R`Ey-`zW(EGSnHN_y`fs1J$>)KMXvsNYf;vmt=1lq*G65=@i{kTu5(lLPkHO; z9~VRPPY2U|ZDkxFrRAtFC~e=5o)H{6Ll5|8_#Nhu0BxiSE)us~EtiJJ@Y0Wp{*eao zBSwoOWcQW*XHMC8%k`6+g)(AzWBd$ujM^BW&#acJ0Q`D1%N`qShG+4ucxs)yFS=u7tSpYKR+ z>FBj>=(xlmy+%0AJ)E(3+ocm3F9%F4OpK{bby@rEr1GPm7m_}uK6St9Xn)ye^nhhg zW3%-ZCLyMmT+$bQ=1|*h(BGELTI4y+&QbRl{goq?-j@zMeEQDZF~Mm~sLR>PinxOK zLW|3(PwUIH>dj@QT_?GwS>Mm>=yrPL(ODyNt!{YFoaE*jki91;-FbJ%tFQY7_PcUm z%tfyd-wh*syY}1v+fvoSj5MpnGs{czJxceVOzIMBwX%BT`g@eh+x`mUmi_6`jM{nD zFKcqhl?ynV2_??u(fg8|9}da+-elIU>6L2^>*cLd>Lrv{2*cI?YO8fo*YZ4 zI`!SNCxr*T-cUGiMTa?Q4bPQ3q@@v>g=Tg|*N~ z7R-~3Mv9^UaS1XS#S$FO$1psCNtf|)w7`S)lhYWIg$Mu{O<-sQrQVtb_^=X0BSxbc ztX&e&1`$%DjE`nH4wHpsX#yZ>*dmL!%R!)rl23pcfW40fL`j^+a6H~$j)mPpz&D9}{SM-d1k7N_BB5W6mNqg6uJU6D|N*&N?mb`Qdc~W09NJw6&Mumh0%Bv7KYITgzAdZ za9cw1B1|HTPk0_LtUw0uFF?8? zh}qU{P=Kk5+e8Xh0&Wk$6oZ+^>LT-u^%wXALBS^ilowuCgawSzU>YEBMVWjY!QuXc z0vf(nfyU!@m*PmC#>SEYTL(u}tPNVk$Cu(53SS>Uqj6h|Xt>{^I95dGcX>ZJR#4Ie zg!7B>f#JYu;PP<&aXbX7;d}zE)Rn*~bt-TuE2F$!0ahkP;|Vy~;xtmg*Ac}7s;q*K zq7baItPLJ~C}ty|F3;iP!BZm2jwY`Q^B$isJor^5pNMwO^16(mm@nY9P=+`;A4@65 zh-Ycs-h;%j{PDT~AF1fCKq&hrkhtHXcmWJF{yvyOiZ(MFlJJY9pHcf2J{2HB9V9ws8FfFzNz68-f}fX@$n!ltyNPr(@I=ef<|$XGeJ*2 zC_?}VS*oR{rXe9^!Xrk7@P@9pCp=414zOPWi`I_tzA!GVCj8fEh^DJ)60)kJaiS@Z zw@C=1wGfhg3L!8JqP?ALVzl7AwYYdi1 zgeDqhv_S!F$%+{NZCRTU;K5xq{rC?FO&iDEIMjYXr?n 0 + d = - log(ek / ekold) / log(2); + fprintf('d%d = %.6f\n', k, d); + else + fprintf('\n'); + end +end +fprintf('Simpson:\n'); +for k = 0:12 + N = 2^k; + ekold = ek; + ek = Simpson(f, a, b, N) - I0; + fprintf('k = %d , e%d = %.12e ', k, k, ek); + if k > 0 + d = - log(ek / ekold) / log(2); + fprintf('d%d = %.6f\n', k, d); + else + fprintf('\n'); + end +end +% do some visualization +N=6; +b=6; +a=1; +fig = fplot(sin(x), [a b], 'LineWidth', 2); +hold on +h = (b - a) / N; +% plot trapezodial +for i=0:N-1 + plot([a+i*h a+(i+1)*h], [sin(a+i*h) sin(a+(i+1)*h)], 'LineWidth', 1, 'color', 'red') +end +% plot Simpson +for i=0:2:N-2 + x = [a+i*h a+(i+1)*h a+(i+2)*h]; + y = [sin(a+i*h) sin(a+(i+1)*h) sin(a+(i+2)*h)]; + p = polyfit(x, y, 2); + t = a+i*h:.05:a+(i+2)*h; + s = polyval(p, t); + plot(t, s, 'color', 'green', 'LineWidth', 1); +% plot(t, s, 'LineWidth', 1); + +end +hold off +saveas(fig, 'visualize.png'); + + + + diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/report3.md" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/report3.md" new file mode 100755 index 0000000..a2e102d --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/report3.md" @@ -0,0 +1,112 @@ +# Lab03 复化数值积分 + +**古宜民 PB17000002** + +**2019.3.29** + +### 1. 计算结果 + +$\int_1^6sin(x)dx$的准确计算结果为-0.419867980782, 使用不同节点数以及不同积分计算公式计算得到的结果误差以及误差阶如下: + +``` +Trapezoidal: +k = 0 , e0 = 1.825006697305e+00 +k = 1 , e1 = 2.454792698194e-01 d1 = 2.894229 +k = 2 , e2 = 5.614913519149e-02 d2 = 2.128265 +k = 3 , e3 = 1.375739486821e-02 d3 = 2.029056 +k = 4 , e4 = 3.422468688995e-03 d4 = 2.007098 +k = 5 , e5 = 8.545713803537e-04 d5 = 2.001764 +k = 6 , e6 = 2.135776256161e-04 d6 = 2.000440 +k = 7 , e7 = 5.339033240897e-05 d7 = 2.000110 +k = 8 , e8 = 1.334732851237e-05 d8 = 2.000028 +k = 9 , e9 = 3.336816218437e-06 d9 = 2.000007 +k = 10 , e10 = 8.342030578988e-07 d10 = 2.000002 +k = 11 , e11 = 2.085507060833e-07 d11 = 2.000000 +k = 12 , e12 = 5.213767289113e-08 d12 = 2.000000 +Simpson: +k = 0 , e0 = 1.356627125131e+00 +k = 1 , e1 = -2.810298726757e-01 d1 = 2.271229 +k = 2 , e2 = -6.960909684480e-03 d2 = 5.335304 +k = 3 , e3 = -3.731852395461e-04 d3 = 4.221312 +k = 4 , e4 = -2.250670407778e-05 d4 = 4.051465 +k = 5 , e5 = -1.394389193287e-06 d5 = 4.012650 +k = 6 , e6 = -8.695929749514e-08 d6 = 4.003149 +k = 7 , e7 = -5.431993476250e-09 d7 = 4.000787 +k = 8 , e8 = -3.394531423773e-10 d8 = 4.000197 +k = 9 , e9 = -2.121498432927e-11 d9 = 4.000057 +k = 10 , e10 = -1.324950265053e-12 d10 = 4.001074 +k = 11 , e11 = -8.244416704390e-14 d11 = 4.006377 +k = 12 , e12 = -4.450999563981e-15 d12 = 4.211216 +``` + +### 2. 结果分析 + +从计算结果可见,在节点数相同的条件下,Simpson积分的误差明显小于梯形积分,并且误差随节点数增加而迅速增加。Simpson积分的误差阶高于梯形积分,说明了其误差减小更快,好于梯形积分。 + +作图查看积分函数和拟合函数的值的偏差: + +折线段为梯形积分,抛物线段为Simpson积分。 + +$y=sin(x)$ + +![visualizesin](.\visualizesin.png) + +![visualizesinlong](.\visualizesinlong.png) + +$y=e^x$ + +![visualizeexp](.\visualizeexp.png) + +从图中可见,由于梯形积分是用直线段拟合被积函数,而Simpson积分是用抛物线段拟合函数,Simpson积分的拟合能够更加”贴合“函数的曲线,从而由更好的精度。当函数是凸或凹函数时,梯形积分会有系统性积分值偏大或小,导致误差很大。当然,如果函数的波动过大或增长远快于$x^2$量级(比如$e^x$),那么两种积分方式都会由较大误差。 + +在计算时间上,Simpson积分做了更多操作,或许相对较慢一些,但两者的时间效率在同一个数量级上,没有巨大差异。若该积分使用10000000个积分节点,则二者在笔记本电脑的MATLAB中的运行时间均为0.63s左右,没有观察到差别。 + +使用$x^n$带入积分公式计算积分,可验证梯形积分有一阶代数精度,Simpson积分有三阶代数精度。 + +### 3. 程序算法 + +使用MATLAB编程计算,为了保证通用性,将复化梯形积分和复化Simpson积分放在单独的文件里作为函数,可供任意程序调用,只要提供了被积函数、积分上下限和节点数N,就可以计算积分。 + +函数代码: + +复化梯形积分 + +```matlab +function [result] = trapezoidal(f, a, b, N) +%TRAPEZOIDAL : Doing Trapezoidal numerical calculus +% f: the function to integral +% a, b: the intetral interval +% N: points number +h = (b - a) / N; +result = 1/2 * (f(a) + f(b)); +for i = 1:(N-1) + result = result + f(a + h * i); +end +result = result * h; +end +``` + +复化Simpson积分 + +```matlab +function [result] = Simpson(f, a, b, N) +%SIMPSON : Doing Simpson numerical calculus +% f: the function to integral +% a, b: the intetral interval +% N: points number +h = (b - a) / N; +result = f(a) + f(b); +m = N / 2; +pt1 = 0; +for i = 0:m-1 + pt1 = pt1 + f(a + (2 * i + 1) * h); +end +result = result + 4 * pt1; +pt2 = 0; +for i = 1:m-1 + pt2 = pt2 + f(a + (2 * i) * h); +end +result = result + 2 * pt2; +result = result * h / 3; +end +``` diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/trapezoidal.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/trapezoidal.m" new file mode 100755 index 0000000..7c935ee --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/trapezoidal.m" @@ -0,0 +1,12 @@ +function [result] = trapezoidal(f, a, b, N) +%TRAPEZOIDAL : Doing Trapezoidal numerical calculus +% f: the function to integral +% a, b: the intetral interval +% N: points number +h = (b - a) / N; +result = 1/2 * (f(a) + f(b)); +for i = 1:(N-1) + result = result + f(a + h * i); +end +result = result * h; +end \ No newline at end of file diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/visualizeexp.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/visualizeexp.png" new file mode 100755 index 0000000000000000000000000000000000000000..e01cd79282a658811522133ce6dd63d0cc2ba2c4 GIT binary patch literal 26301 zcmdqJc|28b+cv%vWfqDIu?SN*R>jc4Ow0D6r9C%R(Z+~Z;`Si&c~<1} zau4qtI+)wqU^UFG?_&hScvK7@@(7&g7sCqhUlbI&C?p)PxbYo>!D19I%WAm9%nf=w zyqbtTIMBN1bGP;Ju}}H6O*gX4&lAf&dd1W9@SP`);R(~vA9iSus>{ED-!SfSe%gjCM#$P{_vcRS>w$sD z#$fl*$i_y=mRja`3i}p@l95&W%)hdsg+aWPH8zg%j5N7OI%D--|t*_hk z=1hEjba*V)+|sf?*Hl$oTieJe(KW|yZHA2Df`*osh_J9&CUW`hXy;DC zH{!QDKGtaEx^LJhMvK_y$Gv*;X)U$_I`*ks%kO?bT^<=LL_+ zNYfgv_O`ar@bCiTda9ku*XOjZS=ib--#LuI;H(84X?I%XnR$45o0|gP_#}3al94$& zI(q(o%uKrF)Epxg+SlJNy*I5Yo~-zF7?uXM-Pppn1ct<^Zof2I{q5T~0uq`h67d%u zQ?|Mc$zbi!G<4vL$--iLBjn`TCcz)Z7Ta-IT|?tlVK@N>V?I;$oI2U-X-8cfeq>k} z^?FexosGb|u&6UR>)z^$@VP2!^LtCGQFgmw9E=A^M77f9c$#xAsXO+73q+VV_dae6xBv)#xgKVi5X65)8M#n|;ziWd4~vEKSA2Al*rLbBI%$~?B- zD}`UGxyU5;@Ur@Y2iYt1$b3ocMESng5AFC;W3PX~M#;q1SFgY(Hc7wONn7=zxH!eB ziyF6Y-`3HYDLDcUJo@LZH<=r|;z!yO%?&m9k?&)LLb@{2$ymJ5$R?+Xf66J{84Kjvu2U__OZ`a-L6L3| zO>XY)!Az1D-Ph;N$T7xt^zie-GV6{K;hR#v5gOj)7k?`O4Xpy0N(hVQ?I46BroCa2hV7*wCyd#fpRq(G#Rx#|T|c zlW{7j-nwuv0ErksHS}Z4EylYCyA5_04#F#(6?u?=<0I(hol95S_T_3@=VH9_{A|)O zB<6fQRBq7ro5pFDUa@`HoAYUAm<}3!j?y#iY35|S3lVz1xc92;23H6chJTL;|2Sb! zL00Yx7d;8`b?lp(tJ=CfA8FsOYPR8_M`+3o=<}ZH$E9!3(Icnw`Lye$SZVK})BS13 z?fw$?gU84yk7?@1CXLA9*mQfe#gzuI$lY9?+&FjgRo)R-w~(B&z^mw&&7z|3SIgI1 z8JcdfD4=6_x3qIwxZCCZh?rEvwj2(fhs)y|N}=5hzwzInBNF&dA^QTogqnUV`@vO$ z6R$+^T?x>!#e^_LO>zYr>-v=N_S0goAR(AAGg~b*B?XUIL2GjJxXB((J{poo2=GER zZW;|&&=mKCqDKrjJwi1!CpPfecQl21rD|)@LBBMl!G8bF(0Qge^Ic?Ij@C6!blk01 z-OOs3e2w1}$|4sz*|hqjqicJD*{jX7bgMk_v<->{R=(dxc;*5bqC2_uBgfcGo(H4n z?CI!KRM&hh5eILE;49MRKSl26u91zvKw&GNcO4@$xvUO4CN^b{RhRL#puvjCV@c?z z&R4*dIuwYfD`@<%*64>qlVt7|`qj+77Akq##8PMX=U|536P{pGm!M#45(X#qnJa3! znXy0ByTaCIcGX_amyw>Ho{{mT`j6pZX(1t@5Il4n-;CV+wHC!Qm+gl&Pt1mod8|TM zbNiK$WiUTbl$(~8mV~^F_qNw+gPDU1z9ONj&dP*zye2R-!^$xD&-uS^;%^1oda&Ik zFAd7R|NYJO_I5=@MN|}he2ep3Pj*8?Ll~#(MLxUR5IhB<=UJ_gC1gc{``r4ZPHBzg zrGc&OBJ6H*M$u#I{rUO%lJ4uTUcVNOx|!WJ)0rw_)pJ8%f3TxtJn7H%U7DKO>^xv? z)w9&q2{@81H;D}E<(f1-I($@WEm`#`Pfw|PK~ht+h_322B_*wNozAWt$*L{jio6#;_2}kQqD{8HF8o84H|l|F}3%v=V6=N2L4Xzlr?nKeLeFbRpHRW-KJ{ zsia#Fg&$T9!a6c%6igC@7M&?s!{jIsG{uUGh&Xo`*!_h9BO1{yIjU`1*cWH-%_g)e z(B@CA4t8xY`ZAuo*&;jSvC^bgR_d}e`t#>cUjmX#ajLNwJ3Sb3zt%HT?U4n%cyTE% zM)U#ewk>SiFlz^jK!g2}RI}@Rp}Y}PEsk5n8)1^s_bq&1E-X)c553eyp3Vu_%uf=t z%hEdhsDuzBb0ljaAw($Wss4K|?+t>~B)eN>6??`llgg3F#XYSPou#thbu!dn~_M-)HmCix)3mird)OP-?`xLLz56ZD6Uv~^`&}0(zY)-lN#^rW~3U0P5J?yh(H}9;Xsp(XLoF6d>iIS31 z>~YaFm^!+8WaH{kG}7d24ZTede5O5WSd>evtA6E5bkEV8=g((3&G#)VEWjFu zoFrYh;3D^*b*p+_wB)Izz>zk+D>vV#$jl14v*cD)KD7QHAtof3{5mls08-jw@bw_{>vHzF zLR?Z7#w5jh6QjqBC6J(o6_1d^<@4hX5y0cpems6G$sYYOK^jw32#Zwc+){}4=mR9l z_TfJN!SAYi>=6hu&W5;^PF`80Pkt42*B&6MT3 z#8y8nNpD3E8fyod^`2PICWLf+x*BL@=*Q@5Oe@ZT+=HEPyehpTWxnC$W(1EFxoi!J z%z91SGH=5B<0E;jGD}R5d0Rr!YvaWaIirksy`M(9tae!HmI)fEf&-D89QckbzGo8o zU0C7CW9+$Au_!!*6Cd#_$dV6!B($U!+6$3?TofI@QsmiDBR4a+_o5e9_537xk(>Xi zKFLwpN`j-;ew^0&+m1P-lIbWqL^f(>Nha6QM5f@Oiph&}e`*gA0ZF06kN%d8G#C7^ zwP*#R$9e4JLIPbWCOJfQv=HcAu|)ifUJ;0g(m@7Cv0${a8dNm^^%ITiK&@|A^c=K zTxn%uu4btdy?@1b4lNy`?goZQ1F9xPh~bNwkQ&Pz%6;0zUiZY#-dDnV-^1E^{@s;; zYuB#X6ivKQiM~M4l(TLIDLVJLbLY+?FYR)#y`{0*F&;?c&A-hg&`DOwt0&Um4+y3o z^oQl(QglIfeRXwrI(~mTHM4BHHQ4L(moM8Z@dqC6?mML$L;YP{CVc%l_mw<7Jq-;F zRa637ro^4*Zr{H@Q6I(`OAFh_fil7Gs!@KH+~lSmp}-xaCcM5T&R!j+Dz(+7#OFD4 zGu{nKhQ_dio$`a?orPk!&dlSZ6qA#a*DNe8Q`6F5xRyfp^6vrNpjH`hn@v|yQMpdc zdX|gp;)Bu4R0nRb;-3uj#jA$a7)QVLT@g}kyHDn=>HJf9{+r-r_t2Igg{_W2(7|0~ z_s`Rh50$#FEH9^|rlxb+Pd2*#d~|fkb7Rn_Xi}l?AM_J`|NedIt#lZGxZ}*LFaRGM zFRcEW^CY-+9;+Qj=9aYSdQX0lho-)Bv$H$%w%)orIv0&{0@O{$yx)Uc9Bv}236YuyXg+gaEIQwbxlYAhW%HhU-HshO*BWSXpYOr^$ z?Z=NBT3X!$MfOcW`SYbxL;3EkL-VMv)A$|>=f6Y<&-b|Z-v zlcEj}pP^NF11SgX9hZ@%T4u=mi+jJ@*w}b&O@yD0oMc(?ewN0kqk81%Q6rkm-jCkB zdxzuHcJHCV_>8~spa`t6HH>G_rD^YppX+VRBUZ`LikGlrD%m3*bEZ7G_mjas1}RH8YO z6BF6SMb`fTTHJ0q>=XafCmDw-$VHB{^;N9b3GO~o#6ADnC#;~@{z0YxvpM{KbQMD@`Iw4NXlvXU>SauUpkE&itw1Dk2CJJpp)s`bV-dCHR@lA>o0#BF_>B<;~W1 zcRADY@8N^J;nRao{nnFXkW%pJe>pDDyHpp#7WyI}Aoe)yh~t7HbkB5sGzwuMu_#P# zzKph{HrCbcx6CXxX^UGzcGtoF9z4fX?Z>Y^+YDY*EOwgDvG`BLUJ~rsq|oQVOu;2X z=M92-E4qHk;UKAuwsg);O-Wf_96spG^m2qdg35eMe6eIj^|n!>*t z3RdE_L-GO!Z)i(=yB=k=|6s9C-|D-()N)9$$2eQ7rAcE%_Py#C&yiBuI2@OhyyC8S()XWex={0H_$_A?23L5dkAC8@J4}`3e)NzlT0NccaW_wK5bW z5_p8dZo80(66IK8g|*?)Vm^p=e~$tfQ`X2l8?4CZlX)SBqrQq%LUh$L2}B}?)hY9{ z#PB0;qxh`$ZvDrZ0dzEG=mD{NYd@Y0R;(8|LEe##Uc(+XKHCOzl>8e@-E%es#2YCI z6)4@!jvJB`w_Z?+k;4(8#TH$~R9I3cU4cGLZt#dg`1$H5E+@;wF(= z@#53|3UV?0`uorRykTDd?MhFmFEQ5ujZ-3zEKL0fdec0@B1-(DMz470{)B8IdE`Nw z*UM4_R`OVNVX4uKtS$I4QDL_m2SzVJ2DUqN2{6R?E*S zdSOCUC?>nUwzfAvygv^+Q3*iY}XJ+zobEhRI zFKv0$2Au*}mT+B8T0wwO0a;5z^nF_s!JnU62M3RGMJ3fkZOX1>Z*Q-p^qo^`={e9< zk(`#@8Ktus#Q>`3xVRd_{;gVFq4_V>Dug%o&|Ib{6XA2P90$J%c4bD6g-K-SKejSA z4_S?D@u+djf!Gg>nUkaA+S*zqXR-6*o6oK*CaE7je|G3h(X_U<{&+q1p*oz3s-C;I zqw8v>fNl@N36rj1PqK$&ZL`!HCMT-_rk*j_;5vH6QsNKxHipY*Qc{x_CeRtgB7(vr! zB;%^0RvmEc*6+UKZtab{4uBk9eb47ve_XG`<$;}@-8WwXmhuNP9m$jZ5d^IUZ$EuH zGyeSbeF#`5$$2Z@jE5UQfC+Ou(exLTAKKadE*{=nfM{x4vQ!goTej8qUg=p-yKanKEY}gSSA1d9*O&$>bh0) zD<~YjS46y58qQ@7I1hV5R+m)oaq8453W?ueVX&XQ54?hF!w}w8Br1CZ%2`N1))$}{ zVs4jf|8cCeVT#R)W>FKzb3*B$|j>MVXo3XY2CiP3AZ{85~}inA(tW%>%Tsx^h`r<(D_ z?l-*Zw<%6fOdR|9?eY55q!+#554E;0j|nl39Y|) ztR^>=@w%PbIyyTCy$|++rS~^YrFVKEp#ut`e7(<7K8W$`xpT!+aqh}0|9d44+kpCh zjm$-c(XrRgfOv&3Oxh+QB84*?MysAjMMdT3Us&Pi<6C@mlxcH0yxdC1_+?yN9KiYf zaQUzY_n9-FGBV0mn#E&jpJ)PQF2oEh=*rH-ilTa&jC#TgA3QRPll}hlw)3mPrwXL* z?C)q2dDRogyw{ZWBR{oA6}`7?@|nyn;FC+o77)by@|v7#R*?vfPBj^M`u z+!VdwIE{wz6OC7TgbjHkvRA$@+L82&dvhRr7(v+x2a_YlX#rWMg;TYg^Rpy#KXCq< zIEEH_$`^An+Ds-!7eC)Pq{wihtiPu0slI(}$>kC{Mzk)kp8%$^uY%m276Ie@EKX_! z1C@DEFwQ-{jUtmkt!XHdoP1?RD`BI+M;&#VLc+wu)|Hw zD?_d1hI%wiNujrR9HBu19~0DF>^xhc@{Aq|P{#Or6d1!Qz7UGvqEL}}bjAoPyv;?Q zpLNq0Dd#z^#Gr`%c#w8Zt2OIsEEPJr9vo6`rOobUtk#CWNy7#@dkMLNdQ(`n(X2M; zl*gjESg2STp>DQ~KnFa^3%sRX?-rl^VKdk*ZQd|e%Lg_t^?v%D`nqvRks3Xv|m5YE42ZA$C7)A8rb7F+(%!j%d=;Tiz9#Lgr79Neaa_Ge!Lpp}Ur2 zYiNH$r2)NhstBg2J{`_Hep>+`#J;e|lF$Aj0u&%~JxN1KZfhvcaF}k7L+-%|m2DJ+ zzc;)-m*}y!+ODe0?7T40+tcH+Fi@15@x=nfG)U2_tE<Btu z{d6rLV?p`ee2*V3-yO!2C$pq`f#-{pbf@9Fvs=yQwKUh8n_04a4NCpl%yO@G6%jFH z3nnlcJV_7XojW3YdAIVatzqtwNOHYs>@ZaAI8K0t`2E$7NST`HwU#=m2vrZ95h_ml zCT%kFwV6(a3sw+kY%DBL+`bgKc}A>=P?t@=M~w#CTX9{k_aXU1fC(reM{T`#`fa^d zq6JOKGrbmvN_E>No1)Wm+S=MQQ*Y(v<;7lfY*2)0A-w-apPn`2Y+oeL94th*H}kW) zRO3OX#J!dR46FtUA3#Xzs(kb2O-V_~X{9$k=b8>R{4Le*zCsm)UOeO2nd6?M?C{v$ zrzzlKV`GQ6y9$VjiRV(EgPN}?Go#}C{5(Gi$Hd?vOx>BTD?Q{$ zjHzNgY(8AE-W*>K1E|QG!p=jH{S^3F&;6D7^6i<_babK_8TGR6$|@}Vt<>Kg$p1rO z-}68qs>Z+EkK>w0$1jje&Pw8ot#i6xu=nwRCpeuY#> z`hLUlot2oFn5;DuD;J;v8htO9pM}b$8S-Y+7lotK6(%I&o`up!JP&q;!yQ^AH$ayC zuOcac^pqz|gz^#)mmxfJI-OT{*yz~fGW6oG!$7-$yuqti_#2WB(k(6#k%G5xf5OUQ zX>oURW9QK;JZ~b~Hbf6RaK`|*SYR+c-C;W5O7~%feI8xLH=lgr4Jixh&isEawHr%; zB?rbb%f-zs=H(+uw_}SWWb;3NR>*1b`KM#Qv|S^ zIBnaza)JbP%yxcAW*11g~wkjbB(xXa??uBZO>(xR1pP`u@j~g zfpnwPu=*cY38K>GOD&^B_Rg-0+#-J1#NbD1;x0AY!n!@?XsK0$ax6|B5J>oOp}vE( zX$HAZV^J;fu3qEu2Y|#)7Przie_39%IjEy?>O-OD!SgA=9V^4ynFKM@J&@HL&?0$B za7pc<3uQi3Z?1_iACbmL)zUb9K*(R8%}6GC({K<5NR3ws>T0@pcjV!r(IG**>MX+4 zKFG(=-S`SiH3tKaQ;-;ar=DA;#uXjfI?r5`_@v6*i4Z*`_#gWy>TVV@AlGhH>{lc1 z{lWCszKa2Q*drtqj~W6cFk9kR^YW2H+0#;3#Gg@sTRLxqJ;f)ZquZlqaVbA*IziDJ zIn~eGF|>x3K*(PeRp{K z;}fMZrBI2TVSk+}(Z_5a5PXkBTn?qQ1;^$So_i67^2{ltPo=r4SFSzHr1}N&5kcf3 z`W#-`_yJ5qtGiiGMe_}GAU=*1fr5al6M;i=?Pf|q5SboBspzkIPxPs+Wm}t9e_SpH zs^hB@a%xf(+_E=4)EQ|F#pe=|#qDbLvtOi|37}Y5bq^HYM6!u&`#!mqFg4wtF*m`a zBgn8X3l9NS>GrUQ&~**)+s0)2X`s*OlQM;hb$aDP(EZNYz7p6usj#>kx%$JH1{s7u zH$uh*tuRGJND8fsNipz^iELap$s?SWr(7CPm7(+QQ2|JI;1# z=bC_nV(&R;Tvqr0XxC|mXl2Ja%W4JN;}8M+6$2mA=>)?=0&){jj)`?H;*Nj2Yd7DQ zSH9t1zEQg9x!7l!`Pu;x97woDu=^Y(XZ-CV5!%<+$93-9cLYxl*h1j13syaLv*`sF zz}<7!Kk3t_U*LsN+#|um;Pk}ALLD}>T|cmG`Xk>wk9#T?e5lNxIbp7>USjN209CZl^2~Z^uc>Gc=`nH6V6%cY z&TS?+D2Uu$c2Bn)koXx$_w|+AkbknWTazb~;jUOFta$)t$>U(&KhU>{I zD=W-in%FJAJAKn?VZ;>>mq2#+$A}T6c2%H0M7&HNm73=>vSyc3U z(b2KZg@h4~%9oSP_^#Wl=86aFwg(q1yV5><_|Vp-t_XGZydkU4~M4#h@C>)yCw2Q?io zDlU#mk%X4_r8X`p{3r%{KeS7Z8l>iJ;iXmrsHcG#3g^~t0~Zp|Oug~((%yI4{G?V{ zRvv6EPlWU86GzUujMq^rLUM|(q7$RxuwmFQvM zDagn?clw9lICSefM{@onWv70~&0YDCAouj?)5%riMp#RF)kx?YyJxAC8-*a7^M2#Y z*@SPm7v_>e93USl1sVj*PKcs|Xcgc|*xK6%rmDqbQm||J|CtDUmGqE08w4RCgNgpx zX5nMY#p*Z6HZ_g+TnBE0>EubAkmF28i`ROt_g1*KdFJ%=bT3eD`+GZ0wO|(m;seO~ zc30Wky&h^D80^ia=#O`AK+JN^-do-oUukf^?U(pE&#AB9jQ3zKg{aJAyKa(s!{$1! z=I775y1Kf+FM;M)v)*;Gw6s({m?>7$-6<)5H-dmAXUkh1q?^0tq8?ZyS%n?fNydc)#Z>_Jd}kFZ+^UT%m{5@VPH?skx&XMT~fQnP_O35`%Cf9d+$@!aSo)ajDAT=;|=Ewa9@dnY!so3vAU7`I0*TG9SsbgB;q}u--hIFN_kJZ3{y-anUBn^<((6x z*TAn-Ic!)XQ={jDBu0RrJXRt|j)QxR#)-trKY+2+nvPV4#_yNbe^hPWl!svSC2T2rXxaol!^ zPX?0RV51`l>Xsk+O_2L-p1&f|k3LBH2kSpXnMaM74{LwNGp0d69w+=4$`e+)K=RzvP)W zzaG65M?p@mQ$F-o}C@Fs{mSIO8THE2}px|OM{(0V0USGbXLC}rr7;? zR62|J*|TR~zI>T%j%CPE5c^j#JqG6FubYtd8a;#M$Vgta&NvQHR8SG@24}dr^|J3> zQB`e0q)Cv2;}3SHyw|k6Pfvp=0(LGE8g9a^K)3 zFy5?U;L5cWP+SdcvKs5d-vV4x+y)+W_je^lG$*HO9Pk8V0eoe*)zlvB%oiAxd1$xw z+zg$php^k|`-@NJ4n7F7$QJ8+^SQdJHsWTLr%LTSbFTHFhkuFk!D_GdUQ_S&+<0x6 zVHJ@F)V6^`s{jfelAoQ}y1ysTdb>dT-);xWN~P zv&K1NA#C#Ok?thua&|)Zewa@AuE`4*z3!6&R~R8PxcNa@`?Hyvfx)o!!HTpl#m@FN zWYTA+_jeXWRJ+o3IqSWgo&GENjk|+J3sVqQ&W`doM6d?6>h%Wzv!bu9y#+Ez31qqj z0}qv;K!(S#*(|=Op{dEE^SQ1=Lqmfb6e^&A4j(=Y8q@s3LI$L6v$OqQ98)U+^VFtw7O-Q=&L$s&73-r+ku|AFYmZX%Z{Z}gsg8d&( zn283ioXUqm;4&(WaA66QlJFn4TM-V@^&&&I~6&cU-&mmJY{#v9#_!+lMg&2a6 zk@c{(iV35saSF%({EfKLYPp3tv59;#U8h7V@z`S!SsnLibUGnfGyNWqOjjQbB0zk_ zV}JMO9c0~GH(v4sJ5Vr^31x>r-a#Q*=-bcVH2IJDao2USV7Mjd=;?u31(Dcb|E(`1 zIeJg#QSJjSgHDn;P^Q-TS&8w;yz7*k9HJsePjvUha?7yZLGWEb);{;RxYWgqhs3sN=Awk*xD9&z zQ7$A|huYXwdwjD=a71p>#-Hq$cTy_a=m{f$@G>K3GQUPl_Jiz zbnTX!TC2gqnnCcM#Z+_b{rmS%qp#WSOlhxO7bHDp4~Mhc6REr+sQYr*6rrAi!S(sv zP1ZOXNL$E&t?jxzt~mAg98nP@xNi$2dHHmI zGg+k`CD!lb0Qeko;HiVUKxEzZ->7SU@BfRsmTA)l75{|&Apr+pikR_?6QeTN{?po4+m8)gi5Z;cJ$@&$@D!3z2keI_-e{SdMy9=Hk&6_vD zuN~#SP-Hq+z{A7i>guZWhC5qY@83jf_V5N3LqdLo4#`Rg+Ge1U5%Uw)mb94T-g z`ZXio`!2faVeJgAMQU&Cvj;ycu`q z|2WHNxo;m^_V}wo*SlI`Fjv9W@Vp2^*Cull`bnOYvgEwBqz0OT6Uv}o>786@!RTfq zeZWxly6tys#&&i<^RCjK;o>58eK=Z0^wE|A;{(Z!g=ONaD#)XImfq~KkqM1mK-q4v z+$}D8&t=z2?T%1*&s!Pk3`|q|22?N zkFU@7tHw)}x~@#Vc|)@@4hRqmDMwUvG^{IKJv|BQJ|RVrDp<-3%F7MZy}Y~{ec2CA zV=%o<%9O!HsZXp`Qplzt*%YmU6O#-#VhpxV3e-$N}_(mvzA zSm%C`;P$(&)0^Sg;T#lFn?ITNN0|HKW587Ll=e>He188z`N2M7YnvPaYP~6TxK|^K!iCBlPTV#6NBU8qO`W-b%a8G7Ypk0-Uzxdrmj= z|FM#J8U0`2dmPH81Qwm=^e0>owJ|YYIZQ^?6bp1RNWK3%wo>t-uGmF9T9Pfs)vT$`&TOz;;+l5e#AQ55592n?P+anE65}CclutPlC%!AuC2#iv3X% zoI)0(;M)1`(6RQ9JlwZzMDqkz#=R&C%-xtq(V|K}wshI;iH&;B>70t)V;codAh%mC zMgTJ$YP=G>V`cDI2rOQP8*SmAqh@vI}^^Ag9Lm9iflJCr=pA-aDY5_CZd=g^!T5aGRAw zhA01GRLGts+V16}0VxnuyPQ$rF$!%TWB3Zvj3vW$`B%bo2S3BQ{k0L5_j3kpPm!xD zUqp=C*}6T3i|66?n$`pr&`z>2(7_mUF?8lnM0dIsL=b%A&>9cOg6q}o3%8+ZNPXu3 z_tWRWU4##INRiu#Lr<6i&TvC{f2}#QTyMCzIiiYxWe4{=wbK(9@H@;2!?it;H5PH1 z5{&HdZ9L<_M}lzF8d)h3+;Ft!`fsRnwcLNd)chIw+S{c)RMAcG>mg)z!>WM<;CL%j zN4Nw_mcN!cfOBFG^AWu^jXkAP(tcT5qrpMP!==etndO_IRH}&z#{`${i<6j z!f1l|2?FvC(AWse_^p~E!{Sf6I^6q?FEmGrI38|`_5D?+n%fhK#Sz$dZe}uiil^+ z%j69AmFuhRkwGLmnlRyNx+)=@85NEj5{d9{^_t) zhrntDTw6LKo|HbS8SbN_Viw!?7nTFl0_eSxsk725LT}K+hYu^dqW@aZ;GFdV z7!0c8u#w*g3YxtV6R%YGQ2w}5}Hvo zN&i3aL2iM?0}F*c$xEPjPW`bMx>xB5Ua~+O3!~C}V%yPi&u%*3O!<2{s~Y*A{sY@_o4;bE!#4dJC-#x(Zp;7;Vf1Fc;$^TQ$< zH0~x3vgrgEECH3+UjfnCL*6nAhBqJ!HPGy|rSEF?TmvopyhfE06>Yp>03W*SK$2Yfn zrJVros|pF-?|*XthbSvICEP(WOhm@)P%mEqWWI zYQ0-Q&mgy+Nw-Jnj9lkD|09`Lk@F?qgR7d9%pnAYoyRafOC(MPX1m1)T076!&1-XK z%Hrxr>QHjI<}7gY-44nIVpy_81NW&G_dS2e00%1o(^9Mf2r}EH zA#YWdGe$Bmh~2O^nSIewN{z!qvH(Ux{PC5uCtn>eS>LWHmb$IGlFzQ%9wZpOptw|g zC=79l%V>Oi>mfye2dyEK;ug|nYSCrf_3fp*$`gray(FHl6tpv9Z+5YNgNs0KgvBV_dz|a(piPs{Ue1u7i$jneWIyThPH3u;TlQWYq zY!$d>mN>t^+a4}_U>z($W}(n}4$mC%Liijf)YEoebY;f>np2+rte^zLW$ zW|zW?L7XZgx~X{*Oq!a>AFitZTrGNW%37PP8~g) zXOfp~*jd5YMJ+@+ma955GGb0 z9Up2B6T6HXdWqf*w+FFOqg+lML~z8(gfy_tuDO-oS=?DHKY+?B25jD7DsbPP{+ONv zS~tWPQ_upD55vC8dXpS zK@iaO&yRg1BR~C-WmuVBFx1xp4dK{Ow23Aupf0^DG35&%WP?wuYJqI%(6}BCVZ}mI z=`dME1E`A`gVj=YKfi({4H^X~XX`t)4D>ZMPfsK4Zd2GlM267_xPjI+{Wqdarb|arfYMy>XzUB1q59*7%vs@bAmgKR--5Ij*lOz`4j$olUm@wGC z(l%c(%xew;erl>EcpX{J+W)J6Zf)-n=0QNGg|)~9DL0de=*^Qiuy1_|OON?CLKm0O zd~fcQy!3W!t<-upl2v{A(^%f)SoJrbxqQ%a;*V0FNQJ>UJo15E{8;_76P*&|=Xpn4 zdg5J7CgOX)TvERw+b&r-|CEp)AuYbY!QZN6-v2!d>knxY7_e`NUWg8pS>kq%Y4WWlB0MqM=W|wiFX@#d&HAQ0gre=ooCJkC03C^=AZL?0m3G=^qa5m#SXNVc_OG zTQOiONHy@k8UJL|tA;JCY1e-ymd?8sDnHWcyav2Kqc?uc_y5)c*z3`G5u@BusFOZl zLr&WLD}Q$6APDJlRZq8oMB)Kr0AR06s+&AeJoNR+%hb+3DyOMFB$deN@%r6*zMZ*r z`Qa|*SQw&96a|oB>Lk|Zel2}U_-2^-vU;RB@7r&?yGUD?k4C`Ct=TNb1w96-tzU76 zIFPzjA(rSf+_2ziZxM8p4D*#EZy*J>!qew@I=Z8MMQ@JZ_)1DKwLtghB=y20w=9+P z#Jiz`Dk_zv$o)tJ7O?6rl}Z|nY_U1dP+wnRgY>*CK;_q@2)oq0>fyd=%Zp6Q=Np>y zWLJc7GVqQ}=;?QzeT2oUpFW9qLyP>oEqVpSyM{HVYPGN?a-ZR!Y|gV1F=+Q7V@Irg zID8_DJf{6hR&L3ffg&0agTwVm4;;J3s7`v|13t-X#AohCWkwDjW~V_uEefB$z{(@p zHN>B3(o_;rKKLTIap4dZg3Ss~s`7Lw4qT~kyLJ3(4Hhyuj5c~HDlk^ykjLh@WkVNpF3nwtR^NwkZ{ukl}g}S?65<;$|x@7~ zhhMy!85IHU404weRD%{gkgp_U=h^!z1wV6KA`Pp2l!RnVj7nyyYrmQ4Z2EPU_#`b> zs|8_zp)&`zi$jkSQx*?`%j*g7j@Ldp|3sa`@7j^aa@3y)t_5%>pLmu+kVN)EI(pMO z;5@-x^>wm9J)KmqTIP!5{-gzWuM|}`RMRM=MxU(|p&|aZgm>v#ZY3=tF+YVz? zomxe5PWSI|#?CEw;({MU47S9n3>m-MSzg&Hkw*I92`_!pO|L%4$qNlxAF5cob~P$F z^Fyutb@HRE$f_Vb(~;gadhk|$y0s(m81o~4B!Sx{luOMk=Lx17;34*G(=mOrNq8Bd zM}D3rg%5jwH=?*`;!v%w$2)P!1D_Sq7tSN!z#mw??XZ}|#I0-TAMAq&_CD7Q&GN{% z-pHR?qRc|haO};kS&S}|d*rF=W6WZON3)QgMJinvo%Fr?wL?~}9j&fpw~>eXoGT~2 z>)O@6<)c?=JdJMil@lyc`XlM0f&_9(+^N&Yzjx7(vK>Qg2si~+7p>W##bfX0&Q!@` zqabO)8b0~b`K5nuGtrh*(B1yh@UVhL5ZTdp*WR%!6!Z@c4aLR8%q`-De}5el6Z7g- z#~29)>-O|Z=f!)yYNShQluw&2vDetI`9jKJ2t9E?jFuRHRj(iHz|cDnwJFfdP}1Aj zWF-Id&Cp)8%X9bQIodBH^Y!|P0*| zfM0on0buzsK4(tM+?pjcm7k_(=JQ`%B>779AP&pGzyM8xYA?vU4x^&$zdHEgT_w0vFiHGvFTH*VYjjRklkwZyBA z?y{Q)RG7P0VIgTTmEG_feX+5ZCSK;@l9TA4_UiRHB9#T$PXUzML>66kHt}p z;H7ShQ=;Nrbmmt!$Voc4f~3mCKdxi=S>ve3B{v4Be|Ca_92yK%`yfvOQAcz=|m4*aRl{VPX)pL8zLV-0=trfZ%cOc`VH*_%Tt1K;HX{4eTJvX=#nk%}gRTdgo~|GA_OI0uPPlJv5GMXJ6vg zBNUKIfraMB_tIm(r*0~kKs460`Y>Dnf%muNX*M<*W=*w^^+G&S8MqU$A8AgnGLA#i z!l4}TyGz$LFFzliU;DHJ{AAsS9KD;LX{1| z$7Z+9J~D9>*3{GhX?FMb&u{yE%f+Q%D}S{niZvbeF_*N+BbO4@5t#ne8g23<&ax`x z@_wP}s+dAkc-iWE(7iS$@i4!5!qU6e`?gb7MDUKvQ)(}LtxHZ^_&oTUDT=j8@VX?| zyAsaDv+r(elKR{LrNF1N@w3iRIz}G7^b2i^LTt*CcuPn(br;cgMpyO;#%jx%kPmd& zW(ZDSiDf_QSTZnPVSnbEc-6L$<^$6sQ7EK+>Si)Jbx4BN``p~;1HT%a?ek6a@2S4S zU7hlY^&WNqh2EMBc`Pqb%2@T?0-dAMgJ;y};KaRdMcjO$tO1sI$1XsK^d~e(?@Gya z-5DYIrYv&p^AUMUwJU?X{o46Qs1WR}NV81M`|_o6|FuMI@8k(@kAnUJ*gg5hZLe29 zpCJtzeSIn*$t>C`_cJSo5 z)HIwJQ5Pz{{;){DUwdMBH^l${ly~O;P`+&+pGw=UFi1*<#!?cpO?H*RNXWi5ie&6- zmQXw?jj>J&MW$rSE^F2bQOMWM*uJ(HLKyq@9CLqvc%EPGzu@)@^LjDYT-SA8=XoBV zySJuBgre%w;Zf((z3Oj1^ts8s<=2>+r9{0KBhvV4f#xl-LaCulLv_4} zXmi@Ffa^X?S77tt1%G8RV&uIW>OT`vU24^l|0DCXtmUxc?rTqVZ2j)bsjx)fJ@i%k+#`3z3y#g+A#hT)B|^9s2?IgDxL zSp9p{05tl?_lql?G*2aM8);d`s&jCqrZtxYJ_;Zh7eL{IW1}j|Gh${I-l#h-lSTcv zVZ*MM>Vl`8*3Qk9z~tgLah1B++_Nq8LRoR3Saji!c-pgK9qp8NMi3bE@~m{(@kFJGnk z>)&x4lCF(XB$2m9jBY2g9c;y z>(Jz&wBX?x>QA&!K%-%FHYb_CF6F-Tr_4^v40XYrp-%tTU8wa;EGO;QgUwFo@2eZ# zXU6`j%|V@cX!Su|Lo7>b=m_2F|Gn3p4M)PFE~%rrz)ZFHZbf^%y_}0?TcE|;F2g$u zw9Z#p4gMR9v$AqVjCKtPtG6{D58w(p9{w{2A=-}eFO8SXDc)#6i%4Odc;2U~o$*hn zpAwJE9`1k6o8|3}I0Bn6e$K~zseO~4>Zx89`V}tj$oyC8G?j}aYm%g*fKGGNP>s-a zwtf4s3_FZ;)n$?EYg+An{}N+&x6p49Q{!oHwPFfR0K@Fx+J7Z-DvFyb?8?01ERmhw zyy#(fP&zlWy&|<_#eNBmz@m<_)WPD_jP_ zj}yf4?@MqaAnSx1<`peuhPCt`Q*$#rzuMUT?A(W-LxO}TU`I7qvg0U8=-YXcvTpGZ zBb|{cnOxN*C4;Cx!!F1c(vtKkms)XALAz{#L-t$3dF59(IqQ;Ap0`tuha=eZa4}an zXY<~uFWlC5!AsfPGz=R%!J$)HWFPnqD^y*JVL=eJ1#zDpcQ10#UY@t(9lT6;|bo{Bo z^|lhf+`r886fNN2BJi(MNCX?(Q7JR=cu{$Ic@dHCGuPOB{Fz?liQL4VDeJT@B4++f z&(lj($2w#ho+!jCiJkJ!LSJ8UKo;&S&@Q-ax)b{-l7^AKSGsy$3-v|V-*`qnaF%~R z!v7gle>K=Qp@9y(&U5F^O-)V3$Hf5{$pUZmm9{#+GF+%v^_+rXp3YpT`sk%*-FPo_ z=P3zuyHBKYG)s*Z_}nT(LR?#w9FdJi$6~QBA{1cWaVx}2q|bJW)9+_0V@|QH*q=vl z4!*meu7*5%hOKgk{Pp6a)U%DzG85}$v=J_5=)8ys$oWleZMQ$#erNC&e)mbb4Oam? z=GVl88Qvm7QdkKF|Bu@I>r+h0_C>y-%@KNg+J^@AMmpatxR~tXGivn%oc(%@)0PW! zo!ICXwP@>JS*v~t)*_!~QT54xk`+1C6zE>tk*M_-beTz>vW#Ow)3*S<#}vbVKpwId z5Wh>+iiH$iUgRLe;FqV`B*esqK6z!7lpL%RC>}yBB&96Z7&w$u6J~zNUR3$v4RD)X(u@hejT z{)A!KBT*f7HbIiMnvgqVKPnXii2xt~1Eq`SaK?>S2B75`8glSo?b)%l_n14K_D)y7 zGQugm6rCa`xHaP4|1JI)`RD3Yd-R*Kfe)f}ml8&2_fr;TCjOk(FRD~A4pd^%`L&$k z#AQ@`WwwP~tj&Q{(2}Q1^W%!cwYjUI=Y5g;_93PZ9qo`P8^8k<`2O_G=-t_~YN13N zY_-ALyi@aFI4GP*?N|RFgn>lbOthhRn%}YXUAL+16?63S%?58V{`5c(JP(p!GIO$5 zQQWlyWiMS$nGUj=-`?B|x%+O{vOW{&6PEaERim1xJ-2acQGAS~H?0?-LJ(Q1I(HiC zk?iWz)2%3cG0pVh?KouKCk;c+z1cRE+e_T^8ez+i2X6P@3oKuMbPRz=IdQZjAM(1$ zMHPer4~U%1f2;;Zb5R1+U-A?>ueXZ##UeXDyPH7^71i4_-EGjd1t9@f3%KHOufaK= z@O)bb`f$qPKC3yhb@~XoeFv;Q2<6YbCfhT;`4pnu8J1{|_+}xrgTsyA&=X}+e@tiK z)1;-B!MltaKYsi;TFS14Ta*M1paK-!vM`Qw5H(Fw4H}m7qfhH2N56$a0}eJK2Odnn zdxo_I_|;|;sEbhl6%`dVM)DBwcsQgX%s|SV2Hhu_e$@i0iRRH(6AGH z;W^?EwfHRcuvpsZZ#|Ij0Cxr8&$r60EiKTpdn0)_v;^pr2s0wnsTcpZS3NVy3cWno z&me;3?{~m~KEsp>-&z=U6vhi%v+|*N;6G$%6ZxP{*;Z0(p7eC^^*bCRx9)uHNV^sX z7j#1H9ULI3lGy#Uz7$Nhx&PpyTU%SvQa1I(jpZpYxm)5*k5zh%e`}Vg&Dp_0sRowx z8UkdMpdXsm?cERtVP61TzXt=GKP@djCr>DpSJ}VIkMHmh^!us#w`-evIryT^$TPxr z;4mXLK>^4Yw7m#oy*b_}=QR|4!SNs)s$xHKGkc`?O;`W<%Is755Qx_%C)o#*bf=)Q zQr-G3o!Dwu)Sm~teAufq$Tl!?!UXFZv%kJ!TZGG-w+-Gp^!(;{cWRMEI}EN8~Mt6+sk#&Sc5>;huB?tz}h_c z;;aDQyjHtc-JRBu3ZQI%)?qLomz2mZH8AeRbwCzF!TyYuue2h@4@CITHkB=BAc$=4 zombtMh=qI-LsfqI6x@70aVNvUsx{*-#|0YxeVT!bEp+Z72?Y?8C8(uybH!M4%nHZA z7G`3KWEHonN_hSpTytTmf~)3FgR`>09X$rQ1W*DVHuCxsan{zO0?|qzAq&8_x86uf zF~i^aEf4UXMyM8{=AD0gHRKWGoGlHW3$3q7+p_Q~$UNMn!x^@{mTjJ~82bVYAVwsr z%TSLdaNy7}Fk`Wk!D!DH_wqz@6rU<6AKS5%Z7km_r3aosE`usvEa!p>;}Xh4+n|nB z)!F;(;@@EZgPKuTCG-be@O}sgF;r>Yj7}bknl32hrBGQRm9u+8ScwjSF& zd0j`RTl1E9Jp7coH}SL%Iz2!iRDqL&_6t;gBQu^h1JDKs)KB@A=@DaND+fShEfB~ha zqeBW-Rj^Sw!+}iVxnc)h*C2<=2nUdwAeuVo6X4~w48sNm&%*ED&e_@FhoPkIFZZ}> zXZH&-4!Lp59x2!B*uRMlJ&YO6i_zV{p?r$v|7QB@pz0V)X9aC_^5CuDmASLwbvJ z8$2ez+j~9$=AG-J*`2Zhco3$2DidG7_5m9{_zZU~sR4-?7e_Ee()5B9?tDgMJB7&z zx3KXrP>T@Tn+KbR8RYQ0OX{n5F1ylad)+!xwa_1d5(DfiGrWF|0z8v|FoxsltAwek z&uVM}b;4t!i40Gh>pxU-z(fv%zXRsmoILT>uAUy!zx#U(n=e>7A+!O!D)UMo7bmA# zn5w~#=#|YJ;i8-21^t3ouU zpWm3G+%9#7=y4C&Hzk3m2?Jg9y+&jQi#E0J?lZV%$#4-M=%NDg6o~Y|$b~O}6mgNk znv|851r(hKCDM-?;m+qs<@;2r)Du+*jmM%m#EG%@4{u{UDtLyHymi zC0Ti3=334d6&yAn!akIp-vmY=y0>PvB$DT{ovkh05@)ZywOU6h%^jk)tVcw)lVMYsc} z*!x(G8ZZtc7w!{}wB3!bc@boOWHroqwq*n0&FIN7uN!Ld|32wCIXTHlxxyqY9kmA| z6iL1sa>(4s^jN@H*tudZQ`iPhVO*$m$Z}K{6u7Vd88tbdh)BBoxU95T(U&mZC=&M0olv8|Ck6@u={ z6*#b!l@%=7l)Y`j!-5YVK>fOb1)y@Ss931Oo=@%457ABHz#L% zh-!4G3pNH|_Y}lM_u?=ZjALyY7~;aV^@n&EQEiw*KE-2p)UP&FSl27ypG+`*VJ3C=tK8-FkMPT1ZcF8!O)i|_*V{=fId)E{W$ literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/visualizesin.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/visualizesin.png" new file mode 100755 index 0000000000000000000000000000000000000000..f1f8129ee04187938c2e65e0a7e40ea7699d930e GIT binary patch literal 24374 zcmd?RXINCr(l$JTf+&b0N|YQ`f&`Ha5(h*iOBP9zqaYa*NM?pG3X%jQ2`ESwP!SkF zK#7t?a?T+6tHnOgIq&tp=L`S8AN$(QTD`iftGlc2x~rOyJGYg{PSKu1p-^OKWqC~$ ziqH;)B8Wao08b(}9_GORNSu}R-B2hh3go|I)+rs(3PtRWR#PAzBfLb$Bpw&|pamYW zxhvdt*K)FQ_cU|0M5)PY-;%v~l}Y=7C6k(&`vW~ES39?>OlU`QUWvOy)X<&zb48ga7|25KulP)hP&Gjg8hL){Csr$A7aS@*RrM}3PB*&kSx;%d z@#!I+9CCLKZM(adhe93HBs>J?i%yWS%ji)ux7Ird|MW?6wmwH-{&l}mSn$<%Ipwbs zrc1r1O|NdbY6h7wwy*8^w_lrTOF9pd^V^#jOCE!NjMGrC!T%J4j+3BJff#04P}@BV zgT2y&fWs!fv&FDg%D^re!PdNeXO3!^j$%Lqpj`EdX{w3LdDSwigB+` z%U@4Z8M|xv`R%htNnro=!sA1`d41@GVyjkK@%Zcr4FSSx?Dxgap2kMGl+A?4omQSp zKMF(5D5;)Hy)J8(+TO1;jO2@sqcYBt2=FvFHy25s$k1DU)1q$b|8V)wA1$1z-_B|tdrrA( zCb4jZD)#aS4JA#m&5aR#Z|C1*&0o5WA5)-bYdSt^S{c>6cAk|)Q&n#TWrU50iyf@{ zP}OcVI8PCwl*jQH*1qJM9??vl^`%ZZPb`SM_ZNm539GF<3u~(fNY{gU&Zv@S|238C zTlw^rMEx~zfR$Fi%1K#5)c{w8nj$^h;@ZUYHPM(O`=A9mQs$E!KZfp+OI5d!e-2V z^U?t2D-Oi94G~jqPb|0W-9gux`Yn7Q=jieG|1hRbOjdohRvqj9Bd1${RFvM}qw?*k z=eIwu?**K?V!3E5X-0Hgb=9+$7oAD0_t++9|J%7X;dP5HrKzGmo&(P7~r0@ zahC>frsl^y2}>Rxf8?z-J@B>fC-!gQun_z6HyIlriY~Gfb6iN`w%j2s*ab zvnye{qSm7u@9Zc*bhzvgcC@Ufl5kj+qqo~mMJ7+4x!^go^EM;rgI|HpCwodN(ZZC{ z2a}eB8cj>MmJ2G6gP05T&M2*u-#9d_F1b9jb;Y&SyENV6fyuR&52u#A8})pH4>?&> z8h$g@IIQS78G0P#amq%bNCecMKN+i$l*?2^x0a~9q?MDdk8QaS>KDYECFnilqTQ)N z$xbF$QqmWJ4ONdJ!P&5$j#-!pB7MV#qAOY(OFsVUqKCigM5OGO58iXBg=B)lLH^M> zK}22>Y3jsIhFSODguKaM%hD<-NsB7x{G##m8S=et{`JZ!HzSHbzhB!f>Q8Q+7O%}L za=halUJw!z`*l7~H+5Vh=h~YBM<#KxB-(~k>nCmv#f~tl#GLE#o_eEjZD2wllWHV6 z`jt(CB2e;w>4V+IIkxMg(GWZ4^4Y14&cSZMw!`!7p5xadT%}Yl4_a2ImAKtA6R14S z>1%}LB1sMG`}g<)_Su4wx-UZdvlw)pwP_YO!^X9I)i+`0iuCkx-Kl0h7bSV z6PeGz(sln$@Y)~yu$$c4lCK$lTJk22N{N9_o=s))yYS%8`-Ql0eFMjWO!(5|{lC97 zW(x2r%WF!BC!71hhp%IeqGHi29PK%q#a0(E7DVdG7HDKO)hG!Te10#Uwj`tPLxnEZ zx+(m0{s2!Pw7&nsuKZHuJnxr;$HSGAXH0ztQu7zGa~U z*<^F4dERq1Z)KXLzmq4c#AcYsIuyWFax7YFey^NU_U-ee5lvRj z-mcLr{@Oy72 z`%1^(<%Z40>8*(N7ZuR|n=WqJd%*UW*yzK+Ja`*xgZW;yMRat&Hx>-9b?^h)XY`qixKl%3?DM9=4~>Q*&vI(U#z{ zZiu^%UkhW==vZp+844j373kOsW}!pT{AUeU%RH+H$P?nCf~BIGBXb7L#_{I2n`VUv zYkJyX<+a}0GDPq$b1D%ii~MVl_Oe)->4zm!bA?89#+MU|d@PClBXV*tM@md)GlO*2 zu^$(USA;yXi_og24U~P(Hz;HheyzDUF~gb<4Qr;cM%7>WV<_Pr|6SSA<6YkAOSNNo z^T?J4;lVWe3MX~@HGG3`+n>Z6^dw9Z^PA;SieTLgt24n|NUV}xE;&;+W21kKPq^J4 zMl1|BtxGD$zpWm8P~D<0hEsDuaa-gy`jI00WSnQhX~{!)yEP#$O|8y7)*y^E>N!g> z)i5c2B)!qQ)=_0>9MkA`6D$(f-!g4`T;sZfp_1q9DBj!*uN_N&gI<3y^TL+Wp=V_A z$0yJ?Rl#q@EjcFHw+d#pM*wfIA;f(9hYvMDO+SX}EmT@nBZ{@Z-@e z&-cq2Y2l!q+Tgih;Qh|mIrTorP?GnJ)d$2gwaZq&oh{rOQ+5K}ZyjWpSzQmqpcJ$2 zFmBb!KDib4#8;L5-AlAf7DJ>&zfnTE#kBMIMpQ912^0INRTt@7h}iEz?DR(GdQv3@ z46jWXHbkU^u9tdfO{+%?73e_uYs_C>Iod`;*(s;8N0OnN%!mfJ>R=ZsasT8@MbN;= z@Pe&x>+8KDTL!XyPmNC3i>$}OwV5Rsl|sQSJzt3jz*(^9w4*BWT#8)pdxq-_Y+!1R zD=G$g*qm~4mlvn#7T+J^zx8~jobeKO zJ{L(3#agA7<@(oh3sw$A{TzS0bt7<;E3k1t8^hGeQaGZSrWMs~dd4q#HM8+0Ym}p> zfzvHTX4QJ(!K;b{)Fq$%2Thq^l<_4)+dE$#rpj*|J{Y0Q|u>Yvw@CIlT+ceU+|C|+8OsXamOuo*T6LA68t?dptO_*bHMsG5v!Qi26Xw)-{lxMlzP&at!Xd<) z_b)cvp~nA?N#(;nz_d7V2l#k)fABF6wW80&mArfHDJv~9iDv~3MvVu^TQqVo6(b+jZ3A&**PJ3W?R4+hyVsNXuY`9Pn=wkiCL$r3&N;xg6{aY_8T8edZqoQkT(Wi>&bD_0b2*YWUi z=Wi|>T3hF4^Xe@dYLZ`?ixP{1?H(jWH8nM9X=zneK7-{h0Edlh{rBJL%jMT4-Eg@N z{nhSG@cH=oG)6JjKKS`Y*sh0qs>(`4X;|}M(^1bZUB6gUMWae7Qi6b%l3h(shev?S z$W=x}>?yoDd1;$mI6;sKH;+~5@!g6Ft@NURAi~DpiqCdmWygwv!>^^-tR<0r2|HPMXI|( zh)zeNd-K-VZBjqKdb{01wJ+}Px_8Jx%A;76PWB7UE3sHS`PyNAr1o$&uh68%*J~aB zPQTc(c7M}?Z+o+>)^DR*uTa03Ltu5WYRP|ZjPbB5ORBrKSLEAL<<4xLmcW#To0QMp z#^#5&#W<@q^SckNe5Yy+B2Or8j~N)W(FASOwb3+G#K&v5UBJ6tT1xVecrVAt8+AZ6 z<+T#kmrND-v1MmWDooM&EdPkZNR4qvu1+2c>xBy!!l?Kh?d-%o=I?&R&HwsfzV~-! zuD38M{8p0KMwsc|pG2pcnW!3b5!}ni!?_J3JC|$uotHnjM5M15MLs;OVVq)1ZkG-L(R~U9W$SmGXY&hS-4%=sLab3vs`=h^}R;eNb#e{($-)lS> ztnys?yD~P_O4lW_+d$_O>;C)mCOSPsN8ZJ%jIQgjd*P>dQc6N4RoZ>20 zsh*1F)wPpWaSYn2@`;REZux+ee!8C)QS0uuX$ZelA0Br}bmJu3eM+hd+;?a7ngJj4 z3bqTLHbY5ozvu4kKT}4XXyPR)s;#Zf%bN?mQt*QNZL-+ITr8V%%gbx#6p^)SZQ?me zqtDmMJlh{st6tGl9eo@9n}Y^c|B4?PHfs7Ik}DSlDhL6@K02kNjNT3SY(an=sz$^^X1Bt&|%sEZ%!t zwTIOi!^49Hdf9ff*_t}pj&Dm0$feCGsUqH5MvX{EUm}y^;jy(zyH7;TF*PN&YF{!BM;_HmRj*&gerlzJ}^}4&dB(}$6wnhRD->N0PtDZNm z@{rtL@5C_Pcve(Y^b#W!XIJmxYj2d^Gy8MUO%12S#74SFHQN?r<8D*GZ)>t> zQ?R)(m+_N4y7y1YyoVL;_$zzC%Gz4f5Nzgz)8VF*p`oGY((wLDRKVW(l)v8woND)X z<_Zhpj8%>7FFqqVA9N7$ihD_fuv({e_+?}iYmI#nZ6!{uv~i~}wCPou!|vxb4~J>KzUlz-5~GfCp1rVB2& z%ohEWW_sc-Tj1AwIAQz;^Tyk+1Say!hC^wVW@a!#KAZCve6NLUI-29I=oJ{z(AYRS z#=Ex)_hwkXt!x5Hh9f(=-+$Nc57eS77c1vF1Y6B= zZ1?i5C-Vu3838oZE3i)gRfeSz9CY-OaEy|82y{e#u9r48t0LWY?O^^k8H)E=1jTqq zrYgHbUlF@NM_=D|hbo_1ij?F|=vS?*+eu;%n|I3d^Bur^={A4-1Xcu0->1!fyW$ce z8(~t$u9XiZ{raN$=0jgctCL}Ith#%eN1c7fAEHlf(Ba0Nq-9|i+bt$r_XB2BO}!`L z^x}C8J=R)9hzTA?(23OSE>@{atbBW;5cbaLB+*m1$?qC}KhA?@rFQ$;5BgH}`#_h4 zm9x1b!;i*7IFqO6=0Fz1qP&khHkDkRBg4ks<8)msF7kTDZXblBFN`V@zfGg*p~1_9 z%pPhy#-3x8Iu@-u@5@(OO<|#~-X26o=dn0w<0od>9J?{sJL2A{hH-jek!Z%;g& z>#5$JOzg=5$Fbk$StID~tL^=dp|&&Uk}Ad7t(mhv+H@X2hv%J}eqoaklq*K6W##_D z-9$RV93vyeBHP~rG$HvVG575W9C_`@L)hEqdV0OxdZv;v;;3&x^N1$II?0PEcR90J zR$T5n$WE`G96#CF6;6Y_m6hIXN5S%#K<0^jXyzu*1b{g`@081l8F%_N-qQwkPMpIF z``6~?vb|!kjvHV+g^951GATHhq|aq)~;LZKKh0^~SkgT|2~!HjB=}HSr7F1fSnWGr8$y&sXlWq!mblQMB9G zrVBC@w=}XR8|TRC+?lfZyJr%Rufza$ut}H4CVJd%Awz~v#)L_BGF!IH*wEOgzr|2j z_r{eh_J9a(oT{MJ50KWzu}p&D)!WhBybX2o=lEu;EAj?gJ!e^tjo+ZK-~}`)IO|C& zfb1DYW$)|n;QTnvAHoPj-EWj1^C9|X06rOw+J=k2NiP+F> zH_Mwz|0x-eT_6bub@g^ePP`4R4sY3D+FAW-VG0)Gh(edA*8^W$;(R`=DSUk!g)0}*xi&?>)0!TP(ir@Qhh-rP7Pf6_qk$J{_Kx8o0@=$N}tzca%k6?_&+A4>-x z^=;*v>-f*5DLiX*;X4D7=LZVGx-6N~amwm$#8)sV*Ryuf759&;F{blzX0)(I_r5!; zufv|A9yIDvCH#n86V6-R{Gz1#c_I}4Duu=E?EX&ysdnlR(dlw7^3W$;$|ojw@DB8~ z77lT*co#54B9~EE0$W@1on1DHiS<`~n}FaCaW1K#M5%@br8&(ZIMjuDmo9x%N|kw* zDBnC4(>@hHaHWt<$BAsnQU%9|5)C^3O3f;@xBJlzO2!yrzi{_igiUd`a_B&HFb}e6 zK76>ko8%gF)57vRGlk3<7FjE`>=|=5DGvJ`>YNRvj)*JPU{T-L(PH4ptE;0keSZ*8Cokvm)L$GJ6$Tc+ zT`>|2N+A6BD-s09xv6Hy3fy@UoZ@m@vUBxtaU9PYm`3VI!F`i0@HNDKRe%3Z_jUgE zTb}$e`=ql8ql7|}Ia1_|Gk4T^Tn{8=k$tOYtfl1c>pochb}UDH$fs0glr^eHgA=99 zRe;XGK2t^m>_7lDtKOJhvY*epzYpg5Y`ANSEO%|wv+RDm-UQ5gqp+Lx2V%nPj)Zy#Ln`QPyPE!1$T#9?8r2Ic+4u%xrCSX- z=04`Y&U3J7?mX#BMB}k*YR_opBI-F3;$r#Y(n;F%vlm9Kjmm{ zD-w`J;`MGCqe$Qlzb3ufedmIf%@=2ZzAK$R9yc~+^rfM40!2ahsvSs zWz)RI++lmb6at+%C`ad5I!3hcoBE?Ylpp+|+yjII5 z{i!?p`oO&?6-k~(J#RT$&gT)rSBq72-rkx!c!DptZX)&E2)DmQ$z=g7Pcab*%8N@Q zMw#6-B%_`@u!}{OK*nFCi%kL~|b$o=^}7meoFQ?|cb zdn^qny~-hwv1N0j5S4%NdS!V@Kw1m6{ndoTb)mn6PQ>xGlwak*f=7i>FgasEPL8Pe z`t(`83IGr(-m^JT{_E*<*X_&U=ZOFALhW{9t<8snT58m)!YT(w_l1zfw_s(xF|PwU zxT}=%uNyoatnsVL(8<$PP`rHk@d#s}8euME`sf|3hWGQk-=REKWh*v9s-pB(6)t)nkoO zDI!iL>%SvJhPh@wKPDtG6>#VmLMJ6XU9@?$RK3*zKntSLkFAub4i2vvyezZp#4P@X zCj-F?YuxcZ$cA=zKdir?7k){68c^b_HoJOsz&0*mJB{3Lx`{I-{GG<(n)Kn?&vYfH zn(axF^BfsIZK&vrO^Nab%$isg^4!Uv_#0r5Nvp1ABprn;PO#qB#?Euz{#cW+tOH2W zZ?n&u(R(`0vL)VcT8{A)g!lKBub6H(S3__-JaSi8_oCqafpiL#YgqS4;{njEb?(9ybI?tCY(u*fJZ z^0e$a^UXfwg!RH?A%eQzIwJCaY5^v$m|i1x+DFMGqleivv0AqwA*@}k zqk41yO8E^snP^t_q$;p8LIcnN0f(LH(tb0UxSsBA1(wP6nNC>C z;bQA{DTu^uk_Av1`mnXJgRa5g#s#UY3167uj*G%>;?{cmX`{#R+L)xIB-W}u`O+aa zhEv^t`3rHcv8VB^pWgv(vpszHFw>z370un_QOXv@Y4hSEZySd^!HfI2j}d)wCS z$q_dD4~#W#*y%p;uYg=OCL=QP*Q(r=AOrRrsOT*$-~;Wv{vS!f!cnd+*8@+|vEwsU zaVnvMysSCJ#cP>3lLRS0Zv_Pfi)A=85KLf&%)UOGE2vt8h`qJ7^`~^>4v8)+8pU;7 z?s~{A50~q(BR#Cr4awO3{vTq6XC>EoxT#6oUI{9VdgrApMGeDwht1StdaycJ;r8^) z?^1^WuqK!Mgzw+!QSQEaX*&O-PEevaIWZ$RM8iD3v5& z*}Stc=TtfK7BBLRO?i&6Y+$IT$I4F(&J8d-VR?Fmr7CXN4{L^}WNL~+i}nxJp5c3J zg$qK$ed516>$T(8wI2`On`*4CrpTBWZ;A;%bE$G~wIz6@Vdh)Nd9YYD5V$wDw8WGg zgjh|LQ3gs_l>`g5J{DM*=4*bPMuRP23o8*g}1amF5fU!R}8 za`|%5dB$T*reu9=9b)IX!I5BK>3w&_02seYWDBI1VIV-s(-fgIwA${x$mqOr&xuVL z_4ytsmcG2;ZIP&$e8&j!`wQT8nA)P%Sct_U$ZHC$8tQ6WrrC~Jkb~=pRz`M{t&X^} zF_{|6v0bD@1e={7*GZF`d#!c4kXnGKATMNAXwh!ron0u;AEG;tpnN2dja1IDj6U)) zuk=sT*wMJ&=yRtqW{{n|$#!QMc|ZOxm$vTYbNoxb<_8+8T=E4~f&#Y#7*l_PV?oJ@ zna1ERmMEV}3W=2uVEjyke6a@87?*hwJ}cz7vBfM;@H`aUB6SdRqP*C+@-Lg$92l82 zaNwvQYdi<$saS-Pa7aci`JHIwTQfT3+pLvr4$S)2u~QTng}nFzM~A*^#CpQkE;^T%X#~*()x577i(F=3CUN@iuC@c5#BF5S%FoTNhlI~A zjX@x-Ll&KdNJt6#vG{r*W%TIeI5)DO4-tXNj|5^s6r*Vi60hw0akUbyLLmey+7>jx zF}EaVb3NJ==|PAZ(NaK4c85K$#PQXWNE-&&D&c&slV9bSqM|{d8J8Lk3}I$poLWyR z#4o-5uU0|`sIMX8Ily?LcM_^C>@tL^3M!t$9JY`-%vcDA0NOE%!2^oOwSA*foRfRZ z712es73TI>h)OZ>zn{`0PyIozGuqwqaaLM7gb)!z->k@7QiT$$Yy;^PpBzV7sKBl~ zBYK}#V!VKs35N_r6Z;9BVF-MNb~noFF(?V23VY*B>`^Dzkxq(1!M7fbGV2H;K(qqCeg5^E5F@jQEO60f zbPWC(6@x1i1^(Q1wdgPR-q+SjBgI0>D*bE%2Vdp-!wE(2f3I+xDv}Hi&n+x;dhlR+ zagjm9aS$w0Z=tEQUC%p<5#O;0kuxiqOa5C`O*IDK;h`wzbkY^nuiy)e`v+hO_)~m& zE7)>uDiZA2f&RQSchSn`&1V!O>K00fDm)hY!I9riks4a?s4^<33nuUF>Y|tQ7V6uo zO;Kh#`)F&(Q&7|a#pU~tT1jh``RR&}pS_zfo>xYei4NV)KD2dQW`AC)uR7} z!cC--e30ZW;r#ovv;Wu0))TZvg9anw$h9QyLD1Tms_& zMg9Ez0FH*!0EhZ!qkHY(8E1;`>U>`@0KQ({n!kTW_ZkqM^V|SK94hdfTNQiL7^Xc- zA#B|FZrvGCiPcBr8kWUK70h67zs)V)KQe3r|0fi2n#KXk1>Bh$u!ND~i`0`&YUgOzhe$Pz#I*~z_$@I z`a16}l&QbuXWob*M12z^tr&8>L3HY49noU0eucrjC1Kw^Kms-$Y-q@HMA48FJ;jEG zh6ed>7Y6Lxq05H7?YymsQOXc$;2#rWGKodcl2|+k6avhB)~#1aTBAN3(iqAKl0KVf z3;lqti(-%z19lV8)a3}R_8cq(BvMfaEW)kHJi7%+rtS>*zeJBNG7_J@%NG;em~f*J8z#W7R@w zXO?=QVHxkLB%IhcZ&<|csO+R1ZZjr1C4uoV2}zJQ@aap-rG?W=W08KGd!|wPUbpC0=(h`1s)GkHVsz2ve_#IGR_iC?=9JaY`0HsqOuqyS|AoXXFWJ z_#13vA6(&7PnK|>{xN|wO|H3q+oQ(z5~>3)q@%}~;*|PT5v!dg7A0{i7I?Y-mWV$r zPOVqPNAS!^Fdd%BN4JvhNz0qE$q3 zjul{61&~Tkya-I0*#x?*BxYsUTKxh~ zL;Q-Od=30YNv<%#8u1zVjzQvbeq=Oe+Cd~b(j4S^enwssM`px?jCQtOI7GpOh#5bnjd&)}OAA}_XXIT6nE7pyuSb!uxp-IOhw0pyu#-}sxsC*KaE?)y3#!`X z?D+gxIbj!-j<^oW$}egxNttfuXXL?ogj0eKyGIDzR0G3{j0$r8o6ujNq&$p!W?>_K zIB(Mx;)sWRprs&$R(Kx)s3eHH&=KM()#*EM+zH>+Sc8y&@aR+ zxJqNhw$++Hy#>U$VTXvY!zX0k3#iUY>w#ZjMQ>%>>a=2-b zoqYoMQ4Y-Q6p4qS zmDxdONt6*8D1hmFx6#Be$K27|KV!G>ee-+ZJfgDbXJ*-%^{}(pLADNT5Y*2=&xmwv z*3a?TgqX>3oN+{OvBVXofukul@sDqav9|izGHjHk{C-3G>$Tc>3x4YPr6tCnsyn;8 zyE{7_LX0Sx+iqeLE02sdh*`EC!bTD=Hh%K1u+a4Vy)Umt5vIhg@scMbS+?_L6A}}h zDkkFfp<*~$(g$if6GfcbK1&=!Rn#wBf1SK+;t?adJ%JpXWQu6XO_gZ7-h!cWmoW$y zhaAgVCd7RII;*L*LgXI&sHrF4P%qzLqxNvO7J}}j%7=5IVPPT;{p&yE$Sv*LP=Q9H z9^&-LKO7*UOAzR53Htv;l)QZF1rFjcL!1^e;B)tEZ-62jN3OL+9JXkuc0m<{hi5Zs8 z0|g^3$Zw63aTEv*8#K)m*edvtu=`T=`g>B6Dw>o95{nl){FKEg8XEMk=?~S_#vM)p zy90mz{Ap-t2n{`j<^y1}4>{d-$t@vaVf{H=Q*2yZefY1<_dF;{hV=66O{c}7lG2u~ zwftOrfq@p|h?r{O;vz}D42`{*p*E1XF`OpGd2sd$L&{Zl1dDb67Fou#M%`V}=ui_G zT89k$-e2&dPz~|netjsjKdaX{)TzXDch@@3MU5DQ^eEk#ZLu3r&2Hhn_iu!Q<~m?!+@DrrS9^WK1$O+;v7RP;T9q;w@MK7s=fBGL>$c@9J2Jt$?^U1B zL)k$rx7M)tT>i_II=XuD{(FTO6ulhsv_whJH#M5i;y34jBYfWihiw=5&)Ns01>Y?9(3fi1oJ^)#Qv;ojO3z-()& zwO0oR{weLfJw2P-?EzAwMeL~0LGLD|s{G3b`AZWNaZrBRWtslFZ`#Bj4Ga;mroSrO zvNmQwi9Lm;0i9|h3N_6C5G)!Sy4G#4z2-kOCj0NqfFv>++z6V$Kx!V;~# z!=goNVl_G2e2>z@=<4~eQ1@CLaoGl9u`5t$WgM^}S*VKD?vppZeu^3~_^~bl`L?~U zCX&U_nyu*t9049vgc&49Z?1)gUG&?nQ4m)F^;oe>HfX#=%yBXjC2~wlR^KLu_(?fxz=)?Kr`qbiXKu6# zgUl8zt5T#OEv4att6i1dEW$(~O0tNqGmGmgU#if=>hB5o^x>6`#=-NytF~`U^Q4!~ zjolj|et~GKp+2^YvUdKI#LETIW2^`s zV;W;&7p`^G9iv5C%@l$t3`g1~(?zSP?!S$+DDTA@yYpyx;j*X31ghb5>l=WzS? zb?>*@tDmO6h^Skif;Y$Iu(GMYWmA24(oQ;h!RFW$f`OTQ-dW0_o2hYjzs6 z!cT-Q2^>7jonzx23sN9d395p*GGp?$cpAf4{lMO;wby+adgQSvYh?zM04+?sy@fM^ z0ndgZbLS<&b=?>yjq7?TFsF?J*`6Xh3eO(I3`HkpGa4sUzCP@ef;H1Z)@=M`zX6S6 zc1944#dg#wM{Y*L^vr!eI?dV!KvXU#C+F$8 z1qf!cEvd?Xe+Q5c*~&hYy$nNSxc67xe>Daj!;$Hb01#2t)~KLgFVU}8I}t8W@QJ9B zSB~3isFrdP8y5G{j`x??0ReNvZ^ylCV8ONG45O5hmX_Q4^iO7!)jwf;2aBGy;?!A? zF);5WLjBS!&uFN&wzHtYR@++){p%(TO-E0Uu9jBuy)RFKf`ah)B+p^5g_7Ru*RON< z=r}km)cEZlEC)+N;^fIk9x}e7&e$@ymn%p6FQx&j7%%^xeCw=hp~POt0kG zg^eD*xLTxogiK~br&95PJtI9$LAl;^cN`Nupa zAw=;r`Kqcd^zTOg9i#2YcOVcyD*) z&>y_?+GOiMZNQ=5*3i~d#yzGXsCBp(J&mPB@8(|Mf=$tPALl3qS(-f;MLRZqvkfTr z;<4G7?e-jch!b{&MFFNKE7?0YH+L3lz!?9CG%WcIAi#u4_>)QN%TeEw95as+(+OLn z^tinJ)!hkCJZ7Qkg4FF2g<`+}f>yV_y5to;D!Tcqk-=vkqr~uv-|VKvI4k2e9*Ptt z7}}B4TH1Lwz$4N3;VO@oR7%;*Pa0D%#iP47R}zg}HE>DC{tZbChiao3r5OYnNQu~? zz>(d@%-#2+@1OH2(H03-w$>+6pSLT9bkPxTg9>eH;t2L3hj&2mY4tC5*+^i~nq#@0 zsyB4MKT=nZ%IGV$=JYY!n+Wdt*~EO46NQ>V49-#??d2RB6W-Y(j0>hM$WY_bq8!nUqEM2(W>T zW&=J3)`HHl+zj%bo`FoXBB zlJt%Sv}{Wfx3jf{iY>CNPJI)T22Wr^4elqF$@xX>!55wR@|hRHMMi z^_m9wfo@=HCZ;2rFMkA^zAiip{M${i9J_x~|7FVDi_O7vq@H~yzI)@q{m1sfIkYXG zo{#9mK0FGsq+Ttf(Vg6#?$TAVw0DEnTb5$@s}9#6>MU= zOi<x5lXz#9W}OKrV*|OY7;UtI0_`$^e(Ze9W|?PMn||zPuxMPJ>pBW zRQ?C&>`{Rk>AXitg?xHK1>9r;V*9yHj#?^j4IDC)<+fPy(H)UKsZ3y0U)Op`XltH# zBu5)xKcaVJ(wuLMJL84q*o7$;m@x>&gF2<4jgGMHa0$sfgW)}Tp!|rYXtOseSOozi zv_~UcH7R5p`_VFt^LBg0Ca5Pl*A4eFHMcznWCSw$fO>rV!X9E4R!O%+Xov+TCke+T)@ z<;zh|VugCxy@SVC+J3n|UytVFt^r<)T@b|na})8{?;ks()fSu>vNDK{YBvJO1&8$pyA3PS+dm9e0oF!y}uI7 zDvMUr%IC`YvW?94ecrNwg*{r$PMBSo8mTQo(W&0m?EGXD+jZ}VH<^#X(uO0z1mzRP zo0F4#jy5BMNbX1;9}G5O>D}85BDnW*98UgMoMp>W6km=R4aE_=Bjb8w+`U;Sf>Dw} zOVhj=zPpBqCWB>Zi6&9Cy#@Ax&Ncjh$dmTXt8guwT`s=s4ld$BscQ7b#s<`EyabW~ z_>#r)u}Gw9<8R?mZ9o993?elv5$kH?s6hMB%Q-H(w_}Lnnf+EZG@qXIVaJ!)^*X}M z05E}$Wdjqgm!y2l-@cuk{+V9qwE&fyNC@TLe)eDAs*5qulE!A27*Mbazkq6UdpkQH zpq4LQz55YQE^){!wNGimxKW zr@@y+3&Y77;j0~~p7%)MP#MK0G;`pL5U@ij$n&r23@F-)IpQT|=H^uY=0eV1YV()5 z>EEwZ?^+knG4lbhomfITvqdNE{cU%;9V$1`nrp6c3_@Y8V%-%JZP3+a=xXYg4bFJ} znt1~oec4WEBd01F(*95gy$uj-4ErVD7C6~*Tq1gg zW?~AFa;hQ;Ig6+NA|il0s+EE}6z-C(|Bw;FR}OY@e5MCf0V`BLS(Ty~{8pPd3#%3@ zky3eZi_Vptq)>UKpX8UDJHF+k=Vu-i$3zIwtzb5P^J>oH`Qk7ho0*PGEYvMg(9F!w z`$9FzTlEwZ4UKa&Q0Rl;1GXXOIc|Xg%YH-EgnPb$_GRdQTnB<8^t*oQic>|$iw>oCCj2wI&}i*EifngH_>E5|0ShjX=%Cs6qtC*PHmmITUre2-Cdb! z*n~g4`Bhq)VFvbhHO-MCj2AkF~} zfuHuab#}&N3ImC8JWq~Sg^uP}3}2#~oE2h;Kfl)pgPr1|`4<}r#y)A5E9V0_Vz;SF zv$3>JYUPQpKB6!)sGvikSTzi<)J5BfB@YWZ>w9SBv(@hTZx@S;TM!{uGF%>j!Xy90 zs;l&D{Vm-FtpXW-h@t&_^0}%ly}A>vwkGTLL{>(_8N?Re8>fix5-Pxa6Uex9)Cx}% z^!heCH@FguF9=!))NrW+k6aa?fQ}eCYLN}`t^Ybc6-z6&`wK}9pu*$p&T;3E@W`q3 z*eJ&{pQiigp&2y%)HzH>Mx+8)!;RvNe;_0NCP2Jb{P&Y&i+QEIfC;MZ9BJUW3#31V z?8rqRCEfAavQKKe{Av}`Kd-=nAcyvnevmyJkhg(HPfwhNSW~1hf;(rWNeQiXRB{n` z`r_g|Yi$5P6T1?w9Bz5U6-S>s6a4!2tG6G#6~0^cy}iZT!h6J^M6--yX+5V3ew@Tv zQgI>LR>pv~;kEtIXJQyb+Zs1Uc*%eCG6`?l7i{98z7ufsDa^(hnGI7WgqZN-^U;rP zmL$KnPZYGHMe|>wFcXE!xu~OAXW4fG30zSfOh%eoRok*Kz!BLKO#~tP`KVx`imO$3 z5Y@_f%Zu%Pi|c|_tvS;D#}BvV7`*$2wi(kf%a(mttQi1L{1yp|)^%Z#M)669M-nB0L}#k!Us3Yr*zjc)tD7A4D-`CwxxF#wzR68` zG*f>JvY3>|k2;q=f=(4)3o_OzZw*}ZJ^T@88yKFQUjpl?bigjuVN%dz8_&FkRDGZ< z-Fut468fP#{?G6f!lp*W~6(3D#%_op7aA>V=J~h ze(B%O#>DerpJ^od6^UL8N0KNg3tfkN(z@vxSBPO~ZTQN>cHiTY_>MX+2CYX9)w+i7 z9j_wojx@Hu$4L3{>B}xGqRMZ69EMD38&8AbrJYpYSh| zpwg58qG*KP!Vb1Oo{ad>#~JX8SGK3Om+$WTdx+bg{9Z8@DQ@DkP?A=A2&5#rsev z1+2hR2od20)B;F54S$;cLg(~JqvJ6lF-6TdkjH&ro)ROO0lYFlH7U_kFq>$DtW&7K z2tcl%)S`kEr{`nVfcpp1x~j6Wp!`qh2Xo?m%s-5RW(NQX7RD6dxYL3ecEAb8^Fiu6 z2LP%#P=x7OE!(zQ51zG2RkZSwto|Q`7ip&c%fG)A`fuc|O|_2z^g)ye$&XCCHvm+l z5umy#X$VXp5)YLaxNkP;{O7vb%M*A!Tvr?U!6)tV=NnW|0xnxNJ=`#DmstA_L*cIK z3#_ZcpR~EJ7)dXRT^2uv3Zy?HN*^?`G+f-RQ>}FBiHsn#Kd8)>j{;vaz){& zo*Z5(3JWA(@~VTk>Q@Yh8rB^a{^iO)$&CjurL6&E!S%4%_3wi18SYPDq9&_Y+RY-j zi2q)K;qg^(V`~e!RCw(vc<8tc8K&qU8_=-RwWDKs>y>^I4bcbHC$T~S2Yawl8$S9E zR{NA?0C!d&5Wr9U8h|ofQlk|-KvI8Lq|gVc1x8f?J|;nq7ANdrUgJvb4!E1e!kUzn z#0O0vg$QMbEKgyfVRd6OpzQZMlyyJ}>TY+T|Ap;YK#2?idoJjeCOF_3fjXLZts=jl17ihvNRq&?!fd$hVZR{bv709QoX!V*W|s z*&HMFGYVS0fhS8(u`>}+#4iW>U7qjkdMndgIu&JuE1Kxwt`e^jhrg)BKObLsAU2;h z@@mNm_3YY$S>Ksp?;N9Q?O`EJtpwimur)UI3*FN@Oe`I;1CtGGxp{ez1*-I1GFd2d zadA0K#S0m%H&ZA2Xcy3#_hAjE4&19618@sX^WO5--=nYo^H<|Zf3%!;OkmBX# zy>@M-#_;e(MQtVuwR|i~YiIMqIlCQ+-QVu#FjLo6b~qGbPrH8k-JkGkdu&*KOV-iD%pHTkZ9 zcoE6WAb5u4D^SNj^soxIIw)VK7C8!PkBbyyX#1mO0}&_u#BdIi;IQ`WdPdq&kJBX# zIoxVg=YonNjy!hS|5eVF$3xk@{V8ct)W|;8DHU0=4caJUcv6I9on+raAyJkdS|r;% ziKq}`4@qN&glzQ?WlP2$30Wh?{$BU({k)&|@87?_`OEE|`@%+J+uD7_^d+9U?u!Y{xbp zTUL&2Q`Yzyv$OMWB)5-wQSC;}P=5YXSSSSP{&aYUE+;MmhX@MMi*vJE2XPK*h1U}} zkg?xM9Ht~nGHK)Pv&0%XUuMiE6!N{uSd>yp$mBZI&YQ$pCs@xVfcAHaPj6PY@c<=* zv#tcEco`k6a_1Git-49~n<9f5p8@sze{a0jzc36La@XZ&6B2CbM4Z0ZKuH`%AH<*! z+WW)Er^c&kSAtQHaQ>?owT^eH^K!S8e%j%kPUuU2w}@&}rc0E>O_*+6^JvTP%|x_a zd3tbsd~oACOMLR>?$mn(YBGY;G)HEIvR`nHZrtN5Bf0uDz0g4KFr3wms=c{ZQFK(L z-9Qma@*Xij+a>YI^I_9VtGsf|>RYt${1je7f7&}(?dE(3)gI0g_oS<;R527a`5BtH zQ|m6SP@Wm^nI3o7O-6@5-BMN*4f{xTJ)U5sg=?XsFFBr-Vv|?2%dHjup7@E1bqmswD_}Q!By%#Js-}xDKw0SpZj_WM{ zl;awM0tXXyYBqG08O-mN$B9E0UtI~%|+|v_D2VFK`;L0OUf@eC}$f6 z!!q^8dCjczx$RSd?(U3o+n+l19+=Pjy95>OKgK`=3YpZU-hzsof5t-CcmDI}(Kb#Y zW#=y`PRW5^9gEmAE&wLiBRqwDc1ya3cZw&IJWlH1$vLV_APAAQ`iF*x`FMFb#ZCtN z9{Lx;M1&!+vm1o;GdDN)BCm>+8>$z~>#PM}e)7vtmpG6Dx0Bz9JfIXlwf& zjQGl|7@QEuZ1CXEZSOo$?m6I-utdSvvzL6Cly?Nnv-gj9w8*iG7cMA+83$Bk0E)QD z?h?Y&#tC0}XwSnF9L+fh%=Gp3ZEOZWSV{n?U_trP7}V5fRR-2PyoyMMMVfG;ALeIjRzcO&?VAmWMw5RD5&oCRpv7VB615E zKCC*#=fMr^7|h8IWC4A*9y6W6SKS?-S1=4Y4wX1WVhtQJXDNF2+&Nwf6vgz-$ol*H ziv_VDg-u_z4i5|4g9Rpteg<$rpC8F}E%UUSmZDKBm*ZbCoSdAH%8ow%JIqvgygfI* z`^2e?^z?Iy%K!fAhwh2?ZCQqE+2Au-=City#YNFt!ur>Qtc@5=-LWBhLI-V#?OyO^w8z zh>MMtv3QdOd4t8p#f89YwzjDq*w!ewO_)7Cib}N}rq7xr)&JF-f80f0ROu(CKiI5M0RfOEOTk*%L^_1 zKe4es^cxONPVup^)bw=s-ZFIp;p>zMxSiWz&&rG?@J-*+d^>_d^*FEx&cpyDD+_yR zUD4}3gkWi4kCx{~m27Lae|`^~5|e2$(ZSBn&UIhQq&*~L^Tbg#a0iDI5>gL^8_W1& zDP`YeLVxYR4&RiU2V6V@+Tn80@c?Y|DzO3=`|{<>6_6a&MT-@@tDNxNEvQH&Lik~9 zV)8gK(ZbYJHGnlOdg7*EU^bjq0)_Ld9>J6ZF%U&)hBb@E<>~hA#N*>y@`{@aXg>lS z*BGh|GR2O-d+Ei?^#D`@?vA)$PR;|MWfE&79eT^p*Pndd$0$Y;P}}|a9$x9rQWGZR znz?@$c>YUG!*D{(&d2;zqt%K32M0zgBm$Jy&u<2ke(h+wXP>3}$JzB)e}b3GJr!p^ zyn8SiIyVC1uQO-D6Be#Q07EzAF@H_G77pw9#IV+f#b6xS_`DLrTVST+5=O^G3y#9w znu|jv9FcN|vJjVmA@XRO|0(|-TkDULYxSabUz1p~SareB!~oZ_)O9B9Fvlgt zK4P#~NkV;LzgQB1>OJQ)-_jQ;C#{RNlbE%x(7ky2-q@aY32sZuL4T4d4;3-?7+IN1 zscMqmX<=bS>mI*~TS@#p5$Fy2p?%w!MSb*dPnj`M%~R@R)d)H15yZn_JnRyVwa@r@ zwf4j)=**r8K2n;Rg8F5~^V9(Pv{=$x2@$q$ogdJ|N2TTPVS~)D%=WGDXw2z!@#YTk6pTtHR^Y}1q?BP9h%ePb9(k_6Y42!4^>nW{7i9sXfn z>m#LEDTsOj!#GR}{&ZtKFqhM1eIbY-z>hee%6GDB%Xi9Z{Q2I7g?anf^loCtAX9q# zmXU2*Z2W;J>tq>xOGUQ?m+$<>pmgA^+*d4z^9a<@seAj;f!^oFr8oH*c2eY0X%ZDm z{|hyzU1^rAJo2*KrEu;5MSaOL>XZ_VKs~$qv2@~1;U58nvA|pnK6B;5aB$>x z(S(C|Zp(oPb&FM&F^S&+MK+pGvsUeRv}rPD`LGE~&9sASts~*P&?$G`o5wlINRUDv z618?@Qjp>bjHYEaJm3&ujQd@3ZeH=xNvWquFDaq!W8$~kj@L@Tx5q2Gp6yc+5yIgj z^CfmE#BalB3gqf0i7tC-hrsduiE3BC|vbO$ACw{YgJWxB~tE#LlK)$Q+ zzfM>n5e^d}lb<&_DwXbG>EYs8V8Y<&8_&QMDBeR%U+@_%P4c^&*MHPM2K)Z*+Tgvg zxyhd8KONR|i#$>XyS3{FY46GVbcmFA4_|cd zOskY<7S=$h?QLs|7n2ysVKCGb6}`T`G*8+G*?F3o4Bl(H|5SB-hyl&>vHC)_nTyM) z7G!`Rui_s?rBZ!HTYdl**m{xIZ%#bWv9COkT)RR#mANS_77J0=!jVVd)NtTD-?+4bPIc(BB38}C z#U*dIs|GnjNJYB;2lAHn&z5Zp90FeEZtV8%O4|uX**E40o$O zfbyA@l@(xX+B!OZ4h?+*&FM(^X3+Ap8Xy@(90Ezp%u10LwDRfGCpZA*+>;}Ji<+=t zp_#vNHe8tOnVp?Qnrn$en}cNvTs`2yc{pFG511-|JwU;zr#<8lmMhu3_Iu`o7>xGy z4}p4od;`s*oxFiY*8U%+1Z4o0~y#IMVZ$4!?ptlK~TOKmn>OVUWPhO?DP# zLRAuYSm5>)O0z1##09nez9iTP+esF$pV@lbwO#dbsXt*Nggl?sLx5q;N`%s-I;nC|W znaM%iSZ%{ySv!Jao^$Vt9@GQ;%h1pe*o&#|lGfP}_=BH^Crp<_x~CvnmC3=uAFe+g8@l&VjE=ivQI>2Tz|dG&Z>56K=p)gw1C2C;_(|sfX%N0#REA> z2J8p0OCZUB`MNPbZvL>gYId?p6L_3N6}LCmZ+dI(fE0D?5|)$M=mj>u>b`x|Mdj`7 z?aZa}xfVTxlZ({mc6P2E+|%)}!$2o354kZwGEB;oCr>~P+TltQvJ?nN-M}!!C^3!R zC4*ak#(1a0`)^HHA{!|#Cgv4TZjc3m)`-WrMMMDWdpbEd2wIxmzV3YGigM{z@lPdI z`B3^rGcC9TD~QywS^TQnLUk7`)}~|)F@AohCa07~i0@B{9>ptx#TP|$3791m5Tqcb hteF44i_hz9Gb%!NtL>^G`-{Q+rL9lQKYcmme*lq&rr-bo literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/visualizesinlong.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/3/visualizesinlong.png" new file mode 100755 index 0000000000000000000000000000000000000000..d437701a649960813d9b5324e3feafa148285cd4 GIT binary patch literal 29026 zcmdqJbySvH*FJg^!UhQ?q@)z2Ly&F(DG5PDB$e(^I+ZO*2oEidq@aM(4F)ZuNchlY z(1LWHdF%V`^PTa%-#32e{CV~mdpyHC);-r;bIp0pYtBWuj+P23F+DMYAf#%lO1cPw z=YSx%n7?r0O7x~j5&RIjsv6!!5E=^fe;k{ves~HYyr-tAOgM|jNXkVG;iOtHE?lvxXa6;=4`<)eRG@^o#iRk-0-=N??Y79xZbTIyB_N_S}n6Vpyyo1-tr~< zq_Y61vo^$JNyB^UKH<0bDZ;lOe9x(-JXNywnS+vM=`+pJJ0Glm{4F1RY?HJ<$A|isgCRy;-_X7W!gM{P7u>|e(to88QW^<7m{d3!M zkq++0asI2MhuvH1YU&AU{qu5eM{l%@A6<}4zv?qsH<_G%d??a#+!u3j^acHOJIn>k za8QY1^6(>CVNtNwkUdt#hM#=Q$GA0raz62mY;)~}mcsRr^`^r0YD@pK`3nk+i{a&# z365pgb6z}eDql?H(z>NNc;RqRn18=d$d{U$diQ|5tzzeUT-Z)nTo(FE{LK8)iYjJ; z!fF1?Xi%g?7tOHK#a?GUpHYme|#8 zNLF>Z{vxXIcUSbF^BV)($EV2S5LfQd`4t|hoiV^!RBG3{NJ>|5Rk8gJ9}}yP>E3qY z@_|d))<`*@Vb|m^je!Sm9d^8Yz`2EeM3>HKUeG`PXw>@B^*+}J=xh^Sf z^hO89d!OqtzmDC{pZ3sm!*dEl`p=4XYCC%;)36#v3&NJLFj>vq3$ z(Mb`5E0asvY($8>?0JPVB`{7)&aBSeX1$s7AF^pq_x zEsG?ROrKPa^5pV)d@4!QQWLr<#zW|UhakBs4|qit9dGW>+!{%kn4Q7z$bP{8LZFI( zLo;de4oCAR+QpZahRrmDSGtn&pMDGdk;XU&+kCy7nmKUi>8JxIEhs%+Pi_sbkpS_;{Vik{W)iSnqbRn%ezGptF*`wQJXw%7}f{Uz2Bs0vZVGLvUnTrCk zpRg}imSfx=ScK5?H?UqvPN%o8Jj`B}%3iqX-q%zUFe=_8SbOh=bHOo!2ntcKwHxvW z<-OSYe4kMzu1QGIs-uxlGWuP4&ap$-@t_T#-eb~;J-;lD?t#W`+m^e1c)#X{36R=I z{BXCVG-fU-H`X!#a}r~2I-VrlKkmuXkc{52$mP{Y8r=RUXh}OQSAT2pl@2!%M|ae> z)g6w>*9D?Tt1_EvRK?i?)y>gr(~&2cjOQcf3Tk})#a~~fPjuRrxkR#ic&qVd0hbNQ z$I#wyeJ$fZ49ub(i-bp3*w$%0 z2#LibuZM4mA37@D@8Buf_qCy@8ldCbUM)H%NgF|e&;D|MV414TIxkjK*r>UgmM-g{ z7%I1R^JC4(*G-dt*ZqOO7Pb=t9;Ovf6_TuO-k3{U9b^g^IT}zH6e*IlPOcfOo}72_ ziMj*+yH0^rh^Kswnf=E8{76Yj++5`IK)qr$i8-T;)h3~&{3gFJezC0;y_h7Y=hZi= zowT{rHV2uv7(7xqg;QH9Z0v$z6c({Y;}A9l>$cis)y)jwtCQ8vb#gO)LfU0V49$F3 z3Vi0>XFDf-hIrM6vL@+jXRk@QDGXlZb5c*T7+u}qU^;mt$UUlu5SfZo#n9T!?wq&c z;K%noTRqa3m&`;;ca+5Z)k2G2+33CaOWwgD_41aZo3rKnioG0rRXH5p2lkJ4nx$l0 zXb|Kri^84s$HOt#EA!94oP9JS6S%)>r2aSZCzvalZ(V*)WltaRN-I5hS`iF z_rjJ`XUsGwAI}~e;UO&H`14!z5g)$<<%ROf6gLSad`S|>@3>gq7G=V%w1TzJ=GNGv z&8I$p(mPuc$v%Z)x%i=d=lq~o?^Tbhn`vxZ*Q_fKJS?}w#^g4|qn$2VSdJ3oTN-LT zO1Qmo7@#3=rFyKT&|i321POLi0TW>8iMj6ny*_CE)8zW)yuc6M{1?w-9U|%W-`G1? zjS7)uh(F!%^WCF49}?~qILTOALW$@^p&Cj)JWu__jV&dR$(s#pymaTShx5pt_GdLB zMu9EoPJcHvTl8I>RP(IFL1v@D%suJkbOQ*P^)!XUg(|+TZVj9$FMoG?c>0Rbd)m!v zYaS|fYNdVWm3gzMv0Rx@lI%xfK z!_uGgGS}1};UiHr=pMWxr`y$I-A^vN;(bqhb8_y^cgY|SioZles!69iS`=Z?XiR6R zqZzg8YED@fmD$nc@)0r1tCx*jyXZ0s(m!S04J$73sJB0>#V9u5e>q&pY2R=BOvPgA zWn#5+O1Oxt&oeMF&f{D*tXRC{&KFa=r7ymB4s%|-C}M#xaSo@@q0#~Hh@R@WuZ_YeP1 z5{%R6PZo!tLX8)g7bpDIuS~hi(LSaF9tTCsJbQj&cXNYaxzf);%hntV$N&d5%y}?^ zohS5r;@w3um2FYU(?%7HhnFpHg^20}BFOpkusic%xV4KS8yBm)9fNGY?5G-DO(OAd z@RYpFhD-^eTPmHo=>D2pN3QiuChN?3r;8GT?@O>;f2$($1?V>*yu3Pes>t*X`2BJ; zwi*}5Fp0#%)xmR}9ewHo`V@1E)u(Xn!{t+JvDLIRCdDE~|GuT&MR(C|?UM^#EL}@3 zUwc5ZeeW4o3PjKzEItiq?c#=x;ZNq70J8$Vc8`DGlQh-BP>z|(pB7pOT6))^D&MtZ zOH2H)(k4bL{bQ!gI~B3r!>&87mqu2373kj@)PKLf8(MZ{QU|^uFtzA9&pz)jIZH3m8nsi zZ+w53$bGchNkl~C*SFp@F_($%M4p(l5<=^XeOYqHa>w6~8^0zQrPuf${mPoGaWQjF zigBa3yTtwdO*Nq3pHO}KOp3R4JIuZiB z`>oS&!xk48V;H4G-x%E}Gd~iAB+Os^&Wmv{wF`s8N4OLZD&CSgP1qGk#v~=v9oDSEMTl|0ZimiTmWzku) zG~TdXcDz@1e9(97zrVHoA%3cvZ?kYjJ*j-$B zvLL2L%tb{tn}W1PHXgXGKBb@gkRiohVpwruGq1SVzAKKsq@<)HhM9StkC5yv=HB+W zS7GM+OqquIdP%03EV&@ro<(J{$E6}twfB+?15MV4`8*;@;;8fo;u7QaaS@DwbOH8o z^l5(BjvW}w{=!`-lBPC`Alhr{50>WV!#id_7iwn-KAmLtfvM5UDg=HL&Pust*MGN9 zCb{_9@f4w)xaZQBa;u)w`h{Z0GCB#7g`uTp*4Vg-7kYl|!-ELY*v><=KGPOCK0aPy z)5rAFf975I2ZJ#G;Yz#Drry&J?fFKir-? zt_j+&F);P{+H$;o-0V92CN`)8atj=7X}>Skn4LpOAKj;zoNIihyr0jm>wmy>f(O)H z&08a6dKKU)fB(_BI%5HZ#fBiL+%Ls|VvgFt_~P7M8`YgD%lR)LIeE~|TLJ&+lkkzC z#l~-QUGZkS-3HBjgJsPJ>pks{hN$a34gV56IVYtX=qZypB1%k=W_P~+y~V?0R8%*_0r6?7DHyc6?w z+LimxhjfWw43j(U`>QR-2fzAke7an<1--k597$;@<{fQ>*(i`HQd2by<%O$HBs~@y zR-2`!d~9qA^Xl$?CkyPsR@lhxOobS^Geh-Vn-Zs1Tg71tosJS{Xbb;<@fv(F; za1y{09gGrphTnMSXn&QQ_V9=CHF4Nvugi`*RbKlyvE|zd;XF57N zYaPpe@Ny+k%X#v+UZi0X(^q>0W@g&kU1dCfyJH0sg0g7;^6 zDG`i?=~3^*dI2ICV&X%UoQo8CU{ckU&#&8v%p1oR9Ao1lGQ%Vk!zh$~ixZ=1S# zv!r)QQ=jErQ?6*fj3Dk0@QEyQp0fH(-)3PMW!lmo6y9r(f=t$H@Na7C_u@#lIELl^ z)jTtA@q-fVS%7g=Viatcrvv7lr(JqQ{qy~po@5=IAUubIv^|8OoI#x5Pq9<5xf=Ex zRpfq}Hl==%)^;Bv?i%nt$vlIpjASt%Q+xk?#X>M*$dL>pO9VL)1#Jjyru`VYHmr>kXzyB%H120IcT}a4kgZhnA-L4MWJ4%9BYEP9-)~ zbLl76-~ixdIHoFUp_EtFb2ktUp_p`N_-5^A|UNF%Cj?3iQTUG_*9`V1I7&ZhTN1 zI%YPE`9iJAdU%M8?%e*eRiVlC__yo;VkJ~D@be6$ zVzOZLQdG!0JL(}CHta#4OuM4oeiH+@b?!x|lOq8?Hz)9=hX9$o2$CxnmD2angHCJj zok5j$pz;9Uy{AaY{>PBxRyhuG;yymnT>9PVr=iZhOzr|wFId|V9ApaYZz}Y(Q3Xs* ztPBlsL#@gGx*P|nZ@-t;r3k_cI$|R%gR#&ZhiXGvi3G`0Y8s>nOCPX-+o+ri#dgm! zKh-2N8nKs3;v;jUF&8>ZOH0A4Moskprq(j@H(MJ3Cq4Zbd1s!7dwGoj!kZ^!>G9~U> z3(}I{TfE(XX>f{SAcI#^3Dq01EXckrAv z6`f@Tab_dPtbS1YOd`)v{_UVXbRBUdmUbgBiqX!J4S4f+V9=c1=K{MY_}I^%?*g(Ib#Bn?=K)f)#3f+S z!EAqoQd`<>eXeWl1)b@otFY(x%l&#Vl>-PeraQBR>pu72kO^T+8@7<2kFV+YXrHfV zFIR3a7wqNN_rBwu4!NV($A_(wCuEWRpe*F>#QZy4+*B&w&s}#o>2dl_tebkyzC}EUlZeGA?6om`gVuM#I4lMMx)>X z#V^`{>+ul$Y4-rJ(akpTiKK_(Beib;t}Q$-OS-i4mxX~ra$bLb|7(}JwRQ$}&2(|M zPdUo-eHrnw=e1+;+qs)Wq&|uv{k&O@NoE{s%ZX#Uc!+Bxz@@*5C=0YQ_Es8J$-F19 zST4JjvAU2+_g;}q3_~_{9VN*XR*tbO%(QyF0y;jB~zL%}mFY>ER?oVv%nk~=I z?|u(DvQgu?@uIW0eA+{CJat?QTj-O>rg7u?HJTv; zn~t3#98wwp=z)iOn?=I_J0$G-=WF)fz~%#}-MG=O0qSO!J>Kox-q=ve{WLT*1klW{ zvz#469dfH8BPkWoXIE0{p62a`cHQ7Rqrz6&k_NEYI=9*OWV1l*?$?1LJu$~Gt{seC zd8Qu+2Sr>ar1L$N%o@Gd<_og|k9NOKsof04vW$ zqZ|8lyEDa;dF!HR5@emvg%O_Z3J z7~WK95`B27CQ>72jF(N}IMu zlq1hrT{^LW&V0Fg^c$>K>ds%5ZP`PPZw=_($&|LVww~q_AQ%SIs~GvG^aH8mIx=s6 zCaQP!l&37=A)TZYY&tr7HP+h=`33n}6nO1`T;w0%6Ke``w#Z?PEJg!r1}>Gw%^4>x zui)Y!$}#vvRN3Z&heJ!MhsNPO;C<_$Y(%Vc43T21e3U1VGZ+1w6Ps$b;HFEj)`}HJ zcY4#(vz%&2FPgs)&O30!9C#;&$sq2!1Txm=YWtA8j2wYfw{s=;Z8zJ6Fue6k1vk&PjA7HZ6Pou4Zs?~s1G}t%yMz5 zOO#tOQ~%szEPUP$x4h>bUa)DcmDznP?-(=612Z6kFGj%^4_!Jg_c7n=#tb>$vPY0v zbiASoKvhQ>Fm0(|gAHAxv-o`f>nE;W^0^mTzEnp!& z|AZJK)9esS-{cy3l)-NHelPQxIcFi4S*Wo^c13=(`1 zpdphvZG;ku(a|#!qv|EtM$TnKh)%02CRprA!RF5PgWJnRyuVbesv`0PgXD(OemP z{4`ZRuCkJA&pIrlc4{jJK~6x~(pxJpuyo=@yk%d^Vt3ZVG%iKl-}O%Ej-QEpJ@psKxT{&l)IbmCV5;Rp!%=f~z(*_S0)UcY3xY{LofUxB^gonR=7 zwXHLYGodDDv??b@Sn!xMF_0F?$jCsM&^3OVhcqcjYdNu+b+K7g%I$uWBht$0!>`~x zT3u35U_H_30~wW3o%`3=^K=6y6OgMx;@rXH$LrUF=iX%|^H%x@!`8qJ26YEUH&19x zWEqBN4R3)%CJ1>g&*HlCb9!%Y4{*ohZ%yD`0_I{>%9}2;0Cl=8Y1J8@WgqV(j3q<_ z>A^<>>Cxc1TwGM-v(`pqP-FyK!YLa`+5#Xjj)Ro*f8bGeo8-XdLK63tn&*b4S9mbPgRfb7Iq$g?=`-B4KwbP@ zibU(J_wm@-*&)Dd2YK%=*Jwz3|D5{E#ecPF`@w5-6O(Zhukpljc3fvcOC^8p;u?}J zOJ<~%-G^OaYh&Zx?AkmqBu_rB#z;GjTr%NS2wXIp1S;gYsPotpcx&aNm3ld8QDd+4 zU_1I=XJZ_TfNA5G@o^cyttFg34z2Vb{vNer&XdiDXr8O5E#oonF>D3G`jODFK4cS- zJxjjgQb|dZ!^h|>K#X8o)PX9D_TQNfizA?7(bKMPXaF+hl|@Hp;NHC7YSS=w>4U1W za$7J5lstPk(h!Ny*`b)rNkvmjqQ9;i)z%Hb3N9<-$TQI$kj`K5hf@0QUVyF@D@geb zjNRHWeHnE#A@TWn0F}d-e1GgMmL03s)-A~$KBHStsIC@OTl4l`ooJ$ae2$R#vW|jU5?8OYuyz$B&vb%h2yL8!{r|vbL^_SeH6I_Y zl6wbE!5R{h`EONZ1?AO5ru><0`T1p=sKvuO#_5wkT`fTUeXz z_`GkowLHpU_aU8C_PjyKtxcMsHJW+tXhx~6vAcaJOR){Vo5N;V%O~*aXs%f%SsNBS zV0ZdfB-&$OUzH`=!_m;h4;9umod?Fv{<|>kdO#Z-S^oV#{r^w;qa4T&wfb+V4rh38 z3QkEi9_=mm$!w32ijBE`&+z)@h?VDO@4yJL{UC|A=X##7bEbX8bu~I* zo`Ve}?auZ||9TI+jG6Cz{@`7rGtkdq>?UavIcYQLXC z1Y4;;WGiV)fQJ*B%>V(4Z z*xkR7Q$!T4uIN4)Fba)&CkkbKG^bU1fG>z!nAI+1l_I2FMVI0B{IGH`a0F}=ZKA*z zGH270)AXei8tGR6)k#OAS3-I)GntCWPiYFa zU=3QG&`YRoqRuur8DunmaJTDeUONF@##-pxi*9|ah&LqEt@+AS*N%nQw$J|SsZ_nt z%Ey*;LbWZ|P_da%DY%P1W2#wyF?M5+DLXb*B==4?gwpA3wrendf%7oklIwK33p|Sb zfU23$Io*Q5$8rt?r(67U1%m@GBOrz)+h79Yk#9<#s$)DG%oYtZ{ZM}e9E8D9yaMb$ zI86;9$=RbC3(px`J`rS!3qF~0(TZN^cO95rLd?o&xljUXITH%-5}o3e^-FUBPFs29 z*Xhb%v9F-RNdTO{yt+;&bg(IR8lcWk2!Fv*qz0iR9pa=!NG5Y)LbrL`*l6-6I~4@4XlB@8|bOlAMHhmdlSi*Qt*k+>z4h$ zZ_rZOyodqhwYT|Dok#JCk>r>uJ;GuH#@5cJAL`h+_;^EzP0R{dKUCY#=kB=~erjn^ ziSsE2cYOt9N8w-VO_1<=%NL_iM=F>zrzuD{Yi>@ro?%HBhck=lB%tFd_|o;8y2Y>+ zlF$XjKXyboFaHyB>6-EZQa3b6X)NMI$QP5$k0oSqD+)pQi-`G7F<0$0YHx4}qREPO(B9`*RW>n=6U33MZ|lCl{PZQwG_-O=do=vy zN+~HK*!;i6VbbkArE_k>CSJ>9brl5#7pRD#?og1IH-~bm^&X4vb&lCON>rb8tW*KY zWp{dWDpXfjuZ&bZFDUrdMq_3SaA2g$;e4#Bfx&PnDMP4V&rpd`(00S5%*IE>KH2@H zrtOLSs9_0v?RXE1jC>6PVxurz|qI+C@eSLYg zZgy~fGHXdkOJSu@9_kPP;kSnwP*_3%OPUGzguP&KaR}q2SuDxChUJdfavXBddX{=U zLp9Ib6s^@ zqxN#B?MEnvkDly|1zty|KN#0?p^KAH<_Ky`2Oqqeh3)$Dm=C51ll|TP9mg35Z{2@G zbNyqSRabd0fhPCeZp^2&g*UG#zlFq)n=&HC(P;V)(aoog+JIztEQLo_+>mT5KI^~| zQqp)1?ZB0~?`U;IqdBwjF9Bh2_k4!8b1#;ERXc(E`cM-FOBc$6W&(U2ZUhSW%(Z4@H15KMOCfZD?fsI52PzN@~YFEeAb7 zt*kBaQ*oZrqU%p$6s3P0W}sp3-Czi@AUJ30KQjW;6Pr^Z(azkUbiy1eDln!?O`_wF@rjW`I~e0Wh@tS%!By9%r@y=9`>Db32T zzzzU#FP4x{(0ATS_2K2X_j*oOY|@2bDw1XQ`c?mr(*E@ypK^h$z}9;_&x7xb1sxya zHIHT;VzWwaRdFXT5)xl4=4!aTW>N3+)a}RPsiOk<@!U(`f)qf~gpK#YeU4+bYx&8h z5UG>dG&3YU{zPe}^){F0-ETnMl->UJSSq&@CyIA4Vf=?5H!bn}udI1K#fSp%I>rX# zArvxSm#`IKWQ?goR_a@+KY6&hpM1j;=QXS<7O_;KIJOU*#xcCHQBV(G4lUbwx2Nn} zMuzZb0U023k4)$*)ND*DZDW&d#Bu5pBuTM9{JM|!EKqF#?16Lv@(-Qt#wRQ|b2rWO zw(ckv*xhSqAnRZ|;d$20&khOhLF0!9sBm#@H5}ERI7A6!)kN0E+9uxjoK>f-M%d_cyx%>YEGoKIs=WeL24m;DxD-Rv&@ey@{h#vD z5EH0Leviuwr+K24twNVXWtyNbCY$^h1_= zCk>VJ2m+2PAPrsUrGjwwuaLbm0&NU11N2V6x3`BcU0jzeg6V?*GnT-uIMR%_HOw4u znQ1R9ISW%@$%4pV%LPMEcqQwFlB~F(Kx`LqOska^olvnYjPfUrt)C&H2<{=FgXHNU zwN@f4EEg)p1e8Hs%@!i)N4?BfLw_&U9Mox$ZO{Ni^G?^qXUt>tJ96-yxreOXm{kDL z!P3gGzBB|0thr)?YQMh-ip6bTlXs38D% zqK&^k9SK}rNlv?-mtHg(#C@XMZeh%Yv3;N}#j={$jk*2saWO-Nkfm!-d`9i5=!*;# z$QW=9n3$_6p;54qnb(Jm{=gIC-^_>~Rs(k!2igfk+6j%5*ms`YaECUR%{Pb|I3EvhMrBB8u% zN5?RUV1M}sXK(xyIsYa)JmS|(6V-$YQy6Mo&0KDNIJ=AgjbB#@^!q2Ob$rFir1%}x zZW&Ju?O^wNZI}UHrxcF7dA0bS5RxlNBvWuFe!NE&^LFp&TXJ?%PWgh&dtP2K2cGNa z1fwBnu{)HlwzX{^W^680e3Nn_4YRny|WrH~&j!%O3o~-MKrO zyLi3&XOn^&m@JS1@};LkLOnJI4KyTu*5`u117Q-UuAyOalXB|zZ+uA~pe-_HLfKUG z0f-~iAB}+mhW-=N?aWL3v$7eB`ReqzXC>LP84dWx@Nn0ghbJc?BH-jQZ~sX zf0hEGUh-?@QdCEGQ=JMth}O`ZCYxn8^Kx@%A)`uz8j`+#qTeEJV-Jd^^e3vfdB}@u zNa()2ez=KXq`*7|hKBfWzBC8?Sw@GIote*aa88iWy-ph5cK&iU+^L)+v3GBQd|Ucvo1^NVO0+#D*L}HanEzH~XHSUY z{l+-c&;&d6e>^mc80>!H7}mFTMd5pyw+@Poa6MwwA(uqa#T)_n69xAbiApQ6Bst#C zl^ltvO{Rmp^-<^DXwcsCj{ib&;ffO->{7dIcI*&cMvCB?8DH=S>olr^GL6Q@Fzw?{QgWD;QzkL+C z>pCeQn%()BO`ulon7c9w(JyzI6nz8Dh}v2))0G1^zZ&UUbwZl?@5{<>66`u(T0Jl2 za_z`PZO0JuJsq~a6$#$slxrRqt9G4N;8{UvOh+QZ%#P-Q*Xkd43C&4?M=?JDr%pv( zNK&*esvp4StCzv{&!g%KnTokb%HInd|GA`D&NaH7W3tJFiVDnT@SXVj@2>K6LeL1? z!-E%m2i&*L-*KAQW-*Wv4C_z6EguWvjPr7lADMm&#PL6FUCT#D{t(r zuX~?)9ZlKVPr!OyT;x%lV7z96}Mag5x zD5hx(A;?<%z9wrfeSnojowuPMn&LoHA|jnvM&e^;R8Ha}j?Pf%>nD8d@3<8I$q3j# z_^+ap36Y4GCN*R{c`)e_ci{3nycb9(v(z|^-q6z%cKJ=}mKfKqcgAWM$LyOOZ<@u( z9eG<>ef`sxvp=sLBuNoIXI+Gpvr3p7m#;=EyA;P$jZLbepPVVduX7%+hbmWJx`Z$- z2?6f?w_)VN!^6x{o{qJ{92DUVEAQytshR1rwJAhYxWVmP_S3Xj$-ppdoY zhy!pQ$`qtP;szZw<5dm@nwq_l0?oDVU%RUuhOeB91XO?1qs2n#jS3Z^ONpn?u{e$lCE$msRdYQ{Z{JZ})Q zs2r?I#Rt4$RRjNbWt0Im?@Rw@xg}MlC0+SKQ)`F^>H>0a{4Q(1C6`P1Q)cc~h=Dc% zftFtxt5;Jm%@fM)yk<8uM(fvIpK?`Hs%{{4ce*!vm^YP6_VY^M>)*-(&p4%gEz6t+ z&Sx$IO)PKxO|*{Y_uE0o+Z!A;P!h;fix2gGC2ZjDT7GtkLvFVVcu1(s!)f ze;J?CoPQ~t$c-5JHG7|M@tlzbY}%?`uQ=fKMA3+zQvMg^T+l&Bko3XNxAWSWKHpM6 zF{;0VFO3xG#r*vI^Fe(ancg`?MMW=O@KS{PAIvf{4{t&RgY|r#jw-8z)BC{YDDc5i zS59B(giKC$?H#nGU40^Wdtsnx75Y4bX}ml=B?V|#8a%wbf|kL4z6u2SK+Y+*zf>Wc zCBWc7FqK0fqC{OqDs@(OE)7*+@^zSOF7x3Jv=aZij|N)cAP;7e3zTlkvYu=Xh(k|9 zjd}S25zE&DyhRsKHz=L#$V(gC06q%m#EXWRExFE@uzg$Ez4K{|`u}q@*I$_a-4Q4C z{a_PFAl@D!&AR|2->GCjl86s*RQwRU2I--zu)zS*`ZD4rfkgVq$jFJ4XOm4%E!KbTUKfZM zQvczxdG!jY$(vtZtiN;_j6M{Mo@+kECKFrhHmf1f1T|{`wN&UK1Y12LU@AQqISKu* z+mk_NZ{IU`U5Ulxq(@QFwe$33-Ib7%Ja>`>cNxrTGEJhskpSp}N%Ed;cLEpI;Z51I zXXdHoI(nYU7sa>NFg0}lLR_ip#8;r$dB2paSIan`c?zfi}5Y>HQuPOII2 z#0Fq+sU~oCJr#PBeJ(2Cwz{HwbyP>3EmwHr=P#Q&psNWWoswsU2Dm)i5JyGSc_yK5 zzaC*}fh;dOYokl{ijDtTbJj&*-3TBgwaS06>wIRGl)|fcYq@aTlnR+U4T%rQ+|{jE zsz;qaqQn~a94$1)e%DC=Q?#B+2eS6*Gc!P#TPtR08bpOY&nki~+|GR4?ivMIF$TPR z@Y56_DCf&7!Gq;nXnFuCB@&vHx-@H?qzQV~1&1yOsy7R?DJO?us)~-T3NiDUS?re! zrO$At9#!8no*ewT#cd1`B{(*(W`H)sEqD1bnjJ^tPL;bZz3U1)`WDpkEBejtn&BBT z5XAWMLiv)s(w`Ad9d;dowQ+;xDY2uX%a>sGAju#qB^h^Z3#8anhHx%yjeJsM(k`9~4%R?>SA~E1Xrwz-bCE0T zPreiYk0!QVf?PT(qoJ2fWgDD0tduF@h4$o89(Q!&SK2nl;gAd)*QsleTv!Akn;aUJhQO!Ij7U4xT(xUIIz-7Qa*QktY(4m`mQO;KJKAy=xJI(uf^4gQlb}!?lP|rQw0n>wuM{_6O2>Vi-m~Zi z@C*?;mEL#@>YY!2CVBsS_!zo>m?+3JBKFwTUWJ-xsA$Ve!lGbs-Wn{(9mzleDzOIZ zau|vi8_a=^TmHgT6&LiOLP?T``R%>6qz zjCe+?IT9ZZC8!BeZcdzKl|_C(x1)ak>!j-~`y7T*%jJ9$A}WraI4d<`X;UsU0Jd{g}0)JW}J{WN!fTTK_V_GFddmT$2 zbP@15#m%`KGo9!}H-T}1C7pXmZrt?=Ywf`EXm3Fd5=A(=GTL$kFi4(&qs-IK(C2T| zw)$W=`&XZJbL+2wrAH{Su-F{$#goFBnRN~(DDFB7@WjA|HNX16qXH1HrbVl`&Q8v! z=0j+o?;d4S_Mi0d(f2`*{94>e(iY{I{PDNyeq`@f%K@AKb@jW3@FzQQd=Cq9PxMgC z*9#`$np-bkhyGBcom*GVU^x+LW@b*YQaGQ(g5lEYP-9UvTgb zltU>7szm6(4kanfzp&?O9OK`C3B6joXmYK@*y4wZUK6+4B^ zi>B;TM1mNGgkncRrFC!WKzwm=F+c*KJs|q}&ut$ae3uK_{hDm>;$|$A);ayHcP%Rp zB%2Hi!DVnRX=`y1U}Ai?%a#jk*jK9x5eZ2c?LQG2D&DgICuv9s78e#)>K99w_)p7U zdo<9epYwjcCt9>K4*HH+v?Y%3f8QpQEa1q}2|mjRWHz(`dP_r*V1tNq?=Tica~kZO z&}L|4VB#?d-QpDuN=VxqXx?~k^DLhqt4q1mU`->>XtxS!gs^=$|R2bG%ba;~XGD7|?#5hSL1z0%hj=&qx#%JUft@!6C{0VGv!rG1LEx-$_vp7M0xp z1sDEvG9sYb5!*j8(F}G1m49(hkXkTM z%zbZros?9Fq{e{I`-v5MAG^xA=`TcZSab&8vOPjj0VuNVaE?scpO(=320i})aQ=p{ zv9_Y(v(M|PRg2N;yw#xNeY{L&aaXk8AnS0+CJS0F+>AwoXJ)T%y&B0+lGN|OJ)QBy z>Unh%M2|Fk2X-#1a+gw~d}5478rwrEcPIUa1u#9db|MhC78#NyU|jnX8fRq!54?Tl zdcgwNNDVcOtLz8O4%*D}z7zj7mpGBPq6Y*hd1RDGH} zjEcmKg~Bz4l37#lwYmACQCHaD#od`4B!#H#>aW;J|6O;r(Ha+RnfV_-yn%7C{*Z16 zY?80{@A}6FwOi25m~qH=t5UW9U;PLZo}*3@$CL=CbQjL-{4A?x=sv=)cKDoz1mIFU zrGEmi{FQe>!&a?|MCH}hp06LAfNl;`XyeI*zdf)#vY9UsFb^fU#o;pTrh@0spThwV z86DhKS~x?fz97b=I|F7ryfkq#=Q3-Gbqf(3!DnkZC@m>Ld^i=D+axbF<53QzYPE5x|4UU+Kr;Di{&4QgoKPii^k@>jFk=78rEHU0;Wg!lDuvAHdd>veZMibdimV zUSE7^p+ESX)hO{pHoHD1y3oNu$&vopgZ}<2Y6VyI3XGof*h0waJPn-3TmmZtn=)xn z#11>ijEx;>5!F-+p!D~5D@3}8I(wiUA$V+_N3q(VIA2S*)urnawuhkI%>LN7k4Y^= z<&j?S*^?0I=dQcA*5-ZFS5JTwfTzLaXl;@PYl8T=4)LKOwAcb-f++gQqGp`grT}cx z?d@eNm0bQ(Qck81*qMpLMfiIUllWQnSJVP`x#XjPsX^TNe!LI8?k+Hz#3-2C<*O$C z-YKm0ro{em=*4?D<&BzDEFDnKK=Fg(&Yr$s) zKvGwQNlRumID}DFX%+Uk-E#2nY1!7VMEI7YLQ$2tbJNXb{7!LHx{q+@zQ78!G6q6Ff=Ey1}7#v5OpZ@&Lq zb;@1*xcl$RAC9W}RUYve2G5bbT|)&O29%)VYv$>f&nIR6cRV#!&x|~q;H|2vuERz1 z@^`dO^QsK26P#va&~r6ea^ej7_f2Yxp8AGP^Cb4SD|ScFp8*+2Zr)g*Oi(ooe-(66 z^hBo{tR^Bx*WBN{hMD)n2I>XM`C(h1i3`8%-zsycX&&4j+|!%^3DD<~cc0s!+S)3o z))DLk-RDcJeY&K#KYU1UTl-c}3Si|_^J_klt|rItS4f?u@I&Jz=T9%4eDq)M2l9!8 z3$@+o!uE*1gCSn(Qturd4j&R@qE7Xp*~#3)<|KMGm#q)91~*Ab$2|Wc=?#yu+aHEj z;%5>ih+&J`KM%4R0mFJ>zZ@D-NCXFtJX#oRX<0L&sDO0R9Nw}gSh5lxBUDY^BQHK~ zY1)s8E|%Utapd&ZsY;!{vT+2&q)3hNKmFus)zsgbDtdZdL0&^i-P*YNFO4&gM)VZY z>FkSj$lvg>-Fk@C2~@g&-#0X|u@V)Y{%Se0Yc03BFHIJ9qlHXT9&eIV)$`~4xvLl@CAW7$c?7Sk9ItIS zJ({ajJcmC})@yxS)k=UVVf6oUxiL~uf#P)AX;zW8beVVCEH9HtyINS|svL#H8)O=T zYR3&rYSaA5zq~G7yof$XbL^~Id9CMLrJ|6K;nsMJ0N+EFXTz7tKfVmn9=3SEb}mBT zvVFvPmxNG90e77TnDVRa3N!y zo86{E6;>9JFMdWUDIecJx-|89^9f7%qnT(->YZMv+$7S6pUJwiaTkYimhNvZI&!$k zw-ZpZoxUbbTu(|A#5an2692W_GKS$?m-xBCvJkS5!HoWQ!ieG?acZ6L*m5f*(&8EL z!D2uj5|t7&hZb2J#L^((BTgziPb-=1t2d}ng%3il@FEsf?zH{-Xb*y=?PIRlZaS!Z z>{V_MW|3V-G#!8Ipe*|~HrRN*<;1q786U$GsDgNtvGizYfO`gq-t%WT-38TiIXl!N z*p7?~2|M=Kr@aAeYFX?Q9wPBX5i>zGH4)yyp;9asp{RJJ;VF0rdiF?NP7QXG0wIdS zZIfI6=={A>QC+_LtZX1baQ+S(`NtoT=))5yG>dTNX5bG4oQNR0fE?L{U5J-mJij1m ze>h@&@`YJXsc%uv(D7NO>`x&IlzAPqYraj_n%*sLR##Tu`{euR(a34to*QGD_AzT8 z6+|N5e3MPksobj)`Qb`J{_!2&TlUVJoE$E_f+#qa`~JyA=slH#nv;l`E}YzjmY8d~ zR~|FTNOEu#zI-W2u*i0f*zyAt#TO=Bw?ozERy`Q(SQv#4!=?jMb~t6bI)=xfbnNAA zMPJ|gLHnwkywFnG+0!Exuy;>N01JqsKVPF|_gmW6p5!uUK@bt~fWy#G>$&=V=&Dj4 zu_Jj=Z5m9~`pMz<@?PsNj?H(mW2e-{Gpc#c*9MZd>YjC73?sDNu*k+YG&HPpnQR8R z(e6bMI%uJ&@GMza$E;zKuZNMqHL9-SpY8BQI; zF$CzS2;YeVY*$lR`2zZu%vu5$65+&<+}@8|uW^s$(dFpZso{@sA4W+gkZ)JlM`sE2{mK$#->$GH+b^N`1&hdT-QKD+B*lai9)Kzo?+>frO_y~1gMYl{P1 zTwL(?Z+vIMIVJ#sD~6FlnJ@tOJ~%BCNCGQLb*=N6V$qyEmpc5-1aO#1y z;Vw}zF#+hUhvruMW0$jZ1$U3wl`OrdRqU7A9*cfKzT7s*mOrI)i`W%D#51xgz0kUi z6uikjB23Zm94C_@`}i|nMyGt@?&=hT!2248sD;Ad)7(U@_Y zeC?DECBc$4P~VvQzV9oPcJ*1Q^LL}u^L@^%I)|yGEVEZ|FLVO0PD4fYx3QYKI{hWv zk2Gffr`P9B((pgi{_9v4dhkp@w*ykK_v7N@KV6wld&FryaI1Q2y7>Pp@5;ZaeBbpp zROTtlkg!FH5;D)(=ExSxJZ(hAWRp2EWG0m%V~R~8^GqTdC?c81$P_|m&i(qF?^@sU zJ!_qR;8^|8;@$gwp67jr>%Q*mzTWR8?DuFW1sHM&N#`lhr)Wk^BqDisgOmBp+Jzm( zP<$7ti_H0d^Nt4hNEH(01ZL)97kI|x+CiZ^!r}PqYZi+4TDmVV199JDLHDeX+{7b;MuXUZBV_sJr9`-A>P_eI z##ed2K|TQyazT0Jmn5Ngi+GnG#aVCOxb^1i54g*mj!oh19Yz$?vY^f1-`jynItWG6 zUiD_`2~aZ40VZYPl@}g{TU~XcwdY@Pa@QNu8hmzxy_)9uRfZhjsYtp#HuQxj%e3}r z*(2YJf(;|CZO`{vkhE8=l9YEuE1FcfT0+hWxB?vx8hB*ubGrszB@6GOLWZ6x|xH-HM)a zu^*&ly?IAb%8DvSQ9m5HqEjBO;e-{RSc-)$e0yxV{<2x)V3LPF&hTe|d>b`)zz`e1 zV*W+5y3H1Kk%edSQt zK|CGw6D;0r;#H0=iE(#_wGyibaX#3fXy@B(3Lp_K)nLDG8qw`lOJqM4>qD{_+_SqJ zCja@vhllxL*I_2|YCn^J2@=zyiq2-fKB(kCYjY#mq|2B(hT)9#0xFkX_gTD+dF_tc zqR-97m;e<7?L2Jr_EZ_mQ}R(SM=4Uq3*!R6(VR=aY1CE~mexq)csGKFU!v)f9CD2F z5Ci7%_6+^2Bm2}TRI;o(R%}scG2==OWw{pNyVH4vmesxmj?-ZX3}L{i`KS@H4e-5T zVjGrWYTaoAEGYW9KD?cW*PhXyGM_=@^B5~YKN_d*FLGs#bh_n+d@t#(;kwWkSd&i%U*0jaD%7seFB65nGH(+>UbwP`Q}Cm4_L9{+lO+hcy~_DEoq-QigA);)1LFU6Wwea*kYMJZ>y zTD#|kKw1=2Mf0=0`%^XMiqTYO8RO~P@g6^6bbgw&F20M_FIk;z#v7rq= zz0|g=tn}C)(DUjUm6s`xSuLtPdD!n0MxS0U_m&Jg{(eWNo~DNNRo(ABkwcUdF|hns z_LaFO_hFX>a-INm@YQt_cN^ep4KipHOC=Hf^m;pUdwqD&YrkgD5_yQOE9r3*8L@^Yk+yWsQpbqY zHs341YdXGDo5{`y)N7|3V9`5X!Bu5V88Od))ZP~3e`RqjVSY3h zh#y18h}h7Qi*ebUpXl4ZJg8V2|Jz22Aa7)t~3N7%ixCV zjx{mzFoiG953`JaqbWDW{A=5&?b+muXjt7T3>w(L8My6O2OVDcdYL-jAxw=ZsD?{7 zXljs-pd~#Osz#9m;l!l1&QALGZz4ncNot3!zTgYPng5=mfW@}_$)bx0jdOSoYG5pT zY`grsUC&t;c9e6#)l0~fQ?Ubx+|8vct8X15dROTTj49`O8l6F71!Kl?<&t4Z5R4TA zj1~Rc6t*%hU1|O2BbO7nb`CpaUsl`ocBM+-D~Yg?v3-NZZp-C8utbt;;MHF?-O zU!~py7knX4Dd)`A%h)&}`$lCL<$K=mC6Jbqk+CI5f4?qjo78(2ga=jZaZ-&**)yg#1R@ox1yS9wgX9RoAc z$gPl!{5OmlWKJTldk?n)Dpjnnc$TIn7umqi%;|9%S!)#1ZN@Y5K5AnG*8X0I0lWKb zpLO_AX%?6ta}1!8l6Bt@OHUUf4(3&hZJS*8uHELrV20xdY-N`9q6>43^7ELuj+V2C zr&^Y7Y3sQvE6eXbvE>)s}$4c$3TPTMH5Zb!9odFQ<-wWy5w~Agr$_So_QJa(Vpj7)biPi@5Lx zP~!)21eC0Grijji`r`-W!J#Y(lxxyfE#Vbr2^ksYW@d8ELsh`yWk`EEgP4AOeO<6| zw}ddfH_5FUBjYircXF39JlskZLfK~m=$w~&MIW(~Rpb)p^kD1?PkO}3P?lxh#( z9)qO&^D{~J<*~OmHa3g9rYioL^jP#8>4H7aB$QBT zz-+<_MIsdrb?MzgAV~tL=KM-ZV7*(S&I102BOR&U&IoNp?YZY;BnQWzyi%}zoHfB6 zwddxNdHyf(rYHf^8ogR!=ruSR=o=V-Zv-!dCZ9eL$t*XK3_ONNz|PLD4{E=~#PBQL z55w2`z7tqQc{a6slR7S?O6Kv#+po_DF2;_B74_G)=};wde){z3(W6Igv9tsI?oc_7 z0^goSep5A%@%d)x|9-S^ddC1H&jN1#8XWXW2W9Eje=(4d>LdsLuv- z0+u1CZ@#dgpmsczEg>Oj{{vV`sSJ|;v6J611WaV+x30f#Hi&@%aB0=Fppq0?LD6Pk!~Y z2n_f_Ur`1`*j_S_kZK1hMbVac3>b$^MOap9&|y7uJM+7=d-;CzUhnFf)0Z^3$;2m& zJASqIim0R5569v`u88~fF9~dqY(|Sn3s;1GEqhcP@7HGLl?#QCAQ}4RZNWEnGzSlQ z6P}3zBO@b(zeW%ko7ln=V`r#)`3QBHMbPIFF#doQ;C$eMGdq)wvFbdnJL%k=9qb*E z?X4d}5<`W{^F*_68MF)ISa=`dM}lf9$F@Db{%Zbw-s4X>2@Q9PDrOree&^-YCenLA zy~8{vHA}t(H7fplXgNbChSTW+`t#ol2VpsYDl5b1x8%Ac2&yPg2zWsOEzKzj&Sh~& zv~a@i0#`|a8NYe{^-}hG&o!_PLFz$g$bx#u13yg7(|wqh8&2DPPL*e#8WFR-pI5&A zoW)k;vs7X1T4LZ1Cs=pvdliFU87wz81+WrneU40L-EnH!? zU>8A=3F5CueOegCjh^eG_f1i~H5HHqE;QiW0n6@pi*F_!W%NY`Rw&4lESq+@n_8UYDDF>W5z|~ zbWtQ)qNK~8%=iC*oC~&{Y1oB_oHwBS3Naaz-2ra`;__Oj=B(o5QVJQ1FF;0xSq~1|0T|x3{~TLkrWi4&wv~e;4COzOte#U%W1V z%d6nZXV8TU%WiU{IYqeYrjg)F&8eyR)6y_1whsr<4L5H)^ChGmRLXAR5an&!4H2{g zPUJdkn(`|$a3-Fo;d;KVCn$}1FH-2g=*lOu>U;J#3&)@h5*elCaG|rcPmY`c*lHLt zWN=eCoPzO@#r=+>q|d%&Zsv^mF}7xICRNfz#WIp3hqRxd!%wL*m=uc6?O0o5rf@W4 z>r*TrP5+`p!;Srdc3ofMThXz}`fxddV^r?N$&D!0HxtliY;{Zg3fxmBmKz5D1`)0^=*Op0joDC9p_X>LcJnhl(RI# zhhsJc0Uvqp55gd9s(9s9;^I(|*DgknTEO!~T;$IoGn(&vq8tJyptvKbx3bAA@dF4i zj7fXncS9yn4_m-^(0nwNxHUnLAAP%j1@okLyL|Tkde(|ixyej9))!%#vpXS{fYX({ z4z1raK%o*&$3(;%mQD5y&n&)xNBueYm=I>eHTYpujlJ#gy9H!0&1t+RcQpbt$u1F& zKkgq=^~6i#3}>I(Znt_5)pzt&wTVWq#7hMo_SL+Fj=;!>(jmQh3aD(m!u8vlzg>!P zNA6UT(49Y_Z`VLrZO%)zKw5G!E3~~SSkyH)%i=8R166G_m+p-dl&IOi0$W>7?XQSA zB{B*-{SB9Fu$711H09a7D>h`$w@-~qWx}&@st?f-NFt9!WRn%wnTLEnU-b<$^L@@_ zpOcRQ0aHC8=m+f)CK4x!0}Ro(mAzG2o^E%?=3#39f5%R8=cjDvaHNYhCJ*TDNt}EwAc{F} z5gv7kCfHl6pWm9dmpZ2v`ef|b3!`JqB?rUjMFE-AH1D8MHJYaym z&2P{C^r=x;>ov}DZ5vhnVC9XPsQ$dTYjEIq38mTcLd<-Pby$AM*(3?+0hb>QO5ti%(!>bKsJZ0_$E`>hX)Rw zR!0Nlc4s0(Evt)z1!y*%Wot@wsv7QokPvcLm??Z5bvOoA8fseDCI2lY+*|Ee-fF^1 zdDvHY=~o76Pk(s4PNuw{mz@>sJ!wFXHo@@B2Xe-|ZjLLr1j4XsZ-C;?RnQ&?oe!-D-Mfu{<9xl(?4hpps1q{d7&`M~F z+?gw+m?oN;<`|tJttSb(k~{XJg{n7BQ1q!LiKws1BC{agxrbz+fzB|27F9jSgH;bW zcdhKB;&6bJW{XMqQbX(4vsM>LSF*n?Bc>TsXpN4;K7}CSo#^CImbMbIt zxV=qDjn9y6(Yvcx!{an}pqDJ~hITRSc<52=v9NA5eSOvZ$`sssIK#-opyYtMtir+5 z=FJ@~(+H%&6Cnm&73Mc@iZLbhlPi1-vo>HI5|20#q9Kz=c=ijqH{W1!#}kKDS^Pyk zPvTvdO>WFrjTDVjp1C*%VHbaR@9Cn zo}61e9F3l1jHvwpt-K%fP#Y)#lxNCViVfa#(@SeYH}j@NJQvA+i73k8we{zAj*Y8v zrp?I7k=;4Lkv%LW$A%iRztUQyS(+g>-Jy0Qf*lVT=c;cyZk0up4E%lGm;SWL9>+aB zJTUUym8)3D$>=`+J}7%Bm%lV%b&gNEx$!4`;f`uF3t=5OA$Z#_T256m(qnTeYgp{- zPO)%FpY9Bi*79pHj+GMr_b-dwbXKWJMNg?E6&BCc*1i7fkDtn78D`m%73aPmE_vtt%LqCT6U$s3pEb2OM!p73%lI5xz2y@)9aUq1S9SS$=4L_ zQcd3(M-;u4+@Fq1^Ht4DpZi9!^Igx=ACtGoSoBM!GymI-7})^5)aMwviEo{@GvFG0 z=$N~$7MQ8>!P!kympVomXC8d|L3*jd!{j|cllQE6{r|_OMHH2t^j+=QR@iyCNmWry z)vh*KXz}Ibp+C$SaGHN1WjRvR=L3u>u=pmc$w)**-+FWERI=gETK;~G=!EM+`WwWa zQ)A4tFS)CJ3N;)Kf-nVSF>3DojCeO*H7ydo`y|46H>>6BzR84+_+A#KJwE&tPnK#* z)HBvDTUX3Y{K8B5r!BTq927HFo;^_NEBNV-wsy=2C7jq~J@*HIeyPy!(FnWkx5GVb z59b5=BoCz@R=AudS)YQDJc0BBabyZF(bZPH#rY-m``HTTl#;iVAN2hC7_{>~{4IUm zlG-q!$8ys;FE=32wR2YouJNGJruLG$_+FQSy!Es`6@^4hQ}lUN7xVzCI{PP2p(^{%? z21%Snm0Z?E!7`!w~KKDW{BvejG>(PC?jPk4G77FaTU$!n1GrMXl zc(;q|%%zf&x#phxtwIzuF&DedHdy_MxkP5Qh3k6Q#teKl-Ya366_Qks03bur1`)I5 z9h|nd_OWZ-clWx(!}+Ar<}!QRF{{<53?B3d+a!F7OH^4+ zi(cf|&3O7G-pAX#2XU#Lo5p~Tn*$lY6V9z%0y!|mK@jONc-cUR04C1Q&F0~8Cy`%$ zbgNz#vX%}*S{iG9Pw0;HxMw(pSU~5pm{3~74bz2Asl^JG^hfv&X0r?bAyEl#$aH3%wI-Rg) zhN6cb4-o_@z;*0MKhWq18oO>f_&xTn7cFd!;o;fukV71U=|adZG&=hbFCc$U;;enp zH}7O`-{r%^nDgRAhmn~taeIgc+U|yz@^Z;C~_A}9=D-5e#CRs z52^useJ+IJp+n%o^S~UDP$DMPJL_>^0D*%v7|7Vp1%iVPQa%VS)ZT*UUrO{AugZeO zaEZXo)YPwfx}ZTs+VvN_=*~rC^F_>nsT&08l!A4xAh!cY=?(9q&P*hCfxKr1Or+qq z*?j(g`|Us%)&Ne5AY5Cg=T`YuFGU;(rZY&ThIdgAYAnrjWDDB)8mtoH=0oe3n9f$X zjGF1_xPH?TzhK_53*JKklhNYfrsM@;$(eK??WGs3G{?>W}qk(bdSqW76HyaU^89^r6Yb%?XDVEIu{ZMhET5oX4O6Xo)Ijb%7)vKJR`vimygk&UVz*c7TX0b)g!*ETJ=wr zP!^SgsVON}_S?iIC0QU~?6SwIY|O|X^iQs=E%aZnod9;_^tFTiJ-9sqalg0WB6tM8d0;!sxYl2^W(%r8}VB zS2dcob(C@PBQ&5{Fn`M*Y&nI@X|_n;w?SIJfAR11^mGX2$+@aCy0WnCz^pO>Csar} zubEMfKe{GI6!+xG-?eYo4kkGckh(CCK1u=i2tn?z`pc7lyz8O%4T*h;PRV%bJoyP8 z?OaNs0ym2}Wo1p5dRrNf<8rIPN`B3Z*mMx&4~Y3C?6+Gn(!am@Tu`~8uU`)Q8t4EZ zxenfqi0W~PiDekdT;!k-2|OaBH-aZyVAG(=1oZ_d56_;Rfx2Co;*B83MK(&P0Ml6N zWl1#3)mB$G1givrPaw>!hqdkK=!;BNrvY5vwG$(kxJmAT*cPc?17k?1fim@_aynL4 zR_~49vNj(XQ80tRg}uv1ITR1eWqrO+9-11uO%~-ockd-QmH{OLW)NNDu666*jS+t< zkRgK3_0`54)yJQAh!C?f&<~uRa^FbV5@b^$;f=5skYS&#=L`PhB?F^UJNq&f48`p0`>yzd zit_++<|Hm(VnNCQNnaFDWG_Z*s0xAJK|wwg=vis!A&K>gwb^ecFBq*YR*piM-iLY`*RJXB3l2f{R*E4%pIxXLxlyF$+$p7srMKg41a zHWFl{*Nl?l@5!VCBMzI~D!gLgejmIWfw)P+sbEq|SGRj}WfJCIXS%HP-CsJ3-bzX& zfld76vHFf0IAvw!5`oC&lV;b z4xi}A!#)QA^$KW7oJZ;m>xC_v0^tP^l_w&VM?<L4yYy$#gmsU6K8xyr#ka9ah3A+MHjdheo;U7(BqJwJa&=3k&7 zVCn3C9R3dh8}Lc}CwM@3A$odcL_zm|V~78LAKwkQ`hd2pzVUfAysHkSuB?rDeZ?Z^ FzW|cMgrfie literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/NewtonRoot.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/NewtonRoot.m" new file mode 100755 index 0000000..e27f585 --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/NewtonRoot.m" @@ -0,0 +1,30 @@ +function [root] = NewtonRoot(f, x0, eps, itermax) +%NEWTONROOT Use Newton method to get the root of functions +global debugflg +if debugflg == 2 + fprintf('Init,\tx0 %.12e, f(x0) %.12e\n', x0, f(x0)); +end +itertimes = 0; +% use matlab diff to get derivative, if fderive is given NaN +% if fderive == NaN +% get the derive of f(x) the function handle, +% a simple diff is not enough +syms x +fderive = eval(['@(x)' char(diff(f(x)))]); +% end +% fderive = diff(f); +while abs(f(x0)) >= eps && itertimes < itermax + + x0 = x0 - f(x0) / fderive(x0); + itertimes = itertimes + 1; + if debugflg == 2 + fprintf('Iter %3d,\tx0 %.12e, f(x0) %.12e\n', itertimes, x0, f(x0)); + end +end +if itertimes < itermax + root = x0; +else + root = NaN; +end +end + diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/NewtonRootWithDbg.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/NewtonRootWithDbg.m" new file mode 100755 index 0000000..083d3b5 --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/NewtonRootWithDbg.m" @@ -0,0 +1,37 @@ +function [root] = NewtonRootWithDbg(f, x0, eps, itermax, realroot) +%NEWTONROOT Use Newton method to get the root of functions +global debugflg +if debugflg == 2 + fprintf('Init,\tx0 %.12e, f(x0) %.12e\n', x0, f(x0)); +end +itertimes = 0; +% use matlab diff to get derivative, if fderive is given NaN +% if fderive == NaN +% get the derive of f(x) the function handle, +% a simple diff is not enough +syms x +fderive = eval(['@(x)' char(diff(f(x)))]); +% end +% fderive = diff(f); + +% test order +p = 1.3; +epss = abs(realroot - x0); +while abs(f(x0)) >= eps && itertimes < itermax + + x0 = x0 - f(x0) / fderive(x0); + epsold = epss; + epss = abs(realroot - x0); + fprintf('Order: %.6e\n', epss / (epsold^p)); + itertimes = itertimes + 1; + if debugflg == 2 + fprintf('Iter %3d,\tx0 %.12e, f(x0) %.12e\n', itertimes, x0, f(x0)); + end +end +if itertimes < itermax + root = x0; +else + root = NaN; +end +end + diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/StringCutRoot.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/StringCutRoot.m" new file mode 100755 index 0000000..478155c --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/StringCutRoot.m" @@ -0,0 +1,31 @@ +function [root] = StringCutRoot(f, x0, x1, eps, itermax) +%NEWTONROOT Use Newton method to get the root of functions +global debugflg +if debugflg == 2 + fprintf('Init,\tx0 %.12e, x1 %.12e, f(x0) %.12e\n', x0, x1, f(x0)); +end +itertimes = 0; +% use matlab diff to get derivative, if fderive is given NaN +% if fderive == NaN +% get the derive of f(x) the function handle, +% a simple diff is not enough +% end +% fderive = diff(f); +while abs(f(x1)) >= eps && itertimes < itermax + x0old = x0; + x1old = x1; + x1 = x1old - f(x1old) * (x1old - x0old) / (f(x1) - f(x0)); + x0 = x1old; + itertimes = itertimes + 1; + if debugflg == 2 + fprintf('Iter %3d,\tx0 %.12e, x1 %.12e, f(x0) %.12e\n', ... + itertimes, x0, x1, f(x0)); + end +end +if itertimes < itermax + root = x1; +else + root = NaN; +end +end + diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/calcu.m" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/calcu.m" new file mode 100755 index 0000000..bb2deb0 --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/calcu.m" @@ -0,0 +1,28 @@ +ftest = @(x) 2*x^4+24*x^3+61*x^2-16*x+1; +% first have a plot +fig = fplot(ftest, [-10., 1.]); +saveas(fig, 'f.png'); +% enable debug in NewtonRoot and StringCutRoot +global debugflg +debugflg = 2; +eps = 1e-10; +% Newton +disp('Newton'); +x0 = 0; +maxiter = 10000; +NewtonRoot(ftest, x0, eps, maxiter); +disp(' ') +x0 = 1; +NewtonRoot(ftest, x0, eps, maxiter); +x0 =-10; +NewtonRoot(ftest, x0, eps, maxiter); + +% String Cut +disp('String Cut'); +x0 = 0; +x1 = .1; +StringCutRoot(ftest, x0, x1, eps, maxiter); +disp(' ') +x0 = .5; +x1 = 1.; +StringCutRoot(ftest, x0, x1, eps, maxiter); diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/f.png" "b/\350\256\241\347\256\227\346\226\271\346\263\225/labs/4/f.png" new file mode 100755 index 0000000000000000000000000000000000000000..2459b2db881da9f6cf71ed46cd6d0ea47023a7f2 GIT binary patch literal 15583 zcmdsec{r78_y405yA+4a)85%=GL|7iND)z~WX_b#k(o_Ni45C3g<}XMlz9lJWDc2U zG7lLdL#FM!9(CUHUcc*l-|uz({`_`zI?sNd`?>FRueI*A)@QBt_+C}Lbm#!f0R%w~ zDJfpKjv#w25M&RLau3`ITyb~>|HIr@)O>&-jI`)~WTpwNFbbitSGuA=F-pE4^M^2# z;DaEzg|}C@ZLe-~*WSs%&IGw4dqYK5?iBXMJrnE|1N(a#Hg*;dPGOa-jrh;q9$ zX^rsXMDM=i)#KN8Dz|p+zX{xYPJVXL?of1jMk-^8>nK04_7uK0C*5srPR>bfcf=EN zP5x>u*~7dLY{STFry*$^aQQg5Y=pu<>7~9Pry5;_LrNou%P;(Rsu3mje(C)r{lp

      T?nL7#rqkp|K8E{LX+%hL%3k{ z7;r+MFd%lMXkTLW-u=#`74+X zKbH|s_md_hypuLKYCFoZXlL;f{mALFUPOaPy6t+2`Eju$P^dJCdA}ftbyDcgVy2yn zTTb;m8>h0Ti}^c@xbfc0ist;3dlZkS(OUmTx%?J?x=NSmUSwgP&3ZAnO*JB`eDmQb zU9#P1r?OU(li|KlL-o_oL}b@eZ+Ym~p#w8DiEOLe%)(FO&d1vMXoM)K^1UK?Gq+TE z8d$LK`qyjioGQXo2g`OlaS5L+Fgmfu7FRk8cB6|KX|8VFsbU7YyB6j?*E0?k{beOD zJBVs1`I29m*Eab5h1+Rk@_QJe2^hV^Si0J=kxd5z>{iny07=xiMRndP7v^i7_KViY zKMd#XiMzXNoRW?OhK6@f6rD#O|1m?{-cB=ivGb4!UuoW)-`%%pY=?UMqUEsTS~ca5 z4DZJfv4B%16MdDPB(o%YdnoJSO%OYzZ%68SK$V=P6o3;8i$4+1W>_@E@m*r!f6SI z|7Zxb6dpWa5KT_T*rg~)Pz&Y>50)zcOBHo;e!jiAT#x+Q1XR>?bA;a2wBq29jJf|* zM)kE4n!gTn1P+N-AJ*V)YA;@UQTB)l5Z}MLol;tJ=D1<8qRb(FaeX{Fs3Cnkma!-E zg9}T&*<$Gcpr_7SITLPhd(VMxG}CR)T2q8#&e?krVe9MGQ1B*W?vz)$Z+1qW@}B+@ zj4W=B0W>nLm!VyE*!L_)iHF#}5W!;9whpCzW~MGV4;!L(or~4uRT$XUAdzqISPsMp zbQ%Fb%0pkfig@DgS|IvYwB`D?>s`lqbrCASm#aEk6KxY0H9LHW<6iYX#OllIM05>3 zyb53{U1=g+>EJKMxAn3VF3&N zdN{v5YzG|RGqsfa&pIYwb($_PWj$%cgg*d?j6_1}9N(Ms^>vzXCiH!PWOJ{l=)+=V zV!3};PgqJYQCi)@fttEdAhTe&T)71Xac{@_Lt9{pR)%4y$Rse6(PtB9k(+zyW^RKn zJ@U{>DEyfie<9~ZWhrLt!Ox48KPK2>c{+1I=-eYyLwJ-w3G=MOil?fRw86K9{pihW zbk@jhQ`SW_xzBt!vOj@KB1EJT5&9IBc&1Mznx=u?2?qQ4ec^a5uD?F?3((Xj6!cXrqAIN?4eI;J;Cv} zUT_19v-pYjtaGW&6Sa%LtGj{0@O7~;@o5B^l0N_vrA-psXyDYo?Od5*Qo7&!3g~bD zmcV>F>~Y2rsRIoHK^ z{*DK%KC))zp?MGeA|pU`$QCIo6xP>Xz&Tg z+PO6jPUuZ`zQSi;@%1V!l9~Ex4E-Z`6^_*TRIac20z+#BBkVR_#NkKJ|f^Pj6Oi3fHv;@gtj352)Ey% zzs!(B!iriwPKa2DZ=c394X-8-;hQ5|6>TKE=6=<{Eh&dPU>~i*4YaosK5pPkY^+Q0beAaVls*hQy zZt_*^F<*JjTJ z;%Y_0-+aN6EG&&{EpU4ktfi9Y_Fn!~{ zkbmpo6|zwKDU5U5E~Xmn>PiN=~JSP%0;-@GNKP~%>uvskkisWaIlf@yGieasYicUqrFqS5r&p2 z@H0G!_4T`qjMVn5M_4&*&Vf7HQZPd-HlZHw-k^jS$hLGrNdG0(QFN;MPC-V8T~5f= z`hY6v?<$_Fh!|}gEa&7rs;@?k6aA0W_Lq{tWQEu7-ko#5ebFCKky3(+-05L}YxF5` zO0N%Cn8uqVG_4!v-IIGhzBl8J#}uQX57c&NKLYHxl3#2-D{g%`2dtdDNg#L!Xr!A= z%ArdB;w7 zp5ZFDlfl=MpZ0eT2-yQjHbjk=gIbD{HBEp0s;bU24uv3zieI8w z56lILVrl}i)O>p(Dc&H=g(+6RzvCXHOiJVaT0Ms_{uSGz-d6??{J3Q?PhQ~1_!oK4 zSIg*N9*IC#H!IW?cc348{R>DZ=Trkwo&$Yj`o&o=HE}1)SxpLDMqkTHtXs-VtIs{G zsDsaesf{Xl97U4T^oa+ZRE*7~R^i+4aM0}b4+*ca9MS1|ZGTdrfASX(AbyOCUKjycn9*3)X*qFx>L_ZL@obHQt=HfwgYYSjQ48Az?3(%>^w z8Q1q2`^=jyRhn_O;@9H;qekRpRqR z>Tx8tu2o#9X=1)y-2DMLYWuU(a9Vez3<0G&c=@=+?MQNKf&rn9x@EyfZ+k<*O*gx5 zX}jVvU7}I@jt)zoT51f?KI&kxrkWAnsVRThE*|FFUN2AeXrPYsfD6E>V7Btd2AoCT zpH`!s=Wu#I+hdy1#3y!^DMUVnI0ca=pAbT=W%u7y2{T3QKhaA!9H>&Sn~<7%_INy} zn4cEURl{wg70?K+nWpnJbUxdM-P2F8a-awjUWBP}H3D1&05Z-NpY$6)A9*9}z5ybE4Ep|Q)Ke^DtEKokJ`4;-zjm#u|!f_UP$SBL+^9vXs+MqcPll-4i! z#lQ0M>%O&PM30-!z_$w+JsbFp-Q(XK$5w*J#H@TO{HI7WknVUHF)xU5>z1vi7(BS0`=EOX`Kcumi+tr!_q+!Qp)r6EGEXzqHy5NIps!r!PtW z1J2!RSYIn$-z>9B@XmDE7cPw6$3bYBImrqgY2~-8mbVK? zAG5y9NbIV=O<|PL%_nQg$fcqFvXLEGjX@;E2dfOh3;aeXN?Y^`&-FuYD0JwRkn z-=ooSC4S`Wvzu<31B`m{Yol(e2As>M9B?Mvg(pqpqlw(NFSaWNyGx4Ne*srse62{& zqYzR1tp6nIUo)w2qRFA6MZ|wJeIWr)S6zUP5QRuJIy;%p+sOO@O%tKf@n6%&KrQJ{nk(sD zKA(m8O!wjR_w3Jb_W;4>+q~%)znXrLUMg_}Hvj@uDELiqEnWfa7E}DEd<~Tx)+5j` zGGnztC2CtJXqZq|Cxt9iluIN|0U|%|FP-^2(vE#FM}6BL5g&E3$+#7U(*hqa^=h2q zY6+*NKzi418j!9&8tl#5mz2Hn8)!CFYnxhSllp}R+jNH@VcPzFb|dW_px-AP2P6J9 zKaTxGf3zFgmso(R?{Q)K>b3NVsd6aN9 z;CMZ}+_tz)Gjsp>(3eLOOXXzRCR0a27aw|B(R|R8BWn951CFl$&~{W^^rLYF=@K$+ zYcw(*o4)v;b*AvIb!J=c1D0lHO-XqpC+{nFV6ndd#Pe^5bHivGS*9@nSMq|M{BTn< zxUm7->q^l#3!xxm69AF1llwJd)tVb059@ zio+mJ2Bx<1;=MJR#6?>q5S`kzTORK5U0rxv{^0GpJ1p!v(iz|($=lH3UU zP?q-TH`+>0O4L89xlo%Q_P;j2naL(IpLyX~ur8`m8|$vaT#5E z^>KzwG#f9oyhriaU8w%;Dqbj(m5SOQ3%4vKR9cbLKc4VA0}n||9}5N)rt!wMUeU7J z5tV5a;1@+nbyODxCuYA^?wh_QY=!Acq}6`Ye(Mg1$!|i0ix)l}bb+XDGB?1$9F{M9 zx^#)x@i~ilRDS zoS2vub5?_U&>E``s!_lA2V*@`FKkcLw&zvR zt0DI5^$vfuuUb@+s6WAC$z=1I@H7ezeH41mwHf`RPh0+`4aI8$e%ke-Hbdf~Y|oj< z2>d9f%APT5-*8-VuL!M<2OjMlH`~+Ki%kpu4arR?|GjJ9C{nM3>g49??rGqZP3Com=ZNxN zRJV11njTU|_6NvQbNB-q6Q^0e*Y?ETqpfm)0h1VaQANNO#i{{ssp&HcRG9xDf~h~!@PiC zYxrO!Pj!AUy42bD&rJeMql(h})q_|w7FX74E+Ninh@4F2Q9liD+f{WSAb2Ozr$2h3 zk;394-*}r zKsS5g=Kzr^eR>&YS@=>}!fQ*nz&(jnERZ+1Rmf>(Y$5nI&|&UNRhe1SXAO(60zTu( z;U&i7<6WZ5@4V2!m5Q%P#(w}Mud%+a=4ztR{Da@}J2p;ix;T9jh|LJ+V}EzBzhbD$ z?az4(dgTO#sUH#@^ZEvgRzWgbL(fK3dF#GMQciA^X!i_!lx(V}7CxRzu&r3-Rn4j6hHaf&Cpa)fXc|01zw&w zFM99JGz?FJ^)nl#w$(qfthklNnx$xUxYl2T!ed7=Bfm$FdCfm~@@5KjktySXOWXBa zZ78sw`=W;I2TCRiQ3<=9vz2Hcj|?F{lPP<@T=TY|K*~ZqWW#ldu~T>G&9ywg1iJKB z;O?tB4rj{`n+atrQ7qQvoNQ$=0rG%s48a zLV;*N=F*XpM>tt$cZkUEI&~m$T+d)S@N|BCV7O45d3&lVhsJK~?GD&D9n<`cagi73 zejueio4^6v=_J!Ey)Rz28SCe^G{)@l5yq>|LCZO0?$Iy2_h=vZnqDsW*AA7gs{HtnP&lL@D}QkoM49H7yF;-F-96~*Sk2Va6)3@Ub*EIhcXm{=l`sAY_&#lt zZSKRhg*S^1EFM1Lm&1VwQOHEym=+Dv)EVqkW_uUt5EP|F=mZ%>Jjmu!!LkezNerD7G zf!<4#%hYLRN5pz&FaQ+} zv9399iDb^Q)RAJt{o`XB`3k#2-8Fh8`X@h_qrX@h%;)dVaKC5Vs%oNls4%W8o?)=M zSi_gjn2c2L6S@X-zTr<1rK37p`vhi4Y3x%i-6T`499!D=cB*^TSA8!nQYw61^LTgmWlnE>~Z}A()){x$s*su9@8>17v1m~dUjy5KT=<>=g5;NR@sTg!g57#!5JjEiw7@+xM%VmoH6Z~a z)T>z!AU73ogpC3&jyCiE6n1yd)bbQ`WG4O8;w!ZqE!>Dzq_+dtdqQXB4+VsojL-I$ zSA>iko#!Q*fDJmQ!<_cMqRgT#$T{OhJgd5?zfeThwLLzP(juVBf3Cc}C^?4QiU0xY zP2M;?I#WHAKVHlG+=!{MTao-pC|ED2i2CI?Gh5Uvdq25x5{;;y3+HB`-;WYAuS0IG z`=hlE{E9QN{ET#DON8dxxYL7@?&&{JR!tw*fY_uJ%bN&tqxO?S&SMPNA+K;_QTO+> znj-aQx;cq{YBH`%?e^ETdg-%xL9lvX*4Y2!PCQ<&nG-#aDdm~ku(>4?b{-(zJtT@RjnrrV@q z!r{94ez_Iu5458*GXhVHPA|VB4ngd%fMBko<-}Ot!oknZ78n#>IX}OvJ~^LDk=x$( za6Wlw0l(<72xa6R8cYbiEAiv{+h@LAwjaLP5(;uK%`)r06q50WPnzx`1cg*IUPJ9r zBXneI@=43Z!d{@+JfZ>kRF5F*b_E~T7Kl-086pl@3uu$HYSPBV7wh*7Y$pKB{g9P`LPon&sq&g)JVhH6{6u5a`;seHh-~96XMT3(4syxTz;r zi5%?i*w33E^p%v@SX^ute^}Rc5#C^5cuyqmi=B|ZW?*f3)s0+6S5$jySMl(g8b0QE zyAJW_kHxr$s*V77>Fx4tTgva5qKo@>9uh=+l9SmUi#qhhCuT8x9oS*YCyO zETNMwm>pJR*rlJ}j%`pNBuJmh$_pc(s11k_!P0Fx%IRLA5p}Kwq3^Ml z&2nGlj6DI88lm-tmfz}iI+NCDM}Onq#&FIfNWqOsPNRJRlALRGq{M)i@~LoR@p;9C zbU&i3kwi@Je6rN>BmTi@y<^m`P6VcqePJ@!akQuOcjb=!VA}2V+Mv7J?=+3q9Q17z zm()7wQg%kPYc>2|tq?^AVZtgkWo^1M4q_{&p4qFHYh@Y^Yu zog|t}6DiXx5>NLVD-C|OZpZApRy31$n%HjC_>6QQE7SJ^=Sx1BU0x)r!YIi)@Zcxg z9)H^nNm+1~1E$C>4MK4DUhZ`&N#Qn5QqtLQuQYxvZ|hn1N3RK^y#+2+cM7T35h7Gx~?se%;Uc7NrA0Por4p9RV z5sxy4OAdGE4Re8BmTt_MU=|z;{1N%w;H|#684NMTf0FSN#A@ zIab%GuvM0WUsxZS8-8|7HMxGmt7uR6{Q8@@$N0B7p=-rO{DK0Fw7ncVt#Ne^{KGUi zWMn&4xWwesENXO_%IZK9EsL#mCxqkaUQV=(`y)5og;T*nsprOx>K20~7RLKuc+$;H z$8-xp8PI%-Q#qydpwyvSeO!1_Vegj0QlI_HO@l2=T$Z9oMjxrw0=GL88$TAgY^hLu z`D$#X&MUXI9dPWPpA<9-*-0D8b$JC78{fB6x4LdMH#TkZE;Fey?Cs*Pwg33MC7{So za(AYVU@l3BPH9OER%h#wk9F4G zT=c{uujEfr*lL|;deHc>Nl(B`%$q=5q+KDYQ(u_IRn`A80?-%?r+EaA^IE4VvV3qI zjo|G+=|Z57LF@52o~EYB1@>a5$BgPMz9tW`X3>YYr%sIwRW{@OK_u=*z)-z}?iY^6 zjGV*3&3z1q#zhEVIkOxSswGEY6uEHrJY{}PZuweJA%G_eT7~+mR)#{}Gd|89(BF9cI#j~J7b2{cFPLC@HoEfdzM*T|#` z)?8vC<|+v0KxfjMH>>J!qEbf#7k7GAeeEw2^_A>MJ|?&;NpEC zOq-RODhKom|FVZ2W&~=$POhPWMJ}JQHs~PlUEPToWAM=-%A7sqUt&SYv>E5!+}0v? zvZ(jk;Dzu1Z4d&#w6;#q81tfOVoY@QIL{{!yqK!!?>o4!nc8vm+26mD#yeJbw0mrK z|Clf=HvWYn7@1$oBXyprktz2Zud5rYFSpv9$-KxfF|QTz1KoDCW=evv$2vlMPjW)2 zr;EI73Kid@LkM<%FW5E*ug}Zs{TK~ViFF3QYm#vIoumDlwm@c5BNPoGNT`<`h5JGa zPPB1{Lb%&(IcUJ`?`C5%8e%l^-A7_5qa(UZ);EgP05^PB+3upjx=}{xlG>9*TV@Cq z`4WaisC>U$@|R2x(}2dkhtQ=XD=RikVq6pOxJr3(3nXN2&~3|OR|uC_Y*g#v%v&fn zXv2V|w#VGVy>(j3%yNG`VzHK#DLiz{4hrx%N9_U10<=>P;^{ zf`((XKJPBY|NBb+9i{(&6R**G*Peia>xbpwh7LVeqvxj8;rig<^T|y?$p5YpbWCC> z8u)?B30=Cw+3kkQ2uFkb^W(q2^51^>?_~JrAo=ei`R~r~f75pOnyYeL-y|$RK_giR zWnDciQ?A7Ui{R~3csmuIAf;TEH#(LEM0r=kZa(xPzs|ju2ADI%jIM7!{SYU{m4ib` zAyy%pa)D%~v}te9ATKEyU6Veh5HK#WK^gygsBD{$iIyei%;Pc=zX2B69r*zS6c-qt z#KdF)_*>~q%R@q##OzIIh?<>FQ#5p0bjZ6*nDJ?Lt6drGt^yi@4-*&pjNSb%=3`>U znAh->C79MCCS`d312}XV3m5s4Z4H8ZhDWS)g&b+5JXUvDwH1ZnzJ35sxF@k5jKm>m z2o}sv%*>Dr)-Pg0gy@((JkTXBNq$ObyCxjEyq+-8Qs`p*NU@0o%6JDDoA)D-7zkqE zMLb|+JtH3z{rdfr9WJAmH~47!T>}~<2!cr}TTr_vA2@0(J`;roc?PV%Z(6@;abGh+ z8S&8hzZPV*;_=*7e}aan2DWdnMjsaOA2P<6Gx0nx-mUbBo?&Nj0zUzr`-W*9%7_Wf zY23GXGFZpzu5Px}5ZnYac)O9e6%QPqiH7JLuHXhYFg2_`O}xR&M(j707?Sof&-N z>#)$=unQoRi6`fv_}>8(8F}R`g75@#7gVrMfUs`=fT+isn!{pi%jMznuEp&|^6V{0 ziPT~fQtQrsKm{>^K#HhAMCxxR*~!%N=xOfyIUP2)I-hnp`bm7|RVT2ObPAq-n-TB1 zcr$K25DHA9*TC4nqZ)U}sFe-c^a7NDx}xuc_-1YWV$kf#tcxt{&scC9xFhnpo8JG+ z!W|GY{UElCE4i8gbDXKQJ5=A3A<5WLyy`JG;KI`&#`MdY;Sj8F5;0stf-?I*D z(s(w_&*?6$(;#o&W;mL!{^je+3n)(;qFIF0S{3T|m)bMSq%b zZxWB0eYDI);bopy@yS^2^)h9f>w{yY$3WFPGPcH6g%)|E#lp+3V_@bXX280s!~46A z`)~-0(Yr~LGVnQ!?pEK z2Z1+8bT+^*hMo{jgF8^$kGCF#(^};yL{)?2Oz*C2z}cVviz2>@^YzTxXW;+?WD(^1 zJ#+h1#A)UQA&rP<+bKvhP3?g{jgYON78{h@mqOJ?mA&juDsQp3DbV;>Oc+c3vt=oS z!0~6?L+{(`)ASE|Qvpi|9JNo9J={GP3e&vhVPG)Mzyb?Qn8E?8GEK;C88Z2ngn0)< zlBagwYh%aFK-P~ZEnEsR{EGb(%%nPs+KakJK$yJRCd0Q2lp?&8+{}&N*{K=y4g_`H z(Q`NW6B3Ho7srn-inND8_M+bENcTaF$NIoTlaC9Hz>6Q&^f(Lwm1U+4BQoc|$sEQC zWA7Md=E0OsQ$>rcW=~WkyDdL5pjk6b= z7!-~RXU}Apy66TjO8^@iUICm=gNSQlc5P^Mu5d^GP|lxE+U?6rR4*Ah9=h6lYX4IA z;MA18_MD7fZa)K6q79W7AO$@Q)0D28HXVelfV_j+p-eKjiS_Z;xZw3ExZ`a@JpHkqIKSl63=rL1lJN*gS}p zPk_OwCoM6#{G~lne=<>t0~`V3GO$4#yObw+ASk$vr`{0KAVbz3Q-rKPOg3GnCvyH1m?7ERuLu=kk7E4nG&_;RYq59I0BV7D zuMP)Aqhz*Dh1p=t*?zz0>s>4^yJc^xrfCP4L2&zh5GbQ*?7j^fhNW)aZvPEF-J9S2 z9k2OW*kR&JLmHigr|abP(WvGwxKvS%x&U;~L(QPfcvZn3^tqHx_g>tT=0A^HHztQ^!04hu#*IK{@2Tr&T}eEIDa| z^*Bl^!?go1ovx(4C{{VlMEY)plRucl>Z6p!t@m>mC#8&A(DKwL^>D{%$BKCc#=WB$ zgEf}!WOwo7GRE8kS#%ryp$!~}92RQ$j!-|)M)^95lHUjw`+_`F10@_k-DPPEw}3uJ z)M26z%REZy2GrNr5b!n+T^w((-?W94D9ADdU%8z!jb!-mZH8o(reku29x+l3K;3AW*0T*IKYzAoSb7MlX zD1r?P#{{0>M^mQ;Z004;-EJBD)yG9r5071Bq=^n)?F19uzgE$IHJNZG@U1 zX}{9j;F8jD881#WQH32oMP>FQ_9!6hayWFqTa7?&h{xT*1yxQj;yA@8YFtbiRqU*X zQLy$_KQR6pT={fg=c4~L=1czP*x&f^Ca4H-jb5pcS;CS+>pLiF!2?2b!(pB>CDmNPxQNwJh$9}|LSky7AUA3PnmCf z+ULTUGxXY$1S2E`i!T(0Da#RH4f*Y zY~k9t6$!bQ#G3Zck5MD-J^tf(zNC1|8MEQEU-i7Z@!{Ln>s^su~W)O(fwqn3!0`~AzIPJ>ALYMbvrqt+|R>1P^GKWyZ z+i!spINeHC=<<16b)OjeAA`h(8j)y_B4Ee=D~$B|O4%6WBd5!mTYznB z2@FtN+iWUq4*^=`Bd8%^r-JB7dYE;TSZNr1D!jJ@uT z%Lhps^aoM#!NySsOyV=(1%OLVh}WRUNp;=|2!~!I;U$fNuH^&<9xC?U2LjzJvwHch z)8)LVp5+D)YIs7d^cD`mGx>}K46=mXyCaey_Lqf?4q>auhTwKGwZFCC+A$?Z`FZl7 zB?OX`@@U}0Q?OPUV;I108)UDpNurbhjtTA6EO2JQp++cgYjyQE@P^_+oBT1rAODC3 zzaU$eUx3S^0-F3k0|?D3MR_9!?(UexmapUeQK2;`NY6-vXk!(aLI3(TOe+#FUpofm zN&fvI?r%l#kWAxI7$zyOz}0lcZSR2gXz7FY{8Jl&JH`TC`n`Y(Vjh5H(5G3p$pLvs z%IL~kOe=&9zJ|R7_>rZ}NLqAEdU~{ytEcC=f9iI?2AMffPZk*w`gz{lga+hF&3soAZ`iCfQBhZfE=UEy z^mwQvp%+*}jSb*LjQAjAJCrpNT+kMN^iLOM6{ETp0(R?r?DVTIJOy?9cz{EV+sO)& zKT#P3%rO>-4tBPj^z#;+4RHwWZ&lD+6@H^8n4}M~v^DytX$7tCc!-i|lTf4f+yn!# z=bBp#fEJG9Zj^aSYfPqZURa3y(;P=IN&Z>6aI#n6&dd(D+gDeR88nk7;)Dt#L)UKq zi6Jutoqh7!8v9w^dSUM{UHwqxpALHf{`d*@swXasIuJsf7O@>-tY7jg(Jw0n@dITC ztDRk=)_?|-35`ue?+D9RtICk}?^Va7a+?eDM`p(H6l!dCw+w!{=?;Y^! z!GQd4hV3c7sq0s)i3SgwZD0;F%_jd=K-DRoOt#&OcYUBs|7|^j>I6n%FiXc0BHl1* ziK0h2FM$2LZ@QEwefAQF_tv0eU{8UXF$~)N2miE056u2KGTaxsSq_usBEdIT?@!9F z<~u5`x=hjk-`Qw4fC(;2JesYwgAMr6nfv@D7>pAH8w)B)hmI-03AN-bt2|3w>mCLV z1&KvO{3SZ#Jw9=Y$|J6zymL1pFx|ln2e~;M2xk6B#Cr?7AX*S)04`7i4)G5J8ltF{ zX~iIoL6Q024|QimJ@m)FABvhnXb4(d)FTD{zmIgo%KsV9X40F)z5AL>qcB%0;LH`J z73H)s^r>zu7?iwDwD|j&d~tnNcA4Z5{^hD?oM8YQ6S$om?Iv3w*X4xS!%nPdd9fVH z>liJ4QdtBmn5pWfH!1Q7#Ub)uR4-pLnmqvnX}HoA_1sKR=x*DL>6r(rv&%qdqrw>L z=9UZ1zF-vVsp*z+)leQ7aFqv8-olL6#;5R^Q%VFW7Rpb2JpI3ZqN5vT zKB`azHH){$OOhSpJD#=p9h=U+xkI(AAXd?^Mt!^>k~nPBH?)%}k!=O>Hl?Kkp@62Qs8*d0LKi{8tkV)DFc8)KaZflepZR zMnC5%Zc(p-y5UEU8p}xrQ2ydXt=*^!Eq+a-0=dapkoM6#2UNh_4NUNrxb;R5JsQfE zCz!2#7Agq??knd%PM6iJbCXl92P5iA7pelx3D`qbd8)}XpxA$nzKHTOH`V}%h>V*D z9_uyjex9A%8;^1YwNna8_at(raFN>V9)w_%j%=sEu$e43bNU*BJM*!UWa^Ovs~0SC(If;JyEqmC7#sK>=L zYraHdYAi<7{6CWiRWE+Ph_ZU^wpwt*A(?Z){iCatGQ`d|_xzf;A&%>ka$#@Yy4c;< zOfIc5e|a0&Rm|NQSN+y>yWa9`z|97i^?*A~y8fEw-N|sfQKrVgz`VuN#WCd7^f(Kx zy5QOy8xqTayBA%9{;v3|^io6|B>ke5#;Es6+lr?HMn1zdl+JX_0@WG4siZ ziOX1TTbI3gQCIsq`hJoAzc;|F{|&g{FXYuaH#^|c`dr}f)6X=Xdf-a&w`YvcZvibv z`MIFVq#n5Zdg`gr=Z_bETV2d-2`aulCIYKM*Q1M8a)@x-{QL3v-R+93TaS2r;_H4c zT^q6S5O5`K`fcEmFMFAnA9}hXaB&W>v3G5$xA^vX>F4L!#xA%f{WCDH@R#dNfAFY> z3vdLp$7yD^fCY0b6O;D7$u8$PSxtz(^fAH zci+pq9-b_=FEax;R&;H#JO6iHx2VIPKOE-ocQMY|?_qV+6;u<=0Ja+p4;DwVoYEC2 zO}La?(3>F#+py-2jz;ZzI_HZ=?CY$oyMAjGtALv`c3I92 zo$h++O5?}J$8XOtOn$RuvY%1y;=rBTSNuJ7SN>c-xTpX+Ja~S#a)29f3>?4!R+6`OHbmX9`}-vrw4Ce>usgG=vBO8GU^VXlApNlVC{!}8k|I?15FktRl&XB+I`Q~?Dtk{`yZ*SWQ z8Xg0V0Zwkd*LM3TaP;pS8;?Z7FE$lOy?Y5*jVzTeVq+1~G$?ry@ZEF*aEYyN%I`^c zR?IX`zXe*+_2$M#&pfqUXP~QtfQ=j<-<25}Or^pR`|I{@1$I#H0avK*l09+nowhk} z6eJaN+KLpURt8oQ&JIsRI8;I(09SWy)eK&C133IS<%*ixy4YXqzBMwlgUagJJGNa^ zKrWG$A8396F20QT|L~7#f!EZoUfKq4bP0l+XkKYQ#_U literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/dce_eliminateDeadCode.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/dce_eliminateDeadCode.png" new file mode 100644 index 0000000000000000000000000000000000000000..d2e92aa2ccb7575b9f2a945f5f7f74969b805ee9 GIT binary patch literal 132546 zcmZs?2|QG9`#)}rk(RL~MYfcsm>J6$5wjR$Fk_z~k!9?L88ght8rqZ=Yl|&OQM9MB zrA;WsrwyTONg+#ie)pm0`8@yE_y2m8+0MDoxv%woy|3#Wv!ht9U%O?kfPlbyD-yv$ zKtM!9KtPxU69wNy=mtv*2&|jnSkO74JiovYhJd;re)XL?3K0+%!BN*EsH0F(QBgX+ zY_BMtz%cL${1h7I8|W9v@Ll~Gg+O95%XeWf8x*pa51O6Zkb&QZ`x7Fjl{29!@ zYuScH2Zn@rsiTMnItZ|o1|5l1*TaE#Hi1ko54`e>3_T6?HNiV^~Op;N3$PPR7cC_H!{5*W+?FP03S;4tVEd;mKv6nsNO>**lC;YNBoM$kEqUVdKe z!2ekV+6IH;<-fWG7d;>QKm%f=pEWro)WM43%W(2pz4b^2J0dWQxq289r32Pm{ei;| zXRLnf%ZLp00oR0<3WAs|zr_!0oR{UEKn$j>SX&fPle&bqkC-7O9RiSX~$G!sGZDMCe=C=_CDYqbS}{ zHsMy7AS%a+ig5}xGNkDFVH_=yEFUAfB`!LEXT;@1y6F4sInup-c(_14zJo8x21mBy zV#1juM2HQ+lEK%DR7c?$4wy(65+*p%$zRW#PK~0tq1djRP(4GOouR*hwWTA&BFZX+ z8Omo_IMA$tNCSQBY~Ao)Sa!Hg2-CvB3lkVha|sWnMWdsbp(vD(Q*=Zi!^S9xk47W? z&><{3hJ!K;a`TF^vWo!M3vwaT!3)zd+ST{yNuTq>W;bPEb}va^k}X0e?ZPT+hi=MWb^b~r6u52yl%<08-&Mtb3nOo~kq zI>N@n59P#R`9)%)jr;-~^q5FnuykZNbY670cL)}ILi$r}i9tk+j~g@8fFJJdMh*^V zvYni4BQVHN3kJo>$vc2$s26P&5s0v|aR82ivo!Dv@sGxZa_|w+7S?*!zK(1zFOnM! zR>Ly9!C!>81=7ur%4CEv?8qU0b`*PhXfzr8U`TMn^ZDS7F9U^$_6wouf#nR`IF1|( z2fjX??ZuAL=UY)&L~E-+U{^SLG<2&$TtjZKtAP(!-;QW)M~QH?w9yZZ_O*}3`0KeD zFfH6dd^moQ4t&Q@L$q}`5*g-De=ZT!=`zEe#iLU#YX^!4jMh5I4 zuC);%oQ7aAi3~o*O`jdjaK<26v@n*v9*c=*@i4YdcE0+2jJI8&ZAdhZ6hXH@8sbnC ze{V->1jE6|mTAZ%gfr*}H$KZxKg!V}0_kEE?1m)Rgc0q6LzxatXMH1kCw#b_x1N_5 zp3cWPgpi2VAdIs7Lut<9peoLdj%5eIu?d z$J^eO#K4ER(r8u;KMTV^*GMBajjrcMq>_RnF_y>>t|Oa)ie?#k>)QZp#o1f>1!0-` zF8+R8$V)%~C1NA-kpbW*8y9`QFhi;X8bnhZ+K%Gm6W~B5lh|~9$7q@#+Ri@6-;#;& ziDWup?1J@pHum8}mR?vm%_1D{6NUB)B?t5Dc~nEJAs+8-iMIq<0EOeYP{3a+bd;07 z0|LZe93*!;E-4%zU_hq_VL3S4P_{plL8j|@J7Mi2!~MM(C>kM*&53lvTGPB7xY%%3 z7!?$9U655|u&p24nxs#sTCuTY zW-tfukKj3g;6%jg8`)CuEE3;e&w=2E4YLm;GO-9;fIl~q6y}AE!m;&T&{23E3+ssG zGhMx@L6*)ql8XztV+UNcgEzs_)-8$zwq=JS0KY|9aYLhdd>qCoz?$G}=M!e=X2*60 z*9i~eBTyhb5QDJ62tR{xN2-+zI+*2a7XrQ`s$I!L;UrvBZK_VMp58AI?fisreY~{Hp1D+DA+F)L7{aK(XiPyXt%4xZxa@wLgUm&d1sK*kb(&(R4#>kRBHkMRI20 z8TvdIv=2Vo*^6KiWM^sT?+vmlE*Krea13YB7>tVJ zU!UrOX5i@|Zho|2q^$$N8>AQ<-z|_r^>+>6a2OmrNALv{dPt#knhOG>?;PUmW9e*3 zb24B=gc-Qm;dpi-JZB?kOr#~v02K`mB|1AXxK0K^!QNIR7SjoDX~?DrT2k38gayUg zDiVh@B#|7*SQ~T*6rv3nR{9tlZU~QJ&2vJ6+#kqxbA_bqZ3%vj!Z~>nLL+Q~9e7j_ zPjNwE!9k%0m=G6dCpsPB8pVyE(D+22Ar@)r7Z6Qzbq#iMVCZ{e_*iS}P+Oy5rnR57 zwKoIrM6~cD1cn#}*}EY9=plwtZoF`3LTD6?YskYP9fIh=oUl+|FCxX?7jF?sqz0kb z!3=+J!C<;Gl5e0N8Ro*WbF_7h4zu@SQ={#oY%Q2{E3z#wC@4TLjKT4@Vc7Tv>Ot!D zjdHS$a-q6F@PkT0kOIRnk$3`uZt37dunE_SmA5)&DSbtXkc`}24q=qT4{dlnMo5(eES*Ez_E zOhB=qw;_ZOBSMrRi(p3tAF&K)BeJD0OWzI{12NQ&z;}r>vSV56`xtV=4V|5%eVAx+ zcn|_j04L*Yf-M=|VKx?cyFd)q!WVDjOhE>5xnBA{cv~kIBA0?ULXaqIls?F++(0i| zhM`R~5$70WV8meu7}x~(JL(Z}gdi+|7e%N01yRGiBkYL5zJ5G1kK;@WM|%-LdSJ6` zy*OB;gIA;ji|CIHwDB^cbEsH46hGa32qZ&S6de^pv?h`5s2CL8&BxFwGFacrDFCuw z3>A$*)9jfJj$zI|RwTM1(gGXELRh+m1sZUy+zfaaCo41#X~3f}E&1F~0I^U+B0t)a z5fw-e26|`JwGuga9nrnTn!>T1H3W+5VQ{I6NDij^IaId)Y9l z(HJ`0A(|Q)>KH|FgtUXVaOKk?ylsiz7)qp-4bQ;Q7lSsScpD*{eVCkJn?Rfo-pSrY z--k^%LWN`82w?~dteyp$8_Bh$p?L^zZ#34*Dk{*4re{d7H`3?plW7DOZ!!l#<+7v5 z5I*s>@S03rdGC)!EtQj0z8;m~30su6kJqr`g z^>xJ(abXceX0#ulhqLnM*aT2*C}?C9BicT~Ne>a>ACA%I(kX6K8&@Ycge8LNO!V=x zqcTF>7#Nxj&M%T}!$A7#xkftrIN)je-gaPRw1ba}4=U0qKtBS4Nw_EoKtulkbSC}> ze6JvJ@cD0jqi12qIDbe$Kv}?wfOX<|{QM$bb>FetY$;Q;_$Fuyx{{zR_@`m=93=cQuQ<-xtv4~M>t#zna?ANIevwe{V=%K0xgC5nlt zAnyCft>5_Xnv#}1ciSk|k4I|`&NW!>J)1Z4Z0D7GA3Y8}+h;N}u%Go@cBnnL!uH^= zdo<&L9G_Ohju5>W;xk2I=%#W?U|>b6*xpBq|K8@Jg@F9n=ax9bTy~@8V)Z+b{ju}E z9#Hp;Rz*a=OZCvlRv#~4xjy0%boL`3>D?0l-Vf5kJg3fh_A3jSGHh`!C9`ETjuW@>ar)74q+~B0{_2 zu$232NNoyIGp{$)8tqxx|9$AlP1lTc{?|gXV9B@+sjC}~tcknxRQJsWNUjCD!S(N2 zXr=v+l+6p&g$m!^7tw+xeioGV7lUpTVGSgbqn^Nu{@-hJp)bE&ge~|T@w~XI<$d6* zfK(?1xqo$@Xl^ZnD-0EPI`UMg_VZV{)fL}vN>mnUSCxJFuZYc+-%2Ig1?&@&O|JWy zaQx1y8L5LiY?i7sGX3AZ7z_0hV4bnkUjjQqAJ{HW$1gvyLoY2&*OuIP&}AI^!!e(H zDt@6?%($U9x!XMP*oBp=AYMX7^)lf_|6gsb>fkQ!;Acj5@p^RUO@pzL{J7}ouM(bg z#rXbA+7)!w*Y!i+QguDPFZi4?HH;n5%eRtq562DdS^dhXhUGbwhB*_Dva2W1D zCvjK%)_=FIcB&2`+wPNw*%8~jwVSjvm(waku~c9Y9(_BmEu~(o<2l<%Ydz8v zy7c>0{AGiW?s|$*ovgqw+>f>gf8Me*{&BUa6~Ar#bm9Rsn+4I`}WI^73;=c z+meB<`F%3*xh%~Fcwx7>XkgCF`pZeI^pz!vB0xh#U*${k|Kmg$*gD6;Un%f}8Q|>c ze?I%?PgcG()v5l;(6z1?&--(?qtQ8!bsx#lKJ+)g%lMyttb_JJ7UGRO9K63lo*p;b-^Ts8Kf6z*N8UUc zzN>n&b?8q=7&U%z*t2YO+n<|9ioZm4n<_?r@`_cu?Dg&A^qc4FS9Plbd2->CqgVco zB6YsXh=7#HvK#lw{`s+y!DEKqF%zYwb-HoCQ~TV#gvZwa-!{9-7KLrKb1hg{0BW(3 z*tClzx1VD_Jl{N7hwVkTMZVy#Nae&HV4f%DVZ`}=9sWQceDhtLwpbmoPukb9@~CQU zP5GJb<;8BtN|IK?7qguk{;9Fpw9Vc|ES_Vq>4=GI{yb>BKIAI2b7}fw0jDYHjsDb$FSQ2OLALX z{d1kwH^#h8+Lp2H_fgAT$+hl6wF~{a+7*d$O^DyaQQhC>R(Bxe+uYG)(roUX^RXxIX`a>EDm@8~RMUHn>&HMwxZS8;(AR@%+LgXEcEoI#{wD2; zDz@?R!bB20=cxRa+!asg@dRE6*Higl|HTjw(39&_jwqb18B5W1N;cQTiJmtR8As~I ze3fW>P&0Ld^N+n{F|GQCGw}Z3F-MzL9k>*7;8Hc|Uu*x>=Pio^o0IDxcfY$dH%wy) zKj>sPeMlCNf}*u;{_?`0w0F?{qSu|$4vTYqZZc;w^JF8X zN#}9MTu1j(R+c;a)!k=O);5c>TW7CYnwFLpT_3pJ;+?wN@ao9(*uP>O5EM$p^K(ld(+x={fOb6@f+<5q$|(Qyz2 zii}axAIkTIRo}b4*PZt@W$1R3PT5g`ELi`^yv3jXv?Xx_Kj@A-`0dJYU!xijyV7XIa3q#a+^5De?it%)3L|Kc{^32P-?_Ksei`41m{XX$Sw`|F%Vd|r2 zex>)gcjaWOby;ow24EkLwO+8(Z4Ir;uHSAn;;6T8UJd&QWsvN072hUy{~SwQO<@74 zV0WQsj`u!Nt!rG$tcWQtW$dcSFIxt2(IvLBhk6!7Y#!rxP2|z~ zcfwXO3xHC!Aa(C~d<%eq|C4Ax9u=^dNtULN0J?L3G~TG)wqeiD(~+I5mv^6U+Sjc8 ztWV8+-3*Dzn|O_;6^icN8glbVRlTb5-z;^y)Wm4|OO&TM5-%cDD=#Ehvf^lO)j&X) zgwYk2bVYO?eZMq$jT);JI}(v^JwNg|tew+lt3AwXsD;nGI~AAl21KzRYHE7k2c8^S zGqgsRhc%b^D~yc*okT_^Dg6InlX9GSuDAT*%;gS7_WsskkLgF|S0scQ)m24n7Jr4& zRAkAxS!H|NU(eYg4UmC9y8Qo&_59#V6~PQtblZh4C(S#-_#(22-=OLEMU#lRgCQO=+R!tCZhxWd`S{J53)Lccj%z;ry)b_e-VxO|HIY?hcnfcJYwA zuB!4kLie5&xRg!%0>Zj!m!dpwICIDSZCZ{BQmcY_>k0DGcikGFgHsSXsGfZtMj4G6 z+|#zF>vtOD_-Ub@FABdJn&vO`?JTQW2La^v&<9^yqla&xH`tys6kU~zzVc1r!X+mS z+cm2D)OE#Uq6s|`o-?>dGv89%z8+EP>OIHet?t*n0s@8F-qLYZM$^@Q+O==gF3k<8 zDb14HLT)|ZQT4Luqp5E*Na*{WwRrO#-5$=N|FOT#x+1(p(_ewqGOd>6$-{N6*1Ean zk4GNe{l~$2D$-lwFTj7R2N}(}&wY7gA0E^gu-f*FH>kC_1>Z1usHm8p7dv{eyG+W+ z1Hf%rWSx)N_SJ-NAO=3u{;?+ObX7@S^p6?+=!V^~zf(OgcFnv%U%7F=J*Z*#v5r*` zY6bNcD7=R!dz+*Pr{(F7z&r#>YoD;J2Z^-AEf)aUD2pVI->7 zT5KEdz@wf+5~a7R2lM0O62_O+Zob-a;WE&qp6T?%7TZxE4>cQQKjMmdgrQ_4D7;te zLHaXFy&6L#3S@BmK$p8k>Ow~p*re$0`7T6-% zuUVT|f|dA2f_nj;ETP8FwOO5*OWHPBz&~2o$C>R(+E@+~R9Bhq2&;WHM4$Ytgn||0 zpz(UYt>S_85FU7K_u3Zkxjgrg_Mz`o>`$MFh$oeeBxU88o}+4MBqfmY+sZr_f1C+$ z)K~qhOAZLD2RJWxxNU;uUZ)IwJRPuc)??4loA`EMyk&hv6_D?slP9wtRH-k1w=^p~ zns^f3#Tz%4H~pK|bEKilLV9zr>gw&7LbtOBzdu~ZZrYs<&_P)7PP5rpzbHqGK;^1k zUU^AXG;e9Lq(pdwk+SIz`%_ywY6wsNBC@$8pwGo82IX5;?x|7*o?KUXl78yf;za)P zMJRD|nsz_945HBemv?%{Sj3*|cixb7L4Gb%5QZK4Z?;obgD0Cer>w$MK?sOEndkv- zKyK2ljrm62_DXmsui!Dq_E&Hh>_l z7p?A`D9Zcb zaq!o*Q}>fsP$l9Tq}*$2TNhW%u>cLl>h%?8%-sBDTR;*Fym9Ztmf8D6;UlSag-5oz z^~?6`Hb>@56b9tSFU=0sOXyxdngIDZs70d#7pFc}$b^y~kmWYU^au}ri;RdoIb2b@ zcR#3VtWre5DHHkcm-7L_fx`IJxu!eHt4?VJQ3+P^ufDH(_8mO<`1vc^!M}FEpu!6H zZ!BXG$g*8|tSx^@e>eT6ay5`U_26iA)CI>)#)nfDf8J>+CnZe0*;8?QEbR8H9hp)m zVRU|f=E0hQs~5+B@0K<`N$eb(2DWZ(aX0i~f7Z}!OMG1ad-zJ4s;g9mTqVu@?kcPB z&;s1S!{-qJw0VH`FET5?9_Gw^J+kq}dRFgm3v*Z8+A&}|{pGopAnvu&YUkc_Uda19 zWi1Vwj`C&2ZvvBYVJ)X?ftZx9HQswM;*HhveC_gurC;4kyG@6zsALF!emf_vxmvpGD^MJDOkR?!zPeU0r=tF`P@)jp8ScdD$-OghKKKodbg3JH(?m$)#3WhU z^^gS=oPtuNWw;nO(DkFMZl=40xQJg;Lf^p_{+o!_$6Zt9js43Yc*GcgO_tU=)B_+L zgRwrl(9G9MBo3&v1Q@+`>_V>+b>qQLPg{dM=i7f2x#37Fai9oFFGWUL@2;%az33_M zG3jLtWt23;d#>$q=Kd$|R7^F~JtR);CQu#CO7M)pz{lg-xu+rQn(eB>y$ z1WFtdC?DqksrDE@n|)a~cF0;H_fJdw(j6`7onJ5foT&h;!f2E$s~f6RTE5Cb)wOZo zX?$r!X!)a8fS+;9JiPF1=UycDyDZJ!o>6A@y&&mPuE*jM;9nnUO_Y>A1AI}}4+SyB zh-PHqY=1Tt)HK}Th;MaE%43ha7j+b4C*Qrl2o+-gu*#;e%$dMNXhOghDT+*)qkWKCL9F)*A55>H*kSkWtqI(w_GVi`44xJ2(@ree-YxUdd zjBlVkH>h4-9IZfgnoSmNv<0whzIAKY*x~I@zTC;1x_+(qef;w1^3rIZn|~hP4`)t_ zwk(XRm(Dv40q>Giag#-|v*Dopb{2USRCXil^cvD(pp`#ymDRn9qgNYJJgl;$sV2x+ z5T}{@$0g4_F( zF?Rw60f7c{g5FA!+l&Gq*YO3St4*zMQ@^b38s^T$nrK%oh|0{y0&eO@WR1_6g0XZ! z3>7vul!vH3pB!(}tvGvgHg|kv0jimQfgCynF*9uv9%G08A;5M4@?eOed(f48!e?>0 zQMFZV{)K22ecIn7io&sDf&M^9YnzUbl^ zJ9YQvwu3f`E$zCKc*Xglz9glE11XMt-9B;5Oi$8i)Q{q94>w8OjMsny+P>JUv`m6n z6N^hG0AO1;9D<0t%xQw}lQ4sr*gY^6zpjIO_fDK^A&9@LQBjoJATppU1QDLJ%(O*7 z!8qK&oP(e4e%9_AcB?;Y-y6?_OM@$*so4>@%jP?Yw2dCs5secXO`wKJ|K~2CNt8Sd zq{VLnxJH==Ux!EAttbc3bTLP@1D-KkLB@e29g$_N} zjbrs=mHAswB|6N$ndavI)C$xi$QPMMvFE2vi`~RAU1Fi?(&AMz$(XD5REUkGi3r?2 zV(Qe z^B|oSuxWD9mqNLpVoHnL0b{(+OqJBk z3#gu#^W0<=*R;Ixx(cgkMLI%>XUsv0IkWlj$jQ^Y(qu0_4_8ROV63fIeC|+QdIzg* za4rtiRR(UYQ?}m|a+arSrmG$IhxV$}DK0@xF~+Sy)n%wGF$G*)`Pt34E7_U4Uxre) zD{F0UZ_=5XJ>354IL^y#ak8!KZOX0&;gMyTdk{(K*gZ{#k>b8D1XonwUn}@ZydMs4 ze5ay+J791SP;$>oJ>@W);Ja-J$v*o%bq-g=O_ja(6iH3aAmubDBIc;lsCAg6sU|!tcj4>CiuOzS5493{w=bk$g(K^< z1t?YPPuvTV$XGZF@0oh*ORO{$u*v1=gOe{(>);g9xh_&A#>$LY+M{V~HKw&5Bd;$tI`n@CQL!F|o&)%ly zxBQ+eD}NMZp|7m%gyp@7$kQuH78n)Z6mv}MBfuFPkoI(a#SQ;xt%YOYJgL6UH8ts) z#yed?&PyN7hTK2XQn*FzCGqvVeQflVFuBn!UPgI^t3|Hgp@e%Uj^x>G_Y(dOjWr<$ zOivW?N9N`}z$3>gZK?%@M>Tn%Z3u6F`EFiR7hgDFsPl`ew9xg=&VJXDhpkF6V^5r! z0>#p;~}%9 zUbARZ)3m>x?i^(ZR~9|FY`!@2(kySzt`ll6b3g;mxqRppzu9MGx-jJSxI@OCSdBV{ z&76Y4gVAisZFT*5DY^GNPdpY$@&!z}?b+=P?8joan*K8jKm%pywfm&L2T-D|jqv%o zor_B-_LQo3cs7;KA2^rUN+2e}zh~eJLeSqVr3l9a?$`~yR{Od(gI_Gsf*HIxd5jvP zsu*4;D0@wWI&-bm90UzdXA!~SQ*e=PYxkVz3o;(RLFso_Rhp-)@s8v^2#D-xgGkbu zD_SD4`R1&oa@bhqnfObN++*c(M};9|-=(5FxlXXoXPs3@DB-HaYwh&DH+v3Tdb)}j zd>}zQ>ACai__E8+i{2@)0{W}cd^7j2pm^VTMb?F0h?MMH$J}FBa`Qmb;g+e~=XtW} z5(|6hf2MZ<2E(O%W?by(lk6w?_2f$P)X;3}g7O|UcewU5Zm#aA`A&OQ9XI2nS~Xl~ z*9aS?jD%xs&zg!j5yBM$$yyES6Afyou2*djsnM9=7A9DSG_<#jm#r0`imTdSRjpq2 zH5<4n&PuZ5*7zohr0iN6xM&AUK6d$N)tbH#IJ4DCr)sRebfF+F$bZu`K?F7olix40 z{g})qpSYA2pM49W09#Vw?ZZ}Qn){^iAmsSlpy zEh$Zh$l`b*n-b2w%I5^ddfVK3o$184i2%SoS}R}Ro6R17wX+0jYHG#q^t$wOy0hoP zP2b;ft?7AAe5WxjJ5&W_OU6e-mkht-dkTLZe;hYt?fJ-V>uHHyzi^^(c~s@&@{58m zw(#F=mx&bbx?6ja6dlmj_vfFMSDWp=-SdC^>weh=tkCzzV{0!My2R2|b{{Pj-gz+` zXCNji-JXtL-+os+O+FsPBG^-LhXV^QQPFT%vw_f2R^#4p=WcuMD7(?!bPE$x&~Yo` z%bQA%zlFsZKnVrHe+)mnztK!F5ikU^A&r?|JjuQdooWZ3N{tLfoCgy6x z+K)6nJ~0Cm_|UN$UWoF7+t7_JaiH2H>0ae;9urZijT6SiQ~2(W=Id5T z7&y#khtNbG9F7kaJ}J_(<4x$7otuBZx;XZ(%>2MvNu#;%MP=g|GKtXAIi9e-?TH!F z53eh{tr&h&@$OjH3O^2pNSgQIdWw`512XHkLJ^( zErU!#2PmMy;5=g1`|h$NEBu3f7E6$LFH zfPY`!)t9-eWxJkGDfg<0FHOv9+g94zKQpC$i%_ymDc@ zDc$%t`Bo^f4#(n7(Tx$E$dy|AiE20q{g|Hg_@|hERR@0m}?B}E5a_3KVI*)&% zymzM#Kws;^nw|R-JVrh@-2!5gyZi0a3P>SJBpy>08L62cDJ8s-_rG{%gX!ek$=li0 zA$Q-VeOO)ZfC#8R{hddj0S}6t4Lb^Y0%d!f;da|e^Z9bZ){MyUP@AabILA#%#6D?K1i8Sxpmt_Y|Oe)4}+ z*Qtv5kX#3t+H8nnn(Wt&$Ap6EhKN)fcQAVc_9c$(@i&`yjefed>+~D5pB78bwnT_93j}G5)RhZu7O@3(!n;2qPDRhE zYvW?Q#$QW9z&G!~nXgH__ra}feC^GLt8A(={CiqLsW$t%JE$kVY)n&wd}|bTA{s0p zh!~lboJ+C(t9dUKgiCUQ&1alQW&R3PzR8c(y$Um>60>V2i-**8`Bv>-M-*S&UVQi6 z{D2hvsLjIimgjX|QhpJWP#b@TVB#$mkqsteb(~k~*_RJg~rl`E2;y0S=FMiz^PXbMphN__mjy`;|s8G5)rofX&v^W%}vi0LC z<)vN?6Iv)Ao)1w?5M#V-xUw}ML5P7$KruK*j=WU0h}zE3Of z*R~h~W zjVzS5KfTHecLyVM$7DHI#=%sM=Hj0Rwb1C#%YW3<%$8;*Zyqtde!P}>w&>K}M{{%F z!Kbzp%y~eym8e!{xdmP0fFYSH>e=q78-R5@`SB4T0a~GL{SP ze@+6%>F$=n&OGKxWW}GZgQHqm5n%A?S0v9fZW)kQ=b<5#$ye04@wks*mS8!L36bm& zV|7b;5wNMGfqYbSxDhnzcRsirGaUJF1dOzqIA@)@UDZm~c5VsKy_8!%0bq;w57*KD z1+2os;TsQjJQVR8*O4d8f7_N=SE**__84NNigyE|rSq}=*qXF@#S zE1vkVdW0L;dlF5li2W68$>f39a~ooCHNF5MAn=UErlIy4h(Nyq7_m%;A}7^{WAzf! z^}V3b;=i0Y1JmU_k!Xb)zGO`|;Hg?o+xk<4W&lIA8w^B&PJeEcha2ae#nuYEE7X8# z1F>(C^TE}>n33grCHP>;o|S|F(Z@MZr^$9|5$dSUHPUJvoZ~j%SKfPCL+0T*t-*m# zM^Lg)DlPw3a{OZduAax$`W*QdE9U&N26T$cm$oSN-Ut17+Hx)EnQP;|g05+`5}|ux z0G&R&)a1E@G963YczvJ|f=?&n(_;WnXUz^$;QnL(egX78Yt9&c;k5aBti5rD=U8)+ zDWo0oRACm>QWx@OoBbnA)&#yi?3*B|o@-}(W~=R`#aclAYxm2GdwbMtq%OsS5xCNU zDX3kx4UihNe85#Ap{h1-&Sd<|sf)&g;#3}P?tMkv`x_mOwQ7yy3TG0PvR))8YnX(= zvL{;1YNJ2I>;*F}SHQ5OeiwcovMCyUZN!%6Q8Z@d^b?32DfPC7z*DoLkmN?QDEcFZ zzWq7-OnQS>Lae?D;%u^j^$_T|e7wUg_rza+gbkEmdTF+FCdSzH57aKwb!s1mC=O^a z4!3p!Ou=|+D(z~?y-b0P>Ao-mW2g$BcXawl z_cSUfeRguK4^K&JI><#`fOK-7PYv6&Y5Hyn^w z2?v0Wwx|vb6%;EQN(wco_iBFbcrNF85tQY3E*nlg1)a^b-sBwK;GS+*&B=`5;}hT) zN6lYmK$Kc0SERRT!6dpAM|m&zNQGs9x~TlTqb&}5CA=15QsRhvzqe%4JTP1%RrA(I zor4QciCaT6B0(+E*p|YL2d_8c+~OCf$|4=AhLfSR?C?4%rdHneQ%33D@fd{VmGm7r zfy5Wm!h(t6@8Ssd>B>J(6wMwcjfZ`uyciJN$g8ut(EVVd*&x%cd8O*hF%>$4jQ_Kl z5|vW-pmyfPdk}#S#Hk_J$pR^-9~)YCYBWM9A#XgR#Ob)qnrj#AL~qx>QEgg(PBzIv;h}zywe3Sr~gNU7s)?zmK&HwbdMr>OGYzzdDLq3mv^M4;_E^M`_v0 z(4%whD~L6al$?9AlTP7B4xwG>c(9AJ3ZyK8>VC0)t}Jmli#2F@;D;LW<#Zirk=Wp#(QWM#zHyg@xIV|&M6&$ z_1_D}B?yJ74Sf!hdX|bD4~b@;Mj*yaQ{*G_p6sgMa>6HYG5eO%?*nPdh|~KA?$kWG zKUhvlxR;y0X#D2R*Kck@*J(b)qNzEV)FahwWh3XLgk4t^DMh7 zx9zrT_5!htmQ}z7%5AL}zl+Bp0zdCKcpSn1Mt`HuKz>T~NjsA>@^h!fH%u4)v`f=G z-LU@nZ-8w+lf{RL0H*T~`Fb(lTk5peTlnE&oq*Sr6@{fpRSeCMXWJfxWcn5R-S{ZS znyrZG*Dj&Nx3}fFoTR;Rwws0rBx!c?L}yZAl5dg4L^70|sYH(z*D&JCUTnp6y>>A!i;@wTl>VtL6e=aU_dnA`0j|xfem&G8~4ui zq?GS`_GIF)Oz*LA&*dpk*|fBS0Jhoj;dRr12aQFErEKTXX3_=|?w>fsJE9`qgg9v* zK)b5~-z+Dh`XZL6CMzp`_(<%^m^m0pI-??@VX=#CSX!iYbgjyPBR36P~5zu5%({C z;ADB>=vn0bGr;vFpYHA|anjQ4dJzmdPZc`*rG%hP@mX}e!WkK=S+cW{OFe$k5hF~* zN%PLaF0nyfk%xw1VNY4?0Ug~Fyh45v|waT<+X)CdNO`k64 z*?u#Y;p+5PS+Tt>k`v~A*8#@9Mk{2;;Ir`E)8TO&$&uW!jcUUY|R@)H? zo>fRw5jNS}ryr0mCKOn>pN$NEXL)@Fy(^^&PJSGI7#c0foEAO%k_BWYz{YM{+nYas zHU0%+XDmTfg#%|o989V8!!-Yb7*Wj)bB_R4+$H1E=12K6v3X*>PQwkTo(ni)?zAD` zNSksRD7=!)F(VK@oDhUmME{~8es=%KOW_kGopu}O!qzE!9%QRpU~Kd_Q1<7_&nl5eP{pk+XV4`yuXLJ9_Z=}S&Gd;^08uA0fFC!lddL2XYz3jQ9(4h2f}F141a3xRqimOEE?{0*&C8TyvKL-N+)ox*;C&B>C~;rg zAIl%sclK-WQt1+V7LhnM*~}#`efEocGlhI1!*`NOkBB`57zBhSjL@I(PVv>)hyOev zQO8q8NF~>SxKz{lBUkV4sV$t0{m1jJkL>DS()Eeiuff=rs;*)#-atmLIi@c8u!mmW z)%iv<*Zq`YQ>$*OiMCdD{PFGmB(`}nA^qk+R+dxaChIXy{qYX#O`Ko7>&+#1r?kFa zb5ZKVjgqn3XYapK5qNt2ry6SenIdJs1&!95hYo7uBzQp_H4*v~&8LV^HJD%{X5)tX z-Xy6vq51VKYUU)-!cX?&N4KZGFuxzq{4>V}gq?H57QeZ~@2`BQ?*;)6O#CN(;^6>i zn-GR7{D8Ao@9eRgG1e$exrC7E7nPdFyteN8@p8-sp|k7D1Or-OIRYY)_a8f1n~*El1D42e&;BjY4I|7q3H%a^ig!A?yYzt=u{T614pjZ zZICv$di(5$^%kOF`s-N3Z9*CD^*8n{On~|}FXWBVbmdEDoe=<8#H`gV_GBwwM%*E1 zc^B0OokTQvr+06cp_8Q!;fCrA)uku6^dFhG%U*JyCiN$OYi{5DL{Wmb6_>Cl>P>t3 zMs8Tj=fms5J^jyY>i9wggV`D#Fu@#$qbE~_w`l*Ke~~076ed8fV(m&9Zz(&p(;{78 z^F!K&G`KlMr5L_3yeW%^oV&i94{&PfYy!+)2O%+*T(~4xEL*^<=dl6?F;M`6naa{Z5TX)11#Y85TdEqJPxX zsCLuV+|c>_j9RhX(d0eK!tf0;Mi}vSxX{$)LsP%=cdMAcOVWO@Xoyl&>4{O1&Il#n zzlHOYJg(UyRNrKwA+RQAv$e~26jfMal2bnYCKynL%@hA9lQtBtL!9kM^gn)6^pK6i z(g>(HEncFIRY}vIN)jHrU(ShkS-67Yn{3e-eUUlYfv>B|q$Qq>14IpV>V|^l=t+h8 z-g#q{I!9cb#3A>#k5?3gUckPVNMS3I&6%eLdqBlDk`W~lCgm)etjsA5f4dSXc`A-@ zQ;1j?16@Mv3E$?!V?T3FuugbDW%RP-W-XPvLk;BTZl*f8Ya{Bu1=kwQ|G+iocV%TW zs!!}Mmlgs;a_+J(_aA>NJeavnXg2DDs|PHD{((nUD6X(w$B#A9sJ`9<6BM5!7uspY zHgNNB%_!3lTms4_khXN=#M69c9#>6d{Gp6yPWH%*t+VL%Ea?qTU%S&&_>M2*c5D+m zDwiiQ_dG@Ep|Y2UPLk%1i~fgB%wTq6KZiZs0cVj^`c4nS@QCSVT@k$Ii2qN3MpOfL zBqzWHzaq!CkX{_Q_)VpLIH3f8zY3aWuaMe$zJsjsCIg;h>wvYA>A#~&vqSAb%zdBn1mJ}y8Zu9Nr_6G#0oVhG>>w7v{LUsCS-^sv94G4T~ODvQU z%8>Fkf8fiH=>B!i^A>GmA_XJTxasP3^WO!}215Gd@^2hT2qq0&5j8DN?Kkp&o-Zy$ z#<{saWc#1VIlWI`LUYYJWJ1h=C!5f@H(oPDqsxDJKb{`~M)dVVi*V5mn{0eRm8iPX zJG*aoQbOZf9oPX>ED@Ht_@|>nD{1HUL<9G7q3MWG zFb!0onnac`@D{T_P;P%WMP!CDBYaBCg*z*B`(@HWR&2H!cHf=5Dk9JN@0?ew>pOV5 z1-mac+0<7E|7p!x&4bR$$csmI$rPFG!WGyym}7L4m0;@7{HoU3!yP{~Qh#r{ouQ39 z!QNLCnrLn&c{F5dkr1U{uJ~Ss=%a8+7UHYU!`7XI_ndus{FRUq1%yNPtbvQm@eOM( zYDZ?Z;tRT{5;7J?g+Lt;lC6qW`p7~KU%w_}Ro+wF8QBr)>^Hk(V`7Ygweqm8T(n3t z1g6y*o@X?LQ>}c~_qXmomN%*)d%fHJouo+{AJ+VR!1Us?*u2)%(+$Y6P)fx?SjJ#o z_YtY43-|!Bs95g}cFpQm$!k8wJ(Q^&^8`;SI$}iNTJ9g583|j5GT{YPj!P#6i#?`b zRvNGMx|FYvUUzR0JYI#lJf8ALs!Mnv;ijmE;Po+*Vpjb2^|-aHWKu(yR+VH7&%#%; z<8^Ps@X{H}ZLVJB$3?#e);+F^@EYk45}Vy}6!FU`&%WMfR3rjCSBuf?+x?c>=RldH zryUD+tZGsadV}0RlK<8C%4~6KWO*;TOO5dvyD6?^i;eh3!DnPE7u9>ooAmjxzQ~7S z>RaPV&6Mdx=VZ$|(`mj_hdg-dWiG}1pF5*+w~G#b855HK`-mc-mp5-wQQmRx+s%b6yPM4w6UKjb<4XYcxZT*>pQ2H8HC* z?cQCP<6>*#!7~IG@1tep^MtS%6N6WMl1|O`PK&peE8yH zF%9Isy226HG$+4;=Q=C$6zP(&QzrZ!SEOU@vC2wE!p&9rl!(1+rtu##TV#cj(CbE1 zne}@F1XW`WIIWRXj!K;c6Ohel5!ITQnv*m2iziJaCk`$YO#?H(i4el8h=eq_TC10C zMHhy%lfuYVhRoPwfBq;5uC1Wz$|}xvmv)UDcylUZ{^0Ph1nxHo=LK!J?0jvI@LJ5=mYPW@9Ym zC7CxVnYVwr-p4m`=J{vHZ<0vmj3-GcpKWje_)P$CvU$0im#$XC~2P@QS+;@A$|JQ6&f$M z$y?#axnJ?)>G@8v&RlOpEA@{1MQtqiwiNTt-M7b+ZrMF@*qm%9uYz*jzQ;Z2TJcDf z)go*Cvu{_AE^Hfc`;5lyH5snoMtt2=zX$g{8FBVBD1s%A=KQu&{QPi~=CnQMpiM7C z-6OU^n?4P<9Z7(A=iWrSBQESanI<;Zr#Rz<8)L7wbFBYlJze+gu=e9C1W!#=Me4at zWj29?^Q1#rKKF*Rs)RS1jKzIy_$b|73+TC>$0Q=Eq8;~`0W!{sFWx8e|9E=uc&h*Z z|DWUF*vGLW9D8MzBQuV@vdYNbmA!Hh9Xd8y$2>?v#j!JvD0{C|_MS<02&wPW>;3!u z{^sIxoX5I9Zujf$_88;L0LjNe>0DO3g3F=Y;d8+&RT;^z?X^oMb-HX#Gl4E`m#68y zy1wy|c>T|(p?5!So_;aB)5-%DvaxjDT?7!m^4|w{LC=a4i$g7;#B#!RxHzHIIbG3; zd+W4DQugvvPkO4~&As9v!5(hGzSKWTcA6upv8Nr_v47#&FztH3074MG@`zEg+`m2~ zN8rRB@s{zm@%A>!3NMu1`d(FYqg&AoRHxIf@%zyvAYQ(?2vmzx$HZ@6bLxtUr_rXS zpi1foNTUaq_zd_ha=w4*L9djnt`vc?y$#!RqmlKMhrAG}J^rGA(jU|V>Q7)OUfCb( zvdcyPj(xSfwoU=f>1(MRiGn8R-etyjX<+8w0vGwU6(@aPI%i;_Ad3l{69!I2O_GQR z1`yUNXG)lSRm>AY9DlQfL%7gHg}HxQh#WSc^WBUkemoTLGN~_b8jSG`wCUW{igP<# z000TAU=E`Rq0183?qXVrXUjp)^%zW~dQGWVzlY&6mS&+|$oB6IsyiB$;*2ItFpGcu zo9Qa4wOSPTQ$s%`N_#WT!P*F{4gasEaFd4=iNaYfJW4v}Lv>PeHkbk;idX)Q4Rq>hN}}Ikx{}0bbb&fGs{-mZ!SF0$~(nsmcYioI;g$Rc1@K z!5MNHdh$PF2z)Z@@XZGjq=tGFO{Q zWPRxpm6h`mhsCHUBSZ@r{UV_jfUaIP{@l{JVDcY80dH^-*);4 zKu|fy!-(}!sjN2_WXkCGnFmS~{JX)u>qacESgu%j>BYYSX1;K)dEeNqw)gfj( z0ER)=zT!_cx-$`$VxI#w>Y!gv)q&ZHYg1=%S>)Qk;{mG{!%6IwJXCWJUi5jgKM7s= zd)29oy}dup!@4O8hn@*KURBO*#k`V6NVH5EPup=Q2Sz4eqfs2IxjP~D2Cxf%{yORh zc3w|+Y^Oql)Y;0Y21QGrJKiPm`MmlKV-Jicm-VUn70CaV0j|Qf>cH*$`r3Evb$UuI zuCRcTwoD9>A?c}ugW4Di+jB6QCM4*sk?B{ARxoj>PBY6qkd_Ms|7eHrN6SDv*pseuCV zSR%eDp{^a?Zm8Oqs55GJuih|{2wR}Dc+gtN)H}i$k?nR<35Yq;Ti?Zt= z#UE_}kGZ1q{YHv(Qy&e$+oZJ*EUN8QT>^Lcvh2cxOE7ivb=hu!>Z%`XTu?&;N8er& zAC}1rOsmHp4r;KeUOCa~Yjuxo?G4@xnUv`w+s+upJqIGeDRyZ7_k3U>g&EDbpnZ{C|V)i@5LQF&6+yRT!|1^Lb$mD<(CJ#d$S9!sar0 zQh-B{++vMN?M&pO^q`5Saf$Tc6Y~G{(gEu_2|w84fhB3oqx<>8XyZzLxmlngCYs>; zrZ^H-QsZorD?F2rK??n~w!Y;e&j4EaX@m2_Q4muZ<}i-R_?xIw(ol(Z>$Uu8C+B*4 zC&){eV({&6kJYv`c~d0!X-pPK?@oDH_ErO&gdcA2u%202#c0g7LC>1T4x{SuDXNY}QlpA_3W_=L+9D;k*M2YjYyUYL^ zf290(^1+QP^*vx9x&Ov=A}MerZb<=?=6kb&&(3t{pS89C7|?jkr}la1|C+*XcHB@5 z?PUB-HE$9I)OxHy@z@YLr|EGx<9?~-H~SCr>}nGitV;iUg{o%DLa4FO!uK;{ZY*cM zYB?_HRyPgF2POjUlMRGyGs1PfwhY<=v;F)4kypPR4K4g-!hOY4=mtBIx@wf#8-1_q zFpZ`*ddqJO$h()N!|1&S*jtkbT448<7Ecdnb|&+V0jAiZ@G#c6=1U(@d|pZ%LJlm1 zzj8IKyR>)Pm?%(QCw)1-si3aS3VYtD;ZMU1(Z+eg~0ztYTH?PzKtQSqTQ%R>D+%qCyx zxtnQ`B9C{fKBE!F1uJo6q^|`5P$t+i)3L_|gm{_QGS%Y{Dmh6H3q-aZB~wSzBXvVl zNjl8=w$S9&xO%KmcW7XG~m{MuwJ%h?p*|c8vu3#Y)fyoB~8~>T7V&A>26~#IMcXuv~X0?&2`iCg$>Lt@e)NL$=Xz=EERJn3w zA=c!xt4!(I1j8qXpO&~cN6^Bx4QY3*6^4x8NKUyxR8B$BkV>6;y-67N@dsH8$q(`4 znK&`1nQGG#)uPZmWh$jE|A-lznxwIAFhADu1&@NqJ#AT}%2W)(S5(Dn^9T?N`B$K%!(N?@%IK)$zghqbkQL2Tx7g z(G1MwfHj=$zgQLr?yJ+eymbntJ9L|(QE~tdiWF9 z6=USO%7?#Oami@;t6er_ z|1QmLDa`jZgjORaYGGwVjiPTn6I#7wEaHi*g{@!1Cu7NXFD(6NBc#c~fNzSQO2I*n z;YtT1DsPFhyO_uEH+W8~>Q;5%e+lIpnT(NkMjtwVd1PFIamhNChf99p0PzFr@f#Jt zbQdM@-o8Doc6=Spt0(^So7YuS*Og}tP^@3o$Z|)PT}Kom>KCUnbp76hPT{8jtwVM| zi`ZRP@(~XhwQXc}>H0BPygs97X)&p=t9@ zB^+*&DCbTX&gDxhNETFFreJzi2#X#r*iRJ8fi4BAN;UR-@PJbgNE#GmpW9w;<-W>$ zbCWx|lJ57IZROK6GbzMU)d_ig(k}u(;bK%i+A6&(42q7Y>0m~A{>FxFBZAJ4FRsD} z9zXqY20st{)U*qB|EgO-P;R{d-C;gg8`AbC4=b;(VHGQ*ilqmGtWsF;F)lh3rgvkNPTM1C6r9G z=fM|5$n0luQW{>F|7n``kN+;@@wW%HUnz*gQU!a?h3}*V>f?TFqm;R}Jb}jGtT$YP zp5i!|_fMJ|iAmdWKJU;-u94tN`QaF^qsAS@_*FpG26k=me??AzH+910b@G~Nd*Stt zM7Vef4ZJPi5_FRtgxfa}^I#qvKOCciw`nAUM)|9BLioYWNm`aJZAI~~Mvr&(kcDxc zlReg41w3&Uuka>aLUB1z3m`N>r2K^e6)Z|-vS`Rp()53V@ZVYip??-Zt)oD#rcadC5KRN>|4YH49BQWw(ZZXj=mk*rSar5FlZR? zR9_9&$k{VM@g-t(#$C*s_ruq$0tOe{wj9_Z)?&w_c4$zhC67q{ns2(H9{GHd7G8y4ERj+4FINkn$ za9gHWvqdzvu>I0ld_%?7w~4sVrb79>G5C2(-|5ejmgA$Ku%o%)iT_qSVaw)rKKIPf zYIwX`)?rfKub+1XA!<9z_0CEC!KMTLYaOb$p74SdHy31&>y_>FaCq;94__#F6heiJ zIV=86FgriCL5b*_KMriTO_jfYcQ2~%VW?p!NX{WesKjCx<%%WP=T>gD-jJY9=HFfj zhy-h%|De*3vYEzF_=+BNthrmvwuIjNY~NZbNgk^+u*r_VFCvAvuwVyVl zP0-eFsM)?_;yS-7k$S0n?@hq~2CPJeiio+J`?e(tmrN5Xn z63vvLf#b>5iJs%k^kgTSbl7>9bzSV@tzj&A@8J`BjpgWq-nb0QhnNN)A`1(lW32un zJR!vjJH3V1^;81S0pGl$1Hp@T1FDhLtI51TrOilbXBLiwL>!)1s%|wn)K1^1keP8V z$bODMFoxkoxlk7gLN&evnVj+O-MX@k<%PeCq4sb6^KAQ5c`Zh+tsGslFg49RpcV0U zsdn$VdSu+5MZU*|#qK#q=KYqDsHnMCkB zp$z9q^oO7-nS~4N8}*S#&Ri@179QmgsX(}`T1Z-{TG^wh8u-B&C~DKnYDRYpU?~XW z`di;39*!Ay$*U5vDxi1>tt87os^pxkf5!au{h8#)lv`_X$k=B`5@WkrvaPNW*}%(j zMZdRILs^C3Dyemn!-$-j>NY>Seh;DCSP(cSszp5ek!~XCbWzr7_Kl^Lx5CtEi#0u1 zW<4s#bx}9|ARAl|r8J00@9rx&mTmyH?p8V;4K4iYyXr=-Wse2)b~N*XwNivMMn^)2 z*7psT`?9MiVRU5DC;aYr^URndr3Bh28e5D^TgOQJH#3jCCicvOf9r%E^f&DlLLSq? z9^*ZA%tf6puj4>hB$CR52TEzZ;L@)p;!~3~{js9j#|_p&M#_B1x>iQ~#io}mG0ote zIB9BRu~2W@Mrx5=xMyQ)9oGR{!_?Dp+s&yq-%WL_5kB?$2BSA6&oAz09B!S7j4I$MOt9-xZh8Vm z)&5^8^HkM>_MhWFrb~_5G9Kc>vrk*pp|sTl9oy~Rv8qQglO$Cv&WzGy){|O$l#WLU z0k86IwaU(n;HEhQZ6`wq^8gB(oBMBbzsQRw#3D{?Y9X|Qe9R*yuY7=bLAKz`tH?2K z(|RtHjW)yP&mino>>|D|^tAd9FU!$I*_Q2s!Gc1Xo+$l#t18{aw_e6s0I6QOxShKYveNLH=q`Su8Z5!XJ(TQiN|CeaVRg27jKcjJfi1T5_ zfeJw!;aZP&;LLHHXO;M_5EX;zDVwizD2T4r}p2pdGK0Xfn6% z`6}heL*>PxVquel-ECrTGR-s-AB}dH4oR&HQt)%ceKuoNK>;~l zP-X+?s%@9`YSTU7a2xp2-WQ>(M)&UC#J~myBL+qjpw(8m6TARvcJ5~NY>3^ zlNQH@Sq0yPMc4eG~|>2YX5LYzYNX){V6<_)Y95< zOME){0z2tklj1Yl<0*c1D`BRR6V^?onA(UqG-bJ+u$~!HXvb5fCjRKwyb^}Tr`vfL z<~?$(XioZY7J-wbI8PKj9o*{L$aa?u3O*W$)_q94JTbyA^P!=!^%;k(GQ>#HVnUuD ze*I;Ey52<;k^7CtM-C5=h3Cs~$;q6_n{aOGo|%Cw8fs`#b*lm;=Q4Bwkrm4oPHe zyusavL09vuM}`0JwsA#5_Mxdw8AY_OIl|2Z!4`k%nU2?rB{c^u3;{ZArTcHP8_5tx z<^2J)+6#_H`8BzUE5w4|38r}r92-T4WUwAks`0_whDzigtp@un<_*5J8(pxz!*co9 z?LPyC0LxW9V$YaWQBeMS#~4OcrorYu+`c6>y9xEInIDE0Ju`5pEGv9xx!Mr%;@I|Bq642wkr^O5|F@sVP>={?uH{zOGFhiiZ3$)h@c zgsDd*Vd?p;eS83nor@3DsD&91SWj6J37_kU@9wmAvsvbGSBs;@Q5BVPHN7~O8NN|j zUJ!9tXE=JEd^Dv2o&r>f@*qauy`X`@JT5<9qlFC-=fbQ3;?~5w0^pQczX0yI55W+N zQT|cUNpJAGAm_7%lOdEWB4d-$eQ)7}s+b)vX+ujqS%|KScYB2yd^Mf~tzOt)N~vav zQxQ6VK=;nKkYsCWlHyqZG&M4d75brh2yrlJ*Mk4$sH2i9n3W2+hY!>M`J^cyR6>8a zkk7(EXCF_3A=G>Bq4JY;C-60#E*FpEuacquFN1%69!~*W!o7^#BQjX^(eC=lS$eu3~Rz(-0bttN%-MwBV$cVOr5qD??kG*D|3Pr zSzeLsB#$MRtQw`miyYB7Vy`#^rsNn52T+z5*F7Gm7po&u@*%{PC^1f$-U$z0R7}yO zNMkdI2mEK^-d);$$DbZ#7x1)Yw$41JnU+`RpfmvRY5g3n?kMI}iDyn*l@UI}UoYUA zki?m6%D-TG{Vp0&UM(k(lewseRIzp*>9A|K4-&@v?UJgD%l?x96(6i|trm^P#R9_=Fl#xKt3 z2z&8>Tar_jC*=2BF}@>7ORas)FEA3Ke~cPhpSP<0Sg{!`%WEYE)={}kNqX(vjTm&p z(M0XYc{wOK+~_-CTc5ZOoPEA~9(yk9M=09;zAxgowAzou8ohob2Q{_E9N}%wd6tDc ztRE>290p*}PpPTN|7JRd$z=H*mv~`RW>X@bCd)Ung-OB&%bS3h=W#F zn~dC`MySax46nGS8wi1{D?JP4b(9EfqPM9$KNhUX`lK%BA@4O;o()rd%%Z+9*ilh8 zB8yV17WsvQRGUrC9}R|b8#Yb204)l-^ajT-ziN`h@H5&|iO!mwQm^;$GA<@1Pi-uw z$Aq;64@|67o2UK^MNN57Fr_FhM$^)6Brvc{0&YQwySh);)pfB?dUF>M#e~O^ zNnBO*1ro8uVZ#)^QF+{HP}lR}+|D2C&5A76f(#&pgMnFqbL#dm6THo&#Bd|z&G3Rp zPr>m>sXs^Uap=adnzOw&w~ed9?|D)N2s7I5y_c`qw%NG; zU0KcxK=V;Asf_n`cQheQf+iCmaDg@*Y8NyEt&-1y#g8X_QL@B~X;t%|R_zj7G0|r2 zm{Q1da|I?K$-+MfWD=dS) z^roFG%hzu|gdHVh!Toxhtqc)Ud$RrdA~0>fI1KLz9^XsQB^v}JF>r=UNp!=XrVq+Eu^0@;c54jOKIJcLWXo)Uajr0QyVY%jsbIrp} z{ffDq_+g@Lbc4~9wgjdPkbi}E&yzC*5j=R~xm(-F8Y~pzZz$FwtvktJWgH@mi+#Rj zy^h<@eROhuUN3oua6}9vZ1MYuhdn0RWa}0qAm(==Pu;cvs(mVP#yLwJDr*!k!(WgA zl0r+?3d2NF(mP$OZJv`y)4&Ir_!TDSPHY-t0cH;o+oQ$tCP@gUWknC#XsQv3+Ab$N z>~yPhOZV*bP_8S@Sb6Z{9xs_R+^DA*kz}9)Y15cR;hQpP8Vuy04wI|1iLyK$lE4Lw zVli%gbVqsJoMwtFd@YBsI36YsE0%Cu5fNg3;GBjOGHX4;oZ?nSw*L7PNlyE8e*2vX zCqX0!+fEho(!GCqTx0dp_55j&Je@CzgugH!^gE`_`u-rkpbn>JDu5Nyur^ zKlqm8u-TFL&|%se=9|nI&MdTsHUf7rNlr?60ca^yUZD3@Ev1V*k?T)4KyUWuf?HvBWTM$XajP-Gfgh(}P zXqgHb#LWWs4D~#My=nhV)n~^{s--k|pY!1k{&prRoG+qk$lo7>wAZ(o{xg#Q&AN06 zSfi|lqr@gLr{qZD=tCt|I)BRra%IgsLRWpWjL++@6=i^1f0& zBjjvv_Z|ZZG%#amA3lG3nirUAPEsY}UK;c|D590LdqG~M|IN~c5f434!6RGxzR-d4 zqgs~Kpf{(OQ;D=&n+FHa+%tm|);|^SlBMqCW8U*ENKE*xb@EXrV(w&Ku3B!GMq5CF z_q{ZWzLpfIsXQd%X>Wp$SIh^GwxuOoF#YrPT#2$zY#rRTrG)tHdGF0{fqe%}(FZPL z6aR9C;;d8K3P=t-mEJ46CZnGkT*!rfyrPmJ;1E@NAq<+V7E{+{S*@iiglBbmDIEh$ z6%~ljjV~MWa24We6%!Q?O(6=gR>$LDW}_}YlY*I215^4X&Deal@%F2-#yseizJI5&Ayj+cKZ z%p?Rd_1(Cmu6tn*q1Hlz(wiDPqLmc%`)<%6IlT{jh|&5amrMZ2(0xzX3?7-_-Kl{` zJpzhV4oN|acODoDevLbSGW$0~*8gW)&%O>)18f6&gg><(lOnapq661KlcMmp+6O&$Kax`*YamfxQSXt*I2IKQ zQ3tn`K=rB^E93P6`fc(?L_B#(E+`uQGRgjH>NOzMVk%rbFtA^0KXCo7G~XIzQnxZ} zw_E!0!6+Q`x8f5&TNjYX;Qachv9rG%KTg&{9(FyZ#8rt0IaUshWAX9 zTH?2K1d)Y`nNc~B+HyhH;}sjVcPkA*3Ss=QX?IUYHgyYoA7tv#t78ZTIWAIC%8ZY3 zkBTt_f#e9(*i{r?8JTJ+FSx6|c8ZFt5baZOL(Mw(TnebHkGMZ%18F!rQSQt~sTm_2 zs|8}>oZ=f8^+atJ=28Km4U4fD00xztF>==XjdU1Lv33ps<+O+ zKH+?#K>N9~gs?Te^Tg|q@<)h;T{M$|qBdQ`!Sz=cjdLO2!>k1!=NJFgu-hPu5TGM= zx6LV@$#-3WOX5#^s&^G+d12-hU;(O#SM(tJVm|+LPST(oQag-1m1qMDdT0>OE%q#s zj@XN!NDN3>NQdPzTt)Lp$yn`N-~c>@p>NvSn9t+8)0`7j*IpOy1^69c1NnI! zIcjPtU`C(gw|b9s&8FWK)Dk+1JQuRKGZq_CLKMWOMSrqm_SIdpvlPjMHUjA)LT>EI!5b+IE~O1ng`q{(jhn3jfb9n=}=`(XH*A_h=)YJWT90hH*U*#I=Z` zRNxI!BgZ0s%+uvxlbG@=J7XiXnJBed-awD$jzZ~QId>57H$6p+fBEg4p*(OLpbmRo z$HGYtP-loY_4dajUrvpeBoST^>I^9KrXkxkQqjzAiw$e$S)j%E6W$oAs{!OfEf>GX z9;1l8O?Q6-{lOX&Gokmf6rY#pJ5x@`s;cW1cBTd%!qN4fuU7 z^`R7f+dJj|P4g-DEl76)$hx_@?$&1W&`jS7Jp7Y6QU&yuLt6E7##oHeh|m}O!nrrY zeb=u5>pqzh(J)mnS4jg;*%qE0qy=PHKLwP1A3xEv1tuTOHVD-_Jy|3XMK_N043WdJ=OX!f^jQbo1-ESq}l=POk`x*T@PJydo zT)AJ5=gtTPj2`4imOUDqXdOQkN=)BY_XZH9D${$l1W0UHG&{mDzT6V$29Rv>1*dt( zhqFUlw_RJHtQj;X+-1~>=Y4(4Jc@4_w<~=3LZ6EPYodSz*243czO^-0X8dAM!MHNp z1hxB)+n8l9z;oo4OUIT)NyYI(_d@c-b;`11f=*KnRnGX6i+5Wh49DXy&rm=0%c!wk zDiQ_A{~IFZ|9^n?4mQ>KTs7XyBLM z0ohKw$C$*8%wQ76;X#JX9iU9tZgP9BO@SCGwtX^I=kS;Epk`UE zaNURAnSPJ#?3xE6%D&nIo{SkZ(yxm_Lm}+L&DpCP_m!OO<=5F%-Bu|`aJG{t*F{@S z4vAD~e{T~iLLqB;v@^7(y6@dl3-uZbo)4q6roKOBx5fywiss-wC+gwmY$^A~=5BGO zQSuPa^&YLvdGTrCf)Vpl`=vg8jr7w0pse*YPa3>6z#P6})t% zt}1QBHU`%sKv2Xx{j|HMc3_K4*)&pvo1Vx*bc?-ga)D#177mK|lhxx`E;=b10k}sjG@^B)L zcv20o>|K|Y5MeHS<=lo4WXf+qs9dPLkVdKeZI9E(RsFoQW$(`u!QmYRT!j4Cfi9&O8p~$p5}#)< z0MNw&cl7iCTUDQc%cPLE|9zK2iq&Z-2!MLH!v|d%p7Co zYN!skr+|%CsB^?fBz0OLt?%;harT~`FaA7u($r2z!&GM5w78G@kbqnq;}Z;00)ysD zEugWL)rK7LPtr^Z#DCZv3M$BwhjZVFa?V1uAP9_4apvsOw!|%_za~Rfc4-L2emNDV zt$ShjYxTdu{a!%#C~OrFn=PRzwYCm{=^99DI1JQB=RD&f`f%tCsn7Vid>OmP?kaw+ zpt06^v3S|;q*uYLl3|sq@ z`vR3~oF}FRI$5(PLE|ru5~s@BTHi^leL7e8@3fkg-~cjZ+HUg#E=Tn5fQey;Xxi%E z#z^6pU6GGfo%oCKLNA?sT{MP|XS%I6i+u(LGLWjNE_r@qU2i9WPJn;SA>vd{O))`` zw1SbJUD%R97KYQwrii#=9LhzxL>2gT@weA!qhBP*kaB&FD73$<5iS&a?Ee?*0M3CH zdKllnuM8}Iz)7qdGswlNy5*4vLkaj~gT{b($;KmwcN1&yrE9UA7OYy8?|LY8j9$8XUU2o& z&=KQ;*VH5^q+=#$n*4!am17!&M$GtgMtjnH=b91A=W9I61m_zoTfOWxVpP3qL^%Tr z6l{Yx6In6`kR2il>rxTd#VRT+Ew*-CY8!K`g&?Fyk%Adhhj&d*p(;Nf-*l9r>L5CJ zJY0Lnq5H|Rg6AhZyYAPGqk6A-e&VyNg^HX(Qs{$|YI~9Dee?GOg$06bZykG1jdoWr zuy;1^J;m95UQ{Wh0r9Fr9=@#&$GYh*OM*q+mj{p(jj@(*g)dwjuP0O%d-zdUh~4lY zbg{B1CFHR>G2gcw#)&oJam;!NZt2zMewX$AjYfk4I8wRP3`H|^L&v=BtcUar<@ob& zH+xGHW*bnJ`@;B@%R%)ZYuIIGl;0!&^teo~(;YL)0ax|@>#GiRou0}G!z?S2G2|VX z$cid_E50-Q)O+Bxy3DFNx>|gjJkMe#IKpVaGh4SN^?k%36N$V-FLI<-BGoiK`#DT$ z>t>XcQxB7^5Sgr5$1EnQY|j1(d)4o-TTm7KLyTnAMgqzlWw=S#xZ!pGw!n3q@3j4B zRy?>b$kuRzYwv+sz`w@7n{#}}Da2j1VNmx^K{BvB-^j)Y$zl$k?++&aHM`&D;Y!t4 z4JtUkd(3tL0V`JtUeJC^)dlu)n6TC9;5d3Bgg}8gqEM}F;C+I6?m|Zdw`c+3^-gH} z=-kttQhvLftu=4172kPz{C9nTxbq~BZ6egk;xym;NbH;)@?O>68Hi!1*QxG*?GqiK z$t_6vm|aAYUF`MAp~qN)KS{)2-nNpgL&;ZG}+iW2e_ISFz-Qi_>#jC^cD^m$(44lgpCd*ng*c9FA(l$oM}%s4C8& zPGDuuch250L<7VeYHQ2x-Ex2p;-vcoL;w|;3~ghG5}MsGhZ43gd##vli5UYqRUshT z*p@z_KP{gyJn_pw_D9|(2OoI!z1Tqe;RBx={XxR}_|q0<`UGTup1FYN(5A_+;1!qU zh_+A%`Q?nUo$NRi(T4y>feaF|h{69Y6mH3+P#{=4x~-2MM1*Cfa^Kl|n?QPRobzW0 zGgT9**`Im+ZZh*jBy*&Rb4c%iUgQ*?n7bl*bWWl12Ma+yLlHF|Aqo7om2aC5nj}r6 zyKJ7Mh1`xzx^}98lQxM~{#s?cq0#qgx=Ba7*Qqlb@~RN4jo<9_GZomt8N76pAAL`= zOW<&xjs{*2dhd6M7Yu#;_>B*V(*uFD$MP@yM4m>}_RR2!A%{F9K<2A`CN4*E`Ay1M zGl#*@Yp>_Bp3)Om)a6{$zOhDX)I8hkeka!2w+gE~{^hC)wpd%|dP_yBnbU3Jk+vOA#iK%Y7J`&Z zP^Kc1RX?~`cihR9G&SW6ucJSs&&BrLoy6+Fy^TiYR&({8VH&-! zZ!sS7|Vyb^HAX7gYZ5&Q> zltc9+z(nGWkfsR*azcDGmTug>*&2?FNr8wN#x@8@3pBRptqP1JA*f_6R=+q)ZG@Ne z72>B#I+B}KItJr={bJC1&11jE+Mgxb~h= zUM=7?&}NPQ)G$+A6T7YTcY%wVTEZOy-S@5P*^SkM11eV&uX1Y^ zAgRN6>V@-M|Ho6>II4UI>%`-@R=*5tmR(KA?VeWoxQ0#&wst?e#eg98#p%CZoN%(l zQK1mC;FoXjrj=uc8a|y}K1aFpeArkyUqvP9%-GW@9GzPy-Z7MNr{npm(67KO_c#wF zt#fTkIHv8t97Zc1`;}`Kd!L?ZX!8nIm}=`6*ak-Auky1DVTkq=Lz%YCzsLbb`w1oA zf9nhCm9*}TTkw> zsrXpRT9aooE);A)RRIe{&=CG!cwETMpE3Rb;=x?ur1KUBDTQtQX#83c#-8yZB^3zc zr}qvo8pY@owo~l0QLG+_BsyzXQ{Cb*^H@!(N-C7RyK#4`c|@1@4^I~5Ei&ePRe(pc z^UA|3=R21kiP?DrIS$p%IVL4-8!6q_UmS+A4w_)!n#98j3Mg>*y{fD&=>=V6APaCG z@f-VBG2X}2tXAt#%Lbw8p78^*!QIsEQbziJ!e%(?r#@bo< z;KdH#Zo(Yyt`Omc)H8bE0XmX_2Dn;_pkK~dxbnYN+u56M8qW09q<)+-Y!96ybhnXJ z*+a(%=}qmNlkwn|RE)rZ?Q0L-mex`1v}oKmASfEj?|r@NsPw*I#+!Sp&gi$pMwl}Y z)CEpCn9$M8{O=(KJz08?Cz5O+0Yb9`cNsoi&}w-CE0!3&xhKM(FQ}ne;-?~kqTOzl z!e5+38gQ^fhFHLw1yD&SUQhSLr)rf)o)T&NTE$hgMkCuw&L!5Jd)tp0XHUs=`Wuj` zdR|p>)YaCVOfwpxJOqSP5$)LU%8t2NOYYo5(y7?$+!Isa3P<_sV8APBw<;eYaLBWk zLcC}?W})B*>i4)YDxPrM0DMAxt0Ik1(www#wYhDuOH__QS}+OMqRXLxT@WxR)-tn@ zpfFB=Itz(*i7!raNRQ%+ks1mpSO*q;llz38{#kzHBBL}~UDb?x71LBLVmvYI>!eA% z%H|k8q4REBt*tKNXSI$Ph4cbXkBty56s=yk_qjYx{QJjYL^P)i+=4rlm4u?nn zKY>V0c^SqQ?f1lS5sXLrA_?70PXLAhJ{IuNKo%KaaxJ2RQrY96s`kAy#6gvMdF6sz55Ve$S$k%> z4aZ;qwuKY757Z6L)B*nB`{~{C32%>gMJCl3L*HziRw^YNxA0k4u;@}C06)}rnGe~0 z*c!)oM#}H9oJqT~=6uWgi-P{X3vch!elIcn-(lBk&(KQ-IwFmz;RYYt8>xr|V9{H1 zndDL^gZOKM9PY36YfCaxj>jT<>j?k}CyOoX+`o=VX^;rlG8v{WqtSrX#VjDpUqhKz|Rb4P}R@a>I;_8AXfG zjzxvHUUL^cQ~?V!^JK=xk+Spg2yti9GbN%a>EF?VQdx~Xir+5&zVRt=+WXU&S#h@! zsS(uP!iQ6lfAuHI?tk5Inb5+i+t3WBKjaR{KYdgDg#uKLo)s{`U@%<;QCQaa&l&)% z7npYoR$L8%7y-d^`)C%!WJQ6vilOdTWAe3Kv&k-WG1Bn14EA9Dgg^nMp}~KtRDCxH zA}Q2(Q#s*0CH0)Z!eIyMSc%I0^O1ot31k~5(lu6iGj4@Vl}wI^4i+po)M`f?5q_FW zwfEBc+DEp#St5;b10?yCgOkRDB4BN{o<8lY+&c}5$F{I^A`=BXKMkJ-UZUq4 zfUqQ3B9CV4YmEPy7uQCdv)%a%pX(;*2>9kwe<*Y6&sH3TeSv=q1^sitZsq)dmR(X) zCofFmKzwK-Br{yyBS&BJBc(=)m`{o%#Mj7$%V*Yb~fcGe4@Eje&N@;n%IU11afQ_MD zMh?4zwEDA^V^aMx;8I(>D5nVUv*W9$im(AT%)B9SZf2mY7CAU3My$H`_bD$}C>h@? zS7{F89bjfbu0=`JsW5o0wGjKImEebHtOou1h}>VZ2397uz)()HAJ%B&RMM!>`9+VA6pn=2u4<{#G$_!cSwC>5i?G$n7h1VbN6bl#*I5_)b05jw zxa0Gdrl!&o3W9K+QG~3IyXX3&CfUlnR73nXnJ(nqw4t>B4PJx`z*LGxABrN)t zxM(!kb?I^>iYk+ulsHO5$)8_KUa|3I3 zh!_>90tj2_FtYBtwz$f9s_k+7maWaLz8Xt8pkdZ!S>DxIqir%({oA@*SOTBlqb;?& z32)<1t1Yvs47ra)I~t^xpaE#%ICBL|F7^C8t=QX4+8r>us#^ztd(NHA0_T2}clHYg z!1Hw`uc~hIKW9- zC+^2a!@~SXV@rxr+vJ>tXA_$q5ffD?UjiG-Ola8I019C{vbL2xb@M)$>(kk3>K}|# z*(&KfiKkm1e-!37zhxN$iA~^Y7=@aw!xA<+1`3WO@6}*l)_>=J4FxgY`t2h)48N%j zDqzPR*J1|^Hx^IJA1<@4?0PBD>$-eK(4_)KeA)XoDUzbS*gYUAR`^o{G|k%k!&7g- zP^l_A=c9H_!JE>3nx8J>FmIVVIp)x@CG!V#*`S))PO*a9-g1jC?H4WemgaQ3&P0s? z+q__o-P{*yaC7tM58%4{qmk`{uqHj^7FEOq1BmVJ1do}d+2l`zvfnAugSE zCp7XU!|(fpR41J}nXcf-wUG0{``iPQrs^4(A6k%VRMms}$1Y)AMah7TOCR!U*6mwO z=XuM~h#tjne1sycb)#0QF@)Gc*XaHYh1(+VlwM+q(8Yq)_~aJ)H0~|?`#;szp1Zva zLPOE|*ze}l_^}*YTS^zCN&$uKH92r`IYy<&u%3ZaIC0MH!T+%Ufl<)?KKg?8=gtq7 z50--0BKU*4Y6ok%s%Iah+E1T)e%V|MG{pY5h0gxEWL~8L|4=EsiBTaNB{u$f+g*tC zvxAhVZ5dTDPpigcT^z)maoT@6sb{_5^)%ISw_7NE%f<+Y@LTc)o=Xwo#V(c;@D)JbL>6J z%CU~Uvd6KBC?X_#9FEMgj+L2+N_O@*iEK(4A=$E5$g1B*-^cIqxbORM{{^^QpX+*G zulMWydeVf}cDYi6ig8iFO*k7*pz-3!o*{RB-z(VK8^{#oQx~U*e1C3Ys`#M z4g3S_(34XjbIRoXPQR1bq;>0!ekabeyj-FqusTPek*I-XyB%5t0ra7Fr9X?Rr@qJZQ6})!q@7qPO-P%k@*Vl7^wPDWyj=3->-RwDG9*`=W$Y zz!WSCB6GHl<+P-m$Ssksi2mzGR`?Q|@lwarKr!8l`2X&wi{V&CFm@a9Ne&Gcqalf9 zttKvl+)h1>>#i7|&3mX#x(wrQ5D?9CO4}f_P=K5sD#gMaScW=J#SrtS8=sw+m3fD@w#%xe=ue)&6&MVr{5LF^~R_JAWI)8 z<&^86kE&`{?~(0n%BT85;c~bfSyXL>PxX#jyJRJuq>u#*bDitULs((?hiCqJR82qM z+0*EEO7rjM-{pCi_`@by2TybYN`~7k`#z=7i7d|Ff51<%@^@dW%G?#H&m7m51Xng* z+Rd~(@DxHgi0)TSZfJGgGal9ck?>+>^*g1n?1-^xfih9B*q~%)zi^N2PW?hesC?)B zH(KI5Z8Td+PnDSjNfQ;PPti{nLZyZUyWe36Av8aQ5r&VS&}yzZ(-b@R8*eQd-h5>W zDCAqaw;bL&rTdGQbk$hW+TZy2MTl5FdZ0~_cE{*WKTmKC(3v7g(JP+NE$R1~`yCXO z4U`hl&(two**|>aI2`0^pUPcK410)CkfZNfkt zPd5KC3GNVA8hc0^pOBgcm=erlO-h+-xP4nJs0^7^7~^lt0UQzojw{GJD2uzhwah_{ zWWbDO^@(xOvVWlmVWJs{w9LeC)i!7bwvHP+wcP8C*mJ*b{r&rg7A&$n@4O+*g;evq zYw&iH>%NmAZE{S+iD?@7`iI=Nak$K?O`b&{%yvkA;j@rU}x}i&t4O!z+J`rafhBO(sC)^CQRf0cUyT>Zv#EH22qz@I{ zH7Rz)n8bQQao#3;gun3mVQp~6W1*P!tLgQIV)c2x!H7eX>3VBVEfDon+A4U!ul89Rpv>91+=o3&MVbB{_ zu_bUwe_`!c-?T$Vk)@l$x3baPcJ1rUKfK=acbAWDZ}F7pICTmH;_1i-#OIGT#dVts zm*k5R28PjrDx$F$8Q%8BBI6I@Z!z}sj6mZ*17QKVVQ+2C{UKogKXI;|1Jo}=!-4in>= z33g#zi*|?%rqWgkf{&W!7jh#(0hIb&DAT3)yTXW~QyKxl+W9j$@Q^SS!id;+hnxCj zk7172z9$eCZLbe}!eNqp$G5scElNeUNyG(*c2@OA8FGKsY9u^(K8Xs9@> zL9v4T^d$W`&cWrQwU2JIyU?tJKFRXNG-_UD$T|)vx&ZKuP&mW zaz>NUuiy_?1klL=rhAP1bfpKIwZrv`5ejS<6?ft}6%QJVn84MXNN0F{B49=0k1qk7 z?VK1!%qz^>JB}(zPZ)ri609;S&D@YL0R9u{8nbI0zRc!dG8@$eqE3^FaVfOw86OHh zcBk_h+!B?4D)XuF;>P?b9HL<#?E*YBo*MgCQYNKLPt^Y_LLd2C^bCTreKeL<=!mnn z0B^EE=Qe7j!@j=hao)_LnHYTLvzfQyln0|EbwceqIJL>!mI*EK6zWS8gjkz}&zl#N zSonNAmyr{}DVZ*wN4uLU=-~39q$wYslOg#?Wc+Vu`lHJ_fw&5p@lS(afGV5k8l`~o zETmYu<3Sn5ksGC>Wm*Y|K)qX%ZaiIolO`$lHHyhX^v%saW?X83lA9|YR-t`bc9t*e zkkkMM21Zb=%@6#R@Rq&|8$n)Q$Gn)1yay4mEGe+ACRFRr3Xxz~_8Vr4Q{Dtif9?6k zizFeZrDe9l8&8C4Mh8CGoAdf+oNT7*ui;#t5;G3i>Jy~P?8#=Aq_Z@ZgsU=A1>>1s zs%6ROx+H)RDUCEFOuRg<#@c$4P zFX)}SrGiha)Y0f2uY6+EeO;E~cL2TI=?ARY8tyc$R6Zx~J_;bN#G0A>Y~JT=bh5 zG00mqSysB(8Y*nP9N}$V`F}q_bXB3fl-s-7az&pgb={;rh-C}=GYlL}k`yU)U$jCb zKV|Y{cBSQov9YK@wIG@T#&_OYNQ%c7blD0rQqn!Ai;b}f0w#5l!V)%&Y5r(c1376=D`;gfk$I*G zmDO8~Cy#nCp;Y9|0d&hV#4Xm8l~#JDzU!{lends#MbvbfCj110^=?OVxc-p7u)?vE z*hGE_j0;_tOZzHL0oIHgv75ay%DG!`Q%!z(>ui}+ z`k^w;U(6j?^6{eoXUSEdvZ($$21ceiA&f<896R9tbgLB%o^YGwPKnLCduFG8<}(7B z^muRQYiNhBVT0=35tmW?LWjMsK)!f0{*K8X&v7)_%i`J!UzW_k{ON`o-&T^>k~-1g z?B9Vm;o|&)A9Sj#b1!+z2Jy>YCtWiT~3k(%+N%y)c1;hzA483HvYH^sPQ8Im|F@4l=tBXMtx`* zoQP@Mj+@~epillVeWLuTTOK&ng?6FU%3;vj-Xh4$6X(iAQiY!?ugD={pos(NJ~Q$= zZLZVfv#rz~`4q)3Z9r;$rp{aNBz|oN%t^|l{1 zcVVEVDqj8Ofq=}DrERmJGS=GMFh;*Nne}!5psu25<-%a-!+h8rx&GZg&mXlmXr2wr zdY>Y}aWfr(=(wB)Vxi9sf8{rg9ny)5Ta7j^DFABby}SC2>&9RCd4$iX+Lx0-@mvG! zx{s}PqEL{21o5d$-*CxI2wa6xC zYLJfEJC2q|>4iepmA=8TX?NAuv^YR7bU4C0Hd2VFu2vawwQZh!-r$%;0C+Z+n${l= zzvz>x#s`S0z^ZL30sORp$~9vmIrZnCGj|iiz^=2P@`Fou0y2lc3ra)w?L6-t-b_kJ z>I)29zKM6PJ~`s_A`0e92=z#MCg#PQ5BjD`I6v(JC_0%awv~BA1XS73ka3`i8Xv0m z`rC0U8b*v_FUPj!e;>ib$4PIx26F~pf5H&-HT2ukhUH?LYTNV*qF?4_9anlUmHcLM zFV<*1oU1J0Gd#qXDn_L{j%Godng|U~DzUFsu#JZ;d+OmM^ z>=J&P=Bmm|#JdN$at;4r>@MtO-vg|YRB>3t$i2!L=7EYZ8yVf_yKw^@my!EiykP*% z`enhByM}A(#Uwr&3)POukFz2CBjZ}UiN5I${yYyDB&1$`7OBv?u>);3d%cQ!P^F*_Z<9~S_pcV47x znN5Hd2CU?QD@yU5*Mp(EHv@nGvX*Bt%6Wk5r*s^2!rG77Z;CyrXI@Ehu(L@g6xaT*kR9?=kdA-lF=YOZZ3ZCC z`gXUO&8y!I&-8`%zL9vk{LL3f=m+~}gpOcOsOi*LsWb200(HoEW;{q0(zRTD-7`=H zfO`Cwkf~%wH{ADx1HzHnLCZgxOO%>0Fa>;I@mg^t5n6oGV38Y^SJ#30!9===;R*^4 zrb$p#@+iG8=cA+-61t%!=V(%`QxR$uIMOH)E1DT3G?{Q;n5*m)PO85;sa%Zs7v-cQ%=b# zleu6Tu-PVmhWp!h<~3DE32!Qcpw1J%_g@*#{Rsq=>P~?yyoYF%@Kc;mD}W&=kz(cs z9_&-4TGsB{{c?I>M8u|qlIO@J86}O6G=#?=Z$F2i4%7m?G~RC?;UXG&DSRSQ?T?JB zR-C4{qVy!;`CSKG0h<(C>C@RCRh3(Le6YtlO(Bc?IHHl%%df6)gC`-B7u7j4fFoKC zVRWu!(CYh@)^htZn7SmUYJJUAiREg^Su=#X0O? zf0NOjF&U&jvx z=AxkSMMjr4rj~W{PCM*c7+%&XS6b@ z7M&b7j94z49lZjC>-(?UZxg!@5l5|R968Y#JLN)Ouq7mEsqju(%k4dTmn=lYf}nqq zsY#rwE#e<9=@j2X?t}!C0K6#-5dq}1B>n2`RK*$R+wO0%06s$w@FEPHrubr?7iw0O zq+kIR>}N$5u}MDn0wuE)ces^I}q=CbL;MzTZWVUT~=?a zqu1GW)bmEKeGFG(%vf2zlKPDJ$0yT{sIdZ7N`iGsm5vzI#ytZUud7Q+JGIGuG-dyu zm1$fI{#U@?)d3xgh~$asM*B$c8t@kJZ2D?k=;I?f?noY=^~j|Kzf)8fpO<-$MdWeg)A^yD2=n{UXuC3Nyw|%Rj+n7vM$Up~lN5;blaVkXeah(l^ z580$xKI!D{o#cmAf=6Ob8nV>tzL@UW#k(IOXPs%bRkDvg^m7a|IuRfqS~G?;UR|~- zrjDC54egeLTm>IC_bUD^XRW5V6(wXUNtq7{Vtm-&U#PcrU?mtYO(EhguO8`2^gPSQ zmSI&NGep|QM*36>c1t;K`LR$6i^Z}XPPwU{h$lHmYWW5oc#1a3mXQG(z%JWbi}E#K zDi}`B@cX6^wz}g~hOrfh`lEi$Fv6xF+Q+?>e)C?ha{U8?XQnVRxE$AhgmqJZ!+56= z36w}1d0b6THsRF5 zvPniPB{xL4l8Ge?A{^|^Ztn=BjyJJMim#s@wN&Upd+*QryQmEEZ&@C}cKy%3?Vt+s z$>y)n|M3az^pVeRy2N+9YL1f9>=J$xFcDCypY|=>XcFPIY5kX6H_H?yHoJ8cRv~!( zuJu8y7}ex~n*W1o04dNuN!ot!q2XV_Jxtx_CI@p91Ha{i@VC)F^YE^`EnumG31Gig zYu)Jmz%lb8*H5Vh!v$;1HMu3gLoeO`VECRwBCPQIX0i#dfQuOk|A>7DzD*DMJA3qY zE}k{MLoKGp*W_Hlou02FO{7EcfRd#u3~$kyZd=w}rFRh+vU`J6wrcK>Hn{7Wmb9GK ziar#7meGJU?rzI=szgU$7&9{7%Gk|yNG%!}6>G{jqy;jq=MleDF{)r)orUHoRY}-9VEyr1Lq}A0aPdyc?Q36|MubOby@W>yH=L;!p86*}(g@WQ zp^cGs5To6i4UHxVu6KuVFxPh1TDbpLS^SCBpvEen!Q7+}%JU^<=C&`V8z_gaNs#2U z{4R@x(HLYaKzy{{+t?Y(Ymt&O?+}wd3?FbI#Z+Plp?Ej?15trR@jh}%S`9!1_hM87 zmFRO$mB3`n%t+)GZl3ivrfakD)t>VM^dp*jcnmhl5Oh%`TXV1W_c879`zO=a#ZoO= z7Z?N{*+G>n{y2oiaxie?N(iIAAlLYW4IcQf+EyYcpXDAL(P@;dLLY*QtBTx25Q3w} z*!GqZraNL~x+2aYsZ_?D1GtD>QgM;W=rEs^uy`3-kc9YKxN^X|tb}CHQa6yJTxV6= zDv7&ysd6&OJ?I?zvn#sB)Z^I>ZDM1*vGsd8L<#M1FeGFDVnHo!bt!Kp+r-K=EJa)W zmCn{~;zFR|trfYuIknBeCagvKG(RdrzJK_;N#5s%UebJ-$k%^2mXUYd1{mvtE_{b0 zU|jRkQ)~5e^nzCH^6>q|p-E8#hsz#woz7wwHOeeXg%q<}R@7rd$I!KA4r8v22 z?|HFFtfm?FAjk+gpSPQ0hZM#kZyBXL+`j~K%3T@E=HaZEnp+rYQ65C)2C@=TmUDc> z3W&vMQqu#%ODWwGz{OT*Og~OpX|r*f>%lKBBSh+LW16tt$1<&mz@`9d!e_+?5#{4W z4W#_x9|W)L=3)^^PwYCQh-tV?d+aceRNVI5=0!eBr$CQt21Iqu!_LBufib|({Pu~- z?|8M?s&cBx8)R@=}N`10;Tib%0IK(AL@xtc8^}cZNAdp;YmEx!D>4C%bGa;=f-1me8MGoMk25 z^ro>MJapXRc6yc6fvqs3Q8?@+pY#|V-7{0X3MXOr%2@p9hc*T>YE-!ZSh>@ zTbby4ivGld;!b^#D2bnA625*)tGT|$qte?OvAK7n2X4AQG_iSjHWZVJc)kdLA;0_g zf8*(xAeq_Vv84A>N|@UGvcPft<0+xg&ssXS_07`#epon~Da>F0r>b2yOfrIihtP$7xQMC!^;ECjl0gx(dqhYjm+EFbw*27f1X#nR4d*U0qJt|pkV zg)vrdrP{@9F*&Kk3G_5>91w`9g`Hb6y9{O;J%0TwYJK9&{CmZE4hAeMIkf>@9p-zB z_V_-@GRsV?^jPfHRbS`<1+Jn4#zR@#|GL3^$7B*}Kty$7loQ zXO9~gdk6kW5FKG$5t1+bIf1qW!h`j-EcU>wgC)tMX;+3j18i3V?fL$q^hTnHftGqS!evdw0aj3ETYj_y4&9JL)q(mMsCjK zFAX!&kHa{?PG;;jwLx{@qLJdj^WjfIw$1}CC5nNW`>Bs32I zt@G^-cab-CkSKALBX|&Z^L0=60(B`OwULhVfsSIi)bd7?J7z-6nlBL$jV(<9nPm=_ zw+*g++#?2+f09+E=p!ZKCVw2IUI5cwk`8|K(fWw#G)+`9t(zaTB*L%2 zN1sfn$M2191r;jcK8F7W{k!tH->h#WcOpWR)ML^4BJY7QnJjrdT2hmet*#OiFj>Nz zYCJIKd~@#hQUP;EXKhQRxh?0_T=yP`*$3@)S z7v}%g?6vu4g!O$0@EijyTW(6$>6olwZ83C2f>Pv1OAKifCF_gbtIk(Dd#BE9J0zn~ zaQ)9ttc{*pi4JRt{1o2tz+lJs}_dcV$;?@?*J3?L6K`K5`p zt`8iX&bc@WnM(-$uNRWt~ z8!24Rl_IsUr`q|PT})2Th4XFmuR$wcGxxw%!0>>?v06F(rmmZ_)(Jo9;%fIkvX-`u z@$6N+9CRJM|Ewv{>kQ7^TDiglynmT$65Jbf&3~1#RW*iQ*EjgDGP_p3Fsoen23q$R zmaKNk{}tjmo9r2HX8Z?vmej=1DvjLK1C@UA9T6MgX1t}tCFIl8%HpENekfGg>eEdO z7wY<${JokF0m1QK!o%-Q;swNh&d3x+AZ2@1=KzGZ{yYc|=73U-pqVWx2DbNGX)WhV zY3!~(c$s{sGS0oCi5K%e2Mx6>wLf2AinBa7pUU4*H zj8SP~-K;L3JVJW!EA()Nhj=f<@i9)=1>Zkqm`RauC7R<=f>b}o|1}6%pc4pLaJN6R zI?ZXNqBYSOe|42R+I2AK4scJPfJkGZEb8USb{0lSL%_ac`%ZwX<@{iCL^daf#DBQ9R%-DlWFDXppO)mtj@|dWA!OCv+#6@BW>+P+;jP%HQ3XI+8srV>2A6&o2A&p9g_P%i9G0 z$s1y&0yR7Wo)pXK6z)%%AL@u5Kg_U;PwWa7&Zg%Rt;JW}lMVoe`tLQ7t9WwR58M;X zwwE)v**v|(ex<)MZslI_g_k(oNqOI%Yhwgfjc52=F|}5HZbozL*=pt})js`wyWR>} zZUn@Tl(aHi*0E;^V6_rMkH5?C*tP<{X3oN&()5Ye6{)y~hBCRvZ$p;|RmmXpGrb^Y zVxHt>w6)7ZH^MeClo7zstvVW;3mPaKeyy9Or8f?W2E*e`Zx@QY2(?zx9?Z|b=P9rW zJ6Y~)HUNq6WnBYu+&yIgG6x<)BT*#Ai6asNnOo13k1H=|ITH)DwsChw0m{=b$_6J1 zhj9GajbU0r10R4mMfmkn8>{*cId_aXgt$SnX}N^cIhLH^{oG|BqK^Y}Ob zM28J|^_+!X!Qq_Fd}a-(>FN)mR}Nx{FtFs~GW&rrVZSpxv7R-=N41%P&MC|}$C ztsK$&PYvYd8HJf>@#-jnyGMX5Dr)ddv^M+zjE$($0IerYIloE3n@yWTSxOlSed2FP^ z&-9Rosh7QGvU^*NrE3giIO=O$k}VeC#Ycs$xi8B9sT2cE{Sq6>4&vaqa7d$k!t=^I zC-zGV=47C8cAYG>U@cHQQ}@H;S^H_}AJ;=|N<*=} zhw1VWyMnus!!aiI>-*BbC|Dl+UTvF)DYK*>5SSZp8pETh2IFB5A~JXi4s$lzyc2RX z9VmfhWuXUNVifM2nckD8Z8tZob7#CPsjznL@AWy2)Ur!MObi1_2w1~SOqXw}%J#wK zX_4j%Ud}p%z5ieWAAkdqL0S*{*t4x$LL+h!^i02dG0$x`Hk*Mb^aExN8YrkqY||?e zHW#|!|B>RDZ5@z!3PmP`7PYI3pnVEhLmH&fA*^gczW;!Z1Dp^dG&{@WlPEJ?kJu#ACpWtqzhTg>>Fot>&djtfKYb|E#JdJ8!#-+Xn5s^*CxGx#=P)C zIcB!@XC@MJQIvjHsV8>TUBKp4iV8u5X?#;#K@t9whu(8b_i?7k>k8H-gv0DYUo-_i zjlAZM5BpJr9T0w}5_i*cEjHaKdQ|1Trg2q}2@+G@vj>~27hNKbQw2$NY;N1I_mtrx zaN)uic!+bvAsYPKumbSSI}Q03J(DNmEsyTSdXs@TNTAGalA25NV zn8`rry}2CJRN$wDQ0{_OpqK^crwVa0=h(eNLHVpV zl)W4yPYSBCys@QFp!!Q@O$u4!N~6_(&dJA-`3}V=>KpK~^(h+qfXP)mhAE+;+UO2u z`B&1Q!0K&rY8*kG$rcaAsdNf0On|)voydJdS3@8x5xdw7|-nU)xmE=Z_~w@)FLmmvT0T#xU2nv*1)nLaVux}^JKgp)GdKj6JrYBEr*&V55j1` zOpQ8UzSSreJBD>-#f) zz5w=)bY$Rh=Ii8;mzsrpIKX>@ zv@$a-EjV=(iS1c=y!9z5dCpk>bH?|ABK5n^ldEe^C;Tq<$r2a#Q6dO9<1|7g05sv@ z*@}1DUaFb0uw6Rrxz~C)Zp}9Wj$1v|PKPC5FMK^{a)n#5m_IcPK^%K!d7nS>1+79X zJzxb8NbGJgnH;KSi_#S+&NVq2dcsGVM8bIgucqg2f0Z4*Lp6bF5yg(D9K=z9AIrps z)5v}s>PSqw=wnr#B{@cV28cro3gHwa{HxAcqzDHTS{bHPp6FsgYi{kxUVfHMkn}Rw z_tijQESzuEZ&GnV%HKBaIaiSe@l@R4y=Xrf^0Grf&MDm&f8`pUZ^_tQiv_#8|2X~r zJcgtBqcq#{$*U-s_$Te712?b2Bb(*#y$M2@%^oAYrJMrp0xq*>Nlc?R7DC_CJvqj& z`m+JMT-MERbxPgra+iPqc6~Yfze~=u!f8+tmPG|1;=%MjjLbTo?48X6_uk~AHY7Jt zy7Ju#k?7X1{Mm~5YvYe}p31HnMWZuUW#eOr)GcSifT$upxIVYX;_&^%3JDIKmd)*t z4Luye#Zf{Op&2%hhM(8Jo-_H%kHO`1{Px~EyHM)}Z8^IKDxsAN=m$1u6)E}hsfE8$ z4a8pUl;X@5?^TT;nVN65S*WxyR(Z~jch-@Z2t+1Ex+_&u#`}uRhk3mnVrqwyb`h8J z&y6EmR$4j$cdpe_34pyIR7pH4^a^ea;k8ZIE-280E~Q2`wK{w>a--Ub%GE5g`1W4! z&o{oQ>JcBZ-mrkAmV%Z>&)JlG>_o?(L)+Y0EnhTre|lxH&cu+=hrg{;TPuQfUgrPp&rl(HE-J`MlJX)M$lUYWaBzBGjuJ|}#=+NX zn}`02Se*~%eTePY_rOU@f%as8xh~yG>f|uP4TWl$%qho7?xt)>|IwWewO+3g-%mO=n z2G1{J`JMe%?+DF*=P$B19{xP%`kY93*rg#P9wi)_Xs_Moqje=Z$-S~F!6z%C-ZIG6 zK0Ry$b>-ukYLpoDJc!&D7nb~K~K3W+@sw68?PXRG2iv(O<5FUeE z##&Ga1!@_!ZrJYVIBK<$Jes;&8i$|>>#|nXV$$7$13$1!+<*JyT|rv4<%r??F&gbM z3!(39&V=twdqA!kK&$Qy6x!+MPk30~e)t7Rh#%?f&?<78lIXd>5+u0@CXs%*rOLfK zusQE8Ya)=~aPYOjv*{oCP!NRJrw|a`g0JCP0(WE?$N=_>%rT(~^ItpAF`(-QG1f&5 zIFTas)~J;FUqw@D%w0;36(?GFpHv0!U!4@MOSWIXjWc5s8(I(mv2CVX;6rCMozQa7 z{-VU^kkB!;c-q9csDiJDw!Oq7$zf#ITI!iBK?24LNwt=m+nqi-zv$%10|HD{1xyQJ z10o%r!s@YPGCu)wX5f=hxIuJ0MH@eM?G=G7<8PL)fsQKREW%%!ey*T8&@!?Z45k-@nWw_}pH_+M@HDm4)DYkkO=39_%;PQKkztFM*Fp;cD{&p06@Wg+sRL^#{#3g(x9k;A)Y6?PmETmSOm$8TQ1bmw0k=?m}e zfeFF;&`KYq4*9s$giV@`))C#Z*jozqR$(33GlO))J>OgAKZE4>e`z~vA_a)G9gqK7 zd$makhzpWA(@a<1*B#9Q9w32&<_aSY6 zLA6w5y|Vq!X){{AXy3}5dgvas{G=D)V+Yrf8hMHYbp>0fGHTY zvnM(%T^U%)H)?_U-g!t38tZ8OG8ulAKa5szAC&^3%LXawJonbU5^sZ6EMuPg!X8*J+EfNT+@R(3QJM$_49nOR@M0TkV8RieQZHDc5RrMDqG`R~2f&V;Z zGb9Zi#}TSdLYKHWwOy{Kr_gH@mGe-Pxn?l+g`I6#G-`N4Nk1iTBOHd>bYVV-4>Zb; zk%N{e3L9zUNXB_y9GosHt)AgngbAD`=-fJP8jk+ORiZlegiw6uFol^I+o@Z8dPa;D zy?ky)@I?D%Nz#w9Vt2xhTeQhae}9Pglb&!GVSKR@{`aiUYUf#vY?m)5n>v0pb9M&6$x z4l9~j(6!r7O+UXjZa4`oAwopJPFgz7TBK0uARqu*hJ)gAj(LC6VSZj^?hl)!gFft< zOZAFyCw-_3t1pY@nHrgnC0uwj^KJc<;KkDg=1~Pmd2O5Rq4N5yo~sPk@7vE1_XsG@8XaNT092+xKj%mouy%(G~J!k2Rh-m{)xr z+bRFZxdo_<=*t~Rf?xR1%r?piir)epBK1BXT@*>>z0jiac-FzlEvD2xAg$MfJ3!zk znuZM}P>&BHwHEVdB#tj&_SI=T2h)3b)(D^C&Xkr@{fT_$a(Q3~HP6UEc4P~) zjvqP|gq?v2Bq-S@UZ&vwcYgEP&o$(cA7)@A-&6~ApdsgroCdzBdrfSmBQ?V%N_;#A zdT!L?kfu4iE>g6^izm`LOFi0rd396Gw`e5`K{zd{>$|mz0e)F8*kDI!u?Z7y@WK^u zhabQV!xx)vmyhJSKiF6%4S_|)Hd2VSR@FW_KYXqz#xGEF2eHEBYFfuT>kCP$9tK+tbp|K;M*#@gQy(6vX9>4i_1!U zNj;hKz3kFZ?{n&f!|0I!#zayMy!-u?rUStUc^CE*PJ^*3VLvRRZBns*V5x< z7$D!kjeoUWdeBzxN9I=FTEQ(%0*#}INvn?$H&k?@>*%mDt@Bs!QX|{ZysAW?UZCud zE34_{C(nnKUn==)7d=A4K=f@iK|Ff!7?OJO^F`9FrpQfQHF+n3f70q7;5*fIZrf2< z1udBekiB#7xH?UG@J!$KV%S~rvnTx19f*}Gw2I*Lg8dO zgW6tGT81;a^}h|=Wmci8GT#M%YN+=F#+K=WN4OAl&?c`yssJ9ta)Aq~A7Q*u(B9c; zhCd=k>i0C@FT*{R-)_9E1Dx%rFuVEWuL-cKN3A5Weui>$-*>|Njf(=4xfIna744_%OHB@n zDDnNtar^L#y2s<`vU>fFDs}F+RG<$Jws`7DpEnA-pjVsXXP=;7@E>$0eoWa1nupVp#xv`CVbiH-lkB3@hXG3g-_{iJI(-q zR_47gy1!%C3eSDAOUtcm?G}PEu6V}EVYs8wt&0AC?QjwGjpag^p2$B@oab< zGe-n%(0c`X^M!7K$fGO93sRMV`qwOYAu}V^T~;hFKE=WzAHyHzgvBWIa;*X?{ryuz z>+6cnMaR#M=Kwz)YQHg-bdeQW9vonku_4f_JXjbDBMOMJ*;B+8^dg<+_t5YJflVljleVfSxxue z;Pnwb#t_J36jAvEF16gFs0*iK>f=?MY!YE0zg354P6jd`ELnVk-i?#0;~xU4Vsb24 z;xsne8%S_uZvTlBhBeXf4{C{YaQ>71@u3Sx2HB6vz|o~@7`A=~OVJM5@_r%%@^pZA z*k~W1{V{e~a}tD=ijKVOMGBYza|>LwNS}N@Acq zxPy#vsQm%+L)5Ahd9P=`7#!e~)H`Lb3e*W45h8m%W1|Hp!WuD1{`md@1r4kX?1`zP z#u^>JFLyP!=%~%ttYd;c1Yyl-z*Oq=Uks^Ey%bo^LM0OVMEU60fDkuvv4K#4{Spo9 z<(lId(a&?mI%9D@!|Lfpw@!vK)gZ9}PB}f656|o}Y`o$F|2zGr9|$t;&8q%r&AEhTF*sOsocD^QjtSLi zKP=om_L*;AfBlBm8;zUO`jk~t5EJp|2@?%hHvMSiG0q!l5a7xT>_wY`13tH4S~Ss7 zD`LqQ)@k%s?Q=BK1Ev+i3#hl*Tf5QdCMid%UbCH>JBn&EaF$1emy?LG&`iaXJ_FCr zUrv-#NO6yjrRRI+2j97hD>I>2e;uzMn?Es0sOV94_!=s%k;Y|fWzoTPRaBH5l0kX7 zvg3~#tWb50d&#p>I&OYE!<+1qg&axeiG;9}mKDBta zFnlkDs%mD%rHqg21?uXI=6iZx<>wVv9YHkUZKj=ynS66$-jK;=hh>NwXa^@2x~9A4 z|M9C>jB*nv1FTM4Zn)^`BCYSBiRu}Y)Ez;CFaYZ`i+vaFL^VFL<|1zk?uV!ZaP?7I!DEFnuk^(Z~i?7R8mNhK#>csbTMLcR)D z`_7K-D%b}ZuK1Rutb1t6IRsZCm|IB)T=ZKKYzE6Y!7nzMj;|m-Z7JMXSIm+k2O=Fd z0@Z@C%1kgfc7*bKde=YCsYA;sevjOzN?mycPF^%{$z7om+cUs8N4Q2WQ}r(A(q`L! zADD=rsaqPo1CF4eaj_ThFs~ zLSFL-M4RCONJuCqjGt?tPK#GdMe`@zIhnpflb*l9jk;}3-%BSH+R!T*3#-x`OgJ_$ zbFO_!SlUe%-HEOr(r<_EFz-B0?uO6hDQ6#V?&IGC4JG;#R^CU8k5Qo|oKIlPejN~C zN!ld-KTAUNaQt@0s|ulnFCOBetyJp^%VR(m#w~5vK2Zvu*&j_WW&;+V^xew^zR=18 zc@EG;EOQ)*z}xaMoBjdhjfm9`tLgp0u&6_1Lc0v&5{WpiEB>jC6p+19}vYA(x-nS{#Hu!5G_6!75V;|*i4a! z)V4Y_D?x`GMUQ`3M?HYVV@uLettQ~KW<&}j>Zp2 zZi84sZ`ivyN1`@u$JmRVc%v@=Za4(iwk%%d({hw@u1F(!S@QvlaJUIf&5R$!9)Yo< z@J~8uWh5}G-YEabk>VrUR3bq# zx?(Ikz5t~MHKaNA(r-oa{#oPN%N)kbIZ~zx9>CdkX}!8llU!^PpcUZxwL`7d!}26> zwU;xhILFj7kmsek_)`3h%g34&C)Tj{S%*dfv!m@zH zZd%KkB-A2Ebjqo#$!)|M|ANlR@G7Y6XaLRgBJ7==v;h?vyy@L=f4|T`=)29?R`1xO zoCHD{2l-H*%9#f5tPM|^jahN%^`9%TDWD!UU%meCSm6Rw91&t(1Y+^OUI1oX#49xI zs4WDI*w~(WdcC&!Nag=b_TYtdl_V741K#Q)x9u7I;~$zW>C65sj2gw>A4eJxbzkfy zg@@dGwlI`lXR+4o^JBeRh=l)hZm}YjaxcZb@(r>C?4KZC26iO%m5jH1i1Q4S+u2GdoQdYFbM90;O<~`sUbi zgd#n%>~(@EpL<`;*pw&^gvvT_5x4TSxt69}9RE<_Nm&*tM0sc(!=TaS!R|Nv64$3l zfzyLb!`lG<$q!|JfODYhA z@|q|g2>)|Oz#vfPRNh6;M;@)6eBW-|n^CR0tQRsy0%Ps}gpg}|j)ZZyzKLHa?qAnN zTW+VxsNH(BtXR^JsDHkBsXib!o2NBMZwo-*%)9wmi)n{Id+Pk*u(0Z4%+0h>Bh(stg=*H@>Tcv<~YS zjRr!|k^%>B$LIOa$_aF7CEe}LvO68k9PWOxR9-u*6CVjlrnR)P?;{R2d&TU}0nG~o zyCYQlue}~MF`rY^&N+^~qXs^?_q!VYSY;uYn*xH!MJKc7o@9={I{wLvO%CranrM$% zvw1;%i6TOdOtin%nmROb0r9EQ-i5fSKu3!^BqGvABrSbM%08d0m6E?Zs%yqYD&sk) z#*8yYSgdI&)if}Yk4Wf17z)C50@2_pkE6;YsMW4I&E@(IYeS%)`D)bSy0;pBC_WKO zL%b$-1MEfxe}0t)TYV11xOti52$wZ9y1b$MZ*L-IfG&R*XUa|pVB&e8p1MblhC*1X zn3uLTF3lsNYD-Rcs@U4_)RVop`deS4#vW97e>Rj*>!yZ$5KpT1o_@Nqp$ez3Ij!geCy!e6aXW@({&jrMEU#6N4-@qfjVqAYDs-wk(+d%@H;S; zGRH*8CYeY$eWmPi^GWm|C#Xc*QRJ?zRxQm>Kf+O40AM66@&9;Be(S~_1oi@YWeL!| z{~X0l$Fb%_Df2rIVG?d?8c!$!voANRpSBZYUm`!Tpos{=pYSym0^~Xuu|q5S?VmMR zON2-Nhq1Q~i)wq{hhZ3G2w^}Rt<4nIi^5s&*dt$PL_& z)_-KSmDOrZ6y`e>{#M;;{;YJF;a<=j6ujCW)WJC8a^`b>8m=~ef9ubp^oc#k!~)%wzp1ML@SSuG0=~_c78IDVrNYiTEkj5$iAxdu zwl!KmL$GuuU9b;y0wrMqL?0MqJo;qhaLI5>4=OxKB(8Aoy+mM(`;Bk839Y%NXw)WQ zzSGGMAnMR_M6lEZSu{zZ;9zPqbDE#C({a32l0LL`B>vWG7qId`g{|2mtE1`zsDIgQ z330)WNe2*8t(7hfHkpYcHknR6~?z;v3LOn2H%*aEit3rGW@K9t7_^s=ls(GANacw3=j{pW0a8$ z0rA|-D0o`J4M!w%?a+%Kcw|&lID(9ac>8_f$hD(&jZ&x!a^pIRx4nLpuXOAM_US^2 z&+<#A<2glDEp3b6v#yN&`cLJiqLYuNvQ(wXd}n{pmvtK`tOXgbJn#^@dsYN};A+;WuO%9`kzcp#K z5?9tHy&MstE>V(jK}buBx&)*{E&Lx^{?vv!Sp^UfXpYdwxT)lLfX+rD&QwY-BEnsV z<%cbPzQPZ$Wu)n(UlAJX;!ehj4e+M2Q7tJV9O^B$lbqnf{a{$OxXGb7B|spNLW)MnE#JFGX-WDXPPUm%BJm|b0HT_CEM zwTF@YvaYh!%)2a3}ymVqKk`vd@q}RCFMevt7W0qvNtrddp z+!nrXZco$!vZ;~*t7NaBCtiyNUTr3tBV2RQuSgt9_-6$z5OcLH>-*FT)*1fuQ6S&J=5Hnjs%$y zTSi34=1837DR4^K#N`aIXk8@WsWHXs)#z6Yy`#F!j24V`8~qR(WheFiQGv2b3UH+O zH>6KDrIT%?L5J8e*Nee6mFx0}b^M>=_D+2EV|}>#Kp#f$!GjL(<3*EX!=uIw7977@ zoul0spwl41__mFrD*NLZ|~yG>A{)rZ#|%&+OAoRCm= zlOgZg^xmejB%HGtf%Ix&G9^(WhKIVI7NCSUb^9WOnQR2_Xv*ka)J!s4R27arnA8jp zh#ak51w>jDk}R}(`$~5rtVdfer)=P{;LXeW(WC6AyygJe+fzIn%j(_o{;D1?fdX}M z_2ytVAo42ro}vwzOGu^m#y%wlTb5rI5>Rcu7l=f8Qfy@a|nofUttlFpUU zm45{|=+PpVb~`TPt}4QdH~m+MSFPo$;l(dotA4uab$f;))HX)q8XZ73nA$vC1Z0Dm zyKFGE4+2Z5Cld>^N-S~p2P|i;=ABC)lzEI3o0Q(Shd(;)R%A*v^vEo36>Gl>Z>4^= zK1tDY_ehVlz$sSnS%9h8TfbEhZ(3_^v1PZZ2yFP?vb_p->h&|W>k3ECIbS0#oq9HW zy8YF*_wxskN)=m|PYmryU(|5^UFkcG1 z_PSWB{`7s#{`;8lEPCU)quSb(9*yP=W`TJR^ZRMQ4;*v^)owHm`J4o^X*d0IukpL@ z22sPE`omskT4MT7EZwcDWGGBGxcv)zsOK?W4@%>VG2grXiX*`R zqe*ex_5!rGGKGnyxCWbL;ylFQ2-g2fdB>*VkqRc1smB+L*S?dP*ZC&!n#8*8g977A zdZ82_0xcr9KlNsm7<85#HRGk3mR<@Ot)_<3n2}3bJP9uwN8YW4G^Zo3Gj}~9(;Oft z0_~?WKQGn4`$I6p`-fSxE{?OMuX~kqMjLZhU-!6(i_%9~`o_K#z>Nz$7B#UX5(P9q zQ@Bx8g!J6{Z~UE6l0wWVD?bX$7~&CRz5ruCOTdggg7a}4kEGbvXO+8O?sdPK^&vN( zDt@6x$zs7UeSol$hW%p9chheX#Kb4RQoE$$Z@PA}X-{fH4XeaM*6L4jai0$7bT?Vg zgw__VhARKi)vbvR6I~&Omxt79B4N}TZX!C!_%Z#;_q>Ml?AzvnZ{aIoy2TTfN{&>o z=kuE^+w3c{^Qo@t+%Y1r(JD6@JI!#((cL*ZRGhoa=EaV!zfck{4J2HgcO6ybC~J-C zZng4>9(rpd71-gf_zu4mH7h3>R+W?&8a9G`W={B$7)7`#^ECieFQ|9wB}n8@po~Lq zOTmb|l&&M2)8f3s)i`wxvce)VUmyvXjD;~`5wy%TvN(}*@p5pKHK&h+2d4aGEidT+ z<}2rB!1o08hnjvdS#NM?z6)e~ibjXAuT(H#3u4i(1Pwpq8a>P@D8WsQ`r0IkMfa2I zUBT31oDv_}&`g#du2hIVf9&yQx9w#*ty|z7Iu?y237%X1W2RPoSNLHW>Bz}WNgh(3wC?WHD)I1Fm3V!WHZR8_WmA&}WGQLk zl>D!pghOW9t=C!2zD$$*Qf`jO!td4lP)mQFb7VxcZ}@&m;}Uwe+j*mtqVA7}ww`v) z?x{q6fCvF=L>0X57V3E`?MJh6w(ndrW76Z+r}j&M-Y%9z9tu$l1iq#(&u& zUVetQGMs&y72;y1rchRPoAvz`$zsTZi z3%lVab_-%cC^eEYk3hV?JEA4#ALYoK$8Dpe#3fyO3<}Q~>dZ}|1n?QR+P5|JyXk4U zbzd{cdv&J@#;&2bY?>dCM}#-WD{`5QT#0J@eC1L>0-}AhN)XWv_^f_vhv^f*UXts% zV{jydic~*xOp0X7=TEN>K)BYAWIqnw>&iy5V`@Hx@j1ZJ13f{R8@7 z8B=l)09$A?j$cb@m_PFo1>A_0l(KKCHXo6raZoVG)s%zn?fp^AWsp`B`*GC&!A6pI zqN>~Or}OsEjU#gjEcy}>fk`PM8fF0w7GapgMsvWeCv~Kuf^e9sFV3zJJEIq5b|*ml zy*k`Ce3WbYEN|p)4*_Auj%!v^FK7?Uzk$%0(O=)pJSya-2c{%kQ;#BcabuR$ zrBs#~FyfuooGjKvy|3wPqI;5{;>LpG`O$Ew=TZ76j`BIYZ=O^+N7X!U-Y4F(uUP&j zCqOIxg1K_*s=U+oA={mdDZp>ZO^#cM^QtAbdMmvsX+yG9u{Q+>Z~ok_fFfN(9TKIB_099vF0!0+*=O_Ptf_2`B&?;9$4fvm6( zLJFXy##s{tPx)ORr5TQ-)dlPlU@YsHus|qBbLsJq?O@rIv!+Kr(m!)tNNX|(ik`0n zao4nt9REr_DH;F<^VZ!rV?f(DI@S?#P^yqQ_7D4<9tU@b4DspqX5L4RQ)DCUPP>VKF8acHnuh^iLo$go)=~| z)F1sX{o}&BX84iT2_@hH?G4_8K-ZW#@yU?uQ65u#6-%0rs!iJ{;`7T6Z%|6c+q!J(&&8-1lW4@eg7*lcy9SfYg%!`=RDrCa1zn zLn;yFb2o=+Gwuf^RDfmXE4A5ZeR<+#r}A_AZAm5j7$N+MKU#})pxQ3tVYSuNRJ;PI*qATn+sRuc z*=8QmV_H@ewRfW^?+9g_&yNs@ zfLO4xVx`<}y;)I8=nXtOm2#k0*Tg9QiUYGJAh=3wMTo~qZpL@=f++BiB=PIlTb_=A zq>yeJB6mPaO~+^vwfqLN#WR?@itt2wLKLrTcxHe3_KudXMbwRWuX(Ysy(diwTh&U- zw>enkyz&pL`DKxE5@0n0(MZ^Jh1mC#5bSe;*kljm)TW4(n3Ew>X)W$dW!%n+*SS`@ zGiVnKRaWO&Pfk;rj;+7;-M35ExWGt!Ybn2e;JAfoph(LlxZasl}2moEGBe3^Rs@)tnS~%44hsYJ_BR8I-a@?k`1rGyeCa&+v;cy=* ziYO8JcT&|EW50hp{yWr+T-gvFWY#4t3O*BZ0(pV3;G{RtTW{8l0YH#RE8kD|yc_Yn zQ;Ru;cN{xCMhZ2)E$w=*?)J=ruX0v-=(_l&B%|Qr>CThWZ<=|-+pTXb3uFuyV$`Fj z#p}OMZ_4w#-*s$Zk8QvF!CDGwEd`Un#^6+f$o;+a0PT1WOV=KB0L* z*?*RfRzgn4*)j0b_g8IupURTCAIREEiwWcL?_wHcvMe$Bf@`DgQP65QY0eHX$M) z0&S{KzGisR&2)U%T>;$NtE^pHcq05FBQPjD(S!}z$dwstJ0VFxK>hc|ZQ+#>zJ|H} z_{kJU;=wiCe?ZMw{E8&}$Si!Yg|^r#$wcn5z0fC~tc}=La9G=`TJWGw4iLgdsE^I^ zy?c9!KcZ;b_jsJlN6and?o9IWl9hVMjc^7yUfl|4)f#PBzA@dz_i)|Bg32!=$9$;i zA2amOHz~mpv?)0P&YX{kF&Oy~smK^#NmB}ge&$8(6Q9GOd%}L1ca%_F7vO`>YIh9p z&Vc-<$<+sAxh_?U4M?atlYeLENzLz{*MSKM9Qriblze(LJ72LqU><(kwV5m*L@hU8 z3H3|)yT@e;3xSl2gu`dyO%6 z+?JaRKiMxhTN4t(2Yu0WWCPsKUHdqL!9DD35NK;mWuR5MDc0#w9!Q{H?x;^SWyy?w z_*Id*SK+L&)rc~;8)W9MfhP4@9#?}@E0 zDxG;}H`A|NM2~34#fmWHLu_pm9;1iG1t|4s+Fg+Iu~500HMJ59TKU9NB#ffRF+R7v zjYuNn9=b>z&#UwERqstuX7s7DmHY*$x?nQTF}z>T3Y)6_H6vz>$(v)*ijWy+B`(Xd zp?}&g*a*f;Xz#I*5yHz~;q;sLH3FPko}E_kJ})lpv9u|)*LQSIbgLG$8)XO>ZuABL z0HHCLBmWCCP}@lU{6&A5UU}yrb-}3Gp|isrHvdXZ6vG^eQOY^7YPCN z+Tcw~hf8y^%q6y zr~67XID;%3`6b{F4hevvGy?LhL9@Xyr^`D9%=mr7XWjC|;ug+01#y^qWW<2o`^Vq7 z?nv!V^;vQ}p^XttxPa!{{T$)Ig^;N2P9P}4Q>i2&BC_Eue?ZT90y z1X*oUX6p;OHRXE~G&N$#CZp$n(p@L%M#Z$U&UiLg)xHNe!LVT{q#s(5x**qvQ?ay> z+8~WTOLAu*HP=k823tFTKzQ9a!!4hyd__#KFHaL7V6Q1=b zYssuus3alb_2!J5ai0cTMHNYo)J*9Il%`DMn411cl(&*X8>GL1L{-`KXGPx1d7S_K zLL*4V_h&gan!>Qgh-Qp;Y{f8plzG$693{+1+H`YD{AjH{TBv3+?AD#i=g_1O7Ftlo z;GhI8r$-ITa&M0UKfK>-;?uFw=za+Lj1BOjPmf1WuR+-#8bC(Scg1Hiv;f@dKu6wD zy|ZQ`E7<)T6o_XeKwI7Xmg0Qp$M=OORX#4LX>el2rN$#sHV|aulMsfkd=0wgR!PR_bE%^S%o7l=J_C_+F zz4|oI^7Q+a$db=a*i9zL~yN zaUn?iet8thSDxkKd|D#u_p+oFx|W%ruK>D<#X8xPY&zi?D#Q&WIHN12oJN;>tN_9` zrtEn2WMwr7QX59`I_;@oR0Znu-}WF^@Km-uYxsu?uu0bZM}BC9IRH@Nox&fF|JEsW z7(zCDS~CezKxo~9oUdR-$%VV0B-!v>wm`wqZQ}W4QzJ`P6I=MsNIm0DJ|$lYQIp$j zqHb0QIWu1H-&@@VsQetr=;ZL!)VgI$jcIHHs+13<0Qy`}o0OVuDL)pYbw_!0hK zDAED>?~TcCOkBGlO-=D!^zSn*%{ouUgRa*ZE&;3A5%wqzV-vRUPB8mrAg)EZsr~&1 zK&D+|+)5!xck}`wnVPo4l;uH-y0_-hMr@7Y<4P02@*4C5^xa*Lm;J|}M`+y*Y_T9P zXLAEDM7(Z3ml+iV^9G2dhgcoIO(U5I9V?xRQ@AG1McAtmDO~t zv|mZ>)%TBjp7Pwg)=cKsEUT%o+vz!Tz3rRx+lSThdoouEEGy@8EC5)F$F{SXo4f?zV^&uW94y!h7Ni-xdU*wM>mTwtgxEer zfDS>XKzj01rj9cY;a1^o%8U3g2FODoz4mY@qmJq)^X&|41VI&Amq z(kL=G-*epgW0iSxB9g<@lVmb%g&9e(G-9`4#7yb|%(;4>Px@cI*ZP5l&7v<705wci zMTy`81uyadZVLHUc@+QmkLds?A=x>{kF>@E!cb)P=B88=2xvIKh=-Xy7vQKb?1h-S zN3*N}6sTyVQUSke-4?I~u00tO)##L`(D!w)cQ`M&QGA-MKHeFk$xM7cXiH=4@EyMq z@JuyPmN0gV0P(NM7DC4Z9=jPo z*gc@q?Uh?cAnKW6fF`}eL_H4lSBNj~Y2^iX|F~y_pUzHpD7`qi3`i|>vii)Im)q&F z!Ti;wV)JRyLBG_cCCTyfJg0erp#Xg5Sng#9rdEcLaIA1P$>R(Vv!10W`C0#ENH-jI zQO-1;Y#^E~a5HSb-{-i0OY#y0VoNr~grYSUrSq>Pw!mI69Buj*G6AYLQood;YW+L0 z%MF**d7=KSDZc7@(p&B6dDcZza4A3sOd|mUs*Q<_qINdSrPACBF9)tie!?1uQ&963xJalI!s20L>zo1LzpE-j(Yy#i- z0U*dqwoC5bJ3@+q2uRa4pcBM#bwx=&J61j-HKeK2=#+W{ZyT;bS+^Qoqt#Pn*rA>@ z?~&RUWuTi*|HTJam(yugQC*afU)!qEAl8H#1KIk!t&~s*P`U@4r8mvEMCnr+%pD42 zJSO`pEI6fm&_}6%t&}1q06`u~Kpb)hTzWCL_Je*TI_@584jyXx>- z0Dij*Kq5DvE{a|ug}=Rs&1KB5V63ltbXXL;naK89Ud!PhvDEGFl1*Ts3L%iYcSTnO z-+m%DmASdue*M=hlp4}$#%4-Pb7_?@Tnq`TtvMd5VTVEIpAURw8lQC8*_t2ZI zjr&U4GVE!oOA2$9n}9q@5X6g-{egwjX4GrQ}u zge6-B7-y-mjeSXalQR+l)$oJ0?ue(Cf|jIw1zlZ3NBQ+1K1V-Tm-U0Zw5PPjmA9i} zE7Q70A-2&$c2EyI)soo$q<(w!X_cIHAJn8dQAf;AZ$q+KIR}tOYG)A>az!+Espj+~ zkXB|wjfVsu3UGsrU1z`f0CPqOuAz@ng4fO;d`*9uamYrMKe>1J+K-c>3r>cdc5eL@ zBhJ5vXxxqdg=(Co-TJ653P_||FpUD4vbEm>(+_}~tdtC?BAzK6I~gQ=a=Z<1>}a!7 zoz0ibGZ_RMRG_!c`9J~y0~qqY19D{qJVPO+gJ4OSk~3(-=XBSH2E^%;L7)Z10$;i& zbq#p1_vc+ePSIc;aIrW{uWDn^^3l?JFQqvljLHO*Cin`R@r+%4e)dx+Be5O}%Ak#+ z)$5@XAfK=I`PIZtZOuMokLBLJF4{^u0>a>8r*dulZ75V^(e`gR27bZGmD`41+r5pD z_x^hoM98Cs#FhrDE;WZ#PuzdKKOn{3Uk4eiYyLyb9ffP2YxcXj{B5j^>j83Gfc@eL zgIx_N3;bkK`h=C;zjE$la8JT#r(SK{bmtL}d3n9_DTZz0yF10AHnktT(!W5PJ+GvA zwq*xwKcCrY!mLE*UbOUnH03+7+z=Iq(4iIHynhXWg$;azMDX$#AdO_C-xBvj4>1ht z`aDy_9M#y1k_{FNA=Qb%D3GpG+6w_{(?ys z#$~fTs{GeR049?X>JQWP&Sc|eH;`6Ib6>qH=0;e`IG++;01ZjzwMfq#-i?CbS1t7) zR1g}?XFT>#ra?l(WPz0@C#Mg@2SzNg)(@mU+qyo*uV}ufbQ~7MR`Ke8U3CoiVB;q& zwFG&t6)k9&u^cq@|CeMtdv%2dFxksi2#6X;NX*k+oTnwZBjXy9N{%nVXZ;IX!aA6Z*0O| z#)8!#Z3J=@xE_qk1Dro&MAyf8E4)v@HQW?dp?E6^N8(N_X-l^dr5tZS*yNN3B6ib6 z1rmCQasj-y{+IcEOUW`nt!g+kVI{vChc#Lbl_j+QX z%;s&sdI<0K0Brg-5X0%Fjj~=mN*+3f++b=bVE{rLE6TxRug9bp%BR=?0SXHOU;!A9JJjRS1))+2|b7SWEb-3Om z&IUu#NW2LduiVOwRw}2l$Z$^!Cus}+=45a~N3PS=^U^&yHXSz=UUx(~ueGz`G$yk* z&jQ2gS`dN4{cN9KNUv1{%@8S+O}R+0q#t85Sv8%g*3he^N&e28p94q!?QH)_#cEPa zscje*G{EYoZ2@gijGrFix_fv!3gjqrZyg#q(g;z_JK;~Q3H4b6P(>UE?)u*~;M+@Z zH9Jv8C?jwiuJd1DCY#y*)9EeZcD;55YMR>I{>%0VhkPB#tVi)}xt0-7Q=u@6m|eNQ z|8&arQ!AJkW|H7K5lD#l;+{;z8f;8*cV6A+=5dmXUs>QZjR>>P%NoZ#ny2AY-4~)J zpq9Ua-}Ozq%``Q8jZ~Q0-K>4oBR?w=;>!T0QYl^pP2!N^T1C8uyv7dVNHa1NQNiol zB0t|%6~>oM$hBOt=3<&!; zb@$O5r7ts-YaXpGI?Yd;d{!rO0>JRZ&iXV!zGC)q750XbvkHT&SD}3`VotzdJd%l` z?|Y9Sp^J+gzFk%ROJ2ai=SCy~n4IKX52doM zo4!OfKz#d3N%F)W5oU$fHV22c-L7&zSac$kq~bW}cHtm*5aWu*BfWJT^PGT_5uyKw zpXcbLbNLOOT|8&AAGY31%5{f@&XwCbd1Dn78_nxXu@!d@uy3-7Zpi%k^&Y32R|38v z0l3{C@TuW^4?XTHTwhgFbAL`FkcgiQRsEc8JWh*t}=@H8#6;HN?oKK`mgf zW;I4=J-9MsAeumG4g0AEn0JnGPF)fwUjll5oKZm~ywS(i(Nna|a13K~0#TmVvR$;3 z>b%wQ?sXXi%496C`5{B65Ge$87$)j_x%=vjvMGJF6;SdR4o9b(Xv&P!=JLEIK{5F~ zTHBe^t#f*3^_hzi_N(3OoyX8x^}C1OkZ<*0O1DuD5BRdc=)hIO5tzC6#-GYqtPVseWgCmOO$SE=_QyH% zDtJ24mr02)&lSfEZ#DL7&2Wt#2s18U3X%+V-O)okWrd+Yb8MXUNdRk@QW8x6f*Ydr z!rLe&Mu4DgDXdLEVEjcdlMyc0bvPd*a0fO6K;KHHuLTN$D;GL?InTKzi3-3(1~Kkl zU}d*Q5S5fucIYfbB1xsr7KBoqXP-<3A9Srv%4kJjg>$|G$QUPom=avojjBJ4O@li;KcVoOZX0Qs3c{jP ze`1;*i>B** z%(bb$_{qBd8l?##8VS;#E6+M2d0W>m^RzJIMUfPY0ahQsD+uYa4_Hp* zX1E^hYvkBnvt+Bz3wxWdCY8r`Eiq#t!>pzk^LOo#ol1^czu&3O(0;3u(TOV9#zgG) z7a@V=%Bxj1p{v=-vsZTUVYd}QIGB;A5yF3VuHai~&+_qH^>u`Lp8C67Au&H?q6l$Y zjL3;|h72c05Bf?n+K)g`I~(P6{|z_u+DDoy>rfSV)Nh-@CFT@{bkgHHftc262R!B3 z)@j1F<1&Rt>Xy|DEkh$a-zZN_ausSjnD}zGhbIdG`M|~~p!?$-9G)g83%HnsD<>px zzdO^GEn6u*PPh)01G&IxKx`0R9sSgA@|)ekNMyMTmZOr%^(N%&@_@!jA-Cw+k1*Uk zybnZCmsv1lFx_d2zmqN}e3^KSjN)UXYFA<$SHU2?`*i)SRngJ6!`2YFj)aEGc5jfT zwohh-!lH}%(>(@{suy~0HWb5g7B7iY6qBxK&&EW-e8u5Oax; zq_LV69}I{T`tlk_9Z%)+qg@Gny|-=b#BE+&x{8;#_*I_@3x2TUDr{xtrpg*dVJ0ES z`@SV-GY0qQTAKs{_i&S001s`46mrWw@B)rnB?hr~nb14|fe(>>J8__hkmvyVnp<04vi+`~71`mrP_DcDB~3ci5A}7gC9%(UFDlE(EX$XFAa0_-}RPQ zC0c!%8T>iu+06W!O+I2`*_((%bSEKxR5M$tHmLo8w;QGF)}q^1C&c%*W`S9wdImsn z9W=)pcWR)Ps0KOhd$-00eE_oWYGYaKnqNxS25Cg)&$!Z__jLI5pCXMm|C)3F$gt=L z?;ly;UPfh%J3E!dSKVUMc#C_Ov6=Tz?pXNBg_UX6af;o(1iP+sXjGnIx0=oO<#xN} zJ}Bmx?)OPNq(5uRL3PQ%%iU{N-uQ(&-5!svy)%d7;=+sv%9$g!JZ&8b6P;1&XlEa2|bmy6xk&^`q$^+^TORs>MsUoAP_aeQ|wBH5jSsDJ7i~oD}1$g#{K>#PKD5J4ask=se>)mEw6#l~!Vle*l7H?`g z8f@qb@T=cTrOzeK8V3f5&>oT7m(OQR368PG)Iznngq*LX*^U6nT|F6rD?`-&FmMtG z6MZTWCTi&Gi78V<|937Ap|3xNk$`gRsC!Yq5?o;$CsJcArh_! zZtv7UV^@hPEPBeMly`h9Sg6dag zOktn|H~|Ss>uE~PR2oHEZCZ1vk4a@-(+}PifWz~L-iQ$a{rdl%A$0)sMzWn8s;>R; z()W_>(J6$Qrh*<xOJHKAoSJ2uU`MQeu7J?Zbi@F=!LnubT zHb?o_tKUgCb<3gzxWUB_3A$%U^Pi?b+@J*#++Qdb&Gt3hDs6eWIE*bEoRmvV!=Y{U zp28xwRt!2R()(cM`MI2GfRi#>tqZw7lRI+vTlQ{w8~Os5K+Q45YWBVv-8BN(BuF0C zmIgF#|L<(@$OG`6U#FhVcyF%~xZ=rHw)w}Q{^EPVQtFV8HhcJ70?D^8fv3l=e7OLN zhR%d7s}Z0!|NF^$BzU@>I*n5&01HtDk>j0)S6#=guq!_~JxPtsihuPR$;Q&%#Q$3( z{RM^mMVa8ZA1Mz7{nTue9%QgLsocM3%c!ay@afe%6{Voxw41ycr>T74S1*Fpp7PFx_(*a~@p?=edV?&mIxp(SJzYvz2MY?5 zqCW*DsUcw)Gy;JoVNSXD{1tWT1J30vY$xB9M8&r>7cWG@TBPnCRI5;{8{I}U$e{hu z^jptz&z}iFfoBw`KmHWBpB|O$#g@=!9t%ApsSh3@RW(vZG{}QT4B^hz=Z}y;k6fT; zotMr{xUU}1s;V4Z>8W|xB{&lml*|!{e49S9vk~!wKstih_@F7EBFRbA_^C_!@GC2 zJxQGLnIkA+;5m$DKY$*dJ=CQDFZyoJx<@#CGn{KHG{b0$f-n*ms$=4ZmhgM_4Rle1 z2kyTvUSWg#`9iBl3B%IqnI z|KF;7p;h5XHX1xEyJ+&oCY7ut${N+ZJh z<=+Rj2G5|ztB!<6QUPo0^WCY6!7C$sBKk}wlb0K$!C5{d+4iDhxSq%i@4FgdU z06uf*G$j|3RNKqMzg}695UYa%;zh-|$auacO$9XFQVj0TwdqW7?pN@@xeJ71&(D1) zK>A>gwPNK{X41kdlFJYJSAJ!xFD+6Z!yJ{dHor2;@Tv7ux&-DAKX%An zTu~Ta7l89kD=mL6`>JNj0ny^&=Q;K2nOF|!?`?{2=$!w(@Y&xhNWwoC6Siy8)wAR! zDPi?>bw_pOy(ffADw$Cj)DxbJbt2qL`y&b z;IyPmP2`4CKq6P?yWn*GNrPDMhGn#5&F=5bo`d2`Tx$$7?kD$8 zkLJTLDb(N;w;r8c81OlqIIuxe8dGuuF?>n>Yw%kRJdv=`7awNxBs-H=xZ$6{;?SM` z=l2!{?G-NdSAVUp%^plXkNq~t9+ewcxtD=rImZSns3%IJ(_|{WpYZ&meBt9g7inim zq;KW~J8($yFB>%$M8n)q1`m(sS1_mmUj(is+4=H`pc{j)*Fb&eL-*ze7$R2z{*mqp zPAAMl1f6U2R3UODRiSjp|efb#A_M^CEPAxCPW!@ zMF1G)=W@krwF1er<-y)iM#(`C%2};|DwY#~#Z*F}%wN#2yaEc`VI& zYq)|d&v!(@ar1kAXWPJ6^V_T`Ny}j1#ma9j_1n)pEo=0;m9hDqCV!IgI!q8nFrYHN zp!IkESvy?j2qCbUnIsP1`IvUxWNwXt_{Irf%G|Z#3Ssm%($c3#o5Zg)4l}hZG*L^N z{U_R82tV{XIg(l9s3kME@f-^v+(+_HiKf7Tj2pd>zvB>OmP|tqBYZK^kRGH$qou1s;k9lti!wk5@$Y3ofXRJX$>H&5qtDi9=z&>&OFd^d z@Z_B4R)^@QCo9`OBL-P|PgZc1tNZhMQ^^i14S|%eRPByDg|gqh%*FuTv;t@XT*5pku=@+WsD zD;TLktAA=F%=6#sFGH(;^xgc9Zl|gm&XB7+xe~6V&tp&DXLigQSV##_obSv3uLNW| zRf)gf8P{>+z~4GbU(=Y2!lWpJ7vCUExN`~i@9!hnfgt?sv9<8wbvG`kQ3zFtv(iL& znxyvjcKV|ikx1sQn>o%L|CWJ-eS+K^+b-&fI#7vuJ!G5xWf-&uPJ@_>V>US!kcYJ| zgJR&yLU!KsmlrN_HyF0v2&{~P0h91c>vP#d25^S@Cd{Gg=avTnew`FovxRJausHiY zs2Sw=kAZ65G&PuIr#Mv0U%gl71^R>86*+2tg{u-(g30&x-|=Z4ap3^Bfor%t9+?#k zeLYaN|6Y0i^)ZIWD<^#+QO*K95N;NRId+K8CM2FDmf_l=Kae+H z;sV}CSKDVifAj*lWl9VW{{FWZKlA|*>qMz0`BGT{RrDWRdA;tRPn(IM2PPyv-re;E zHzH!R_*e6}EAb52iEoUr@)3KyxRwNd^1LQLrm>V=yV})BT%q3vpi8`SVEg>v*J@Be zm$(JPA#l3@t`K@<8X$qJZ3x(Wt*H4Uoi)*;y852BH!=z!Up0p(&+XqAp+5j6U!lMN70o3P4nf;aGbK7tSdHAMQbpAW_AfOA@sdfJSt({k58wFR&cJslLkS0LGB!`HxDHhiK?<(;^uQj+o zPD56cll*1-__==phR7Pcmo9bv4Iy~1<^9JU+W);b7<%v9|Gl@y-~(?2?0$I8X_K`l z=oa=v$Lkn8r8>Jy*g6()H!9Pqyw}&-4*uL9{mJv&UK70-xjjl7nx_UG-7j0a-2aYF z4;)=sNl_g?qqn}a!Q!n&qT*=EE_aJ#QH4pKOJMI?iw56i|J!>?YM>@2uYFkyer?j% z*>|90^5J>p|8*6EJikf*ud6Wd@xOoj|GF1+c^W**xL*_}x4XzODca!stf_j+L7U~j z-Jm1~t^{N0`3KV3``?!bude%|_%>|fk1K#*|5fF$n#xd5woeA2k(w3Ihg9t`?oTre znda(~1G~em)zQ%^F!IGdQl9#2C*V0*c&qn-u)o6PiKuBUj_B_2Q!5+Wbf#bgwnrKU z`|r*LK|avB&fRlSs0Yhb0?XXnw(gGv&}X0FH09`Fpt9|l=#OrT{{7tn?)1#?rasW* ze*u*3Asf!AG)TMru?iEPamcGa_?%cj3xI*ly55$` zDDagS{rc^d_!PK@wEvHL_`^W%T+BkhVQiwonOQG(e@>O&pSt7%JgT8g#c)RI7L%tP z!ppz%Ollfl2|gaY!Fpj&V*9t&3P1@ufa&o3f5CrP`|uUE3kCjFrLheGMx=>$9&xUc z;~`JURh8{%Vmx-cu(-Ngm-99_aB?rn9trb}sTTXCfv+o|%;5;oV5wd@CSKb&p8S~~ zH1Xa~uK;M2<&&eb9u=N4Z5{o_Wzg|q501e8k65caE4T?pZXE{y-30%$o1k^Rt?vy! z6hYhibTM*Ezf*EI0ctE61}?zDD^iAqZ^KS)X-~R;Z+Eek0+s!@k;ddvLjx!@Ph22l z9R6MWOw$~)LrqGG=lj(xads_P&iCuFw|@@`zlgCTPm#jP8*(K$VE|4*T%Bz49F0il0(?lr|DqcJcK2iL z2Xj1d>AEIS@P8UN1qag5PssgEB#sKazx9chQK`J3)U*EJKMY)$=;4%S>E#Fj50Z8S zD9M;1AnQc0e**yI?9PBW*)LjOT0O9%#G*fnIM^_#$9~RU=j*$MMw6;bMEvi)f2e{~ zi5#jCSd0F&zTOKYv}538g?1sN&6hH>_bX0-s)|l9|McXr0$^^~`Z!TE8FvyF9=6Ag zOC7DrveNBc0`U7k!-h{grpuidXMi!0Dgg+W@+3)*n@YKr?-1C^+=QlU|9uzA*OxxY zHT>oOwx_TK5-xX_(GyGO4|k<9#sQrVPk_rx#E|_DOCtj!@IZao{g9;SKxMs@>eT*yov~6UH#UBRuY2F(! z@V*vj|7u=T9VjE-=Kqcv|Hz#e_*yLZntJb~kH*PXzW!i*3RbqNRqF1|R|XpUN-blJ z`k*Mu2+TuTY30c)vOWO_h=1W2EZ`{9#%0v2gdEv#RgLyYeWNhGv z&W`BwI(HM&0-)m^6A5M_&jJzqx5*iZSYxq2FZp;BAiWm>63cwQi=cBP)Vbs9d^$gI%^VfO4 zhn+D%`)4)i5xX|gm#NOQI~{9sU(WuGwvNsI!_q(7x9KBZ7z=Q7Aq~l(tR3Z(>ivwx z(V7~M$xzX#Du+9H&%s#x_t$^AT)TY9F6XPl&_j#@N!@GDCuEEg16!nfQjbakk=DCJ zb0-*-6#|u0*ERzM0%?kO6}N%^)z2Oxg^m`gxH`iDW-JqsEr;H(!w|Eq{7pbH-C90-BXUwv2YK-^byVP^5Qk;I*kx9?k6D zerGhQ(BIoxBa0&9(kNpD=|PXE)-1X%gyc@P{!sA8r)woO#~npC!lX}JS$PL7S>S{I zl`A}Op%5JYZBQ&pe%rSX-aYg>l!Yi)?C7@+a~cfScT;`K>R@YLfuub_{MY?zWTQJU zn9Gv}wQC%o41Q|?3LWVJviAn@z(-gokEp*yR{wDQB@$I0ws)^9i=ACX)b=;03jojS zS8?m(oPq|5;rn)9dgli~^5RF29`gkW=CSLzwjJC`2mpw^xZ;aP>R{d!;%FFLuH9qy zBb@2U6z^z<+{deT60BAJAgMre?dNT_*G2wuriBvEm-N~U*GB)6Nv!#XzP`~9a%X=e zzJfn#ooRB6Agp8s(LR%?+lGaQG`;)Whn**y=8#)ra1}0DM}A23mZTEQHGeDr-7SJX zf*>S$S2a~!-e~z@zvzNjtGB0~X3C&@0A;}G78J?Pm@)!T);fO%PZ1nf=|LayxZ((| zO{~DZ_b~|UTi#-O7I;A@4qZ2}$o0p-@A+m;<(wp!r=-}3-DMK7d9?KLN^3UctI)rf z7HJutozG1EM&^gpZ}_;l_oh^lLnO!rATI)i)4ldtqFn#TmKZ)%N+UEo$xXDdEp}6w$#lL{cnVauYjvxah1NfPc{u*$uaj@`sjnl zRHWj)txZQyfL1@;g!vJd3LV=@9OP$ z7FC6UTO<#Yy`Jxa@xPrI1aQK9zV;c6ERe&Hl@=0XM>3?QAp}4CC0@ z2NkVAg~m}qb|)$5?3)une-iaqj_bC7hWYF2pyOmyFN3w@Mcjf<&BJxvgjj$>>Y=xJ zlD5k_p;*N9|1tI5@l?hC|L0y8*S?ps)xEYNTefTOEun~9Gl@{Pd%0G2q-+^QMrK74 zkyU0ADv7dJ*+jqh?eqP7f8T%lD-X^&@9}y)*UPt-EIYQ&#Es_fTm=5Rr%7H_I>fv3UsDJEYVU(x%@neqV=tu8cVZ~Fr-4U@k z6^p`Q^7=pKXJD6T1D-gS%pksZ=i#Z(8`OV<-1U0WU`)bIB9{-y4>&}(ohUs}Q`Qgg zG;e-rF*HbWsRA`V6QwBXQA+kKIK({lr6p!!h5+t)SN+GHVE@d;6x6uKCY3KpxfcYpPbjF5$KTXdN=Ey)&~{&~OtfYq>ih+w|nmB_Jk zs9Vn9lJ#fcw{)Es{w;xo+;n%N%X%bK#1f5rgCtEj3WdJYu&@5NZ{-_H_CBaUN08i722S0} zV*Rwt$U*N^k^dcc)$7#7akLscV}AKq+6M&}Cj^s1Kqn-5GxF~ZEhH+4T?4~!dEB`+tJ?}_q>6>{kt0Q@Do=*Q&>G(8oRG zvkeWCC+q9JXHm!}=JP3Sr(}PR5`)E{r327id7i)8iCmV)U1aqt;{jlT%s$FSRkRriBw|0XOk$ui|$)8G0wrOgP9_BpE)uL`Tfz zMY%+M9U=bw;o3hFyV<<@?DMVncW+f@;LXqZfM|-_%-V0UkG7}4%rkt|LEQMPUh%^E zC3G+#AH3vOP_*|r;oC^qNCNuFn_&W}?U(Kf$|6$o`-=~ZX@5H;%lQ#Q0qRGbaKZvV zqpJDYK12^~;ZDK+$fMMAlI|3HUXf%tjNCyLT}nOG{w#{zw`sS=ks>K)zuPqP00_HR z+=S#rrB5t-eM4J-axV{uNd3kW?zS-AzJ6!$Sv>TVQA{3=&t~9|>XdqVR}Kx==XVCi~vGk_IX+-bXX_H(f?*eRaG~m)BK)GCWDLZxe0z z&8sqBh;lETb5Yp(gazR)kAGfQGSBj@sUWxKAnuk^?_ZFYEDX-Yh?*V#H zEz3{L@as6j7Pj+Ynfkr{J8dG5bFT^@P=dh5Ou#V1qqRXl^?!gOuPW5CdP9AdyYDG| zSjJ56WxTk?#bi+qc!5@~h&`cfLnmkmq~p#;G8_{`@vGbh8A;Dxr|S^pbuSl30>D8bHEvp>X~&a*^!^ALZ!z*q$o`a}~EO zMOn~?C{W(qi6PhDXV3ia+p)urQAjz0AkVWhB5mB%OsBlEO*&$k2FG|2I@0b#7;>l4 zM0f5*+c6stT1xcOWk2H&GKKsnqhzMn@j+&f;w^)LdM4|I^qW|B!VnBr{El{})IWx| zE=Aa(20uNZv@Vm@LN0y8KpJbn%L;@c)eG@SK4hJS&DQd#!8|}+3(gqCP*kxG^Akgf zBy}Om>s0v)igG8g&L`k*fR)UXQk+q~%!`;QeC$WwdeaHn;!3Rqm+z=Ra^Vb|Jl8$k zYPN;<`bao)6eu)r2>fsJdg<(sPsMhIZ)*7ZgCDhl+Q@4Hii?}EahvFoD*fCIYTMIX zf}GUpg2r{C&?L{uxs#fk(0qYixE5;B_3a=^9oX>rz5+{v&`YXP6xqTkW&yg)zf+x- zBKI~(P-IaYE{Z`tTStIGU4w8Js@88P5M@D9+j*H5sD6!0bmY2_vJZSd9;7x9V37aQ z1*sypQxjJk3>Vspmt{PGMX{;pi6iV1A=%qCIiXD_e!BZu zQxPJbXih5(G(8dihD7s7jexF-Em2Q*1P#S`4MNYK7rwJA^R0Ntz6_C_dQch-s@j~; zfWCXxQD8({s5$^R=Q3N|)yj!Yjg^g4)Y+*)ozX`;2avh;m2Nts952Ly%SKfd;D4dz z0cDo7-}<}n>{qFGqAY(SjU9+~HP!Ynn*n@Y-+OjJ20JZ!Fr27&zvhq?_Wk0Dkos~? z)pph86hNAkw9O*wpZBDTfgk`@er$jK=%McEu+bivUHn~2+;!CwnCD-_qapSUL}Y6n8N~2Ah(A8GpaC<`e))_~>=qhNi_u~?z{A^Ir0Qf8379@bE_15hUduwl#-4z7 zacoYuIvy35P&mK_7t4w*`6 zf&01g6>>imoYygevi+DBvF@(X7M0?6g4;eR2m{xRAM zmr{6B;r_BSN2e|j)#xfT^#w}rWhe|eO@nUqnG@E*I8QaiG%lG8SR_|yBZpLoM<+-S zZ?q~4iw2}Zd7QFBGx8PCq1RsOp3or7VqXJL!Qq__#?UEVAhRYBCIj!gi-jIafx5C= zRqp>kn+D{|Y7wDj$sF|E}I2kl{eozS5=`sy0E%$|AG-{#WWOG zTk%kuI`7^`B+P)pW)^s8I^*D;$5_@P6x^MChV{H+IHc4zGSd*qw)t% z!bSL?&%JD-RaW>aZAUUnd>>iv+wubon+n}D_N(5&|mA zs#krc!?jYG^7`v6OZch-(SQBvwlUkcvWrahr>b}<1JBP$%sAc^=n=Z}kPX+YytG^A z#f#e!9OV9)sV#+kP;U8HvDvOu5)Jnq`p~3-aY3LQhzj>u?n@2Ho=cf#(1N%q^$5Uk zTBSk}A9OJ;34G;ms_twx`x5}nx$1D?u^R(X0{j6<3Wl@ja9g{iAKgXU#-~2WU!;F| z3NQtLXH3y94-&UfGHq&uQFEh$JqtpA$A1RZyb-&NAi^#QQe?_#D%wPKYXN1M={zm* z1X_N*Ws^H#X;iOwG8Pi?Mb4ji8|aIpH=EZx`UbAu%NgbO!cRG!A1|eTLIu5fI&K;> zcK*^bmy5~>FJ(ALb8RN495Y%#d`H+x6itx)F>N45*O}A? z1Ov|YmL#0$o_`%mkD5s4X-Q^!hnX})8B`$^6Aa_PU!r93S_rg&=5piv`5ubJY~hR3 z+4m|u!|Lmpza{f61$PQi+QyX#{8tO$zRxv!P|i=m{sE-2e}6j6#T2V>Ccg?KLt=_Ey5xK5~*2l zJ!}p?I#AFf7CyxKfW)ZiYH3~fJ>Z{;&XK!r_pI%syFpZ2Pa0pbp6d)@ zhxhGvBlf<>R>?A5H*xpVWg30a^}wv4^n&q7OpU|()T^qK)1X>d1R?{6=Y3}?{2Pk( z55j|5PW8Q<9-I?2XvUwKw;Po_U*CT23~T>;!#&w3yYPYG1J`R++4d?L%9tEMFe{7IKOx6ZBKSq^BwdIGbc?>K8 z6E~Vu2uTEa?fni$?86QPw2YEC+AqK~;Gy;z82i5h`V7Bn13a@~y8xnUCZmx{-@urA zwebLDEROD_r@4Rd=*?Fp!2J@m;AHrBTxMyTTrOTyVR>$Pt%~xYG1IH-9baSRX7 zVGwsU9k&*Sy}@;Vo?7+JM_?AEGT8%JQKo)@pb5Ts-lgw^Vb)W(eiWRn#`+Ab@JWHw zba%?sRu6!;S&fUr+|7V4u1LILNMj9<7L7qBpxn(p1bcZn4sK&owEu^DCohqT`%f^b zja*uoC~z>1TB-}D>O>zb6{<%iO5jA1aK17uZW7~M;+=mG^bb*t&G3*BO2N7yy}WH+ z@^W0GqB5s>!t0d!xT*82oi2~ug(3;zXX>eKnp#-7s&yaPnQ3# zdUbFRpq(M_7z_J(xIF%0i9cSPi^+$X$ZRj)1Ni*$Z?;|Fq4%FQOF`j;;}WUms8cD} zXZL~g)cpY2h$N<{9gmM60odb%9xeTw$e<1B1KLkHmL=MKi|wk0@DbPQrn$aF(LTu) ze!20VxF-jqD&c?DG@%W$er@F?p$^k}W#POD>wVD(@*B8xEps%O-eBu zZqA*qF+RkA%I@Waalac5{;z_!Z_ailRVXb5bHYoe`1oy-P=x_Jn_D`;YQug-M_Y^9 zTO@z~q8;QeW=*=A+s{e>fzcUK-LOtU6`p`U%J98d59&0u+Jg&yTrK7rL-lT^?@Ash z20Uq;t&n}3YFKdCVP+vn5#{!YqidY>MZL^8v_tb+!k_9kU(XIYR&ZfYV-Zu%YvT&qN( zniboop!FAfaqnu06;Ut30s#7MUpf2B%PHS2>MOsL*G@I4TfwIOpi&B{H@v0wC!FPI zHwyy1SF?-i*NDGII3i$kF8WA!MPl9S&7-R?dd+Qp-7m3rbRctBbU z#s!fmBps$&{INoNe|1REfG~mrPI$nkM?&GG9g}3oBzU7voJkZu^?>DP#XT%x0G14G zmY$cS(kY>=UyN-5`m+6Udq6x_MN#6DMK2-wU$qa6t;{4*-4xe@Hoj_ZeRG2kWy{is z`%huc=n1jgwxW>rt^3*Lt-3i~!GRV80)5B>*A-CHpTEwBNbX=4dw}-b3CWR|Utk9f z0r8#q>n{d&pg@_CzrW~TjU;v!jz;%ILOBLYPvS*~vtx_}`i{VVYWX<-yyMJg#*x+( zcQ$y)XpgkR({NM!zaZIjRVR_%X;$zOaWdQ?(jUXEH;f>UQ<4;CDV2I)$I3u5YUD2Q09edmUE?D4IIq-eIHUw?SG+vt zC;G!9G09QR}6g^zJ1l85Sz z^6ONgh8J7SbWQ`ql8bz)T~ta(=8yfTk$R_(=1u#;BN32B={>6Ox~qJ+%xqCEWXX0| z3iy--1$ESIM##^B0}&+rto~zvAQW9^ zyU;tL6s!(jcI4LDAflClz77=_6L3R-k@pfqVpTv%VXvGlW{L4vr9 zq%_9A6jGS8K8CB%BDu7+>oyoRR@p~@X3s5VTldXwtPpJPcw;!?cuNuToqx0Y354U# zuYoJ*#eL7ieU}J_$yP|&ud^m^!yOUbYE*D@sQPXC_iO{&&>B+O50{w1Sfpk@k( zMFz*&7YC%3#G~|>jqNLZkhwu~S(!TDN)6d8-_L9M11v2PiIb^m5{XPl-Eu*P@z27I zX>H|Ddd^XKNm&<8Q+l+wdqWuvLCl4jj5y}ahtjm!52ovT9`W`Md@63yB3qTkkYo+|JP8P0z+xZb1qo=(?>(Zv51F9SJ$L*UbZ2%v1 zM_*N~X>GY2_v{@Yh87arK&jz*K=(NJ=AFV`2(EvlMp~lO1jG=Z*MrPxPpnX(-jfXc zR^;jMm|-!2LF=t~fx&B*F||Vbe`-zn{QL^ScH$X$>zXlzfb)K?(9>67waV5B&%mii#M^-z>Q48T}KFS7j{sX+y!6>e^a~nx>4(^ zm!Du8R|#IDQ3wJX>iV_~wrxB&`XtY_?Y%csPu&L%$Agw%cwtgU$!}r*3NxOd8&jt- zxvFzA>d~W|!n-@k6Mt-Xe1cf6fRhK|A(Q#SRv?2 zdT8Jv?LfRziK2Y8ZU;-gKEkAZ9`Vi4K>nH-sD<6JKs9VqPKIREYvM@0DRSa7(*$$f z&c4~a>-OiJ>JERtTAlpjLT7>UUG9{r1Ebp^u`jzX(TkxX}X(MR-a$su@AfNvDPs)>nbxK*K>!icNk z!6-uckPTpT?NoA2c8gyc^sX_oEQFq1&Lj z_4I}U28y^BfOMpBy{K%5tYUC0223A^F9>tc#}FQI@XK&`>eJCmsRDGq@@{pSAz}!* z2l7qat6UtTfM^jZlhQ^Oy5(^$K-gP$wtS^xQIdNL1p8PS3pCfq_{ZL zRAyUD$NUYOD807JDV7CBm&)vdBn**JA8&9}>Spf)E!u>yayGK;Lwf&x{7Xe|oKI36 zLn5NMS0_{uY+=Y)7_X83PCfTW%Yd|>9Wa*-u zG`vHeH-PWI?pPUECBDa7!a%o$%%Ysc6&TY0ig~IV<%UD^JZRY>ZqHdAx zx`}Cf2VfoVx@XCCI~bH(RNh!z`C|rVsAQB1JiZ|{VZVq1_1>h=TVBs(Xt}8;^X+_T zPvAB0JapXVpYH^0we_kd7w)(qv+W*Uy)okU^4RsvQU!nu_YZeur8whhQhN!Tapx}7 zcQrLQKWdRp{0h(yz>h{$+4FZoe;;*d4(6+@hV})K+o_k|fEdTsZ(4au z0KaoUkG3-b`MYY**L2ChOzA6EDX2`yxS94FLC)X6S6K(7t9!NT022#ea zI$YO(fXb3{zJ7AZs_4%u8Ix+uIQ&p9>b}PZvevxuGx6KJha|Zdt@eQny&vVx{@K#P zt5IYgK58HM8l71V1^P}xoRug&G;I7g(7JsYk$0)m#SBm>^$t$~F$)hrWWI}m4SDkr zFfd$B4fyAoMwaN=LBlMGPnUrdWp$p(e8jFqedDk1IV^3cD=dOM8%peq{i2QB%kUO9 zpn39rK6B@6wx;2Um-%QHp-G-GpF4xVY>?dHtMz=smCMf}?8FgS+E12lROn>-N<4ir zbH`Q+<@^ZOll+R63O#7R(m6h-y4VU&AVF?3P4r^wd%3AUrd-RA{Sve!0%XB%`*zT=Da?kauGt%5O^1$&Y-@@?UqiC`T;RUxNXp}k02IFexbT7)I<*iU3wij zEI<2Go@?3A;OiIB$5j+^>M!xwtR-2R@aNuDmZ)%@BK~Yfaxw=oW>QYC%sAFFbq)VK zAfv#-$|l}o8jhgKk#RY66ug*w}o>{Kpn@~g;>;3$;i%61>{gsw|P z#!n#$5?B7vCc3NaY4SUvSODW3@wk$40Q<9G&4_)Lv`2jJK88ubh>#;NUB#W|0VGIS zU@|}l4{h2s)T2!SQ|F2PD8~+p!{f0_H%k2|>>JO)t0o;oc%akQh3Z(nsK*DqSzJEA z;x^9?KbaR8U=Q&2MGJ3k#yXp9otn>ZR~?uGS~7)qSNY6(l`T{R2p+0O0!YE17U^M8X=FhE5@Ay{Tzn0}0GuUAf7Le_y2;v2B5w zwyqrSmT-{WKJju9 z?=l$hMrJbufyd)C26q9o8Jq-Zg;@{jwJ`MXS+#>7;cpZ~$k@;d0+3O^c=@Pq+`*-k zQ=H9cCt1NK_P~{CH5w4Qh9c*@udt%;!$-^4fuAb#RqU)$P&-*?2q4H76B1-=iS%|xG02a81G`kI z+W6=Xu&gQ?_7c3FJ;5CVu)r2IyP#9jo;L!mnkPw@n#O2{9_Dz#EXOU0R&nr34V2Q){{GQ3WY zHEK& z+H(&yn&T?NFQ!%hD2X_K(~ju?Tpr8*U0wvQ<|CHhkx+p4C=1q_7l^P6Wsx=U>Q?h) z9cw>BznU}L;f!imK>%1vX>G3={M-h z6Fw|mqO|5iARnbY#09HFlE{KBe@om}icC;5_nuu?Zp57CdDsnKDTLl^x4KaFX|EeL zK;HBv(g>~x1AG%!Z+-q%th4D(CrH@3VN0zZ3A>D9!Vi2A*ON*0CE)lc5cgtmylO%T zgk82}QVfLv{2rAg;>rjydHLWsDF4O9u3%dCjl}OX_KWpsvZt@!C2R7uxZQMV!5tgw_pmsFS>! zRHTQ@__fc+1=Vr8aXfCwOj|MuR!Iwpi!#uF(q4m1y7#|c*?Y(rXx-Tle+^WM#w*EX z=XJL7>mY7>i@&cfFvI2R*P;Kyv}qf_Sl1W>f#{Uz+?Z(cK)~B7#Mxi&5u` zR{W3O=nH9>hN(2}wZ6ltn5!dU+zz}44K`a;X>VS>qocX&q@Jx-Lc96sqdaf)H0~CR z9ebunxxFg$0v`e;Uh)%T%cTBY!-vq|>Rp*8gy=z)xst`^3LAK5eiuAJVvd}Bxh0^D zB*hp|&(XjpBZjI=r25a8`VHAc;~db1#%LoIkDGO4ShE{Z{c{3(P-;Gh7xe=H9w?(K z%9-v1*UJE5ws{j5|DHSb!TSaJ6ZvXOJCA{hByB;5iiYsPpoC*Szeg7BcBACs?A}2& zh5U@xaV_XGzZQ*^eM#rRo%r&czjINW#Iy=&t+!Cpz($ti@35%H>S2~sd@){nS}m+t zToYy{#9z$OOBKvI{sLy7;7O5elKeacccCsC08px2r|muGu7#ZbVkt^Awm^A4KW@?QrWMJ5l-3EicIB&q9n|DYuny6aX`Rkza;BQdzISaGrfr4S?C z*DW*dSa1HjCvcQ6l^}>Xba*-ic2?og2eQkV! z7rc%N_~l$|5%RBF()C@wfmsb=*(SXNafJ;II2h^pOyNz*!NtrZ-*8azRZXerA#um# zK?s6vy144>VSQNa_-%ax5;t5>3FmgIAy!U@nj#BB2oIgi5>PmC*h3uh2J(Jk45S5f zNyw*vD0cb)mZ+j9Tv(4=R=*NV_+X-cs*{tsaUU&PsWw#qA5r}fL4Mgbk$R*AWrj0I>DTmQE z7#YX|nL;(+ix`I!)+pbmjc{pipEaZ|{1~VE`id=g-9pboqBX`WW?tO;afK%d5;@Y^ zDDUphqUX$`_Br?Jfm~_5e6-dNEOi`fI*gFsFR8VLO~xX8f;-&3f8{^qh6&wYzPt3g zhc{td>GXE!4HRWdluz(*_(Q99HwNZyp}>EJnjH!O+CNlA+bf`#iY_}_KGoj%gm4xaER>Z>3UN#dm z#}YA$VdH3AFSU-V%3Gkl10* zHELS|ud4a2DVLJE^rm@3ra)5^rBG=oC@(Ub2d5N_%Ee5Sb~$9+QyWK|8G5NIPv|Ry zU-Si}#N$_~eUpRK0^#5muA=B;-BaV;*Qx_$h+9H~rnSTsMI?b|*VePV^!-HF{?6j@ zdkS7cfwXD0_LI=kY_+stB=wYGzfBcAteWyy`->p)^zTp;(cA%+hCac)wL51M$LNp3 zhzz0h-mxpGTNs=NVHweN)j1*v6pd3lZpUMLda1a) zrrB9!FSNd5-__j{bl38-y)rW0lI9)liWt_tU%r~f-?9q+BMIYTZSXM)y`>i1b%$Yk*{D=TT=vUYBu+Q^zav`MEM22u8^XeZ&oU5YAHjAPNO zA)b%-j&%Qh2?R`BMxt~(A%bHlpE43##-G5#>~ClG{41bUtJuUCm2UXQDgRdspksV; z0*-7o+wHo~BAy47FrNp@X*3sNSY;dOU2KXP+wIOSE%wM0LJQ~UB{`2*FL?ScVby$= zo|KPjU~sO5xpeWkSEmGoQu(-3a4DjSaOdvi#`*4wL!H+e%Y%EsA|w_?QVgT7<@h96+K*Dk9j^Q z@?n%_r1_pCj$K>+XzxTq%l{f+Vw4y4sWIl6UjaTx!M@1vh3Nw+AJ(eSSlr9g4*V@; zXJ}vQQ4DCl&u?%$V^I0kkz029#9~wGQ4~ z)gwgh1Zu4;bEsnw`)}C0_ruuNFd=8)I&nwZU{EyZ@;_@9&8;)&<|mp=!mT-n!A(Vj z3mPFN8h64)WJ?aAL#ru|kD^OQzfUaC)_a?$sJ}q*3ERBOz@koG;3-f*II=taEy+|~ z9+^hYc5Z5OS#ADw2>?UPa+uF5!aw@6k@@>WUZF$ytV{d5xGmR_Cz2L#fRk~^*E!>- z2L(k4m(l9ub6k%(AJY|G1&Y&Krn%S2t+oztU_b1bDVCeMf7jHdGJ_Qn0L`G_Ta~45 zqMxEv1h@$I6VKZX;RL5;9(HmG1St8v<`+AKy4Fn>XRR3|A%|7|meGh{kV=}5@_#El z7XXExhl->e=q2>rwVPZYICr4HnnOmFB>&4wC|PkPvPA4ddL~kN@u(p$3jh&HCZJ2zD`#7ZvKMeEkdw|wVP*+zECClr zm(tJZ=tGPr#5I8t3-USESKzyvC{1!aefnVe4aQmGT_X0u_jbv|JZouo7rKT_dYxsE ziVAE?zGQ`T5|E-^Sr^tOe4IapqT2Eo(tJ3Xpg(AL9nm&0`ye29Z^|)BtJkPBO(CkQ z69{-O-{y3nZexLzEdjgISj4UGY?*{YE( zgbYYExvhALL6DxBXFFIN^Inx@B&*i1AE566xw<|yk&zHuXHn$vwiL7QjkmULDdWHs zzZ3pN8oXtlL2YR@>PvkQ%K@B#^Q5m+6tYAjqT8foYZB>WG9nykk+0fY?{b(pKdRm$yt|28G85D1n(Fgdabm@K@{l2$r4o`X+N zZW~YqN`6OD*?Jk!_&kW@VC1mvyG$RoAYY30()jH-D)uJ#B8&0NZQ^|D{26zY=hXgu zlj|%SKa{;1z|AHu7xrF2*rLZq8HS@t{MPgK)qNnO1q=g{xP(kK)>pXt>R?}I{8!l8 z^6vhcV37%r{HM;vN2AQM@;iF>NDz^`0f}PSg1YDnlEw>ylV7GT^%2&HkHt!E@`f z%%-1zUR%0bStf8}QrYIEgHoqclRlx@#nuhshyX~7J=tn(*B{<^KRqI?63Bg6X~+pj zt8^R|kOriay%pX^;j@DF{t>O=ud)}NWC9aE+jky=h-8$#(pfU_E3!<61nnz`t2*37 zelt6J5e#muwXpU9QrbzE89dT#s!hK4W?@D<;N}8fYgh6N+UNG@wV+9AIc@>Kj`wWk zzMT#$ogcCCce0!!L!6>9C4*FcNC=Jq=A}=BvvVM%GgLx*IXKXXU8zOT0uv|t z-H$5ZdwNq;J}Z>~$r@-qn3#Uos!0&P!tJ7U$?Q)os<7qikDfHvRiU@1D)=x9z zbClhdQm9Ao$ZPmo5ewwJ7C`YQtW37Moj0r!jfIwttP0q8(##FuJ&KO`8GLQZY9Q}{ z=Q{N@G(7cGLnGFndzC_p$FWyGVAiFJF4j733by4O?c!S5BJ*L*w4mE9D?`;`+VQ>% zpGumdc3h{jjSUY$q9`)x!P72b1UTt>l;CkEH2Kqcza`2VA~(FbR73Yf)Al5sF=yMj zY<=xt-fB#yRA(SMRxH|a!cp*Xpm+BC39SqwO=@FHLQR9OoOvHF?GqqPpo={8Bw z{Z6dV8Pf(HAS+4LCuk-{t$%oZmIl^9?Nbsp2?3;ha{pK4uOdO=W5u!vcOVI6?JSL+N*yw%6F0GW%GY>1aK$8kcL{zkgk~TIlwosrN?sCKn&u zg9LGo!W-h^V*a#4Ms1F-gR;_Sb$Nmsn>Qc4%Uu3|e!^c59}#)iwW*^+bCgo={%39f zH$v*BJKaegY7phZdePFE8Q_+Q$j*-+JTg(!M_tE4)$BLxfs=!pCrl^~y%UD86n1em zjX`HPJ&jR?;G-*x!q{kJAt_@Ygd8t@9aM|5W%Muo6%y$U`uML6OTdC7GxSOJ(jCY{ zqM@q7%J}*sMk-YLn@ihso*xNpkB_q4@+I9`II)CG=m0j#MaeS{$hk>7rh^k9xwn?~ zMZ`9M0n;(B$FA_0lRC#gVq{y=!7)RA1CBX3ZS`pTlfcRB2BEPm6RH;f1%Di!781tp zYrx@nUj;iI?QizHN*meclkTAFpHmtC5020{FWeA3s~wGG{K@92J-!b-XUJyJPgR>Y z@tPo&+!~xi5Dc0)!4C~VG|vAc7$WB!R;d%@!bflLt+9Q~7C;D_V^Y;Wzn0HLV5ipy zm6CnauH%B*g$hEiW@WBuMu4G750H{SDN!6Phr*O^iJ%xbFCFz?tOX%CLq4(&CeXTEYJDRVeH~~CxN@w)+1$%Dg z2R;af{qxOG&HGd~G78I+fWig@$nW`I!tnI7@Wk@mde9&51v#|+(Vv5LLgE5i)KS?q zf4GLV1)si9~~LsQ%6^Pb;d z-BJ5Wjq>@WQ<5+K)AiEhk9>#)X7X6190_b%Xl@|=cRB8z!s)`(Ox-g>nwN1Fg;+wG zJ|UH#UO9_G>-Qp|ZMzpoo)VmtG?zsX(Jf2uQ5{eb_qal(J_i#w2~VycY%FVhGWHXK z8TK%bOg%|s6SEn3KNVTYV4&D0p3pTHlNpjx)zI-~3F_nkSDpOA;^lv*@o!}6xs<3= z@1N28-3R&{P9v=^`{}Ix2>-a&!%ULXT8E_k)JoPHPJSq)-hhEPy}we`vrzK>F7pKg zqcET75VE~ohIagO?fH@_8T;Dtrw6Z`is^|pyYCDO&x#&9C2L-UVQ)k_I2n;}>>2(> zb7*-m#K|awglsSZ;0A=C(26&pROHL0b~2Td$he16@rahKpg_5K{f}RayqFg;I5P?! z{yMe}yHr%642v5FWw;&ZU0M_CQ?OhZ1(l78DtXAEya9i)YZm%m%E-emI=Y!oOK<%q zN7+jOA1{bOnyK+C?I3NVa#q4%7CD#pFbK$nC;x5=l~Sm_Bw`pkiEPE*JK;ez{?TD_ zoIJXZL~UCdkWAOWmf|g)l}duPCAS<(Rqe@??lm-qCyALsymfr?<@wgnWWkfmIsAhX z7yU)>b_@Q`+YLq*+G}~5kRi)jDCOaEr@OvsCarn|f`!VY2r<_|6w6_@2RbxP!n(ExlL{ms=9`nl}7 zNJo1mPQ>)PunLZV4UlS{>A@i5{3RiovSz1dZ*=QA2;I0K{`S5cZ;BR!&6Pf3`B+he z0jt^aHxS7pof9)yVupIeM`0c>%DKnvyD`b4pFF$_r7Ff3`I{jnJV}D_zCdyX*S7x` zWRl7VCubEb0yaYk2wr$`_o;TWGd{@iWs7QHdOr>|PTqlJ^@RthFHJWF;EccID!Os& z=Za={yfSw-ZRQx@4Y)exbJM**M@88Svu#tXoAPXghI_5>5!~R_8>9ZN`gKz zij@RBMbBHC01}j>Fv@NsHH))JZF2IL83!PS zf5S*J+m+B^5?+Gav4<}C6^N&+a&8CGF7L*h?AvaM=*QKW!>7=a>f-VXDN#18W^#CPU^$ktX8X$5zF@p9&i^f@~KGK9yzL-VGWTh;k;?`>x6Zv+j^Ns-? zO_~PZ}PLppNmTeyg@Y{=qUip42sdy|5+Y~4lsKcc2BXzuE);8K^dY6*9 z&_U2>B}dj5W^jIw=PnIV0=Z$o@Od~!!J!)j7V$y=g=ni6C-hu@Y<}rA2p8$9z9 zZN9CF#_$omFXHdkT}iRyHB$gp-hL>f%n~P(94R8`9VwkF)G?uLQ=0j`kPkKU4v9*| zW+y+y&{8C8s3pr^VcrTU6+1l~$nBE53Leo!7`*~?CF2%JJ(xC*Xl*9N;d`@hCbVUr zyA9^=@aYkNx;=aI?Yn20-?h+3ZeCNuY;D*b0UENEy?C=7L>}T}&1lsT*=0c++;u37 zqC^}Ri!(csT43(XNQL6oT6P=A^_U-VKsk$g@wb@& zHp>EH=CFgU+M5*IL2;>eB^2mLa^CWA8u3~!)pTzd#tCB>!@%WwjqN?siAA%7kB-U} ze-3js!-c{vj#D)i9vTen2BWD8_bWN`PiB!T);{6hLt4*2PH4=udKTXg+4Ti5n)q!b z1~2pMT@QwTIi21t3x$k=zOn~8AWzla#*4pc?R<`A@=LPoj{D~Y>jzp^WD8g`W6k_2 zU3>AWAEY|DCXs*Muozd%*fkkt%k-M(FkuPxhP{x9DW3+xI)r^i;Y=m_uBR5#Dw((y z!hKWfB)iaG5WuMD(C~%WvT>&Fm@`8I=Q^Fp!Z1&%kN^7gw78cZ;;BMg5DJnHY;7Qj z(&#}~?q8gsjg^ZW4VnEB0eqp>JJU&%x9iaC)Ye&8)DA}^P61f6Dgzi13?)=Q&t@=5 zJe>}vdP;+ZS!+!m?yN2Y>-#mW*iSr#Ct{A9GI#<7zSlS#EX&VPN`AkDEDb0qdAb}A z<6Ugg5_uPQl^h@9GE;L(3Y&Tx#HjrUqm(_aK@Oc^FAZ<)kd$KDKM$jhWDgBxRUf}5 z=*wM70rdBYX@3_edr3GH3~q`atBVl8z0FT(<7($WeZq(qu-5w6@?|_NtY|>qJxG)% zP6IjpU{CJc0@I$ggea93tP}T&9_IP5S2Yl1FjZbA*afxXeb%B9`8-BaPqa?uEn8L~ zy6`R=e@Df?mu>!xUW39ul@q%I7t=}!9m!p=@-KL8FtaZ@R#9a*j6GEK^5F96Wp_)U87OO z!#92!(NHG~TqQUfXF`9O=D4H$QdsN&m!E{yqTYM~(x8l2QjKV~_=apS0(tLY)lpN> zc;n|gL&H(qSMAAxW0%yvZN5N1OFf?vUo-H3-=r|oDr9Q^+&s@9brLKNhMcwLv|<33B)9>2^QrV?^2bOXkiW9r+LF-Hr>61YKSz-(RVkVEM#+ z(i9GoZ1oGvuHl(sxd!7`v<`mb&5^|Emblhgc1zPAVn#90nLlKH{3Gx86X{(s8j5K_ zb(DIwPpw3wdmmMwa$|Lyc;5Z}ZOp8JRZc@tqJN+iW!&B-rEVUeetO`ZL*-6CwQY>) z?~V#rN6mKKpPz1z;<06rchQ>Be=HPzM+SGiS_Rl=np!Z|^o5A!qX%nmK%$aMte-Kr z&)~CQ4FWSI?{CLD>B$g^AL(*Zel3pOLXoK1dtW9C+#x8&Y5h#ZX6LVxn6K$4zogH` z$#iUK1e$5U;x$;IQf%(vuMBYlS0`eUw$e>0sgcCZ`?W5!RZFRxZF@>NB){gNR#boo zKBEgY{AoIhZLXh0bYu{Skbjgq3lss+MCYmWWXFuSIyXU-ZkBk6`pyMJB~loTxaM-67UUC$ zF#121!_UgzB(mJKWd#dy`RJm~C&19^lIf@3PDm}Pn1V(?&e^Yf9 zQM%}lmyna3zv8{6?E%sH7fTQFNbTL%GQu$A_0~n)%;Fd@3-#K9qWN3%i*i!MJE4CT z%)ILm{wacg+H?)4t9-LaQ1siqrGZB6YiSR&@#d%dnx&5`Dqs8H9`Bnw3slvQR^5AC z8V&I&tBgn7yD&uQiK+f6ks|0VDv~D=zGB(&x2|nXgV*=3T~n>2CIu*2N(!caIKHzD zMuz&3GEEyeBX;H;Lvn?m(5+(*lO;fN;k= zt=`ylz4tN!9alPuQOtY(U^>8+WdRg@-2UbQ* zjswOfZu*xE1M5Uw%~;EP?JW61?&E@Uf9hObh%T76ekd&W3FG4T@+Lyjvv@VaR>EW$ znmF2Q9C+`C?bsE~#4TVQ@&75l9(O`xh3_Q40vu%R!E{Owj+63qOn<$`GGo8M8Z$vA zyvqPEqwnueE9r~me~-_%(L4CK7Pp8NxP#>m@=7BmsL*3wU$-V|_CIpfJ6LY_;zPHk zf?y8TBp0w@a!*>EKPm((9#(D@gq3DvlgxdO@F@*kbXaIPj7Lxubzg^atu|geA6c0_cTYNjDnC9=M?FN zv@}dr-8UYZ6O4;TQ?;;Vm}m}rq+AIsFz!9K-?UZq!y`@p53!#sOn#s5?HSFK;PiVR z%5g`X4g*rm|6zTai@fds4Ti)L_W{Y|e(U;>S~V>5Gykw(n%m`kPtUrO=lZ_AMbQVJ zeZZ=W?t9!{Iz2GsJy|Yoexb3g(@qk72 zPkl;Fj`@AXlIH#tJ_4XRMtDb&y}pI3;T-1rYb*k**C;x$=lf^TomfS>6=c7%coB*C z3G?n0OzgS_P|sW3n>?ixQ_!!=!--vC3X79~B6MA4h+3B5a4!g*GRu(y zI)DjbH(aBTshX~wo>zs2=)FW9;=4y8{%{<{lZ34Wil$wQJr~E{w|S) zu^h_xOp*_zNmoa&dGnj0;49B6#smW>YQLU)W;`nIJ<0@UI-}71eYGOoe<{&=E$(jI zWbMcOa=%FLjqu^7^Bn?k)ARr*kD7hb+bki*LFPgGHx-NJA~m_V`)@+fgB_I^xM{bY z(YNpeUq61y3OpVwX_I&^NEX}{Cm#&_0~j20jxhF{9C8E3DT3^ghlq25I<5MTEv*fGzWb9_IjIRHjd9U*70Y0Q^umbn*$M9p@bEmr~v!=-mO!Y_^n=R4qsCefcf^6NWkHpX^^nXu7s zMY#Bmw>Nz%S;BFc3$4Tl6H4q8BTojx(|^SP80w|Wn?Vz$N!HZb=T2dMfGhCso?jFi-z47eLiggx$B(m?o2d<+Y@|2KsVm zVr)c(mNne@WDllU@E9+4{(HqJ=OMMx2y`O01XsmzpBY!=)pGmQ!_>1g0>BFrY>qsq zrXxWLGyQ^4@SL$tUzF-Ul>l20S(?a+1K%-o;V!y28EhddF11(txX!c%JepH~7otM@cJZ^qI5hP;c2$l$0g{KpoziWG zG+jGRmtD62kfQA07eO2tY>4DJYW;O*dxy0|^0PY5|2RAb(qoC9h^|(eN?)M4$ycj+ zU>=GE*_4pa!K2zaPF^sFn4CK67ZUdh9Xw0wbC>NrAMuGDDC#B9=edPh=H=veTK>o<58d*J02v8Jub&`b*e!n;rZ)Z)+CaC95rp1bCy?`QA&cBfk z&6m{lq~}-^!EEcZTbqx>czz~w_1^}(=2+rW;1G~r{iol@SFS%*jp|xroLES$7!gsvq)rj+mhN%?$VoJ?CnRp*+kJxUJ39?o~1f$XCr_7Sh)ruOH z#~ThSdu3A>sxd?KR!*f_8eu+2h;fyw!I_acGn@i?m;(5ss&&9!6P@mQ%cH7L#1 zNP>qoBO-a&*Fi}kGO8CeD97d_7KXwG?C($Uf_B+})8pKq_V~@Tt~8w<_C}-Y?gw2_ zgEI6~q}YGL^Usbxi)HFoERZ7HJ;nRY`2|cxWMO)a{WL0>hsBlslm;T?-&*4_i}Y7u zGx`lypVIlqS|(4C+>jsN&CM#0IH%|6hOIK&O#;TeZi-_bvBbWFj3W%=mfuch>pwHmL1^fw) zwcXD;hfV(jUhqUo%c1$Hh5R*Xl4ow_{^PF|svql21fMu5{yp($Mg5M0Cf-Nxn7F!2 z#nNHG{@8e$wyzonEGoENPV0mslO=U}@$EhwUmqVSl3=pv7p4~^#V^_#g#Uijfu34h zsAI{SNjEZuPFzmQO3gS-;gdtJtS;l!=nU)E^VFy5?u9wJ8ixoBF~OM_8NJ102KuPfgNCgH0BM&y6cnK zlLuoH1(j|y3v^QN9f5I(onHcoNh*fB&J*qaUq6Lf$`ZZpKLY|@z35)uc!3)9R}6%M zql)#=nW&u*$+wOtPkX`m{)+!uy0Ne3(YsehyFb&sT>B7mlaG$t5s>FHcI_f=5s zM{;nRw#+v0D7j6B7Xt4e7pEDZb>dOhVWxwDo1OJ|uOS3CZDJu_sg$vlD|!b?kZsjK zL4hMdlnGjzdkH4TKZ)J3POa7&JumeajO%qzF}H4ZfIhu{DxpB?Ko1X=t&5NOR&;IQ zH%s^lg`6HYaY#o!`aO8GiDfr~g61G^iK0F!TwX1S|i{hdW(xDYuIRC zKL=?1M~{Zim>oxTCW{}E`nL^i!IuoTPXU#Cv?bb_WgS{4Iy?ln1U(jPc}vPXGac#h z7Ea`(D*H9nL*Ka|6oV6#4cOpoy}EM*xKaZPG<*~uFe~qu%m6HW@2&lYr~DtsmGA_C zDgCZsC#*{n;uaPL8ZI{I*QXT)aklq|hTW?0#Fh=NeGi$bglRen7rSeGIN=r2%f#=kun^Gnx*=XW@7_i2}tph=5msr|DWDWIT)82aNb-;vBGP{akeLSpMt<3}^RE7$)j zdLo?;`M#Pjmj7|b{5a#Gk%jwh1F36j_$9*VE}%FReiyZ2@U{qIg;VNHfQ+}nd3uJico;_l$*0@DG+A}#*UA&Ap2s5mmqHF8K zF#H&WmN&gg)3lQc+`}EpQhVPRKPl`|w)AJa5JAJz`*Ww+blVCCwKhfm11czmdIg22 z5EarLy7wF0Gg8hxs23@1k0u;#stt4~h)%bu2 zb2kME>rv`5T-D?8w5BWr9k}y4k=yHdBkc!Z^pSpyCJHLy_!l(LxGMnZMiD2T3-0m` zzA6rRDt+vMSLj&uS`^ZgJBl*Q$sFfe0;82j=SO>ywy7K7)O@;&E}w{^Ob%R*b|7_J z1ozU@K(gWP3So80VC-$iEAj2DLX6G1KJMqx0CP`%wY0{rq0kfq-&9vufHy<7<_giL zJxl%;TI%*1L|-EO^EiY6{qObS*B<7{>8ZSv=fzx7O$2fo8c-dqiI)6pOS@p`b{w=GlDoc-)Sgu$QAM&$MWf9{Iso6rKabmR zn%0Z>rr<9?PhXwRJe&qq<5W&eWB-`TnRxnQk=Rcycvi`aJ4kw zb~}uq*j&76v0y*&1BB#yOKt1z?;N)K`fhsN%A{RD!djbk#ykZDx9@<7YR9ndE(XjZ zXIN6rU=tQBQj}Aqqs8rGfZR%(sz1?p{37ics4HDig!}_Lbb)rE4#V@!ORU+PZ+M`4 zqM@4d3trDdzkxW#pLxYx2|l`br1JZP`MiYH=fEnWYPzs2z*mh_Li>+hs2z>On|Cx}eZ2f9jK}2S>CZg7ic?eONW0LMj z!^NBA!sgg{TTrvScDST8NK#`MIcUzxi^GwtE&TJ=3H+wc1~|qR1&lI2TlrzGJ~}8_ zP&3Ylh<1U{Yv~g%AK;dF?jo6|+lJ}JR*z`zsMF=Dhd4ez)&@#kJ__JXT@#-!4_!g9 zY%LOItP8>iQgSs)mrvYGo662I>^oZcDKhq7bTRGY`r4t(6+cfTnW;LJ1;{jP)Zh9U zt%l|&42Zf>Xl36xQ$+XQ<_$i;5TAQt`sE=051RiySJTHH^lH%L)wzNc2zQ=#o0vQt zLzm`Y=iCX?&FzH)IzerQkPk9UeL5z(Fld-1xG4jdtJD~5{uzjIq5Z5hMmh#bXT|tlt(q(hf5V9=I5LOLgeaH&9GJX zXFwn6_48~X7x>&1)PwtP9*eoe0qcAVl7y&67A++2Lr5wJFe*6W(H*B;*N_hB!O&ZW8s1(HlN8pu7$0+kW7%3`SxQO!r&~qifv1_up*ap&< zp`Uvd+j68Zz<)h?Y-%PvUrYh zjRImySGJ8zp`CMf3AI`XwzgY78mFcDRTvlV_o)?n-@W?U?&XVKy+xKO(gXOKTw+WSF|KppdWFF;LPrj-|4fVT`OTb3<3%*&@&4Qnwz*DqdF zr+|@E{xo5%#}dz9X0Y+syMDsL1oCHCH3dG!EiLjXxVE*A!LQ0 z`L3bN3*SQAsUiy!Sx5 z!a={R(UsD;|EsA2jsg_JpMSpmasp`V{g=BxscWh;QE>5obs?o4HQbZ#6vrC9%Mb3J16%FQSOoEuQfGqSb84S zJ*cSx(yVikh(~v^{CESOc}h?YA<<@vaeS{fG{s|~f#23jN9b*A{72kVA1Wc}?`D1r z7`NLN#zRG?U>Tc5MKVTm6L;z(FB9fjY0lzM)k&p_ZhJ;zjTHC4`wDo>^qosDx-Aj- z-DDaW<;k}?wX2Lav3E!Fn=WGBoO@`vb^czQNS$S?PFHFV53F|{2v}qI2*1*K8!Y)| zqq#IEcp61q5T5xI*-jNqW$8A}d*7&UK+B)uAmGD(_U-S+SGWCKKG9yot(3TFv1n$~ z9kuHJ<5%}q5q`BBjy-hmdv*SkjaVNC5>_01QhDHUoEdO`qtrd`WYAtcE^Z7KC^rUZ zIaU4Fk5y7O9s{CK_uvj!5dOhBkSH@{^WZBhG6lF$anO3v?A32 zF3YO4KYJudOCi_>HXDXF_m&DcvmMO-zFvW6#w4n}5D->KLQy;&qp2^B3gpOl=hQbA zo0wbSIf@%I9kZETs>acHW5z0{sSTB(dekW+AF(@EHKFtQgU#`ip)Z$fPOel1%@!T{ zv*5UM>F~Rqh2fUa3YzV67@t(69Ytb!spG+ZhYH*Hn_j{=YHH)#v}@HBV$=l=f(nqb zzOq>m7(2((|L#3dBZtI3mL-230MZ0fZ-E_al_e+Oro~ggvmxPPf*HJMb}R)boF6w+ z!ouOv&@WMzh1q5VN6oeZi9LK%7M9I98Y z22oUB(yP|qv@^);y5Mv|G;e)sn`0IZW!*n^r!wm*5>Bt6kf#GOQw76g2Ta6>4vg0+ zl8#Q-P2HHH#|UGOk?_Wnv>vv`+O*Z3ca>sN`d4feIAXiY&bmHGA2SX~B^1UDD*pBX1%H+k1Qa{w)Ktr744X?&mFDbzxHFOS@&hZYD z&oX4Pc`Lu^wPN;!9AW5TA^*fv4kkcJAQEuYcB+1UN6K`ZGNhzQdk(d`WEm;Jwve{y zM}7C*>=Y49DY@q|!zaK2~By^k`1aKuSrN@HvfjyYbnGST#G8%V=h`!lSL zNPT5!M$v$U3qSfyTKqPf<%d}Gt%2yHSckp)HN^b{g64V(a$^89R3ttgmq6+ zc%G_;Vrq#_O*E!0Kl|GymsT-&jbIgufSIBYxuI2#Rk<(L(nQE;>XVccZm}~Z^;oHM z*}}oM<&X^V6`+lzb!mJZue8FFL|_6U_8g9b_>guYg%W`TCHF;5;FuUPxZ=s+6G8MA z=qpvEGv$S2N1sG&1Rmz4Bhcz_GyZqNBb@mn)@Wp_CNu@J>9n{+H`m?+y^5t0HB{Xkys)S2u)n4y_>JVVrem17VA(3c68WL>x}D9| zH}_Wuau?x=lq4+@)K}7sMZoABU3S#x_q6a5c;)o+Vz=jkbwIe2CpxKC`*e0vTm|AF zQt6_;mbAyTnZgFFqdB~4qpF$i?!9?-q+1_^*VYXXsL;!Oy~R0^z&T+Dn425|ri6m&oK zJZR3zuNgqRLpkgYL5xDn8wnNgY#YxHa#Z@Z>>u{jRnViQN*l3}Mt&Eje-y?Bxn1TP+*fWRWVRf=v5o5)+iFKX$qKXS_qTt0Ne1DFPHXlZiwg@zc?bGMYo1Pl&fLVNmq{0dB$)hhn@WWGr zys+6=>Pm?wH7lsdy)C-(p{&$Zt#|Bp?2lAxOqhInJ!0ot(DM0Ga3Beg0jydM8kL)= zBAMav8Xl|?d@`{ssljiAtHvl<#=!NP1LF_pS5xA&$@agm-B~-2hR&Ulvo_xE)@Yk} zlJ%vgQ1Y(G)v5zvU#(utFtDT|nvYYXssH}#67~_G9-?Pi%Ezynm?-OK{+c4|OK37@ zCXJ+mJx44ujmA4dCEC}1K8fMv?*YqQi->(EL4FxvBp|+L7tXKrlN`gwZ`Y>sIU$ra zW@BG_riI7ko|-Hm6ZaZK(z~GSA0`{dNr7}5e6nvWCwb)-k z*x50Wzs-vM-;d8qXQOr+D(^D22tU^5`uc2NuY#GjlYA{f$8eOvMgeNWlpeejrR5+) zY^CB%;wu(WN+Gp5h& z%d8h1@>oG^1A)Fu`!1aQzxdo`$q2?Vs@kdD;Jnxn9i?XB%r6|^`y}Ft##p=34H-Ek z^NfM-tC{7dW4!m4S;nbuz_J~q!F^I0I7#ZbZEbI?RgTMMGT61>!E#_VzzT@vDCa28 z5qa&ZnkyuMi>f31t_vdI>hYaW`oI_~o3;=bkc-XZGefIXdfew6&@CSbi& zztS`ld4#Ny&W7!uvgn0n^V(QhWgI;Jx}xdkt>bt}v7$;yK*ao0dN!Ig0IC?l`4Z7T zoKf36+Rje3$2pD}jt}r%BFrare0uudsT?9YRm=6M|5$bf z_1y-Iw~VWRiz8{N(2xp7t$yXC%FfjAK@`Bc7A*g z{#dc`U~O9zUF}S>GsqdS^jH~c4?U3B}RK#nxjmoX(P z%nm=Q%_>z@t>lUYogO-41_SAq#EmuppS$J!@Ed;U68=R%!MY2S_Fg-if9zW$uKv0H zCct9D!~sq(eT7GUZ=C~G(t?%Od`|hhF$ZYBG7kFxui9OzBu@0P>f-4J{JfCmf&J;)Qva>@y$wJZqAUouwo_#{{K$oL+#sJ z|5j>q4_y1}&l0b=!Pixw|4i%Rpy|R$t;WQQkS3o*OJ({&=B*j-mlgOrXdsj;E|$czY`yqA46>KN0`d-FjxGy z3q0)iLm(9mIwwRuR%lxjhuF3PfzRkiuOla#xURGONL1CK>xmnHKT4LXXMW3uPE7@u zm6bjCgVmDU5Mb7~cgF=ujZy|%JUTcY*xrlQ^GYB_*V;xifJmG=a?Sz)M{v?reJH)) z44Qq5_0Dxz1$t;%H-z_bNrkxaGrIL!u}Go~jlpoFT>t zrg~mStD(oJp+E#O#k!vuRKw#x@xpQE;kAFu3*72%d^Do5JFv4?)q|qhV>z|Hq8Qtc zE^xs!q8!l1u%D;_6|c9sDG`vNF(e z4U`x=bBbTFoD7#Q0%wG2zG^@No={3@682u(iQdFA+jUdsJd#p_M#F{NHZig^r(V=nW zxZy@=@bb7z+@uMeN}wVl=x{25Z2%hE%@{}Pa!A42#9s!_4@O&K3iLwk$wmmi?U&#( z>0y()GAjHWl`oQrgE zyZq&D?_mdf<59Nque37Jmij(?-ah{u*M9sE{>2xWxnB|X!I2>^C4 ze2Hg@9QS~tLiNG%hnZf|0Y^mMogdt1e@8R-1tdaJ9^)@xe#<@OCUJ1LgDC-Ja!ey+ zl)fIjqwSc8n4(_#RB!bS!|2uyL|`LiHxT{IuIYLizJH$@{Dy*hQISs3P~DQ;Ce*9f zz7Svr3+W1H2Fx3ekUz8T6k&1dUm*K=^c$WS3!hCm%Qt}acRzy`pcFvef_CJ9 z&rknbxM6Z3nwsT+?)w5DqQKdA;*M*Rpe7LMOlHJ$A?SLdDe_07&VV4Dqu+0Cq0{#Zzsgx^jIO6x_`5*oFOjL_u zO3Ujc0RzGu;aF%Ol3>MQ7th~&kUWzih9<%9wsjw=6o>%Qt*Reo@UThN=Yd6V z#D49#c=pMKl+DZ8{LLT%8$2qtJo?xK9RQ<28JvxLOf7i@Q+c*nP75NV4;YY@SpRFw zGrwx6g+w~@&&CF<@;RsyWnlFba6TZy-ny5-InExw3&X3EKxO|LGS%cHb7V z*ly>~U?;(f1sVKbjvhPK;$!&|1xH&`{)ysIoiYxdLmF3eVPfUf) zi0+U5BKJ_%=#7d2o-6% zKKRRHV$ZK=5iY#VRX-7Aaf$X$V!3(76_WbLj6ZN4i6C1UJ1A2!ca0H5Q`jjVc!e|U z8lhX_KaaTUQ*KklGhC*(>V1c-(SJZ39Y8aLmE?7Jb562Fy)M0gnX02Q3 zZVJXr$XQjpN=Fam7UaOpR+2Nx@Q$Tf+IMksw=T=|_M_pap8DHaEvLWZ zD;Lk%cT(H)!rmQ@<)-tI{_;#T<1TT-Xs+*xcVFe%qKs>Bk3ISYhQTSf4PvzAcH)aK z-xIdEN9UAPP%uXK546UM#@Eq{y0=7&gD5-Dh6a|85LNt8;f?_Ui^OK$r%biy?dQ5C zIFg#FfV4$gj-N3hi__EdPU~~!aD%xzwmtP!tvAGJr#CvFyi2D(XZ=P$$=-Li@QS1S z#*o4$<&Dh0`K*cbRemej&$k&tD@J2M(_2}`p&2mJzuZ>r_&9ybURJnwE7 z(&z7)P67bFhEwllKIYCJYf)J(zWH$%jPjnlj!zQ4UidX^WT6b;)T>r|F zW$ifug5=j4;=Fyf8t)}b!bwmbom4uGV>sr&<~R3Xgb4;jgR;{K3(9a*|AuuGny5k~ z$oGz{yxgnFd?vil3ADB~YFa4*@N%OA^O6EDs+}K`P%t#QrfFHv3e>-#p<{)D=_O92 zQ~mxd3~7ceI7BgKRfS=m=l2%R?p2cCwTxmBzZZs%o_-n7bWcdh`a&!$4 z<{08f6qy0M+D~nC$nLs-9LE>%#8S%(BVDVNhU1UO5H6ZK!n2(`52Zmh)>EH^YPcD= zEzth^*dVG?%L6{o_FCaycB-^~<)T-Hw!D~Go}EK!Vm&UrniR*SDvL7AT8}u_Y z@B@JwAbWn&OEXDG1rLhM+-P?0%7(Lz6yxj0tAoNV0yu=4^CO}{s6p6jksD~<&tLJK zc+v3CwZWQY%gFPz%HcugW;0$DpP8G3rS9|TvWRKMbsZ8Mrzo(szva~#NB_+M4ktGR zWYVc0E}{j{4KbFmchY;jG+hUiF$O??y>1I>uIHU7CwBwpdl_)>4Fm*dOBM{1ZJ8>i z`xOi!J17LRw4)!brCM<6#L@tC(k~ovNM>>77C2e*XiI7|^p6d-9!mr@uvdSPu@Jl3 zV;$dTGoHdq%%@uUB>X=!wW%GJ!DU(Cw;oj0smt73rnPM6L~69!E~=h>Q6Fyb_c!PZ zD*BDoIF`v}oL6{+vs(a0KDWO)2;vX~LB@9a+&7?XRmg4i#226)(af9&s=GAs?D^dLt42P{AW((~l7*LP|pe*p2mB42X<_?II zXX0U=uC>mOi@~UF$16Kshhh|uq_#~?aRi9Jf;$V1t^8z8GP0y)GTKd?WuZ}j@q=Q` z+E?Z>n$g`bWBFR|96pZ9c#f~%VVQdhX!cVwQ?K(_h;E6EIQ3&Cm@lI?zKMSGVaeY_ zn35g7PBcAqK;zbo_31#Ktey7`nW|(Od@%>;*5JFLRWG3*N}lU+K8dkwY{? zh^~F>uwO1#0A`1!MH5%Ap})m6vniMOkv?EJun6}_A5bTsRAVUs3EuD1Wu;ieTyQZ` zV$!L7$f92T$b+34UjCDER6z;>W!Zk=R1Di;fPWcl9o6FP9R_5MGAT*zD6}Pzk?Fq< z2IRb!MjuD3%K8w-%;njNAzQ;Mlg(EbwpiD2uTlQF*pD-}5s~o+u8tQ(ZT)yQBzE=J zS35g2;Xwj3;n7u7GC>!?x>Fi_hwQsWK`4)OY*4J)$3_EPiQYH2z%F*YdKgP!cFIoU zV|nD6v9_RV%;fmVkt0iaDVu>H>qo3V+T078>wWOyx+y2K5@E`R6z`Iz+83fDv1sf; z93l}MUzIk*Vn|Iq;XHm0sxe};UHa16VkfEVEXH_Q1`J-TMd1t-Z}c0W$Cz?kU&#ax zj>A0`S}+L^WPw|Rfa1-#-vHn4EO*I9-&W{zhdR$gbw> z>c$JEe!bddMLi;cMx>9)UKQ%k-^T{fR7a!5$(E)~Qj#f}8pG=?70oUcphfs~OSXpM z3Gh-S3t+O8^CWE%LH5}L{M%B5^+OnM6zOBfJfRqquF*EXIEs93fTdtHJpdRe-m}== z21Y$%(a9dZ9e+??pHRivGC0@B4joNE-c_)H+?oKOf&j1`9B7^j`K@p~Y2 zAQxUf$vX<2M4$tcZ9T@1KW%u9LsEIkB#dve+Bg57O3)i*==-dJVAAs$1C9LXlG{h; zdJ7!C?|@nPY=Z4W=YzuRRKZ|6hvhD6POQ+wf=HQ-Kf={jIPlL5oZ z5Q|#~`I(HioSl1rELSQCq=-@gGJN-#-J1kQDN)Z(|9mTzsf+uV^Ii>3!LAWGSCcK*90^C3U(I}!`T^9Z?En1^ zcqUW6qui7bc@F`TN4*MxBjJ|z$c#1&g5rq$V$x{zOPDcl4qliTwOx=_mr6G$W|JP+ zmpODoQd_ndc-VcLJk3fxgotCcZPU{@3e}U@aR@6InOlnQgVfNxgIUb^C;z>T3py6fA^!ZRw0(OAR^T0SUUj`)~%>b#b zgW|K_=33-u!l)fsmy9#g0F>9+Fje7>6hQw7QM0 z;tx>KVfoCNR>NNqmPdy7-*$#6e<*0L>?E@RgQly)6>`X z;7X^{c(p|;HxkuIhPw*J?Kib=c3?iE=A5es20dyu)@W6v^`U7yLn)hU0dJ;zl z_a!78ZIJG{nt61;)q=%-3D8MvuYkj*I)7Z4o_qh}aNrREEUik7 z{y%Z!`Jc3sC>)*%Z%;G~S;)37PIw7i2vS+y z{4BmXSwE9vBl)LqA5OJ2`hG?J|1bu1hX2hoK>n~+CI-U;-!iW~c<`W76&@M?GoS_g zgrg1Scjw@F^RD-0k~qLNGpg7p;%roNP`>0j4$*mSQZZ_By5&mhagegef&)9=JrW~9YwGKNRb^}SSlCPAZFP^GD^2ByKd!t z5RhHmJnx`EP)-!!@PAC`;Rb@gE!N}2Oe1;#ImVXymEO2Z6`-TXfs1%`WOAR_FDyd) z_gl3DXp=Rxq{<1Cm_!|fz99>^xD%)6*>MbyskpvV#Y?aMfy=eg1R(GFQo39yXDcYL z$WBg@EJk`>VCv+wU%M@d)OBE4Os+|%J=w1E-3o683~k2rEV}m*zkGP+%t0yka@-vI zvadxZQV{ipq9J~gZ**LNslXZ6PQCjBqY~dIEg;5mR@Ov|&G0x~m62%pt1ql!Gx26D z#03w(cC!VfJa~}uU;{5iL?a?B+<_iPW zvJ7J@kEeS2uX|kw9+;W>q033`&-_NnIq&^HKdpX>8&~zK*dY}L|IWlRZ^gD#k869= zy~6E~08LvlcsrCuJOZK$UFme{F?cS9G2w`0(`fmf*`t<{8D>d%m3tT_FM0t{I3DJNd5_moVR=Yd}TWm`_i>n$m>znSHOX>h>-7wB=z zi22VtG|1rmno`yjU@M>r!jwK0a9`e?2R4o}Q&w59pZP6P-XN_P-1S?2_a=W6ivgh5c)Cf!>2p-(UOy z9hDqVLvvXlse46ca2QkDiA!6IPK>_*c;>Cf4uX=vqZm+D>MwOUrc*Hpz@jS6S0lIq zy5db1qiT!U_UCcRt%pams3x00spx_J3ade1WY(LBXa>a&RkZrGZWi?o zO3EPILDysbQ&VUyt4-_`Y4H^zU(ImaAGNz}590Lm&4sF=LUt}8%Jm^oh1jC@@-@b{ z5`17d=u1b0??_E`APidvJKJ{n`RPI-&g4X5Ys3Zu?f|}b!)xO&l9w8dABm0@%P~1w zA2|4$+~n~MD{yMiD-)eRtr#ys!nHo~*G(5CW(K)zOaap3MCihew%PZ0a!#cF3R)K& zKmg$UL^l`9o&JyGJrcWa@b~nu@A6JeaK0%7VnSts%K)m710xAeQPbkdNv*vn=LgG% z!qAfWwV*Ah3WJlN$lh54IQ=`rk*a>`sn799@E0n_am6P`@k&f3*6;edB`0%yopqYg zX$74J0mMmU6@)N=Qz;>_m5|GJz>O8cAIdtvtYLoJ#+&X{U>2_lC{_s2-^|)CPqYD+ zWcwUswYQM(?i!o|zrI|+VBslfg;ZYu1K@{w!}iEM1*Qe-S%FVq76M)myn8GtVjpUq z2EL1ta~^>HKx$Hmu{?In5#A5HO{!ad0hVAlAUkLPTdktMhnAG5y;=YMoFPmIzWXMx z@LA`QZY$_;nu5<+`0#y0CJT8?Lu&c-6G5G&09afjXAajSHG{tVf*#i$8JM2(-i#LD z&7*?P{6W^8R0i0_e#MSHNh1xA^j~5%2DI%`Em#!*2rRT>v!u!hArLnPZhz>`QW^@$ z1bri)Ki*2_NYAI7$~>KayNl51+p_Gm(Z3>viZFpLQ=eu4lma1m)~kR&k|@A3x9}Z) z_`v$~!c$PJT7xE|*ZbRtU!<+Skd@BvpDjOt9bo1yP zR@rLFIFAt7@21S^Sg~`9`1D1z$D%4VUx6~eq41|4klC10Kkk9`GZ%ului(l#jV^CK z9L)mUjWn>T2IM8P|Gf;;0}fog1l>5r^nxq?s)8h^wCD7drBS;raic4xF~yfd0L#k7 z4%z=jUkHKsex(GS{2SCj{WG0sSc^e~%P93fbU9?KKm!S!Z!OC{DU(GHurD#^{o%bm zoDog@D)O)C0Kl++8JHMj!!JZ+f@;E#)rB`X%N}Q5E3e6*rH5@21yi^qJdD9q_&|bnQ0<+QY6VtDeX!riAa(m8YrQpqEh_M zwQ;}K{k+fp{_+0xdynI}4##z*_FmubTI;huRvfk?SL#Mv3UyJWQRd&Me5=`@xFj z8wQ&EA!&pb?@#ZZBhL>%oGPhAE7`N{`Xs(-l$JJ8cqkR|vT>|7lI$H4YX41&2b^>& z%+g$>juML8n|I!uvh3qH7C^KF{VDv_Ru&c~1~iNIr!nY;ll;m1(C^%aH6PynYl2AM zJk02Dq29}t++|_Fn~Xtj&RE$lJ8R`dn+r=xa#m@54vx$sGQyGWb83@qXkjCB;1Oy+ zJR~akGXm0a$3CeW2uBF2*<2#EMq+_Lb?sX7DtBurNf_n@4EgrD?*43M#PDiZNZuh@ zyLk=WOm7!5>VKBv%sHt%^&w}DN6^K>83I;^aL)eD;oFNYptxs;1ga7+noTv0O%RW_ zs)@Tdvz9X7x?34B{HlI!7s(+QzGl^`AEaOaNSM4j(yR7B5#d@go!w69e9B_)D-$e# z1FiA*WCWH~t|s&N^a+YoxtazT;+kYl2CoB_HRna1;|wxFG}D9%u6PCHDsS%tY;EWU zK)+9%Mtv@6 zqj09!dSY!!z{V2XB%Fp{vMf@rb3r8<;HC_FsLeeb3 z17tO>J4Aou7U_^r<}_S$xCA#jZ`+gUy=KIWE+O<xCsk+pcK^6=N_w#tPtai44&L@M^&xy-+10#b);hH(q0B@$LpKL<@G zQeNje=a88!DZ0pL*LU!GDAz8A({gKzQZ>{Ngq`t*Dz4?mz}@PxzkhV^x>Jf|t;Uhz zLrP9%w+1vfLA*Hr4Z-RN#S~D97W=a}aX+o^TPN4M`>#VOQBO=)RZd*I=akH{6K=Oh zfS6ic;<*|5^^$c*uJ2C`e!Fr~okv09VnNRa9QgmD(ZvGw{RhmTeYc@6ps9O9sqZzC z0S7kg;@^{YOX_mJU+!>Q-1Ib&s=W&A~~=JF3`Sto^O`+7G4;E zjL&^n5%u!$!*I#2^#^Nr$`s7*?OaJoS_msNj$j;>?e*r)jX9gW``??-A`1Aou5XVb z$t;q(>Cmj}K51LK7KW1xqW|LnmTce)>X7G4IJvU;MaC*)gm7|j1YZV}ulf6N{lQAd zuDDCBZj1Mbv}ZxZv?#!>a!-{2`h)13os?~r@i73-n8WZFzC5eyut*0!ux>)(wOY)2 z*^d7>>vJ3+)-Jg`js+Y=xYvi2sOoO|?0QwOBMzI_WmZu)?^LC>byI}zHwE76b9k0J zcE3fT^6Thn%9{Ic3ileW42eb$%KFRJDcOHd&d|l82PLQ_%l0lW^^4wo*mP;=XRzt zgJQ6NA657=DK=Kd_}Jta^sX5X&5FaeYrWFF@L_prt;cIQjy)=@B`a|VnoV@(aFq({ zI*s6oJtf%|3Cc~~YS61auWvg{oTkYBd-4i){@l*9Ss#}DAHMF0Naq0@PcP`zY9-|A8%s`53LRbBjxGbkT zoBYe5QVFo^380%0+vCuSXWSC{_D*yyM)&uVOQ$!9A7-=Wpvsa6?Kv;iwNXcd@}_6{ zE4Lo5xsZV+n>4yNW*mNUJw*)c&|^4pg{IwYckbX1rZ}hRe^2g^>|&qUE`0l!$*#pc z-vB>-1aC5J%YzdK=Wm_=l7_#1slXvc!z@|6ux^~U(dY|5mU(vDe?P&F?dxt>=|3?- zd|@n_N-A#;zP|eRq&XSYWy;?hCOeDa0#rgtZUefLBHfJ?!@61?xcuS6majCoQ(~G_ zJ7;+F;P7=5E&saQkuj>}Wd!T9H##f_>KmEky2I{|W92)*eG(0PrJLba3;0Br*URMj znQSS35I(~ag}4`DL3nMY+DRRn!mkK?U0y_e14CoDb|A9cqqZ!#2B-9AIP~w4SBY20 zOd?MpNw?$533(JrH|$x0>e1TFwdMm~+OEH7OS9T%vm)=^@-1@UXn}T zv9eob?hG_w)3*cY{d*6l6lH4jya=Hab}9*8$-2JAN>AaTZ9CxES#>s6)75In>svP# z&-nb+zPs`1JInXqp=?A}u!5LDf!};a_kUQL^}HTR-hAiI%z;!O6qKOX6A-Yv8OBvb z?z4$CH~hW7yQvqVI&q#&p?mW>w9X#=IQRbCLcxzsEB%?ihy?odp#{p?C{(WZDMz=a zfI>T(K+O7fwH`}zho^G7zvD@_L2SWc40+7p@{I1LDL42I5C3xYIWM2T$eF|Q;~Tg) z9%@M$WSKHwdK|o%%k>h%klI%pwbP71ZBa#l{0Nx$#5ASUAD7`~ld4$<-0Ka0<6a#X zve4)<9r4&$x{4l_?4_4nU+AFs`Y8#IdPt?*s?^(dbkY-q8}nVG>a*L)lE-@_w{ymF436|L(z)8Z*+IcjvUq0bPCX2 zvA{k(x_5L^p{o`u$F^6T(b(5iBX?6)GTuaV|A&B^zQGK2+!BtU#QO4l1Hb;X0szMB zCbTTDY+SXkce*dbmrO8l1Svqywb2!1QUqmqK1>v<2h^#st?`1fz!fy#=>7h95hLf3 zk?VZFY`p24sOyvY2l}PIT-4g-cRw7EE0r?|y3s%GotZQ?D1t^@SmtR6(#F+2qrnoB zqDFUX$T~|9HMSzp+!yYwr)zKGnkTur_ef{K@G&s-T98VOjw-fTr+_m&#@RlI4@11?{#vc=Pf}%y& zR1*15m^w!VJjJ!MLvF`fuu_WC-hOyu&ar^y&C;4TV=U$q8@GFz>K?79Vq;S+?zVbW zNZyPpzlPAWr_*vN?LFh#R$<&E)NMeOntNWTBVwa8DISk$1U3dDPxp%R4`)ZgSC46Z}ae zWuz&2-l$`%9>O^~X@;EtK#%wEAHUvN?rmio3G&+TPhaqW=2vo5=B$0U`Ab4g&gk@5})rG+WTX4Pma;yQU&k(RBzsJ-RZjiMYOM)Zm&tcrcplkYORs^ z^j{y_!W9ES8(pbcqj$fBw|47_%D6wbJg<^PoK8tdi(kdMBqhNEM={0g z>rZ^+rZ7bM_yd6eu{=6aEDhPJrfzpcA0!_6e0y+HuWQREx7gsI8#ysdJzD#QD8Z*` zHHm_H+5$MQjj(fJ=cP@@v=gu0zZtqY#U{r^KHW6>`u0I#ir2-9=0YdT_j(1ZR;UNF z%!ew|Zk*XHSset2dmZFpi~J+poIdJ+3sF6%w2ucPwS%x=nbUfji>XW6fMe-?tN5+gW4v#04y;0h^zU*U{UyDrUK6xJYo;1Sv|PNAvf z5kdm?-xXPsE6G5XS&qMM=}=cR*(p4IATh~H0b7-elS-eYZ(zv{R+$tpIdzyzb4$xL z3DkYfpbUBcvG$_Ip`V_urdvL_#s+VxbKDYC85$wuTvw*CLv8u2<8er*gq`Ez56r0j zYBaiGB4LK4A;#>&(xY+<7upVOgQbi|YQh5A6Q{Chba9TKnwz2-HMTgeO4VUl9C0j8 z>V=1{V=fRQ@ZY18LRfv`;49f>Ck<%U?n9|-!49?BocEgBMbvjVozxRDzl6qU6D4n$Y~O<+8ikg zz4PKaw`4!i)`aAkAz2d^GJR+?Y>Q@!2&!x-FjH7@hMi6MSVX#ZE%=eue;y#0dRRe6 zQQ@h)OjyruE#A0+1oOQMn%t5kV2X(2-IUP?xExn-Vy*uzXmQcq_mKgID;E76YlmjF zMsmklc3NuatTiVsU|XGIRk4ICvL$R%_7IXE7*B!))3kOqtk_Vv^OCaQ$D&U!u0Fw~ z=V|!;W|Z3xP44<`=xcl|Iwm%v58uf_a_>O{)29nBA#pu^Sbo&XQ>ybUPpQNJh2>_g zHmBM|?_vEW8ynNOPLW>mpGkgW-`BJBS`M+RC1N*ap#is^kKfG|JimWjr34^9w6ux8 z0+?+s!D?<=t54S8C8)(V&_bc!sdFcIO4WVa}yC`}V&Mz`;N#7|- z6_*Akk~rqKb>gCxLuXZv#QeN3^&WOR`=nSmW8W!q1~td_yG0f6@L!BpipWgO?I(L$ zZmd}zHRsw&HnL%#GL6uTTaY67qE=4eLE4S-$*1^c8jmjT$p!SeOHuX`#WpQ?^X@(d zBtOxIfB=a`P=hGvd2*HH{ub$s^)~5NlD)1P-B2|mU5>bpdlHF}TL2T^xnr(XQncwD zKa)-Vy$f%Ep3B(V52$8&q`Ujka8*%WeJV7?|Fh1Gp1db%z+h}XjeIKO3N)GSUcElR z`z@gdI>3E4dFYUeWEs$0ejw0rAst#%M7zpPxb@MNBx;?erB{E(>m9>3I%jOwRvkaL zAxx~_Ht-A}jVIPyfiQ}J&JWva_kyQ#0`m2a#Px;yU}LF=0F|Ww`*Caelaaq|Bj#`p zwxQ%taw@Y>ts;55JQP$XYa9G*=eq$OmxSlx1269k+p69EUbnBJA8odAs98R78ty;s zwqW@WiQTVrx0-e#uVPZOwQT*QhTdbMDA@0pXLDXneL4KI!mtuSl`N>{;yxV&H2nrH zGUy=9EqK+V{(7HMF0OS&_Mihje*K`ZGcEXVJE!8}g!=-Jl0&3l^Gh)g@2I>sGI<7n zBD~3#nA19dBBmL#W7`mZ-i&gruh~^ycW&XXG;lOn(3#y}R%iiF#;5w5D9u>VGtjqo z%m;f@Sb5yX4k%s{(JKg{tqWPFGOh+ zTr^#!K7C+006BGcLKlL4?nmB{k;8Xuo}rsfngHzqx>Ab0WLiHXMhYd0l)U*NQgSbo z43Cuk-gCaQP(M3gVf4s{!We$$AKUMo5Dq{fX+Wms9sYZ;SL|*rT1|_p&M&bWI?IXN zwD%NRNp8%TbNJ_*@0Umy{s6j66+axfKV|WwEc^VrBh#-iSSC@}^*E$zbpuY4q;o%% zq6NqU-UD1_F@pOLq5Lyw!DbExK}@+Xfd{-l)hqeEIXa_$Yoil9Y+S}yUAtrBiu+L9e^fk?SsUft41W=qKFxc(u#?5s&t(_`v^#$6k!0rXt0ADAN-^wX5dudw#tWpGuovpj~@mqw}bT93jKn^b1`XG zOX>dX$<7wBjx9iYU0K_ukpIb>u|3SY%x963vNBt2M1s;KPgonbds)SoI zVJkIVBeqk_RZzMYp7Y+{b?r8$GBm~(&W*uHm!L<|Jg$;cq(36rw*Pkm?|UUh$C8|z z8juNEe#MCF#C8CDSHBdA?&cL*R1VUJP}!(?{J0m_wuU(^1JN-W_^FKRcfk^v;XQ{z z;SJ(lIxK0#Bcz+Hb_f7Gl({`RXx$SjGrLhhO%RgQ%~&%eFy{bs<1UV%;qdI#WzHci zhajGEUQdD!eBK*$Vpd}?eQv6IScx0T;u3lXY$5`{w32awag+5lTH3gN#F*99awA`a z@Acs!_bWKlm#=@XoD+3wMhX&%eT$*Ihl>pDfM0;_c2)|+dOrbH>4kZr$GlCqN_M0D z3Tc+hLtzu|3YD$N{+she()cNV`iJ$iB}~$d=q%Bxu7mjer}{bR4JCUvsH;PLHZ^jI)qt0Bgj+Cj-&aL(a1yUlk7~!HXzhsgE=M!>rQQ7L)7}O>r|0sf ziwR57sqKmv=ch^%%vpO>j=zb5qt^qmPp&tE2%TbjLwHF1nc3phDRT=9lY=;jWnPrV z{F3Sp{ma^v6gttmQzw@a6 z96?#ar@#ng!T~Fh8gsumt0}aRW1?A!dQ*gU!MUhc%Jujblppek2YRZ8ek^;oG;7xc z&uG5$58Bg$oPB=wZT6|_k?RW14}Ts&o1(Zk^bmzEpkS4xID)kFi-r7Q6K2l1$CEt; zRb4IP!+|ITY(%tnF?$Y^UIIS01io%98ikZ=Q~<2+P+=aXq<2lEWN5H5s8wPkt!yJc zpPNEnR8>tQ9_hb@8T_44u|X3j2L=JQQ$n`uzfuK zJ&(=AWfm;e88|CQ(cS95IjTD=IDpKNv8MK0kW!vO@3e^eZQ3%EF11WSR&Pi+pc`tMhNu2h$TDHef>^mh_BhAFh^bjetBH-iKn!^Kw__t z_(P*|9%XU%30@iD=;*1cB1Sxar5)LDM0<_0IDZejbk?k(hb_9|>?y>%R+r<$@956) znVcp3R-oUB+(4t-JU`U{6~cQDsv*7x(i|FZ%FJ_5#=@StfjWCTGoI~|JNMjOyY}IZ z!o8*jS3lfh(5Tf?R&yn)Ihhj!&H000ieF=}yfW>st4-Da9<5vvy5y^!Q$V5koTWTg z!-xy7gwT@QpbEbA<3^K8{8NGfKYA2j2Lj}%mBwI|4%7CVx(I+E!xFuNte;-(9NvyI zOQy^n2Jr2oh(HiO@1M!S6k7NG4cpE6h4Z3lymGz9#tDHo=v#^m_!O@d65)CDZtwE( z3^`{4L~?hI%**jii9Mb5%|63M+SX%bv9#!t&+$tJD~FlA*cyey3Vx>jd+<%BwaX+L$AL2sFx#AyF+de5YB3&=HYI@p#<@$3wW#NXUkF-pFA!S9?4+c;?<5Y)uN?R+P};4%2Wz1W!M+E#hmbFd9qqW zsuMyuUOxPRRcNhTuHal1A3x0}fbwM@a&2Q}mmC5On4R@#;dl@*0Y`_GKeH#~=)q}& zFT74fbn{&}XGi7D;;+sU{n3Y}T(?OSFkv*X=T+AFdehwWoz3TNG(yigv%OBbge}gI zKkb;RtjMmT^e-quGLDc;z>+rt?7*6`?!EY!T4DzCSRGh3B>AT6=9Ki75^q+|m$M76 z1G)Jq)Ql(Tt?Z{aXbrG-5^4zR8NsI1Kk!~<=+ZSGb?u2S1|9aw;5N6ZRL!4or)@Qs zfeLW3qaEkR~;Cgz1fPOW{N{G-F08|L$ z*u6KGd%Q};-#$(JTK_;&pV)zYQ7?Wn8p#HQfm6% zR!AK|hkut0l4*crp>5)_vN>~Y#>SP2sv_f9fYKtiUI!wD_?fIqUVtQbI^J0nm*g4O z`S#^sj!zuR9Xr{$eN{Ga+uYbxMh?r}T)gKr@-l9QufVZDvKZ~w$JXrH8tzchPU8(3 zlJ#=|th%mE@NPS0Gr?Q8iaEaGOD_*UFkf=(zQ z3TYBu2V^+h{72(bo(t~*Zlt@Mf4Rfc2DO-rNvr>yt?D#&6|C0Jp1?>ku1#I0884ZH z{*M;V`%}6U?9tbLQp#}C##x@?Jv;H)CyNtnQA63p91Z+Uaq?9qO z4-E3lRcP8pztJQ?+TK>@Zdvw2l_r2Tv$aD!cJx0jqpMisAGB*OZz3{PIgigdP8!cE zn(%DvR$iOIK-?#C13)twS}CR^3t*-LL+k zgY&FN?cM$LZqTsteQ?*QJ3>k*{(sm>WGvl4N3sWL<52I_yuRTzJWd4GZ_{gR1_j=u$ zCULjvfz}c)1BhKMn0M%siw#C|{7gz212Ru4tk)chcM4KIQbYHmSd@oUWQa!p#r%*E z7CGQ3ay*8tUjoSfgpSqk(8;r8&?IJ6e3t-QCQVnN%T21vgfC*TQHt|pYS@y)d$`%f zw}Sz9f5fp=iJsYFo;{ueduzgTwi7DO1!cn-x25BQN%q!fsXl05wV!@^ySYn6esbaU zO)lL0ZCMl5QAKb)N`$Nj4?F5c7s`yIO39dG0~gDD<;th(F6)FW5UE@OK%oxtZt>-q z4()xXM1o%JpTB^6D%#wsYGdAMn=*D=vNWb+) zxjRLo(PAvgdnxyZqDY@KbghAw?&%d{>L*u(`GW;Z1?;?HK>G8h;yv>L!>!BSxa9n2 z;lT^}(-vqLu#HA@hg#2aao|`2c!fSzjP|mzL#`gflS#V;#931iPXp`b08&kHc>kMa za=Mn;vK=gOKMfJVSW!e~4@z2|FiiO-x5i2v>P;l5W>ltX(Vo5j|EQ!ZZRtq_fhyOXWsT zOfXe=G}Z4h4bNLbw(WkTBmda8$sJ{~gGE+~1p-C+2;~dHi?pC7rFwN0O$RsEadq9% z#H0U>yqHVVd)VxQ9F+Ug2$tmA;}pX?J#BZKP{>aIyJEX!m&${XsHvfh(dBDV$D96_ zl(C4}#6V|(4&<0)VR&?o4Br|!1QVh#t^o{1L65P6$6y6Tn&#}3_zXC5Xa4FyY7dgw z95sLMg=3o#Z9_S$L#BTQko>eummUMBDynxVT3uXhsqd;nFc|O{U$Av73iSw;x zOBG+VTM-DX_z6?QXO?;Iv5vyCdQJcHv))KdTRU~;I1o=BREcr$RLo)M3R5;$Jfel< zJGflT-JeQ(=5bu+>0mVL2odk7Yd2PpJ=ya-D>7JQhuA-BD%mxs`G!MTk*$v^^(Y02 zev96mG~;wb!yRZ1N-`YZ;~4Tq>@gJP;}Ahn7hnR+9nass-jJJk`|9B5O1H&dnj$sl z@~%MwQ2m8Q(kT8AzC4SS&HW+(s)Q_c8)}qu7;mmAeQJ8@a5e8pQ97C{|ewUW%+MD-lV!M9<9@}2?L&*(!eO54F{MXO?!wZZX8iH$p4z zGtZS8Tb^jnGHQ#r4a$A0@P|d$iav8kP>}#I$s=Ty_epKOGa};o#DjWnJ*B{5i{CeQ zxpo1J@W**u_ITCqtqEtPfc!aS3NGwEjWcRi?9uwRVCj-jpXVOFA{u*b3lh)zzR5D< zJRvgw>R{56@vS+_YUXYYS{hU#3Gt}V$)7#8f76$u)?4}Bdurl+zY#Vi5f}}AUeRm! zbjF>2Q(F0buI&B;^cody`C04T=HmC+kEHgbxBl7enjK3O0TmfjX2-XUV_L|0R^S00 z#hA!apYC-#>e7xwgdb?!`)Y5McIchvq)2fk-X_)6TZjpu!-EU-4EeeDUm_SyecQn% z*-}!L%urxA!zY$S9Yh1XZmp(=n&)rw{igbis+#0$Np~wdMm->dJeTI3L`0Yq=7__`b;aevnYSc~68uM- zf%Ww1@7iYXDV;Tyb5S&{Rd1)ZRU&mVB$4C%&U5GZY;^-ov=s@%04R%SnW%-r^{7OG z_L5nQ3>pG5on(4C=dlGhsPvvh*Y^sqj95Qvyz$KJK9~K zRJ#95L)Yh0gX4LLUpNo_?iM&C@$NnFzq}?gcgI0 zp|Cx_b@xnU%5W!7I_9mC^^`yq@8jQ8;Q`wG^jv$oT%La8dGuZJS*EjVs)R4B)NZGI2*&V%Wv6TwC$Esn52!{_jk$}MwB z?cJ`A{fhq2`xX0!t+l(+=HNh&64~&7;Dx#kw)os}X?(g9t0(b)SiL7kO6#)DkX}l% zdXgvv!w$=bPL*ot@(4(AdG+*Qj@Kqe?{rs=L^Aad=@Ob6Q7unMckshU`;4v+qldvnp-Mt2lB>kx#WMNC_N)vo5;kEWZ^ zE&;E1cRVKWyRd)Y&QP5Td8OZ5Vst%da2U^#mqDyMQX+RU*j9OniwU#&C>b!-MB{*9({@f7@ zFE}8qaOkzl!zY(wSo2{y`!2n@;WjQ=p)4gK3t&sO0nUk6)b0I;lVi1i*Kg`_L3Le@ z|MrC)-#YcZlNJE(kNzEM$>K5R1hgj#&L@Jsdm0xgp^!q_y8KmVFWMcAcDu(wv=*l1 zUbsk5MLb{ZpU2lE4n6`_om-3$_}2)IbDd*@^A7&l+4b^z3OOHy>T?_xG!*t>NZP`= zofFt!rCFoCpH-2yqwd4gtsk{FqO3uu&Xnd{8xIZS=nHwDOH7M@(Oec#N(MsBJkb#~ z)jC`#(rT*LI@Ok+fWT436mgvG{7s1Wxxt>-(iLZ+ok9rUz<)g0GGpwdDCjC8HaLw0 zsnJ5-)?+?7uU7K-$=-Us0sy4*N*}B#hY7jGmnE$3QH&KMa3<}{CpIB<0VYPl_UgJ9 z8zdWIP&daVaqQo5Pt_=wKR-89YR-!^d-)XgIm%Z+co~Ox>u8KAx%Ji@7XkU$8yCJ{ zZ$U1o@X2~nDa|Br#)?fhRJ+yBF8BcUK?3{TGyTKCaZ9_5qOe49_F|8Cl+mEyLUvW_ z0+6K&k0uV_^`rhFhJ$q8xWOHAGu`b?<#ug%!m|BDbU=41lQ=&XmSrh8-wY<~JorWg z`y6wfs;4BpOmAFk_ZVqI_rl&k1=`OCuVkz4wh#S$@D-sq9EP-)rz~h26-y?iL8LDz zn4CP4{@VBDwSJmp(92bK4hQ@~MO+P;t^5Yx!yC@b7`PItk5Q^Oydum83y4Q{OtTFT z3+_PcMEXtyuLh1{)&dY&AgWrk#HA?mA1ZrYQ?+$?f2F|`S5b!sVUP2FbRd#%p)k!P zc9o&=h?^3~l10xh!B&uB`s35{+m`u0+AWDHM+_2sm4Jy|%TH{Z{{B+HxdeB-OWT0d zOUwVpgJzwUh=)=!2VaE?L}^11kFF{TSfucU@Ljz{Bq>=+V#oY|*Cn#pjavk}wYaC; ze%#i@P;YgzNzuJ;AufQMte3x`0+~<(P1FF4RMwqiespeoVSxC~Wkp%Cw;nV?_!z6m zdYsT|8!uc<7s1ucb;Wy}lx)~6d~Rom{gy%9`yw9|39Z$FaMX?{`n0$YlE*O z`ojJo_ur2q!LA>!>F-7RejE7En(`vwf1y=FEEs>kBuu(F@;heM*m1*1RN;7#@*xz!a0v? zb%DN}Hh+_2pX)lH9arV;>soCa6#aBIb$3%bnt^gZXj_W(O(fsUo%Ufoe=tEL=V|SR z+Xo9PNs~zCiXDbv8ui8%bB|%)_OKUfU$UX@ZcZ=IBCAxg3^MH?r!$^C`5DcMh-`qd z-Grq3y{A4!JV))WATjdrSK3BAP2nB9NzFULW*r)JJFVP8G>{ zQM(gdFllLNX1FTB1qnzlzcC62ib%el7r0ig)O^!=hdmLwFaYNR*9-RhjhU;y1-yxp zswPy@!SniHx2ipwiK4Fc{JqqC%lh^n$!xOAXUPeQGiV!a(<42U&ZQTB09XA8EI#kO z=6U@t`m$!j8L|nfNNq7Tcpn-?f#b`}gqD2iZs^+fQ&mYU)q%ook}c^tL!Bu4I7g~# zi;lmC1h0d{G>3-G{S$tpSo0oj0C6zMPa+n-KJ)BK(-tR(h8UZ7-?rha_M|p5Wn8@x z3*~?)<>dm9Q%|-P=>JeNpES<>-h_bRJzpbdLVSVFK{ zzGa(~3ZWFISAxd4ZNP60R$>f-KeL#*KF{Si=^6Z+g;XMeapD$mS8lfr@?@2UBfqQ( za10Ql5u10~L7ZED-!$}>&9}{r7q3w@YDYJjH^5buKpH92HCsH;uY0P#e(l0Gmm;^` z#3~)v0S_#+xLtK9sJJ1GDc5QW$9zNPN$PHdryB)8qMa?Z#8-vyG2sSZePy-$EXd<` z@XAeBSi|cxoPaLrI>J9Mi z(g01@*xR{+=-ec@dIYilrEDmhcsGJ#^KbP95ehiv5UG1qtDYD=;h0IGLXxYU2tU@j zsTQgRxG-zyc{joeuwnLZ=8DE5_e4Fg!(@sWgMc#)*LHmxS(W+qU6kRv7&>vtL6@|x zM57Ou_KM;}J%Jd$x4fMoGTSUzeA%qny&1@Kjs0j zs6jOD{zRhGu8y0$?~Du?cJvvb$si9Qo|s08ZTNEf`dbHbN%76%0MmtH^%vx4GKf{} z_Hq(<997qY_L?A2P#)PQzqY5T&{Ahm@jC4S4=%TVT2V8E&LkP}_I41@PE%QLvrL2W zb~A^?YhmNom~#PnEOWFO#yj@`IFJb{EeXov7SG^wTM3*NOjQ=~EH~CLuuo+n4r|h+ z%H4Wrclp0s2%>g@>0TUY>~zc zb`JDucb&H`>yh`$n8S2;uQd2kX#-o25I>641q_QM#?#wbbDhJ3MS6AfM5qJ>Y}Mlx zzy?p;>dPx;oz?U6KIJcS6<2QEHkG+sMI}Am%BD>_XkSc443ki-y5oe7O?I|?d;Kt| zc1|NiC6R}Q7?spiy`{8Ou_SiVp!NM$opn@%YO{mq@ied7cXyO5^#mPHhS>?|1B-|EitA=hvv@U`TPUDGj^nLT3HBG$je)MNhgf$G9GP? zRS1trw{+YQPh(paKzJMDjT!Sk{36C49aWRE)g2+6$~&aWLf0Iqnqfy_2({M`K17pX zl-o)+v5wtHfsvJ;T|SdeRi9BM`k2>Ce+yLnPLKAWT@&ex2`tfeweVifAL&z!D~ymZ z!n-Li7D8hUGQ4?fj*05XwC2<{Ev_3ZFTDf5YVlmc&#dkpq(2k8*y^jN@=MnomN=eo?~o7 zvr;~j!0_yAH$uN_xQbJm<8iv@pO_wcDz%eZA=yFys;}L7H;A*i?T{=AXX_|DvHbV$ zn#S{G3o?{>_K)OlidRxWrl4|4yNT#zaV@iCLf;oePYso&u{G`@CR!^-H8E!KcLtYb z_`-C5lce_=bT~N@FPDA-zUJpC{}S*FVzV0aA~UA!_!V5#`0|v``m)v%J&CgSm;(T6gg4A>D8suZoxgh)@{UBwoN=!Wi4vBo&Z|qY{$|~YHW7Sv9uIm?YCkvBvsMO0( zu3ZT$qqj}X>eQH*Tt$QuOH0(ZuUf~ zfV(jLy$?HLSm`7=7k@*W>!4zR)Wb)YMt#@*Gn%uxYhCqf78nkqi9n7;PEaKO*8=(f zh7g_{+4JS|(yYuTZI%DuK>m(4X;9X>Nby8rl*S&dxaGf zSWNcXj=c30^1)~JlvZzM*QtPg>-O)f-g)yOB;Ct)kNsDeXAC@ALZf2c<)u)S+T_jc6F{PZqV)wnBnup zq2&EWF>QSf)NPWlih3#rp&()MJxq)O}R)BswEn1`*tJcX2-jhPxkfKUZj3DWwGW`zjI&lf2Q#-8T+5z z`2VF){TLW{*xv4J5O?;{Hj2K!{sMLNXL&<6gmDP*RNmCl#o#QGC}!fe{yp2%$YMYK7Mvj zB&vLPI-T~BpDXe(z7ME+uU6#-HLKxYKGA5@f(fHWi^<+Xp#+`~Yw;EQr?JKWXIV_9 z6xVR~e!2VX*)#gYv9Wjp$pD#ab}f--ve4y4qkb3oZw9k5#o1aodK`HvVxn}~+N|~> zOW2`6)|^pmhQ)pa+w_}jn|2u&d+!mIos1}u2YKG`h5IejqE zqZHr%r{&`bJb_nHUgz12*TTDxn@lRr1tvIvYd#C_2)veN3y;6l3-}6aufF!hO9dXp zhi;GAX?n&PxFlQni#KA*^}=+T+m!#cj#P@+ypmoK#y<= z1qr|I#7kw`?kUAfG4=7G*W*)PyMoEE`M=(g!2L8g+&0FY39}IE^CGjj6)(k3#?<$W zFQT#n7IFPu_tRKAAAD%T_#!^*Ah)9&#|GIGd*^PE>q>83lTkDF(xl&0}n+DHf9NfGJW$2@w% z(wOR9+K})f=T>a2WS-0uydiUN zB8_^pxX5=o_ps8Y$>jh>TY@Iwci@{+b>r_iKY*h9ag3pj9%8%KE7z07`EQ=JkK8tW zTa$6Y1(%zIp#`@bZLOlqXD=lK%i^$|Gfe;cvn{*=O`XhN3qQDO;cMbz zbefiOhwivY2UCO!rYwDN;pyF0Rg&9g|-z#dc;hBc93DQM?E}`790U z!JK&?f8>6^6l-&?Tk!DHU$a(1LYMPXDG?SoB1hMk@r=#la}T!hQG53?DWw)Ob6bn> zBO|_hTn$Z`sQqjaO`h&1ROyB{(o$m3-9(;wj=dZwhO&&G0+dt~7;P$W&A`Il=HwiK z2B#d2w^8<4xR2xk`hmBg_E~YOXyc4&9WS&0mdwXIb#3vaD+#%3qhqlPD9a?=z)n3A zXwc&ylKs_Cg4VC{0BOboK#U#*1#bO|J2#{jfcOiwz+M5Hv|G45i11KzM9L zgH4R&YtsmE+hE5$7xP}hK*FLktnbiM-?R${1R2G!SAmed7DCam7w^{56RA)Ln(GI&Pb*Ft%0o6hA4q{E_=9 ze^dUVzjv`3cnS`nV1pE2a>kI~2qvrtVA6Wc>9qKpFI32+SHjesd#ib7Oz!&j1m5Bc z+|7?hLYrG?@B9iazkDEqZ|lR8SB8>VN3z#5*ufBCn~e~5pIv)Cj2=2>A{A?ucY4D1 zs6VdO0)MbmUiSp&mLsqCHZ@#L_mdE=OYc6TzIkcyeo!B|wBRO((Cf{2jvV=e9*4pi zBE#PjCjk5-hAVsQ63dUZE!uO(anUvr%xfPT=Y}tQi}~1-Zt(XTJVO(~B4~garyae` zds8&4wJ#2A;q0x}nR^(wpKd<$Dvq!1$VdRn1z(*W;aZz>imD)5!%Zr*rxP)%Z zklk~qWwF~*@`x}z!g%85S!0hFq)qc<>%%aS=5{Zv-$QK8OHW3$6g0`1Xj>wrLsYzT8L}K---Jp%oSSk; z1ofmHE^WFwP`PK&ezS(#uq;Kfip)2OgP(4Z(KKY^KOq#N`Omy z!E>wdHP-q*Gdoe?+lnJx7&Tc1?P1{hk;1h*QDE=8I8e=!z2mFypU!l0av~L_1)yqc z1R|k1975N;7)}vZD}$`oq^(3LQsfsaRIvs6c*H zCOWwC@pTc+CEZPxEBE(=)5L{WhuC4SLofr%Wzl7WDkj%Za?sWb+ zserYuxrjz#=2XK;~W>t^qK|nTTT@gWBVMAadHr-rk77KwkCZls_VG zCPOedG`xT;b?=xDz-(Vn0monz2)!SI{VLMuN}H5)4muas=QtZdC!C)`=22jex7_Yr zJa=^1%(*$hb)c8qqyjY+y?ZfgDcW9JNFVHi&G)D?$()~U#{?1sTZvx4?-`dlxN=?+h_5hmQPnvf z!O~&nn{@K)!Dk2iq}Ak!7F~oyDVqSHD&wr0~;&~Mhqw1; Aod5s; literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_markLive.drawio" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_markLive.drawio" new file mode 100644 index 0000000..1e0aae7 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_markLive.drawio" @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_markLiveInstructions.drawio" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_markLiveInstructions.drawio" new file mode 100644 index 0000000..57692ae --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_markLiveInstructions.drawio" @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_overview.drawio" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_overview.drawio" new file mode 100644 index 0000000..b03202f --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_overview.drawio" @@ -0,0 +1 @@ +3VlNc9owEP01OrZjW9jIRxtM25l0Jp0cmvTmYAXcGosRIkB/fXdl+SsiKUlISHJJpNXuavX83soAoaPF9otMl/PvIuMF8ZxsS+iYeJ7rUAb/0LKrLD51KsNM5plxag0X+V9eRxrrOs/4queohChUvuwbp6Is+VT1bKmUYtN3uxFFf9dlOuOW4WKaFrb1Z56peWVl3rC1f+X5bF7v7AZhtbJIa2dzktU8zcSmY6IJoSMphKpGi+2IFwhejUsVN7lntSlM8lIdEnB1+WMdTgunzIazXfLr2ik3558GVZbbtFibA5ti1a5GgGcAiJkKqeZiJsq0SFprLMW6zDhu48Cs9TkTYglGF4y/uVI783TTtRJgmqtFYVarPXGje89mTCuxllP+wIFqjqRyxtUDfrR5AkBdLhZcyR3ESV6kKr/t15EaDs0avxZmGBikH4G6a6F+AQUrC/oWWERpM88Vv1im+vwbkNs+EG+5VHz7MIz2sU2AFxiu1mI1003L/KExzTukHzgvhJNn4ZSU2ZtDiQ5PDBP7aCKmB4rYP6WIqYV6XuYqTwsE6H6OOq/DUbfPUdezOeruI2nwUiR1g4/GUv9AlrreKWnqW7AvUvnnDPb9Vq6UXE9VLsrVmyOs55yasLViPg5hXe9Axp6UsHWVHdwlX4hbPuZp9rY5y07NWfoUisIHkyWu3hR8G+FHpmPQlm9zdWnCcXyF48++mY23naXxzkyOSHXvuRQ2oecih62bJ07ZnWvVv/MkK2mZqDsPsynjGT3J1gZJBiRmJI5JwkgUEOZqS6wtPmERicASkBBWJ9rCSDjGQTQkrPIZkTAgyRBDQqqdE8IGOs9YWyYkHqFblTnyddQEk4MzC0mcmMyxgwN0djpbQEJIHuglh8QulsooJodNobxIh8PfMKqPozPHejvwATvWE2ANbFiX0VQ42hdlO0MZE118U3OT2YYlwGnUgBlYwqplk4npeqEJ+r+Wc12J6uz6iD3Id/qM3NOCmnbTbUHNC+Hxe5BrQfUK1+RT+s2+xnfMHhQeeN2e9GNMXWWvpfiojihCgbDE9A1QGapby4FpwcYgak+LqJJVR6eP0GBAIkf7MOwAuAX4xJgBxTjQPeGAzO9Dnp7j2/r09+jz7sVyPHnaUL2jVwSAXe4u6wQ46UThtA3Tsxd4taCHfjtx2s991P5+giQhvh4wfc2BhFmwT6HNXd+92YfmboUmgG0BpOqRKN4nXvsirhIy7TzuWMIHb/amDOgzoXl5gCSwHZwiDLXkgwJlfS1hNFPNU377TYAd2AQC/9HkgGn7k0L12tn+MEOTfw== \ No newline at end of file diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_removeDeadInstructions.drawio" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_removeDeadInstructions.drawio" new file mode 100644 index 0000000..b28cb00 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/adce_removeDeadInstructions.drawio" @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/dce_DCEInstruction.drawio" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/dce_DCEInstruction.drawio" new file mode 100644 index 0000000..e0fc8bb --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/dce_DCEInstruction.drawio" @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/dce_eliminateDeadCode.drawio" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/dce_eliminateDeadCode.drawio" new file mode 100644 index 0000000..18ede30 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/report/src/draw.io/dce_eliminateDeadCode.drawio" @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/ADCE.cpp" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/ADCE.cpp" new file mode 100644 index 0000000..ce10f46 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/ADCE.cpp" @@ -0,0 +1,776 @@ +//===- ADCE.cpp - Code to perform dead code elimination -------------------===// +// +// The LLVM Compiler Infrastructure +// +// This file is distributed under the University of Illinois Open Source +// License. See LICENSE.TXT for details. +// +//===----------------------------------------------------------------------===// +// +// This file implements the Aggressive Dead Code Elimination pass. This pass +// optimistically assumes that all instructions are dead until proven otherwise, +// allowing it to eliminate dead computations that other DCE passes do not +// catch, particularly involving loop computations. +// +//===----------------------------------------------------------------------===// + +#include "llvm/Transforms/Scalar/ADCE.h" +#include "llvm/ADT/DenseMap.h" +#include "llvm/ADT/DepthFirstIterator.h" +#include "llvm/ADT/GraphTraits.h" +#include "llvm/ADT/MapVector.h" +#include "llvm/ADT/PostOrderIterator.h" +#include "llvm/ADT/SmallPtrSet.h" +#include "llvm/ADT/SmallVector.h" +#include "llvm/ADT/Statistic.h" +#include "llvm/Analysis/GlobalsModRef.h" +#include "llvm/Analysis/IteratedDominanceFrontier.h" +#include "llvm/Analysis/PostDominators.h" +#include "llvm/IR/BasicBlock.h" +#include "llvm/IR/CFG.h" +#include "llvm/IR/DebugInfoMetadata.h" +#include "llvm/IR/DebugLoc.h" +#include "llvm/IR/DomTreeUpdater.h" +#include "llvm/IR/Dominators.h" +#include "llvm/IR/Function.h" +#include "llvm/IR/IRBuilder.h" +#include "llvm/IR/InstIterator.h" +#include "llvm/IR/InstrTypes.h" +#include "llvm/IR/Instruction.h" +#include "llvm/IR/Instructions.h" +#include "llvm/IR/IntrinsicInst.h" +#include "llvm/IR/PassManager.h" +#include "llvm/IR/Use.h" +#include "llvm/IR/Value.h" +#include "llvm/Pass.h" +#include "llvm/ProfileData/InstrProf.h" +#include "llvm/Support/Casting.h" +#include "llvm/Support/CommandLine.h" +#include "llvm/Support/Debug.h" +#include "llvm/Support/raw_ostream.h" +#include "llvm/Transforms/Scalar.h" +#include +#include +#include + +using namespace llvm; + +#define DEBUG_TYPE "adce" + +STATISTIC(NumRemoved, "Number of instructions removed"); +STATISTIC(NumBranchesRemoved, "Number of branch instructions removed"); + +// This is a temporary option until we change the interface to this pass based +// on optimization level. +static cl::opt RemoveControlFlowFlag("adce-remove-control-flow", + cl::init(true), cl::Hidden); + +// This option enables removing of may-be-infinite loops which have no other +// effect. +static cl::opt RemoveLoops("adce-remove-loops", cl::init(false), + cl::Hidden); + +namespace { + +/// Information about Instructions +struct InstInfoType { + /// True if the associated instruction is live. + bool Live = false; + + /// Quick access to information for block containing associated Instruction. + struct BlockInfoType *Block = nullptr; +}; + +/// Information about basic blocks relevant to dead code elimination. +struct BlockInfoType { + /// True when this block contains a live instructions. + bool Live = false; + + /// True when this block ends in an unconditional branch. + bool UnconditionalBranch = false; + + /// True when this block is known to have live PHI nodes. + bool HasLivePhiNodes = false; + + /// Control dependence sources need to be live for this block. + bool CFLive = false; + + /// Quick access to the LiveInfo for the terminator, + /// holds the value &InstInfo[Terminator] + InstInfoType *TerminatorLiveInfo = nullptr; + + /// Corresponding BasicBlock. + BasicBlock *BB = nullptr; + + /// Cache of BB->getTerminator(). + Instruction *Terminator = nullptr; + + /// Post-order numbering of reverse control flow graph. + unsigned PostOrder; + + bool terminatorIsLive() const { return TerminatorLiveInfo->Live; } +}; + +class AggressiveDeadCodeElimination { + Function &F; + + // ADCE does not use DominatorTree per se, but it updates it to preserve the + // analysis. + DominatorTree *DT; + PostDominatorTree &PDT; + + /// Mapping of blocks to associated information, an element in BlockInfoVec. + /// Use MapVector to get deterministic iteration order. + MapVector BlockInfo; + bool isLive(BasicBlock *BB) { return BlockInfo[BB].Live; } + + /// Mapping of instructions to associated information. +//seems DenseMap is an optimized unordered_map +//https://stackoverflow.com/questions/43191216/differences-similarities-between-llvmdensemap-and-stdmap + DenseMap InstInfo; + bool isLive(Instruction *I) { return InstInfo[I].Live; } + + /// Instructions known to be live where we need to mark + /// reaching definitions as live. + SmallVector Worklist; + + /// Debug info scopes around a live instruction. + SmallPtrSet AliveScopes; + + /// Set of blocks with not known to have live terminators. + SmallPtrSet BlocksWithDeadTerminators; + + /// The set of blocks which we have determined whose control + /// dependence sources must be live and which have not had + /// those dependences analyzed. + SmallPtrSet NewLiveBlocks; + + /// Set up auxiliary data structures for Instructions and BasicBlocks and + /// initialize the Worklist to the set of must-be-live Instruscions. + void initialize(); + + /// Return true for operations which are always treated as live. + bool isAlwaysLive(Instruction &I); + + /// Return true for instrumentation instructions for value profiling. + bool isInstrumentsConstant(Instruction &I); + + /// Propagate liveness to reaching definitions. + void markLiveInstructions(); + + /// Mark an instruction as live. + void markLive(Instruction *I); + + /// Mark a block as live. + void markLive(BlockInfoType &BB); + void markLive(BasicBlock *BB) { markLive(BlockInfo[BB]); } + + /// Mark terminators of control predecessors of a PHI node live. + void markPhiLive(PHINode *PN); + + /// Record the Debug Scopes which surround live debug information. + void collectLiveScopes(const DILocalScope &LS); + void collectLiveScopes(const DILocation &DL); + + /// Analyze dead branches to find those whose branches are the sources + /// of control dependences impacting a live block. Those branches are + /// marked live. + void markLiveBranchesFromControlDependences(); + + /// Remove instructions not marked live, return if any instruction was + /// removed. + bool removeDeadInstructions(); + + /// Identify connected sections of the control flow graph which have + /// dead terminators and rewrite the control flow graph to remove them. + void updateDeadRegions(); + + /// Set the BlockInfo::PostOrder field based on a post-order + /// numbering of the reverse control flow graph. + void computeReversePostOrder(); + + /// Make the terminator of this block an unconditional branch to \p Target. + void makeUnconditional(BasicBlock *BB, BasicBlock *Target); + +public: + AggressiveDeadCodeElimination(Function &F, DominatorTree *DT, + PostDominatorTree &PDT) + : F(F), DT(DT), PDT(PDT) {} + + bool performDeadCodeElimination(); +}; + +} // end anonymous namespace + +//the main algorithm, calling other stuff +bool AggressiveDeadCodeElimination::performDeadCodeElimination() { + initialize(); + markLiveInstructions(); + return removeDeadInstructions(); +} + +static bool isUnconditionalBranch(Instruction *Term) { + auto *BR = dyn_cast(Term); + return BR && BR->isUnconditional(); +} + +void AggressiveDeadCodeElimination::initialize() { + auto NumBlocks = F.size(); + + // We will have an entry in the map for each block so we grow the + // structure to twice that size to keep the load factor low in the hash table. +//ok it's just a small optimization: first all dummy BInfo and IInfo are allocaated, +//then entries in those B/IInfo are filled out + BlockInfo.reserve(NumBlocks); + size_t NumInsts = 0; + + // Iterate over blocks and initialize BlockInfoVec entries, count + // instructions to size the InstInfo hash table. +//this iteration is for BB in Function, BB, Terminator and UnconditionalBranch are calculated + for (auto &BB : F) { + NumInsts += BB.size(); + auto &Info = BlockInfo[&BB]; + Info.BB = &BB; + Info.Terminator = BB.getTerminator(); + Info.UnconditionalBranch = isUnconditionalBranch(Info.Terminator); + } + + // Initialize instruction map and set pointers to block info. +//similar to above, do this to each instruction, +//each InstInfoType has only two attr, Live and Block. Block is determined here. Trivial. + InstInfo.reserve(NumInsts); + for (auto &BBInfo : BlockInfo) +//first and second are iterator features, means key and value in pair in map +//here key is the BasicBlock, value is the BlockInfo + for (Instruction &I : *BBInfo.second.BB) + InstInfo[&I].Block = &BBInfo.second; + + // Since BlockInfoVec holds pointers into InstInfo and vice-versa, we may not + // add any more elements to either after this point. +//another initialize, set up terminator + for (auto &BBInfo : BlockInfo) + BBInfo.second.TerminatorLiveInfo = &InstInfo[BBInfo.second.Terminator]; + + // Collect the set of "root" instructions that are known live. +//seems this act as the "seeds" of the whole procedure + for (Instruction &I : instructions(F)) + if (isAlwaysLive(I)) + markLive(&I); + +//??? + if (!RemoveControlFlowFlag) + return; + + if (!RemoveLoops) { + // This stores state for the depth-first iterator. In addition + // to recording which nodes have been visited we also record whether + // a node is currently on the "stack" of active ancestors of the current + // node. + using StatusMap = DenseMap; + + class DFState : public StatusMap { + public: + std::pair insert(BasicBlock *BB) { + return StatusMap::insert(std::make_pair(BB, true)); + } + + // Invoked after we have visited all children of a node. + void completed(BasicBlock *BB) { (*this)[BB] = false; } + + // Return true if \p BB is currently on the active stack + // of ancestors. + bool onStack(BasicBlock *BB) { + auto Iter = find(BB); + return Iter != end() && Iter->second; + } + } State; + + State.reserve(F.size()); + // Iterate over blocks in depth-first pre-order and + // treat all edges to a block already seen as loop back edges + // and mark the branch live it if there is a back edge. +//the main depth-first iteration + for (auto *BB: depth_first_ext(&F.getEntryBlock(), State)) { + Instruction *Term = BB->getTerminator(); + if (isLive(Term)) + continue; + + for (auto *Succ : successors(BB)) + if (State.onStack(Succ)) { + // back edge.... + markLive(Term); + break; + } + } + } + + // Mark blocks live if there is no path from the block to a + // return of the function. + // We do this by seeing which of the postdomtree root children exit the + // program, and for all others, mark the subtree live. + for (auto &PDTChild : children(PDT.getRootNode())) { + auto *BB = PDTChild->getBlock(); + auto &Info = BlockInfo[BB]; + // Real function return + if (isa(Info.Terminator)) { + LLVM_DEBUG(dbgs() << "post-dom root child is a return: " << BB->getName() + << '\n';); + continue; + } + + // This child is something else, like an infinite loop. + for (auto DFNode : depth_first(PDTChild)) + markLive(BlockInfo[DFNode->getBlock()].Terminator); + } + + // Treat the entry block as always live +//this is another starter condition + auto *BB = &F.getEntryBlock(); + auto &EntryInfo = BlockInfo[BB]; + EntryInfo.Live = true; + if (EntryInfo.UnconditionalBranch) + markLive(EntryInfo.Terminator); + + // Build initial collection of blocks with dead terminators + for (auto &BBInfo : BlockInfo) + if (!BBInfo.second.terminatorIsLive()) + BlocksWithDeadTerminators.insert(BBInfo.second.BB); +} + +//Can't understand +bool AggressiveDeadCodeElimination::isAlwaysLive(Instruction &I) { + // TODO -- use llvm::isInstructionTriviallyDead +//isEHPad: Return true if the instruction is a variety of EH-block. +//EH means exception handling? + if (I.isEHPad() || I.mayHaveSideEffects()) { + // Skip any value profile instrumentation calls if they are + // instrumenting constants. + if (isInstrumentsConstant(I)) + return false; + return true; + } + if (!I.isTerminator()) + return false; + if (RemoveControlFlowFlag && (isa(I) || isa(I))) + return false; + return true; +} + +//seems we don't need to read this carefully +// Check if this instruction is a runtime call for value profiling and +// if it's instrumenting a constant. +bool AggressiveDeadCodeElimination::isInstrumentsConstant(Instruction &I) { + // TODO -- move this test into llvm::isInstructionTriviallyDead + if (CallInst *CI = dyn_cast(&I)) + if (Function *Callee = CI->getCalledFunction()) + if (Callee->getName().equals(getInstrProfValueProfFuncName())) + if (isa(CI->getArgOperand(0))) + return true; + return false; +} + +//the second step of main process +void AggressiveDeadCodeElimination::markLiveInstructions() { + // Propagate liveness backwards to operands. +//kind of same as DCE? + do { + // Worklist holds newly discovered live instructions + // where we need to mark the inputs as live. +//this is a inner loop + while (!Worklist.empty()) { +//ok first get instruction from Worklist +//but this time it's marking Live instead of Dead + Instruction *LiveInst = Worklist.pop_back_val(); + LLVM_DEBUG(dbgs() << "work live: "; LiveInst->dump();); + +//mark Live operands + for (Use &OI : LiveInst->operands()) + if (Instruction *Inst = dyn_cast(OI)) +//markLive will push Inst into Worklist + markLive(Inst); + +//if it's a PHINode, then mark it Live + if (auto *PN = dyn_cast(LiveInst)) + markPhiLive(PN); + } + + // After data flow liveness has been identified, examine which branch + // decisions are required to determine live instructions are executed. +//what does this do?? +//this seems to be cooperating with the inner loop + markLiveBranchesFromControlDependences(); + + } while (!Worklist.empty()); +} + +//those markLive stuff +void AggressiveDeadCodeElimination::markLive(Instruction *I) { + auto &Info = InstInfo[I]; + if (Info.Live) + return; + + LLVM_DEBUG(dbgs() << "mark live: "; I->dump()); + Info.Live = true; + Worklist.push_back(I); + + // Collect the live debug info scopes attached to this instruction. + if (const DILocation *DL = I->getDebugLoc()) + collectLiveScopes(*DL); + + // Mark the containing block live + auto &BBInfo = *Info.Block; + if (BBInfo.Terminator == I) { + BlocksWithDeadTerminators.erase(BBInfo.BB); + // For live terminators, mark destination blocks + // live to preserve this control flow edges. + if (!BBInfo.UnconditionalBranch) + for (auto *BB : successors(I->getParent())) + markLive(BB); + } + markLive(BBInfo); +} + +void AggressiveDeadCodeElimination::markLive(BlockInfoType &BBInfo) { + if (BBInfo.Live) + return; + LLVM_DEBUG(dbgs() << "mark block live: " << BBInfo.BB->getName() << '\n'); + BBInfo.Live = true; + if (!BBInfo.CFLive) { + BBInfo.CFLive = true; + NewLiveBlocks.insert(BBInfo.BB); + } + + // Mark unconditional branches at the end of live + // blocks as live since there is no work to do for them later +//if a BB is being marked Live, markLive the terminator Inst + if (BBInfo.UnconditionalBranch) + markLive(BBInfo.Terminator); +} + +void AggressiveDeadCodeElimination::collectLiveScopes(const DILocalScope &LS) { + if (!AliveScopes.insert(&LS).second) + return; + + if (isa(LS)) + return; + + // Tail-recurse through the scope chain. + collectLiveScopes(cast(*LS.getScope())); +} + +void AggressiveDeadCodeElimination::collectLiveScopes(const DILocation &DL) { + // Even though DILocations are not scopes, shove them into AliveScopes so we + // don't revisit them. + if (!AliveScopes.insert(&DL).second) + return; + + // Collect live scopes from the scope chain. + collectLiveScopes(*DL.getScope()); + + // Tail-recurse through the inlined-at chain. + if (const DILocation *IA = DL.getInlinedAt()) + collectLiveScopes(*IA); +} + +void AggressiveDeadCodeElimination::markPhiLive(PHINode *PN) { + auto &Info = BlockInfo[PN->getParent()]; + // Only need to check this once per block. + if (Info.HasLivePhiNodes) + return; + Info.HasLivePhiNodes = true; + + // If a predecessor block is not live, mark it as control-flow live + // which will trigger marking live branches upon which + // that block is control dependent. + for (auto *PredBB : predecessors(Info.BB)) { + auto &Info = BlockInfo[PredBB]; + if (!Info.CFLive) { + Info.CFLive = true; + NewLiveBlocks.insert(PredBB); + } + } +//from a live PHINode we can discover some live blocks +//this is ok to understand +} + +void AggressiveDeadCodeElimination::markLiveBranchesFromControlDependences() { + if (BlocksWithDeadTerminators.empty()) + return; + + LLVM_DEBUG({ + dbgs() << "new live blocks:\n"; + for (auto *BB : NewLiveBlocks) + dbgs() << "\t" << BB->getName() << '\n'; + dbgs() << "dead terminator blocks:\n"; + for (auto *BB : BlocksWithDeadTerminators) + dbgs() << "\t" << BB->getName() << '\n'; + }); + + // The dominance frontier of a live block X in the reverse + // control graph is the set of blocks upon which X is control + // dependent. The following sequence computes the set of blocks + // which currently have dead terminators that are control + // dependence sources of a block which is in NewLiveBlocks. + + SmallVector IDFBlocks; + ReverseIDFCalculator IDFs(PDT); + IDFs.setDefiningBlocks(NewLiveBlocks); + IDFs.setLiveInBlocks(BlocksWithDeadTerminators); + IDFs.calculate(IDFBlocks); + NewLiveBlocks.clear(); + + // Dead terminators which control live blocks are now marked live. +//ok we get the results stored in IDFBlocks + for (auto *BB : IDFBlocks) { + LLVM_DEBUG(dbgs() << "live control in: " << BB->getName() << '\n'); + markLive(BB->getTerminator()); + } +} + +//===----------------------------------------------------------------------===// +// +// Routines to update the CFG and SSA information before removing dead code. +// +//===----------------------------------------------------------------------===// +//this is the third(and last) part of the main process +bool AggressiveDeadCodeElimination::removeDeadInstructions() { + // Updates control and dataflow around dead blocks + updateDeadRegions(); + + LLVM_DEBUG({ + for (Instruction &I : instructions(F)) { + // Check if the instruction is alive. + if (isLive(&I)) + continue; + + if (auto *DII = dyn_cast(&I)) { + // Check if the scope of this variable location is alive. + if (AliveScopes.count(DII->getDebugLoc()->getScope())) + continue; + + // If intrinsic is pointing at a live SSA value, there may be an + // earlier optimization bug: if we know the location of the variable, + // why isn't the scope of the location alive? + if (Value *V = DII->getVariableLocation()) + if (Instruction *II = dyn_cast(V)) + if (isLive(II)) + dbgs() << "Dropping debug info for " << *DII << "\n"; + } + } + }); + + // The inverse of the live set is the dead set. These are those instructions + // that have no side effects and do not influence the control flow or return + // value of the function, and may therefore be deleted safely. + // NOTE: We reuse the Worklist vector here for memory efficiency. +//yes, at this point Worklist should already be empty + for (Instruction &I : instructions(F)) { + // Check if the instruction is alive. + if (isLive(&I)) + continue; + +//need to understand this part. else are easy to understand + if (auto *DII = dyn_cast(&I)) { + // Check if the scope of this variable location is alive. + if (AliveScopes.count(DII->getDebugLoc()->getScope())) + continue; + + // Fallthrough and drop the intrinsic. + } + + // Prepare to delete. + Worklist.push_back(&I); + I.dropAllReferences(); + } + + for (Instruction *&I : Worklist) { + ++NumRemoved; + I->eraseFromParent(); + } + +//why this can tell whether instructions has been eliminated? +//this seems to be cooperating with the inner loop + return !Worklist.empty(); +} + +// A dead region is the set of dead blocks with a common live post-dominator. +//this is updateDeadRegions, seems it just do some cleanup to make the following deletion safe, don't need to understand in detail +void AggressiveDeadCodeElimination::updateDeadRegions() { + LLVM_DEBUG({ + dbgs() << "final dead terminator blocks: " << '\n'; + for (auto *BB : BlocksWithDeadTerminators) + dbgs() << '\t' << BB->getName() + << (BlockInfo[BB].Live ? " LIVE\n" : "\n"); + }); + + // Don't compute the post ordering unless we needed it. + bool HavePostOrder = false; + + for (auto *BB : BlocksWithDeadTerminators) { + auto &Info = BlockInfo[BB]; + if (Info.UnconditionalBranch) { + InstInfo[Info.Terminator].Live = true; + continue; + } + + if (!HavePostOrder) { + computeReversePostOrder(); + HavePostOrder = true; + } + + // Add an unconditional branch to the successor closest to the + // end of the function which insures a path to the exit for each + // live edge. + BlockInfoType *PreferredSucc = nullptr; + for (auto *Succ : successors(BB)) { + auto *Info = &BlockInfo[Succ]; + if (!PreferredSucc || PreferredSucc->PostOrder < Info->PostOrder) + PreferredSucc = Info; + } + assert((PreferredSucc && PreferredSucc->PostOrder > 0) && + "Failed to find safe successor for dead branch"); + + // Collect removed successors to update the (Post)DominatorTrees. + SmallPtrSet RemovedSuccessors; + bool First = true; + for (auto *Succ : successors(BB)) { + if (!First || Succ != PreferredSucc->BB) { + Succ->removePredecessor(BB); + RemovedSuccessors.insert(Succ); + } else + First = false; + } + makeUnconditional(BB, PreferredSucc->BB); + + // Inform the dominators about the deleted CFG edges. + SmallVector DeletedEdges; + for (auto *Succ : RemovedSuccessors) { + // It might have happened that the same successor appeared multiple times + // and the CFG edge wasn't really removed. + if (Succ != PreferredSucc->BB) { + LLVM_DEBUG(dbgs() << "ADCE: (Post)DomTree edge enqueued for deletion" + << BB->getName() << " -> " << Succ->getName() + << "\n"); + DeletedEdges.push_back({DominatorTree::Delete, BB, Succ}); + } + } + + DomTreeUpdater(DT, &PDT, DomTreeUpdater::UpdateStrategy::Eager) + .applyUpdates(DeletedEdges); + + NumBranchesRemoved += 1; + } +} + +// reverse top-sort order +void AggressiveDeadCodeElimination::computeReversePostOrder() { + // This provides a post-order numbering of the reverse control flow graph + // Note that it is incomplete in the presence of infinite loops but we don't + // need numbers blocks which don't reach the end of the functions since + // all branches in those blocks are forced live. + + // For each block without successors, extend the DFS from the block + // backward through the graph + SmallPtrSet Visited; + unsigned PostOrder = 0; + for (auto &BB : F) { + if (succ_begin(&BB) != succ_end(&BB)) + continue; + for (BasicBlock *Block : inverse_post_order_ext(&BB,Visited)) + BlockInfo[Block].PostOrder = PostOrder++; + } +} + +void AggressiveDeadCodeElimination::makeUnconditional(BasicBlock *BB, + BasicBlock *Target) { + Instruction *PredTerm = BB->getTerminator(); + // Collect the live debug info scopes attached to this instruction. + if (const DILocation *DL = PredTerm->getDebugLoc()) + collectLiveScopes(*DL); + + // Just mark live an existing unconditional branch + if (isUnconditionalBranch(PredTerm)) { + PredTerm->setSuccessor(0, Target); + InstInfo[PredTerm].Live = true; + return; + } + LLVM_DEBUG(dbgs() << "making unconditional " << BB->getName() << '\n'); + NumBranchesRemoved += 1; + IRBuilder<> Builder(PredTerm); + auto *NewTerm = Builder.CreateBr(Target); + InstInfo[NewTerm].Live = true; + if (const DILocation *DL = PredTerm->getDebugLoc()) + NewTerm->setDebugLoc(DL); + + InstInfo.erase(PredTerm); + PredTerm->eraseFromParent(); +} + +//seems below are all wrapper codes + +//===----------------------------------------------------------------------===// +// +// Pass Manager integration code +// +//===----------------------------------------------------------------------===// +PreservedAnalyses ADCEPass::run(Function &F, FunctionAnalysisManager &FAM) { + // ADCE does not need DominatorTree, but require DominatorTree here + // to update analysis if it is already available. + auto *DT = FAM.getCachedResult(F); + auto &PDT = FAM.getResult(F); + if (!AggressiveDeadCodeElimination(F, DT, PDT).performDeadCodeElimination()) + return PreservedAnalyses::all(); + + PreservedAnalyses PA; + PA.preserveSet(); + PA.preserve(); + PA.preserve(); + PA.preserve(); + return PA; +} + +namespace { + +struct ADCELegacyPass : public FunctionPass { + static char ID; // Pass identification, replacement for typeid + + ADCELegacyPass() : FunctionPass(ID) { + initializeADCELegacyPassPass(*PassRegistry::getPassRegistry()); + } + + bool runOnFunction(Function &F) override { + if (skipFunction(F)) + return false; + + // ADCE does not need DominatorTree, but require DominatorTree here + // to update analysis if it is already available. + auto *DTWP = getAnalysisIfAvailable(); + auto *DT = DTWP ? &DTWP->getDomTree() : nullptr; + auto &PDT = getAnalysis().getPostDomTree(); + return AggressiveDeadCodeElimination(F, DT, PDT) + .performDeadCodeElimination(); + } + + void getAnalysisUsage(AnalysisUsage &AU) const override { + AU.addRequired(); + if (!RemoveControlFlowFlag) + AU.setPreservesCFG(); + else { + AU.addPreserved(); + AU.addPreserved(); + } + AU.addPreserved(); + } +}; + +} // end anonymous namespace + +char ADCELegacyPass::ID = 0; + +INITIALIZE_PASS_BEGIN(ADCELegacyPass, "adce", + "Aggressive Dead Code Elimination", false, false) +INITIALIZE_PASS_DEPENDENCY(PostDominatorTreeWrapperPass) +INITIALIZE_PASS_END(ADCELegacyPass, "adce", "Aggressive Dead Code Elimination", + false, false) + +FunctionPass *llvm::createAggressiveDCEPass() { return new ADCELegacyPass(); } diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/DCE.cpp" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/DCE.cpp" new file mode 100644 index 0000000..ec777fc --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/DCE.cpp" @@ -0,0 +1,199 @@ +//===- DCE.cpp - Code to perform dead code elimination --------------------===// +// +// The LLVM Compiler Infrastructure +// +// This file is distributed under the University of Illinois Open Source +// License. See LICENSE.TXT for details. +// +//===----------------------------------------------------------------------===// +// +// This file implements dead inst elimination and dead code elimination. +// +// Dead Inst Elimination performs a single pass over the function removing +// instructions that are obviously dead. Dead Code Elimination is similar, but +// it rechecks instructions that were used by removed instructions to see if +// they are newly dead. +// +//===----------------------------------------------------------------------===// + +#include "llvm/Transforms/Scalar/DCE.h" +#include "llvm/ADT/SetVector.h" +#include "llvm/ADT/Statistic.h" +#include "llvm/Analysis/TargetLibraryInfo.h" +#include "llvm/Transforms/Utils/Local.h" +#include "llvm/IR/InstIterator.h" +#include "llvm/IR/Instruction.h" +#include "llvm/Pass.h" +#include "llvm/Support/DebugCounter.h" +#include "llvm/Transforms/Scalar.h" +using namespace llvm; + +#define DEBUG_TYPE "dce" + +STATISTIC(DIEEliminated, "Number of insts removed by DIE pass"); +STATISTIC(DCEEliminated, "Number of insts removed"); +DEBUG_COUNTER(DCECounter, "dce-transform", + "Controls which instructions are eliminated"); + +namespace { + //===--------------------------------------------------------------------===// + // DeadInstElimination pass implementation + // + struct DeadInstElimination : public BasicBlockPass { + static char ID; // Pass identification, replacement for typeid + DeadInstElimination() : BasicBlockPass(ID) { + initializeDeadInstEliminationPass(*PassRegistry::getPassRegistry()); + } + bool runOnBasicBlock(BasicBlock &BB) override { +// judge skip or not. Problem: details about skipBasicBlock?? + if (skipBasicBlock(BB)) + return false; + auto *TLIP = getAnalysisIfAvailable(); + TargetLibraryInfo *TLI = TLIP ? &TLIP->getTLI() : nullptr; + bool Changed = false; +// traverse each code in the basic block + for (BasicBlock::iterator DI = BB.begin(); DI != BB.end(); ) { + Instruction *Inst = &*DI++; +// if instruction is dead, then remove it (eraseFromParent), set Changed bit, and deal with debug things and update eliminate counter + if (isInstructionTriviallyDead(Inst, TLI)) { + if (!DebugCounter::shouldExecute(DCECounter)) + continue; + salvageDebugInfo(*Inst); + Inst->eraseFromParent(); + Changed = true; + ++DIEEliminated; + } + } + return Changed; + } + + void getAnalysisUsage(AnalysisUsage &AU) const override { + AU.setPreservesCFG(); + } + }; +} + +// seems here is where the DIE pass is registered. +char DeadInstElimination::ID = 0; +INITIALIZE_PASS(DeadInstElimination, "die", + "Dead Instruction Elimination", false, false) + +Pass *llvm::createDeadInstEliminationPass() { + return new DeadInstElimination(); +} + +// ok here starts DCE, a slightly harder one + +// this is used to visit every instruction in function +// if any instruction can be eliminated, do something(check all it's operands) +// else just do nothing +static bool DCEInstruction(Instruction *I, + SmallSetVector &WorkList, + const TargetLibraryInfo *TLI) { + if (isInstructionTriviallyDead(I, TLI)) { + if (!DebugCounter::shouldExecute(DCECounter)) + return false; + + salvageDebugInfo(*I); + + // Null out all of the instruction's operands to see if any operand becomes + // dead as we go. + for (unsigned i = 0, e = I->getNumOperands(); i != e; ++i) { +// loop to get operand. I is the dead father +// https://stackoverflow.com/questions/8651829/getting-the-operands-in-an-llvm-instruction +// the operand is actually another instruction + Value *OpV = I->getOperand(i); + I->setOperand(i, nullptr); + +//TODO:use_empty??? or the operand is the instruction itself??? + if (!OpV->use_empty() || I == OpV) + continue; + + // If the operand is an instruction that became dead as we nulled out the + // operand, and if it is 'trivially' dead, delete it in a future loop + // iteration. + if (Instruction *OpI = dyn_cast(OpV)) + if (isInstructionTriviallyDead(OpI, TLI)) +// found new dead code, insert into eliminate queue + WorkList.insert(OpI); + } + + I->eraseFromParent(); + ++DCEEliminated; + return true; + } + return false; +} + +// i think this is the main dce function, it calls the core function DCEInstruction +// it's a iteration structure +static bool eliminateDeadCode(Function &F, TargetLibraryInfo *TLI) { +// MadeChange records whether there are any change, easy to understand + bool MadeChange = false; + SmallSetVector WorkList; + // Iterate over the original function, only adding insts to the worklist + // if they actually need to be revisited. This avoids having to pre-init + // the worklist with the entire function's worth of instructions. +// initialize and first pass elimination + for (inst_iterator FI = inst_begin(F), FE = inst_end(F); FI != FE;) { + Instruction *I = &*FI; + ++FI; + + // We're visiting this instruction now, so make sure it's not in the + // worklist from an earlier visit. +// try to eliminate all instructions in function, +// TODO: why this if is needed? can a DCEInstruction enqueue instructions after the instruction being analyzed? + if (!WorkList.count(I)) + MadeChange |= DCEInstruction(I, WorkList, TLI); + } + +// iteration pass, continue until nothing can be annihilated, this is easy to understant +// seems this pass works "reversely", first eliminate an operator, then eliminate previous operands + while (!WorkList.empty()) { + Instruction *I = WorkList.pop_back_val(); + MadeChange |= DCEInstruction(I, WorkList, TLI); + } + return MadeChange; +} + +// run, the interface, as is des//what des?? +PreservedAnalyses DCEPass::run(Function &F, FunctionAnalysisManager &AM) { + if (!eliminateDeadCode(F, AM.getCachedResult(F))) + return PreservedAnalyses::all(); + + PreservedAnalyses PA; + PA.preserveSet(); + return PA; +} + +// this is like a wapper? +namespace { +struct DCELegacyPass : public FunctionPass { + static char ID; // Pass identification, replacement for typeid + DCELegacyPass() : FunctionPass(ID) { + initializeDCELegacyPassPass(*PassRegistry::getPassRegistry()); + } + + bool runOnFunction(Function &F) override { + if (skipFunction(F)) + return false; + + auto *TLIP = getAnalysisIfAvailable(); + TargetLibraryInfo *TLI = TLIP ? &TLIP->getTLI() : nullptr; + +// real call here + return eliminateDeadCode(F, TLI); + } + + void getAnalysisUsage(AnalysisUsage &AU) const override { + AU.setPreservesCFG(); + } +}; +} + +char DCELegacyPass::ID = 0; +INITIALIZE_PASS(DCELegacyPass, "dce", "Dead Code Elimination", false, false) + +FunctionPass *llvm::createDeadCodeEliminationPass() { + return new DCELegacyPass(); +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.adce.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.adce.ll" new file mode 100644 index 0000000..fa8b0b4 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.adce.ll" @@ -0,0 +1,29 @@ +; ModuleID = 'test2.ll' +source_filename = "test2.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + br label %loopStartBB_1 + +loopStartBB_1: ; preds = %loopBodyBB_1, %entry + %.01 = phi i32 [ 1, %entry ], [ %1, %loopBodyBB_1 ] + %0 = icmp slt i32 %.01, 10 + br i1 %0, label %loopBodyBB_1, label %loopEndBB_1 + +loopBodyBB_1: ; preds = %loopStartBB_1 + %1 = add i32 %.01, 1 + br label %loopStartBB_1 + +loopEndBB_1: ; preds = %loopStartBB_1 + br label %returnBB + +returnBB: ; preds = %loopEndBB_1 + ret i32 0 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.cminus" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.cminus" new file mode 100644 index 0000000..4c897c2 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.cminus" @@ -0,0 +1,18 @@ +/* code optim adce */ +/* this can be optimized because d is not used */ +/* if return 0 is changed to return b, then no optimization */ +int main(void) { + int a; + int b; + int c; + int d; + a = 1; + b = 1; + c = 1; + d = 1; + while(a < 10) { + a = a + 1; + d = a + b + c + d; + } + return 0; +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.dce.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.dce.ll" new file mode 100644 index 0000000..f25044f --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.dce.ll" @@ -0,0 +1,33 @@ +; ModuleID = 'test2.ll' +source_filename = "test2.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + br label %loopStartBB_1 + +loopStartBB_1: ; preds = %loopBodyBB_1, %entry + %.01 = phi i32 [ 1, %entry ], [ %1, %loopBodyBB_1 ] + %.0 = phi i32 [ 1, %entry ], [ %4, %loopBodyBB_1 ] + %0 = icmp slt i32 %.01, 10 + br i1 %0, label %loopBodyBB_1, label %loopEndBB_1 + +loopBodyBB_1: ; preds = %loopStartBB_1 + %1 = add i32 %.01, 1 + %2 = add i32 %1, 1 + %3 = add i32 %2, 1 + %4 = add i32 %3, %.0 + br label %loopStartBB_1 + +loopEndBB_1: ; preds = %loopStartBB_1 + br label %returnBB + +returnBB: ; preds = %loopEndBB_1 + ret i32 0 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.ll" new file mode 100644 index 0000000..0d66576 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.ll" @@ -0,0 +1,50 @@ +; ModuleID = 'cminus' +source_filename = "test2.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + %0 = alloca i32 + %1 = alloca i32 + %2 = alloca i32 + %3 = alloca i32 + %4 = alloca i32 + store i32 1, i32* %1 + store i32 1, i32* %2 + store i32 1, i32* %3 + store i32 1, i32* %4 + br label %loopStartBB_1 + +loopStartBB_1: ; preds = %loopBodyBB_1, %entry + %5 = load i32, i32* %1 + %6 = icmp slt i32 %5, 10 + br i1 %6, label %loopBodyBB_1, label %loopEndBB_1 + +loopBodyBB_1: ; preds = %loopStartBB_1 + %7 = load i32, i32* %1 + %8 = add i32 %7, 1 + store i32 %8, i32* %1 + %9 = load i32, i32* %1 + %10 = load i32, i32* %2 + %11 = add i32 %9, %10 + %12 = load i32, i32* %3 + %13 = add i32 %11, %12 + %14 = load i32, i32* %4 + %15 = add i32 %13, %14 + store i32 %15, i32* %4 + br label %loopStartBB_1 + +loopEndBB_1: ; preds = %loopStartBB_1 + store i32 0, i32* %0 + br label %returnBB + +returnBB: ; preds = %loopEndBB_1 + %16 = load i32, i32* %0 + ret i32 %16 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.mem.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.mem.ll" new file mode 100644 index 0000000..f25044f --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_adce/test2.mem.ll" @@ -0,0 +1,33 @@ +; ModuleID = 'test2.ll' +source_filename = "test2.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + br label %loopStartBB_1 + +loopStartBB_1: ; preds = %loopBodyBB_1, %entry + %.01 = phi i32 [ 1, %entry ], [ %1, %loopBodyBB_1 ] + %.0 = phi i32 [ 1, %entry ], [ %4, %loopBodyBB_1 ] + %0 = icmp slt i32 %.01, 10 + br i1 %0, label %loopBodyBB_1, label %loopEndBB_1 + +loopBodyBB_1: ; preds = %loopStartBB_1 + %1 = add i32 %.01, 1 + %2 = add i32 %1, 1 + %3 = add i32 %2, 1 + %4 = add i32 %3, %.0 + br label %loopStartBB_1 + +loopEndBB_1: ; preds = %loopStartBB_1 + br label %returnBB + +returnBB: ; preds = %loopEndBB_1 + ret i32 0 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.adce.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.adce.ll" new file mode 100644 index 0000000..9d628df --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.adce.ll" @@ -0,0 +1,36 @@ +; ModuleID = 'test1.ll' +source_filename = "test1.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + %0 = alloca i32 + %1 = alloca i32 + %2 = alloca i32 + %3 = alloca i32 + %4 = alloca i32 + store i32 1, i32* %1 + store i32 1, i32* %2 + store i32 1, i32* %3 + store i32 1, i32* %4 + %5 = load i32, i32* %1 + %6 = load i32, i32* %1 + %7 = add i32 %5, %6 + store i32 %7, i32* %3 + %8 = load i32, i32* %1 + %9 = load i32, i32* %1 + %10 = add i32 %8, %9 + store i32 %10, i32* %2 + store i32 0, i32* %0 + br label %returnBB + +returnBB: ; preds = %entry + %11 = load i32, i32* %0 + ret i32 %11 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.cminus" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.cminus" new file mode 100644 index 0000000..2e2aecb --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.cminus" @@ -0,0 +1,15 @@ +/* code optim dce */ +int main(void) { + int a; + int b; + int c; + int d; + a = 1; + b = 1; + c = 1; + d = 1; + c = a + a; + b = a + a; + (a + b) + (c + d); + return 0; +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.dce.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.dce.ll" new file mode 100644 index 0000000..9d628df --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.dce.ll" @@ -0,0 +1,36 @@ +; ModuleID = 'test1.ll' +source_filename = "test1.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + %0 = alloca i32 + %1 = alloca i32 + %2 = alloca i32 + %3 = alloca i32 + %4 = alloca i32 + store i32 1, i32* %1 + store i32 1, i32* %2 + store i32 1, i32* %3 + store i32 1, i32* %4 + %5 = load i32, i32* %1 + %6 = load i32, i32* %1 + %7 = add i32 %5, %6 + store i32 %7, i32* %3 + %8 = load i32, i32* %1 + %9 = load i32, i32* %1 + %10 = add i32 %8, %9 + store i32 %10, i32* %2 + store i32 0, i32* %0 + br label %returnBB + +returnBB: ; preds = %entry + %11 = load i32, i32* %0 + ret i32 %11 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.die.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.die.ll" new file mode 100644 index 0000000..f6e88b1 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.die.ll" @@ -0,0 +1,42 @@ +; ModuleID = 'test1.ll' +source_filename = "test1.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + %0 = alloca i32 + %1 = alloca i32 + %2 = alloca i32 + %3 = alloca i32 + %4 = alloca i32 + store i32 1, i32* %1 + store i32 1, i32* %2 + store i32 1, i32* %3 + store i32 1, i32* %4 + %5 = load i32, i32* %1 + %6 = load i32, i32* %1 + %7 = add i32 %5, %6 + store i32 %7, i32* %3 + %8 = load i32, i32* %1 + %9 = load i32, i32* %1 + %10 = add i32 %8, %9 + store i32 %10, i32* %2 + %11 = load i32, i32* %1 + %12 = load i32, i32* %2 + %13 = add i32 %11, %12 + %14 = load i32, i32* %3 + %15 = load i32, i32* %4 + %16 = add i32 %14, %15 + store i32 0, i32* %0 + br label %returnBB + +returnBB: ; preds = %entry + %17 = load i32, i32* %0 + ret i32 %17 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.ll" new file mode 100644 index 0000000..1a87c2e --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/example_dce/test1.ll" @@ -0,0 +1,43 @@ +; ModuleID = 'cminus' +source_filename = "test1.cminus" +target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128" + +declare i32 @input() + +declare void @output(i32) + +declare void @neg_idx_except() + +define i32 @main() { +entry: + %0 = alloca i32 + %1 = alloca i32 + %2 = alloca i32 + %3 = alloca i32 + %4 = alloca i32 + store i32 1, i32* %1 + store i32 1, i32* %2 + store i32 1, i32* %3 + store i32 1, i32* %4 + %5 = load i32, i32* %1 + %6 = load i32, i32* %1 + %7 = add i32 %5, %6 + store i32 %7, i32* %3 + %8 = load i32, i32* %1 + %9 = load i32, i32* %1 + %10 = add i32 %8, %9 + store i32 %10, i32* %2 + %11 = load i32, i32* %1 + %12 = load i32, i32* %2 + %13 = add i32 %11, %12 + %14 = load i32, i32* %3 + %15 = load i32, i32* %4 + %16 = add i32 %14, %15 + %17 = add i32 %13, %16 + store i32 0, i32* %0 + br label %returnBB + +returnBB: ; preds = %entry + %18 = load i32, i32* %0 + ret i32 %18 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/my1.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/my1.ll" new file mode 100644 index 0000000..fc5a1f0 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/my1.ll" @@ -0,0 +1,13 @@ +; ModuleID = 'my1' +source_filename = "my1.ll.real" + +define i32 @main() { +entry: + %0 = alloca i32 + %1 = alloca i32 + %2 = alloca i32 + %3 = add i32 1, 2 + %4 = add i32 1, 2 + %5 = add i32 1, 2 + ret i32 0 +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/opthelp.txt" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/opthelp.txt" new file mode 100644 index 0000000..c5434db --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/opthelp.txt" @@ -0,0 +1,651 @@ +OVERVIEW: llvm .bc -> .bc modular optimizer and analysis printer + +USAGE: opt [options] + +OPTIONS: + +Color Options: + + -color - Use colors in output (default=autodetect) + +General options: + + -O0 - Optimization level 0. Similar to clang -O0 + -O1 - Optimization level 1. Similar to clang -O1 + -O2 - Optimization level 2. Similar to clang -O2 + -O3 - Optimization level 3. Similar to clang -O3 + -Os - Like -O2 with extra optimizations for size. Similar to clang -Os + -Oz - Like -Os but reduces code size further. Similar to clang -Oz + -S - Write output as LLVM assembly + -aarch64-neon-syntax - Choose style of NEON code to emit from AArch64 backend: + =generic - Emit generic NEON assembly + =apple - Emit Apple-style NEON assembly + -addrsig - Emit an address-significance table + -amdgpu-dpp-combine - Enable DPP combiner + -amdgpu-dump-hsa-metadata - Dump AMDGPU HSA Metadata + -amdgpu-enable-global-sgpr-addr - Enable use of SGPR regs for GLOBAL LOAD/STORE instructions + -amdgpu-enable-merge-m0 - Merge and hoist M0 initializations + -amdgpu-sdwa-peephole - Enable SDWA peepholer + -amdgpu-spill-sgpr-to-smem - Use scalar stores to spill SGPRs if supported by subtarget + -amdgpu-verify-hsa-metadata - Verify AMDGPU HSA Metadata + -amdgpu-vgpr-index-mode - Use GPR indexing mode instead of movrel for vector indexing + -analyze - Only perform analysis, no optimization + -arm-add-build-attributes - + -arm-implicit-it - Allow conditional instructions outdside of an IT block + =always - Accept in both ISAs, emit implicit ITs in Thumb + =never - Warn in ARM, reject in Thumb + =arm - Accept in ARM, reject in Thumb + =thumb - Warn in ARM, emit implicit ITs in Thumb + -asm-instrumentation - Instrumentation of inline assembly and assembly source files + =none - no instrumentation at all + =address - instrument instructions with memory arguments + -asm-show-inst - Emit internal instruction representation to assembly file + -atomic-counter-update-promoted - Do counter update using atomic fetch add for promoted counters only + Optimizations available: + -X86CondBrFolding - X86CondBrFolding + -aa - Function Alias Analysis Results + -aa-eval - Exhaustive Alias Analysis Precision Evaluator + -aarch64-a57-fp-load-balancing - AArch64 A57 FP Load-Balancing + -aarch64-branch-targets - AArch64 Branch Targets + -aarch64-ccmp - AArch64 CCMP Pass + -aarch64-collect-loh - AArch64 Collect Linker Optimization Hint (LOH) + -aarch64-condopt - AArch64 CondOpt Pass + -aarch64-copyelim - AArch64 redundant copy elimination pass + -aarch64-dead-defs - AArch64 Dead register definitions + -aarch64-expand-pseudo - AArch64 pseudo instruction expansion pass + -aarch64-fix-cortex-a53-835769-pass - AArch64 fix for A53 erratum 835769 + -aarch64-jump-tables - AArch64 compress jump tables pass + -aarch64-ldst-opt - AArch64 load / store optimization pass + -aarch64-local-dynamic-tls-cleanup - AArch64 Local Dynamic TLS Access Clean-up + -aarch64-prelegalizer-combiner - Combine AArch64 machine instrs before legalization + -aarch64-promote-const - AArch64 Promote Constant Pass + -aarch64-simd-scalar - AdvSIMD Scalar Operation Optimization + -aarch64-simdinstr-opt - AArch64 SIMD instructions optimization pass + -aarch64-speculation-hardening - AArch64 speculation hardening pass + -aarch64-stp-suppress - AArch64 Store Pair Suppression + -adce - Aggressive Dead Code Elimination + -add-discriminators - Add DWARF path discriminators + -aggressive-instcombine - Combine pattern based expressions + -alignment-from-assumptions - Alignment from assumptions + -alloca-hoisting - Hoisting alloca instructions in non-entry blocks to the entry block + -always-inline - Inliner for always_inline functions + -amdgpu-aa - AMDGPU Address space based Alias Analysis + -amdgpu-aa-wrapper - AMDGPU Address space based Alias Analysis Wrapper + -amdgpu-always-inline - AMDGPU Inline All Functions + -amdgpu-annotate-kernel-features - Add AMDGPU function attributes + -amdgpu-annotate-uniform - Add AMDGPU uniform metadata + -amdgpu-argument-reg-usage-info - Argument Register Usage Information Storage + -amdgpu-atomic-optimizer - AMDGPU atomic optimizations + -amdgpu-codegenprepare - AMDGPU IR optimizations + -amdgpu-fix-function-bitcasts - Fix function bitcasts for AMDGPU + -amdgpu-inline - AMDGPU Function Integration/Inlining + -amdgpu-isel - AMDGPU DAG->DAG Pattern Instruction Selection + -amdgpu-lower-enqueued-block - Lower OpenCL enqueued blocks + -amdgpu-lower-intrinsics - Lower intrinsics + -amdgpu-lower-kernel-arguments - AMDGPU Lower Kernel Arguments + -amdgpu-lower-kernel-attributes - AMDGPU IR optimizations + -amdgpu-perf-hint - Analysis if a function is memory bound + -amdgpu-promote-alloca - AMDGPU promote alloca to vector or LDS + -amdgpu-rewrite-out-arguments - AMDGPU Rewrite Out Arguments + -amdgpu-simplifylib - Simplify well-known AMD library calls + -amdgpu-unify-divergent-exit-nodes - Unify divergent function exit nodes + -amdgpu-unify-metadata - Unify multiple OpenCL metadata due to linking + -amdgpu-usenative - Replace builtin math calls with that native versions. + -amode-opt - Optimize addressing mode + -argpromotion - Promote 'by reference' arguments to scalars + -arm-codegenprepare - ARM IR optimizations + -arm-cp-islands - ARM constant island placement and branch shortening pass + -arm-execution-domain-fix - ARM Execution Domain Fix + -arm-ldst-opt - ARM load / store optimization pass + -arm-parallel-dsp - Transform loops to use DSP intrinsics + -arm-prera-ldst-opt - ARM pre- register allocation load / store optimization pass + -arm-pseudo - ARM pseudo instruction expansion pass + -asan - AddressSanitizer: detects use-after-free and out-of-bounds bugs. + -asan-module - AddressSanitizer: detects use-after-free and out-of-bounds bugs.ModulePass + -assumption-cache-tracker - Assumption Cache Tracker + -atomic-expand - Expand Atomic instructions + -barrier - A No-Op Barrier Pass + -basicaa - Basic Alias Analysis (stateless AA impl) + -basiccg - CallGraph Construction + -bdce - Bit-Tracking Dead Code Elimination + -block-freq - Block Frequency Analysis + -bool-ret-to-int - Convert i1 constants to i32/i64 if they are returned + -bounds-checking - Run-time bounds checking + -bpf-mi-zext-elim - BPF MachineSSA Peephole Optimization + -branch-prob - Branch Probability Analysis + -break-crit-edges - Break critical edges in CFG + -called-value-propagation - Called Value Propagation + -callsite-splitting - Call-site splitting + -canonicalize-aliases - Canonicalize aliases + -cfl-anders-aa - Inclusion-Based CFL Alias Analysis + -cfl-steens-aa - Unification-Based CFL Alias Analysis + -check-debugify - Check debug info from -debugify + -check-debugify-function - Check debug info from -debugify-function + -chr - Reduce control height in the hot paths + -codegenprepare - Optimize for code generation + -consthoist - Constant Hoisting + -constmerge - Merge Duplicate Global Constants + -constprop - Simple constant propagation + -coro-cleanup - Lower all coroutine related intrinsics + -coro-early - Lower early coroutine intrinsics + -coro-elide - Coroutine frame allocation elision and indirect calls replacement + -coro-split - Split coroutine into a set of functions driving its state machine + -correlated-propagation - Value Propagation + -cost-model - Cost Model Analysis + -cross-dso-cfi - Cross-DSO CFI + -cseinfo - Analysis containing CSE Info + -da - Dependence Analysis + -dce - Dead Code Elimination + -deadargelim - Dead Argument Elimination + -deadarghaX0r - Dead Argument Hacking (BUGPOINT USE ONLY; DO NOT USE) + -debugify - Attach debug info to everything + -debugify-function - Attach debug info to a function + -delinearize - Delinearization + -demanded-bits - Demanded bits analysis + -dfsan - DataFlowSanitizer: dynamic data flow analysis. + -die - Dead Instruction Elimination + -div-rem-pairs - Hoist/decompose integer division and remainder + -divergence - Legacy Divergence Analysis + -domfrontier - Dominance Frontier Construction + -domtree - Dominator Tree Construction + -dot-callgraph - Print call graph to 'dot' file + -dot-cfg - Print CFG of function to 'dot' file + -dot-cfg-only - Print CFG of function to 'dot' file (with no function bodies) + -dot-dom - Print dominance tree of function to 'dot' file + -dot-dom-only - Print dominance tree of function to 'dot' file (with no function bodies) + -dot-postdom - Print postdominance tree of function to 'dot' file + -dot-postdom-only - Print postdominance tree of function to 'dot' file (with no function bodies) + -dot-regions - Print regions of function to 'dot' file + -dot-regions-only - Print regions of function to 'dot' file (with no function bodies) + -dse - Dead Store Elimination + -dwarfehprepare - Prepare DWARF exceptions + -early-cse - Early CSE + -early-cse-memssa - Early CSE w/ MemorySSA + -ee-instrument - Instrument function entry/exit with calls to e.g. mcount() (pre inlining) + -elim-avail-extern - Eliminate Available Externally Globals + -esan - EfficiencySanitizer: finds performance issues. + -expand-reductions - Expand reduction intrinsics + -expandmemcmp - Expand memcmp() to load/stores + -external-aa - External Alias Analysis + -extract-blocks - Extract basic blocks from module + -falkor-hwpf-fix - Falkor HW Prefetch Fix + -falkor-hwpf-fix-late - Falkor HW Prefetch Fix Late Phase + -flattencfg - Flatten the CFG + -float2int - Float to int + -forceattrs - Force set function attributes + -function-import - Summary Based Function Import + -functionattrs - Deduce function attributes + -gcn-dpp-combine - GCN DPP Combine + -generic-to-nvvm - Ensure that the global variables are in the global address space + -global-merge - Merge global variables + -globaldce - Dead Global Elimination + -globalopt - Global Variable Optimizer + -globals-aa - Globals Alias Analysis + -globalsplit - Global splitter + -guard-widening - Widen guards + -gvn - Global Value Numbering + -gvn-hoist - Early GVN Hoisting of Expressions + -gvn-sink - Early GVN sinking of Expressions + -hexagon-bit-simplify - Hexagon bit simplification + -hexagon-cext-opt - Hexagon constant-extender optimization + -hexagon-constp - Hexagon Constant Propagation + -hexagon-early-if - Hexagon early if conversion + -hexagon-gen-mux - Hexagon generate mux instructions + -hexagon-loop-idiom - Recognize Hexagon-specific loop idioms + -hexagon-nvj - Hexagon NewValueJump + -hexagon-packetizer - Hexagon Packetizer + -hexagon-rdf-opt - Hexagon RDF optimizations + -hexagon-split-double - Hexagon Split Double Registers + -hexagon-vextract - Hexagon optimize vextract + -hexagon-vlcr - Hexagon-specific predictive commoning for HVX vectors + -hotcoldsplit - Hot Cold Splitting + -hwasan - HWAddressSanitizer: detect memory bugs using tagged addressing. + -hwloops - Hexagon Hardware Loops + -indirectbr-expand - Expand indirectbr instructions + -indvars - Induction Variable Simplification + -infer-address-spaces - Infer address spaces + -inferattrs - Infer set function attributes + -inline - Function Integration/Inlining + -insert-gcov-profiling - Insert instrumentation for GCOV profiling + -instcombine - Combine redundant instructions + -instcount - Counts the various types of Instructions + -instnamer - Assign names to anonymous instructions + -instrprof - Frontend instrumentation-based coverage lowering. + -instruction-select - Select target instructions out of generic instructions + -instsimplify - Remove redundant instructions + -interleaved-access - Lower interleaved memory accesses to target specific intrinsics + -interleaved-load-combine - Combine interleaved loads into wide loads and shufflevector instructions + -internalize - Internalize Global Symbols + -intervals - Interval Partition Construction + -ipconstprop - Interprocedural constant propagation + -ipsccp - Interprocedural Sparse Conditional Constant Propagation + -irce - Inductive range check elimination + -irtranslator - IRTranslator LLVM IR -> MI + -iv-users - Induction Variable Users + -jump-threading - Jump Threading + -lazy-block-freq - Lazy Block Frequency Analysis + -lazy-branch-prob - Lazy Branch Probability Analysis + -lazy-value-info - Lazy Value Information Analysis + -lcssa - Loop-Closed SSA Form Pass + -lcssa-verification - LCSSA Verifier + -legalizer - Legalize the Machine IR a function's Machine IR + -libcalls-shrinkwrap - Conditionally eliminate dead library calls + -licm - Loop Invariant Code Motion + -lint - Statically lint-checks LLVM IR + -liveintervals - Live Interval Analysis + -load-store-vectorizer - Vectorize load and store instructions + -localizer - Move/duplicate certain instructions close to their use + -loop-accesses - Loop Access Analysis + -loop-data-prefetch - Loop Data Prefetch + -loop-deletion - Delete dead loops + -loop-distribute - Loop Distribution + -loop-extract - Extract loops into new functions + -loop-extract-single - Extract at most one loop into a new function + -loop-guard-widening - Widen guards (within a single loop, as a loop pass) + -loop-idiom - Recognize loop idioms + -loop-instsimplify - Simplify instructions in loops + -loop-interchange - Interchanges loops for cache reuse + -loop-load-elim - Loop Load Elimination + -loop-predication - Loop predication + -loop-reduce - Loop Strength Reduction + -loop-reroll - Reroll loops + -loop-rotate - Rotate Loops + -loop-simplify - Canonicalize natural loops + -loop-simplifycfg - Simplify loop CFG + -loop-sink - Loop Sink + -loop-unroll - Unroll loops + -loop-unroll-and-jam - Unroll and Jam loops + -loop-unswitch - Unswitch loops + -loop-vectorize - Loop Vectorization + -loop-versioning - Loop Versioning + -loop-versioning-licm - Loop Versioning For LICM + -loops - Natural Loop Information + -lower-expect - Lower 'expect' Intrinsics + -lower-guard-intrinsic - Lower the guard intrinsic to normal control flow + -loweratomic - Lower atomic intrinsics to non-atomic form + -lowerinvoke - Lower invoke and unwind, for unwindless code generators + -lowerswitch - Lower SwitchInst's to branches + -lowertypetests - Lower type metadata + -machine-block-freq - Machine Block Frequency Analysis + -machine-branch-prob - Machine Branch Probability Analysis + -machine-domfrontier - Machine Dominance Frontier Construction + -machine-loops - Machine Natural Loop Construction + -machine-trace-metrics - Machine Trace Metrics + -machinedomtree - MachineDominator Tree Construction + -machinepostdomtree - MachinePostDominator Tree Construction + -make-guards-explicit - Lower the guard intrinsic to explicit control flow form + -mem2reg - Promote Memory to Register + -memcpyopt - MemCpy Optimization + -memdep - Memory Dependence Analysis + -memoryssa - Memory SSA + -mergefunc - Merge Functions + -mergeicmps - Merge contiguous icmps into a memcmp + -mergereturn - Unify function exit nodes + -metarenamer - Assign new names to everything + -micromips-reduce-size - MicroMips instruction size reduce pass + -mips-branch-expansion - Expand out of range branch instructions and fix forbidden slot hazards + -mips-delay-slot-filler - Fill delay slot for MIPS + -mips-prelegalizer-combiner - Combine Mips machine instrs before legalization + -mldst-motion - MergedLoadStoreMotion + -module-debuginfo - Decodes module-level debug info + -module-summary-analysis - Module Summary Analysis + -msan - MemorySanitizer: detects uninitialized reads. + -name-anon-globals - Provide a name to nameless globals + -nary-reassociate - Nary reassociation + -newgvn - Global Value Numbering + -nvptx-assign-valid-global-names - Assign valid PTX names to globals + -nvptx-lower-aggr-copies - Lower aggregate copies, and llvm.mem* intrinsics into loops + -nvptx-lower-alloca - Lower Alloca + -nvptx-lower-args - Lower arguments (NVPTX) + -nvptx-proxyreg-erasure - NVPTX ProxyReg Erasure + -nvvm-intr-range - Add !range metadata to NVVM intrinsics. + -nvvm-reflect - Replace occurrences of __nvvm_reflect() calls with 0/1 + -objc-arc - ObjC ARC optimization + -objc-arc-aa - ObjC-ARC-Based Alias Analysis + -objc-arc-apelim - ObjC ARC autorelease pool elimination + -objc-arc-contract - ObjC ARC contraction + -objc-arc-expand - ObjC ARC expansion + -opt-remark-emitter - Optimization Remark Emitter + -pa-eval - Evaluate ProvenanceAnalysis on all pairs + -packets - R600 Packetizer + -partial-inliner - Partial Inliner + -partially-inline-libcalls - Partially inline calls to library functions + -pgo-icall-prom - Use PGO instrumentation profile to promote indirect calls to direct calls. + -pgo-instr-gen - PGO instrumentation. + -pgo-instr-use - Read PGO instrumentation profile. + -pgo-memop-opt - Optimize memory intrinsic using its size value profile + -phi-values - Phi Values Analysis + -place-backedge-safepoints-impl - Place Backedge Safepoints + -place-safepoints - Place Safepoints + -post-inline-ee-instrument - Instrument function entry/exit with calls to e.g. mcount() (post inlining) + -postdomtree - Post-Dominator Tree Construction + -ppc-expand-isel - PowerPC Expand ISEL Generation + -ppc-mi-peepholes - PowerPC MI Peephole Optimization + -ppc-pre-emit-peephole - PowerPC Pre-Emit Peephole + -ppc-tls-dynamic-call - PowerPC TLS Dynamic Call Fixup + -pre-isel-intrinsic-lowering - Pre-ISel Intrinsic Lowering + -print-alias-sets - Alias Set Printer + -print-bb - Print BB to stderr + -print-callgraph - Print a call graph + -print-callgraph-sccs - Print SCCs of the Call Graph + -print-cfg-sccs - Print SCCs of each function CFG + -print-dom-info - Dominator Info Printer + -print-externalfnconstants - Print external fn callsites passed constants + -print-function - Print function to stderr + -print-lazy-value-info - Lazy Value Info Printer Pass + -print-memdeps - Print MemDeps of function + -print-memderefs - Memory Dereferenciblity of pointers in function + -print-memoryssa - Memory SSA Printer + -print-module - Print module to stderr + -print-mustexecute - Instructions which execute on loop entry + -print-predicateinfo - PredicateInfo Printer + -profile-summary-info - Profile summary info + -prune-eh - Remove unused exception handling info + -r600-expand-special-instrs - R600ExpandSpecialInstrs + -r600cf - R600 Control Flow Finalizer + -r600mergeclause - R600 Clause Merge + -reaching-deps-analysis - ReachingDefAnalysis + -reassociate - Reassociate expressions + -reg2mem - Demote all values to stack slots + -regbankselect - Assign register bank of generic virtual registers + -regions - Detect single entry single exit regions + -rewrite-statepoints-for-gc - Make relocations explicit at statepoints + -rewrite-symbols - Rewrite Symbols + -rpo-functionattrs - Deduce function attributes in RPO + -safe-stack - Safe Stack instrumentation pass + -sample-profile - Sample Profile loader + -sancov - SanitizerCoverage: TODO.ModulePass + -scalar-evolution - Scalar Evolution Analysis + -scalarize-masked-mem-intrin - Scalarize unsupported masked memory intrinsics + -scalarizer - Scalarize vector operations + -sccp - Sparse Conditional Constant Propagation + -scev-aa - ScalarEvolution-based Alias Analysis + -scoped-noalias - Scoped NoAlias Alias Analysis + -separate-const-offset-from-gep - Split GEPs to a variadic base and a constant offset for better CSE + -shadow-call-stack - Shadow Call Stack + -si-annotate-control-flow - Annotate SI Control Flow + -si-debugger-insert-nops - SI Debugger Insert Nops + -si-fix-sgpr-copies - SI Fix SGPR copies + -si-fix-vgpr-copies - SI Fix VGPR copies + -si-fix-wwm-liveness - SI fix WWM liveness + -si-fixup-vector-isel - SI Fixup Vector ISel + -si-fold-operands - SI Fold Operands + -si-form-memory-clauses - SI Form memory clauses + -si-i1-copies - SI Lower i1 Copies + -si-insert-skips - SI insert s_cbranch_execz instructions + -si-insert-waitcnts - SI Insert Waitcnts + -si-load-store-opt - SI Load Store Optimizer + -si-lower-control-flow - SI lower control flow + -si-memory-legalizer - SI Memory Legalizer + -si-mode-register - Insert required mode register values + -si-optimize-exec-masking - SI optimize exec mask operations + -si-optimize-exec-masking-pre-ra - SI optimize exec mask operations pre-RA + -si-peephole-sdwa - SI Peephole SDWA + -si-shrink-instructions - SI Shrink Instructions + -si-wqm - SI Whole Quad Mode + -simple-loop-unswitch - Simple unswitch loops + -simplifycfg - Simplify the CFG + -sink - Code sinking + -sjljehprepare - Prepare SjLj exceptions + -slotindexes - Slot index numbering + -slp-vectorizer - SLP Vectorizer + -slsr - Straight line strength reduction + -speculative-execution - Speculatively execute instructions + -sroa - Scalar Replacement Of Aggregates + -stack-safety - Stack Safety Analysis + -stack-safety-local - Stack Safety Local Analysis + -strip - Strip all symbols from a module + -strip-dead-debug-info - Strip debug info for unused symbols + -strip-dead-prototypes - Strip Unused Function Prototypes + -strip-debug-declare - Strip all llvm.dbg.declare intrinsics + -strip-gc-relocates - Strip gc.relocates inserted through RewriteStatepointsForGC + -strip-nondebug - Strip all symbols, except dbg symbols, from a module + -strip-nonlinetable-debuginfo - Strip all debug info except linetables + -structurizecfg - Structurize the CFG + -t2-reduce-size - Thumb2 instruction size reduce pass + -tailcallelim - Tail Call Elimination + -targetlibinfo - Target Library Information + -targetpassconfig - Target Pass Configuration + -tbaa - Type-Based Alias Analysis + -transform-warning - Warn about non-applied transformations + -tsan - ThreadSanitizer: detects data races. + -tti - Target Transform Information + -unreachableblockelim - Remove unreachable blocks from the CFG + -vec-merger - R600 Vector Reg Merger + -verify - Module Verifier + -verify-safepoint-ir - Safepoint IR Verifier + -view-callgraph - View call graph + -view-cfg - View CFG of function + -view-cfg-only - View CFG of function (with no function bodies) + -view-dom - View dominance tree of function + -view-dom-only - View dominance tree of function (with no function bodies) + -view-postdom - View postdominance tree of function + -view-postdom-only - View postdominance tree of function (with no function bodies) + -view-regions - View regions of function + -view-regions-only - View regions of function (with no function bodies) + -wasm-add-missing-prototypes - Add prototypes to prototypes-less functions + -wasm-argument-move - Move ARGUMENT instructions for WebAssembly + -wasm-call-indirect-fixup - Rewrite call_indirect argument orderings + -wasm-cfg-sort - Reorders blocks in topological order + -wasm-cfg-stackify - Insert BLOCK and LOOP markers for WebAssembly scopes + -wasm-eh-restore-stack-pointer - Restore Stack Pointer for Exception Handling + -wasm-exception-info - WebAssembly Exception Information + -wasm-exception-prepare - WebAssembly Late Exception Preparation + -wasm-explicit-locals - Convert registers to WebAssembly locals + -wasm-fix-function-bitcasts - Fix mismatching bitcasts for WebAssembly + -wasm-fix-irreducible-control-flow - Removes irreducible control flow + -wasm-lower-br_unless - Lowers br_unless into inverted br_if + -wasm-lower-em-ehsjlj - WebAssembly Lower Emscripten Exceptions / Setjmp / Longjmp + -wasm-lower-global-dtors - Lower @llvm.global_dtors for WebAssembly + -wasm-mem-intrinsic-results - Optimize memory intrinsic result values for WebAssembly + -wasm-optimize-live-intervals - Optimize LiveIntervals for WebAssembly + -wasm-optimize-returned - Optimize calls with "returned" attributes for WebAssembly + -wasm-peephole - WebAssembly peephole optimizations + -wasm-prepare-for-live-intervals - Fix up code for LiveIntervals + -wasm-reg-coloring - Minimize number of registers used + -wasm-reg-numbering - Assigns WebAssembly register numbers for virtual registers + -wasm-reg-stackify - Reorder instructions to use the WebAssembly value stack + -wasm-replace-phys-regs - Replace physical registers with virtual registers + -wasm-set-p2align-operands - Set the p2align operands for WebAssembly loads and stores + -wasmehprepare - Prepare WebAssembly exceptions + -wholeprogramdevirt - Whole program devirtualization + -winehprepare - Prepare Windows exceptions + -write-bitcode - Write Bitcode + -x86-avoid-SFB - Machine code sinking + -x86-cf-opt - X86 Call Frame Optimization + -x86-cmov-conversion - X86 cmov Conversion + -x86-domain-reassignment - X86 Domain Reassignment Pass + -x86-evex-to-vex-compress - Compressing EVEX instrs to VEX encoding when possible + -x86-execution-domain-fix - X86 Execution Domain Fix + -x86-fixup-LEAs - X86 LEA Fixup + -x86-fixup-bw-insts - X86 Byte/Word Instruction Fixup + -x86-flags-copy-lowering - X86 EFLAGS copy lowering + -x86-slh - X86 speculative load hardener + -x86-winehstate - Insert stores for EH state numbers + -bounds-checking-single-trap - Use one trap block per function + -code-model - Choose code model + =tiny - Tiny code model + =small - Small code model + =kernel - Kernel code model + =medium - Medium code model + =large - Large code model + -codegen-opt-level= - Override optimization level for codegen hooks + -cost-kind - Target cost kind + =throughput - Reciprocal throughput + =latency - Instruction latency + =code-size - Code size + -cvp-dont-process-adds - + -data-layout= - data layout string to use + -data-sections - Emit data into separate sections + -debugger-tune - Tune debug info for a particular debugger + =gdb - gdb + =lldb - lldb + =sce - SCE targets (e.g. PS4) + -debugify-each - Start each pass with debugify and end it with check-debugify + -debugify-export= - Export per-pass debugify statistics to this file + -debugify-quiet - Suppress verbose debugify output + -denormal-fp-math - Select which denormal numbers the code is permitted to require + =ieee - IEEE 754 denormal numbers + =preserve-sign - the sign of a flushed-to-zero number is preserved in the sign of 0 + =positive-zero - denormals are flushed to positive zero + -disable-debug-info-type-map - Don't use a uniquing type map for debug info + -disable-inlining - Do not run the inliner pass + -disable-loop-unrolling - Disable loop unrolling in all relevant passes + -disable-loop-vectorization - Disable the loop vectorization pass + -disable-opt - Do not run any optimization passes + -disable-promote-alloca-to-lds - Disable promote alloca to LDS + -disable-promote-alloca-to-vector - Disable promote alloca to vector + -disable-simplify-libcalls - Disable simplify-libcalls + -disable-slp-vectorization - Disable the slp vectorization pass + -disable-tail-calls - Never emit tail calls + -do-counter-promotion - Do counter register promotion + -dwarf-version= - Dwarf version + -emscripten-cxx-exceptions-whitelist= - The list of function names in which Emscripten-style exception handling is enabled (see emscripten EMSCRIPTEN_CATCHING_WHITELIST options) + -emulated-tls - Use emulated TLS model + -enable-cse-in-irtranslator - Should enable CSE in irtranslator + -enable-cse-in-legalizer - Should enable CSE in Legalizer + -enable-debugify - Start the pipeline with debugify and end it with check-debugify + -enable-emscripten-cxx-exceptions - WebAssembly Emscripten-style exception handling + -enable-emscripten-sjlj - WebAssembly Emscripten-style setjmp/longjmp handling + -enable-gvn-memdep - + -enable-load-pre - + -enable-loop-simplifycfg-term-folding - + -enable-name-compression - Enable name string compression + -enable-no-infs-fp-math - Enable FP math optimizations that assume no +-Infs + -enable-no-nans-fp-math - Enable FP math optimizations that assume no NaNs + -enable-no-signed-zeros-fp-math - Enable FP math optimizations that assume the sign of 0 is insignificant + -enable-no-trapping-fp-math - Enable setting the FP exceptions build attribute not to use exceptions + -enable-unsafe-fp-math - Enable optimizations that may decrease FP precision + -exception-model - exception model + =default - default exception handling model + =dwarf - DWARF-like CFI based exception handling + =sjlj - SjLj exception handling + =arm - ARM EHABI exceptions + =wineh - Windows exception model + =wasm - WebAssembly exception handling + -expensive-combines - Enable expensive instruction combines + -f - Enable binary output on terminals + -fatal-warnings - Treat warnings as errors + -filetype - Choose a file type (not all types are supported by all targets): + =asm - Emit an assembly ('.s') file + =obj - Emit a native object ('.o') file + =null - Emit nothing, for performance testing + -float-abi - Choose float ABI type + =default - Target default float ABI type + =soft - Soft float ABI (implied by -soft-float) + =hard - Hard float ABI (uses FP registers) + -fp-contract - Enable aggressive formation of fused FP ops + =fast - Fuse FP ops whenever profitable + =on - Only fuse 'blessed' FP ops. + =off - Only fuse FP ops when the result won't be affected. + -frame-pointer - Specify frame pointer elimination optimization + =all - Disable frame pointer elimination + =non-leaf - Disable frame pointer elimination for non-leaf frame + =none - Enable frame pointer elimination + -function-sections - Emit functions into separate sections + -funit-at-a-time - Enable IPO. This corresponds to gcc's -funit-at-a-time + -gpsize= - Global Pointer Addressing Size. The default size is 8. + -hash-based-counter-split - Rename counter variable of a comdat function based on cfg hash + -import-all-index - Import all external functions in index. + -incremental-linker-compatible - When used with filetype=obj, emit an object file which can be used with an incremental linker + -instcombine-code-sinking - Enable code sinking + -instcombine-guard-widening-window= - How wide an instruction window to bypass looking for another guard + -instcombine-max-num-phis= - Maximum number phis to handle in intptr/ptrint folding + -instcombine-maxarray-size= - Maximum array size considered when doing a combine + -instrprof-atomic-counter-update-all - Make all profile counter updates atomic (for testing only) + -internalize-public-api-file= - A file containing list of symbol names to preserve + -internalize-public-api-list= - A list of symbol names to preserve + -iterative-counter-promotion - Allow counter promotion across the whole loop nest. + -load= - Load the specified plugin + -load-pass-plugin= - Load passes from plugin library + -march= - Architecture to generate code for (see --version) + -mattr= - Target specific attributes (-mattr=help for details) + -max-counter-promotions= - Max number of allowed counter promotions + -max-counter-promotions-per-loop= - Max number counter promotions per loop to avoid increasing register pressure too much + -mc-relax-all - When used with filetype=obj, relax all fixups in the emitted object file + -mcpu= - Target a specific cpu type (-mcpu=help for details) + -meabi - Set EABI type (default depends on triple): + =default - Triple default EABI version + =4 - EABI version 4 + =5 - EABI version 5 + =gnu - EABI GNU + -memop-size-large= - Set large value thresthold in memory intrinsic size profiling. Value of 0 disables the large value profiling. + -memop-size-range= - Set the range of size in memory intrinsic calls to be profiled precisely, in a format of : + -merror-missing-parenthesis - Error for missing parenthesis around predicate registers + -merror-noncontigious-register - Error for register names that aren't contigious + -mhvx - Enable Hexagon Vector eXtensions + =v60 - Build for HVX v60 + =v62 - Build for HVX v62 + =v65 - Build for HVX v65 + =v66 - Build for HVX v66 + = - + -mips-compact-branches - MIPS Specific: Compact branch policy. + =never - Do not use compact branches if possible. + =optimal - Use compact branches where appropiate (default). + =always - Always use compact branches if possible. + -mips16-constant-islands - Enable mips16 constant islands. + -mips16-hard-float - Enable mips16 hard float. + -mno-compound - Disable looking for compound instructions for Hexagon + -mno-fixup - Disable fixing up resolved relocations for Hexagon + -mno-ldc1-sdc1 - Expand double precision loads and stores to their single precision counterparts + -mno-pairing - Disable looking for duplex instructions for Hexagon + -module-hash - Emit module hash + -module-summary - Emit module summary index + -mtriple= - Override target triple for module + -mwarn-missing-parenthesis - Warn for missing parenthesis around predicate registers + -mwarn-noncontigious-register - Warn for register names that arent contigious + -mwarn-sign-mismatch - Warn for mismatching a signed and unsigned value + -no-deprecated-warn - Suppress all deprecated warnings + -no-discriminators - Disable generation of discriminator information. + -no-warn - Suppress all warnings + -nozero-initialized-in-bss - Don't place zero-initialized symbols into bss section + -nvptx-sched4reg - NVPTX Specific: schedule for register pressue + -o= - Override output filename + -p - Print module after each transformation + -pass-remarks-output= - YAML output filename for pass remarks + -pie-copy-relocations - PIE Copy Relocations + -print-breakpoints-for-testing - Print select breakpoints location for testing + -r600-ir-structurize - Use StructurizeCFG IR pass + -rdf-dump - + -rdf-limit= - + -relax-elf-relocations - Emit GOTPCRELX/REX_GOTPCRELX instead of GOTPCREL on x86-64 ELF + -relocation-model - Choose relocation model + =static - Non-relocatable code + =pic - Fully relocatable, position independent code + =dynamic-no-pic - Relocatable external references, non-relocatable code + =ropi - Code and read-only data relocatable, accessed PC-relative + =rwpi - Read-write data relocatable, accessed relative to static base + =ropi-rwpi - Combination of ropi and rwpi + -safepoint-ir-verifier-print-only - + -sample-profile-check-record-coverage= - Emit a warning if less than N% of records in the input profile are matched to the IR. + -sample-profile-check-sample-coverage= - Emit a warning if less than N% of samples in the input profile are matched to the IR. + -sample-profile-max-propagate-iterations= - Maximum number of iterations to go through when propagating sample block/edge weights through the CFG. + -speculative-counter-promotion-max-exiting= - The max number of exiting blocks of a loop to allow speculative counter promotion + -speculative-counter-promotion-to-loop - When the option is false, if the target block is in a loop, the promotion will be disallowed unless the promoted counter update can be further/iteratively promoted into an acyclic region. + -stack-alignment= - Override default stack alignment + -stack-size-section - Emit a section containing stack size metadata + -stack-symbol-ordering - Order local stack symbols. + -stackrealign - Force align the stack to the minimum alignment + -std-link-opts - Include the standard link time optimizations + -strip-debug - Strip debugger symbol info from translation unit + -strip-named-metadata - Strip module-level named metadata + -summary-file= - The summary file to use for function importing. + -tailcallopt - Turn fastcc calls into tail calls by (potentially) changing ABI. + -thin-link-bitcode-file= - A file in which to write minimized bitcode for the thin link only + -thinlto-bc - Write output as ThinLTO-ready bitcode + -thinlto-split-lto-unit - Enable splitting of a ThinLTO LTOUnit + -thread-model - Choose threading model + =posix - POSIX thread model + =single - Single thread model + -unique-section-names - Give unique names to every section + -use-ctors - Use .ctors instead of .init_array. + -verify-each - Verify after each transform + -verify-region-info - Verify region info (time consuming) + -vp-counters-per-site= - The average number of profile counters allocated per value profiling site. + -vp-static-alloc - Do static counter allocation for value profiler + +Generic Options: + + -help - Display available options (-help-hidden for more) + -help-list - Display list of available options (-help-list-hidden for more) + -version - Display the version of this program diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/test1.c" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/test1.c" new file mode 100644 index 0000000..4b14ea6 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/test1.c" @@ -0,0 +1,26 @@ +/* code optim test 1 */ +void xx(void) { + int x; + x = 1; + return; +} +int main(void) { + int a; + int b; + int c; + int d; + b = 2; + b = 1; + a = b + 1; + c = b + 3; + a = c + b; + if(a) { + return a; + } + else { + return b; + } + return 0; + return 1; + return 0; +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/test2.c" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/test2.c" new file mode 100644 index 0000000..65be721 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab3-2/working/test2.c" @@ -0,0 +1,11 @@ +int main(void) { + int a; + int b; + int c; + a = 1 + (2 * 500 + 600); + b = 1 + (2 * 500 + 600); + 1 + 2; + 1 + 2; + 1 + 2; + return 0; +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/report.md" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/report.md" new file mode 100644 index 0000000..8956cd4 --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/report.md" @@ -0,0 +1,206 @@ +# lab4实验报告 + +PB17000002 古宜民(队长) + +PB15081586 苏文治 + +PB16001837 朱凡 + +## 实验要求 + +1. RISC-V 机器代码的生成和运行 + + - 重新编译LLVM使得LLVM支持RISC-V + - 生成RISC-V源码 + - 使用模拟器运行RISC-V源码 + +2. LLVM源码阅读与理解 + + - 阅读RegAllocFast.cpp,了解文件执行流程,并关注几个重点函数和变量的作用 + - 与龙书的寄存器分配算法比较,分析不同点 + +## 报告内容 + +#### 1. RISC-V 机器代码的生成和运行 + +- LLVM 8.0.1适配RISC-V + + 如图,根据文档重新编译使得LLVM 8.0.1适配RISC-V: + + ![1_1_1](src/1_1_1.png) + ... + ![1_1_2](src/1_1_2.png) + ... + ![1_1_3](src/1_1_3.png) + +- lab3-0 GCD样例 LLVM IR 生成 RISC-V源码的过程 + + 预先完成riscv-gnu-toolchain的编译安装,感谢issues#259中提供的源代码压缩包;之后如图根据文档先使用clang和llc对GCD样例程序生成汇编,接着使用riscv版的gcc对其生成执行程序,这一步之前需将riscv-gnu-toolchain的安装路径/bin加入PATH中: + + ![1_2](src/1_2.png) + +- 安装 Spike模拟器并运行上述生成的RISC-V源码 + + 预先由github得到riscv-pk和riscv-isa-sim(spike模拟器)的源码,并编译安装在和riscv-gnu-toolchain同一路径下,并且除上一步中已加入的路径,还需将riscv-gnu-toolchain的安装路径/riscv64-unknown-elf/bin即pk可执行文件所在路径加入PATH中或直接在命令中指定;接着根据文档调试上一步得到的可执行文件如图,成功执行: + + ![1_3](src/1_3.png) + +#### 2. LLVM源码阅读与理解 + +- RegAllocFast.cpp 中的几个问题 + + * *RegAllocFast* 函数的执行流程? + + 答: + + *RegAllocFast* 是对 Machine IR 执行的,Machine IR 比 IR 更底层,可能同时存在虚拟寄存器与物理寄存器。 + + 虚拟寄存器是代表物理寄存器的符号,但不一定和物理寄存器一一对应,如平时写的.ll文件中,%0 %1之类的即为虚拟寄存器。物理寄存器是与机器相关的实际存在的寄存器。*RegAllocFast*主要任务是,把还存在的虚拟寄存器与物理寄存器对应起来,完成寄存器的分配。 + + 整个流程为: + + - createFastRegisterAllocator 创建 RegAllocFast 实例 + + - 对每个Machine Function执行runOnMachineFunction,runOnMachineFunction流程为: + + - 初始化虚拟寄存器与物理寄存器对应的的map + + - 对每个Machine BasicBlock执行allocateBasicBlock,allocateBasicBlock流程为: + + - 把live-in寄存器标记为regReserved。live-in寄存器是指在执行这条指令之前,这个寄存器是活的。被标记为regReserved后,该寄存器将被保留不会被分配。 + + - 对每条指令,执行allocateInstruction(内有四次扫描,执行流程见下一题的回答) + + - spill当下还与虚拟寄存器对应的物理寄存器,从而把物理寄存器腾出来,为下一个BasicBlock的使用做准备。 + + - 从BasicBlock中移除可以合并的copy指令 + + - 已经将所有虚拟寄存器替换为物理寄存器了,从RegInfo记录信息中移除所有虚拟寄存器 + + * *allocateInstruction* 函数有几次扫描过程以及每一次扫描的功能? + + 答: + + 本题回答以助教README.MD文档中的[*RegAllocFast.cpp*](https://github.com/llvm-mirror/llvm/blob/master/lib/CodeGen/RegAllocFast.cpp)文件为例,共有四次扫描。 + + - 第一次扫描:标记各个变量和对内联汇编与其他特殊情况做预处理 + + - 扫描该指令每个操作数,标记每个变量:*hasTiedOps*, *hasPartialRedefs, hasEarlyClobbers*, *hasPhysDefs*,并且找到虚拟寄存器在该指令出现的最后位置,记录在 *VirtOpEnd* 中。 + + - 对内联汇编、early clobbers、tied指令做特殊处理(调用handleThroughOperands) + + - 第二次扫描:为虚拟寄存器的使用(use)分配物理寄存器 + + - 扫描该指令的操作数,本次扫描不扫描全部操作数,只扫描到*VirtOpEnd*就停止,即虚拟寄存器出现的最后位置。如果遇到的操作数是对虚拟寄存器的使用(use),则为该虚拟寄存器分配物理寄存器(调用setPhysReg),并替换掉指令中出现的该虚拟寄存器。 + + - 如果扫描过程中发现了undef操作数,特殊处理:调用allocVirtRegUndef + + - 如果有early clobbers,寻找物理寄存器的defs和tied uses,调用markRegUsedInInstr + + - 如果是call指令,对所有虚拟寄存器执行spill操作 + + - 第三次扫描:把物理寄存器的defs情况标记成已使用,从而为虚拟寄存器的defs情况的分配做准备 + + - 扫描全部操作数,对物理寄存器,如果这个操作数(MachineOperand)是dead(指在后续指令中不会被用到),标记为regFree(存储的值不用了,后续可以被分配给虚拟寄存器),如果不是dead,标记为regReserved(存储的值还要继续使用,不能被分配) + + - 第四次扫描:为虚拟寄存器的defs情况分配物理寄存器 + + - 扫描所有操作数,为是def情况的虚拟寄存器调用setPhysReg,分配物理寄存器 + + - 清理剩余的虚拟寄存器信息 + + * *calcSpillCost* 函数的执行流程? + + 答:*calcSpillCost*作用于Physical Register上,用于计算如果这个物理寄存器被换出到内存需要付出的代价。 + + - 首先判断寄存器是否已经被使用(UsedInInstr),如果被使用则不可spill,返回impossible; + + 如果没有,函数分为两种情况,即这个物理寄存器是否处于disabled状态。 + + - 如果没有被disabled,即处于活跃状态,则直接分析该物理寄存器的状态: + + - 可用(regFree)则代价为0; + + - 被保留不可用(regReserved)返回不可能; + + - 被分给了虚拟寄存器则再判断其是否被修改过需要写回内存(Dirty),赋予其代价spillDirty(100)或spillClean(50)。 + + 因为如果VirtReg不Dirty,spill带来的代价为再次使用时Load一次访存;而Dirty需要进行写回内存操作,代价为Store一次访存,用时Load再一次访存,其代价为不Dirty的二倍,所以spillDirty为spillClean的二倍。 + + - 如果被disabled,说明该寄存器被其他变量占用(可能因为alias被分割并分配给了很多其他变量),而所有这些变量都要被spill。此时需要遍历所有的alias,对每个alias作类似第一种情况的分析。 + - alias为可用,则代价稍微增加1(++Cost),这保证即使是free的,alias也是越少越好; + - alias被保留不可用,则整个寄存器也不可用,返回Impossible; + - alias被分给虚拟寄存器,则同上判断是否Dirty,带来一个50或100较大的Cost。 + + * *hasTiedOps*,*hasPartialRedefs,hasEarlyClobbers* 变量的作用? + + 答:**各个变量标记内容的含义,以及需要的特殊处理:** + + - ***hasTiedOps***:一个操作数为Tied意为这个操作数受到限制,必须与另一个操作数对应于同一个寄存器。通常是指某变量的def和use在同一个寄存器上。如果一条指令中有操作数包含了这种限制,则hasTiedOps为真。 + + - 如果遇到了这种限制,则给先遇到的操作数的虚拟寄存器分配物理寄存器之后,后遇到的操作数也必须继续使用这个物理寄存器,这就是Tied条件。这是通过优先对含Tie的操作数进行物理寄存器分配,然后标记寄存器为在用(UsedInInstr)(源代码中体现为allocateInstruction中Second Scan后call spill前的那一段),则下次使用的时候因为中间不可能被spill,就能保证和最初分配时在同一个寄存器。 + + - ***hasPartialRedefs***:Partial Redefination指某个寄存器的一部分(subregister, Ref: [llvm-slides](https://llvm.org/devmtg/2016-11/Slides/Braun-DealingWithRegisterHierarchies.pdf))被修改(define),所以叫”部分被重定义“。是一个read-modify-write的过程,判断条件为是def、有subregister、进行了读操作(readsVirtualRegister)。(Ref: [llvm](https://llvm.org/doxygen/MachineInstr_8cpp_source.html#l00972)) + + - 如果出现这种情况,要提前给虚拟寄存器分配物理寄存器;并且和上述Tied相同,对于这种复杂的情况不能允许中途物理寄存器被spill或是被占用,所以要将其markRegUsedInInstr进行“保护”(源码中handleThroughOperands的最后),不允许spill。 + + - ***hasEarlyClobbers***:earlyclobber的操作数表示这个操作数在指令执行结束前就被(根据输入操作数)写覆盖了,即def在use之前(Ref: [llvm-slides](http://llvm.org/devmtg/2017-10/slides/Braun-Welcome%20to%20the%20Back%20End.pdf)),这与一般汇编指令操作数的顺序是相反的。根据[llvm](https://llvm.org/doxygen/MachineOperand_8h_source.html#l00143),这是用于处理[GCC内联汇编中对寄存器的限制](https://gcc.gnu.org/onlinedocs/gcc/Modifiers.html#Modifiers)。这一操作数也不能被存储在这条指令会读取的寄存器中。 + + - 由于earlyclobber的顺序异常,正常先处理use再处理def的顺序就不能正确处理earlyclobber。需要先(handleThroughOperand中)进行def的处理(defineVirtReg, setPhysReg),而后回归正常流程时use将会被处理。 + + - 在调用了handleThroughOperand之后,复用了hasEarlyClobbers,作为下面需要额外处理(将当前使用的是def或者是Tied的物理寄存器标记为在用,因为其中有物理寄存器因为具有上小组成员 姓名 学号面几种性质;而当没有特殊性质的寄存器时并不需要这样)的标记。 + + **设置这些变量的原因:** 这三个变量的作用就是标记这几种性质特殊的操作数是否出现(如上,hasEarlyClobbers也被用作标记其他特殊性质),如果出现,就遍历操作数(最初的遍历只是为了判断是否出现,后面还是需要重新遍历找到具体的操作数位置),找出具体出现这种性质的操作数,进行相应处理。小组成员 姓名 学号 + + 其实如果不使用这些变量,代码中所有用到这些变量的地方全部认为True,程序也是可以正常工作的。但是考虑到特殊情况出现的概率应该不会很高,首先遍历一遍操作数记录下特殊情况是否出现,后面就可以简单地使用`if (hasEarlyClobbers)`的形式只针对有特殊情况的时候才进行专门处理,能够提升效率,减少很多不必要的遍历(有时候也能减少一些寄存器被标记成Used,进而可用寄存器更加宽裕,能提高生成代码的效率)。 + +- 书上所讲的算法与LLVM源码中的实现之间的不同点 + + 整体流程上,书上的`x=y+z`代码生成过程和RegAllocFast中的过程类似,都是先生成use,后生成def,并进行根据情况选择寄存器或spill。 + + 但二者之间的不同点还是很多的: + + - 书上算法只考虑了一般的指令翻译,而LLVM源码中考虑了各种特殊情况,如earlyclobber,内联汇编,操作数Tied限制等等。 + - 对于寄存器,书上算法假设所有寄存器相同且独立,而LLVM源码中考虑了广泛存在的寄存器别名问题和subregister/superregister问题。比如x86_64汇编中可见在一起使用的%eax、%rax等。 + - 在选择寄存器时,书上有一步“If v is x the variable being computed by ...”,也就是可以选择恰好是待被覆盖的寄存器。而LLVM源码中并未做这一种情况的判断,因为LLVM中流程是先分析use后分析def,如果突然需要在分析use的寄存器时对def进行分析,会使情况变得非常复杂。 + +## 组内讨论内容 + +1. RISC-V 机器代码的生成和运行 + + 在虚拟机中重新编译LLVM8.0.1极其消耗磁盘空间,多次扩充虚拟硬盘容量还是提示空间不足;riscv-gnu-toolchain下载速度慢。 + + 解决方式: + + 1. 可以使用[issue #257](http://210.45.114.30/gbxu/notice_board/issues/257)中提供的镜像。 + 2. 可以不在虚拟硬盘中编译,而是改为在主机的共享文件夹中编译 + +2. LLVM源码阅读与理解(下列各个问题的讨论结果已经在回答助教提出的问题的过程中写明) + + - 虚拟寄存器和物理寄存器的区别 + + - 程序中多次出现isDef、isUse这些函数,寄存器的use def两个状态的区别。相应的,还有与之关联的:isUndef isDead isKill + + - live-in寄存器与live-out寄存器 + + - 寄存器的三个状态 regDisabled regFree regReserved 的区别以及用途 + + - *hasTiedOps*,*hasPartialRedefs,hasEarlyClobbers* 三个变量,分别对应了tied, Partial Redefination, early clobber几种特殊情况,这几种特殊情况是什么含义,以及需要怎么处理 + +## 实验总结 + +本次实验的主要任务为代码生成和阅读代码,需要阅读的代码相对上个实验更长,难度较大。 + +在代码生成实验中,主要的任务是编译代码。中途遇到了一些问题,主要问题有LLVM8.0.1的重新编译,最后通过扩充虚拟硬盘容量,给虚拟机分配更多的内存,增加swap空间等方式解决;一开始不熟悉spike模拟器的调试方式。 + +我们在阅读代码中也遇到了诸多问题,比如说注释很多但是不能直接有助于理解;我们只看了单个文件,“没头没尾“,难以抓住流程,开始只看了一个文件没搞清楚代码的分析结果放在了什么地方;一些名词反复出现但是难以找到定义,网上和文档都没有说明;以及代码规模较大,难以驾驭。 + +在查找资料过程中,我们发现虽然文档上没有直接表明某函数或变量的作用,但是如果在源代码中寻找,在类定义或函数定义以及较为底层的函数的注释里通常能够发现说明,比如我们从readsVirtualRegister调用的readsWritesVirtualRegister中发现了很多关于partial define的内容,帮助很大。另外,我们在搜索过程中找到了两个LLVM Developer Meeting的slides,里面有很多描述、例子和图片,对我们的理解帮助很大。最后我们搞清楚了代码整体的流程,完成了题目。 + +也不得不说,对于代码中的很多细节我们还没能完全理解,如果要进一步理解甚至修改代码,很可能需要专业人士的帮助了。 + +总之,虽然这次实验难度较大,我们在完成实验的过程中也有很大收获。 + +## 实验反馈 + +对本次实验的建议(可选 不会评分) diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_1_1.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_1_1.png" new file mode 100644 index 0000000000000000000000000000000000000000..197cc9355bf34cafdf6ba50a56fca50698544f23 GIT binary patch literal 37581 zcmaI7WmMcv(!?9D@7cZowJcU4py22X_eWkl^m_5*U22VQ@Crd*9E# zXFu%rIe)4C(sio4q^o`vsSK3HKqW?f_wF5rtc--}yLSltfB(af-~WBj1|k0Z`}xO3 zRa)#_?G)+pUjp7zR8jQZyZU&vXJdrFG>W5)j?24unEn4g{|q~noBf@nbd}V06?Zl_ zb_F@ue^Lk8nZM)s%+ANp&cV;Y{^|2)er^u_&!78GdJf;cOX8N55LNdyJl{m&{Rp9W zcbU8DcE&G`EPMQ;)3ri9JXqSyLR82m@r!{e~G zGcBRHv3%j}$?MRop>cjf0K(o0@!0l4%KYr>sd-EA;V(=79ryFf7rp;OynDB!6fA@B zzsaw9S8whxT!64{@sqY(=alwbL&F*B#acjq@$1*<)P_E4?>~|0m<1E8XyFcda#a}f zy9hd9O=?<>Ha4Br0f%6z1rhk*5LF)~mE$Vbf`oLh*R@v03(fc9cpt%b%SUVmcaN&o zHl8$729t9!5`gRAMJX%Ua{9CfaydooYuEo_hHNZBbPWt9jcnlrNEd{%yFK>e$`$|Ymnx9d zvfjMmYax8+agI-|OWGgX(qfy%$>v)7w*K$odiTx_*{*5xGy_nl(LH*m<=dKpy`|nkSFO#giQ(<0=WB!!kbNLV^sSd(B2!mYP&P zeuC+6fJ=_^x=_4VD%^jZ*;a7qw?{Cdzpw<;Z?ua)_+gR{V~z73C4Y)x{0a7eC;*uW{| zDo}Q7`Qj|QYMv;Ir$P5wZlVepH(6!ckVk5+ zXE9HO+5JU7Yxl2W#kW|3%rbstZMAe&cl9#Fxers_NlQAa`jgz&Z%S&)si3&{e2d;l zM%h>vpm#3b(cOysW=`IRW^OUh7|Es1@|{J4lMCR$3_l(B$>23GCsiiA{q6zd!=*5- z*V`w`sUhYZ2`S+`w(X}b@IY{-QPsm0wD8`P)`;nho*l>3mJ@J=q&Q)t>IS-!7YWkY7GgaXl z`T6edfx2zw(oamN;m0vLT_1Bo&)fU$9int^vgTW`E3g!o>C;NTV3T{(QkQa_jQm0L ziXUNiMrTv(X_k$J=0|+lqUF*t#;#yTN>VeKQz99AX?rf*OyfQ1K||9nn6ejOsZEBj zB;6hw7oo@k!nIfFI6CV){XCGUpa74ye-Gh3YD7&n+CO;3`jWRS`yN^#Ta$p;SEE@_`Q*p_uL0BOjv;$JvWLz!+uw2pXS5~Z24`!*q0|E6S4Q;nn0~SIH-|Ze zQIF?y@%O@+lGdpla~ewW>4qv9GcP;@4zUXkb6d-*0rjP372Axwz>iULcpBOiev%u0 z2{K~3L&;oGdxm(o#KM!xlMmj+Lw@^oR&+%#>EOz=ur=o14CN_<(LRM6mo9t*y6SL0 ztkK=}^bYKL%&ZgCLWcMnU*VkvcSa#Zr94~r!Ea`*Z}>VA%Y0&LmwOpL_+RQqBATYU z5FQ&CroegB?Dd8B%u~bv1cWqnFx)TCtRva+dY~@J!#&khE~q2k?8geIkibBbxm%~V z_4jKi#njS7*^G$|Ik`gDjvp8cHw+rXpTW|Y9wtmOVtc4Bu0yqaT;5|pauL`4L|#V5 z@y)muMH{OM?~%4~w;-8)Nn{S!M_k719e24UCd%elm_FE{&I zwdc5wpW+OdaQOOPn4ZuA+UidqeeR?M=H~cie~ew(bV=L~RGcX{9@~%RT z6Qgj~n6IM%Q)5Usd7`qR-F9O-w&59xoj*gosF*q!bKM>gXEJE0XXIm^ki}l*L?vMb zXX#2IQkfe>U2*H85-4;2T3N99DsWNnft|MFcB|@WLT;cfS1;ZBTDZywUl;tQba~B- z6^$!;CeN*7aT}IARh7n1E<@CDC5u^hEEB)xY(s%+W|G>wkRN9ZHxYt z1TpX7O3wps^MXJPH?xomBP`l)>T$!cpy<6^Ww%K*W)6?e!|8s}X`^QE2EUV3diGL9 ztkJ|EQgxRxd&>)08m)zQIiHkx9KFM3&muQ2@!REf$nfx#3ouzi*w&SKL@EF}H(R#! zh<%ojMtz^7@a;v5d*fSPk6BiDcQ9R|64X0u>ZEknO9jhzm4aSyKH*{K@Fg|)Fl!>$ z(W_M&>dB=Jn(C`})^Ium?waS=tq?*W`JIksj}}st;dpmbbfxxNt~}rLJna;^LUj}? z<*tRj*Ya-;FjJRB?)Ul@YDk6*jII)XfnJS3vVJ>NT)ezJ&V4_O;Xcl^QQ%<7@zfN| z6$`#;IaLqQNK{{44|n!`GqPXj&BQS3GoRN)YH+W}7zBd9kybiDUK zli->NeIH#zMa%eDOW?H{_dg?-^+;2K@edZ#1AgyzS2rwq$^z z%d;yt4l5$kF#PH6y&UzUx%4zwxUmtT9wzj|ToQ76X64BtQd$=R&pWD*00Rtq4(qe^I&2PE z?YwHP_|kwwY1h)l1OZ^j9@#C;fD>efV*dbM@`8C?Eey(*hnot6E-#FhVg)kFz%Hap zA`>Kw2e^hn)!Vge1&c0G1QKSyEuEABki&IrD^-gUDN^ZKo60 z<2Uo~x7^x|jeE>t^Y4EPyGsfXGp`=(-F;>W6T-ZaZ&MmLe{oUQsXGz5Dhn5!8Iy*;^V6(TCJG+wWm4s{JPilP;klk;1rEt;lc_q zTa>h+^XN-bvJ)|UVu>mNfL-jNbYZXhtTIdfZLGSlcwwN5=d7Gx z&hIZoo+^lrQtJNbalN4Cl8cgx*`^v`tyc_n*`YF#W$1g`xWa- zE^`tRv=K{s@7|44Xi2NPt$cH9`Xl*``6(K|erR3n_RqS{g+*j6hpF3eNXJx5Mu{q^ z=kIGGh@PIFE*(jovmz+h_giV(wpSR&Ru53R<8>Iv3BE45H{}!Po}p^gI$Bdn-Pq6Y zj8|tp(X`?@ZJn_QcV)(X>>5x!)^t>y6-SEJ*i>HKjQKT*klikf2i=?GweKRDKA>HwkcSM>Ir2-0k$5l2snNvH!6-Xh&Nxt0;R zNA+#97pPp)YmFpEBkm7*VHUp#EB|6vE0VJjB_GZQ&a6DWz)Bq%^cD2(2`oY+qDk=m0u!~lXEnjm>ZpFs&5X!Um@CQ&RO7Q3jSy{|S^F^$)C)ii; z$LVk*kuNzC;f%CVpO7`W4uj^<%Qs|5w9=6}En`7Oq$Kw%S1q0E(INVj-YVowoYS?51;Coqdx&%{lDm z$zy5SeDUUF&@sMsl0KzDg#haeO^HI?lFh ztsnGNZumoJbHct4!4#~}#t!apqpj21uhd+gk*gA#mN=>T?PC(%fam+r9a?{IMP<3! z!9Sr2M@)!f$)_8#8r_K{rqUpC9@+f^ngd>dToovqrEU@a?pN7yL6jPEw-W1Y`qZ_1 zkN15{{~(g+tQUa3`>u6dWcyYZa~#wEF-~@#xRhngKwQjBDt-o=G5Qw89LtDu$y2YE z`SQ6v9AWIu&al}ATqx@Kj8YY@xZV6oIoDRApK(~)<^b+)b$sv9r=6in)17W5(eC?< z+cg31XAEc~u?9JJdpfqz+CF0ZbhPHL3BTl|_-ITW!PanI4~^5pTha}sEOk=iEOoH7 zy&w-4SDNS&;au-j6XtuX;C$X1Cw6eSk@3|_&~F&vPX4(u-Nb5hrsK5bf>tCeQ)l$p z)j7Ss@zDiBAxe!Hd(NbuN_v$=GLcBw`mK^b&&1=}>5HV8p{SHA+fwv6<5JncE|Y>T+}}B_N89qJ(^oZ z=a&^qs;=)3$FdrSYEtZAQAtl>swa>Jtqq3Fm3hkRJl1z8-U>Bt@{%^3v!58`bnyfG5uG^VZWpDqrz6DhhX@8a+LW) ztljAl%|`~yu*)q)X0Lf3sEBcdN5<7efWfBd3#+(*rfF%S#cvTkoT>Oyjf-P74h7_j zufvGuaLl`uWGjTfj@xi23SEM{0aU}sO_oFCx(yc1i;|}|>6qm8`c0m@wA)!HGl*G+ zOyB%3{qq84F_umZ)HwEnZ;?<_rMt>sS7Vg-X-bb)izqkO9M`ATzl^7$lclY4W%gRBx3KQ{lpUpS9Os;^l%j}2dI_=x`Jl&unv*PGj zhQeG*T()l)*^9~0q>v{h<`&*uAKjGabO4C~#3WBc}Ty|ZEC4zf-Z#);=5e|QqyXJ8>?$bH#ycEBjqTiOi zg{Oe2G-KKM&PS&Yv)5MESZ(E`PbzW;e7qF`I$2_U<5hc@>Rm9)CM#4u{u_B-pFfy@ zFgflv&M-o6;$U3HK1uV{#H=NCd^d}xiv>|{HE`Qv=I2B=GIdCoZ?LroLR18A^LWG* zT8*@>Hio|Ctd9bZ?4&=JT&|Nqd62DxhGymE+{y-4FKvh7Gj_}b1hT?|M+qPsDA8@+ zyZS!D*vwo>q5D@jKmKq12Z)G`XT; zB_%YKSQ2E#BEXiJ>E6c{Q)Ze=bK*JEq&&Gzvme(lQZ_T#-d?ganj$yWC>WcLEEmJz z$zT%B)6J?rEP&7~$6csL*Yvq`i%kqao@XwfTe`sU3WXX^l^#mk%g#cib~S9zuhvI# zp~w58{d!)a2U){VL&KoPB|or;03x{0+e;-h2vyyQ_p;3zvG!hoO4_te*qE)hyzCCI zZAg@>%0;1)X>?+8YCHb+Pp-YxT8_RL&1uy$tU_3Wi!YU)e$MDGzSaIYQ>92&4o{k2oRf2*kgw~{dS$oshpsrs=P4*mPI=; zYo@IvH43>2e4|004sbuyZCGfNjOIs63LyPgf)U_S3St~y~UXZHI z`@02ev23ah9~^Dz6cj6}uX`-b+hJ zL}Jo`Zt{6qdNXimUH|JxrDonHOWGJ_Ittu)tTwCP2A+zD*iakwTo`KA3p+={isEzQ z9moJ_Cq57-;#Rh(v5E@$LQjx?A6nU@Ud!aR>(NgoLl|gXXlhE;;ozPa#|n(HAC8)i zD2R%EH1>-^XlwC_O3k)>*2=y-tlHjc4VMM|(pOtR$ASBO?(auyDhuuvagD5s+{}<& ze7SQW2>|y$TcvSEM)N+OT&6;F0@ch`f^B3Tv}M^(-yFAWz9uyOaOvzq&K!FzI$Rlm zDFZ9>%#iS0t=|K}%kR??M_wB7b^g}3%qc%;YCp3^FBD{l6n~a1&1SYNB(z9_3>Q-* z5=(RhJjGSFM6f4Op`FB!fmnh_-$1VCB!g?vDWfAAOnrE9nH=_N8?RwJ z?o&MhK%0(=tM{fa^*1f2*%KX|9+Rjr1wa8yLzXuWs^Ld6a&fKz5&=DH@Uab#E$7k& zixN$;qm-l*5o1Wg2z00rC7$0|RI8lP9kLe8O5|oLRxXwfOrqDBnqVD`>8wOl1J;ts z0r-E#ZxrN@Hpz0w#^L0dIY6KFpwUwG!tsME%f7(!rot{#>A^uhrFT{Sox+qTPQ;O- zWywblFM=Yh8`U2VuLR>qbpxsPJ}jDMh9Dk;#pEoor$i}q)WrwBn8Oi56>2rQe0d0$-Zj>YC)aU$ z9jI@(O~<^MU<+BakrQkrejg+kUuR;X;e4}l+>;Ls` zF_(>ct*$?X1^uSgil7X2&zpjRwIWfEBQJMLU$P8se)M`>`cHqAOtM>=ZCens%U|X#qS{mrbmmeyxTng&l3*2$ z3DLtOM~kn~7rfQQ_P}Eg`Kxh+e>E<3(US;#BNik?+%Y-W)?EBh9!i(2=O;@^OLz{x#6?kNim2Om%JG6;35 z+#0amj7*&!yHeUEkg-%6_A?{G(GZzZasJthYWXw}*sV6i z`>7Gd&C6%Chf6hfwwMw3tj|?Tjoik!qd-ve@-aoaA&Yt3yRCxG94Hk8<- z^Mtxrvv}DKQ6=&=HUzmy%mvVPDmYMg7 zcQUjk-`MZqF$yPX6b8H461H4SD?uWA@hAT>CgbQ9H;Q}Qy8L?7R|^#u`b=wMDs|hO zOFO=_Xtd;T_v!JoNSa)L%V4b^=wxyH*Q`5{;;WGa(7=G)ytK@+9yKo$$_^)AZS`HaKm%YEK+@6?)_g^Z0UwK(@1D1NSy}{(M%+}T%&CLXL zo_^+EstRkHda_5UH7vT3C(BtX)0VAYeLl_HUd~ZJVa#)v*{+;ia%e3&mNlDBB&*px zIa^-aqbb(8eclqjeG9oG#}rZEPunNzURxF)HQ~tE%+@}TEv)Iqu7%DY%C+D2zZ&XjgbX}i zSBS8yyikkAcyYf0Rr1W&L^ z9cbd0g?f?ew|}b`=E+PPUqUy*`u2;7Y0N1^p=1fzBhhygWYlY!AaHv&_eW8r_g(us z?|h!sD;k8ZhP>RkdGll4x|3@pPIB33qvey~XGM&MBR`?yi;V5Vikdl3NpgX<@5ssK z9gbQkQt%~m4R0mVe=mE+==_m76PT6A-?zy#&gRXhNhd~rS87j6gfXvPdMUlnM*y%c zfOsu;B+04Xm~14YZvxRQHGiJ61Elfoc)R2i#3RPs=adu6m*cJ~sbQ^PkFf212>{VH zT2S4vZjEn`lm84#I9t1$Fe^4nrZDlDK*Lewb`md^oP9*ap?!>%-*z7pRQY75d5++6*a;xcTQD$$V z1Bclb{sHGr4y{V;kxNQtSOx5=6BD;wv;gj}(Z3EgUcW@U5v=ysz9q)VSWhpd_p9a{ zvNj4I?CtNKf=}GHkT0AVWh{^|3tE zl*RcHP8=tvI|tE}3n~nas|=FEMos%_gF_=^He51Zd+o{K3!4<$jhx0m`ZB3&d$>!d z9XA;ZKQ(q}c4sSG)%7K1lQd-OpCMknbE1;%=`lArkM5^>~1x7|I zKM4Y2CpIF=da&{YVO~VZm(BV=#L?o{t{y9-U8$mK&>=cAdIlt9Xg`OB}qW35*$s7?JT!O@+`9~|_&4?jv93OyHpbvhZU zfjfOGT@B*biSjdiL5?x#p3Dcy|kQ^1U`$jtou)eeGNHb`(| zQDLP0-1AM{W)E;@^Bnzej)rumBcSP`R3vLOm&+%5f7Jmu;CUk)j0E3Pl5D=9zT);_ zd{lvHFl3<uEObdY|y@L6_bvwrKAqJ@PJ-MFOup zQRDMC@4OExi7L`G?#1VrLf)9U4j=kybGn2z^1>xWrk;CW}znnzW}7DgqYY!X)p z-fgGj`}gp~Y4WWvIA-6aF=mC&JL|JPOg&>~4j0Y;dQO>&Gyl}rpw?;)!MdUn}>0{uv*uU{I&4Afk-9|5$H@%OoN|QdwX%Fyb;Kj zI3x_pFya_r0sR;OZp@fIH%-lJ;wb)E)-qac{Y?2WHWV)HrL2^vL`@_Wkti}MdQpTP zz(@n6H6GkX3%K~n{r>61gYze{M!t*nDRK!dE4i(<|6}$gkB%gi6V;OAs9GE)TJ<5I zN4-Rd-|FWCgQo9-({K@*fK$8{&V?IWcCl5{LqgvBH&2zSuLDRd0zZ9zUh)_XS3!8w zEiL92t0+Uox?9()=c{hu#UvzDv&huM2DFW+91*)KMOlpVJAV=?MufN%^K}Uvt9=h| z{hL8rI|$U9AyH6}E_<7H>YHoTNkR)5u_8SW(xPzeb!8P3?wr!>x##i zrW-vXCRs)#s1O1xEf#-H5-)rRWy}VHt6xd5dmb{YnAiOGp|0(+dRQ^Ln1XbMReVMs z$|V;k7-1hTH{k;R7AA^m0-mu$QmMVRU1g0E4m1{cDFo~akDHS!3Ae*nKh|7@sSU?! z*2FkITxrAi#I|Zg#A&xk7zw`p_5$-%$0G@D?{Cy-SI^HW4)q?bzfNn!eR{D@Voz zJf*DjW0diZY(jD87R^>S;x?vDB)nIq4*CbjifX-zaUS1aHMYS1^=W+nJfr?whhW_z zs5jc#?)oTxdKk21FyHau%Vo-!FTy?>Cm!Zng~3aCE_G^!%HCUM>6DjchzI2@M?U5? zZnvLgmNfAL1CPX5qrLGL2PQto?yNH$HvUtRehkme1!4wFwpbEFGZ8jss@=Sr}Q5g z0dbtUh{a4e8cI>bt!L$}=ad{jm0s=hxMgAZSB-Ehn>p+d>i){xKA13y zW|3^lMX;F!_J=8Ye_VsnDq&xgF@MYA0#V6gpDKluNkcWFYn=#neV=)qWD;zC_{u_F zuL1xJVQS=B=Cbxxy`PCnNQCHC1*BA3e&nh-yK6g>!Nw+1qIfzR8#QvPl{@Bz&p#_+ z5#Z{UY$PHtr>@@h)?}aarkj8HLp)yT$O7R>s??vkT%w#~Gn{aOP?ROE&6Yxxc`fpQ zpW-Ls?7?M#1;Jh!_21I~WGgRJrV((}RA@42fpG_(ddZGpK-iK?r+ZHeUEd^~-HB)= zk||#I(<>1#A$33{Bk7wS-kX${sp&>6k(apSF)C&cUW`VguCs>WwhS#%StEHzmG-zz z?*JF#?sE`3Or(WW?A)d)z$HMT%WwL3(Jgn0yh(1_+0DZkizoB^q%-vUIA&?IkS{bC zj_SiT;oh~ic$1G`Q|6b+hfdxn(IcA0UWAulCrq49cV>a*6XiI>Vh8`=FhE;=HEc^9 zBp`xpU}atC2enn9e!f=iDrFG6qEJgMAriMeMMXGK4L1u19JU%Y%B!f4z0uReM9i~< z5=~Dli*FU`s^#ZvRhUcj%UT3)_>M=e^kp5X2>BhPf73Txe63ZceuW&zN!?QM`7608 z?y&1-^a~i=Ro;GjtST+l9RD@FZ25STxxCNk`a(|#6V%^*KT~FEThY>O{kK3>~H3q)#x-FWpt=} zmFF(Uuijw`$EHIKyHmIVEr}fuDg+b+Ok~q-U)tyKKX0|?UcF8K{&rQmi?!TKE$rWR zoTjm?q!FjIPm_oBzIb;KqqQy52I;b6i|0Fb8_;=sSC1kq`YUl?+o|>6x~q2D)2^HN z8CfYC%$t7=rXyiOu2u?jTq1brJ9+!P7}SV8yLRgA5u4^u6&GXVKG{NN<~&^;p`7TUS~3uvfshK#wBp%JIYYy z2thiXXjiZD<+HF(@HC=%0YQ%1q5VvLK~#6I_lu~_M5a2AYB0e(Ra#1l1A)deZFD4+ zHj&{~WM=-a5r5expntFSnUTz?DI@hd5gtCRF`nllPxU<<6-&QK!gOj`gFO9xW!1U<<;hJ>Iuu&rXf1R)BN+t&2WL zM9RR9V z4h}m?6n1i6dL9Locap8R*!`mUI^$2{m(-zBIU#q0ILO%(;UN6kBSO0Yb1FemA4 zjHwB{^7|$|HXU0`hoE%>3niY-kk@@xpy0P9rNhK@PgU%kFFvcbpnXKz>qkG8;s$yi z7WNYag9s?CM-lA~f}u~^)csH2$pKL*G^x=UnS(*e?VAsI!xDa0f*9IU(WW;_ov!D*|t{h(h zCHY@zY-Z@f7>S%@q4O<t#?|TSQX`I zt4KL}D)VLHc7t1y-Yc?k&rp3e8A-Tmq?Jcbu9S0bP|IIiU)A?jzY(gm;}ZJPWB%I% zPAX-(v56+SLzY0~61|$UBim>nZ%4taWY^{m;YNB^E>GXh{LNYmV;bgoynRD#>HYF(@yYccy^Tr9PZ-7` zxnL!o$=5E&ZN9huDw_4f7&1OkTES<{EEvOG`>?plvh1tn?+Hf%hy)3b@4fau3I|s~ z<(|?p3QzndzdK9$afLK|AXr$y_I~?G$VQQtXgXVgbz08X|MoI8S@;;f_vcGhKJWV$ zW|MyrhcUWctR^)(+Z`Wo-qk!IS#2@{f*<_3OcA!K9gG;5TBk^%+b8uVGLnYwQLuw~ zX}0?X#L1(IDHkxl{WkBk*)_m`c>gIpWBkyPSsyn5wV5l{p{(r~L!yZ;{#@qK1VXg9 z;4358tF*M!_|Hwv4R^j|co}kde)rN(B5nc>|NG0cBeo4KJJO(X@?>&u1cnZc=RerF z;7^#p#Ey$V^-7Y&gCd>X!e{yrkXOwC59uDyhipH-UxxE`L3z;eeBto_!QVfdm-n;X z8)+-M+2jN&%JgenlEAbit+hwb0hJIrwU1PI!VK|F)z4WWS{i$1L=C)nOcMZpXYkuU zg*{dSr3~sHnQl0-+ViiOoDcsl;}Ik?*$b3WEeUol;-swK)xK&yBb`!gwp;6U!rw7^ z?{>}hK2+nJ=MS72_x+On$0FtSLSp&PD~&SU8$elm(O+Ent7h;vdZj+M)#eV} z-aJsKhnszRXytZiU9(g4!sFoyz|CwXNeM*&R8ozct1BBb2BjN^)|Lnluf$)yv$djw z8Vq;R7kMTIO!S~_`wuSe@sPg%t{D>HF-um!I(RAy&&$cg|LIvvn4wVP`TxH5_O+Ij z_5bY1yLYd$U4WqfpWN~IKRZQcO99*w8Ktk)cG;zOU~YNb`&P=mtd9BRy3aG8T>f`w z#k)7lHT7adI}s;nT=4jiE8br1JH>*#RsVH}{0htXZW0DLp7cfiwT83)>eC4gze(~C zMYP`)(2PpCH+RPK_XN*9G8k(vvGSBW?la#aC;7NFLpoO>l+}W+Qz!!9kcj2&eYoVVy3JJ{B_*AV zr$eKlYJQWBw60=XHe3TJVjv+i&)M9u3w{e2v$LDwu}JCG0g%{>rQ{ZRQ zjh(eMf7!JL-$40)a)xd!GV}7)@~$`+F2sLMj3{seT1Ny6ceVnFlA@@As%;2QKZV*A~fTuA0NIlTppL46~C z6FeE(!hgzkyeiSgq`#1a z@8uX;0gp#5A!8!Z^?lY;bh+Rtj!W9);`2CwdtyQQ3r}#6QHNe9^-TL|+a*K=NO1Ny z3A-vNL;q5gm__^Hfh4lRRYR>f(JS_PCts%N#cUp@A%-^^K|WnKub$V*cfUmu7vBi8 zs-n5~fJ@I8zjupG2@X)uec@a_-%n*6M*HBMV}V9;8ESvDed|Zwa+H7e(~ijf#C6|REeWK zKk^(Z?}NC2dG&82*WdBH_&TE2F>0-+57Be=jT{vN7G-El4N2m(yYDdtTS%}r>&5s z1H}*1tlea7?!N7@^%aA9L2vn`0r!DV3W;=wE|#5UoH7xAekl6$;8zZ>V`jUY8*onj z^B;lDv^4$3xk>RCaPTP|3?ZOJEE5#;vufxdgki9`DQr#_Fdi*yp{T={|Ec~5nXnY+-0G)Udi1)uJAZP2Z^)Cx#+Hb5^A{eK)z{MD zy0)%pl<9b^2shy_ajnoXzyBvR6uk94P$pi-?a!_d>`#Q&1HcaS64^>w!-`+z>~I)H`hXVn@2ogSc8l9S6XTsh^R7 zj*w={?o_rMHK3-muMgUCx~@g(HOe09@P4~3m-lo1O?&~uBCN7_V{UVCAtKJ~|Vl3Z@Ty3}##8xBi!DHD1Lj5fT}K`dCuQYGhO*4@BsAAsdy ztaAp z-X)${nY?*)>Ed}{?Lq*+ZPq>gvM(?!Q}Vdc(Eeu+W-w?rzgnh=h&lj-*C6IDiT^Bg z@*7%wh$a8^Kx(b6LvTgNM&W61<=1dr>*l5*^&iyP=<7;||JOf5XLsGr9tYoMC3=zK z@@8oaXwMouyOH2BOX}HuS z4#K)^X%2zQ#LdO*xK~K%BMF6jo0~_EHxxrx8+f#8+R|BL3*#UD;z3OOo{yoh`E}>9 zdA|cCK=Jm*+i`2^sw)~YKF~BFCKgVeAfvu`RQ`6r&Do(T>ABszo2_0^D^%>a8T2Pz zM%{a-Z8RBi)EDih+i5>7Sj$!iT)z$!SW&E4{W~>|vc9>*lYe013EI#Adapz`CqoF_ zjjxob>x4*Qayz$mktqnhsQo84TB+awbZ_6~y9qC*VLBAR3;sp>?Kii{7f%)uG-0=- zufS{Z;x@c8ZSQ>jLblw4b6@BsQFt^~P~nY)vbTyN>t!HgeAb411o^pB(dbaL-(5r7 ztD*f^W$GpgR#r2)y4PA(Gw~5gS@JU9z6Y}D%dil*G%?2`YrUJ`UQ9yel=~|xLtI=` z|5~h}*HLMxEX8c!&j>EW_VHhNj&L9Uv;S}3Tl`?S&9JraKI0wIWT!k3P1-6Z2{Nnm z8mXNh$uny6rp!%kpebQw)Tm`1oU|jSQMWnq&IbtHW(D@-( zBJp3`&cNdVI3L1L2+F@|Z<7F+z^b_|RT*`dl)X05SXjWlwkw^V0GU)2oxKE;kO#EJ32^vq9bvLM8kYtxY?HyLsEAIA zW#4;(sTXgbqisxaJ&2iwnY8tTt2(aIcv&ty+OCGi+n+$($4$pp;95+jYH1_~lAo(} z6FcdfFVqX`d$X~V4X@GYt?hnboDv)KHRF@ba3n6jrMMLW)d;n)^Ses}b;;)oy4_iP zkXEU0HvT6~-w3PE>&mw+>ela$d+MU&`~2b#v!oI?g~ojkwiH1#G09P9r^OID_!u_?A}o$(Z;9 zz_iJw$at{7W>`Ej7HETvgNG-2ITj_y%ytM`Xf3Qxe~pZ(9Fs$*Q;`fX)50a#@eO#j7-UMS0(U-@{-1Bu=5WOHuzJZGSzW|i^308&`n8D-+8@{ZtAI{F_ z5x1k4QP<9-cm!qKop92cY7nX4(S`k{#QE3wsgMm>RC8*YV&|s;+ZAwe3TezniTv=; z#qg)3P;Co+6V~Kqa`;75zEzX*uF5F*F&Q9mpWVZ;@k1nm|M)OAWft#MMYKP=KVDey zn%85CS^;Gp+7^634ElJyiwKttGG!uyKdth9mI_^fB#@(T+J18ns$ut-R~Gm>yNs2U zjebTi^X(tDeJ(?k`VL8@V~*LmKHt_r)tB!dsCLtWrDf|ArTD5hAl$pK(kc)E{O*JYIC zf^0E{j~pnL9GUOAStP7OBIDqxjw_yg0_CFSv;q_e8p`huvqv{}isHv@X*hK3nv0bB zyr^j27Z5YU#w|%T&SrXm=olL6wn{@pMm@f7La_w@k<29%&I3kk%`azRvyb1(3$0XU z8VRr zJRLV?0J@~>k~HXn`kY@>k=s7KVE_(MtjtP;^9xwq)uepWCx6 zoB{i56N@lGzj&1YMciA5Rk^Kg-zo~yNQi)>gp^2kBOTJ+4bm}bP`ahNyE`Y{jdXX{ zq?t7DTzjco*R$4spX2!U_kDjdxnaUR#+c*2&g*xc138{9;TxyKS!@Dxa#*FJxMG0> zT=WAcvJhMD+eub}{LDfgLT$$-f5}g35-vTt(hO8r%35d!UE$1sCLLA$ihiitIv(Fr@cQ*_(n&)Qrtx8lqrlN>-yECJGphhI35|JgefIeJa3=%IgM@1AAZB$1lKVno8LT8@tX}e6Ez^SVA*iGUDLj@scd#-gWerd=nxFO9 ztGtx|MqSnm8rQmROCJcXJdcY>W;%@wyfrIKeCp&CUV1^Ea-*?DHH25?dVD!AYUk9@ zek_)Ee$g`U-0dWUcWmZj8q^}v&tGiL9EwnYIi}_I-1XuG+SJ0XquY`Qd_OxNk;=!K z4FDNDfn#w!<=PFdErHCf=sn~wG^)w7%{TL9)bw(DwzH~~VGb)c%{@BS;3CCh4eo|L zuTDbDnmGkTx&TecnA$+bRQzAvE5F%W;5#x&bB!juteIko0&zE_?#gv4l>$lB7J5X# z$ll|eo#8}t+{JQ0@Jt9|0u77W^g^iG5d}eR?D8XWs{XqpHgISgRJ1c^b9M|#!Hu7YrV3{Kl(&S&Xb2rW!)Q#S!VH>mCi9G`p?6WbK5)? z52OuDKEajnAm+0Ps7Ck@=*5k&F-oaoilaHdJ?93DzELz;O~bi21SfOZ6MnOjwlFwh zrJO=vgmU?Fgde$wHdD&*x4~fe1zU5hqs~8aPGNBxapo8=FzoQuLx;@dF zx*bW_pGVzZ5R{-xU^nY~G?N(f4vd=r`~ovB#Z?h!FwVJ+^R1$&n0vsC%NQ#(l-y2= z&Gy8C!L4eGw5MZqOk2NmP*Sy}47W;rO=rMWMRBu*j_8cwd+r7Y`AoJ?ZSGy|@^Ec5 zhw5y{4sx{MM(hE-IYeg~Z#UBtPCNgw5a=Bnd*wUStZr2^7HjJA+BKsLk}ft*@;c4_ z0wMECK}pmO`mjrIi|#c&IPq*adYn#;!=j6WUt%|Bx;s?Eb=jT;GQLWi3yr6eT>iSY z$sD@TamPJ)1BF4*Se?vdSF4RMTo}+aN3#{SU9iI}a$`oV<&6mnpJLe+8mU(5+~w{b z<505(D&$8991Z!7^Mrb+3R< zrQ)kvKV6)epG|M!F@I@hs7Kzsfw^eax0_{rGh)?vKWXab7yyynXR7s1P()88_9oUY zp2417lA`91e~1g(GBiADfJGK_b(uR*m$s5TbKEL5kT{(i$15EUjTxNL*+|J?vEFq@ zV+dmgsbUsa`cZ8(eb0rt1QM?KA`ge$x4Ax>eOf;Ia_Z*ak_puJ8Stp(;ql|{e<#U2 z^3a4PV*K$Y$m8u`I5#w#W2oZx z20nSzO7M3&qkGgJ7tTqkHLfj})2e&dQnr+QIHVwdUyok`nh z6k=aTV_41|EW0!!?TZrv7~v&DD3PiY-=1eU2EJ0+%JhMxh7=_bd;?cpAYl7mcTM)B3moWJzS z(prkp!Zc9FgJB*X{$IC?XYS~xDPc{M-vYmqwK25nb@S#8R(nCX`JA=JY$Dg$+o;xs z{VDzqn34t*C!4K+G}Ji4(2}}lzrKjqw1zWk5(-iXGzbm0#H9k}w|!Nv)422(o7O%z ziWivomVl{quuF?gT#})hanIO#QN0<`X&udqHl&h#y;N&9=G7U~ZnAr4!8-UdrLzRwKjtB9 zR04dxTWPk#vqH>0HKwSBM;dl5l|rAk7Ai)lti8%xq0vlXKQQ2+XK3Nt1X?{?R(}=} zW9UBAU5oSLg907LVeOKzzhT_T7mASP{ZGKTQhtr?j0CRUbvM%LDVhVUkL(?P-ls=L zFhAGYdBWF;>e|Jc?Q7eM)TCraUASqMJ!-}$_hYmB3Pb8svnV?wH+=g!HBxn_DRIGI zOmiV+xh7A6`z_qOtcE)Et{OYL1aFV)|67Z$+mg?TgZ(!Op4Kt^gcqzW-tYhr8!MVzd zx{Jz&d6z`aY|?M0Nzjd=URaHeClHJOeviLj+IcrTTQX%g)p-Fbo^F@{H|tvFPAV~QVGUP}NT37o*=st(gUViorO6C2X2ZT4`zlfdH z93TH*5j)A@|9=xZ1@-?MVkg#{?_%fee@pBPB=}wIeDkMx;_<+|UX08B(w%&z>009B z&I-NZPG19{;#4eeu5-C?N|pe_#Siv561=NoK8x1)c;2a@1 z&dE`CGWZMX>6~etBy-#%?cfAWaE}CAZr?b+svUazYlYd)lVmsV#VExk?8ehC^H$?3YsnbFpLkNKax+5N6NVKr?=CCVx;aoM=92?5pW9M2FYdDsyRmYaY?!P>*?J6uFK7D=TUSqSn)>e8Gm=gcJ1&Yub5$dUkkhFkY!z2(!5R_n+`U&tofG4<6;Cg7<}JQ6TPe)s2L&WRD4H(5wX*ZG%XqMmjYXpWk#D zYg2OvX73S)C9eDOSW!xHVr!X>W)Q8c@Z%4s=Ej~`V8~tdP2X@Cdv!fe!_C2+rIR7N z-lYJ>3PKg+4zzThLa(_g02h&zSQQJ}z^(lpLFwkDjxsrsLXya1v3iUPh_XP;CTlB% zhZl1zpHlCsFF{qpyh0OcePdwFxUB0Tx4EvII=d3@+tfZmUr>?RgVw1^U=JNe66?dc zq0hXXW0=_XWu$q;1r;{&N!!S+@roaYGm?}U7$pD6z*)T_Y?nlO2rQ#w#qj;XC>3k= zVnJ$r(G&_qy@zL4xYVE;S15^Nms*w6ZZdl+UtvFg+q07phOwF4XquB^sLycv@ySDS z$Zzs&xrML2>ORV+ViHLmVh!bVT?|=BqD$Z*bOT{|cFsZ~sm$8zns}w=+uKvl4-5zN zT$nM!0{h8To8qD*rq3u!oLf8XKYv=^gzcv>3>$yR-nAcI$;ebCY*f?HyJd?x1zSH! z<1IJ`lf>lKC~Foh)hoqHUdT4MGt_E~`XZ6@cKhL^vCe-BTA=R>)3LeNL~U$F;}-iA zYj%r6Hm^=l<6$0a-H{19Iz|BZvBbI*7RjusM9bX<_AwdEp!I5p z6U*~?<_7X`HqA|q3q~I>>LX;8KA4!XiJWyj(|=0qeWH`M$U)T+3AiX z=)hrXkQl0*-6@Tg%KV|_+F*(ec+6zfcx+@y9XDAi6lsx3t3orbl?Hetl3UZ6fs>$r zhlyowbMubpE6lLc0JBG7ytovZWQ@_npe}YyJgtPQzqwtFwHwbL$>8#p2eF4ox_f#U~wG zTv)M|fZ*gr9Ku}w;7wbiEQk81qxz8;7}H|TGMD|AaB^l|FVi9kT47#Z?iAA6vTdt zJB`d>iuh!_7rU8&Y$p!iCN>feeljlPP%Z%N7_bP>Yv zL%c7coRx@uCbazas0b>FY5WYyvzw*@WgTjvF%&t7n=lLyLql34Bx7~8GMibD*ax)0 zRqSfMekvC}c`|q!iETav@Fe{@F%FbIO5Z+;?XsYQIDrA-d{`o|dGlPfI2WwsBrtCP zJELV~umXPQPolA6V*A&tV0f$elq+9Rl;jhArVEc4bC@q0DHK@sUOJ%_@FSIUImyrr z()36#|~bS*6^O^vke2p`M1&D#<_pZ# zIR)t2&tG}L2KuEw&uidIt^7W_-Mp`t_9*WLF@P6Yd5SbpLJthFf86WfUcHCg;PQGD zs#iD9-dxX0M(FSD((Exi>%9bK3y@_t$Gu#uac;t42>wkO-eVYprwtG+F}J($qcFbsDin7( z#x>b|m({mqPzEBpnYuL((6&R^7@ydXrh3B(G?zj!9Nl5@C+e_CL<}ioPt~*b+&A$q zCkPQDDx<-tgG95GG+}e#y&n(o*L%OsLPR{?v5nHi+KXAj3E7W{1!SD7n_fq+G63Sv z$uaSfHMtdQZrp;!nMU_3P;wzEWpkq5CJ9%wQRCRhVXY${b`iRIq2XdI-hEOU1B0G1 zaO_bkc?Imkm_TTq;~JKTbEj?9Y^K)L(y3*pXMj!;RJv#%96D+=xKTLOFYxlKbcT`A zNkt1O>G6xGgUmD{Y3?_%z2-0JcfE0$V{hErV^bEWm8kyDoTiGMj_RJ4lon z^DhtuOmY=4;Z9xk(jU>AfA6Qc1vit+@aI1V*T+c6FwD9LOQrcQ8py_qD3FL;5s_1?+~sWh>5H9pp5iA0+}d|NR_IDw#qEqfkZzUAghRgVj zP#Hj$j|7~yC%skLf{07D&bz|3maJ_o<&-mUN~EC^i^=oH)f-#U0#(zfp07pqoJ>Iy zz21szROtH2W%OE93Fs`9+r$xDAr=(}W4wY{N?Z?|g0wbYCHAIPz{x8`_R-(=jQl1X z&l~i8`NhA1os_s^H~^4T)ZBzWUodVo$z*ug3~)W?x_K{Eks^4&i*=~mehL}AuC4&a zhoo7XdpQZXH>|`esY2V**GLC6{##8h=bsf51KBLqd0N_g43RAo#&O?zVrKeWjU%)B z7(}mSmX9B`kd375ijQ@eA`{Cfd-ad0g+r=p!3hkwuvev)Nk~;hApdUPNow&wKU{d| zQQ+TIVr;yKW>3_4wcG#BxuY(*ykcJ8)as~y?cgmAKP>2_i*}rObitwi^K_Wm9OD!JM)?%Y(2~uUurCcb%Cux{}8(Z7l%pHvbGe6 zU$-pJ^O3govCO|9dJw)h3>DbPse8}_xKT|_ricW|O|azLpfRuQ9NF7%pt>C2=+QB5 zv@uu2y(nC2)(!1%6q{oZF$TZW>_;KS|LFa=aV}$Ed>UQjGq#+aUo|NrZx3CD9YX>m zt?mC-S8ncK!pI|Bc~&BYB{}Etjk=!4E%kUn*??5HDr#E<%e$?^P1aD?&9T(z&)l_nQhp>?6 z87r{xp7q;W5!36sm&)^2l_RLSNy(A(B^wiOm{l^Up0CCU-#RbT-o-gfUK@&;V7*Ib z)=f?$J(t~I6Dr}dWKS%L5~ex@Ejp5=bkMR%CDZmp1Sezz1wqfC)jyhbi%=&N}6F*Q+h!8n!@tdRy@f43knCWVBC7|<+ zEmT%R&V_S#Niz-4SFfv1*DaUE1IbW2BTurySuG}KCuXO8n^2rqP3#4j(>th}<#M(( zY{B$3r@Nx5+Y@xM0rhgT-gUyr^@PcS?gMz#?PCdIxx7F+tl{CIWk)o;Kk)xKVESs} z_UVxJVEkrH9;a3cK21VJXCJqa)%y4YsS%OIe9b#G;}^<(t~h_L|0A-WTG+Gu7kX9H z#o&L-UohVvKpuH8NwsL+eg8;@yx2km751C7408Jp07AZLM5!hIZ;hHq_3`hQ{-#2I zNC+4w(22jUs5XnAO=(kq?4gBXC9$xxr})a~YaQj!_iq-3T$p-5R=j9sITKsVH;=JA zmHrFg`W%3PS=ZgC*lVSKSP--ACxPuw<R!H zLEU01Iols8nEgp z!KhWot*oLFB1hqSRw~Nn>bce{C*0{cK9I@F#Mg?iKe0;h3dIWlE3vzSS2Om!27);j z0;F3DfaAeWt(p9s${NrR*1wNqrOy&(UTd?@BA1bk6TT^{+aQ?UN0DTqdZVF|)pc8% z!t)Q@H&dTOB;ze;0w!%`3}Ir|@XO^g;v(~)B0_|?yVYUg-N9TdK6yO!m~)_wtT3c| zN&vAs$aYzGoB1J*(SPL|u@9iAT6=Sk`d>v~!T&q-wfM<*^mTKZ_WQ7pUj~Q8H{`-p z$Gi@ycnNg=%=*edc~g7X(Q#)Ns~Le5G6VQ*mm7NfH1Rc+4o_b0Fhk;I+3Gxm#nm_} za=tmwJ!FS2eX82J?4_QY40)YZ;=Dp#v*g!T@OBwervY)c?G1fR1ca~=FmDpiv815?3V_{(#nG^sM2*v;ZZB0$*%j^>>`LH{z!>z0WVLsm6=2oL?pig@ddtz zl74l~?p@Jrb0soAOvzAD#moKVKDMT)w%F;l)eY0ZhQF9rAY*fbK~&T@FmoX7^y#uj zI3=~hbh5Yt2?6dNdu2zzc)0N~R2W{xZcn>2sP{$5iEMha(2Wo4!BTF99J@FqIW}!Y z_NAWp3;KaVDK(dswJ7WtZK5J>xBXx)m>~V>o5ZwOAdn0td^?VmD4MA{ia* z+Boev$Q?G%S-Zb0DYzkyH@Tn2Fk}LEaMt*>7hAV$y zV3M=m=aXECYWpp+N&BW3d4zkeAp9j(L5hj3=s^<9=0gh7gdwgfIut#Y!0jHZH~LLY z4k+rK15Woxh?D&8wl%X{)bwBpQLcAvddKs4tMl^bsRw#`F9eN)N(}95$enOIE6)%} zbnrOt)IJOM2%||nrC=WIn%HOsDeKN+c0+U7HynU2hzJ$^G$MVi7KQ$w5UG~g3%prX z2wi*NumL0&J-~T(#)EU(eU~q;`9f035G>Ny(!_5S-JbxeGa$PVGY|vA(>^`{)4SGl z({4Mo`}pmx^W}!5HAl>)7=Pn9Z!aVfg0S5D5$T71Qv=(gfTJe&cIG@8b!Xw5`m7e3 zu-vm2s|Yg0@T-@b&TC!NFeTIFL%KCd_N56j6_}gOF=!C<)q<pR9;;p8l;Z_Q zj*Vn3d>c^2+rmw>fX>DkpP|iq$nF8kK8X>pXIceCv?A9K53x*+6hBCwS+^i{=(M44 zso}XEu%RfAjhRcLD}E#wfU2 z=i9q%aoySN1C7e^fq%!e)x1|W-r$|Xw>p?)10V$L^f9A$eaq31! z$tBWE`kEL}&*CJ%)pvQ8&%_sKB%14a*4_K^`bx;AK0--!xt}4ko0v0d_94X8wP{f= zdx!Rrit&x<&CTKMfz%KP5*5HiF(LUfO_6rHObW6YRD828KPw>ntVy|pfJ#A4%}b1! zdpq5EO{X-w5X=+|uKxfNnlkzMY-VgRWQ@e1)mr1VRZyBN%m7}A+98UAh%{`-N62A3 z0_m6=G2uN7*f)nMa{5jnyP%vgw^@FhDpBQ16uZ)U2W6S+oVDQH_lWR~m9<}P$i(PT zmBZE#qkLfP_7*ejV<%F&{-%z3Jd6fvsX$~N{QU@-0oGi}7^ zxq_UM8uNfB1wtZw_jsiyu>Ju0m*~5PYVbDb`WV>5VePJd;AEp7sqZv*!2HVWy~751sLr6iZ}Ig3zOpNP^j9yI;EvQWk+T}N zWc*Hj0UeIyEm~ztO98bng2c={Cc6u79i(j?#21bQxKmG(*hSKUGQGxUStVzf^@y|9 zwbtLMvoYCoLx;8N#98^*iEB8c$Q49>fKNNgr$BxChLyGGIOvlGr1rJAdPO9FhG_{= zOMU-?TADoUh$>FMyI#^GB6vAdq}tGwFR^sW@QwTWn%5@cGww70>1F+m4Hk-bgbFp= zJZOcAHChFvOfL>eX94prf8w@3Ey2TlHIW=p01*iXmrA2xz1_5 zg@D0k;-oiQ7U&zcEVLK!zb0ZWpNl75_h7HtqW9>qRfoEwYFfT@->W;xwOk6T=a$0n zqY^%{p-ZT0+ojICDU?stoO%H(0_)Y0tFuhpg%g;^hU-%ZxDE(=g#Zov0yE=9=*MN2 zhq+7@oUT5u!l;lrIQ`_iB27MK8Y6gHW zSPQDDIJdXKaSPV>xs$^p4Gg_*?Y4vDIMr+o1M-aX#s{xX*T-j@R-Yob-p1ZP=8t3q zJ}~UrzB&5hK@S+i$QfXaE~#Mjp%r=VEkncPE%R0nbx4oS-96&F+wL~dt$*29;miMw z93l~@hlES~U3Ac^(50dNaE@gC_r174d*X`vr0{+Gbu9VBgpb=BBp) z;?p&WjoUF67clWqNa^avE|sKjM9DBZ+f^{EeUzU$!;h!mBz2?oa1le{p3qqzxUYE% zB=?E3X0@|(MDG_KF2+e~iL+&sOn-j-+R#&XAL(t}{4!Fs!dv)N2V&Hl6T*t;8E3l% zt!t0@{}z31&ySp~INvBm&#CJ#l&ZUQxV)~bUjX;tvZ7A>mle*W?T)VREBIUH|Bxk> z0dlEHf=Gvw*XYYD2Rk=~_KwRh&!Ex9Ms|iB*Wb@drnxreX}lF}hoJ~Nwn(v7#-$Yy zPxM(vaFX{l=kgpPh7BC?%Y^$ayQa%217l?olp>6qO{JRGdVm>$VLOOzU8jiPdJr{s6`tq8u#3rj>}crTcwwP|B>Ik#MpStA31MO{`d59s7c zC`A1Z{_)X&;#w6oR-o?x)Q^kavqY%h3Ad$>XB&DMw<ozZWy=M>PKdS8PoH5kKNB4$fV77g(?jW5P>Ip40iesbUv5uBQi zJq==HG8w*-Wh4(;|GJI(0|I_z6xK{3!%q=fI=_P6{!!C@=FQM~WYWev)j-cFTnnL@ zSE80RMyN#eCSE=t9d!gm8+jioT_ohb4lk^>cUOQ>5HKwX1mEN+n!lcP(i)40+Jrge zZqTRy5`A-feXgfq@#+Ppp&i`LgszF3)_GJM3t!d?Y&p+AQcP-(KXZKB=W|J|xaox= z10Qmk>TlRj^;*9rOaA!M-l*9$;9DyHJ8;7%aB?-*>FAh2vUON(Gqt5B>s2J^~uiCu1Dr3)EH^_Jma~>7FCn02RXeKZ@J*-b;sdK!Vj4}W+ z713R>z8(v&Fe+jbc{I#GAVb=nlFe;XZ|Bgz$Y{XM^r>mtQX9Z+-CE$0s`CXZvy=+l zzBkVehpYrTKMP5iua;aq%(k!G?B0zR z;~Z0M96kzCZ;Br2yZXLRF5S-oA54TDTK8mq#+dMI1K)kcH{!5~j_Xx2BXN=uOnV=O z;(tkS_qgp0V4=ej>U#Y-?Dc&$syuf7RMfyOX?+sFDOO~UdD7uPv_hi7jxi46!Y{(9 zxPNRYisyCri3F0HaD>$?PU?Aw*9sf`|0SQw#v58QYq_qXXVpC-C>CVrBxBtitr$9Q zq^$hh%aG{!@N@T>A&7M+g*s2a)?qQo(I~6NsN+GiK~vvJ;Ta8@m`yWP1y{z5!J17hFWGXpq9^5Sq9 zPeNDBi~&bPq$N~cld#D@~q%Zh;O+=I!MteMrYsHHuC;yP?%ws?Y zmQlumMmM@+(e51#d;u@^r9LBO)$qlfn`WE2GE$5{ZGlQE_4*Ht);3n8q1G^{xO}1&TSlJkdFl^VM#Ud$yfDgqNNkFNc!mYz00yXWlN`I0o@$esWLo8>N+2_1&b z{2>RIzV+bPm-}U^kvaCSOl7Lg6r-YQ&(FKx+=uTst`)}%kW{l~(EzG7?LEK}F?t|p zF63gdt;&~iA=5o5nHjrb$0~!Vre0BTI2@>x03>Xxynk@xkB<5)>l{t~o{kF?AOcq( z1;2x(#aCx1dtL{;%Ge&wZAbHBrFmhzfbp`pMt!LXu2(FC+y(8j>!9Paf0A(`v*~ld zs5)qSH|m^}j(ZY35jCN!|16Q!Yt%WSeStZxwU8g3%sZR2thrQJtO*oE_*}x~QgFpio7`)tBZcX-W6(+*S*0xygJP->_Q^WB;e~YYyd@ zu_A{~L)HA9?&=9>&l;z^(JGv4(2fKgb3S_(zSr@IJNFNyacPiw!v|4}PS-S>z^qzS zvWM^2Ln4YDU|eCX=Go=apUGAdoLr;XcIInvo($(Aemp8drgEu>pH{YHi1smQsEB`v z8xHH4r zi;`eZ`b?M-j`ih6yVR#(&L+r#h`xT4*PeS*A$z2^j3dK)t&Z&7r|+6*(8lQC_ZFqR z^QGdMGk}qqZ7o$5Flf}>DQPFNq{!*=0`**XvjjXN#7)W)M^r5F!cd2WUDkZVcohp~ z`oJmH>SOZHmx{A&4w;XmaE#Y>Pqe^=vu;ZytT(Q|`CTa@1j1kG9y*&K zk?3XY_)zX7s{0|8I@#JKi4WpE_3e>p*m2b}*(tKRW+FtGgv;N#!kp?N1u-bOR3Bdf zF3os;*JV&e6k?q(ij&-uqece!VQXh~x?|PDtGlva!X8AkTqS~5*?6a}v%VSKq>go! z0P>5D$@7L_21^;)3SKvt*>ZPMGw~+*y6pKH&79k~pCmz_O?FPr6WX z8EuIp@cxAstAO*xoNYNDE}=f4KDj#vIabWaJEq{|(+%J3Ts%&LaZ0G$8dtz=I{)mh zjKT^363^JkO5wDq(vBe+T43_5GGR=>BymjvZ7(Ke?;y$Z@JCX}quGG#=2=;%ArAM| zZTY#~jjwgZYMz?sSLQq(-%1B-P&F=ztz){~Or7PI8i?2&ZYz;8hm$Yt6Ct&I^y#Xf zE0f>3eFu%^K*XixBQfqpBhBf)DLz`9g&8zIPTpmn&&l*c*-9} zVlxZ))_aGZWPVO5&!x|>%Rw4VV9Tk~##UfF;LyJPxH9S?^ti5S1(xqJ`k9qPcG@%L zy9(&2>X5E6k6$UWKUn4;o8xTIwX3EPx&G(@03V{8HBEjQv@z3IYHW%dT@0$e3Uds}uo=6Rs{bj-VKF3KRU`|z($58CQcTP z&@<*H|I$nAwca6ZBVdX0Q9bTd4;dAJ~mJG(7-0UL zrHtrFe7gs=R8HUri9sOYQDDy2$SRt!#kNe8YP2xBNF72O$Ko$; z{{E6bmEnktVorqrLwa0By6}2a1Oj6iUy4xLhk3@T=aVpyR4+v|<4dg2LW6%XOyl#P zmXn|j44kmh!mv{(a#~*{V!JT^n8e=?$GkuBlRg6Ys;|9zZ74kyYX#E z16;~yG8AGpSHn-NRvwS-ad-$5Y?N>wLJXsSx-%W2@GMCob#$25hdhqMPrMNCW%_)x z2yr2C?@hKCoF`RDdRG#k&oDH`ibkOT1-2PiW=>0qVepR{n%{dD1;jF=d=FODbYN(DFiUD;w;y$4t2XEbL(BD)P^-`-b$m!^_)>F(=cW}Uw^ zWDaFjjq>n)Pd25ZSIN2H-F$+A^}baJ<7yR5oErqpMshpcu|dEzKVF_>blK7RoQPNue@N7!ekd#W}8(ypeTuf~T89oj4vE zy=hjab6>c3XiCOcBwDLezkWGb+h?vTF-08*v{aMY%~7%_DYZ?P%~@W83f&o=w;APD zmFc%UZooQ4U{rQ?xZR$B`QqFH-*7>dWYtEGG{K-!`spwm17|Zto<__8gnyp4sS)#! zra|tE?5!aSsD|Zp@^)h!J^YSLRQOyIT-55%*mTIs3kSq;euj()XwmbvamU4ln7zRF;!ofm2WY^0Q))Zo9 z_(#6u;ice;v!4+f9$CX#u~+?6^^Tu$7kNvMl7BWhKJASL#@;Cb z+8U%&5})ETNg4Rddkr22jenKLEJ~V(g*4UgYQZu1o>COnTw9ZO8}XwSTV}(MYObwg zInp-=SwaDGt*MnHyV$~f?-kkw8~3q%eM&@od{`3p02|@#h*s}A%o^YQBw}B8Sg?O> zqkD+ST24SUzU!ulgUJ5Doo5H^pa>yH7a>zMRj0lpfR4iOWttHd(P-Y3XcT(_f_?IN{(ot|z|2(uhQj!aTs=bL%ypx-@@kct)URePj{F#cMcKsBVb+3jLO`ZLD9dS@v9+U{qHD*U@2^lXVq?GU6BTLe|Dq zg1j#^6S>*0(T~BSj`v}d(j}Uh z#6C^ndP^PqLwm*tV3dg+q!?dz4n|!k`u_BMS##TEai2SyOYZ8mBaK{4#yAr!DBvv` zkx~nst`mCsHgs0c6yTQrNkR%P47+@B>;5=NH&e#dd`8Xs_@-E=d}m*l63ROsjrX53 zwy>`x5(?j6*JhlfdING4OUi=Y651NV{vKB~@kd4$OTSggQ_vB%fcb#=lB%;J(o2am z=jClI^-=vcE?@%SLH6PJ70HXDbC{_T+$o7m)AW=n&r|!5Ep-=7(ro>gsKQT@6QVrR zWauE-UF49H%QC-|q);lk*H~k_4ZWozJEPi~|09Nr%Mp%(({g@Y#8a=y`)aY)s=t-8 zy6|+`EC+W#yRw9wGIOEFtO+*MqJvM+Vl9@mAC>5e@4A=XZt}TxA|DxR~4{Tpa}E!a*NpgcJ5i(s^A3K zV8V#HsEqdV?2Ko^!#ItcTi-fwWq|NhjwX(=9mhW&^SRwE>8ChLI?4k|FL-mqIxx56 zX6bXb9Oe7Ep$4fC$)Z}YsMu2o`%)w0X}#Zfx#gbq=jP=`z9J1HgBuipiwWjNU2y1* zFRbo7Z^{yEaaT!L=$oO;ZJdIhSDobCRcdyunFb(#giE6nE9WHjv_3{75ViJg}YcDd3ouEQosk*vuQy;kZRDCao zFXO;d5~q1FuevK|J;wz_A!*ZgYnj2{4`08vm7dJ>Xx_sDs70u-VFWeB-CSK+(*y1X zZVg)B5L+&+^T=;HaxZWZobgydQXOV@`9NmB$SE-j?W@`G$?jHWpH?M3I}N!{Sm;)K z+TS21mVZM~&8X-*#&M=5;#{9j3M`liM;1@Eh!9Psx}4l)!Og!NkJCmWJ$yAazJ?pczg0HR^xzjj5PUz}z2vsr`%MkZqs*-YwVCxFy3wtu-J1SFvLd|6BWr9`;fB zYJ6PW@fXXvy)b$7V3B%?rxtalsk}wS8OIDqMb=(4I^TC@clkT>*LD2d5ylY)HZlG_ z3mw>J3|Hpgo;Lh>xSR14TioN@631737%_T6CLg`uB@s)PXUD0_lpX@(NhYls5P3J& zXUpuxekOCpoRB433Tu_!^>VWq|e;YGTCg&_s+2GBN| z7Yo*^SAXIVu9%fEpUVv+8;eyWlUM5x&|zRQANh99n}?!FpV$C{nOlJ8&$Gy^a`#T3 zJYM_rNVmUQy_jfp-tb@_Ssad8zpsplw>~9eJU6w&W3ta6SFym9F$ua! z9wap`^5-<+!5P^fdHzK=+xId{m&h}F@L;q-v!pB$8SB}r{I@FTXZzlOMv}L0gEFGIx{e=;~?T#&j51>mE$tL@|KG{n}FmeFyE(7q==g*5gqI%Bwg3?C{RFhhuf8YkD zN$=s-_)V-a>SROxZMyosojEMep7$K;YO(P(qLH5t0#7Ezp*{z-{RtkxvavxTqOVM! zNlG8i9`&ksRMiR|7rlS7p%>LWsI&0lqU36ehA5}_U8oM$&Wv6ATNfMD?pX0e0a9ib z<@*CZg7oqv207w*h~s-65S~UVvwixu(hr!eAfgQ$B3!Ase4LnxJ$BtS3$c?Xit7})x&*x)ZkRzPtu!t1FoS+<%H!j7jt zoj0Ri?gNS1`gdR!knEShtOQQJ+>O4)=3ZjExF$Ux+wml_lt4{xx7aD?^YSzulEc+$ zG@2T7>`OiLiytZnTWJ;p$J^YeI}Bzkg|j!3AGKe6cFLhHmUf{GB4G9Zp|H?6&Q$Tcpq?jq)gE?M9a<|^8yF)b{d|}ybv3vX&`ArIEgIW4`qAAqRqg#Z@0TR@k zF}0a4Y7Q-DY{;4U$Y~;C_iveh5qT!JUAP+_C)u8o z?6e^MpA1WkceU87vG_!2q963;Xrb^N)|WH;aublbMSDf*BbK`4hs{R%AjijL{4HSM z)%NVNhU_I~y4dVavJJq#YSwjhx3*>~D|lCLl6{`~($p$=RaIMslFYkG>I(8GXlQkj zdl1;YrI0_ih8v=pe_BfT64HYHdjr(QRW*G2#|gsOc!sBh{Xvr>=${DSeZL=m(M-l0 zEY2l|<*;Wi=e_e-Uzev5x2`%qFH_J&=L0N2vgb*}E+=qLN4;z>E|O9j@}cRx3r zVMr-h%EqIKw;#{#6p^I*q;W1+#K0y;0*fHwbaX?552LL3mB!I^V(S&c$1L}uH0IgfKls7~ccSw0 zz`VPa-l9`tJk)=-8r3W!q@C5)qC=maCaFHY+7Hqd0M>fT>nK~GHd)>z6eUA1Vs(mF zFO-61wrehCWn*6L7=7h3jCnE8o$qi{<-=}%^?&w!%jKDAyE1C_m(5Q~+Lv76S}FOl zuhKhPcoaJE)dtT0lKkPC9Q*f_zdZ6d@aUcISs%`NR_(dkrhHwg+q%yz zDrwE-$&-F>-BYC^_`l4N2W4MRsal|#hfDRR8+}Xk`E3_V)y@hJJtTTr^?3fV&@$=l zU3n+(v_7s1vOii?-MaL8kB%2Fu;833WmI?V8gF*F{_MO3Q@&rRd;jC>cVm{0PScre zYZN}M+@4=E@z4iOZ}Btp%)PeS{A&C;sd97PzmQpH*I(7C`PBH{)ayXE1f=|bAm7|` zU2dN2=hoj`4fY(N24!Dw+FKo4bbZqAcvcD5i`yoG57dFKDlnFJ|2KUB-~I>niH=v- z7P6Tsmb}|_pBFZ8^yBr`a~eVnEbShCX8WHMC9OYu?koGY9IL!iwG+wbD<<;uO`rel zk8$Fx|LQ`A)%~*imskF7`M>i6%MxfO;KO&|dHFwr1qu~gZZ6#auIay6exzafzl^P2 z9?!Rap7y3r^~2*X2Wf?M=BZP3=GFZVJT#v>0KU!VKLfs%49uNh>{rD-SG)UF!VBbg MPgg&ebxsLQ0DJ*NEdT%j literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_1_2.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_1_2.png" new file mode 100644 index 0000000000000000000000000000000000000000..3032ed44e9d367ab8761e09c40b269316ca42160 GIT binary patch literal 52048 zcmagF1yo$kwk-^S1PC513GVK$jRg(v?(V@MSb*T}?(Xgo+}+*X-J0%4lJDGm{`=qa zUX20lrgzs~wW?~>TyxD1l9d)keE<191Ox=4xR|g!1O(K^>-8e+o7dm;3!_u7e<1DU zMFki+!$*>6*5`1(&mhcBuQLf?$^ z9L#L22^7t&j3Ag87&*BZnYfr32^bi-SQ)uknFPuF^dKOH8pVYL6kW7WR$(+}=I|jd zB(3T1aNTWWAz^|81Klz2>y=Apm95IGz;)_YCH1ph<{B+Hv&|v!CCi?oZPuRK2_& zg|31bc>-pikCq+;4mD=(ER)lF0=yU`FZFbEk$~%q-dh*U+@i$-!43a>EECNGKU3)Q zbO$Hy%YmMmL?{7qKGmJ)NiS3j7T4uK@VGm&CC7Du27ng3K*0%oRpbj^YeduOWShth zM905}tPXlUA^gs2)z7INkKXI<@ylE;v?$Aut2-uC@;Wcif!&L7og*&IBQT>C#S#_l(D+)qIRd7Gf@uAIoQxpNdQ+E_u=te|3rTX;S*=w>xGBxz@!tAwG z4ZHL2Z~?$>n*gs%*Th~st%l=_OkOkuH+E(Qnv`Pgdn@49G$l0% zDS?9T9BL{Q+g%0*;OSr#Arq~7shuGpDLC%gaG!9xV6ol-`T|QO!RgL_H{RB9(i}Be z())|8nrYe~N@q{$%~7P<^ojX)I!{jcO*%zLvypHRG7+{Ij;P9UeHPp3+H6!Xx`_V{ zaj>)4RH=8)`T)GJ9F56&Lj89MZ{`!Uj%khgP}yNm+rtK8lX1SWoCTRgUTDXnyHTo>{?|D69Ml=D3q3+!CXC zj>#x1!LAO#x$ccp>nvv=v*js%Rsnm(r?FAQrrYy47{vk+zadYiTxX@0JBomJi% z$5{fBt)r%OdcW9DQVVzcMc}o%@1T1vjklIu*C`!XDX@ZGFB{{zBE2cUJ$_+){LFYz zd6ulu;OXRA`)z@I|82E%A(=&Z>N24EZk+V|JL;sn9nGTka+tl2`QsSQtrmZVX#WfR z;zS)6iN(M2*s;#b*M9Kp2kCNlN{%p-M%bF{@&wz3lJ*SMuE3%3 z7VmLHMH%bWs_vx`L%VKu{60A#<9VX!a4w;neU0*qc;r%IhYh~w*E3n@zks1D4uLkIpKmx75~j?(KXH#f4v$YoIkc9e6jKAE2Br zesX5ujrW3arf^&*_9v)T3#F#{9=MU8;j{m&^sD}<>h3dUP+&qd2Oa(@S5sfpRbRF1 za=BB<(QoH(u+H4`9?*Z-PjA5?^m7zNU^G8`Yormr?zZ9b)kRh5D(1u+t$!|z$%^;; zkJ4j4o7waiFTO?(=4~@EC3OcSV9v<$`q)(TE!k8bPDq%Q*!APkPlV%(W7e2{S> z_Ixj=XJ>HDv`u-)j}I*o^D@=>aU?J+H!m+2m+Kxtd{UF$A#S9+B)(^4%SHPq?N2}6 zZ-E{Uf5op>&$p+DFPPg#jwRB9OzO*U`^1ngcs+TW*Yt<(x(mSW^H+}pCu7QxW(#4- zTe+Z?7*h_)|wkjV_6R!#sVRo#bZgf z?8+9bP%wtm@@ZL@?<_MgaTUPBH;4M5vQZh8j*|L_mmC=4&X}zqn#>|mvg2b@ zE0*j9R)3?dK)ZhfR15DNZNnkkL#{P#t5)R;y|u zKF8(Q=)jVhn}D|QJFkdvRT6^)pE|BM@ALDb;8A5#hWzMdra^iJwQ+Tb8hp*M65Cv@j9F(7bD|?grGa zGw+>{!};-h-bbMT?rK?qZ*GKm0A{UvU}cw@?YYqTTl)s^Q#U<~GOha6VYHl2Gvx7(UP7^}I;n4M-1; z3Wj<1;%a@Vc}cKHB<4+3bLrPRNiukl@M=k-I>=2?`tZD*ux`0h=RBQ&Ez;pmf;XAo z{yn+00F^qfQ^Sd_TGYUqCYARvCN1N9sSQ+Tg7coXs* z`39W%HF`ABEPs@h)ML*X03(Ob=EQIB%xrS-&^gmrfP6OCQORo2f@uI{P2Oq49p=+< z@Im4wBGi7 z0-jE`m5fS`d0bBJBU80ZL_fh!dJ4c!rMG$P2i(b?T;57=$B#Tcq=c=%RD!Oh6!u+1J@#*Mriyn`1ORg1#%^rX17Q@kx(XzUMujt?@ zs@qKJ$~kfh27nWR`WgwP*-n@_IGbzx;E zHxl?^+tF0trQ)(=luF~ zQa?@yAjxU6H~-^-4awX{8W!Saz>OxzZ=Cnze+7p*UBE5H+XS%K_^RP zz4tRfI?W+bi8C)A6VOTq@M_ffjPn~O@5R74JdC+>S(z@^Fw~^66NHs1N+bbW%4F(0 z$_w5`0OqGk{bzqG`w@w9FAo;E$sQ#LMl~APqLPyHvj+apF-QU=at19**-~MG=-JGb zFM_>FzrK^paAT5Km)Zz6*D<%9_^Qg>!zTi)dtGQrjyuBK@iFQixpMduGufTi+80|O zUw5kY!9lL@oOALvuT|C-t7A56%PMCy10>^8;3~Uy4~#Zq%f)imJxq{bIIY@?Xd}uq z#9SSNlJDSM2~q*ios5lV@7ax^KxKHiv5Iu|(68_I3XZDL(Xo?Mk^I5(Qxd4v{{B@{ zavf=h+US#*>I&Na{EC<`_+Q>%(~i{Xipy(Kfy#FCWb+D1Q9C?9i=!WE7IoG=K7RDL zYALgShkXw!(oDWt56?RWXiNdsK^9`O*RbJS4yD*Si66Bx&CCtG+YpYYh^Yt0w5@5Y z$~ZRLbs!B{`-IpC{La|sq!e)6Pd#0iDJoah+kteIt_(iGpTE5zc`0BZb=C8lcER?I1Kip=4W{aC79wDR#;pTX`JTi{4!`8bqf;!;sl249qY|fxedZp zrJrFLGD}*#SI5RhoxYRa!W4#cGul5*X~TZq90xzc$6};>G;T}ZRnhELbfyQ`tN(Ny zKqVs}6Mxvg9>?^$89doNN5bA^we79&^bfWs5)S@C6Mf*tKCaf5b$YxlrE=ZUnmrHq zV`CDX1Q~q*oo69dLT3xJM1H1_V0VDL=G5f-)nk>Y5g8i$IVYa|{>GC)>e_?I)Ei1E zw}az-ue?V`RvvtCHJNCA%1)M~u{%zqBln}v2}Yv{BYr;O=iR)?RCw{Nx?h3|PB$dP ziNd%Xy+Rr|xCbgzbU^Edc<+G4)n*pLGU@jmRpy<^t3f0wZ}Hnr*78i1UGWqbNA3u4 zB_;W2M#si%R{NI{H{96-f`hxp*N>Lc;--MDIM7U$4ToC|;h0lhT};q>*vEB`(eT%Z zQU@evc6x-_PgFgekk1A5%UG%wjk@>eFnx6^iCM)79w@|1^s?yGZXeHp%Y4BeX@`pB ze;gb0k7LWz@7+RbPY$)b*17L(0Kwu^W|kNt1bO4%icwV~ON>Tgd7I*Z8woI%Yyq`Xb)-V0>ZtxMEwgTTvV}H$f$~;IoR8a9~cz~+cct#kF zWp8Hgtp$61Ydi>b^4CWmGiZ&dKoUIxoRQc8#3KW+|>IZ(-_m>-SorXpxGC zhL#W(HHB4L&3+(AL$#JhH!~Oh%DGgh1RE_)ukK1AY*?=kPDfN*Jff@J5H{E-(@A4x304%meeX=9oC&!xhO~a-YVK5nhLls3X^k4#5xQl7z3K zlvsx$A0b$Bndc%FF&q@HJA&P5>DNO#;b51$yPJ%RzsiMz>)s4S4x+6m?U+s7%TAiwwgLyBYBoTkqMUm>eA0G$7=}J>!GP+Y;)PlCQ=Agsd}= z;N=!Q%M#INK?l~b{jf}C$4(VGbU|f%WqNBo%6Dd=3&2h>Cxe*|m6{9dRl0J)$cOPE zn>UiGLiOi5+?wK*&s48yX=ParY1GT6gWek5iiHrg#V%fOO16X>^(<$R1DP?vdV~Hj z+LqbL#1%I;C~^DJe?E(Pf2|2CMrNuSo2P5_$5;6~rA%ztkk9fNHRpHQA+f(E9%8#b zqkb8kS+od8YkHECwbd%I%w)ZXB03gn@$kICU(VcNqFi3+B(hk)8l|!%gA}u@OB<9wueFg^RscngrI zzQR9TYtvmWynBkY;`5?I?}h%EXQ<2)tqK%4)84jHHS!^s$#*g{^o!~Rq za+>b3r=+4Hjq5EOT;6@Yts+;p?$DaDpnWsg)_6^v&=E1JWjE^u+zyb5p(4R)Pbx_< zSktySx2J_Nj!iH$=s{lBy5eHFZ;&h?TX{bmeIfES`Jh|{yb-_)rXY)vv%{c`Y_;HK zcSGRfwtuw2U&C$#Y(6d&s06xnn7X;_brd?!NiEuO{UW9)Eet9*J2QihQfEV^bF}HWbE~g9#2(k96ATTk~Uc0 zdb8aAB3}l*r`>OAtNE56fLm0UDbPENnf*X~Oz!DQR;f7M?SAGSlg0vXpihOWxUk?J z(N9Qs6dIu7J2az3PX7Rmxz$h}PuG>TyC0|@#MiOrUMG%zUauzwtOvy;%49U?&X&s6 zPXTe1*lQG0v$nNNw{H9row|ETi%^54DmyQ##`paagjd@WzD(^{z`m&f(41!m4q$Gp zc-;4rbE~(tr<<2gsVJI_^{&fk4jXZXrPO(z7Rz9{ElS2ngKPCh`rEZyUj)VR6c@fK z%A&-?s+62pS)aP&k2x@xcs=#Amx$7EeOzdXL6HdY=ukg;JlqTs*FT<|R;Im6-^|u} z8rYYQE}S*zq7<(^S-VGDU$rL++GDdA?1$$?2tEflZmzoaPiL%0mQ7Kq3zXQL@w((h zpFEsB^}g((a``>`Qyd{&bCNLzN`=>5@0}iRh>>sJVKAKHPMTl`O3xzV6~1Uen|gIdF8l`wU=0tMaTAzMf5xr@nb27e<9#M*CD7o)bMmuDIq8*6(JzAciFQxSV^1xhFqpu z|0rIuLIXb_I~#h#dN4#cmMNy?Dqv;#OR9xp_kc zk{`j@J%s@U5qR96v}Wt%F!&1w>Mihfo=qdGqv%Yx{T=a!&u>5z1$=k$mSn#>X+`Dt zMrvIp2=`BD$!o5z8ZBf2a8YDC7x=kitVNIh&f>k<-@s11BE{b=6aSDthUoA0>pPH; zT>o6(5EJmjsQYrnLEQD1Wx|G^K1CR80G-Q{a5KY{nOe=aCl)TyhOXl)zf9Ug@PgHci| zCdiv5X53?!)ryC9(ewgd1Alvkn8=8;zb%8ul1if9zCI~ywpZzh ztob&|3*<^#d^I~lyM})?@vfBDZITDsx_Nxk{gZC9{1e0DlfBNoWtt6ME3aVJ+uU~fBUP(}IXBPFqng|K;sEM+w*M6}H=`3p^u7C0= znEUJF);Au29)HWLwWq^;v7=7#sYTEjW7pK&BV1cij;s4+OEutemgMvkn&)!Sl8!eHT4Hm`z;<6YqIj` zuf=}GN4K4q@a)%UL?!w!88|PdsZK4O7rZ6#pXzrX%3#9#{Q{Io0fR^!c9yFY)A8T_ znbW0%YeKrpN$wWD`-w2F`a4@o+G3X)S}~ImWv~Ih^c0pbH1wKe`7ZLO4qZ?!A!}!X zfssrT`SIwZ`SNEIifw_3w26U2oGefzBeRKg?O6M6${c}4Zf#LJ2OSN3HLk8mFu?O- z#D8PrmuQwxr(T4CeMu#HU}F{2^WgSGCePdiBycHEQZysB!6T3;m-EOAM9%h=9kU+* zdjTINL+MNV6Un*3;{Agt+a1)NJ8jyjh$NRg5r-%!fgTA-&+Ux6!Lf)mhliJth3HD) zU^=k2>vLyK%e+B|;vm$e@du;mkCsgK<83-vNc-`CX6%otq%)s5^b_$A%_S_>g45^! z@N8wwI%BL>p^c6&^0wbFYz9^q?TxWbv|tB547}FI{(NgaAuE&6b zaG3PU70+p%xJ_n5Fkbt_B-M*1Saj#L@NUin`5|Es zIt)1TZ?!!FaD60xHcqUxOY?CS97TK~_LO#AON!7# zSUh$+=g`YnWk1fktT|j>285?A*^mS7A9$%myyL51Hx{{yPr&nY2J#i{;aN;eD zV)uM(nc{9Ml&O z)6#0Ij*RD|N+Hw_TanqZwXaN%p5Dr(rk|;lE5;i+wbZpwiwOV9vCVzA(m+|Gzs+J;?+JvL zlvjw8N}IlJ_I9NGI;&g#Qrt}$@iLvFQ^n1zhEkf71NX+^k15_*4(LRzZ>?u*KKE3| zcD!@P8#7}^@nH}k&wX^|gf-N)nFeY1;0d67XJMYv2qAf`S?Uzk#*7NeK~wWK+K|)R z)Fe?EMnfQMOa5>xTi97L{1BzzOA-WfzD}LRUTWuCb6~|su>E_wxCmt2>q*oIy{Sfh zl0EOm#0?h}kjOBaCqU;GwP@bLMX=Ph84k!xQggKuF}wdrg%Kk6!GDQvL}VyL!jGbN zsyL=eE@gGxtHwnpV&b$FRVV*i9R2;luw-{CFEh(f3I1D()4*d?=uEI{lt*D{YO-3+t%HJ!qluj4 zMz5p0kSdfYVuK4S8L};3ESo%h0@ut-BWUDGAe<^r6s9--nnO7hR6I{9wROkcx6-|4 zRaHs{qbs!*rPj_JVt-ddtCDsng)Yhc)>s7Ht}IMh$3;M2j=8tKnEA9v@B14E`*>!>}R_82-n*Y9@67Y%^( z701o3Lwu19JrZ?KlYack+hpy4^3;|}_u=uD`^QzFMGh$@OvBA18!ykbPOPleQ1~=t zhvkdi^*)T}gJHd#b6+sUFccvMlXxzn{{Ef)U}U!mxd%SD?RtY<1L@^vktar3WAeJ$ z^n3I5FdE~B;aM-8uol~ki?Ir%SM;@WStI98{tbij?X_ zb2$ZN>Ld|AL`DX76sY`xTj6UQWQbRap2^ zbWR3%^;NehKj41lo{ZZJv#&>ysIXFwuZcaK=s#k=7OqNlGdx&SlW17=$BTl?>kbfS zCBM}`4~FC(ZIWq(Rc{%#Ogd$K_P(Q!asY1XLEB|Z$mA@rvu2N8mDMCQ#fO8m;OAUg z1@qq#+O(=%6oThJlyV<;o!amiIB}G>YG!{!cEoq5W%`|3zcz>W$!tAo&^{1txMEgM z@fmBgR0EVHsDXnx=}pTYolWXigs2m&Jg01|t-k;Fhr($1$)kE$P%<0dVUH|TJH{lm z)wPqQ5TPoflZx>2c-$-unl4_SJ_pSc#r%B^|2zxKfug(|&3_@g4Gecu_Mm@2D#Qfi zzknA6Kj6(j!Qhpp66N;>Df|2j<()keYyRE3-kxuv59l%MH^5`>&;v3SIpxcAc;aE$ z5?>bU@#jMsVg3P%{D9k`HxA8J&r`mlV96ka3US17WG?s1mOO)7CAS_AfFPTi>w!dH zTbV(eVXEa8pF6CM)UsW80_m4ayuYSHIBdm1AE3-zb+2cUx4U>T(2{O+(TjSN=S8NP z&?oBymu~lK!b(SOR1J;Vw*N=%{J;Ca@au`3TcDS=w9P6=O=5OE8==3qUT-dr-YrAdZ|7D|N_2O@>GUCjqokZCyUL357I9Q$r#S?`exEB8JDIG-jtPMua? zD(kOTJVZUF42X)ieD%jYz^R{^Oo@xo-dH;GGRLtXe>}u9TJ>6LMSYpw zPbNI*Rk*OwA=D$}Pt5jv!pA2X?PiG8Up~-6cHgWxTOZ3yinzK7nhNjuP86V;`Lq5o zajxjc97N;)JeE_M@)%4orLB$cll-qnGsRr9V)a7j@I2P^*VA`$~}FFwF! zPtewMgZSijXLC9hC{ili8y2@HgBS2_x9TU-#=M9o*HbP|6s@X&f7t}iEdY#$osS)| zjSv0c-&YXZi76D&vdsDxXiqPcSMb?6_%b{g~6@)2Swy=0p7Ra56KWB_rpG zl%LC>{t5rtHoBdFh~Pr|8?D#C!`tfu#3HzM91VZ3HR>-^Admq#%3yn14mDst zmMOJ;;%na4TO8?hFUNllbOd+5)kzgV_wYh7zf3D}CUk=40J8Gs6U2YHrA41&Ru6J#5E*)grB@j^&gWd|*0&bC6wFIO~xH3vPK zjXIlkrY{6I7}k%GGoXfRiP@zdH*88Z-SP_mi6C?brh)LidZ6=XFW|m6u+E|+LApiJ z4~`46O7*qqQC4Jui_zWei$$NzJui;dCD{I-OGB5z|6S&>JP~D&pMYcXEh&=@pe8;e zm(*=#-P z%rK9+{zh}TY(pc_qBA{48aU1Rtc6OxzQcCepWoNoy{#Apq z>%SIk5B-Bkg5&EKySo3I8NQF!O_OskXP!(k z|11XHDP!sZ^wtPc_qWXv+9`4Y!tmO*dO5M4R(AJJHliWJ?mP)j_EL9d-olVL~PV*(*>90v}bG99qDPM{RrQ7b8FXo1q(|054CPiZXcg1U-gkx zu29QE8dY9fkw};_UU@Yg4nDC~vhD?Kw~ zW$hHyYusc1fB9!A8fMVrQ;y`c4Dh-zn9KA!9a+@O0VM~X*jk&4;P5X(yVsvir-zJ_ z=uu^nHele+m_VVVr-+H>a1ttw?OPXfRik{5y`)CnqMsQ`K*Z6A$8@XR{;QG`jUj9; z?$ivSB|Oge?8Z^2x!Ae!o1Wi#oM1F4bk^b&osdgy-s0F@dZw@Nx&dCXNqge@zwA1y z#CqNpysG%#u_=K!y6cft5pTbPWqrz??&e$&%=i5(Ua-rD1= z5AJ#zk0+b?xo|nr+o*K86{LUS!jB^u>FCt9o=_ z6wLYfqVnu~a@FMGbRWnTRm`$~ndSgDyd9zu(DCBBUk;BY1D;^>VKRM8!}SuLw{XL4 z{&v=NMhiG!+jqXM2#Z&(EdSQ;Ak;HAvlZl~eNQYci@+X+Flm4rM1HjVLuMeSk*~ zS=Rn|`+R+;6XAPmLZ{JoI?b9vgJ-fB=>T9jQcH=#7d!J>jt71xm)7U=So@(=BeE!Q zZU1>GzUY5pS+(Be{a>IZRMY#3p#VTw2KaW|=dHOAS-C2c&7iQmvEIoa*eG(GxhnvW zR;|9S2ozbiTN^Imtf~e&m8vyw80J&ea17nFR{xDI-gB8|LLz#A9>v;-Jpq_z#JM!b z%{%sVMs6GxZ92&9-%RprTl@N(4*b7Sig1nK4}Wgmkh*=%%OQ%rITXc;&rj-3RxvWl z)LLhzzkYPJeu<9L;CDd!bK?aW6z{>GD+q{*2yeWuJGQG2d7XifueYr$Pghi9;mjI0EB+6059zZ{X#*0o%{X;%FG{gY>q5wqLVmf2p| z|g)Y#{$w}@^!!NF8;zwU;-YHRUgdv;3tE>*Ys zzAH!58OitbeQ`rL^2?6jD^kv!*oWk@-0=X;t^V*UdTAx!66|PrZX$t5*i%B zuM;p&LU`(O*NIF@@If7}>}r<~tDu9r0g@~y4FQ+f<37C$QVetsWhjV=@f%lfq^jFf zTE|c0XD6V?`&ChT9T`%@)b^tTFVTi31`J9?;?c&cdxwi{QI)#~k|2z8%FH-9au7%B z^L@9wM+`Lmt;_rC{Bg(m_?}bMJJ2(?*J_p5dLKPsTJ_esZP3=WXO#HH?WDM`S>IpL zX`ELU>0cX@1&(5jT}&ln=+@lkyoAqnq367pASr$9oOm5iz7bIMMb3ge%1&IR@1lISV zYa=84xehcc;khe_4O9IMMzi$JY))d;J0czk3BAKe-&j=!luA<6MpYY`GdyURKA8gYNJd z)bd!bld~{^CDV2Pua5cAnh(6UE#HR#d4p4Iza8u z4{0RL(^nPXtCs<7C-WGx75Fg`)9$tvb?F1ua+)**@pf1`H-EN8{K|-`{uld);4p#iqt-_+p{i z`O3}h+@)S5jDHbK+%QUj67lCb2wmLrGG1QN3*CWO-=5Dorp>nme1Gb2rai2VNa>89 zjvuL!Q<=tX_1vti$9H@?lELUfA^FjD(^~vFExX2e-Ia_Gu|-ZHbtYr=!F|5S>V$jHaG%Rcu5rvsJLwg}MX z5S?%8N4Iax@oiF{2PZ8Ty@mdvkfS|{-15F+bxXEX6RV< zcp0T@;X9bs-B%CxHH=e~6G~2lo`Cj?HXH}44`v1_gH6^Ar-qOfvqLDRS3F!;IZhAp%kl!sC15C3rN$lo-8(`}!} z=Yxs&xSVyr=X-c|Z6bKwl#&0812aL5&nIoxe%L&$>w9_qbLwrDvvn&6ln(i5Izh}s zM&!iRvq=R0*Qrv+%ctJ0PEZCCAUppE2Fx_vo#Y5|@=!^BjRJ~3)tLUr4*OP<*QB~` zjTprTmKbR`_<=Y7Y;IhS!2*sEum?B-j1$@6WOX}bmJrMUi7IArG`0ZadD$?&#!+dV zZ+1JXgAAGvU>9W!2+JsyqH6R6#sYPNQQ?nvR#RWz**}bY$n_TEY#_Vvy9YTlc z#J=S_TJRN58V!0CmP(;EExgX+uGl2nZ*G1r2PI~(N4=x5UV@*fMs?v_i_%<)c@Yiw zyj4q}){k!?SXw0u_%H$UH>6gigi8+_dK7T3O=D7NE0C+#ENeBBf8~cRgMV}%TndAq z^J=?C}WAd5eC{8yc!rg@e5{;IPXGvAzH(Q|e)wjXpjTCtFpP6InP zIT<^fLbBB`4ro!+XL~+wL59ka@oaD$)7{2D$YXscr0}Ib0SoaY{E1x3-}R^ldylyKx!)>^n}TmP#S~|Ab2q2QPOjF zc(K&*QNRc?tgk5I#^;h4)i$FdQ%Z^nt*=(tnyc07xVX$w$7AA9(Pfxh%|(bUN47Veyxc@wm@2kKbzwfOItIUn)a8 z>FG5C^nf>S1d22AwIPLr<9?7lt&iF(+n7E-xlAkdmNNcl$se9&BDzjlwqIpNtE+o> zy5@FyyqoKCJecDn?i@tAKl1v%!UZ-Q%zfU76D(C3|u;%bc5 zA1Zh>B?4Va4%Eo7KSUvm*`j`ymhwc$7x_F@QW_v`MR@J2oU?RJclGpyPQ}K@&%dD5 zsN4#0-cTDJi`(OF6vKEr2m1EakGA(K(wpxlom}e4`lls$4@n+K$T=%usaygdAfzc? z#R=)n%K?ii6HkE$2#h0)OtLXX1?~BG{*63Z*@MKgMXI6E6pDE;+UQXdavzdb%CY}D z(|6Q!3qCt?B9qM6RV1#&%VB$1gX&DxK_pXK9LSFfir()uC@BDTh9Y z$C*)cGA{Q?*#XY-K({|2Pi_2)w5P;H+|^X*iiTK8n5{0Y*|b`^M`?Xq#kcsKbmFnK znZ%HkSOTKG@+UdpD6t+2;XJDIN#*!V`k^c}a@T=!0Sc$^xw7(`U%$nNR>sD4V6yHB zwmRl5C-!n8)%am~m z{F*Zo;ortlNe|?$>iPI|*9w0FB%3f0N~S`Xu?(KDP%(45(smm2zhr7Drs>GJt)=Mx zh^M7-qbB}}O3Im)K4Ezx%h3N`s$%^1w0r18=Kor&`g-)638C@4))OU&|M^+ylDj_h z3B6;Q&+G%!`Tzp{hh=!#BH_@qa5IGbDKzSLv`sJTyYfSkz4+%ktjZ;z$@PQ`9XUBY z>gG^m9>@OYSIXny+VdgI%CE%bldEY3Av0luA*1^)Pgvo#bMR4@wN}Z;i^-$Slc$?T zI_ZV46S|RCKbR72k7FC zbB6*1j1-q$xpR&_yy_E;M4K?7;hN=BPQ#Il6L$V?<+91uv!ZmLk8Im~Zu5mfT{AmL z2-w`7t)vA!rt|b!SBx1_$m2k)+p%U!ZfO`7XnzdWN!C%`FoG#~yYSz<%8jECPkW=| z!l52x@4y<3$9gcWOu7s#drny449i*Y-5mmcU^p{_d)&-t-=FR1ulxO zUn1lAW3kE4d=*XN&rM*!%z93;{8Mxz4lh-Gxt|qhl=-0`aU}OMyz18b3N2k{rK}tD z;eSZ|>Ji7Z?NbM4BYylib}ItZ{^p1-YZoQK*Nlxog1St|tm+419Rx&dK`=)`{X@*< z_1DM|FX^WtYh)#YmG>BOses2rjfN=^u5qW4#Lm4?DljepFF}S!pfPxDNQgXloG1qR zMlHE3rCmi*?!#xtC-t>wi_tv2AUiFb1c?dViR5RqK_}nKrOxmGH5@eK-Y5ZoOfn(@6J{{vPW5nDuYT=c;SDDsi))J z)k^wnwQYx=F&V|fDW2Gqt1>PWQ^PV93H~Zomvc@QS3>rcC~CZR609RFl%J7^_%_NV z!`~V#9gb`>2lp1jyFuih%ft=Bib8sn4r{r!#^QO;L#GVv01}HSzUXlF;CRXjp}lSl ziVh#sYC3n&jJa)W!d9l!<{R`;`*`fk%=22(QkG73+laC9@{hk>SySVj?haQ}oS1NO z@40LNJZ`QJa2bpzQJc7O$PP(--t`bL-R;ik8wWq_|9VqLh^9i5)L@v+TOX{!o_+(; zWa1q#bX@2RTEp&W`KUFD&tn1lp=96J^eIr`o4M&|8_Or;ig$5EEz;T-kL1S3M(y+44v%@HPNWU5z$trTF+uYs?u@uk!`RQk9 ztH6iT^~8E1uE2&L5c0UL^W%VaW#^sP`vh7|rlnlQCFkQIQg~?-wt#lOk^?)uz@Y7| zDUzw|Ym*;_&ixYE9&|t12_NCagAY5DL|?b(3b>y3@liUp=lfIZ+Y;lj>bSlA!sJZk zA%XH5o>-U{4!yy#4x-Oi{jT&Gdp$!}%T>mQKVoR>C<6>vxZD}r>dRT4?(H|rtmhO_ zSFN5n4CF8Cj^(tlb#pWI$+)v5NB-s zdAR`(*3{qvw>yKp0`^rQ&xR)ETd7evxslC()1s1^VmEu z!t0h;qB*{Sa}2WNLw1ST+q%sk!+$F88gu4Wtl&St=J4{E-A@{1LHw=SX=6 z1hR3(+K2W+nw!dQ->K)BJ<*Jra$TRqs$n+`qbvACf$_gA>^(#~q4E-`CxtP)MSH|yC9}N7PVSdn0O-z0A-qD zkQqibbw9^ImqgW(GmBq)eJ6?9kQTxh#jfIpPkeW*AZsB{GtZ^o|9GuGwER#C3#-#liT)-31Gc&Vyd)`d0 zz|Nl@3Ccgx+%`i?;|y+C%1LUsC*x56O{fqDZoaNSh)k@uct|cI4*MOd_j%IMS8AhQ z3X1K|>QH@P#Y2v2!$p)xAeUaXWTu;|4`Nz^v6bw;`}^8^RFU}(;L;MTkZO0<3ooRp zkDxPWNaPprRd$S{NgA@NCZ^-Gv%l2(eeOLLVuaW8skN=@FPVN{3k;h&0k*`4=Sv&o z&o`z!f0<%jGxrz@Xf@n92W%-pYQK9P?DxGS15uo-0Yw6YO1Q;Mk16O8VFQ)T*u5?Y zQtNT0da%=KC~yK_jKri885|@P%3_7}<#9X>la@Pa@Pthwd-BB8WEFr}`PIRD1H}Q7 z-g?KxN8O^)@9SrwwS;%zC=M)G;LQ{H#R;CVqr7Gf6j%{rq zBu4GU64OLNaVDC+#0{=z9hvkmVu@}PR6kosx0h8V3^CTln&US4xPtVIks=%+I%fi> zNO80#JgaVN8d!iN{zS7K0Oq9@M7P-{cq%;2!9@NMx27$g$Y0*AB{*fhCKv1GM z#{3YIjHO!k;Y7Urd=a?f2DA^fc$h?m5v5Y!$8Wo>cn=51q{g%5WzWGN?|gk-nZik; zbPjpS6XP(q;mQ^xYH?H?1^uON)F|;r?0JM z?@X-6N%PO*b^DUwK0#1z|RmhG?3l_gHgtv3mi&>ITt#SQt*^DAqnEE3irr9rxr8KxG+i_1!m8DI6jl; z{m6Z;?F=Ckyu5P`GN7}rM*h1Xv=Y8dLo)v)Q+NLYzu|{488+3f&)|~i%vSP&zUlC% zw^#u<>ujmZr)v_`P4&K*cWAU>#S~_^Y4_=4Dv-zK2$jaw2ZqgD%hu7W{b1(F^BO%= zlvShC$T((KktKAhxNN;_|1bN&5jz9MHO9z$!QBlNPfr0g4dpAB;PL&L@UjdmIaaQK z{|9Bb`1L<9s@kv&+?{IEsJLs*bSr?e`QMIIiMv{dhmwENmxN*|-sv z%L7#;9t*oGmn59*T+DbzF0i01a1h>C3f&r$VmVxSZ;G$wWCOP&poq&Q{+X5zt?U0# z_m1J2weOl|RqUi<+j(MDY?~F^tk||~n-!ZC+g8Q4oy=43e|Pupnclm5W{#OT=Ii>f zj!c3K?C$Z#e`>*rY*M$`q+#Cz!$6)^HXS>=Nxq0o+^YVq@)) znkpi@C;>7$dTfpKtQJ<&W?>P zMdHq%h?Fa{u7i{ii@dR;qala0Rk}1ImVC0oBPRSv{d)}U%Fncf|5J)*Kp`X&rQ+7p z{K0i~df6VcDUr`b`}sn@8FRKK(rs^~J>@m@SUE5AxnJPKm9scj2V2(e?fH7sHDNf< zY?HI{nj*&!tUQzRX>a%Nw|RWr!N?tO`S@@H^4z+mTFqzrMN+Gy_j@AS+13e7L{tBe z>c2YR-(%D7O6^DP?~N-?Un@>7I(1Lkf4vOKArG|TionLO4B}f#wl62z6*C%n8B#f8 zhtyyeznd-C(0(;Y>sdw=C|0)Mug8KFpe(y^7CPcp@M3Sf>E9`|hPM;LAz4}=Gv2bD z7M*$0hVd_&UGK(0@wSBeLxQ}kOQMHI@s3h*R_fAc8#`z#$hxdoe{9#6=7OjzzC{yF%$9K>7p#x{^ z8x|%8kKaga_}o8K`c0jg$I18`U?dbD(tPUQ=u7baq-aJiFiYEE7UxMG+QwXd4Y~`y zd2*dh??oKS?oQo(wC&yKZ?Y?4*|quU7szDx+THELXIn~Dp{dWQ;r)4b9ngKoai<)q z%)R_Mw|$;--mTS#d%Qg!PZ3-Ee*b((aPfBkx*6lyeYl3@(0%_aA0d<3%k0(e0%^?R zf$#9KdNz9H<4<}u!4ImNQOA{=J{Z%UPQ*@(@r{pD;0CPLXf`6|VhLwjscpUFfk6^` zGlU_B&utIlgV&C$wdh^#e_ukd&RP7?J4p|!Iav93>-#d@-bRp111^w0r(O_U(e0q? z;2n5SxhoCN?a5Dt8((fGXDY>y#raf78C66%5?m=jloyrXVjGm^7mvS@M45~(w)K98 zgrjQ9{wBb>0|-0A0U4bh1ikw+k91#Avcx4c)K!0EpnuvDZ(#n>LqX2ghRPXYzHQUx zIaP|+4r#m0s2ACo-iZ=1Tb_cyxPY(czYl}fpUmUIk(FIuEf}wv79E4@cl3gLpECWX z^W_@e2yb_G)v*YX%)-6nGrfZ{IuT{b=E5JyZ zA{MH2v&XkAD(1FFLtjUbQ>$&4i(&B&VzhkDyU*u@y(`eYJqZuoe(?Rrxbmn}Cl)p2 zx%=|-ItTP-sp^-G_LC-ZA*;jfU|6qZ&L!-55S7E<7X5OR8lS-YhjBwDy`lx>k#Srs zegU4a4ZEK$nOvgiO2S^kycs!%QAOFnFsNoHgqgMmp~iHr%-Ph_HBC(m7!iR;Ru3=i>)jc z`?GKep`49JHEgTsS&E#L?3=F$5KnoR^xl>dZEo@}CW}y#SJv8`Aale#YyjIl=Q}r7 z5HhmUQCD=of7d1UUisKNb^2ynm3)vaAsp2!-Can6VVNU#i!`BM%83r1FQalDhUZLF zV7B&+fSyni7^$ibE7Re8hOvm(S`6%%sPhQ2m}H3GgO_sSB`ZoWk);V2$q0H{;6rKB z|G3UZE+zatE(_44h|XfN`N5B{VASKHnS$eJ%jf!aJfr#WXoU5`qAaD^`gt=Sk|UJ=e?nQRci=MB1J&$zekM=o+2xyx&Ix+j2`uo!ea4`1W(&; zI(97I!RfWm34$=HTM-+wrFiG9Zw?2>PC&VW`}t&9X$?pW6%n@jnBcYQ(zJdt_JI9rx!KW;h7e)Fv9L0uQBYCEzjJ2S zUY@^5kUKNbaX9j!FR;B1Cb+3A>s#}Lnz3@CJ=yi+#J3cK>)tqJRX$#);xnjh$Q9I7tF~YRX%|gN<}lPls4M=|`~z;~M$OQoBz=k|+g5Ec0}eO1 zGYf(?%Ac1o)sNAEjqlkYWv#Th)d7WBY8~!V0y%3x-a+ZKI&foOd#n5s|E$!zj~COZ zuc9Dktv3%Q=eZKRZmG+%f}Ap11YGi5S>D1ROvZ1Dywpi3(O;x49klmQXugkqGoKmA z$^k6D=Dr10|1Bs7#;{Vav8B|q{xJi^vFF}<->XgFw8YO>lPvQZ@{keH%}~<%lBe&) zl1`pz`|NRx?)i09n*F8Obb5ZO^!|4_a-2jTPl-Y1>_&4M=^y2wY6gjDy(h%n4{Ra+s0=PBl zgZi|bMV@wC!9|q z)KwsCc24!C0!Zp(a|OFp&zvH)Smi+j*`*D1L~Ui((@$Dt^DunqpQA%R)hDD5)p; z$VdIhLtSwE!B=b^ddSvb8h=Q>h*5j_Fiera(i(mZ`yT)T!m1tW*ho!N`r@UQG##6eSZ>kdO@9ocabirQ9gpK8Km`vi4{EC~^>jk#|;0jRqi1d zOsOJg6P$bmr`hKIj;Pu7hT_OjpnvCd?(&@Iu4nv*bnlA+tpXZv~oecAh_ciM>nh#k}~?^2d(IVHcS4H=3SYoFUVk z*MT!6`aN(p;h6t6n;EFNoa#&zL%r{zenA3qAOW#6dReUYLj~KQgK7-wEIRY}OXb+X z!i29L9W)=;nJ<emBsS{FRT#eFZjrn53%PlS78O< z_y7IEFI%*?*pUB4?00qGMjO)d&vRfbm2uK|E)c>3DXqh~5UiARqOlb4O#wib=P%2%Cs^?EyWRDAp6Kv_y|jmV<>KvM8eSbnO0c zI|x)%`w&u=E*bsHUuxe8_(=`g>v;F-j#YVcM_*5>KNjWds>U#X{19Unib`Rna-w%t zNjtMmDuN5TY&F`>j9Vn@1?qAm6y$*${qe!mrHDpJU1)*`g z8&gHh-}KX|em0k}5ir<-P2*uShm+fve%?K56&7&Od{}ZIX|6p@#?g@ zeHn--fooj2uq6tq(IM<JM_rmE{K6j9drqEoKec$SSpg+&&Ikrl}z$uwoaEgKk$D}dj=7`{$z0Xyo zcm`xYy}mq=H*qH*AEoHTj1r%w9qo3eiHi&;;kXDD2>J(9>ppO}vuoa~L#VI1yQfQA zbi+>?zsQisb-boby@Wt)blRWzjVe%51180##3g0KX)at>y?VjCN?%1ba+>~*Ay@EK z@FVG0h24o%Bxq}ZBwUBvX>Sr&v;Qvhn7zRc&C8lONZD8fl)EcmQ|Z^h!}mXy3hHp2 z@SlG3%8S0KrE;M(@%WIN@Dl(Gnm>Pk?#q{@2XcjFtD6%Ql6n2Yr%8em{6re~V!f(u z`DUWYMN#*30CptHf(n`u1s@#L*ze2Fg7nBtCUYd+gfV?)s>0%zo44`Mt)cB!_?uG5 z#X2nZ(V206G0m*LVkL0{0d<|11P??K7&N(a3h~_DbEUwF-vWSyo<1=S&GefEH6wE+ zAt4nv2^)*M&MWQ}Cl>=2>$O^xjm(J#HBx;MeY^!_U08C~0o~^h#akX-q^Qd+PMg4h zB4BpPx)LxR4ej%bu3;Ll2OXHPax0CT zLYgeC?tz3^>R7E=;`Uo%zEXQ*9Wo8e+yT?5K|)?hH5KETkTPF>KW&L9IIccpi9wT@ zHv>>kJ1*^xiyEg))Z3iz6=4DX3x?NuoIZzA=h6t8RN`h|sAQ+c6Zs574PBj^nTrcn zQwoJ7n=?g%OsG_ivXpKNy6XgkiojH8*^G-yB8jQYUse*if-(e4cdEWbKwQPfxij65 zE(B0TE$xcz$X;Hk5GUmHh*nXY7Hj-zMlQkA{c5p(`$7(3m#J!%X(XcH{#aNCR#UrF zoYdDq*ubKn_L#-5u_|wJ`MZ->^8;&`!uvQgs{4+v?)>#J+dDtE4KCxH zO}G&PK>8Sh$|ma@mQ2Tju@c0gM8<}t<)i{+3VIom%8G5znRYk8ccV({_ zHL4`tTkilu^?41Sjl}4{@@3tN1 z_H>-_#foLsBOM-AG;TFBHDnu0Bnl1>KLjSOu!yQ>BuQ;gocUIRu`d{w$f>pVF`!`A z;RMT58=f=ERD3FC^fydCSwazX%!P;v8qA8jCtFf48yjhn*uGw?vKO=@TRl5-S!G@5 zDyW_Dw}Y><_Svips-WboXcT`C!y{!!CaKWG^#q)a?7a&Nh_VbhWOW!PF<%F%%DmC> zlT#IBX0{!US*p?)6Tf9C1q?H%=}a`TD1;CdexGM&_|D79`^}@s7)@mXujg267f@I|L|B zdo-STZ@>Q|g$uC*nP*AdBB#8J*6%*J^4eNXEZV4c{8Slc#`!`)$cu8Do zZTc(B8lGCb&3*FITm0x(g}(YPdOjgIj~`+HaK)6L*Jm3cao697J}7KS4NPT14Q(u^ zUtV|>)*>wo+mDp0GS`o?9kkf9?+JEwxDw+X$( zy9N3A0id=yoCi1>Wg1BW76HK;wO1E2AfHMpRU3${r>71d=B&whYNlKA4i*mNbM_X- z>cU{pWo53}wLk2gYpFNCrl-VeQw`n*vY{7^G-3M`9kRuk{jlyPjKfZ#d;7SIOThTx z*>$)ZuFd&?8y!UFUp9l5Qf!oV4z3~1NiYdnfAB!UcRUnZ2*PS&Wng1fyGTqGN6aXnaqS^+i1Y!XR?30R34UGXB)pUH{kD%b)I)9rU7iz z+~zG)kUKX|x&XH1LY|HbhppeWN`A*l$jD%JXB4iSbu}@=(h%N8VsWb$WO=meE>AZ} z%pDMESSO{mX^u^8$q+s2Qh<0T<~TjI5%VyHuX#;UzQaZ<66k0VoN!vu0uyrh0yQYE zAp>9L)a!l)>%nBn8dB<@zD0(6QR=?Kl7a|;exT&f9Lu{TPn$67%95`wfZKTx=1SrV zPrZ3w45S$1e64q+-5?)-Guur^Bwsr&eX_z~3LugSV`FI;CkkL=8@3q;z=TsLI2l{I zf6u4w6s#4pl(^Z@q2nSF?nwuSslw`ASSRCBFeOuncc)c~Y z<;Psozqi1mCLJDpa_<`?B zWM&2Y_)4Xgj+c^j-inDi!^@S?^sWWSZt{_+-~?|MCA6DO-sgPZ7Osr~kfO*V?2IBV z7m+nQB+{%RYIsUd0fNd4%m1t+2PIo+6F2Ng^FjgbXj0&+e}B<%~ehu?+z( z!)BwQQ%HK>ILGXB8%Ov%J~K(jrKLT$v5|mv(mD$2Ivb(QH&v&K3N%!dWSTP`=YJ!f zqnOp4&tW*{jAKoCs{GJOqY}wN%4#+AxZ~DnrpqHH%Am10o;HnCf)q7Xig@C9@@I+8 zr95J{5R`uTUFs~mVj*#3o3FeYD5}kmxK%^N@@lJ|=XA7!8tTfy7_ck92fO-yqf*gO zCnY6R?Lv9FhpHx4g&3IG1X73u8Kc3Q0f)_^)QAyR;JJW+xp7%MWa?n9L4+CgMC5I^ z{l{;5jO;`bHIMnG`q>{v1#%kcKO#4e;7&DHsYm^6w)G|ly+v?tZ?1{vJ4FC-1M5hd z0dsVwJb&n+aDI(8mT@Ntw<*LUP4xDl#%#?5?-+Q3g_IK(FSHC~GQ0fTBn~Sl^EB1u;T)f>cWRnhn4R9k!PL-LX@O%cIoMAOM=B0Wh#uaZ#N(9YhCQ&NuP-%_=OBH)@PI83`%u+S4)!>|Ni3%t8+`B1$a03?luio@ z+5uNI=5UB^<^cGtV;cJqbOk(<(psP@E_H@uDLm>ztxMCMU`=rsW6IzRtBd)ccNIzB zdDfq2!KpQ7(!~IenWYPp5y%#P_a1(S7gpaexo#mWdgilMPehvT9sc{1+}KZkdjw zuB+(tA{sJ^>>vXm@<+Y9%vCU$T^t6e|C zK@S<{`sx0gXc%UK;G&Tojii)ZX?hbys{Ds(X*b}>*l%Rle0pI57cwS;#jfdb&t;G! zt%k58mpDOEC4vY${^EI1RYD#)%XF7cFaUwuAw73h6ijgT+bV3_j?SG(bQ};_5!OgU;(v zpvd*H`ivb_1hu;V~ zX>Oveh;1Vzuy=C#EYK!-=*gcm zbWo2WHQeZaKj``RIL*xoN--xVaZdcu{<}116iA+8n7sTC1;x~~m7sio9S4jFl&apDL>NPIsUR#=#b3`5jpf4DykNq+EX z{(9m3cx5l=8R~lcNVw^75mbZ-2{g@Z!R1B=_R7tcgGx%SBeMs6Le{*<;rUlNq5|MS z_12edUNuaD1tOs**8pCUxRPc}4)Ol69ZPeCGyXWS6u`<+pWVA}&mj#%TuCb)b<=Lo zURiqo?!W2=>Wp2f&2a; zs{|YmKFtO&KAB+uym|uQBsH(3@=V6gNYtLU;GCL7$?c^M>q;Pr5wwuLZ%2RhJh|36 z6}apuRiUNa*PNMq$bE@J2X^j}<#Zy^#WEr^8f`Rfa`!!(uO6lfT-6Xiw$LA4zDa*U zWb&trF3QVp?_fHt*HO+Zwo}NcCJcT@T5Cy})-RXmK`cC~U3>$Wq89nl z@5onYZf5YMTN7q~Q_K7zt5E_zQZ?EpUYv6RA$_V#^Ne*3kLldK=|aKs3hu-2smLlX z-#i3fFKE6TDf^f;@IaO!YSh_WfN5%5%UC-cek1wSsvJ6hcUI?Ipf+It&o!wY_~f#BGvVrr=jjtf6^P7boN zqfYvvepC^`;HWJU|JdSJNkLCxXpqywK1Z1xD87jw)uHbnFdSL!Zr4QBG0!72?Y*F! zD$@YJzka*Ey{D@I2!ZXs(m%&g;`oZ>4@_`3+u)?us5l5t)Nq~|(eUljXBdC)3XCf_uja#^P z_gy*D$|kiG^rCNAMDT4H5;Z`=>+U-_QAuIG4mFho^hBlVuSz|hAD52@vZ~;`D$=K z3w@!pIQw)2vKlI)`9lWpvRvVgr4yHL@Ar!i4O=(6ekCk37k33r5DSE~2CwZ~;dOSx zU~3Hi_L)^dwTLxucZ|xXvOwH>KSToV@oUrV!pa!rXNBD45UY+RpG3?46`{yWc`Rz2luXI&P8Rk zudeI9iB`|to+{|uiE0QcS_o>`RZ!&orP=)T&R;p_yysn+$$4{Z6b!Z|G%>2yThVZH z)RR$AbZ0gvNyN2vgs1ynYen=HH$N^LO92qt=sQHLNvwos?o{#u3N~muO)PkLQ3tkA zsQ7Y_uXsu5`zeH^0REw9K38rVYinO%aF?8vMdzL9uScFi^a{$M9EMRI6_cez@kakll!rivtRXkhCN1@ZYL ztK)pajqN)Q`I)gJfDbmAi@h3PO1DHc7W73yfR^#`U@*Z27LkDgq#NWMK5vK?BwNR z)DYzIZ+FAwYJ$FiIK|KIk4{}lEEbEx(!XmQ)$}Kg^mza1l`<-to(sT~4I+W-x6`P#i$^PJfBk!t-Bqy#U%!Z5y6LSG zCSLXkBb;ILBcIk8&+KI6kiNVgW--HpTk^w;RoGk1xA5e+wCrSv4ACHcYM?>&s_|eu z>>!SUaZbEm_PscUr2~%)D*()oh$*YUbbsglVtjid5A^>{N}lnktR{Gvu|ktZS_zx~ zz#mA7sAh@F;xKXpEz9P90svqv-?Xlhvptw-<+rqg_uHk`-UYY>ui%t2QW;@O%~|EX z?x@^$M!wdKCFynlW^>q@UvU_M$1)q7Gn%7h0NWP&-r_05TFz|`?D0Ud=M#yW`iOo? z==>whlVN6&g}FYiIRycIgav~#vDy!<501F$b8PLr3GYN>G^+rZe^0QY1=b5Z9zCmvP1lQWpH{6^gA>VlS_$5s+T`Ss z@t1@wL|aLq0PQojALxxyGMU!rRAedZND zQ{jY`ynD}{PZz5NRVM6g@0f1rK5*f;Uue1?|gi5}~5 zO$R3iT6LhH>>M4}<=Oy%{>NRB(oNN{NvlGp%EO?F$th9v*t7UdrgN(t%;(zJu~f0_Rn}>jgs`%pc;d#0uBuf0>Fm zL^pB@m@pVo%>)N0a~MqzLcCdkqv!47HF@QozKUoDMm1^5T&TpN8|~=@A(~@h){c0R z@NfzXQr9t87&!%ik3BKiLXn5nGsD(4k-tK5f$3+=%;xL<-mbYuoE8-@#26FkQe4?F z-s`@Y&_(n~`(H zb6FmRlXs8k=H{BfXZiEKN+@McXR;EMlKK)M2zA4hx^HT??nqi&h*!3t;_9~Q&2ij> zsw%WOKypujQ4!Visy(O4bM2+^Q&@Q@0bYMmbK$mo{dfILKlL@1)n5v`e2TqAuX z{^ef`v=78UBfP|u9uLxi;I%IYgBr!;Ck)WPCOv~U55ju5L70whJ?MBBmlXj}H;+ zL_lL5xv&Vpw9qPIo^*sN8>jsZ8Q6RBkllB`j0z)_QN2+lB2E)AdSyU_v%KtrnM!Od zQdDO@>x8R6({w9y>`obcAnK~9tfo5S>ud^b-I*xeB(#i=ny8vg?dEF^N8J=!JUjmV zljacd&3;>ggEv%tZ4!n6PeA;C&OTl1sbo~e1sl*tUj0FeR9*qP28r`O&B%VsK7 zGC;oul2QhC9$kMymfNpYO)o~s8adh29^T)Ar3<#xdP{10K#a%e%^ARQ7KF8s9$8d)kHCFWY|bK+;7I9Y z5hSYh$4u>dq9qLKlJ8^9m4XdF{pBULcQw7SKq@7ibr49)J<(HMB12m;4_&_WaXMGY zzU&QlJW;4OMrPypEA#f|mPU8hlVSL?-bb~vL|3&&jQ%SUBDY)%5&a({b9LeW9+~Tg z#uG9v{Wb{<8A^oCM&S;DcW|uvO~EF5lW!Q&zs!-O&*a#%|11@w;!1*{68h; zODRBNu6Vbl|0(}=hWc;J97^WDGV^Y01-8QVc2w11)0kdXC;Kdh8HaUoQwphUUb%{M zFB7CS*R9lRorDd*RY^`k?|6v5dEIx>eKN|qh&1K!Qec`;M;&O-bmNNc=)^qKvr8_M zdCv2kWhYDilnLk^A1+i}*hvg7^ysQpK~5AtTyc%Oy|bSk+U3&MpT4j0PjLWsqu>_IOh(j%h#2P;KF`VAe1%pwgi?9sJ!bj!#%F9K zqy?Cmetup<8Zy~Cx+bx@S6^o8H@0(`rvtHTq)N!#PT8gXj&HLVnU(v=X z0Zc#`UZs_)mTEM|do{TM@bHlL?(=4U_BMk;@p##dM2>Up8$M93Gm4G@p2c~Nk{PeG zLKsgNUp%;a622bUUy}$k5DVQvbpCL>IRze6gbwr)Qsapu>93(T9TR7}V+t(Z=ikCUz}Qv#;SMxJT8@PvzYgr?EHxxr$_vy#Dp z$7lti4LqaW!xMAg%G|3wAKSUmXTHp_Uq1s?LN*It3hFRs#{E%BkMXybHJ%2qB2GFQ zg5_@~61HdmoCHqG&kH^_v60{k!h7y9#SMpFPlwdy#e2avH!$|!m+0{ZoL3ivq8-H- zN-9BZ+r6jFzN+|*{^e=z`5Tyb&0uTBizUo>j^C}EWbjC&JgP@XDmjdx(27FVW2h7w z5j#Ux5e>n8W$!~oVN0Qu{{r}F66=tb4zgi)2zLwjDwB|ZUJn{tn)X4W7!92y`(9)(#LJs1i*kHh|vPrDOPyUV2?nnx9bdmpvxeol&8qTr{96iut)T7xp1dhoRE z7^?IrYw+2C!BmToZzAXZwV3dI7*?&z5S~$G4^w10Tkrgn#-{(W$A}`<-iA=eFjE{+ zK1zf0s?^N@c6*j--8hUyJsATnMR`7%u;PKDZn{OO$-91o`tE)FFXoM0`4t5N{!ocX zSuOtM$WB^NRzjpxSuq|toyubnj!|uD;kC0Br8t_^GE`>VRW(s@=HaSQ7m#+& zIvbRIOIjZwMU+L6t0wnh(RW6rV}oF}ItRSoMVd1Cwo9$k(Z4;Vk%hO-m(5^iQAKH} z-2#wvS}ZUcY5a?L^{Zq>ZO9ZVR!Nu$I2uUG@>6i>+n%9&Q;Sn?np%W(h z>H(<-59D>EvBgjy{%)xl=^-B2@jnK*Mn*ieF5V~Jj&};WnejTPG_6KNLS>&eU@wT{*;ByK?&2bZS|Ea@`pWT+7!{OB9hU3M(h=rg z`uAl6OeT4QlsajX6edGPr_&;kGZJ<4d3Qd*zNiOvoE7(kt$$@zTrNQ*Ag;Kr-2ZGZ z1bBtu6RUOk$S_p`#5HRxE?a`2MLGS{R3wx)ZuS}>pI5I2+pZ`_I@Xa{g~PHVj0Avk zOv=apQeRj(YjY9dk^pBHN*+(3rH~=!FE}2LE!m!keZfRugWXQ&R@ewqNv`fqc6@^QucuM!UEXkr zqLS~QFOS|-#?x=`uxA5KAe>^TZcp;^8&?AvEJE{B?FvN>It`$hgXyE$I$^Wi(`$ zH9t#zE$reX9>=s=Kv1<`A3Fhq{`G9o^n5dSe;F@2-`WphVC*L z9z$Nj)w9bRDh{(G7q9R?4pba?V2!nnze4qR`&l3SE~`I`#$lR{EEy?Q(1Y>^n!t>) zD;JCwu=a{dsl``klk&BTN5&I|N9RRzD=G8vbTH$gp&sbz3KC*xAqW8D8fU6!Gp}qg3 zWZj|(K6gGD|4D!;0H;hUXu3GQkpFWi&#s6?NeLehs66c@xjhL3m0C7ycx1srk0fs7 zU_|U=4#&pgcC7`GgN!=VeD-yi&g7#%%TW_snsdgPc*#KT7oN)wtaolMT% zhLNU1X>@wMq71XP9Gi%r6tJ&&Pe!>i{%5?fZj{&pu|G*96;gc6ZBbbhK6PF>)0K~s ziIa@0M48YJd?jw=IVwEJF?#>I+rW22KzhReNdlbnxJN^xE2sfI(XW*OL$ohv`wTfS zoD!R!{6itYrQ>gHgq6kK{|69Q&^0puge$stG>()m)FWLn==@@tDQkRr3O4-b5{Web zd_I(AJ;uqu&D~QC6l1<8dWLocA_3H@=jqz9MbD@1#}e062hDgp++dC}0aDbm$m{p} zE8|VySjZSb(2I3m2b!|t=S<>Zs9RVVL@#U%G(4}V$8gUs+QbzP`ME_(wdDgBm5ea-JcDIX8itQiV~9) z$KyXDYHO3&5nseh36D=WK3|FQnyZ418}XaJGM;JU)uM`P_YTy%5Qq+n1wQ3;#D#)G zA`PuC`c{eu3sKJ!3-uAd(Z6cRSoAY}JzeI)>ebc|9LiX!_?s30JNK)p1ENE37s4*O z23|fY0241YHRt!Mhcd&iyrgm!tU@-kQZ(4Hg2LaQ^F@}ALKiPDnLgoi;~=MSxE8Y3 zlSUe{zW@=TgnX=MQ_K&NlXR;4T>Q7lbu(>T+s2>~_EZx-MG zGmSHz>HoUM`F-CHD$TT#UQ<@z!r#C~LP6G^4ZYPs;Fq7kCj95os`m_ne!;n@br-U@ z`U~?{bZusM_$P+2a@*V(G*FG?Y%&6o|E;xmjI!l%vP8?aZQHh8b<4Kxx@Ft8ZQHi( zy0>gwQ@?-r^y`_Px89mJ6Cd)+Il0z3nURqZJ9fmDFW$d|42b(XrH%N6EH=s10Mf9J zB2v1KE#Vw>*5TTj^LJZygY<-*_Mfc*zl~g+=>)N*7w~kSIqmn>%KILqAYD^_Ao&|- zV*~v7beNB+Afo1FDIu-@ir2!vj{PbgBGRBof(%K{T7y7Fu)vF7(2W_XLT zW3Y+d(WBQSx@O+Fe4qON{mCdsgQx}@!)Dh>6hb{ z5r+PVXlfFq7?u-8m{Pg^y@_BQaJbL^Rrh_a6G~T#82jfE4kg)5S5Suo zaWXF&=(Sy0r|>clOXZJX!o?6wqXw=^n*k-sT^c6<-DDs0vAQ$QMjk6T27XGC{gm3v}Ie{>hI& zmH!=@#RB{{Xm%3ie}-m_brc3Z+J+jq;!)yR#^p`_L1zD;XG(J;l`pI45XuN7ff=O^oz?~s;g>kR+Q<_C0n>zBh zrdBq{54w>ahc5pBGY?9ac#ra}Ic*NXrO{}a)4vuEPBmdL7>B2$g!}D6q5jXw%GIV4 z6!LJf)bWOlncQ8JHx|To$G>tw=Ap+s}0`n(A3n3kbE{&J}aku-GpLQ9&&*c zq+MAcTy%kf?XVH!(sXE4&Pi3EN?Q}noo1f37dPAyCk*}&xv+$aqJ*P^Tg_WuSjn;k zHY|qe38rP^T85Zu3SFUC#wxj)vNYr~G|gAi?7JXR>QIR3*fFnNaNRu8YKaVax^?da zdb7*|kwsN`O2aD;?y$6Y;)=2gHIWOmU&eOa4Erdn=F$ii} zj9fobm0NY+3!RR7W>u!RHV#gb?hvt`M<;werABpwVQ7VUE`PB(db)brg|!ZB(FYCP zq!aJ9M{=tG!cwV5{9kVIgV#N6&e4LaJ78$425y(kq;=>&C1K*VcyYPnAs+JkplF4b z3ScYRX~WH;7m$SgS4MJp^uIHbeGLCIBiWsEUvEBQ?IeJVhPW={5h9jyUks*^k$FMY zVkxkQ;9#q{tw3xT)f5FWQnQ5p*XdMPN-+2ieP3xK5R+1lhX4>;@#>*EQfvx}CVN(4h}cpRo(tH$imAE(D<4EF}=88k7!+#x3(49Svz z64L1;H8cafG@cwVo$V=yn>I;gGf#ORv#dl&=mBUdrgG(Gh7!Wl96Re|5Yl;fHoSeF z?yQG;J4$t99B1CDyvHUsUNQ;knZC|;!j78i(AAT0-N8@|Y>eBwi>HBq^--#R`Y6h{ zL(RA9EW4h!_p8pWY^ls#@_sAE#%M&pM>#r#_vY2(a_>m&Hzlhm7)X`eewjSV@0i?OGq?m}hwU>vgkoMB2 zA4)NCr9@J|1PgyVGkEDQz)f|1YFu7mk&C zJZCRnjbKGq8&*1Kt9OWjB52{m0q5Sa)Xd9x|rN z>JGXJqy!5=1W9CBNjc}AYrnk7x-yAl0rw7pqyIs30d~;l4hV_szk6+r{zFyXH{m%i zQ2OAXkAG~Ae#~=D5{c@R_56R{I`L}2TnkfW!M`xBnp>-c>B_@Usz$zg#ryvOzgCk! zgXp@|l?1;lSP|<~pVdM8oYF@j1i+To=#YI(cnyMb~mu38P&>uvt39ol4|A(SkvxP^d& zqcRwIE4^#vS=9Wih|@j$33=xPNBnbG_wc!n99nPUk88Ln)aYX(q; zOzGZE-SDVrW<{hV#biaOtIt0jqcbjgjZWto3T|c_wrrrpQyN?&t5(TGG0cR2(>oSO zcP-^9`7FCNmGSa9EEwv`Y^Bp~ccSLtYosA3>nZ4UdHa7*VvW520VM|H&7udTqL@f_ zv0rp{X36L!RQSze})03o0Pp8Vs^py7$uQ z@WK7UWtm_2$ppSUtc}k1xM4Q2;L9;R)|AEPii7(nfFTP0vOEb4b;IZJ&d^lUN={FM z7i;`y1B1xM&i^e`Io3m;Hq)UHXBx)2X_?cSE0_c=>9Cl;{h6VlPO^vZAO7*c{|W#2 z=zWIZ{{n`raO%@3ckfqNrqS8a4$s8AaKtcXo|sos#Xx^2s34L*5o-{NAvR;HJ7_)q zV%<>I!oYm$pdg|c46z&WL|cK{MD?=9*y~mByE~CIP7@DZzD`eH!}xaU;)2GSRyOMQ znKl_JJ`xFva_S+7-eY7{Tr&Glxm-k|GC=~U?)j48k~6}fiRtmwmmPpU8B75j+iSq3k@t#?wibB~;v7S9caxvj@h6g9b_r#P zx$UCCk6(U=-pazwIP%nL2YD64g zPDuzMB?i64*VwAFm=pB%HyHHBqj(N%dbU&P>qK`s`Q?tRXji4C8 zlt>T=0Er|j*p)6mZnD`uUy;ZyY+p)ojbl7LUxF`eo4X$AnSL>IGg~>zrn|k1U#V~5 zbD5LPiEx9nSpDIJ_qH4yNDtO9g&MVwXkLSsDy7X)GhT5+)~{&WCvK&!9jjO1kV|)z zUg~p0@XPWVS_)3#HP2T88^$u*z5NraI!o!Mp;3$?^<^sl>-Ay$=+CVI zlwbMX3OJw3=D_JI8rZ1B3ZMH*^E3ZPzDn>s%T# zUqTdTX`j##k(q4aa?*ik?Aq2GnE=S%I_P@65F%YFS2`SUb@T)QNE`|%01PXOvyj$! z6VG{5gf@%wt#&62z_8fyic22p8An-nS<{?YB1b=GB)VPOv;XidlSQKLQXi9%YyMk- z+Sk?$JH6;Jl%bF_pX(|!!;iHYVs=TQfE`w~JKbRjw3n!)XvW30u3qA!m;rVitc0%2 zFi>Zg;h6KgHI-b6c<&F#^e7y^29|adXw4AN7xr!2UksJ+lhZeeaj4aV54CAG>K7H- zjy}0P;h&R4MrNb+}A+LQ~ zt3EU(c&A&r@OU4)730rNv?`=916Y-wPb1ZX(&(f1C96(zcC?sQedoM;qN8po5U}0tbsyavmB+7Dsd>A% z>Hd;tek~6>RM-_nOXh$eaKqo}@P3|GhbukL^mtp6l!xtAAZn3xLX&AMM2P6$sYz+6 znYrS#`x&+<0e;8kQP?zZCMhqC!vgqe>4+v)13hFf7bVBWAU+5JqCPi&QCz z@iHtLjZ(9vWFeT?(dn@=bTiR*2)FYb6XVCU$6?YL|6=dF9mjy>MgeSTH|&Lg^MBgu zy$*F8k{N z#aVBEEnW-XOky5oJTY}N+wSb#@iCih>^xt>gHb0tgr$*=P9W-sXt6II1GHZ>MN5z( z4Ju)0v+=Gxni^k(#UkG^L<4-VHzg z{u;@t*bjZO@qJs?B#i(nNr?Cu(lKZ;!Ajr-91k<;eWJPy39nqIv(Q6YvlKZgS8c)Nrqur8M~s{ zJ)?c0H(xVLk3gdQy!9hLI9i(fKDnFoxrm?Zao5_3odm-Yt*Vsp2F1A}AiwBtVhu6Z zCD|U~>d)CK0C|kM%_3rCEwGSuM=#KU{R2{5eY6j!tvUAut8Js1orq-0g!288hJDxF zd|K(>uI+8I@NK2q_s=drokwEL)1cE-9KXh2bIxCC>MF3-beGIwlA8|tk2@U>i!i3Q z^}hA};d5`L0?UgC0ccB^)%-*Zzr#O^CL4~5(z$exuRq$~J$^J=FxS4Qw)>ht%l9_M z-t!i0$KyJC_apfmM3h)dzUR47_&x$KO3AB+#DZ+jL;|iqJ1(>=<;6pr!RjSK;9Qb+r zG5+0F?^hX2@932W*gvMmGVVc)aaOk5c(@*oo%?nk!)*KOlvH+6tv0KlO3whM+7(aX z$wM)yMg8h;D4)-H#d;!i11*lQ!wOC2$iy%I*qIYTii`rQC7HbOJsf}#QD7d5n~lxC z34m{UcSQH`Cv1ZTdAE-Z0K>^;0Wo@QSO%1OBewi7j0g}F-{Px(V#q=TmvM51;O2u{ zc+K-lVLpOwX0Ld5s+ECEoF-FhdiI%Pz2B6qN=?qw&XxPkxiXNz0)ZFP8Bn5Z!9kk1 z47d@aw&=kMEt(3M+Mj{S53LJ{yyBAi!v`J7+9~|Tcwvt{3OfKf^65~(DTr9ItW>ON z-;x(VD3>wn%wfd{EiuA}&|cz#;3^kTTsUwVS(3s@am2v1XZwC^FM>=~3!eB&$*%Wf zYV$0U2S7=of-gQLz(Dep6u`2Dj2{D+TB9v5Ofi*5x%=f9pZ~Ve?(0U4e#NTx4=yai zNQtoE0%Rpip8^3|wuViir+O8bWPMM9(Xw!6DDbel0!?f8C;zVJ-TAI;m(P>$@;E#; z2nl91TH$&uRj9~Fd0gOpQ>c$3rL-RA0If=<&*x??|NTZyMkKEkNpL0^{m#u^1&|J5 z2MQeY+4rZkiSpc<#b!iw=&}oNIuKl#bs59R1$u^9rB-G+G^ZehEIQrIL>O^x5kqC$ zSOn3W)0L3t79Ru?TVTvU-#og{O=0xz=j9F>gke0jsQ)HIxRHa8U0>vUL!vqHz6VO0xKzZjwTv88IBvkOuQ*0%z9F2IG_jxr zmQ(~u8YywyZnUZQHae2a|7_dZ5w^}~6VbsXgk0WOuCsj-b(E|!bSRLlnqof@%doUm zbx)h$fiPrF0Kt)IAu;}+FIV5qF!MAKi1GN8D5^UK(5IQ4_^w`&Uwn}!#UlhZ=}?Nu zucT$Ja^#aU0M{`_8cEFdGC&PYjVib`{km=)oiik0v6r-Y#ao>Bc@$RXT)x5@aX{2aIKDL@>_Af``@YNJ;cDwQR!Z;a@zu{zmHo2yI&~q54 z6SX$VLB9(L%1x%|d ze%qdZsvP+VpZkq^Xwi_h=>1V@EQufvgd^2)QU*ZX@d0BHXYE6V)iG!1IsIF{Dx70( zY(2-6`NYHBJ+a$4=TA4*TE z+f?qKB83utu|t&5@gJRVHt&%F6Y3N*H)TDA>p4A%z6=={Gy1figCo&bnuxx>)9lUj zPSQ`#q6$+m=yXMN%_oFZHA@rGrb&gm52x5I9_m@!MopZVtb*~xNogHbpuV0FH&l~h zyEw2NS}t^D)5NxF- zM|#|J+8cDx22T&|CZ>KH_fXSCl&!`&x`n}Cy5;6_>4AOK#fzoKj#;#wWkp3a;+UWk zxXs{Joz7|9Gp2L@7NCr8aIjR^oFc+!GMIc%-?UbDosK)SQq>L=q{N5WdmCFvN=y9u z7i)Wd*Kxui`la7brqby3*gj4yQ=`*m{nDtwBcfr)Ew?~U;!CE}tb6+czkWRszt&Y@ z;XBteke`lE2~$K^VsaV3b77~`Q0H?`5MHeSuQ%B(QHyB~{u^7DPjdr2nt5{es=wNg z+o`!!x>V$Jc9&_}b{KNX87PX$(|jKctYdj=?{u3bTDe-)<*>fRX)?oOwz8LP=|`RA z@+n)nLPx8z>jO7<{De~$JHpuEBq93a8A!CAC*;jPIdUIIHroW3`^X2jovzdIrIlT$ zgIqk)F-1T{#rYC?3COvtZOthvp%CX}>Ta9kau$zCQUM58XDg1mB+rWJ6v&yp*sd*P z*;d41rD~N%^Y1@PAChv#ZJ0OEKNU~;^iuE=JVPpd@3nC2uJ7qao%7s&)B~jc?z&TT z0~aG4z{Bz?I}A*yJyD0C;w#USXVe-Jx*xKoLUpjuuR>1dS}ouEh(l&4jA1+?Tsyn< z)g%svz^e>m*sBPX`e&n|xBkhReIRX`Mp|D1 z;{9_c<_Nl({A|`$6djsaXm)*LqXj}rF^UKZDET$7^U`qp)ah8uTMC0gVJrvQjLRg2 zG`_C$b!^7BTYGu?TR_(ij|s}x%3@Dx=G zePzn-hW*>pYlyDkB7KwT9O_SUDxBb;h|ZKlzzU3fFc`NXk5hH-p8@%|H+azcHH+y{ z_NCv5+iiJ7epOJx>Gp5%Fd9J%F2A$m9)@fm%5z)n{?>#_%9b>1Iyy(L2^!fvs_+11@C!r)XwiNQ3xMH|dqyJM!M!y)K(#b5Qks zDrz|Wd5+R82LKLcFE(A8ggx;XiD9w{nHeM|5A~b=mFc*IdA^^CF5-d+=%4)UxojQ*Gy_W|51GgMr?SG(X4Ot+KA{N7rzrV|+y{vn?`o|) zJjmasx8`MwM~)wX>FAeeqlsGiCm8kh=@Yd{t>$6>>&$Kf50z)2-jc z>ytVos1ntuGu^)sp1C&kiVuc53j;o-vs(hbVmD~2O#ZfUGHUZU&0FZQ-r?>?Tz0E3@zdSf=zci1nh`92Kc`#ikB<8^=Mn21UY z))QZzosXi9jbr<~9`Ancy74{kd40U(oJG#Fe-mB=khk{SO->~GZeo4{NcGf2E?-~x zxV+cc$hIUqIc2!<-Nzz#=mJ&%kSvOKI9^{)b}U=IhpvHkkv#K9%mH<)ydE446zn~7B?12x(92muLQ;~(^yW_^yXco{%C{@yoWLzMoZqcVm z7|D7hkZB4`+j#Cd4T>-=M&zmP<9rP*3)@pgex0aWkqrG5)m1rX#9(o0K;jrC6l#MH zm4Ivd{B+Wd(%V+c{hZTN1*^L$3wZy*kQ_Xg9fZ+S)xnD!we`q?K~(0W_!eAHCb~VW zcXfy`;>O0dNZ1J9gzhyJo6R1))p5XSXag4tcl2c@K0~Yf-XWN}F7kSI^E*R^-O$LS zt*o%wUQX0Ibo_u_J{4dA-!k(-5`W$h$`uZYxTUG{MY_AH?~N`GYl7*+5GKmAlx<7D z>Z^;KD_eNVCmhGebFO=2N%h=7``vbstQJm@&cUCT-1Xx!IQ9E&U~;z0qlmRI5NoOV z={b|l$6Ho+_De0-{gQ?4xF%Zu`zrHZ{gGS0_x!eYmzK}>nfF^o=k`>OPaE@6{3JN{ zb%VT(9sm1uXQ%Bcpmb-WAW63U>uY8>pZ;V8Xe>y22$JWfqxcy%@fyP)CCD28YDxsf&5j$LNBnhXk4Sh%GD!VJr}5Y&(u{kOyersisHlBd#2@Y5v3KiGeWuWM;hPTK zT4=t(&xNpdf=a|ww=kd5SP%141#oPORu^itn?4hyxGw{e42z{{5{W|^v?VjJK3|W_ zzf6{dmWe4sOtG}zcnPm=f?yKh3u18eL+dtD2+*uR83A5Sj5-4h5;~o zO+td|j1l048AXJE)qM#rgw~HeFF>!z3MN!xeG zo_+A+$v!bLzLoF)Lg@vtXe5>n{RYOT71(W$WhKSTd&e)$LH6JW-;lF-~^2K z#APCHR!9TQWqL2In%ng2T)xShAK20u(dzsQqv`v*y3s$4=@31EDLNus?FmRH&a>-0 znjwX>6H)tjk>|WQS@&HQPb9kC@*(lyYZvH538+jQ=sQj-BB8FJQRGc*Wqm0Eq&y+o ziPDZFlNZq=jAJnxAuv~w%a16-V}YN28vM_f#Y{Y*)zfXBDF%sZJd~?>zGa`K_-%jS zqtmZt*OGmIpC3QF=k}Z)MS~ks!w9SZ`>wscqoVh8ehuc@IGnINyszWhkx#n3wdSP$KB zJl?y)KYnm2?^SGdJ=lft?sav^4R1aVTwOTT;|>;Y=-oDfBa;Vc7+8VjTXe=F_g@mc zCK5QpHMq`CsofWF*& zZYv}%8>s`^8_lC&O7I}UDE?vh7C4P)fN%@INf*Hq^&!qMh_a=~P}j9icuH?zV|?>T z9gZy^znhLmcWTUUS@?1ovCyAkB4MB-zjLkb2T{-a+V>9uh20~{eITt2fmQ5H$?+!gN=ibXG zPolk3c`BtYytrpqW^H**pF(Wj4by~aAD}*G0=DOw7*2LtG1>QwK1xomi;c<;@+=1o z&ahl@>z&+ADdQim;_oY8e@xyq=lZwsJJO+BN_Nu{csOPhh)XCXmr$E(pzTcp&RBWk zy4vg~wmyjxN%W=2ETc6kisS)A&W&(2+?`k5aK64o;|Ml$pIPA@rsf~e#a5J4{-8^K z5`h|BETP;q_*u9H(IMJrMmaE5v?&3=ccpE6H~H#q0Z77@;4cTVh547>b;OXT=*esG zvgw$sDN*;$v0x06i5n(Li7GyCThijNhm2`MvQJWg7@{L`8Q)&y5Bc<4lFbRfVUVFB z^99Dp=P=Gfd5KrVjz1;Ge%z#A@3KZ-asPhl3QL};HAX!3QmJO}9Ci_XYG5#@k0>$8(PKN`uBQbX(AZRV_G|3#sl$Y=DwM!`l|@8;AYn#$AfaZ_ z@pVtEV1($4NGdwlABsi>^pch1>7hNOmunoEU|WV+fLteiLzYt#Zx;RPwaJbkEO9+Ib8s#5rj8=1&4ak(fG z(|njk3ZQzxdTdAaB1wJ9y>;WmrNMHu0D$D%hg7L-3``jKIfTTW%xSUAd^sVK@Ssak z1&sFuR=|(rVS1L9IHNyM#d;T45PXsB`%WIXM?z;2gSaL9=!}6CR#xLB(v4hbaKV~F zgvgSK7hbDc^~>%v!lSNDK33H%&0LlCHdSAQ(@*idX5xRB6PieK zY68T~(?GgGDT~fMDYV)kVeMGLooPdW){hA)?aC?b+L|_5l^UC7hc@TsA3JLLnqB*_ zRT>pmdbq2@U9X+oGyz$c>?Yy9LoHZ&($XR9oLPUFsLn#S3(<3H`D8AC zc6HyI)yx2MsLrQ$D@&P|3!JoX{Qw~-zns5#TD{@@58fM7^sxR&9doFpotZr44uiA9 zSLFSWnCD8eQusHvYBjcIIkdj}C0gPxJ6<5rGgr^G2?=ORV({BFeQTk#5lxS4vv|q> z;*l(sr@vz@m4w%ZZ%cH495Wvnc3cv6*EwfVbO^<`sLm-y^h82LTo?Ul8j1fXnrr}>qw5vu~kK67t z)v-vs(L!LVVRiUVQjK2c`isekW0nM|Bk#3xa&B)^L~GA)-=Ub3FPCMv~?%~G%Is9A6Oj-PdM z$RHQ8V&K|5t~tj8&MMBaq#0narNd13a6^S%)VuW>JN4?@`7)KhV}!`wbAf?lXhJUJ zF^5PB86uK{2rQ!b#oLQqalVPUw6?lm$0QQrhf{3B2`fnjjIE>dd)&LkObnag?<9SW z6;f-qb{T&D^>^FldK`Gh%PJrnLy;MKjcysRb3O1KvB@QtB&WHmKun)mBlv9_0>k6H z>o473fHPlur3@i*0}`W8l#V@(blmPv^uUZa{8_LYi-Q>l5tS!d+6jg@G^8$#B3N8B zS-^r^B!swx19@u1>-la*O^D^OjgmspOpwHp3IJXNK7Ruzmo1%!Iq-?Wgzy?4nQ=|X%o%tbe~KdN*kFn>^;;!{=9ipD46X6;706N@eN>Y z6Q4ws`}5_+1|Kk{e)Fe9h342(03ot)W+>jRVc;!k#0fkkeQiOe0fZ+p1|iIU0J&g7 zi4hc}Xl8P^et*N(ocF%Ps-_oF6cfS(gNtQ05cFLve}4_Cbo+jxzn;b(c0YdBevUYO zj$HfJkvM_EDdq5UbA270Y#Co~OZVUHeAKqdzU6jB!Rn(qK>6c>tuEjt@ zHulxU?s-cA)9#fE!TMU$2}Zb$TL7XxqJ>RfD-NVyKkDt?)R6$m%u})`lVl@=lq)oT z1?b@w#Q}4&OBkA$Wvt+Nh)zS^2}qXFQs@X6+Ui}UYLS0e6~90}?*61|!+ii56%?2) zXNb#0!Ff#|K#9MAgoc|*iR^I()iG)ej4>&40UCUPv{G>hoIGQzMc{gmPHM0o*2H~j z^)t)gDVy^n^=3^kUVM2?{GyLyjy1*+?Y(NcPtJAL&w-kF+Y7|?6NyEM0-7=YM%C5x z=8+nNfW{lie28@{hcTT=Aru~)v^iUbVA-J-qdlezogm zbsMLC`-w|X0WukaU4D=#nk6P37U6oXtD$VH_J z!UyI*-gW{Orjpn80xk*o`c*Ytplo&OHF0$5ck#w&YVR=(vLC#mcuJ4~#g^Xj6}QcJ z;E{t0b~l&0emI7}nFqwKCm__+D;J8I0kRN9z}x0QRw5@@44QfE>b*2NS7aC2eea8l z>)TvjnqN$w;#5+l=}W5!DYZyXfvNPyC>hTkW<71=#Z$Yl^C$pGK^zxCkde;E3DA=P z3r^78GukeKqipuseDg<&+U=A})Yv+>HHS$c$(OwH)w3O!J|n;e}9Mg4%2JpG0pUW+4ahr3^*+HKLa!GP5uMfW0 zp>%JV5UuHnL#IiQTc_u*Mp`4lH-rN)Ma*YlPiu9sgE%IMpf(NZdW3jXGYQT-W#qD0 zsZdfs?=}pvI4^&h<{WE)X{{oFH%5~oum{+?1Y)E7#53$H8EE7XA7Ow5i@;m~iCtAy zD5acLjB%t1&FmX(C1yd*Jb3g02>bAGY$iX}4I~%D0-|(dfJ^~O$POhk36M7k!XN@P zitOUR=D^yI!f#L8jbxH8p zN~98J=!FpmhDDaXBe8pb%yv(NB5c3!a9`*D0^N6o^0j6`;(LA`Lf=j}-t)gUdX^pg zK18y=8`shUShHbAAWZbmT|dT(OuhNPbP@dUOVIH$b&<3rApMuLt69Ym61@QGA&o2A zS;C|MT^geG_wk1iDRvQI(gL4Y5u?djGg>7;<%4Gm!idc~j}jpL;+vWMcI}`-2-`=p zRpRx-0SaVz@D+|4#*}KExS^u$tgR$jhc`?&mSE zII}K_*g&-p*j~z5AVUb^{E0d0KyX`w6WK`-pmB;w2-L5xjH*r@QFteIg&WLojE}=4 zOc;PqT0;*U{g%2AAm;>7lPhj90cf90Y9k2%HyY{R`lOWM}3C++Fpc2X@xIE%3ZaI_C5r zqSIoS;vB7QtYF%+Z&eWyk|D(lCdBj;3q?qPN9`3*{+foa(U52l>)t`gn!xU#Jwdye z)P3%5lJV}ao$d$9qx{@eS~)NnG7~LcR~?wRjtmcA7}D4xFy^1wn7CPV)q@Q~BM*e+ zl&FV!c0+zLl&WPxCb5rB9Aoe%`C9Rf`Q`onA+4gf!T&RfJUE^+0o%IeI=QioI()CX z{l5L(=E9};&9CS2H#p^_N4w&Vy7zUZS={I2&u-R@@QG+C-@}|@#g+DaC|~_Z?_;Mg z{~4Y(ne|--{T5rZ&8md%BR%sZP!y&=&=9n{hsT(gQLYhbEU5W2|5UubatIzwHkxs1 zNV^5m>EF|ILR+xdOa#K){%Okg^dEOu=Ove>eDu&Y$2INxg0`O2INDahUMqarLfz&{X3m)m;&{CW1 zS_Q==-U7$CI+|bc47}XtorSZCRFkDuI<<54Ai{l80Vx&<_DeV?VUU9Jp#E~u^~}IW zqKF;|0%}3+!9JUBVP0wB)!2bHN#@W_$p-g#1X@H2q=+hdlOHPqX>9L5<1+~iysR?E zl1oW-r<%3Z5YMqEMZ-y8i?JcR=Hr0JHg4~d{^#2~2g@FxJ_i1NNn>|)r*C|tAq0f+ zJEe_X)%EdRA$b6knW^G^pfjGP3RV&F&zX^Pd$uvh{uB}=uZXUyLCTYq3K5aAv2*JR zVc0fDRb1KU7G+wb(RnBfZ=io+w9p~%EE2B=@-Pt$#r&uN@>|8}zyaa$$?Gr$7Y7(t zhCn)#tzDLY&}G^1K+iB1_T*KqU;~wXh5)NpJ{xXQ`>eykq=uXiXqP^hjQU z1DKPV*`f*|EJcYs(}N^MNJHygEhpR#y!elYggo1l%uq_$F(Qs@;2lkPcvVmdk^oZ% zm0rV^g5aex8>;a->E0xjrkemmTKX~A%2&yn->=MRNen&aSc1u=iAz}V-mM`mSlxI> zN94FxWe~`t^~{GE%74QHIfJ~-T6^9{W$D#lY!pvYRe6uLe!f)h?x-I|??je)y_EKL zer>JtzgM~y;D^zYpHElzJS4~N23>y7?DuZ$q*EXy{{lIg&?yO`43(IMXj~k0jt>Lm zaU9xe9LG92Wyivd%*r!PEUR#`44Oz~Kt!Hl_Ai9tH=pH*!?K7+EHf;YMu33XKR?ws zDj24Qlpw_~c2eB!@-rGp{{jKRt0)jvCL@EKpgjSCOl0`lg0RismU$`LlZ0^zGu8;A zL;Hmn`&lDtGG)ULVkRMcRXv`c@`AWbqTGvWnO;SxaQ4!f^T#yY*S2 zq1CpcrGtHuNiFK9H7{*S%IVv_t*;r#K&edu3-c$$b&0C-12ae`cMw{b1`(nG0>P$C z%7bD&6$gJy3spz6l_=#Vkma1rQPqNl@x zt|cU@jTQUmD?(;|IU@ysqjVGe0@6f@B8a7=C#kkGLBq^AX2~*r`yN?DaVvv0ltG4- zNwi5N%+;FEmt+tILo24`QfO8+x4^>z{Q+?Q>2&nbD+^&v#W28;+@&ll@&8URnaa*s zSh55=!2&aaGU4AciwQ}95V#2_V>~GAZ#@-kOfKI%gE=9R``MKX%kY2^WsvA$qgZ(z zvn*6rs*g4+bLapQi__rzIgNA*Pr-^jB&h^8jX?&A{7p75!yLgLuG%R02S9l`nUUv((8rx}CHprVT4_)YGyhTK1gUes!-VwLLmqQ7a;- zQ-V=|hhMX{vcr(}Oq*IP3+HWi&%lBlg&%kXg9I3ZS$WlMH0bSS-R8D^(Umm5L!EYa zzCvxHRO6X~f)tWU2A+NBzy85-)6FyfeE6M5`@MK| z;pvf`d+t1wtPgx_*ZwvY5|d^+k(UX{(i3~mhp6f;3UT)7Pk097(A@MC(%75lOg2yEbk?g9Hx_2~Kc#_ux+BjeDaFG;qoD zJ~H#(`7pU3X05x1(_OVr7ymj{`&YZGcki=$^Dy_Y3c!(-l#v9$!NCFaA0L2+HR^B) zpoJ*_ATJMK0002b0LTDz0Q_U`_2UaexaRz^z{CA3dxDz-5C5+|_VPauuK!>A|H;(=;2!24Kmc*TGh}2GWTa;(C@83? z&(JXNF)`55Fb$wWg&M$h|(iH)6$i;I$uUzm?Wh=r4j zj}a6cH2@wL4gnYLp&LN?c$P?y*`+@T zrhhg#cmzZwWRz#9Xy}iE8k|Rq5fI=J5s;7&AJax~-j9C=AmSoDr)C#J##1stdFg=9 z;UAOzjOJZM2Z8eV2`#6gV*n}|ArbKll2@P#+``hy*~Qfj=3ed@kDocYdHDr}MU_?6HMMp1 z4UL^$-95d1{R4v&lT*_(vvczcYwO^R&8_X7-95G$!Jd%O7!wA z{t+#_hyEGVC0|F&vd+V!?^VgKk8urp!!HCs)`~z5Gbe(?UZ;A(2TUrJ>n-Wb$0p7o z!3%k6@JJ!hdaiDtaC7%h%^vb7S{c8xfBR;L%@kpi>NcvS(EBr86H%qkJdTc*Z0*^r zYX6`9vau7!5zkvv?|y#X){f5!XD;#gG6-<|P7J=D1OjW9=5>d8Jrit?X7+aTa2Vcv z%yt?Bml1EIW%hQ;zT7&|(*4NoK$6?{jz#$Wwd?Xv?CBP7~(kr`#mT6Wkxv-Rmu9RE(Z z#d8KQwvJ*St>d=UJ2*UUT)6gtwPt}=&VGu8;g+9nD4BWJS#EfEfiv2I*mLV#iG!~; zl|_79{X!7EmZUU2kb~snEW;@0*BifXUDrWkq8vswGd5?3YuL3EXgD%k6|VWoTyhYL zW+FOi$me8;uX_TG3i|-Do~m+FRfG+7HR=3%%hCdnQQuujzn8DV>f>BmMiX(qA#?D7 zX+&DipenoMvC$mzBCDnAY;fSrvRo24g38ayCTC1X6l^Q){A3yI8GKhGcd?Ka|dKhA<2svmx6^nm|)g^*hdSd@$|C@Dgc!dP=i?{HlD94x+7|B0lUB>ipg5Y$|Eq2pRAQ*u(W6M?B=Utn94=OP(^kDTuTAR0um zpk5O+a#`_Qdldg*-y?Ots@{m)_vQk#r+cFPxtw1Y8X9<#?+%mu@t9Mfop&~16iRdP z^BZi)-5Uzx_^i)e2vhzOv4wPF_^CUh2Nh=bBA>{d_hDr_MT{R4$=&e~q`XwXcxV>Pqv zdN+jvQDyM=uSITd+oafn>Ebeqnd#veyy$?2 zSAD98Q1$@OZ2)QBb_-e+%&Xr~yt8?C!nS(84GWiEcTLb6Py-lQ*b11Y#L77=y{g|7 zv9{f}%+b$iQ?Mw*PX!ym`;pSb1ZGUd$;|iT$?Ww=E-DNeFGdb53Y(wKt6*0Fq&pDL zCQF3zs=nDw!CiCA^!EWaGMcd;06P5R@wLos-vA{s{2RBd=47#???mfi)`*(fzF^DoC46)_Wnfr%oX{pL$*eL z_uKj%b(-+_SQ>rn$)H6AU(Hu$m;Rvo{+KJfTaI|!w{*1@rKl8o^PP!s^&-iC-V0pQ z_f(CotK-#Xo?7-kA31v+RMSa#7P=5sJMw2Xd-A7tvUxhUM5~3{72mLLaw3cJk1C$+ ze&V#)Hv2Z)afRU?6v{Z_OOaVtqY)EhnYdyanQNi$dLbz8av)`A*IPP75#L778y=*v zWy0U?FuGaqZI$9sJbaXENSp8dj82Ytv*vU&L(ct^^B`<>1DtOSUXDFJKpsO^6g_-_ zcrw>3Vr>Sy_`y(In~@cNKIY0LAqjE-B6ro1qwDKC-`vOFHOiPGTm5V($HE@u5HyO5 zn5gmT*K0lqsod#Qi6q%U5vrZ6U(LwO^Cjs=(*aq>`k{ip$@F3|>=vb*{m9C_jCs`; zYX&0dh4*XN#+ZA-e@-VFBz=gWS01(d)33GN-e-pj0eX>dX5uU;XwAdpUnc${!v2VP z^UROKNBd4RUE7_@6u~+P_4`yg<#ISIo>H?A)DmXB?ot7*EHlYZo_ z(iwK)sITnzbTavBMOQ;Kg>{FxjPHH<`{JtB)$gtjD@a9RS+dO+z=IEfM86D0;n7KQ z2JM|9IK0I=LsY&EN?SkhOq^;Hm1XufW3MzpALpQ@#wyCf(hSwPnSPs#95Js3r#?+qE3~N6CAbROeBW+A2hGYkqG{YBRb=ADC!{puK)}c+IsujUj07;&J`@90_RC;>K3*EQ+oyhwQhTG;LK$wD)Z`G2itWTTZy} z3t>d1UEE=68~9vdGwLn4r_P$Iw9~S^IP~x5FT5GNS7Wuzl*AKrovl6fwE%JdHn#Cy zBQ_lYj_QqaC%SmQPY;K%MY!u1`L`>JCR*;QZ7*pQ$jCV>Welvx8N#|55;yF-;icBn zeDHUz$#heJp5aRpioYyB9D zC-$5dg^4c*MAYci1}_;~qiCAKiCXFwwvnc`?f7==z3;!MWX|!SY2K_@+#ksx2E0Ao zjS@Boeq{}coIYhAMZs5>DNuTggb(=l3T{IDbR_z99Pak{pA{oT&l{7G!5r#-S!};3rW^FIC&b~Rkq-LJ=3pJS`wHRVUk;~qM0YNN|tzf1xs8<6>TV9rQAE< z`xrx&>d*NQ{YSNx9V}1;JUK-*12D0>9W{mxP-907;~1nrQ=-(q1(P{4lwC%p!5>bv zQ^Us^k0?x_?(T`f-VhW|4Gu8Z$E9s^8E^CRUu0z=27Z&~iU;JxIx5KI{5x>`PY6k$ zg=xe*vT4$o;EMAR;mQMio#M>Pn|RPG*nkXm!&u^c8Vl_}N`ck%F89=@LR z0wrQ#F6P@)ZCs3M;#1XzzL9VyCVcx0uWcC{8u2)Uy~P*{D1_8ej9d~};{B6Sz#Ew; zlmGU8a$i|3gO!c!&BV>ZDQO=`Dh*C`EGU^HV7fKfMCkjb!V#fKfTZ}~6sSbvFhiTrL`=JHPVZ8op>Hn_wAJr1k* zXKZ!sUm_hF(Vt`<7m2~k<8pVJsWEDbyoA2POam|fJqw?awqAew|w`IoKuvm zNI(VlAKAp8rT(tz!1rPPVU2yq+}YV)fR)wOiN(O!&d`L#$j*ipXkgFE&cenD5E2F2 z8yH!cI8zy#m|55g(;YXp(NS3#3)87{%d^Sbi<_8RNP9S%eDY9GG4il7;y0!f6~ROk z0tx_a>}^b(4XA)N*0xRpK;f7F7+v78|7SDnOA#SQV^aa;_mclqJiZcs`OkB4b8};H z<7BaOG-GAw=jUf-<6!0BV186EJGt9B8vvPYooN0!zGiSK=q{dcyTr1pZ3=~cRk_yD*}Hd ze)6s-Tz^I2uf$K@^@QuM2>g}!$-AC#{S|?~5j~Fi5%??dlXpGg`YQr|C4Ta*CtQC;;IG6_-t~m*uL%5=_{qDTaQzj5 zzY;%r*AuS4BJfw@C-3?{j_W_a+Ay(w{Hcc9<8LzlQN;lP9{$hsu^~P_k)Hjjhk%5J zf`a@E0}TTM9St2F6YDt+CKfIhIyw#^4lW)(0RaI9HW4u)KJjyW0{nkeaX@(72N4Ms z2?-S+6CD%(uiHPWIKbf|0ukU|K2>o5)cpUxiUTyTcl7~a+)b2ZR z9qEq3K<-`NTKH&al_#QD&nQO)S*uWL6Ja2>yzV4m2INt?|sa z_s=wT_@X>Y!`~hWU&tggg5q%*Jx8iDO?mkP>Y0=N4!SGn=^`+9eY}C1GGcNDZ)R=? zP@WqBZ08DIvg_3W!Rt|()@1vdA^t8+Hq117%gMj>527PN=qoYc(hxKiuG+5b&ZZWW zGh54GZRu?^e$c{O-u+B;7@gfZdnweZSA;0`V0U6~nXr}D^)g9J&YdIpz40p_ec5lo z4*r2XFVS0K=)T^#^H9Ns9p)S~TCH3lFL@QzqHbE}ZB<vtWFBTdd87Z>ZT-s?kEmwCB( zav78dI( zx8AU|m-|}gd|&rH{RzQJICbO1LDFDL%B)Otigeip@c|gy^`vhzfssT++Ev-U!)?`n z1RA}Teid-;i@K*deAx0GLWX>~JVxS9ZywA zWMX!qq6=kMDU7v1Q_o#jSD;=sN2cAt&$k5*~SHb5<+&EOPFj4k#I)eSKMpL31uJ#qg-W^rb4jx3Z#O zc;-_g516En6fNb!^r%RK(#`DXee0rH(^Tp??1w7xZOm!gef+2dRQ$xxORWxKJY4($ zF!9yjRkmbIRbr1Xprv{>Yo{<@il;bPdQtLjd8Bv23IqyMO_2Dr1#FJ z&!IZ8jer0*eWi;CEn6T~4B$3O>s*Fh6RmO5a*>fsrtV?V)7(F%b70KgkJ>w-qJITr zY|jl(?OlH(t6A)rUt4@EGzcsdnAYDcO}vekfDW%NVR!oAoM`PX<3e~txRYvV)Z(;j zQkGcgI*lcbiTj!Q(EY2UV6&K6P=v<|?7uw*<1OB2D;1Z|o0H=>LP3c~i4sh?p#+=3 zX!V|pnz4S-EQ|9lTams(-ZF{Iv*|fO1+8q-h=e0)oUBB1ABVJ6%m%s0BYe!1ZxW$r z=ZXP4y*9SS5d#=Im%WZM)58u_%4;Zcs)wmBwVjTwMRAlc3B4laU+SCuZ215XA8s=# z3-%E0W{sffx@VZ&Oc`gUbGI>e^Zyc#j9|mig(AHFd-RJ-vU5|q>B{@Ir1!zApw?`H z>PXwNG=^mOLkt-N3;tt07cGqrt6NtcISh271sI=MJbmvqTF~9Qi;I`ddY4&R^)Q50 zxoDK%CQ!{#pI#eijGg~$aeDz&nGWI|ak%i!j&0R_Q{2r7ZW_!RJD0s1ypZ1viq8+L zK8{~6KL9v`Ao+gID;Qn`<1Dt#MC&b-y?NI`m-*f%LTZt4XJ`dxa2|-g06Oe}9{2nZ z82LIjp|N=iMRo~(huy*Lo7a7m2LX(`%<7sD${x|V;Zi$WRxhbS@pZOJ>A}gy-on0B zUT=HwOcs=}bl(T=F6o}plEivelcm9^NQ>p-ZgHFknh<|uFAZ9#%TH13TC-ewBd@Yq z73Yg5d!LdjMWC6^|N2}~&SJ_Vnish}>GGca(&uD0N!>6Z2 z$e?9%6SK-KC%rXhc?X!6$>-O~FLN#y)onSC?+Gq#7BzjtTKVrsZ?;;N+tAO|oAms>vz5NQHrT-pY7YQ&w+8_2tlLS*>U}XJL?=^maywA5 zvdV8!&oU|2I(UY9aYH||Z*puFrOs5P;9WkFl%YWQjryfYWomaOk_ZRXOX>} z5J9u(zG;w}{My?MNQ3XH_)KdOPmgNH8rd$ROm*4#>j_^+o4E`2nxaoRn~E}m+Us66 zCw3jJcs3MPl;N7LZB{PcB#p;8EZDdw~`XEhBD94F$fLW z;Lf=(XQREiU4HqD&bFaZ&zQ7A@`I~A1Wkexi z%MacDypu+?6c3CL~QLx!z^_4yV?6g5*q}Lo<2!B{N3l`{TSrC?2ZoN5jJEI>pe*m20+y^w%fJXx^ z6yadn5UliKZ5emxE7Nu6cZw}e_+J|P6$>#$5^Aw2l}pXkv6b_=s68!Ams31?9{|xY ztwearc`WvhSL(V~4*=$9QJwKp&MG&Q@(VhGv0XhZ*rzg!$FrM+@&3}Ci-~cuF{0^4 z_DDpJ9&DIaMl>>CiojeVUd37pyR$la0H8sCszOV$p>AEvlrzopCrv#(0+!+wsUn$= zQNz%1VSRre>05oc#XhogVa4K9@SX`QEhX<@#YWKf&Q*Z@d*gRo`4rpP1FzeYw$;0& zC=o>9akuM@&e6~H7M{Ijasg6FR~I$omD}7GbQnuwySn1uS(ddV9TT?Iw;*|)bR}zB zD(AE+Gs|n6Gvf_kOmEH;U-g+*^84Qp0K#Lg{l3Z>=R3`1?uIV`VrRHA+EHIPosKhQ zcUs>-)y~%Yx}*JKBi~yH+}GrYJ2?~=!7m$9rT$((VNy7o9DBU$N7*Syaa1!@?>1Nz zH&)}9>^5vG_l_iJHZSCCLh0M4uI0KZ5Fo6I^H%e<(dJwFtSf%)A;B;>8vuOQZJ zUu4AW^H$8UtK+S=v(Tez+E-w*5YaBtxd*^n?raD*zjJZ&1!+N^9Wz;F*lRxODR9g} zO@5|fafc)qcw~DpeL=IQwWp7>Sd%a(VX?vGyx|NTs`Z&<<&x2YB*04W#QDsII*t9s zmT*%aB1K>cr{2kO*;;CcMTv#_g8U@Q8WIc}uYU9+F}yFsP1~j7tTDt4#>`4=g||nN z`E_RGd7XeZJNHc|LBj86?Nru?FZvK!3x_kGdr6%1MVHHAVyT|ZnnvKj5 zP1cy)#J42dMSl-K(Uw0iGej`}-6T8<-+aDOw`Hu8J0fsmsNNfl0RK{5SsFWi0QjxI zwXM%Jr_ zFlFdu&VC6ngS2+cR!gDI+!gfe9ebS-_NC16%AERk#WrHZa}HqN>#~=9s=YI10%b19 zvGY3QpM74IxKE{R=FTjK*qwY;J_$};k8I^QSv#pA-dgzwQD6}At?mLo1aQ9z(-|nqby^pKTJ$(^0k6C?m2Irm@jjEA3yNy9zXE>w2=nj zo>3um-KdrYJpfS7k4e0cAbp{S)%(MWJsvho)g;b5q>G1cp&~RN68TJp0{3-}%1VH7 z>q!UZS45L5z-Z-=ZhzyL=~8k-6|n!D?d~iVdq=((>QuAD8tZ)AdSq`DtF@50MUhsH z6~5VMSl#kI! zB7ltHhQ1GSYY{YJHffpqE`?hWS5m!KorsP50r1`5)EV!c7!3kq>^!*C%KxrFL;$!q zx^5N$d)Z2zD2nv}=qsLoX2f7%9%nzYG{4y};$9|&nWo;O5+tA$Rkt;L_~J9D|1kTz zO1DC~fQ9C8(@L9o7gt+I2K_8o-<0zx#@<3yMgz0Eg%NFqfehl2gucDlepEto{;v8$ zUJla%Qz>g1Ti?MYq^kcdjVMDf{|45Q)gfzOq9cs<8G2Djbe(gPZ|}-lnes9+O|-mOwt(^U*OYARR7SHI3Bw{(g)gSV z^Kyp>C*>Cg)n?^i4Br(Bsw!30wmDUtO6ETz6Cj<-%U!c^94^h1W(GG*=7o+@^P@FQ z!7ISEk9aebwD~p5IF-p|i?1CjT@-w*ZnRcYu7;eTG84-WvbArN3T`7eiaz)9f^0{M zRw^{Dqt*~imQLJ&euvYZ=IKVD6$sx@Fz%1ycz>1E!-D}U*-L6GR-rVt^8>fm_0hK8 zwUVg&GmQ}UQbyK|n{LC!>4ele?z`zT`MW+vv`LL3Q}K$qkO5ZwTr5MH=$O@fPLrl_W=*qG%+@b)oUJg(^Lm z6|JO8b-$`fRbzGK2`E1Ru$I5>E;QOvcyU8`yO@<1y1H*Zq^M4JGYZKbm6pBer(=*F z@idEyKNMZ_4R=w5UxE(KN~h>y&i7N-w+$YuU5j;YJG?OHM?~A!2xkvSiQP#2x}6(w zSM!R1_S{U+%!2!3x}~Hsj_dTo3=``z)!uDWYu^A#}yNGmPxNFA}jO@R>2eo(PyHv>-WsS z0hl*Lu-oG{7P72U@wLfatTs>hvS>C=Ial*Kv*Jri1dY2^6X=33E%y`Y!TQ}Cv$yVw z{{XUyPR=*>fClhs-XU?Xppo#6QQ1UeCLxR!qUd+-P5No}Jj@wHuF1+XV)24>SQ{ZQ zcrf;vP}F%V9#n5Vn%sh9rZC(5Y(>Ky@}ewHO#-I?@q|3d$=;Dj$Vs=dEaXymf&ab*M zDsfZQ&v`dv?c7gmw(KXZ4A(B~&}&sVB|-$vmpKs*iLm1eD}nKP(9lm(Z28I*2 zMWDLCABBT)Ls+{HWw*MzNVHQ*Hmi}>HKxbmv#8jIDsN7ZWw;Nd@MQ8oWiCbQasQNZ zDpZ6f>9mO_3l$(9l5;|RFNzmnVkgI4%XoL+VWR7DcY?nmcA+&WJWkaU2$*U5M|aDI zA$+>B+gIs8f{jMW5cglefFa2M!dsW=!FeVQ41xaP3NebN)XeNM$GgfJPftCv9TBt& zJ7^MAQ97WXe#e*row88b?~bvjXQoh{D5UdaLYcelQsRoI`C18`kOT>iw|3?a(6QO5 zzgLwXd^woPk-mN3KckNs4ELKLK?dQNrxGRJUv!#2!lTHVOF+ z2biWrhO&N!2CbueV|UH47VgDu57mWz!>fMoC}*SOKNX|9*F7F@!s1QidHvZ6O5Qrb zq2Bt{mj>H)xtVpMrMTnqs>6h>Zh4?}eLmEaJzGDyH#~HMC*@u;DI42;L(<2_SOMbR zww1WQZpqdInyIx+xCpv4yO6&5z}Y^>REqTs9O#z=>F6)ZYO{!dVy|U(u?CMD*fReT zt#KjH&G5=CC-x|`Xge-5h{mJTRiz8bekU&wD-XI>Zn_gW`--bN=xp&RF>9O_#vUV45EJ{0a)1s!C5i_nlr;4sarb8IGiPp%p zC;UQYr=_1R?I5h7Fm%Yzt`xS0*0GWr%y-LzwAhrF9wB8E>6Z28;N8iB zjn(rew@rtyuD9oBLeR$ea%ku~n?dH~TTnlkwOP=Bw-ZK)8}H|XFL<>NQA;me3oiVNVuqPO^`dpWH;D?3d0~W)AD4}Y4q(G3^n9c0gRM|_v_>H29>(rR--5#luT=mE}qo zbF_SA1Yfl?)ggnHSCRKI?gidOMj5%ei(S!IZL$|uGGK=UBh;E_pLQ0ma*nTZl9t_6 z9J|$u30^lCjo+0#0LnhKJX(tS(@PXOP+(3$KDjW`N$Tku4*H#FiZKrF?sy}q z6oSix8m(r0<(>_Iea-=n9v-mPFuxlCmQY}4nj^LAzJ14rB6VJxc{w}gVglVP+6&8H z<_@i^b?_8syqKwkG8{YW7VCB-)1DkVpq!A{3m+gJOa+pG)NH>@71* z9+j+4u2(J})+|%4N+vURRcs5XM_UQJ&R)B%EuXI5kK{SxMmn^k0vc~%uj*rG?~aP; zee^<`^7@~`G^;;h8rgpf)0XyAZ1Tf}Y;FY>fk(uOp~uYmHe$9v(Iyh2ohkI&HwL8; zjpCxLh_?yYfX(mej-^u6rdOot>IRp8b@G4N8L2jH_>q=Udm|xQ4?Xe?5n&9G5@>EE znt3_ldL4ZI>ysnJJHW*id#aQ`FQ(>^-;S9NJds z5SJ$U4H_6FZa*&&5%H3QcF{$^%yRZHe|_G0Z566HLHe?`bBwUdm=(Q>EH2X{bCo-{ zH}{qsW?oqtELZ$n6PJu$Clt%ko?poL9=@?cqX?Qt#?Fz%xb^kQBsW!4kes_%;ny3r zw31^f+gYs)xF0~@PY|B$OJ*YZ)@hbGu7KrUilx%zSGeX?IfSw<9L{%n^ ztM|7TlxNnLz{w4wE}zBd;>+wFZ~6#DQboWn?WP&-6_lQ}C1K;{5ScHpvzZ(>1E}Ks zyJa2SclUL3A;qedkdUsGK$3$`Rz$y+sc~M-w2?4F#MlvKHrG7Lh!2q6hg}S-=0EmI z#;eY(@RVjOWUCMB2;2`cJOJ*89~1PVk6g#AM-PSNx=4D0)6={wPdm-mJ7|g%`kYp3 zKAl{BxyU4x-p#fkT4=8D)3K(qN^f>~$=(On^I8EmX+Ve$5gj8DyMf*g)prJ_2l4 z{G@9P3~rItYyRS%8kD}2#9^l++Ia8Eem|2Um(19tA3Pe|N(XPPl@I_TsoqKLyB|VeFd08Edb=^jNJ@4zuStas$nrrCr<)xOq?8N%WDSJp=v!s-Q zH!;GEs!f(X^4tCw1m~lN=5<6X*!R!(?x{k>z=BHxiienx(9Kvj8v28uWOqkD+sez5 zo3QgOZR#;BRmQHcWKZSJB)~a$CvLOdAB9pIBA~mScIApEswtKD%zlEmu~T?876C_uTHceROwa%_yAb7cIsJO(wvlWr@IxGXNfj(;TWhh zRg?MQrWD1Ng9Y7~u`PiV_j>eold_VDl-BCLshqR9z+{*}M|kmJ^@~3)XMh;r#&ayO zGR3>t$6AfgU``OzREzadvITQC-6eSot89zkT53(sA;XLKy#x3fOUa~E2MhqASlKbW3r1hq23N}^pI$8P*rqmF-L!=J z;V|2SnjmdDE8Q4K?%Gl z^MQk>D`Il4)lsak2~+4v`NR1KUnud@Sk?oc14`@GL)zPr22Oq|LAJXJg+3eE{m=z1 zSdoZEQirh^hHtRfHcE!!yE_srz##^>3#q&3-49S=GhN)FtgVE)v=J_NNlup>erc>J z`Nf)Tp+2jG`dFWlL#T@yBdc7W14z77C;W=C>+G>Garfv#$16tXw#6$Eod>{|eQgRf zisxFFdf47=xAMPcxF}3|hy9n@mv7YtRm0F5LID+YPQ5EHn$;mn)t-7J`;&W`J{gO| zhimF^wZE$P(0*7XE5XG|GJ({Y9CVu=XN>3VB&S3ub%sl|_t}80?`JaztJ%{<6>XRa zG8khjl2g=}0VskDc$M zDV*HDBb@+DxNVijTk{%q3XYAs3On7I! zsml}VrBy@tCZJZ=%^H0#3@!XAkqi8(dp}toZeSmSE=}F#r$Rp7n{(0^vEF#>CyFkF zy?z|>XSUk_oXow;Bt&OtM62dQ*;p6gzPt_|+!!Luqz7jm&!j^!?e4WllXw_Yf71Wn>LvVSv3%DQcL(vU+9QJwZRZ8%CeEG=#r$rhV( za!^0sDRuBchn|5~LQ)VL=|Y+%c+zwGf3KL~^iU};xe_UV5-MAB}Rp9JBF7&o1rOn?IqEoa}rM+`CLvok%++q~fj$>Wxlfdu& zcJPq?+f_$QscE+I_@Z0xqOEz`va-^^1O~Qo3Cmjw&j$b|9QYWj5E9{A*S7wXu+5OD z&8Y4H@M(0YYG{6?bnzCVQR|B@q-e0(BG>6)`336vG4+s_P+5%3Z{V9-F-M|!%(V3J zo;lQM9TUCto|;$p)k$Por1JydRopGl-sSqVcom@1E@-@xO_DRPDPQ)u%^Ex82Bk}M zfwG5!^rSR}D-vzohGe;4k#<=x*#@tcLeh+?s$zhy%u)_1IB!B_R^e;jirc#cG?skE zfJvPun=3NAuH#4wE~q-@h6v%T$wXGO{@J^iQ5y5XY_9#k;8rpG_yErES#j%q;TvPk z5xj!j5V|i1F!y!2AyMp|a#A_Z zVUZ<5Px=H=SE3>L;j>>xbacxt`F#%nav{cS*h>gqFqa;MRU6Jly1Vkq=yZ1&K?GxZ zJ1S4wH|c8$uh}&_v^`xe2y*5R3y03DtI}~$$@Fj6aZV(BNS zhIQ-(sddqCR<7c7_3kqF*GcwuuA!HS>wH@u-!()?5`<#REn;g9PWo^`C#4~q$C1n~ z19;ta*@^4d*S&lA0A_a*Jgbn}<6%+~up%gqpk-N`6qNp! z2@NzrjcDxB>jpQ|7!5TNjc|Zk>bUA5$s@(9+4wSX>S?~3vPWDtwz3l({z#OeLIRFL zL6LXtjaLSl)Etc`Rb<76FjQ>cJOFyI@qnpaBxWHl#Y5Q9x3$bw$s+uqbFw%Ecr?=oSY5TC)D zv(604B&ErNIdN{-tlFdT+GhHR7P!3SG-#;8*d7gQZSz+>H~GEvtrUA6LMc3T_cJYr4k}nLep3#D6+@+B!w<>cD>^qS9b6Zlb3 zqI^QQ_hRm+eDSl#W~CL0mYBo)HW@;x&j=hMP?&t&O=4n~LI?HMcOFR~weH-`-^qJyAL#uAqO8+(r*pRJazK5p)@8UY zSaReIYUGA2N)iRtV~lXS7-+o4@nTW08)w6SW*v=5kzSusLaNPIlaw2i*XyFzk4RMv zdC6Y9c9B?9qy^V0(4CAycmtj7FfDnP>1Akx zeFmzMa2p!PRBMrXEL91-#F3dQ=i>Ww&5K$i`>xOGuNjocsg0`(&AKA zzc;e@mUqg&H@&$eLl>)HwWGK|(etEtB3|Zp*&v! zXSQ>;Bik?db}b2Idjcvu6AB;0qdh6yMxG%?oF(vy`XB20m%)4>4}fqX3NPj&XsqY( zvmzSJW+nK+$Odsfox{LA^NChC=mS6#n&fnH3hLse>8%Ad-?5lQ;o&GXq*X^0*ID#i zuSVc@6pGOMjJAqGdGqHjMOaVvEmCaGs$H+jb;Vq4N8kj#=5)q*6%)QwRPP(?%s5O*7^%qP7_FM3s{*8Dq!0x-?g zQN9%OE9BDGF@xl^+&ERtRd3OCTm@|O*7L(Jj!86ubxJd(tX^UkOimr{Y-*=Vq>c&i zc>JD?um>)xsfRZ|=EFhVM2%ZjE|G^1_?SAYbnO;`e5JXJmw>?#iOOhV5$xl`S01uIK(lQH3s<9Vu%X{gb>09 zCVV)7LlO|vvUM$ai%IS2Y%5PtU%(rTirU4}7iiIqEdUyV9wqI4xGxIw%8{F`U-dq* z7JpQ?MAxJF)Op#ca~#UVTvNO?>FiD?<^qgK#&|QLWsaD!R6Os)>7{g1Q`dQju$JU^ zVRdKqt~|NSj+?Q5;dS~~LKPJgM0&bB6xkE+-njFEZheb&%Xc}TYE9Q&mnqfq@iM*< zyR{v%RfJiD>rZPLau%@~#^$+^fwVCdYRb>30t6_KIKt00BjVJ?(-pN=3MZiZ>r!Dp zuPxPXLc(f3yJ$55zu?Ned};I6DS{rMkdAL4U&BitBAK-M^%!MgA5VO(X;%pJ6$!lt z#Nczu3zwi5Pv+@p_5DXfYq5CDrw#35m69K(B7^Di?y50|ZHV_YP~Ww(F3K<>jy+wy z2$!!C6Xzhkm+#(xU^}7(RX8*WmEQ(5zsHWpFoNv(RBsyQQ>~u&@5}56BQpx{X*x5E zw5)I~J${`af0iqu9KSuIm97|mPY=xRBaw{D-B0;_6P%gTZBSKbUsO>DaH_`a17Orj z_aVo1X5uw}TJ@$=pX6dT^%m$;iymwarpl47XPgR9S&eD1_ufzXQLUjZT6HOlp5&<| z7x^)_KYOrb?lOAbw=d(xC{`mb)>k0fVHZ>?=-ekOJD7pRRjgW?Q7Ply8APD)v(Q`bJSyXM z-7M^a6>%Q;Zd)V64(s#4R)^ucHB#DbS6&L4J&RT(Xw14^a7xhhRj|uv=hW2iAMm(j zBgjz^H#Kn6{6{zhNwx|~T1&(eI>bKjTM->t%=aaH&iHvN0FCa-AcuD4LZf>%ljhFv z_y#;o6JMBdDhA+?hby@89Hcqc^gz#goOH0wX0u`yDnm1g`o7UP(t%NwmTQf!swdE z!hdiV_uw!UfZ{oWmghwBioArOqhQe>dtI?L8&@5M<-vjGDhw}>js`;e2F8gn zWB8AxOCP-XM%j3G-P+2U+em|5`cubZ4~n2Qy#;OA%t8~)tIL+ar#hRskE?n8y z$yG_zV}9&Q{h-VaswjMkw3$Uto$~ra{w-ftKL6EfABQnIs2W8`CIrYO`1lo&*m3|A)Ev z{$}(4!+@#PQmgi^qH34gTh*>TYZgU`y+t`W6?AlHHL42dtO$cdaT59_2rnq zYAQ#<-giIVAo=w18GU9#TG2K7*|_+%4`#Hi3HGCfwLOraa*Qu(H*HI1gJwA}b(a)$ zNh9c<&M`DzNI(|l&rE>h5KNplV#A7s*MwWz1w*XQ)AZ7G+UWe-TcgF2^k-Ur;()$T zT7y-%!UlMFs`NWdbSB&fZo8TOdGp-@dpUZNw|bLoQPH)C@35<*<=XPU9)b@LqBGm& z>Q=|8>H(qWco6do-Eh!zq);?a0w7<6G8}p!n}7dXqvF8am5RPx&$Ec}d2oegT9QV6 z!Dofn{oB5nBjOte;`uy2$!f0C^W&C--}@?-{JfBqkm}J3DE4HO*iiQfTBFmnG-^&L z?OPk2WM1+yCP+cvn$cpw#JjRVDDI(Ns^-T+UAooURck9l&yzH03|$`S;OG$<=mh63 ziUv(9Vk&C)KUV0M>rlpB)1)0b>yqnyx2Nu*`4n6NZss1A^c9<>8ot)BYq-&@#%Evn zzj421Ygi9&U_Csk)C&yHbKlbHX!{Sxa-3ErGu8VLo}SE|`ev-oAWoqXnfp8WcVa2_ z*{RPjMqg)4;Gyg@VlBQ1dJZsfS${FVyWmHp%ReQ|EcCMi=hZE)nNzB60(unIPwFknAE4gfvJuL4`wYLqFJC+4Vq)Xl*-v$yC>cO zLD1T%b zO#?tov#0Ja=EYuZ4e527kJ4D4LS_0kS)1vO@8Gp+aG{ZU1~eWd1w6n~83-9zyk#lL zZi>hEn(&lZ>CAZU=Kq!VdcuCa$J7$vm`M{EIP&CD{$g`joudV;A9-ui?Yll)<)+bk z|6%pc5Rra8Bow32*qi(U4Fz(bpz-b(i9*_Q+ZjY{Nf2FUmhw+AVUhA?+`HVl`OSx| zY9rX%;LZL9rLQjKhZPJSOsL6&7O+?wbT{+fk0+JwkAphHkE&eUM?96+1y zg=TtLssMyk$t+M>i1SXcx@w9iaQ(C1NvcfXXx4eTm)Rn^t?|wS?WEn(7-N#AJbN)^ z{%`0u!a2a)RioEz=DnUQU7Co~v^|G9aQEg%=iYPT8|nsL+-)Hof0n*mJm_L}##9DV zXOQ{h(-w7lwbwFC_`q`J&9o%q`_t~j@1hCX)KZ*#R2wh%u80!copI3#ed+EB+w}6T ztdQ1bAZ8=zm(updf%Oh*5YZZI)7QdA?q?ITPLBqc)*?}l%=h)DIa5_*i|jkJvXpR@ znC(w52)o3>P1vK!L60B&O8BeVyX$%h?A5uCPhi(~(0Z_)Bu2vz8MD>h8hK&iL5WVU z6^(A1tvj~sPV%~HA$xDI!{kCJoAjC#@GujcQRKAQ`(+t>gXCi(Ck1NFHV-oV5zV4d zV@+hx0FDW~NGWaszX>xo4V3x_Ky+PP5^*H|gS5iZxS#KRQzGhR;gdI+J+<2pnavD& z;Dzkp*AI|WIomW{57)&Xrcpo7O9? zC^20Ipb~OkWFWisYZpz`0izL>W6i5(-u^yz$UUs2%YR_i^BGiHC@&TJcyltYgL)A z4(<&6Syp3i=*W`rFfkBrS{$|ReXF4GAC58UKSA6(pAo)BUSXH=rt^nh zj#Ha2z4%S>3V2wp8C&=Rts2VZ_QtLUb_rW_ifRgp%1!1tMozH~gwwQ2KEK@eGI2F$ zs$Vg@O3j!_Rfk3cReq}{?TkgKJ#9bqnahnF21=A3vNA;Y`*@maHYkx`TI5VKSKkNf;U!1y(|gED)sRb|D?f@jIcy_ef;_p zm>9bMPt9eg%*6aBg7;5Ex(b9;nLhV(Ud)a!R9OCT>F%UF_`v2OK> z9pA>B=vTjttU3TOA|)b#PkgG(#nP`T;oa<;-KlGtfRTF6+6FVK0s+&=q;zA0?=~;5 zQg1qmjdD^$Yj5)Jk<;rN2*`0U@^0{f2JKgf(Su>cLMvEo1{asRhilh5r^NS9?UHy9 z&nj)cw@#XR;QLq$qqZQ+_j@O}a48@F4q(H#K|2bFjBCs2b~v}J42`2`Q%b&dx;jjo zeWP~DU4(Y_b_8-TvkrzyljU{G7$=I4>>2g6{BBGv>1g|=Lha&z=D6SWO&C3wU{SFh zL(KECPBDn(weG{Lh{`UB;Bbn6&5PeV-&Lp1&mWI599hzNhLWkVoRfhyE%Rzb)NLc7*1cQi5_iLbL$5++(?Y7* zK7eLyh%s6y8FT_!{eGtD*E$K>hHhWH`4>h|_^q+v!?b zr_@?L_}+Tqnd$EF+T?wjS3(F|A!B*6tOCjuaeeXzeufWN4o!}gwY9f*C_J296(}+P zp0RGQuFO2yAD~HdvnoOgj7JGw1)yV)0IjB8R6%r|D2YtvB!%ep>r@+ekY0k0@W`<} z3m^2D-*H~>q~_#>v-?YjxL3uNjk%k+$@O5(LyR#JJR<*imaw|+b8`7#+9$hOvbw+W z-=3JPwnGcc3b!0@83zh@E@;bs<4tH8adKO5I<|sm^ZE6?9%1R-36%s%aZ42%K&DsK&m2PB-#DeVd@2J!`V)5QQ zdD7R{SD&Wivl^lw*+k}_oKv(M=9G^+B6V@_&VC|DunYuo3)$FfxK*lot)AT{#PA`9pXpXH zpts@Qq>})9CM>uN{iCDzptduOrdBq2ohK{*B-n=0J~z33m2o@Hy+ZvP54e;+x5}*) zF247^xu}A|CE`I`pjGQ9=yrI#5$u6>@`{euyt#W@m5C|vMBkjyps%=?hd^;`YrR)i z)-xb*&PK}qp}=dx?>`)~jXkl$4z`mI6=)sUvMw1=7D*P4Ao(mC4}NC0Uw>arxokR_ zSdx|U>3Kn}Dw)~lwfu|Y)8pAUvI*XkORGbd+;SRX%=OvBetgNl8)G@JIAiub8OD1S_o~nYs{{y-qW<4-O8xgrD%5UHhQFwiN*=vM2BQ`^ z14>^1Oz%NKbXE=gt|Xz0|KThc+Y(UM6g|pVEl&G~YQrH@W8Lb0NsJsM>Q*0!dsPlY z5cBklRP`~_E^AD`>4)gPzb<>Azrub_9M4+0?{n)r-E4FC=vgn`Yk3gWZN69q0T4lq0pKM%30 z)bf@k%3rOf;{Cia?%I2wSruRGI-Hl%R7JyG5_qW%f);_%#j7v<%}#G6A|6 zo;e^TW@YmhrBhuew@}k^P$HJ|BJT+D!#~QSLlO$ErB1z)$%0==Zal*9ATjy)@^4Ue zjeez{Q{TI}ef*{PZ&mtqRf$)PNquj&Vfzn;G5|$3GN{$HD2Nf`MSK8Fnj^)Tcq^!g z@`_7+A1G0fNT4xtS^*yoJ3UgRmC*Kas4(wyFk0(m|KqMOqNdrf$wnPW2e%o1*EQE{ zabmAppqolKpFI`1@*cvzpoxd!l?Kze{rtRg3>=l`0@BS~u;Hp85+7;1zxvsx1;~*D z&5h>pW1$WOvGhZ^%ABa(GNlPK91?IG`!q)y=NYfl7kmBz89_h+$pBS0bxcCjT;G92k(2(>F^~{Y+q%jtn{}Pq1Eyaicxv!KUG~$ z(!a}~12H`f@m5o%(z)KtPmXA(O{=b+S14cB7YjMMf4YRGcBVRrG8C0~DJT=Z5xKWl zZBX|xq5*^JL`bYw79$i=xwdqe+Qi3NQc*)3jgKh|uv`Q<}s3m+FjI~1(604>C7T}o0|Z!r4cL!uUl2fwz|VMoxCuQ zE5Z0S<-1~zJO41r`3hgRb_c~mbi=Vw#X<+E6OX88I5LIlR<1Rfht}mWHgJ)1U6XyO zII!jw8E==|{N%LUJu&bgiByXRv3#~>Mn#wV6|U_EXp5E3`=9Xp6ieBayPy^suBwX0 z)0YY?SR{sZqYZ&Z_r>+TC3z>uTXXR2!yWy+3@)X-V&@wu9t&SOIu@y*K8qm@L4e7% z>Xk_o5HdL)6d&%hmdwIZ+1XWR)md&~I}peei7pyd85p-zCnQR$tZTs(|J4Y>3pc%v zBO_BzhPC&`=CdO&q0tWUZ_$|uFqN(kFL=KU>+L*?S;D@0Hn@q03cKWTn-C#(vt`m-J%VEUVfc?A)*XN&jN_oL^N!_ij>V z7&@+x|HH8@$EvdWZ~eL-3Psr{pVFJ!eg53{W2@rIE@!0f043UE*c3zq z^V7H#dOFpp*D{}i18@2DmiigaYA~2owMYDqC^&ANyw`>q0D3vY&*>~!*X$v$gh#Qo z#3Z;i^Cn7;#}&khb`t;zkKBISsPAME73lghPNM1at+w?{XdQB7czQ1JAT((Au-2`> zfu;EeHi*$2Mo6+^1gXn|5RFSR%}!o=lMC$d2|nRgz*}j?=?=7}{7axCI@~8nY@sKm zbX`LL^4CsebzDQnfD30kue_rAfeoF$$ws5RnFts%uicHTN1Jnf8DuFQ&%{+E##M3k zy*hNsjCzp#EG{pPI$XEh=*Mz<&vdNB_(;dRTn7CY^+Z+)ea_-4y(>k#{=;EcDW~ya zLlE_?$qZh}v%y4j674qB7sT-A%3?k=0Ya(+xGM=1r5I$B20G+Iu7Z#jR)O(~Gh*Gw zU8coL_wBWHvkK1>b6TSDu*yazL_CN-0>|Bj$D(>NTA#11~pJPzMoaBt7DcRan5qd#pG9(aR4np ziy}ArFBxAnD!n&GRsjJpLFU)Xx7st7)O-1myaNvWhvWIE$}6lqWj(i~n9E*Z&UIhm zvkkch_79GygS~yYGs{bTDIxu3f-|-A`KVnXxovpgqi!bc`^=`mt%g}Ktqlrt3Ts79 ziI$EKjdBZN6W*jd;Z#L7ps5@xZ73fXwZ%qz(6MtE`15noyIJd{2)+v3c=<_tXE`P` zs2S$6LD$8Bdi>#V5hRaNi@1EeNx6Ek44AeLAW10CpvNmHH&1+)0y=7xOpXCRI>zpE zD~YdlXQ>;5jr3eivv%Y@9C#5%ucg?cJZ&gkfkI_RDZ_BBiMt~xYtCsV-4Ynwr;Re>R^Y|BSlY4dC&MfZiWL51jRtdHTA zZ_H1i`{!^#r4~`Dd#+Dc9g&oLKGyOtX!I>rXD{8IWb|ecw>3Bk{9`yIBOYlwz=*Z{ z4sLl+Za8-=el33X!D4Bo$I|8R@+>WpoZ5T8dNN*}*NWV-tvD=QrhiOW70@ADQ$ho# zAimyt(LDP>&yttRFxnKs1^L5>s=u3LIx-7tnVI@lYqauYXd*Qv9VAFp+Z%CmdBl@^ zWv>{23oEophFr>vR!5SB+&QNA98OiV;rzR!oXW#=v5wOv@w~Q%Orl z1B#$90!TSnfz@DsBWE^F#zp7!fr)!~R6@!QJE9wlr^=`Bv(uJ3#sF``m8-rJ(d)fQ z+FQ&c|7t@iE2S`Cr8U@MEk54`s9bZQT!n~TcC8fajcY#IDrc!wQ5hEh-2DA(Cj5WS z{6LGr)*eBWV68_;%~I*S*WW${itqS)*KU3MM3M()tmNnq@K7yf6j6Nhn&a(6|CK}{ z!0qajp`T9%lV-*^7Tz54_KxT>IYTR^h0rVT*w8Txb2i=RdaN0^=9$vTy~pbM@7W;l@RoqmO@M@JQ(8=7YG90$i* zI*p%N4*ex6l-$1G2tMfH3tV1-gNMkYgA+{Vnp+A=(g7BQvM4-3XwFO+DWsy#0>Paq zD^T_Mc40RKr7%2aWz9j~B(>8f{0bQTR(;tNKYR@F{e*seZOYPAVsszK;)J~EbqY0u zdetSqULCwIJlbLUS|>iDIs6@xnJOK3vo}1mPl1kr%ZiDuE{mK{G$U;!F~$BTh2-72 z_APv~J=Exl0d3^skUTNUz0~XHaD^4uys1AjD+t&7b>X%CylD=40QznYqa@49+FM{a zn{GPM)}8?2z!Y;vRH;d^NaN}o7E!v4fHKRVH7+I@v_UC+>5NOyLo)VNlZQ4UIwWE+WU_{ZT6M~9q{}O-f6)|xj!q?~K&KhQ%4fT%O4meryen8k5`O)y5>8SkZZE{hU~iKiUm0~$;3xJLncCUw zL3PU~92$B*GxT}|$rR-}jo6-GLf}7uEOmh#!&824B`$AjC+V&L-9;&0O|O|^@2Z!Z zfefL@BY5wSHn-j^(A1~GoXMiCuFjpmREhF)T%jI?FlX*3JVP4Vg*!r2Z&qy;<`GgG z664hIr@7nO;-D!=ES{j#tgAc`=V{&B$lSeBSF}77{tpC|ZqMGcdYHH~Qr1g(XY)B} z%N5N3!SeCBn)$zEtR9-W2kKQdjL_DP%9Us9oD)6nGb@&Vtkm#?&7{BDh8XAoXOL$M zjO@4OpFb5f6Jsjrj6Pqd5>jlZ*9)mQd=;P6Q&6!z3kGioJ#_y(xXp|c6p*DNtgJfd zG+HV7Mb8py|Src-Xi^%62v3Vk=KK1E7?nFLta>4 z*4!cMBbZ~xEBhw|ms7uQzECs${>{z29l9Q75|Aj*j*dsL?9|*o{Pbg}omU|V5*}{Q z;6%pBq5w&B<^tH-*lUe|o{ff++G zCst3?kMN+#^E>gVduoyqOj?u=BxKKjuiCGwbW!~~uow;*@`zcdY(~B84k&WTCM{|W z`OLp=BMK~zs95uw_A)?|BU)q5U_F--1~ofGf27cD(Dz^O})2Z1ib6kfZfqJulI^eLZxPE1z3I z&!uZj-^%33S>q?a-aPeVH*%8f+p9I}Sm+WCLaFk2$oe3(rfAn!1$x>!)UQ1!5)ufl z`n`2OdRYmL2*u_zEcP(1po4`dtO8d|li;6{O}d)TOIi}1(#WKLXiOVp+xpP*-Cagy zEYH8W93!mo2q-QnB&#SZadiWNdbxkg$;iCU8N{@$T(@in<7MBZnOn*E#m|&vt!Vu# zIIi`@`97T1)W+;S@+R)eh8SJl*Tauivxh6t0!*{HrgK2o!V?6Ylbi;V6EhZUp)ryp zd?U?mHS91U``J^@j=2m++JED1&K%J3q2QEvC(t5c!1q!t5%unW6qBtAwI1&OPZv?Z z7MDP2Uwm788^POi&{mQhR8vr%0DU;8?B?P@I+;N^z@4&$;E(NJH3VTkvJTV&vSVj9 zwC3^oq?&u8!8|ul>4L8M>UB|K>B*3ZJX0&AN4y`Cw$Jg(DMki38E5p$gz~kk+tCQQ zxsm-{tG%o|WGc6+n&nV>C!7emRm$`z^1R}sw^^9@y^r+)oJC>~{1imCgx)ej4%f%} zxfxt;wnp6eco0|Gj`Awq#XQ{B2t8fLIyd5Abkaf*7wTKBUz(P6Cs>mHkR8}0yc#1a z|5t0|!4>dlWAr@wa_zcpGa)oMTRG~ZaO1Zid_u^a*hTUP+vCrz8;{FT1U-51YAg4F zOMzwGCzGseGrz>uap+xBu%4ni$Q@mOO5n;M2tTtwTlvEgqr&p1d5$oWFQGNw^gbAT zzcXXTfHYA2nJG`uVr&Xw9tHv_GCeQfCH~lbK zRzy3&)2cSf=k}6(xBs%QY?keHXHSH>xc$nU#iiAIW@%4~=1ySv{7bT13?qQvKeZSh zIQS_>u(2KKm|WQ#3tg)75Ogydm;XHf+J01_Yg(zS7-doTJ@lfpcn?Yhe23+A3wA}uL_e!os?o&PEJX17Xsi*? zBaX3lJ%_c?2@cMl&~CG{n;`V+@>`Z<3C1%6)5B*j3%-CzQQDa?O>4ye;pn%eb6H6f zB>LAOiC94UqNTJye{9k5{Y4&=wcRT{7>m`YA`a<|yIRsC2>GcUe&fowiII@DT$=63oz%d1+K--rw z0*8kp11aNks!^&8KH+I8i;K%{8qF z2(hjQwWx968|y)S6Fx^B99Y&5C$jb5W)9}5ViY1S&L}^;uLZD0ouy;YS_HiNIAiz^ z>9X0_?rBzS-Pv{^IIhdBHgNfHHq_<%H$1P$|DsOy8aR! z&1K&n-a`AcZ4$ei>mkBi}uPeGSp-?1)4v;zhkFlVZqu`4s?dy~CZzSQW0H%6>m8_ErD>yknC8lmlf z4#EK}T84`+qOU?t4osn{uFS=(KcGs+z6v<||7)!)#NIwMkR~pFZk2IE%oH|qoa{o=DOy3V8JTxq z9B3jJcsR3cZH9Kgbd31?A$gJlH#`cn-021we4s!HCCJ)u(jY2UM=vD9DiS=H{v zMx3$1(B!N+jvf3x zdO6{^DV3$3k*=1!CR+N9*5w3q=Z^7;-NZ5t{}sD5HOrc;qhe4CP}DlWd!&~Mv5kC zlp}oZC_9UrI_tH?4Qt?_*TlzM`=swt(O%z}&_P7E5j>EczC$&8j54$7tQ!E%_NBun zTr}kD@e(>|ZzyBN(t3TJz?tTg1AVgGV^WM{b%J{ibwK-OJ{hw4ECHio`}1H$ekn+> zZ}Kl*ga)(>_ZaXS9fhn`L<;73Uad>cU3MGH`bC6_taC6$rZVBDwLOxOq&Z@JH0LT$ z|1fI`qWV4OdU{f~{ZGK-l*(@^*KsY!)z#bd%wKG$m$8T40-c8SDgTfaD*pU$`Ou(! zo?l?V@;%My#4qN(dif^pS92z-&L6~~0v?%>5Eie+q~_K_w2T=_u%ODuxg{C_eIE(! z%qn?jmbp82^L1>Qsl3z{`6juGO!2C|^Z^Hrpnsr4FAKv@xC+$fCV!8^;Q1Gnd~Uhe z${25l;Uvy?vpsLjya~AYtHr|J{%$g+oaK&8W>zFd7TB-G&D3ylQU3usT`Dvz6o=(z zbi*++^vw~<;(5$Gq&eIQUEe=maDt52fOg2F*a{$5&JcxjK0_1C_im?k4X!cNGQ~vR zwuu`(M%b7rG2X3h^4s;Mp~pl)h(*Jd9Eh77d<0}eISqr!1sq%cG-u3hrVk}kDKjPh z?UVUrIL)^VRH_Tq!k#l(%2xP8e4TcUb7g?Q)FF?@YkYx-(KYX2dzR{aq;_k}g+g>b z7dklro};a^eQ2#xmg5uY@wv`uvy9M_E!ie>9@dcDwJ=#b;qe9{tAcRT9X2n?&ZrL& zyFAWO)|O(}N=abGJ$}t6F}Y8&$DRAygkvSmMmI1XUKhTl`?Z(UHb$dyrq(Y}a>Hh@ zTxEyzhd#SfQ^Kc53d{?=%z++#9WhZDU0d%SqFJuy#Q$)9=e(Pr-=KS|u3`FlRo$wD z`LbqBY$D`RRKKf7ZQ8_|9Ck_m)EXT@BA%w&oU1H1-hos1w{NAbMQ3Nf#K(+-#gxg+ zQ!V&5xxe6<0Q-HOU#K2hSMi&f)u79ju1gt7#*j^ySCS346xIfhu|hoVGOo9Dp>*~S z<~MX(aVQ_+h<;r~M_gRym3FEv71G#v{NA*~USfQZoA_sD$VawynvvCWNv!E9${B5= z#>$C$nO4dm8y*@&Q~AxOF{J8r?+@eEMl9=VWXzyk@ZErPz~I`83yXItTHuP82Wx9S zZNdht?w7|rob&Fl3KTxp>k<8-#2h|EmX@{GId=dvg_qQPJbyJ$mJoau)-&7QOrWxg z8MKMO7CW^7uvf8Mg8uS&1!C7RVW*S)TZ95HINDKx7{qRMklhnsjE*+;vgbENJwd#+ zBL9)a;+3tRR7oXJzw47PkF4#D=rRr}9;{kE`n5ts668ECZzN8{&Ei$yvUe>AA4teO z_a?7@9=zLac=df&^qvSYb)S@J>ruACPa(3h{I)@D{3)h7d@2Ndr4U(+YK_K_C`5{8 zG{Ho@d;|+yHZ3_$>>j)K_Jv$mC0N%tlw@{g`fRQ1NO+1oUhfZN*tcN7R5xI60J~f8 zh2EI;5cFwMgI(*Eod~~DjB;uK$~p(mM!wHR+QWp-91gf8D33iWf-z}eQLM5WBgfMQ z(vcv!&PAK84dTBTZLI5eWCTG8D7V@lKv)E7^d<1A+Zo9352Rd(fu@>@VMph7OJYYi z1w2yPgEG2OI4QXyPYYn-?8YOT)K9(rlU;MOu?DgSW~6v2ynxEgvOYpIs0QvoyMo<* z>lts=lZ=-ogjXWSf?+lT&A8b%PXclbtde! zZ$>GcxB`0H+HJp^*BR_9hkp1@q5jbVNAL;TfSm4*)oua&2%2a^DR|FDiequQ^nUvcip!L$NAI;SF3@FP+Q_0J&P4m2R6 z+b%0mgL8-~wg|6K78UHlrImh8rolZUy3LY}||+ks{ivBrx@G zaiBH0tX2lN&f7!TPi-4_<3(tgF#tQIOVcV`o)O%etd3~M`FPP!3O$H3Y>x|XFJN|; z4aH@+=i4VW94sJh!p3--i)e?GPQY^}Y@|O6)D2}cSlVXVdV)@Opd3BCt&l{)Gqsr$ z2YGztZeCgKW=N=yvQeO;9@CTOdgPKsDH!?a;uP=N;E2SMd-4|@NXJG8xRwp2<%i#& zTMgy9=mhtx&T+H^CET~1Pt0nsW4HJ6mDq~vtFX$5SeSW0Up*-WJw z^UCI^@8c2`$2w%X-XlG>ux3t}7+?Z@!rq`&ne7E|54Vr^nqI&wWEhq@Fc@e~(TRIi zlQD!9JpBK=5-mih!uj2f-OE~&PG5O&d|R26*Z9S@Eqj6Ct8Be5@~wU1ekS{7lT>K% zc5TS**`fY8bZ7hDPTAxu=tr;YEud@h-OK0HZ<_o1;2JVa^zwh@^8jt=bR>8G##32x z_=%Pt>Y>OBF%>Wf%f9T1XNw^o{td(=`?||py18qD$xEG{c+Yh_^j0&^b9n^ZJ~T@u z;{ZR)(;zWD%&;aB1)6KBD0knNQ?Ce-;jI6iV*>>}GllqMLhbR(vDDIQ`R{=`kk`F!4E22y3|B8|M32 zLNzYr9=xm@WjJz${lc~H0omOvZTcMeiH~?3{q4h2swxH2z7e>EOk~z3B?TT;E}&bt zRI_RWX|Wyxx-aa=`^2f`^+~%PK-es4*Jk;|wTgM>Rk#S|9daXXI>KmwIpDAS#MN;Y zvaaa*1}wx+Cf=$$P>nIV&u!j_dZ3FO+Ok3JB>*M3R-9*#v#vd5nTzPdcTUwiNnQoNte(uroW`?vNk8Cj9xZ}x;6bCW0nz)*7L6xX;^k1 zDK}nI7{q+_qe(pn?D#|_BmUYDprM1Hz#?cJG7wq>8W+Mw6X42 zn`fDjY>%j#-bPU0vOx0RYmy@F>__3fOsY~W3I*nC$ll{E1U7n%Y;XAWnzO$WM^FBo zCN`4&>}+<5=5+lr=69#P$y4RusmcT!5kxWw-RR79(itF61t6dWR*6t&ydrrVFSl(H zepPd>7WM-uoZul7(ZH@`Xi!!>o+ww9e(bxr!F(dNLGa=8^5Pd)F)M@dp(XRf)b|1J zO8uiL-6kmH?u>bQM1rocaOFRohKB!e9*0zavZTE;d-^58b^H^T2j(rB32sHVIaNMn z^B9ed>>UpF?YO?8ZfWhnoy+<}yX)O|bH44i{917YOoa#|lNO%|3sl%E6_e4ZKWY~) zkTvRLQ^YccHt}5nD7@k9vuJ+b@?<@;sP&i{OQNKY8$v{%pAydXJ)nrh?5{FlFJk&Z zl*r3*XOrxyu6iFI`{Klwt8s6fkw8hQYtC$x%~P~In9BT+jxW@;tqerYPdl1~OIn`O9?)Y^6hAt!R$%}6hN;oI@o7i1uxnWWIvO?u0`yb_1WQIL^^Knh;p!$o6F-L zak?#a*YwrDtB8dS#P@vhriO@x-7pI+`fsXu@^t|jld&9qS%a{TTWyeHbKUVL8u0}aR;Q6iaOjer4 z1+m^^^-s<7PC3qDse??9a`QC~r56*>+*=t%@L|}ASfb#{Fr!I>_o8Wb=!D4WxBL$} z)-cbFtTGC2R@gnaOdv98&xeEo>xKpe#x#xLcD7OwevM4Z373o(O<56-B z6K{PQy~SCOZODd?m!vkEy0Rs-bkf5Pxli5|if8$uum+$sps;a4v)g;Pl0=-l zN1*$M)%%shO^hS=pHn$unN$N~9*j~zd{dCWc1KApPfKOCXmyDyve zEHb9a=R-ImUSYy#BSiHshb!>zwdRiI-SQIWR&V7!fvC03B!Bu%d*}Y=d-&HidtVbJ zMsFX=ylW@8sC=-!_YogBHcuGd?KF6m&-=&RP{eFad@*rsTJJ;>qvEqJi89GU$a#~j z(J8hfhx7(gN}IdNl-e!)&ov`-$fU`SEc?e#4I$io3FPBBBO*9#1D=u?KylVezRno+ zvL@6XCjT7h-hhtzIi8tM-WW4PrTX<2F*@>|2VbOa(wA*rAx5dNw@I*|#<@ic0mVD$ z;hsOkJHB6SKxxmmt^MI+XLPuD{7txn<{Myk(NhYeipFH*ZL9?D9Dh6 zI~n(co_=&MA^%66Y224jh?07R&IjgLlY~#1!rhw%U(Jc4;k_}YNV(*%0;lD7@7{ix zBzNylVJ=$jSs)q~ik$=M^vvZRAf2T_IYdjfuABbfswgD+6{oyfzLi{ijyPEOrW%{H z@v7tG(vDu-E}T(0`*#R71ULaIRjO9rD$@N*OzBaC`po>6l&c)?vP|ckqEVSX_$Rn) z>vNlr(z-cN&ZEvl0E@&I)Ef({@Dj_yAXf-chF;V-D&QdcpVUg44u zzqAQ!{S-yd$9i}|L{cj&l67iB(iMx%C%Oid^aLl9nXD%FD*^4UPI!{gQbw{^iLcEe zZsLm{JKALolyk|*C(ZD}6Ov*IG|T-+%q=IVbCmh86EC>sVn3O33$Bwr9_k8&OJz21bRSBuvJ=27rRsO zhtlF@OXo_Cj_CyNp*EGXllLg6k;FIVNS4K@Cbq{GX#bJjQ<-*inZ-6jrG;OH31Q7U z357f|-qM0Q4_JA=G8HCB_MWtOutYCA5wo&VuZ~)C* zbgr)!O(U86yE3=Jr^v$O4(VG{@SXe$8U`jk{PUSMn6R}N>h(L6+rq(Bom%2YWlDI2 z)TQNO)G4=j#Lb8*GjsAJSlG%m49HcE9zSr^Y37P9<&aT+>YwlO`=|S~a)M8a*}~8u z`4i(%vCsfVKi(1PvGuiN3-ld|3nPJ|8laOFTS!^xQgDuiP1-GR+Gc#kU19b*vP@3s z5eW<#?yxTGMb?W>u(+Un1gn|5Vx~1nc2KDb-OvMT{V1z7Pif|g8=u_k{;Lv?%n0!Y zoaFD7M;qJ|%Ur7MiLsWPq<)l;0 z*R<{ZNT<5;jZl(-t6-8xSke8J%-J%z8j2UoW6HBR2luozG&$+h$r}oiQQSW)Mkevpdxc4I(;{2BY32eO2gI{k(@I>VLDery?JIAF8MO?b=re_Zzuv(- zXdbtzVuNdryM?Y@olXi%YObYXM$X>`Da(AZ)r`W(bvxTm;I^h)p@>?YPTDFj}6e6?Y61-2@B=c$4TvIFu$4pZiL%;0o8Jwf*1!aOl?uYcpm7_w;S9h$>9i z1x`}03PirkAYdt9nnInZoZ*m&wW+(LJqVV0(Tb5`o$)T849@%llFB2x3(=i#BC!H1 z!i22vn^v-}n!JUfqi|gv8M3@rr~pXyJz&I|b@3umkcCW}c+KrZ0A`Y|=}p{7!#1G4 zFNrytxJKfA`uXiKM({*EosD7K0b@uBzBwLm8|682W7SJboVjYAKB3%n~N4cJ{@|2vv$ZeAP*!lhS zwBoUf6M2r0(0k_JX$jtM+VCQwnmmhF-xof+-s74?y(=qemgsUrkmyneR$ZGm%w?)a zoGSA)CV7b2+Yw^K7dBhA!f+TMt}vos1NpK0Qc!B2mybC!HhmHybL}rnS}4b4y>z)# zsKhzJ{EezIE$d_|+yWrZllmVwh@8EEbG5nyFdM%D{MpQf4JBF-GGuVzGGlISxkis^ zhD_uZO-r&x=^>pU_$Syd2D*hA6e@qcoGEE@*9o)w8!tClK! z&Z{je2eS>iF_N=t)Rl){K#wyb$E2CV6C_t!L7F%gbs8)h@|Q(h^@$XK2NGS9eQSnR zt%HsV_sF-#Ot}U`F5l2U~K10cVt4Fs9$;D_WDZ=#;^?H=`JwTO87D{mK$Kp#Ld;s zH{E>lmc_!nr#oU+=CS)rXOm^B^aSDQnTshs6WOP3&!hR~@cqxu)VAf*OR7}8J5p^K zeAZr4>)-xMlKc;c`GNY?Hd*lICiC833SMSJw)RrgNlA8_zD8#P8F?zZr>5(|0s-P~=AM1_N;Texp*PwLi*d8RXC99hM@l@>W76Y3I_k#V%IE9;&q zGH=djsDV70Zm_LfTN$H>*G>GCIqCW)0`3{+#LfI5meE(I0S`_bSK`39a2UMFDJ#iQ zVi?iQc%~gi{fJD*6K70PtlSHJR}Cg#I(lk%Con&&%y=gAphu?5+J4NV)5KG9sJZx; zS3`+QJnhY^VwvBj`F}UJj;7UibZ(43{aRT~3BUOd=WDHd+HX@(w@kY4Hr%hQ_;az0 zx0U8cr#%_3n{e}t2bQ)DM!?6ymU> zEOrcpoz(|_b{xnvt}iR+oEWOl)lFJ^A6y4SNR1v6zV=k_@0U9g(S5uz3=b4Le*xgB zODxp02=sii?Iz1inR~_BJl*h}t6r|TWkZC;#S5JktKy3890+(IUFdBT%I5IdDJJ}y zTJ8yD7`9JZx(V>^{2m52#Bz1r(!Xj64BlwV^sEHYR;jG<1jVZOT1z75S*c;qo8n9} z#9Lb1oYL-QpL2N=Rc_+u+O==!N%8e>vkhIdrBheW)oU9^%p6rep3;;(sV1QDQJ?z+ z!$!5p6DDZ+$$E0Mvj@DvoyL{K@P&LpGVXh=)~s{>*=cJTAMcl7gXLGG@j#Ss1kjjZF6@ueuypXaEghqPI29fN?+awq8<9+FX zuYQ~jy$he_IJ0KypPJ-@cI`|H^1P;H39qG@?xpg^m#cv}=Rw08n5LVPH1{&#`yH9R+Y zd9wB^IAxA#uG{IU3h!c5lZ`+K!`-_@G1wLHtN(Ch^%pmCy&&j4WNom70I5~0>#HKQ z=gj$@o@8Jg#fPSx-h4@-`m&&hxA}jry5-;fQ#t&B{Xs|Hbfm+_ijp>T2z8~PbCSDx z1ri*W`_I1Hdjz=%Q0F8|S<@)zdV2;!JZfE>ty$Y)qW3zd>*`<3v52k5-ZqzxHPr0oQ9C`LszFD%302gA*ZyTWVU_JiZ?`ac0l8L7m41{;H|bk?jL zFk1ED+AdVzAo~1ph}l=N@03sI&z8HYC-%~(a^rdV=dFH@%d<`;71r)ihARvpp#OnM zm&(O(MaxqlMc`%LC;f#K5`sNg?$O(XphFqU=@R(ka{Z+rMkXUM#UETUf}4k7)nwT) zlik40tN^h=Cnj72FEmVzWRbzJ>6A+qRN{js=7B}2&bo}!sB5naDZMJOZ%zC5CP@tp zRVZ~ss|^YuYCX-GrX29Sx~}Q88EmOFOS8V7T`T^F6F%SZ{b26ucRFlX`q%bV>_J1k z3ZL65VUT;S8^%-jvc$nQ0V$k&%u!y>X*{Bzwr#NJU00X-O~ibx?QCM(8z1KF>di_A7^A!De1f&Hr$!Shm1ub`>pv`*kLE^cT&ilmXHu z*;Jg(M{4zA_Exiw$gAoATW^$T#`%w|E!RqpKbQBsa@}J3N)r}OrkP>8rpE?9<7WQ= z6|i!r%Gz3U&QwXR+^igzlXv_<$LnFR)Ldssw$6H~26i$JWr^dAj>F173S*01ynMPv z&i{k1^YDf<`n$b^M6?Kr-lBx)(K|u(AcVn;L3Bp%T@bxRPt@pj^wC@N-Wf*kK`^6^ znmfPueeb&K-nH(3Fy%bY+23>a{_N+t^2h9aXOBV};bLsdn6YxdRJLICWYlnKdKwt1 zfK6D;AUZC>xPJtsejY0mDFm_SpDk%>me7iO|9NQWHSP0fe**Eflg-G_&t_>iUM(d~ zPUPjdzcg4@c@-+F$DSSi-S=fol-2cq{DDpPr%dm>0V>6SbA7G%IN6WP{yYuT5V)Ss z7CYd}UViv6y{loEIn>^tz9{t}FYX6hj>UszC}S-aOPt~}$$*?u?c~kG%&bt>%_G*} zCTPW)1R3N8~dk^kGo8k zcX1qrM-p|Y*jaKMv6H{kyLZ0uJU=sZ2C{QAE$EJpLw)m*m(t6(y8%e(k!UlY*X_-H zZOI=U@*3BBpK2dH&u83MkhpBx@`5xAkR+WLnTOMVG>c!wfPFDy(F{0>yGC#rb1CzdoENu%>h_7fz)T;wyk`5Ncm{|cs81QL%#fosn}(crd-uaPDJ#O#2{E~JHXY7BpiHi z)m_?9PX^UP!K$&bqIF{;chrO&^)1635c>J}>06_hq7bgcZ+~vL561 zn=BeRU^L4%?Wd5JQTk>kZ;EF-YP4gUP&oUkKxWc4fCQbt=}{|O{CR~WHkWJigZW!M znj5o(Z!0jlq z{npMD%gnr@omewUssVhgW7~(^EvPGCI)A3}#P3%5G87Odg*h5r897>ZK88$Xi62A7 zr(l0)PTM;N|GMpQRF@V-u3_SS!9L4Am&N~y-XJu??6SE1Bx@CD0f+eY7;xm9v&KXf zHnFWj7ffX6PPP=}r>xFFvk%v3ZEilZPr1UQ88N|_90+~&cnj}7p@gF$gyiWNTpouF zY>98Ty{-ole+$EnfnBw;$LB|ioVPXznuTrBia~Fp!<%%}R*K3@IeE&mw__#LSg+`o z-p@(MLZiaU!Rl2zu%n}uj<`n@;23d3s+z+Ijy;(AE`Ivd|F8tZs}8&E2NK6|yZM;~Pb05lHnq2dEb*D2R{oI7$fehbiH)Zl-mZ)0Hbiq%w|!Y! z5>9%=!Y?(O$oSp7-hAiTUP7aT)Dz5KwuhNYA}jOOFf2Ix3c#4Vu(d8BWVeSlu1v#; zF1h+}0bUr9uM5!KOxkyxJuKA-m{PZOx%1e+z`fRkAd3)Guvm?qUva0$1M1nkl=W@mEBS_zFg4q4^p7)n{W66$5o z%_~Bl^XLp;(VZHIyW3Byr>ASYCay_Fx>a5?AQTsge2MYTUlGUpbL1>Io`|oH{l~yE zui8G%GcDLw)OgE1VsYxP#i7MwA!uEKdXbJmCRSBNT5I8IB?t9uN=2(yaY&&zRaM&& z$L{45IOuW%V`5uU;0GRyP>Y~u>z?nwveA@eYX{W+RS_LR)}IbqEu$cu-ZZ1&RkLXS z-^y=fhp;ZH1d%A@)d}3#|5Jx<9t4|YONP&WPspn8frlEC2rV|LT~xv!plbl_eTenQ z9h7oTg16g)2(;^0!~;pA_0DSRgi6Cx3`A!DX`FgzzH|++EpDb0HB5`7-siSigz};Z zEJ-=;2`qyd=bg)Y+IacQ^irr-h!&DK+>f0iJj>3sy&6<{VipTj*)x?@bP-*QOBp^` zBaxJ?vY9q8Hja+=#saM1d|7z>Y$SAL!L#IClm2j(t`4F`r;uN7$-Au@$?@1*R1b%^ zyEYCis+6w53$DU!V&@hEc-qou`!hF6^2n+_>ZH4mGggox8B9eX1ud3UzjN13TuR91 z2{{BfH#?sWy}RO*6?hxBp7N|~D=2ET30_qR%P&`PQq14Q^Fz-v67mH<{)#KxMOog0 z*>+($FMDW#DTwh17vbNu_Bq;GvNEwgTHQ^i`CwV#$d0`)?+|r=Oo}^j$i|G=$?p47 z`&s&VXIKaO++RQD%|l-)IqTTMs4>S^_QQNBE(-%1>fX6;;#7v9+yuSA_%KK5xuO-J z`X$wzWbxWeb21ZIjP!$|7W5)>Ih<9m0G$b5Q9N$R+@7vANV1E8h&Gk7gY?uDO`V$Q zqNZ97-Kz zC$@-8w}8=lB2e=D7mrwj$hm)cJbF*LS0q*pc@Ug$(c{FuK$2)yiL+X%jFKaKMO z%km63j`kj32X*ziW@Et`>(GmI(DM4!gq0PAr_WE4IgbV!L@Pbu7{aDq{akgpZi0!< z>Gx!LCblbpoJiU2<0oJCZ&Kz{{E3bVPKcIySX7eleuv9v-$Sxfje&x;GcEHRQtq}l z?IP#Rg4HQz6yz6LkhwJ%f6^Nw5u=)x);bEn<<(;qdnA?<<>Pmns{^J)KE%;NoNE;5 zz|U>dZ6B@>A7t8d)S5!=cN${jhhlgru?L2gG=W==ZQhl(z?8ULsXw>iB!^(-jX0_B zIH$5l`^(fGP!w)2^-6Msr%#wY>p&LmyMY%;w0F0!9PV7fLe;QCK&%?)z&s{0-4&=~ zSfX1hI-xF8+27f7K~0~q$v`SS>&vMHfdox&8dmDfmYrbI@L@=3N6Ka_5P_h&h!vg| zu8*@gBY!;+p3M4Ob*q?px58enw_)0gS8a-G+GNU5&DWdRjC9bc=E=!dtgT|;$h1)T zKP+Nn0;u>Y2oySe5*smi{OnjN{#7=40~49${h|nl6CrfHc)xWiP)enKr@nv6>lNJ( zT#L+)lLB5JGsnpdCSv7MUQ%ie!0fzo<^6<^ij%b1C9Las+k^RuxG=Lr%*v3|#8)U; z9^hbhpa-{U(cUCR;T79Ri^B!gh0br)jvDL?VzfUbe$@~N9#%a+ikdE@hOy72Yeh%5 zr`)PYX9ptH+=|~&6{N)F{DyjO#1r&jK6SUIaHv(uGC$}y#Fod%^P>Y70ne-Fd`amC zchSDQF(P`x5K6$5`ZT}X3yOCR#C5c!-UFp4Mh1E4EkopeW<#o8pFN8sA9Od8HQs}- ziL(@I-JN!dEl#M}z0}>ZeLR=z>0;q&(vRVzrA7x_S|`rn2EE8qfcqPJorbfeV);Zb z;7rMyn#|~dBP_a#%1@xJb#5C}en_Xv5_V`BDLh2u@bRlCjVstreCHtqg-NP4=s1Rb(T3Q}qO3vp+;!j*X&sbaZ5!;FopxHs#A`^3law8`3Yf(+Yx)Lw;z z#j0HE`Uh`C!G3v_t*K16$>9$o8j2|!;uK_B;$j{=!`L1|zJ5NV9sK9+ z+Xq$dZ^*8|b<@R~2pyO7eRH4qz6nZ5&B0J{pf%V(%3gXgo#DhGg8cOsJf}aW!VA*` z3$6;ayOW_c9X=T5ENyGT>9k9Uz~~h&TSM+4p48`1kPL`r;Y*s$HBk-hQmzuu1_K1yyWhpP`U}n98>Pb* zwr>g*p7j~HWJmfJ0lmx&(IF*&CgtB;d~G1#vUbKw`w5oC@hiwyzV`8`bnH!Y@yggJ z%Bi$%1?hlQpv*0c)f3OVJn5hQ6;^zIWEhaKss34Mj%60gYY}y+zG~ik%`^ zmPs2G7$K=!hA!J=hk^QAy@K>-%gPk-CWgx%SFLl}NrM5g`sy7JkTFYzjT!+UOMj?6 z{WekLPK#28@QzR}{yI?G$)`RVj*Y1DBIp+eI)=ZQVVd1W?&iN4YN9T9hX?q8cs#&{ zDw#mNWuDa&DM0TTPM#0U{5|n7sNvpGAys}PI!?gOnd#9dp@flxzZNniB>F($T}>W{ zSK*^}#S2j(-FNQy$t6QYOOBpvmGKwXIMpx|r)pqhDue_IIO$ne5HEPsqE=24k6J<2qm2H_Rb ztL|D#X+c_pBQV4i{E6hx^R?mT!^3Ns#E_$v{mio1&vDl?zM+XtNKqEva6PkN`{4Ov zYe4GcpIG6&6MHhV8A;DgD5wkAD=regLv5KvSD=n9OUh7h@v2UsK}C!$YQ5e-FdC|D zds90fslHw?2J-(zWz14)GQt``u}@3~&4S zMI0M;WU07A(iHIM&sFQ6)m7^#v`=j*dG>(fD(mds8{|OG=Ra56#bfTy?t97+t=kKF zmMTPC17Da;(!+xztTRKrP8BAYL2uQa9Y!)Glm8_-_Wbsk$tmZqy(zh+_DQJ8n1H~8XRVs&NUV+ za!$y!+A%t}?qHd1lkkJ5yU&2eyTT-NQ-WT9*9m^M?xe_`KHnL*Nib2rHnBU>Xf+&7 zy_GFoLa=;U`Im=i<51P+%@`ahQW$=z3v9SoxqF|E`_sXV-JLy{coj2<=dpi&=wCxs zKHLthvNWPWxywtoSA4^8&lf68HA9c|Mzs0n+w!qzFm+$hd)xuwUf7X&!_0|axu^Xu zJCllzNXheJ+-2=P6ARH9wN+>Tz$!kyp5~GZo2-do*2Ws7M)4+|5J3hJ&|Z0I$luYr zQ9v#f`^lpp_9Ns&>x2Nk$TrQl= zFoL}86XG%Thx~i-$yIwQztC`S4O*$Ry`fmpRe!aIHUPx1!p!V|9drK|&RFjE9)3}d zXIF_4=2I2}8L!?AJWHa(w$p0zch&Wi*}OOI9uu2!Bz$aw6Y-}u-%Rw{kYxF?^kFQs zdS4Vu2fwhmCaq3+qo7decFdjCeihTE>*y#ME3|XbrSiskLi9=e9cIvzuYxpS_9!(9 zMw!|Qhod7c{k!tV{H6Dwa{T5Ph|p7RN4{EcA(A)!rEe7sI|SI;4)fJs->Lg>$HiN5q=3?=2eeQ;^QR09kc8#@>%>)wqKn(X z-dd80*G1AP@IMc%)yU3ocU(dQHau>(Bi_X?`ej+oTUMyH&;g(DFpDQ@n>&EbD}8*7 z+iZ?14};(4;u|~=TbE$}GJ9148neCn1gmar${3C6qn2=n$tqZKDZU>X65A6R;-Uj0 zV>k>HK%E~h79nSRUfzJHnACwnunqj-HA*S;b7KryM19pXS&B*(t6Xs=YZ|^qC)oFB~`Mn#NqEA0^Ic zB8e_L+-ah@wZZa({&I*phoU&)_gzGzd`(HIcZb2!{Bs5KP?(nANC~KnOMv5bmn=*X z>-V}@!i3)}COPL0rO;~WsA-3QqVxJq5{gr=#l+%id~S8PDrqx2N zadA`D)tJOj7L&)vrY;(|bm|^oPOm>FTd_g71O8#9$>&k(QL(FJJw$I3X#&uCTQm~o zLzUcr=Vt~ZhZZUMtL;qOx^PjI#=lttyKQp!cCod-#_Zwz)MNfNKvjmojfBY%tf)B` zfraW5bCrh6%h@jgI1D3E!MFNtvoNJu2R5sAPg1JnClcXud@%uJbA+_H;#0wZtfMQ? z45~RVS7ucK1bX0<&zs}2KMCMoMi>h(83?6Cc{oUamL}GgU>Jn|IyB;*s@Ej7_0cFT zT@lV9&aiXiD;S`4#uBa^I1_PFwqL;65)<1S5jvrT7_l~n(WxR0l?WV-m;1v#rkmgB zkUD7^-dvWu`h7$R^{3Cjw2c*NRWy^f(`w65{!KG{yuT*2JKMF%DJp@OYWRnBU$u`} z&#E)Y=BsOPGsiBYceT@ZVmvA2#k}D{|ZgFF`rBZpSq0` z^0%n=;s{AOxOhUC7AF~Fw#qBgukr6a9&~4DrTnCTDW;7YD<_zv(VKL|SfEShT-HeE zs{%DC)d5*K$t==E?#!y!qb{cnGHZ5$T@c@a0FJq~Cl&JfUIAJ~HhhQ=lY%6Sw~AV7 z0uts)iC$F#9i16E?Wva@D^fi_GxuZ!Xfq#J8|ynG9+;>8n$i(BDB7D9$ir<^107rzbP_#hAM*_K{+=3@RYZr5)IBk6bL|q7DKuF&RPl6v)BAtKQQ`k3Us$Z3=+g#E z9k%Fjh&Ia}+PC<{Ey@lb)3yBdeX?`k(7M4uX0QS|+GOXl|1fl!f%8>vW6qP=VcBbf zMrmulhNc&h^R0stZR=0JQ-57q$aIp> zmq)Fhk_YqLCKY)pu zxv$y}mqtF+OKB>g>JEJ#QvORD70n$(Pnf)Zk99o9Xrqu!k`P35V0iZ1IZOZrA3cm1 z`IOFEvlt28jBVDoG;H9TNerZ3=lcJuqa+0sPvOSWZre;{`l<&GAEKfH4=1?bg%Wl! zpW*b-v~~;^yaf&^_M9BnXCq_hlnFm;B^YzCcLj#+8MLHtlST@bHjc4pnp;s_EBPcD zt7H2&PZrG7@ue0hKqy!`%@UFY z?A`mD(7re*Pl5eTM`Jzc=P$XS;IE^tE-Dxuqet`$eQ1bWsYpfmpQJb(we_*6aD-hv znq9w*idI6!)%{vCT-7%o!nqO?aH^;^-`-K?@V=}fHL%yk>nGPsg4jEpSQX2Ur9Lm_ z%6|^+Jd`g*iA0@q9+~y{B-;qrINLdwsJ*Fh5<+m6GjdcadL#;rJ1_m3L|GR4ZxP|@ zw$Jz}-h`!4oH$@MKENu--0U>rH(~SE4)a+h(^bDue=ZbwFawTnL~IQuikii+;)&vy zQBbkP=-f2+5(NUQQ-=>QZ!U5}?;3eRr%1j@;XayhxKQz>zqLksaXDZOK69ba#;tr> zKi(;is%$nxCh7QsHN19fI>JQn8f01&6$fdpD!r@3+gjS^VJa+5RxO=P0s5spf4yjR zCy3FVddNBrx1}DeACH*Cxk?tsrS)(;rOMh67x(?OLV6hTf8^H`%a0Z9R(xL1v@_kJtagv}u^w#|VbMu(I^AiZ#1~*Svse97KlF!QSt`o4DzEA}Z^gkzV!8pnZ-tX) zjy*d+T^i`oOqlruts|edSnE>zoQL`Yi6wpAYCei_ky2_ zC0Ht@Jux>7yVl>sT?RajuCk?Pm}Ty$Zcaq(KgC8a`@E3F@g>m%^{4lsgV#W}+LgtQ zy{;@IB}UI~b3L;04mg27#J^v5jB#qn#I60<2`^`WJlh{@T<+8kB=tHphHqu}-|D3L zY6>PiC({dA!UCj5}B!Qry?NNeI1k3zxkZcFg`+3tJEvqYiG`pdRKY& z>*%X@Mxqlm5m)(gDCyFT=u*}hUh@X4Csc}5blw{&LE2(c3Owzbv6{VOk7ganzu>3D zlCs3Um-+h(>wzgs-!__rT`=pW9bT0F&3Zg@$2{HNms|*aCq$QNyijXEX?e@&iL zk%D%;e6I@T89>(DhSW&QC0HXR5}-vBnnxtH!ChaK%pTO8)i(Xr`h7Z5Y$^}<4G|dyIMQ?7srX}= zZUdA;ISkQ4`WI^V3Jw!Xj`@k4k*e(coH3%mDKUN*PG+?8QlTzwhqEJMaU>Palug#p zo1q8sn@~o<<0NG<_kg6f>15wJ7sYi*h1!Ijmde<_nPX3kE`24!1gFXD(Sdy-JsniY zAE_w&ib}T;xE)8e0B(|*?>kd5+RI9^fVW=R5+ru+@`7I^VIm|-EJ6Nci)zH<)hF&5 zW2*hb)4QMFw5Qj0h3eQ5E@gb@chT@3b82hQH7!+F0C`k-=C5fAJo%QnnKvzkZ3wTj zyHi;oGhN*qoaL=Y(;sZ;u&432q`rRMs_R`ANFixZx$ISCIDHJGNt;RBZ|Gruk>4aG z5$AJN$@34(plx=(&%u(1EB6IxLoWHf3ifNlQ%$z?nYlav%0oop!bz1vFRgptjtgi zUrfwn`XE{^3yTk_Lt~*^eT3=$qj{9uJB1{4#lH2ihzU~!Xj+;B}p<@#%l8u`*vymZOqm<_ZcIg;iLnJ-Zw4MFmBX_Ltpi+HL zQ18(T$-9bSeb+ybm$!hk+fTN}Hs9B-J3J9;q_<~Ti#PKLBepPYQni#~hbxEs&Qa#j zlH}xylY0+gN$@7r5!jSEqtnsU9EbN)PD3@+#Fr2tm~Owhpj0ANKV-p~tQPs=uLtgT zn$F@4pwVkoQQc;QS-f_u!Ms4Thdiy7bU|KlAa&SYA2kO2X`eUd%aRVRFY>YR%A!u* zdBX`Q3(S3*Td=aPN8nfr95X$lG;MsQxV_)xt5;)uNFA4i;u+}{2GlS-uLE^)eZ(4Y zepzWSv^2!#ypoMYcQ`C5yXpVAPfu(4pfTQ8(3y*49IKmf!wHLWdpt@)qG+l{KAJKVheoaYtha6j4g6&d}EUhRX%e(Oq0Lc@xuj%+#H zO^ZRTUq!3lqJCpGb*{jRmB555wfm|iBBVY&6uG7HNg^{++|@t{t#+q&YDLDo>DQ^F za{K0CT$%*Ezd6P~)0Zt)KWWn5l0Lm8H}j(b>akCpPRXvQoY((%@EZ5ar$@U@jzKcG zrs#GQ6PWZ&+nz!m!?A#YjkQms+hHkf7CTN^YoS`%A|moeo&T_K4$+{^HIjfyBMOwm z&s^{d+EJ~!Df-iFUOb815#%-go`?4;F4jd!r_~yfEdySMkk>>Py=45uixstgY4TY? z2~6)N`LnImb%#bzuJ?$e7rIw=&U$Ra$DOJFu!MXAo(yZQ=^de4Wx94B-ue+B&2#XD z80haXNB*gR8@i+RqMCg4G-cxM+hcheNP$;^QW_)p*9&E~q(09U$G-{4;|Zh506KIy z5M^K7>knLAw3vh1fAJ?!?P!TmftWcb>{3zk2mk&$v`*6MP~1ne79m6Q56dc$z7Lgs zVTNhgsl+tweEjc*o&Mhio>__Xj|uK(gvG8&*X7EN~ZwbeuC_Z3J?VVjxm>e6* zvfT%c`Sez`O*LV>{CKXnJ1ItwJ3di5jbtYDw%Ysiqz(VaeB%7=K!aWMx-)(0fu{7# zIOHFeMLW$Ww7;itvunlg&1($n5IEWIb}v<55Ado~?6E-JCnrXOmQWArfy|}G*;>AaTMx`O z0oW+bzwH)VC&XU(6Tf}B(LJ|BeMP0dUWuS+0ltYGff6y!LF_`m{RQVZ&OcNgjtlgB z%>}rdoR4)eiuJq6^;fBQts`TZ##Or)-csVa|FA}e3ReQO1oM#!KL4=xKORa_Y}_+w zPr>d#>q8pC)%0nG>rzO5NYrMg;XF^yW<|!vcI4#QiqpbB18t!!Dn!Rq;>s~(Utd3Y zeT+j3z}y<9%+mBo^MQruB@PFo;KQ(VvJ{Kn*i25MVyY8Bn={MgegIV~>J{K7FoOF8 z-#?N56|i#J-1_$t)k^CxD$1(VRDP(W-*omgtZF7js&TD!OBH4fw-qnAyf%Iy8Yg}r zAp*n7?-}ieW-Go;NYb0!BYuszu3@-c8#2%C>w18z#jg1sg`|kOC3Qz%Y8np!V^;oJ z+XFM%>cECCI)KqDzLyBUA>7l#Q&6Pjk8Vis0`~5NYqt1qljgSr9vIkRP`TXIx4;kq zDt{rsh!r$Z$!TCIT9_ruKz^qj+e2S`*7HaH$PlW(i5?l|K;U${`F^6=(Y zMSWeQG|>sc5Cl;oO0|=I_NDs!NCMDXzuFo{s|7;afhUn{i9YGQ^Yt{CI`ZW=MTK_u zJZ;shT8!22yhYjQAg$=ejoa%s72i$KUj~9Iy}WeO$}z=P)=ji~uS5DdTMDg}$f)`~ zV{&;a$5ucimUrWEUiYSC;J-67#cG6TPh=}#7Ix2snU0h#r{6f46El_9TAkR9Z%U`& z2HjB$wflZb1=GR6VuVv%FIJTtHjoDToZ^XCe+9s8;!pD{{Q%Q#T16!-NYslVx?WdK zZ<31luT1nwg2g@iec^b>-BSXFXn?jVN}dKhn!A1dDzPH}LTKyW^<{)^(Qb3{%i0z# z+Z#u>+q&^Mra}er#B_C~Y0%kSZeU4nauQZO#>3{qfHl#jx2foCdBa~a)v!wqK{PI&JK_{UVe?{!r#!rM< zI0r>dIy!P`>fwMT5ZTW+j>o{aHQz42fXF=7cgy6ahqi;WJ0n-b=fv`dE9>u$u4#|s z*NFmqJs2R>GkzmB&j(k%O(V_4C^>iekB>zsR0n43Z)15sHPyAm<~D^XD#eC#$nF~z z`fjYK^$q;?yrDHc`B+!i7;F)zpvk0b*6Naapgiup+O!rWY8;Wxh@H1OD1QFCpM8Vx zv=@-fOOZb%<>sMsxG+P6x!ErN3~P&Xn4bq7{;>ZAzIrL%M|v^B*Y&hj%IrNdE!-;Y zV|&|N<%ND4>6mHcwh}A99)xHtE*!^&$mM}q7Ok(zv!_>(Q zy_0iN+|MnxOx<=~wU&9uUv;$&iMt1uj7+iopt$C7tg;IH8f_PyBT=#aK95d(2h(u< z*`pW?yUUi^Zoa{FP%en?H@0+f0vH`R97DSg1*}Pprzg2>xS2v$3Fq&43Hfb-zOsZ9 zJK+Z8I$T&R7CtW|sQ>!eV~}G_En#~frX|OODoirHwPQ#9stu3K5`8fy^jR0{#_VTk z=S?CRg;qPo!V}p=S&k30H1ts7nOD{}`1} z_L~2B+a8V?*%|);{PKHZIzW5P3S!{csX2*y6P=MJkO=7Xw@XdEIPlgCy~7yBInnd0 zIN5LURRmqY3J$7!2|ua42exf7d=kMYGk(UxITl6gUtSdn5dF+@Fh?8+ua^sNf@FFX zpY0n+vvxMjwyBk^Al5;Kh9fM7CeId!aI6lEa@@;-e*&r6WV}mip)EF-!z@2&nPCmH zg9lg=8VWwTHIxyUOX|a8l_jH8NL5yY1gBmpe&*6GbTM$L_))o^6zXZX+2!Gi*k*$^ zxjaopwt>tVzDxZIahK0Yq&@YXW;OqN8tX(Avrzq83dbM*oNaLKi+KEfpYv)j;qLMA z1Kh^M8%}tZB|wJrtNH8f>waHdZb%ROK^h4U&FKkpJhJ0TML^zW>E>k_MAyhEiV!jk z2#sj+S8h>=P?kgO12TZeYu>^AI?10MIBzcgVKHO>mLYbyQ`vgv=9{cPFP6g7)*3OF z%y7ID>H6@8sTMOy-OG3X!;;$U*%r)T=39~HOjxMRPb6;O)aydZggvlXqioB#3mRKw zIfl;49B{GDzPiYc+g8Q2QBe0sH>Snp%Q<5Qh)x?BVm5V!4w2jX&#`^X$zG>>t)sh%`kQmAjM_G(S13 z;*Eix==j)37juWNp4Pe)>tXl9o7wYN_0Otvj_0M&BO^Vn20;JZ;2$rk0pQPi-zpqG zF?a=Rz2S;FWvscb)`l#{&4FGCfrp?9FwBPSP4Jac*90fK?@TWhw}PQ(VymphFcr>X zi&NjsovI#V($#(WPvNR5N9i&`8W#Wy+EjcE1I-e$LS!Xe5iTj~n17JYWb9v95LgfE zt*L*lsIgMkF}O=&-e9-yEkKuQIZY})}cSWk8`gAOe?BF z@08a6VZF3bo)5H~ML6KjBw6i{k9oX|x{cVbVq(E9eHlnusw zY%gNvc5b_sBO@ectnP@;%nn&!0rgIfux8=7ayeFCr;*6H{*fF2uZ7ugw=q;0dIi<% zRwv!62Us>Hr1F=&>rLz>G?NUOgo8I2@PTVy(sXhIvKYT~4ggXN`av}EtM=2=o^Ko~ zY#qu}m9>XE=o$}I?zYZ_kChp9J42U#ua-4b=md@obp=!tpyEyqQN}*k?b6EKUWhas z>GnV8N`OHATpi?bOI>#NH4WjVsJN9LvNywmcMP2807 zqv)}8AuC*&cXD0X;V>KPQ%AHKR7uQ6%U#X#bSWn}KaA0JVgr0GO*7jji}F=My@`Ri zZ|uIS*CQE?sxFBj=B259Ceidb#SYPqb0S*Gc`zS`D1c#lx|P$L5Xjj2_UsNd$DbR4 zR)L~DHQA;Q1`%;8xW^Y#=*qf&BdUfvlvHte{f{lkAMX69UBw@7)7Oi3#VotGL^1u! z(@?MH-8Av^hPEPp3pS=;#r39vWr=G4Q@z6*J-Slc&$gI)?42P;aqsL6)Ql^s1}2p; zfIWE2An+HE2I1}#<%h9G z85t{CM|U{YYK)f)X|5(Sl%H#R)(76E1%r zHyibF4vi~Ei|vd5{6d@h&5DV;iLGlpGl9Kl_nbZAMU7=D#RiKiZ~l7ock9{2Jjb&; zryAUzi#6^MPx~fBAPcpz$FCucDDbQJBYj$)d*dcnr8>*|_s*c=PHx6cWy^Q$Jt$i7 zc^MD6K%~ygIQ^K5y(*vGI~6P5um>8O8}gE=qKccORVB+x%BAj4PjPW~hN!wOpzLO4 z;{`S@C1(a8&?5A%-TsV+GChSu@g-mva%_8IteqBHA()SW@Y*6Qf24yB9`B9Ei4}aO ziz5rTrS+7FHM2G%nDW{)acrFC3zLaE7y>j_^%OdGq(U#4J5k~V?QL;5tQMJ|Q z>t9_DjS9D_@D3g%=b#sX&wE=^(-$+*;{W z%~uFO#?0d7*ujd^cmwcsFG;W}$~7~8?5^B>`{Euy-w0~G0%Kcr=(yCLaT~gT{DE@I zwVm$Swv|#|+>Xk{-5=(mWAm2&0Otxm*=YYk4%xg46LSE3VO4v4Fg*Iy`7plF6#+xe z!q^=Y3SmF|0`n04LoXPWS)Q`oo66&WY74*2 z`*-4|l~5IV5{-h2Bu%wWv`KO?H8KgHF7-T69c{4*25APL&ST(g7 zhfLQAV%Aj4ZXs)qg&7w-VS9++%SRJhQVpkkG1~081$3fP7<{8QL3$u=5zGP@kapd| z6+1ctT_KBdtNc_aG5y{|YM&C>8dO+F?sKtI-LzG365@!wI}wx-l{NcQGa7Em$$HxnwYzm}#QY^ll;!l1|8p(@rubaLC5TQ0UoUUpjs9J@4icU4*(v@3ci+=U zkFNFC-p5d!f};C%+%0IhWh(z+<&Ht5vEY(Y7TiY{c?!1SM9~phNm7qKbQ!^>1@eB=fV&dvE%Xz68(wX@nG!)TD3qENuRy(dA%B_&5-HthDXNC-Q5Qe% z`(iVz9j3>~V35nUFlbCm;0z#k7 z#%zs^Cmq>KBJPw^Ia~^`Y zs&&Zu37V%t5C- z0c|?z#WCb3o1eX6zejtyKdaQjqB_+=e)WCwxE(*GOb7Z*JLQ^b1r9h@oAGehDP`BG zmmY3C>*!lC>vS5bD>zXUXh#rw8ysMQrl3EG%eMj$2DBKjlwR^ zl{DrAyvi^cga-=bx68+3Z&LdY#K`)0aF)%5A01HXQ9YcH?TXL&$G!I6d9+dnh$1D$d85~-&TZ09B_gzy3!2}I z@XP2|q&2!ft>UbQ1_)aCbwq}jUxIJ`G*IuhuA^a80yNf~EY5gs39jNl$-b;*iO1n4QU?>T>9@PTEAaFG}K1{uYT zR4I*5Vq9aqza5QxSA9WQ%yo1!BHKs<%^@P>fS5ExoYwp4-BoSxkLQ%HU(PpUazwxP zyeM>#H~Zu*aW#%5c&yXM+g?;#_!LMz`^;!=Q|l=p*8j{b<{uVCF$>T0jQ&>sB;7i;PwXVxyJ5FV@0U8}W2D?4^ng zP#a(D(F%DSnz@!ZapLLv-Q@g;Vr`>y%wwz0X>_|5n82Z#S`V7@?&#Ab)9)$v%F(HX z#ZShf1c#OGm@7(0ZKkJbp zp#aVP?V2i>yF34(T+5Yk9g6-v_(=9ZJpItUX!bmZ#MX#$Mv}dFRqjx*wBo)&$$-(x zn|bswD7L9f>WS;zsO?Ow=ycso%i4)?sV<*D^f#OGs>6w!zup=l>+M#(^V5bl^Vx3C ze)}!HQBH{bOYm-jcHZN^^wimZ>#1;EcRME<#^mo_%;i>P70ftP)8%q`qrGVoSG z0buj?$o?OebaupaHmk~zighREW%|oj9Aijb=jJ%j7db!_jwXFr`ayY=w9`N7d?u`c z(Q3KV9kl3Z;LKJVY-VDyfF?iDd-L01M;VLz#724@NmDfiQy z*{@}}ON(QA?Y72%YkJ7PYNgg^zz+?FjvV%|ZjM_1)F&FU*X7SP%V$E_#7b_}hMMhQ zS7wlM3GXN&+s{#+)8Ih)5eG(JgKYMv1Bx8OJu|_NCZL){`$-4zDIhS^duM#kTbmD) zD&WJHxDfJGnbiz+_f7}%3~2wvmYatUkC%0AG27s59gcmTt4jMkYtcfUI{yXr5DlSG z`j4pMB=x@*I&2m%`xI9O*Su@V{fuzBDKW>&8m*7n;=cYZYebZvCyYXdadn*t2Y`d*ds?g%a9f}nz#T^Pfc#-06fg-`(X`w)& zNO6j`MS^<>?(UkD1PksYxCEY)cYk}2an2s!IKLseuQ}IRQwjh>F4ZYKfC340WbQ`f zo+Z6!I;(OnfPJb8Qx$sAwG!yruO*DM%YoiDB+mY4_Q_7m4o#15SW$kFK^7(O*+WgD zHy%AwT{zH*pV=piJDq*nH@x7+&!NH-i_eUw|CkQ zV_DT}*bx+V2ya`OaO-~c<3vFVYYz$k0DU#i1FcY>-~ewmRe3=|FS|0wC)O(@%8Ea= zh9jyTcsDt&-PbijMJ`_Wlu<6Tp5;Eb81Ah6x%}nGgzwkt+vMkEn>50AvC>)FvFQ&X zD7>fXQ-zO`;X5t5{dS`tTyCuh|;gQ8UV4CwC@!Gil?K7THGatc}k5~823r4Gx8 zm&?9JKL*YzkNnw#8)ax2X(tq}rWRxgh#c!xN!ETXq$^2Z1uTHX-f;O##>$fHbN05{ zV>D>mn=`Z}OfQ!K#@!Z`Ma?4;tT@?Qjo~l$;amNem!f>0BF*Eg;>PnkPXoVhNL05@ z^<6!@yS6Vz?ACrCYhzFVNPD(cDAULry7q_sX$&EKX?{&-Fl{}1Bw}I6pfZuE-JJJd zjB3lycS)Y6r-t}o!ErWcTeD2&mgl4ug4_=*FfG%1mExZc2RB&-?zH~@Ft&mwK`OuDyRGT5HlY6clgS;vGoc2+_R|fS zdv4fwXDn2S&c!(@9+~VG}S4S1M(cB<(m-UMyMD_olioMe#*8`n;8%2hMl5-M zu!ldMxXts4wulI@I|Y# z_+ziBnf=npQs=K9FKlwx0O#9njv=q1UBn`U$_HwKOVQD)zHe#(c2NuwM*)4uBRl0+ zl?mPhPfY6~7JXcWK9G_O0_g%ti*T%?dHciCJ5aZSuI{~I{4y)m%0E_YaLiSwmaS?tpmV0_%XaiP8e0uGLft(8tVm~JoIBXWOBfl< zV|5dldUtNAtlPh!=PWS$FU}p7J_tO#xHc+BELkicWJ^%;fR;W)6L1^RX|UZw*<WyAE7?(e5g;A$EM^x|R;9 zoGHBd{X!m`=yyI+iO?ozul@`NItG0x{!xS2j?k84OHD!4dgl5Z@|Yo6m8A{X+gkhB zC!z)#wZ=&`8eJ&7LbZYbRel@XhQ>ju#mC}@R|os9vFYkQpJuljOpk327)cj=q{f2H za(wTUh6IWPiwBXp9y%9OfJts>4eF|&mM9x`1-JVF=epmFJK)R+rREyO0E2siWTyJ2 zzL~PEur`|E6{T25do>e{)JpV7+m%g$UH8%Z57cwMEgLA;ggo#$AvrwFUss(`R9cqS zkT=ZQJ05Krg8usr8pXr0T(h`*08`Ec-qQbzV_HU=995myC1-F$FPenayI8__XHO^l zLMvljyfnQDWU-N%fN}ff3mOVwci7W{fqcG5^<=+)QomI_AR8(iXOi9`bRv#y^5LSk#`&tub_H36xL-HsFcN6zUg{Iw6K!zU>Fh2t zIhFU3xHzu3+zxrZXosUM%L|?X(XNTMRg`6XW^7LU!V&QN>_R~Le=dV-F~d%x%7E5r zBi1^56*eXpLr(8Oo)R=DQlx-jSzGqEBlPlgNpk32vWdByxO;k6o>4o>b-Cc{Vf%X+ zWdhJ&%B+ZVl%S&Vhe>9WYK>tuhwP|O?naH7%stV*_dUFY@ypt>!vH?(UUo?4yM9l$ z%3fjIxnP?hZ)iEtlwl|4Bzy8!ByNo$O6}v&hoqlsxJil@6D67c8~o8h%6Y$@W}o(M z`*$@M8LwFUeS=Bv!cUGgmj(Y?z4p83^`(}b^4_X=`XdsoHYCnTPi%RSKw!Rakhhu1 z2je$;+xAc(#2pYNp)SIf$%C+JpIQ>l#k7a?Uo!q_1zJUSvbE1XtP-UQA*jc{nWUSS zKJXQ7CjBbKUb*NBp6>rJT{bse7V2Xd)o^9@?OFcvaD}t6(!qVF&;gZY+k4=t&0s+m zpSnFe$u4a|6*<$sHr^DKr&E;}42DuK^(AxZW^Xk(9aNa{Tm7Vt*Pqu%RxO+?uL2qQ zV7U|*dI)&jEL!B8HuKwsMw`yF#w*=1%13wc{WZHvpJ0#|5(Db$6Z!Ferp9mn#MMW{ zz4=A7G59ZzXcD75>(s|nZ1_BSsQNh%2%U697rb%uWKWiE0Yi$EYD?fzh0Iim>{jtV zJ2ymavOKk*9<$5$Bk?{T64*$(dD0(z)9o+KDZC~|8b$K9D}}lvEfkR} zseCIb^$+U~QeQq@yzqhUcbzEAeDr zDxH_|7T-)_uQtIWxco8CzSUpRF=TWH+W-AD<|C4Bit-wy+nOy-d8s;?>Gaa9t+Ir$ zxFm=BAese-mF9Kx)S^4`;mdkgEFyuMc(7)7sTHM%TgF$H)TXk0>C)-i@X!3!Ea%k987WnQd_Fs(^t;*}rFOR7xUP2kX=>Lv%X?Pr zf50*C$peL99nu}&5zY(O%#U=x>mpOLhMoZ-QIQ(H^y8mLa6-!%@k{jy!q8>g8tGTC zTa4`Kd`t10OF>zdhiO=+%(b`sT5$OD0IOb8hRzZ=BprzePV;XSp#H`Ij152};xI@W zrPhrx=7q-;hiaqg)??y2dBgfHO>4eiAf`z6DIes5RY9Gp6OQW-lgnF?iCDyW%S< zG+(b8%~t`MMA1{u5Nf`+&|V0ABG-!4^oIMjlHq|?q#~>)t7^Hb(f%WOvoYe6)~v@O z&fQfFhe;YB)`xa+v9rxPbchMca+FJZig_D2viWYL&w&+W`6n}j*Du4KX0%Ky@ZiY8 zM~+x?C?1^fcWg!X|K(bcW}~_w-bJMOHLNLy2kfK_&b6_}vo*Y={`n4zAoU?Ap2hxi+ZE z`5LGgPIjF*rk-e@a144E+jPu;QiwbZhF+Gf(Y-nE(7IBCJumec{OC)NQRTMZcA258 zMmQ;DS(-*~Wn=lq}t=fq>DvePr(>{TmF~=55WJc1_r3WJp|HTpeJr$F| z1Q$~a9v{2991zNXpr3|!THE7 zV?bflzB1Dj2x}d+bb%XqHw+iG4C&XTyCb!Y9@DRDzSGIGp(}2(rL=eP{Y(9BQro$V zI8K-Xy*>;#s0^d~%PS3r=nJTu340>bLw?**t0UK4W_s8o@;hZ4q0>g0ED$ zweT*hCI80DGU}E+3#@o}d3iJkc$r>0la>utzd8pW1~$F?TtsnU$RJav&iabW{Q0LF zg+%T5YI}Qe$G$bsjIF96;e|l-{uL#`6_se$r+_fcO#x+Ff9u;va}+=%U&o(=j1{d$ zXlqAL3S25sxItRUEMXx2^ON|?ihzBAzA;j~E`Q&u%Z`--m`4Uj4oE^tMs9@BZ=78( zR}jMHiz_|z#o}$u8WhG428f)R{B_##9XR0f9HV$yDtkun@u*B>>gjh4ItE3Rj)%qi z_PnZ8(IbNI%sAzODIQuUCyX+ZM(Tq$8*=ucK2}i^jNGYZqgP8?rTZ#!a!Q+Tg;wQ| z7Wrjs2~>Tj+V*7uzX1!#4D$X<4p}!m@lcL(yWPgY01{`I2 z-VqmE8VKUB>9yO{iVuq4o|#_=aSNB;nMqm7*_?Oj45WF&7j4qQLq)GKb0c5k?8YvlzSuulfkGu{j_{tBlEs!Q?Mw$N%` zEarJJv^*?x;}(AJQ?;7kp`tz6A$ispM$SZhuC@a$ZgOZz6Peu$F0y@0Qsn;ZJ+HF% z$4K+Rn6(2}^}#d!t_7IJ%XvKwK#sa1lRX&eJ|(<dO2Tg znKR@55JYVn^Q~Hh5BI|?qqucrEzw6?h4{lA&rf5%(nNW<9rj}5{q<`pYR^0)g3#~| zCKcEPgBjB5d*#X7m2LRADSNxybJpj|ld{d#fS!j}?v%?b(XDrc9hLmv(qm6P^r&3v z0Oay)lpCFtYrqL<><$Z}hqpYdMoW`W$U4R`5 z=g_dwTGnusB&DotD7p;`9ZzKMwsRNCqvXE%4qD7vxXxCQ-ks1DS<9pmg&vfY@F}OH zKuHmvEBez+!-%P*GdYbD%!ttmyL~Lz>X+Z8%Qxlpd$+-C`dt(MKU^PBU@VFb> zF8u0|%EzoIc$Knw|U|Eh=3oewj{rd%uk>;nT>I`)8rCdE@)&eAE3OTkUKE zEx)q8kpFFdG z=or>-s{GT&oAEbe1xY^iNM8SMP$q-?Q?Vzr<<#R0V(c^~7jv3zhs)n@2N~aLlypV3 zj06#_LOjc?3Cq42PRz%D53h%PVyvGaFR z{d0RdomtS?l^XS+$GfnsV(PUdZF5*K>c|IsiQcBQbHn8dQHEj`BO zpFt>6YaC5KWEc6tIf_LKL%n@$L`(XHPZwixu!YECB$9rG2ObFy>K~i3@5e`MnfE2V z67s6OX~YLr8Go)PfpF=(#Kq4i{Odymn}B0gZ^!yYuR2+p67n@=T#H_`NCZF?;~{Q& zU9=N37%B(P--vzqWPK|`-cmG=&81&+AdMM;N0FxelSA>_6)kza+4UcH5Bgk%r( z$pqiy>zr<8ENMJjD7&$b8r&KT3csjO*-+y{OPSarz6+aULzzmrodMsz)(NBm?8PDB zY_o`MZOO~d_3OeLkp~ANQUir38~{Cm=bpOY_;c0eAsK=B>?3#S7sb1NO>zj?*P=!+ zKlC!Mz+dUQXEr}d-uI<;uh9q7Zl2%ES{Ug-YCi|2E~_#pkVj_PKB6ZZ#KYVJOY*rB zR7Wd=+zZXZXtRS&y>!z>>I`Zn*Z_3VYER47=mEELwE#E4N})-QKx&cYYdF-MEZ~%w zaJO57;ZI4bOPpV{-Q97p>k{?m*@Od-ks9@o`fPS+J*s1#WzssLr(fyI)<01#JDogl zHdsFDU6!?U=vowvDF7^UZ}e1nu;!6~9qP%z4H>L>^ZiZjA8FOIB`>LzHVc2k_Kni&cqK=y z2~I=5va1TKGY&NT7zt5KTk?01jyx#<3CF3Qi_=WOKvtINkea_5oCz0%GMkBN@Oz}w z>m=vsGxfT~e2GIsA2_HNA?~nnen(6a+F&+k8@SbwGE!)$M8jg7Z)^?YMP~&$zx=aM zZNEqz9OU^?yJkP|QftAyAoEAi(d82qNR!})C|g3I)N_ioG6W1&U7?1uNOjaXpq*?K zmXeCimik}@?oT}w2Sl+tNA_?^$L)oLBK^^v{qA+9%L*B&y;^ONr2@~_#+lyvS;IqP zBb8f8g|z#rrfdj5KR+ikU?}|O6or-`M00hdDA3=Z9e?9j)2i zyu)fAEp<|VC_K&3qTkhp-o`KYv&^%X{RG5mKFl6Gv$Ag-WohRwIPPRC*ukSF@6jeD zd3EMlFv8|8G?8bbkcnZ~le*|BtpYO`m0Hfnk9-}qO-`!uxjb#pak!+dUj@`iN<=gI zuu3SET!0I<+^=!D2lOtTI`T`XVlZmn$Sw%D@*`Dy6VNcjJe3xr+q@fWsEcXd_Yi14 z{}+d&^0G}~f?-*eY3CH?dxEp{RHk1wfXiUA8^k04S5|RTdi!{=QVs7p z`43eFar!$W(Dhhf7)8?zMur*60r`1|U#L=yf$`dfWZd*vY5$t=40|!1)1S{Xdua6e zj7N?0i8KHB=Gx_ZZ7b(E@qO>#+;vIZ39A!QG_(D>9_emo#(k$(9?E9%1gAKA^90z4 zc7lK6$MOamazCrx68wuJd2VJ2REb07Qw}F!`)u<&Yer-&o+;vtq?miA_rQI;@gKS=5RhG>ptop zdRkyk zX<G2e+p07Xl7qB z^p0S=sjn01RSy+dB@B(j&x!O@Wm90mPl)WJ8xm{G&}kJ* z*2glvbeUO5{w@3Fm2h!9=!lPTbTxd}%O>uWX!RmpG4HPTfD)VD{ueB`EW+b$FV*-9(FYmUtsBt zY11jR*2RMl$@yS~A`0TwT>}Mh7Z+FX(Q`y!Ee(Dk^i~%kuGho5WwFftFHXOz!nH%M z&_HQ*A*j(?#d*yB(x~6<(USi=z(^G!-c28oG<0h((tqVoHKz`ARPbwXuVrv6*Sl#9L66lOn-e%a>q!Xg$QZ0>xt6194-7t=SW2^wgS^q!a~1uVk8z3A|z1 zdsT7z`)_=lx-_JK2jShb2J>vcXJKJ#jvl##ORtI?a@S7ZIW7fAWzhp9Bu-Y*A`3u9 z8q{U_|6u2G7IUp9skMi`s#$*UdM)pHsG?3(NmFf0%Que+0^a?0hZITA0|RYRbx&`N zbPY`(%xHq@*qR|Xzj*I|V<@?sR71Y})l`W@bqzA^zfm*%|Dfj4|DYy;cOp2i##rxzH{1QS2QXV$`c5S&I|AKd>UpKH9BQK0v;|sNDWf+ zC<%$hyr86{_O}mg*YUhZ)xR|YeExD~A8R)!^J=tAX%#Z@bF}1P!LV*ucOU*AFf7J` zVZi?eL)tgn`pyckf=4(T4o;`_(eqo>SB_i$^pPoe=fZi^@9xH?ub9-2jvi>}HXMT8 z%UJ`w%sw?27z$XMeI3f8@d~pxChRa{r5I5JO_f@zJuh(g43KAI^Q83pb@@bOPMb`< z&@b5iHjlL8h1%k6x?l7#oO;7=C34^K)iE;-b#K^DF*7etBc{l9LQsq(cp$Jw7Lpz64G{_f{X)xprVI=m zwC8^I+IMg8Pa&}CIIy+u$&)PxJo=Y%Ln4cd*F=MKGLoV0W)`nqmHuhE>|H2+E$C5w zNR3mJi-pS)?0JXKZ5vHlQ*61+mo;na^wy0vYG>uwV;Yi2Sj5}u_{W=oC#|Rz2%Br_ zVo{+`T20Rlo9^CpSjY+{z1O6J@Wv5sF=xR4ith$g_Iz z!uFl7q7qLNf)dq#~!zCq7?&W@qG$6GJ#@4HJ;B0940KKO4m0;*! zfR@R(~%L)(HU#5CqQ$@U917XHNn+qxPO9zrLcJjeTc^Fk_`xJJaR-w-u~ zs_r|K{IhB-Uq+oRA&hnvR0X{C+Ey5UBu((>GtWSxC%denv@VpxykHY&F)I-LR))cFi%lss_fI zdtH5e+YM7z@QxU_jgrKPdQ=gqxxq$aFu=*AhQGb5yE|LFA$RVtn~cd3`b5v}HGk^0 zv;2Z6=Fw6eRdWCiO#9EZC?P;+e$=^wGPdONnX{K!?NZT^4w(djDkn`z!1rE(JxPm_ zDvHGH?#JIj<(bI_LiK&#Kz{wUuKeEz`UWbvt7Wn9p#4j z^4{cgo_e9L>pN7wz@^>oufLA~^<8`_sro!mYKe&OwGCsBnO%+-ppLZ zNg`D%Jj20aRFIC&Gv9OGh>JM-(8YHG8|5UTJV%&TB8Y+u4=PZX!5`6knkNq;D@yWL zk}!$Balh8j!@aT7P2L>{H6@#0aPLgFr9l41N{hV#`NPx@^szMI6AF6jI9 zaZsUgZ!mk-2qSA%&Mkt}_0TcZI2Q+`_Dnvy1A(m6>9%!2=`#A~n2Oeh>%fu`&~AfO z!1qHj)B3+=iN93Wt^U&@WpO_Om!qxMcqP>Y}M8A87ll!eN)EHi}y{&&w zUhB~^=^^P*4g9%*I@Z-P+|pc4Klf`Iv@$K`Sihy$29@rHzBw4$urRDzYjZrO@y(+% zvalUoF^Jx6WAF7b?1qtS zr{-r(Vqv=O&U`oM>!lp7yKP--%BWn7qtCaaX2RxPI5IG;ErTc9)@4lPaLk=NEc%L<0!AqlHN@bokH?PK7XzO@ zBE9P}9c6laVU;TG6au_GG{2AYt--;_UDy4&z8VZC;R|`!$~RstTqZm=_S21Y&F75b zckF_)`C~dK@$&;Cq*X!)1&V)zvcheJ1M3Qeb%(J`AD=TA>CGHs2Q5fTe3^Zmk1~g- zKD&oJ!?S>}NaV87KsLB3_4gj6b+XK3=kU_-olO5MiJ+efHQU-_kM_@A%G^bwdR7)H zKyoroU)D-BH+csq>NSE#XAIr(z7wX`4~C*!iPbyPo&0F`zSStJCs?roKTg1)_BYy^ z@4gylc^Zb2=1r7MP0;u$a%PRQ#%MLMS2*UL$Dw2ol(3#IYK$>zXh<`B0A7-_?kc!4 zdGHZs>ZB(O%e?&YM}4F~*V>8<>K$RfN+?c$C`G9`=TbMFKr*~AiEjXG#(5t%7;pgkF zRO+WcXcbhrwKebbFgB=y{whi-E24wBfIlaCXpyUL-6?R#uKKE-=%BK7i!W#bLNr$GCfY3nBEbSPW*!xaNSy=&%Q z92GxK?4OdwPWqT-7T<85@Gf(abT4lGF)m%MZDa%Zg=v@;)4NM>_QAFj6j z@}EG34`gurTYWFfg-tH-j-V@>1FXa;3KJ=jtdO9Be#SR*t|4+oP8njSz$;3lX!HpK z4HXW~YxA|_`Lm_$A(TVkDrvxluOaFo-yM5$Jj%X2AseE~B%$W@uRq?Kwu6bY5k}wj zs3?h_OYeYi!GTu#ee*S3Wh-jS6`)o~^$_R6o>oOp5H@{U%Is9eO^zf$i-`g#%Nb)K zb%F0RzgOkJoXnQ&A#W|3@15jgM29*tuAc$pUxOtWXQy=Lw&&n$3T7itkCAb=p80tP zX6wYg2=~X=Mm_L$S&q4jJ&^-NsYL|Ng!6kvaP5xA&9^CB57u0x0!*H)dFf5v$T=sy z^}jgWV}y}~y77hTT55a##Z?H+a5BjNWA)VZvu}V_eYlVe}Rn zcW=P$5GE%9nqhtXBeQf)}8}dxm;9-yd@(x%|)tfd0zX@LR;(S^lP^5 zm7_Rm-gG)1AplJ%Og8RUOyI9ovxACqV-=4Y>Gc(*)6X&jiD9}y`m{t{AY;V!07nbS zNSRrn-^5mmMf+9=>N$3wsmM00J=Oj=%q>7BV2V7BCcwa+=`h~!lA|@O_?f$tj6tWL z|Gj2DZGN0K1X*^FL93aW)Pm8J88E3ZdGNmH{uM2hR&#gK~}K2K6Jynu^W>o}Y7l($cv*d@r+I4_iMKI|LKW ziBy&eTj%5RxH0eieR+7Xi!Hx#RX8#E-cMZAG3;bNx80DuY$yGry3lE4Cq;6hfIMsx z``ffLiT-@vfb6q{7mqHQ3ayw4dues2$2X^yGo{_15*mQ7SvG>F7@QeNzAOB=j?s=e zqM|Gbn3gAbiGb_+xx!Txm!M!C8|P+N6i~`ax#=LBXVH;@UA^f3PhMVI^(L)RTor~6 z8SL1oQDWh?eR3q`g*Pg!)899$rHp5*L7M3CXt-jm9Q(O3GLgLaT(99BCcG8>0l$*? z+`DHZkW)sWr&3c7S@Z3@>)GFc@=#$WqJ1hRdvJSnz_xN4C7tNt1i1bM&0sj~cdW35 z7@KJkBbkRS5IoH2z1+t;E`$KHiv8kKBM|gQY}RXu7X`Jw`eko=tBT*+EyK(#LYn3b zJT8SfCDer$upfW;Ufu65su37xB2t^+`er=l}87Kb`L{@Fjq?*ig@6V5hRxYd6fBJH#vva8( zgi3NOM{=wvH?2ywB z)m>e7@^IEpTX2~_j!@mSt@H>qr>$C-qcT?sEY@WDzKu5bgFQwmpd^Rrem^6kKOIUo z=jvUn(_N%F*2V@Qo=fX>ewsYXD-d$)i=rpkp!W)kEOxGk3-1R9?;jPX?z!GN@|Vqc zdFMcf{MUi*FRpWRJFzYoGN<}wDf7+(PkSEK6oC-~n;x2}pu#l^J zjgM}SUfgiLbjWl2C+wa{#UIg}|9QXy!{rlFCU|}eV_LYG^l1&Xk?HmIM^r@rHy2m8cd>7LAF__^SxDp1V?&GX6le9 zA*mlw)vUu-jg=oB)PFvvvY#>>R1qJt6w2o*PY-gvBLX(2p81EPXxg0>1TWQ=3ma#T z&t-t!O{SuS760Pcn*UwakZgdD2&yMieW|5?XB~FQm2Fv8Xc2%-810kHFS+%35dynx z{nF%+aDCeCgDV|Hf5Etb!2ENcf6o6hgwKlp+JDINx~Zo|w||H+!xgo|hS@fp#A3z5 zx^uCIk=G?JksRS)iY=Rsm?6Ei-TCyirltw0&KL&ncl}DOu2sGW?-?Ze@+Y#xtQXqq zHCE=7&8?NP6ll-rEgI7MQ~KEmHtZYULIo?JYk*Y?=hxHL$S zjSR|hpN+gjEVO)jI1Q888y|cx=6x7gowb$r>$nJW)Bruc4>}jQ%@d#cDLUEKBUzG3 z8MDqurQa!O7ZmU5((Xu;A{qnF7daKGtHXmsgs!p0#CFbN)4?c41G<9}Fm8(^>$tgBp$5cB7ffI9C z+LAs(UklPr=t9R4xLTrRy5tQ+;W3aPSvLO5XBkn*A8kyU;5#KaF|s8qn(G4R%XKL5 zn=ZiD_r@aZfC%r3F@3M#Wf=KVhz*u$HyF-eEczg1UiN_Ts}uslW@kx=NHUTGxf8ud z){NM8`<`f#mGOH~x!oh~MGVgc^Tc&Ly~Fk~imYi=8Oz`q^h>`ww3juzX%jx7E^8J9pP~ z-UMQICf}P)lA7gu2qHJ@r({A!T}KQ}AcB3%mAs$wex-bGyu%5yya4~XhK>#yqu8J& zn(~czMoldd)RUODVLZknt|>nZN8{i+R3eWx3zWHC%7%+bjsHxn2Q7rO zWg<9AWzS+tCN{rdF&>eG9&)M?t_!oyfb2EYQIenGV$?a|sxGUP{1V2D* z&?w_J7xrTbp+cZ=I;vs&C|&hw%gr~&^hwi5+@umC=9nAO?7w}veC?04&{&5gw96q?Yd-1uzWZYLgN73C ztRsudT9PN9(Cgk%pG&Vz%+bZdRC>#Q4DUZBzDqvL$|624k1@hpTcql6E22wJAEF zDPc+Rea-U%ugg_el5Zh{mef&8fBPOwp2eecjbz8|?l!ybhU6wYh%4p>#tumR;*$~F z0u_1)&Q=+PDRWdw%=-5ls=xaXDx(kf&5+rNLghW)&!1^VdUTA>;?E}RO!rMWW2LzY z_gV)_;S?(=r(bis=TvyTM7OOn^LkoCd7}2c0%aN|tftSuCjgD-8V~*f_yqv_)c{r?*IvPT0*PLv(0ad|!UeVNNbVnQFf| zcFx$O)3M@qPplE;=h>dFc?mVZ|?r=5xNt`4%Us>&6oX<(>VO6NkIrVFmKi5kE z1zN3b^VJCrj$Eha@<~G5pO(D3n1{QOnfbtPRQ@E0F_(tnjM-ZMZxTvgLZ6MOhetN* z%8Fw^;!qzuxGU$JL6^dtX^^g@VV$Hvt zkwzB@#J!aesv!5+kmW^37yJ4&tY(2#oLYQuzzf7&%lasBy?IDZ{oEZdgc19Sqe<4*&L0KOfm{uKs9E0RFFe01omaX_7$f;O8(bFo=(J{G#36J}6#GQG?RWOjPBvMm)!Eu&D&b~fbv z8v-QBVg=#+i}P0CCR_AUT(;fQVr4YGkUR8_!7We1QbucPfbf^*`ae*gBTx5@=FU6w zjP1$y(-o3+JJjM5^g)J2VQ*&v*PwL&GOz0iIWA>ednI~?fXOA;^Ok=tn$Kxyh2+2>n8#s->bm}J6M@Dj z()Q~wYJ~kE+6>D9Q5+ss?(FU5k1v)sZ>>>M`I6A|%=kt^?qz9i6A)@(^hxRJ&4+p# z#8a*EKt?m}&xO@=5;a1T8xdapfNhDsP>D$_X6jZ@JlV4LOl(tuaf-`T8C{0TefqTp zlsbeoJ^ZTmIU1{3`VPHKUyZroT2-I7hj@%fY>z6(1nrbEM@AZe@eew*kjHL28JFww zXKJ1-ejzwmiFfzOo>~426j2A7&M;FGb@64s=FgYot&gvnjWcO%C-ont;7aFi8p&L7 zz*UyqvwVl>H+K>XAv@4ESnYS8melOkjsov$?rb;u(aW1WSiGCG%=)m+!a9r=e&b>r zb0>1d=7c}^CCuoFBkl^M@_|LA-0@bQbpV!6+E(|4cPnx@L$&y&su7)F5J2iP%@nl# zr%)p!Xln^?IR*FEppuWNf8TPr9?J6fdXC2P)c3VNT{+vxm`R8K_6FKOQZ)FP!zlvO z>oL+?gLzJioP~aO85#Flv{|Ok_;B^*&Q~kxVW|Q2r~;=C|1$YcuIcmVxuAUB9pggyk>_o&O!dw=zWl|i zmBsB>4CDJc)wnEei7llVssiF`F|5VRrfw_2r-IXd(DDP#%e!){b*=MTHLIuP6M-es z`;9gf>#4|mfJ09hJ38Y_<J}-(^YX8OawJGH zLC?!Wj1@ZnOv}<#Ksv&h1yq>^_BgSIP#x_dMwwJg?j_ zjJ6Kq#3!xg%dr$86<#}>;z}Uq2Ke%$<*o=OC>m1eB~~Ub(=5AqAs6*_W4{mgw{*N; z^-lCo1$S+#(GdXjmrHH_d%fdPi01n4ap!AGD^shn`vlQK!bRl5%L*57f^_LO{mWJ;-a4fyM2dEkI>Mm|!JY$Zd zFA3prw|LoN$`q}kO3Q!^&D23l{FY{D(Y%p*lKthcY4x9>rd=GtBD z{d@x-Mk-Aj@EMeBy&xaYny6kD5pNTlyxh3+mNh*aCNk7sCW_VB%6M92TkV=+<6{~VB>%-Rc71)Pk+Neu;xdb$Bu4mI zA3aSJ$`ey;$n8Z$%plWskJa1Z)1ssDav2L?<9lUFYRbz*Amnx(pm1L@J}ABhXkYqQ z(;BAmI;4>Knepc!&w{~54AVNFSa;`}<~xp>w}mAZCg8^GsPwjfE)i3!Izyb)I~3hf zFL7`P2#Dlf8s0oyO*~w2V$jA5J<5&6TxxK2= zGA#DhS^`v1p4;9#H)V+7EXfp(n)=q5Km^AR{uhVxZN={mAx9XkPraYZe$e`ohCPO$(D%te`)6>f%kX2t(o-vYb8fcSS%}e=kA_?DS_z z=x$Gl9#2b~l?@x^qEc)fAl5&HETD9{9UHn#C5WGF8S%B2XFgw!2oOnuWRfB@+){@# zPHO{M3|Xk-*OI3%L@0w2Kj-y78VvQc(0E?7AMD^O;x6?z8r@gzbauFqSYbSk;U4q! zFS*W~Sk8zZG~@bEKJ-WEOJriMp(wU16QB$U4rb839pq#ip=dvOm7+}ap7o+~?#zL$ zpzIe;`=c*Mrn-H~xe^ZLXK(XlRlPKHA0o>LIW6bh*_L0MEe&}9a7%SxNTcDc+T@~N z#A3-z=D-8D870)S}CDubN^Cht`kS zWx1|njDkC|eV2?h2v+n657Cle5(}}*B%V->f6!uI&#QzC=FODbW-Z;hkyR_k+i`j+ zL^FAdQ`&>g{||BJ{m<6>|9`EbrKqYsTa=n@)n3)U?AmINDylZMf=HCwo1(S%sG>$} zVy7r-6C;w?5fU>9{p9`I_dodl;3l_o;&yU7uAJ+7KCb8E{xHzofw{7*zZ;SM_3$$} z6HaguKiWn-7Oc+x~ zt}~+168CgNOS;+t`@(T91z{@blc-Ds$!mPa;b zuhpE0KSEZ5`QGOR-dA0t1nYII{Kh336yzK=<=j+*uql+AQAM&26JT zPGWDqDYaCBo#Nb_wvZ>7wA&7Q(S|ulxI~w^8B_nzlhn^#iK|l8(<+(s0P(_pY+tcf|CGR5}^x#?+lc72CyS)H0HtAwEO!!8@RTwJd@3&IYU2P1`$>dcAy&BX1B8Jm4+ z>zFBQlpfg)equz4y3L85U=rIni|#Z=hTc@@3i7MQK3~LUuVC}-A1;rVQLlaCfCA@l zL7&|6)_A>hT*B{sx1aCU`qoj6eU|#OFLKvh##gl5Y@dWGetbBHr~#_@RO)r}b+$G% zFz@{xb`Q%;q0i974(TIJiR366C2|vJvVnzORux>!K{2(~R=_jRLGiTK+TJIOQm%*f zfe=kN+3#(ii7lJweLu#nr&^>Dd_jh9A5Dkk?Uw`Ch3J@5|*{YEMks_OMLE{ z-!DzL-^uB$RGlyPDU$zd-T}D5SA#M`fMz#uy!zFwA6Bp*^z2vK8k-kyl*sc*aP1xW z<~_Ra)&)atqqNex+7~oB9}^mvwHf$&1mf>?g5JILIo#qA*8|xKTZL44e9$UPE&6`j zDUHM}N>~e*_pgmHJXPFJpR>fQeDq@1R-Do|O=?7C%sdCADLuX;v$%bV-`Re-YXUln zQBn?_4L}=^rvJnjHuqe!`?rJh^IV&w#~^8d@sp(0Y|1;q*Oe%&yf$v7d77obcbPACZ`tsp0% zHi$H?Ftqg3ui{_5J5fd|)H|J$_#5;QdoKdJQB7 zx*r0vj3AkCjAdtQ_*-H8@I&4RQofC(Y1M3mp996nY>Ug)u(?u(X0Ks#|5XqNNC-b5 zqbxnkJ+c9=_k`T`EVHMR{FR)OK|5saQ08GtSklln8%(p@MJxnnU3_nAo@1UZ6{C>^ z9SGA%7yx>SA|oxFTe2?-kjZuPb8V{@YHF|DM3fA3f87hP;(`t!?pt9nMg4TukL!J% zU3uHu(`1tU!qwfwdblGs_#i3+mQk^&L@M=m`3{R+OHghmc(DQgdk@R@o{iKgMGv+_ z>dPG;m;8ACkBr7!=*nYy$bkb()jHhi>7Mj^6mA^$&LHO)*;HL3tRajX8rQB(J>%ie&!lQOWmkQfpC3M zyUveu%zq-O-lQ#u%%?(5MlEWJTC?`zw5+_>)$i=SI-Y=9iXPOy*s-+IT8E^5t@>zQ z#Jk0pw48k;ka09sHD-9ZyiyZzKhMBZM*+h-lW zj6HwlkOXHP_Q_k$6kX`~sbdv-Q~&nrn17HzeeA7WUWf_h+_$FKL9h| zuYm9qmajA4Yw@S2PuQO9828E>G<`S-1zx%P8~6S2)|RkZ-fQA=(CWg4!lF*%H>a39 zuMxC}l&Jyp%7kj+e2vlh%1WS1jmxx4Hgjz0$b5jMkxowAy~2!jk8u<3mlNbW`I~K0 z=X7Qp$|ZGENo~X9dO=kei9#l)i6_vMIcDm*rZ-BY0>n@)IAk|KsV`2bl&=L39WDK@ z$G-DKp?>1E?e|N&N?32iXIOtI4`;}*tqVEL6xM8 z?C=1f=`2wR59_;jHO5H#Of0kZgxk+LpQ0U5_ zGzNI?5Ada%;aVd6L+!LvumMRZDeAP(!{`4+)+ar^U2AsgPS`=xhk*o}@p=_&3ds)u zN#n?}hPLE^M?*pksAnnobNa3}&rkHqvu30%0Iod{2k?FJkTMl6{o{pPOWNF7e{gdt zJi*Lco6VD~C=YiQC$(y&tk*Jz(R1GGPSeBB~M2OEF-2yB=;b9KS6Y4m(SjIg!mW z$uel~ZdG%7In`ceK534%DRrK&31HX2=9I&iKZ2gjTyyMPKnJcU2StA>T0O23eR8P& zKFXy=dM^#hNbX~QraJVp*DI(yOCM*q{%1qmNY|<~3*j|$LLb$B8V)2qvcCj8bItTB zv?EmOYl)$?UKH$I7Huf4pxMX;7pJ7XZ+Ufod1aEKkp@~=rJ8%e6{34sKJA42kT!uqtijD5Lehkmc0X#2L08+*N!jgG~xD?*>!4M(KfT}I!E$0u8coj zL}P4BRBtmlIh^Cu)4WB*H3Uexn;`dH?deY;lC~cNPj83qFVhcvupd{a`}T}@5l~mq z?noDg>i$khEP?|SuTngKe1WI}8n^t1=`gXX9DO=Wb9+v_?|X;UK9={&PWx1bc9MSl z&a(W@^Q)xYgsk*)@Q%<_V#)1u#6L1^=SgV&ZiF-51osU2eM0fmgA@>Ct(#@G_^LFs-nj4>V9LcR_T?3YA@GRhN{64@=+aPi0Ju&fcC-IUum;-YQNxG zE8}y5yy&ne1&rN%0Kp+ke&&2`f}7Xc=xnP6`<0ZOr^U2e1oKdW!@WX6lyN zrprDetGXEW0DbFon78lD9IU@1nVKkn_5E0d#g4#1ip#4AtnJQ|+|3-8jKMz~$)qa( zV@9!tfWLxi5WFe?`h(o$(?A=KGRe^jYnwL?(o4g#PPfl{KOFxa3N}*+#iwHnD4Gz_ z)g{6?T-LksK=BCAaiTikVlI$C+M7X((mgl#|6&l3u-dXJ*4&P0P$VFqQ6~rq0iOpW zN_3Ymyn3MY$9B=s=9P`8NZ01zw?l~n>j6Jx2t*{OUn-_odYIoOAQJ5V(cRY7fsQ74!I16Uryw_h*BiuS0oC`pdZ=5qJ2GfJ$^mK z)jqs=Zcfr$(FqPpn8Xgk#uWqyAkbS)sz3xF9WwHIm7XKR?<)j~Z}WZd=l!)?LIG}X z?Wf5=@4SC9Q^PVk`rrgRRyXpG?75J=&kIbSKZrRE?7Mt?5Oa|ki9T4g2J|iUg1B+x zmHz9EM=x@2BOgC4O`LMzmsVE~f=Owdf|C1JIS4f9e`K7lpa)Z(Z!2A7n(<4p>X!`_ znVV7*a^weFxLO&cK8&D9zLuxar_76sOipMLi7)HaajSy!2-}D0y^`S(I)-hTU_mmf z&Nu`tpCO|E*ODcn#2^kU5WXE0pxr>VP}O+%Y3r~P#>Th@b(LZL;@y=0gF0{n{OC~T zqAZZ=)iW14@h%Rfx&f72iX3RKBpw>t+APZ0B0!_Ihu)EP(>bG`Pf(em#)>J026sa6 z^nN)ma6mr_%sSZ8UqkhZqz1nUl9rLqjPcZC`^(0^t4R`-@JL&qGT=Kr{h$gbP1eBHtbZV=zl)nEdG|6>Q1QP-P=~0F#Z*(n-lQK8f_+tQ2Fz#30)~= zTH6PqB0F}ANxS;AKn_ALD)eC|^uGC`+FcgIBe5nuju3H56P!L(OBZk<5X|g*VEY;8 zjFzs$1zLBu)k9il{V)XOiAoozD zUN{ez-S*s55@2tkRp|pl!+dCiGEp6}q!&Zf*u7bXk#r+^A9Hd(QQ>2JhsK?>?elY!>)ZKi(DMg(Uw`T7Z zfV4=pNULKfzi1%p?Lv%_xd-}c_F5bSBp|BX+6xAgA55Uk|FAb88HGV|W zBpX@lwWbkL`)ah8+T?WH1_$p|k zbA2SMgy53Uk%@m~p37@BHM)a;q<4E*9^N1Z{39~}Q(vB4tCdU4$dM`Zk zycllkazRQpV#9$W6}?bU4kBOL2#Lw$mQ&@Y&$ze*lNxM%g$NlpOlK6X!i1}mAKZN5 z?-kw6f`?(BArB%?**WDkqF>zZp77^45S5WnjeDH2szLUQzKKjr9rMyObunB zCFpxH&)AFF?`u>FSMey?s~Va8v84$;ct^!#-SIs0@u%cI)YQC7QfS z%gB?(3Xg!P`SY$0&ya$Fg4;ydnNCKu0!=+`{#E<*!)#qTFFK5n*PqFK5zg(mfsOVi z3AxNCOhX8X2&l7NB#3IpQ<0&JFggGdX_h%$ar@yIe#^=x>6V{5?lB$L ziT%T9RW+`DVkWC3PfC4F8O2W)mk2x&5E)T~Ljy~op&ov|#(nP{Zk=1F1rMEu1h!2B zd7@Pbi4{bzd461emcw0n8tX-inje~(bdOR%d%w=DL~?8CKoGNmxnPMe|Hz!}BD$X> z_h+l^mrH4_x4Ok^F090?sxL~SeJe&}`HySOdPz5#pPPb^UJHoO!UYs~A+KB)ELQ*? z*54HK3OS$JvZm;-T=N+c9SB!Wc*#C_!KkJJ>Lq}g+SG@%kMCN#E??)Zt=}{Z$>e81 z;A^2(Xj^gV@~fP$j#t>UcwMjBpGym78E$G)EVW%M!lsub-!9@>7U)iz!`FBs&kljg zxco-$no`JsDLgN2m??>w`3{+iH}I;9!rQJ98oAYo<80rrKuVB}7OvWn$fRhkH0IDk z%BcG$Nz^T@d#mAXUF4^QQ}aF9^wFJGIFc9Lul<&-TE@xPC~u@nv=BpV4|yxq$1!Ju z$Z5=UlS=gIH7(xk^UqG3Qgds_Y)lBODQz)c^6B!Afy1$RPWJVROa~!*!N?LdL=MQ! zR&i`4WMu9f_=o#`m!jfMUxCd5)XnWI{~y_!7uhy~4(bsjXgC;p1T0;>0ZkR(=}s< zmVcZxH(#o9ls*ioeW@6MwU`=}yp3|1`)Vgu*w)s~Z5CwLHU0O8tf*xTTdPWaU<&h-lNjZEo2MWK>gb2mK~yLp^R z(T81M8!Z8$4qFkcio^5l391;sUE?oeK{8!UQc%}AdVp{rhQw3+vQLz|DhlOH-OUb+ z@)z3ou+O8mPq|55O?kb|BObC&;N6xRS6A(2<7%Jx!Rx@_5=s6XY)ukWaKQ5+_;TM| zbNcr;1G!Po@Q&nesxof^%-w9p$vo(9zj2uY!e(W0{~c1^+@Hj9XR)ow_)cJ zMwFBP;ld;uR(oM&ao?U7`ikkF7aX4&dKkXccRT6x`fa557Rxm2sCk zWkKI0>jl%#bxE%250&7I2iWtguA<#)Yp^+1te&L|Usg!BekH6FB-N{2s@`~2?6D@` zY(WffOOB=SfO*w~jQHDF4EF!m6le+6y>>2PGx5~wS8MFPHWh04%6o5dXwQRhLotxN zsIC-5k&Z*01`%@5ZFHkGsw}G03!KtcH%RML!~`+g))zmGW+Zy!9~V?5QX*8Dn_V25 zXNj9xx6&Uv8PI#uzj@!qa!T^O#fRYRkjeeq^;{E+99AAsIU6l;Cun;Y_Il$;aan`ZPX2L3dJA-xMS?jc=gbDI*#}gSZ@^lpa*wH6 zg4N#jN8}e~V3SJceQ|*(Ey8R3{r*96tq9A@hwsFky&r_LdK1orlVDPjXs`P`?0^o_ zIrtn0W2KFjw-`1@D5qUKWWMc=$?14OW0P13bOhnEU8So#_B6BR#-p($^f`+A|#g@9O%ex8Mc{tI>8?lQElKDHr>&$~I9 zQjyeR#%i9->l}o!<#sY{=A*eQW-jkp+GdGZ={ndb1_y1T@+^-_w1%cg_dZHVsuk&&z)-VMU+7#v+q=Pk&hss3&@6KPStYf$EG_`w1idOeZnNn5(* z+D-O*cp?~17{&_$t?{QNDC07#ZK(TO{RS(Ntoo>SFHG{xZ6)D{?+>4feF2c$$+Z=C z+SjhShL*N2;<(^4PR4_Z_wI$`2~lL3^!=!#?ym(pw#<6=)kH^QKg^2r+kZZMLVbs- z@u~d;F4Qd#PX*(vBQj2QbCF^}Rh+!8@_e?yt{S{YoXj6CTYq1!Lbk!QN2HOak3Wl} z{yL**?ANYT6_t{QS#ibyjSf2|t+rA2YdbL?WaWX_F3CU$L-eXDb`;H<`C z8Rt4BD*wB;Q+devzvwBVPi+t zElMobMrEK5&Mm{kmh63%Z3k2l1q?TGx?QlPX`X$)RmHD?m^WKb~Hm$t>Y77v<*1zw!DDDFj8 zi-dRE=6qa-QDY5W%5rJ0Om_vm`vn+z{QkK~cO{6m71lN+kWXzb^Kl+!F1aBZYeCZqAAoSNJ?fGCn9 z-MjfVLrTq8OUyA3(w*(=;bmOFrokepYaHT=n3xId<;PWgCf)UWfPZ9WIK3>?ir$17 zvru+ilre`WFBzK!KpZAJA!Ua2Oh?+mRnW!5K1cf#ZL&|46@Xa}wPd9O`uUSFztMh_=Td(OqJ0-*MV;t|~pRx+da)0aDmwd}v#~^H;T?H}HJ?tjMgOb)dsXuz>462N= z(kI=C0rlJ5PYIJquNZE<$TFfN?jYJ@6B_dx>>7Vs&+_d#TSky*VMP9B_xIUjD4C0V zcbTIy2f!Nqfe^3%_iIjcG!MwZfORk}iqlE|hPCol*X9sgn}qJk(gbu9M5WeTOM zJ$Dq)mnK>ud<&ivvy|jxjflIJ0Qc$Q+dz+MlTV*!ozC~`Tc!OY+x_odMK)t@%+Yjm zjrXWXU7WXdTIp9SLS3N$+?D%$>TjHXwVG_7p$k}s$hecd*kLja6vQJ?RN*g34)Glk ziiDQ4r@OjjZjc!5xL?eejj*82ZYM${o*IGLFDl$QRi?!qeA!C!;+0NzZ{9?fIkBZA zb$Ew@PFxAjrx9H^D2&Sv#Z;dBU~<>jT52eRKe9K@h_!sJ^AnZp5TPC~*?+BkO{$~6 zfP0#4@3?))w74D)+*In9edDR{05{sx1JlOzY@Gc1HsK|&cNk{|wNjcrk*~BDj2>u{ zU4-C0(~o7YpMXX{k^~*3GoG?TJV!j=QB&owa}fXeQTPo#s#=j{Wgen+W6M>#|CI%i z5&6A%$_u;aIL$t3Id|R@7Bu~s?7358`i>ooW_4Q_r}K0b7iR97jtERf7DPf2cip&I zn(=|r{x0`}L_Nh7qbw-NoIGj@+7-<7oHF0nvwobex?CJGn_iy6Oq|^PKv$z}V3gJ5 za%`$3vnCNql)*>X;wJ{UNO3?M(_+UtAMcNh5qPS6sS zb9%42=R9hOT=pm?C^I}UIUK^e|2}Opf=A9!U6mu~p?p4M5a4i{45lDl+RnaEx#QBD z&pyYS1V8KBv%sz}NJr$gErT3J*7lX$cu-V>UUvz_9<-e*ID{_lXK?7>*6bHw|9JT% zr@b;%)}!J?mjz*NBxOD`-T3+r>-49|bWXx<=nrg{kQL|850A>U$w)5l76#a9ME3-j z&G#dsuIcg45$gFGr$Yt8-DOUfjhC`bXw!!>BraVu+-VgzHD1bg9qQh)Wc9xG))Z<25_W z-V!Hjyb-sQrAhVdrKQF3M@6j?3^T$BI=%07*g4yK-uXvb`N-cmcb;zq|MuzIrzbAm z&itsH*~OKWmAXOJpG?8uL?o3y%XNqm-_9G$=C0y5L(< zc~1B^`01oS)|UMCx5}oJd!f?yESVDzf6ZCl;Q(Bu6gkl~4oE zH$$}tgX<3r)o66A3Mn2KzM8g=d|>!8JWi8qI)HTGCh2rW#!u1}glp%*NC$K?yWf$1nSCR@Pt#uQ z!CT*_q_>o+sy#3uUBTcf>0^-0!F9xNd-(IswRhxA>Gkyy1Rg!e5>cDLxQ2+lzJ)uv zE<$5pp$FNwqHClsJt$S^m?GTI6)e}5?KKx*0xHp~tzKM09!xhlU!eKsHy(8}EShc%Il~ubk9Q^`fIcJRQ07*4b1+H&~GPm|ZX)>iCZ=!E!7* z{3nsBc)}k!_8g`Q2~1;?x^4N|=TRp4rjRPH-w?^YaAL?o zh%!I7L82CUP7ykBb39UpZ@nk??t8w|FgqZz5uC>)GN5bMl#(^F)uePqse1fY6xf-E zkx+G=rq^~pSsyRK6H5mopESPP+IEe$u#--@%-VURzC$IoJs+u5*4^gGf5Pz za+7I3br|sGSPBuc$UfyTxHLsh-%!VKjP|GXS}CX+DVGs^@N5u?7{q;#4-HfF{Sx%M z?PJrf6L({SygWjm#s2i5{#_s4(Wg#RCCsjFRLE#;hAmrDdg6~Y8vh^4kW3XFKOi z0XLc{UTkP!>{Ko;eJl@*yrvIhO&s<$NY&_tv68~tK~JbYnlc@gXvuS*l={#v6a6S$ z_q73@YvylXwaA`sx3!Vu{>h*zp&ln`OHiPT2&%N(zF3W@8RT#GY(rv@zRn@PzNGNI z;~Ft{(k3MfbzKUt06_DnAy-9q1E<+hMa48Wj9BS*-6^Z(ZZA#UhUc<|P*2s@sreaG zz?bcRfvUKQwZK>+bry)75K;^%*X7@C@1Sh6noE=0Y?GlL{GegLqG0fN*?}rc;YXD? z2EV-dHgpS=MPwq0Sv;K0zFGQGQ12(-Y?Yky+r!bC6bJ zjQW=!3LHXcG~quN-~D<>5l}B!%S!A)-|TLl+}o_bf_BSUPH?=UORsAmT2!N+`|03O zN@nJ=mb3tKT~l#D#IB0WcCz5@deYold;zvRWSBtHc7>6jbKqS9q!u9HUw}QH{!bQq`LyNeR*HuBn~>!v+#e8K@Xmc^ZofAmr;#o1 zVLl}dPZgf7iLy|t%TP&;OH)ACH!Qbp9`6VDaN1ll=}=ir!t`e6`^i%NnZ1IOqK)i| zzA-B`f>j~l6lKL&jFCBGX0DI5nmBq#Z3kF_7*u-)au(=8hWvTgp{#fNZzahM>B-Y= zb}!<2uu^!l*H?+%Y#6v*qCY@NeI6nvjXIPgbGU0b@IEnV8A~p^LpUMP$8|3AjE&m6 zI=}CG?mM|`=Bpl!?CD4re9}@$WVu?;QZHB+*3V`MRXKn3OZ72}(kEHA<1M;43+!nu(?DK~oged7jjb#W&Jw*cWVYut5- zbjD9}=y#70&m~(*S&lYr+{N_i?p2}kqq;<^enUdFksZzMD$CTqxVr(0Z6LFXKWW)< z|DBdQ{(LGoD9EvepvOdIT+1Lm zvo$84JIA^?Ts44!nRPSsMb_O1@31_oOH@+FXJ0_i0i(88CCZ|}=QEvM3O(6+8Ff~c z0Br>!2S~H#!<1M3>s0(?OUa^Ea=cUEj>JpBvqxob)wKezY?3FK>?2y-D29U2b!_An ze06%WA+M&&=lw%fv>=P89ZTP^_D7PR`C9E%SK3&2evgIL;pWY8XT5fdI>@cqCItI# z{*9SVwV=?eY7{MFzqhOJu;_iPjz+dcN?$lH$2+?M{@l+ITixP>ol=rdQXgP7WJe9L z!m?Yny1PR<@D=6XPdh&I>QpIy`CxKixJtN!Bc9&XC*#MEn4R6a$1R_6PN1d0aPokA$_2~Xr1llP;Y^eIj|_Mvl4C#mWNUK^V`l(b26J@ty791G z^AQ+L@X|#xUuyinE7owU)7&+DLd&f#`8Oe;E^C-SE#zpT%URuMU3=?48`NWDYw`gd zX{(h*k-koED9cyGF>{qn7Dm!^QuK}gn$3X8{W%IE?HZs7*N+LW^&thYUxc_Ntx!|c zq`2dhDEIwqch%X9yJ_?iKDLr6s&)4WIr#J;J2vsAO9UI{2s6F-Fp4I&B$oOK?^;IB z`#^3jMUS1_w=V3>HVGx-ANG87K8F|j!Rn3+f3tm+8<#vwW`!B&L(78g2EHlN!HO;E zqt8In1y(HNSVKY_d_=*Aa_&}9l$rZJwX)|>cM53)W572^$dUhzV5tMr2sUw;bypPp z-w4)m!ItJ$Tv#VQda|l&6K7q!x5n^UN2eAZx-C4Tr503hpOV8Mr4>eXOX5v}BVFh- zoz@h&4?6T0)tG$GzkkfPeBnAH+OWcy>+q{J3t~>7^uOz=Y9t(B8)V`iXFm|&O z-{K4Uz38p$!aF(2mM6+A0KWRu$T-TTp_za`PPy$j9v`*lol|8W+mQ-(4wkipKAwns zwwPzztL!tKk|>ey4rzb2IN@>nvJE+2nIQkHQ8=tj$HzyvhKI;Z;_L_*4k?Zn;hNJ+ zmjMxG4O44Nr#YkFu*FAOOI_oCIa=2f!k&{|3(pcV);LH@MUc|GqCmBlhjdZ#N>}dD zV*N**s$Te?>eK?K3$tm&ePsa>*#q&Q`zGLY;%$yLUL&US*?a#k%UM%4ufSC;#!jfj z9}9*X{EnX|YJ3Y?1Yz_smaw7ZSY^uDPW?(E$4= ztBoUFraQi4GP67q4agWYZ;H=3J68DtQEX0G91wn_Ia%HmwF%R0o81iAv3Sty#TIBV z!uFX&L%flH(pYLmdw2$2fqDrJzW41>>rasjd%E>Fq-_| zAI6)&QRQ+!Jd&{+(d@XJMBx@fIB zRLn2r#Q)g~z4XR`2#Np--YEVp&Vf=ilLyJ2@JzirQBS=CIa0>ofV7=_otKj{I<_YhPBDSf5FOt`?U^-knNUtxQ zR7i;!Umzr-Q*QFmNLHo-!XG{2$qW_~=+E+V`V6 zGZoCIfdd|&`!nD-dRgBpGF(e-D2Cl&6(-vb@mZ~}s3|>D5dB)45e6(FFMsy(Jcx?~ zo(QFP6{-nd7L91-r-YqFf%DkL@0`c%FQ@PM&iG7YFlrC{H+MxumaX$|uSKMUoE{LU z^>Hvup)yAW-koq+-gSm~<)$YhiKVralyuMLiQufV2Zo!>W}Ca@8#}P6EFYzbEiE;U zj{4(Y9m#cF56`oxQn!P6>}nnuZ>L0x>iJFp->3gwbM%wlQG4LIf5sx*Xu7OIcV2kd zldtzI{W`R=I9VbEThl*H>Q0si=T2gFebW*7O@`XT3Xy5RQSa+}@?p;+##p((T^Oo8 z!~XJvRc?nQmvPZ)P?E}2ia}AUa2>i_Qjc-|*OlrP4l|zpI7^}6eSP6Q@l7Qyud^f< zg)PpDvu%x&;<(z(C(UP+H@a~v*JsMdbn@KtXhlOcf%0DOl6p2|dlC%yzmoN#!Dv&M znOrX?Id(?}_J)2W?#YQ)HAQ*v5-a)_>}Enfy$^}9nE2rB6WP7TZ7uZpF)pnahK5dV zcS#lR|LRz>{~ter+|uVw-)8Q%)F@w+nNlFviyums=Vv$q)wehky9UBGE9Y;q#23g$I2rpurqU+?aaA@ z5Vsah;7acs_f)mS#ncA6zC!XbZ^X@O`aygM>IGb2vluQNT@JT#&2BE+U1c*lFI3=lPQ&p3$cExWM8K?oC{oDIShl9vqvoi&TfVu& z8?Ry_$2C_~-F&r`i(Ucfp(y>VGeDZV1iy=lQ)68cK4(&xuREQ-(wp zl_TPttDpCcT6C^RX++ofDqv4ibRT4nO)a2=Hl`|2|DWG;Q{gT^yLcDf!D8H`}D3TjJ{> z!=?BMhJ|6?OR=0^beRCPyZoB?Et&6Oc4U;srW;%wyKZIhr0w1Ahd^xtiA{C)+zq$Y zbH@D`+RDu@Pn^#_$$&IaNSAZ{c;EUpKcbQeNmcb5CUtB#;33fidUBQZvojQVaKnNi zVQ$9?wr_2kmGHW+qwMRPY)5*?9)HzQyLp@ zerS57M(yX(X+VNxqK?h*;$7uCJ}XuhO6#MZ;^GTG4KsN?KizovQpv})Dfg*@IMW zL}xBBUp0lSj~k#ADw34s5^e5PGuvd6W7ZMk;#J^~pD+Bi@>OY*krz(ZD+a*`)WZ7? zytcxt5rZ>-@LBn%iI{uLnkl}QH8f$^Wl=n-O&{WEsu(EXA}}xYUi0EbT-zx+4F=iA zoIC}euwE@lemVMfV|PpGN`yo?b<)4FTR=yWVns;#wWqNjRptxJ_sjZ=dera!l&@X& z-PNq)neD#oCa)j1M|7%O-D&qIuK>(lgk~%^h|LbuMy2p3Bui8+o0}Mq{hF$imvB=X zS_!JVHseyXk(>3#xYg-uU9*Fxco>xLZJ){OM|S?_{-UEJxoOzh-pbgOb4;J=P9t=!**yTroO(<)b#xR^ zxq^6p&FVa^C|(|wnyKSWZI*lXgH(*X1i(O$O*?88X9NS#b_&9!uhTu*G)i+P^Ul7M z8&rU4;U?7xon*n>k4%^7j3@Is+Rr>}uU$N*eT)WsjWe`r--dA8&(}%q`hsS77(Kgr zB*Hxv-*|S)HiH*26k~}^jbc#Zp;c7EAC?6braaU^*s27n_mozlcV7BQVD;`p%i*7; z2&TTLvZ>aQWH(E>7KrM!{5dvBPqH{6F{P7#m5ZF6ffGKidLUDq9ADU3 zqCeQx-i+@p<7T6c*EIga1VePXPB!+s+$#JC|B@I^4z;&o#jAhn@JP>Y_9qP@z60J**b?=A5yPKMmnY!at)=vtJZ1Z+21WygtE zRSbJn@~v8~t`3W`Nase~$`Y-~gZd>wFqUUM`qBA}Hi0Om*=$!HT070C1GTBd;{s^s zR|<2Q=+%G>l|iC|qF9hrW40ff$uc)R6E30S)j>>_2J9O8V8u5y<(h2lU_V98;;c)h_s%;znXMpmg_B*SZufwl6-2=KK2a5AFI1j-`vjIEk&+^jT$o z$Rlkjyo%`;yQahgP`(%BxPDrLNgHBezcVyZcd}`NpU0}=Wl+wf?m#35UM3a_Y5J+o zs%7uq*!{<2MSeiQGa_w^gz~aj&R*)O)beL;G@(a8G^rM+(BVqAUvi^5O8PLu5 z%&p*}uItQwz3dYFbed!OMMS1~5<-m%kZ#+QHo1Y@8{*Q@daN39o9eq_SB^Gqtz>5? z3>_wHcc0XQEfaa7PrZE%Zva7^KpX$a-E-tyUht`l*L|Ssn z#@7E~c@&YF)!i?yGJsBNUNoziEJhdhpYorgW;|Y0A4qTh_W4u!_T|uRSwSW{By_JI z%@fPbZg;O=I;-eo16Rtbo7v-Ad7t}(0CxxIji~hX+~~1ySQ<&3Tg8qbM0x%fMO(H( zlk|4EQE=j_Gz6a&Lp04slc;Yf5vzDHBRm%A;Byz#{1$HTW1rLMC0{*LKRAqhiHbzJ zk)J;W&mTxha9Q+MZXfd8whV1JecKwSRdRdcGE;LL9SP(81ZJ;=lKa;Y)D=1EMk{xu zv%TW#EJw!nD;%Fb{nSx@uKD)dHznknsrb*+)W$?BteZr(T|n8@`-Bfun2Ob;sQJ_1 zgnl>Vwx2paeRZM#Msv)rd}!G+en^$W)aAVk)vY9sxTDYzSoT3IiSCA_H}1YP%|a43 zqjkgj+0SW2qqJ_kD=H8l*cj$(7TJNuKv99w5$nkl?2AqyjI*q+=~Se!r5Liip_^#cfj*!X#x{p(OI1wA^B3C(1Ns$ri%0Q z^y{+HvWhegEL{#ldmMS>Wm6zU`mPStHmC^a*Eg+P*2%0-he8uQ34$udr#A~ii-y|@EKP9!w zrl@kMg-YNVQF3Uu?#fOq>Sb@tX6Pe`fj#dW*mOjD+WgO9xn#pjk!z44VTnnQbGf&OPTP<9Y$1@YkeA;1>XzxojW z+*RIbia(H}X;gOWyJ3V-(9}bbcR%v2ejV33ptAZ@?g1apk{CO`i~QPS#+*Z(g)(Wr z;XqFAchTfWwGv4%K1i^MoAH40+mGEJ8*2+1v$sBDR_|TaC<_A^``c}!iwW5Z9tzs} z!o44+e(no28EFj|4by1m=HmJ~Nzv;{et^d7`=6_A=@~-nuv^CW3lx{V=r=Pl9o|$b z(b_}B?Ur*_Ivb{t79~DZG?ocZg_bVxa6;1tD4DLVI>`TUnQQ(t#RRv2v!?v^29pvu zAe+md+Q<`5*fkN14;Bt(ixZa`7RU8I1sC`ps0DLZ*up7jj-J{| z&a+{rljOGPT}8IN7B+{tcm|Y3@mGfj^|+)&slH6oKXgij!telMm+WKBx@XjjT=9VK zY=tTxWii_A9&Z@eW}YYmj8l6jy2LDIAS9%xL3>ww%BZi8hz=iPnt7V8Y3)Mul>1KC ziM?hJ=RLVzwqfE8*wG6JmZyQ|iv!+nXhk^W7j0B5<8I@5L7=-sn7&uu^r6&P+yLy3 zdRdSM6F48HEZpAzH^ceVY;%I;ADJLgsI~wVm>@t(m62coM439vM;fRIm+OGv||pA@n^0(ovJJ^(!gn&XCIOcaf6 zMbi^V82>Bu(bB`)WtL6e$7QA=Vj%3B-I-I96^(Y;C<^oNXD#6z5d{PP$R5J8SHO#V zp>EjZ=xcrmA@$P7?Xc;dt%Ze!wfQ3Kk%-X$QTN_aO*P%yFo=o*f{64$P*4;Eq=S^$ z00AM=J5dpl-XYY8G^IsAKxt6{>4e@PAiYL9gx)(O)BquVhx>k>@BMvieSg32djCjR zoXnh=vuDqoJ$v@PuAPU^BO>U^ccZaAd+77TZzqz(+}Wj1;ExUkdnpDgiSr#klTs_~ zh0mbpo<|6~Jg|yUjGLJ)sn)X*koodM*s#kJExBj@ZYCotShd<+f@r)uV9|cakf4C| zjrz)8VM;ZH#73ujj|?DKYp={9FOqwz6%#yNXC`%;j{Wmm+!g58jJDoHH%Bg(uni%? zzboW+ldt<@>u(b4GHf2CCitaU$FnfqW16rT@mCWpJkRh2WCh80*Y0_z8L_UB)uNg^ z-6K^0HoCR-g?o-q&H*`k>AI8R>xWuR4l=auvV8Ls1{MV9tY#e^0W$+PGd@{XrI~o- zYI_6z_T$#kVBGl~(E*Yzu&4r2>(~RiVM(2nI`!7-uf;*xH(F|qrc^3A_<2)R%FOIq zlkX11QFmwF$=DqtvkH{oQogJ039PM4zEf2=@!|M7&sprh?>i7TPne(h)4m0Jz|u=F ze>`zt=5^8&CTte-@{|OC=MdnlmG#abLCVvU1zNOq@0VD^1l8wpW$zIskUuA*UVny` znyq_&0$M$(iBV14hJK|9;yInnxIPMQN3}-fIWc%pQGZqtqkhc*P&IJ8Xi+@<-E@An2A6Ane6UcdjP zl6tWbG@pGgspq~x-t4AP%fmtIqHMf^*&90@aH9ko z!TLXBnsqV{9`Q+XTvdo0#_;^rU};Q0?dZdG{)7@+9)Wqewh4ckb2+4^L})HaDD*MM zWY5PzNn5>IGbwhX0-?D2wQhF3C+_X~cCYIU=kNC?h)4oixm$XxYMI61Bd*~;;{r@m z@>XO!v(E>ls+iXi(K#g66aLl2kp{U_^JQavA3*4YF4^$SRPv5WI+24XnG&NBGp9GV)rJ-Z`fgVb z-`gt5Y+<@^1NZs?T{2$wVvg@~BJCU^xNy~Vnv=@_I>gpuowafZeiytjW4A&-JHmE` zz`+b^qupfYSLpZmF`uea*$QFcF_fBA!uD_y1B{#sC(tk+ZccjKVQdN#mX9opxj_vuQJPZ4>L{L!uB(Pys;wLqHm zEMC6I@5u&N>~=4Nrrmq~D^WwZ?zzp|>o+uXmnX+9mL3Qe5WnH45Frm1%M)A+-X$)K zT+Qo}`sB6TT=mv0eG@F*mFzRZlj#x|@y3dIvoD+Dk4{M25B9r!e@eBK-n{^#hbaCJ zd??4^<0;(oe<`r;WaT>PgNB#+cth|U(K$WKJbgiRAaNJMVR6EB`8@h8jJ^rv=e!6jCg3GC=S!R$HTUxhZ4Ia1fOiu#~b7`d=g2 z0%u!)DY)AJ>Mw_kc`z+(#UsY1{~lwTP{XvtmSI2{jwg3(^bj4lla)uiDniiOyUc@L~%Emtc@LiGAL@1Z=p& zUZkMLz(PEARa6_)nk(~7==(rLL;fZH$Op%tybZsz1QBb`GdcCl&||Yj>ZAc6Znpd%SjP zOFoIkI-lBDa0)5-uOnha4_GJnY`3k3VGRC!W-G^S&5q%R)#Yi_9~?+35{b}Ir3IAb zkh@Wa2xkusSFwsCJCmaBmLOnAva%om%r&~z@&l)}{&(Ky-*V02s23W->l&Y=*E#Nn zQGeKZ`~0Z;jWt8^v^cBp0ws)>MegyYoWV&a;>G}#d=!KHK>lJBZjF5*guS1|K=ipw z{s*|iCD0CBF*&)f!(VTlOo=IZk(6kK(b)BGAVl`?07h>_L!1L-flcuxgTO8i1zrIY2!wYj&`;~wn{?tA>pJBo*&?1YNp{!Tw^7ii2zHDv zA~i8h{m2TfV<5%dsh`pB2qV7gIEs3XGN}gO3~>T=L(8G*A8z?m$;DK5@X#ytc%hoH zV*>yX%q0?pC4Wv`&{qBKEkl-P4s&r`%x_mhd0uhmd*v(-QchRww%nfobFE1h$96UI zycPHp^ZY=Aenw=fK#CsQV2N$~=FTccjP$JydPP6=re1c}q|e0h6g1>;nhWz56{=s) zQag~k+!QmZlGWzy!h0fRI8#B*PMey%Rp6?7?a2uM~;E-!LSqVSSw-&>a4 zeX-fQ;illtjmeOM2x~gkl16d0S2_72+*|$2nu}F;a{T*Ta-ytF>c&luwp>&ws$Q{c zxMWM)x*_n(E5^Rl6;I{Q4ZuyWnmSN}b{?HnwmpxHniOmzD;TK|YGza82#`lH?{rkO z($_g|ijG?b?sTwWDJxePv{FOrEhnxsRsP_0MZJLPtRv062aJr8=xR7uR5V4g^GAux zO@Lc;a9t-a*Q`;=zR%U)^GNLek!ki&#Nc*ko}UIj_qQ~J4P(ir(ntnZmYDfBHJ>|B zH~FN-#NCM1ya2`PB(LVrh>!!LIfj>x* zha`m=5-JVfX2kJYkFZ9T-~J|cjwx{3`uHL`1y4x{ufcJ69;;f-aNM&wsrx{nQ}vE=Q1 zKgK*mqE+ibA`qUqn*k78Gpt?~j`QQCT!yvMJ`<1m&cuTSSsuTxXI%RZu9ovS?%$HD zcoVoz_WxDuYpxU4>LRbz)iv9}tW>d($nS1{a-Res`UD4} z$JpJ`jBBE+!^1Ai-bU%6y)Lz&lwu3ng&SP9oe1mckk2G(Vunkim(CBHwd&xY(9DDz zM^%;0v38E zo!;si!yUHvP*xtp4xdiqbjcT1(%kD3*mGY zbS|P12m!XEoP{mFOreU;Vw~ug-l=J2mg+nym)hcU4x&Aw2^!30BQPPYFJfp54WG5u zzZ4=QrZx1~{BLn0v;}sZ%)Tx?tY6PJRA7%iJ8xhn#(n+a(iHK01>Wm>NBEp zpr8^FC9D@SB$Z#IhI6#Ye#zM^u;Yj75w!CQoQDQgXKqpg*@8mT!H#8xa_h&JE=GG%E!@vdbST<&)&3&Al8QOXytAK0uJqfq*4^lqfOFg=TS zG*$?9dvw%n&6Vxm=2G?N+-HBrTg}P^Vz2?DRK19rO}(*jQGQvh{QjZhUy6Cd+L%(O zogXyAs=K$WN?fL=3`Pc)Ufrv9{f=MDh&zGo?m`~U*OxhtM_-DcWF<3V`QN!;Di)>c zTJvV;ugz^XXG)j>fcoa4^zdBmk_X$L+}SLP2VQ#sh2pL+vmdT)i@`WYs?{M0Z~M+ESn4anh_okONti z+-V#dBoA>0QkgGbh^iIgE2~Pf+UgX3HbdBT-m_VQSXEt{o`psDgK#ag_ByTA{v~`( znj?dzMm97xtfI3aJ2X;ah#DB%NfblY4B2h7J*y?^H`Ri1<%BF=e z_i*2rFT;t`Idun^n0JTHY3>lT367k+YO(KnEV#gWVP3^n8#xSUtqj!`et5I*c zH74TOb9noHR`Mb?ZD(}TU(6Tq=LM@1sI>9FZT{F|-i(EJxsB2A` z(MFJgHKAs15TKox_u~JUKF}I_sUm7>xUFYbK5LNf%D932mIJCKY)9~w?GQRRLu*&S ze>X1Q%f4c&S5MhB|4GW^BPr7KzK0o+3IEDpiip(i55d@f50_)D+kG3}Ep~zDrSM|I z@~x7FO>@L)N{XRjeoqY|{S>uHbMoV)(bIvvEer0=jsrQbj@N;>zk{<*6;p>c1l&*4 z0btSmcX_3L+ckR+=Gn8=r&r4`oPg;uKT}EMom0QUOTNZw=2egvJeJ5t!iey58hJ{I zM+BxmqK=rW;~+qrAfvyKrMo^x^8?=T#><763n8=V+gl8F`j-ZZT?Ey^KzYTPCV}7Vi(AQ zon*_@#tj(DK_acjy&ptg4Js#j9AIq2gAyzlYsO_3tUg@IGoUd|sq=O)SE-Odp+{2V z)^`REwlp*5?ej+fSpFyV1MZ+Nd*#C<2XABxGT<)-K9|Hhv+3-sVx13o_#Fn4OyKxG zSHniBJ$n`Hm;ydr+62P17?4DLfQk{^3}u(y*>VWe%@wS=^_w9*$v3#u#?lcbn*4yca5FvSd5|a8crS}A zngj`O#P4)HJCVjo-pGR4gv=D8*v7!|yhjglm}YOExmr6924<7X_Z}q3eTh3ZX04Im zP@>uS@GE8La04^LHN64x#o+5B2D-!fs{I=EzZF%DqP6{Oa|z&}MItTW8ysl@K+5uZ ztLD^+=4~1uUJ0hZTWM(r1-@@fO&7`cP1bd~Pyfe2_R4-ESj|<`?i!SucRZP}O4Ntf z#>+(LplNCg4}}wJ4!MR<8vEj@CYype6~OQUjP=3(jMc=JlRMMICl9MixOs|3hL6=v zzLlSu&QDsw$TU4D^-3|2D5pmp$}sJsD>1Z{!q>*{ghmv1U40$-C-D8F^?-f!SG;&? zXn_j#Zl;60MFl#tJnj)5wJ#&Lxi=YQf(wH-hOm!7OCfz8_38?x_!HuPJ!60!L!@ z4EgYpCe-zDIBV-M>&I;g>}I&V@S0roYpb1W%Fn-C=)6xMMK6j4=tkgE%X2DGlE`b5 zN(<^)nwD3$MHUOr>xoAv5~K%#O{_TI3PrA%Rd=?nf)7bAdv@YwWM<*>mFO7uaCg4l z)Y5N?7^nkk7Rvagg2t(--aum#2q;_ksi`|I8fwgT@!^`EIM&gbX4uf1)ziOdNHX;J zB7gUk*dU+$#=T9p$H%`8ZJmhNG@9cQ(W*zP$2KcoHK8?G54{vF=tAk@+Oy{+wIu&M7u zJbeb<@!$pdH-WM2K_EQCk>w8mwWDaenSeG^X;^<{BIBs4C|Ol{`t zRcRrPB2!TEH3kb)%%Q+{`SpPa0{CGXo7L0=!YI?xd(@7mgGQ0wK^--nyZ^*NB64>)k2x>2`Ryg6|o z5(eRrXPrmUA}m)hG^MD^(?_e)2N}t0z0BSbmskV$3xG5lhS0YFB%}m!xsgM%CkGy- zp~+dfxpm7h;-h?88$Z>d)h;>#Dp(q$GO|j(Ue&Y>_L03Z;hL%-=&P)y33m_q0k5pL zt?63K`%n*KAJF=>F;F*^IRY&99`P8ueaDY~Dd;7`kylX6HY~$fo9grsd&Sithbf(M zR>(Rl&wQHq+hRpU>1H8tlOhwoyebXO|2y8`L3Ph7hR`p~f1ZEOiVpqSUbJLh$tAdG z7acH)2$9_fETiMTW0W)Mccn$lt_AmP9gXs&TJNm!`Vh#NCwg;SbLFI~70mF4P!Z%_ zXE3)EKAZAjYaHrP0uX{_9oakI%*L_H?o!c1&dIc+c}_pS4sV{~B4*FtakQTu+Mm3x zl$p`|LtnW$JaD82*;#K(T-pkx}pnt}*U{PiT<%^RSdM=)umuRcf znO~lONCX@tHbE;VW;k1oI(qC}=Za{blYNM&aRJ24eBN+NelhArqXFws;K^i z%>_(QQc*++0-@PQ;ntrHI^6T8pK7sizx4${?-u8Sz8mxm0lzd|0RnM54;Lfx z3B-hjUJe+zYhSrygVPG%WKOL54sgieBbUQ{b+XMgK(s!5R_dj}LX*Qf7yAb^;@q1) zzh{hyHg(*n04(e#vH&q|&K}yr;k{PRjrR}Cg2yjEpRkD97{6mU>q7sS)xB5t_s(_w zwhf(pI5n_|Y>8xTs~0B**vIU4ebVhf6Y%zcODy1oQ z&9;A*_+%D)kyza}JMSxpcR=H}TDMj4Z6DpcW-^;uIU-4d{5YY--o;D(JTz&b`;8PE zM@=ncA)*hEPY3%1;1z<|ROe4kT5uWpy&1VF!X~t~CML>OVa(S^n>W1vL+Z-#FR81q z5kTr{c1G%&az^Uf`7fy}J6dG(#?z>04v4{z6Kzaf-Rw>_c7rK@DKZ?1h=xzfnL_c$ zXcTn4h`$LHOs22E*O%cBH&U60d>?WDK)*X&_2;p-(Rg?#T=j$=nyAyQ4UOB0#dP0z z$P4%^-$hc9nKesh9cka;?%_0210BDTG;Rf&^b}07ToLMa6{F(gJV=KN|9ByK(wdG( zwsHwJF~SGtXw|u}&Dd*eOpVr-bobKKD=J?fCJ1audByM^iN05*Oy_e+-c_-utO7ACkG8v~VD z80qtFjmxqI7Y3I;h)fxZ>qarMH+x32O$7dW&q?>F^%3)K_8J1%F%Or)no(UlpYjD| zl*fTUs|@iq=;&^fjtz#cUfd>8B)|;`hPOR0AK+9i9Ng0#QaehZEB+Gv`7Z?pKbZ>m z>okMRJ=)`l_mf0kUd7NW-X?xp4may7^|1iGXxP2yQE_q1@MFr(a+fycc%Q53$Od*E zMq`bj^A{$nG%HHNsHWlZ;~E+e?U>%RMZK9yYtz@0>>;`q$?o}(FciDIcsfZG&l*?? zVVU;T9(H56DpgMAt1nBOl7k;)nXsLo@42J4Ej!OQb5%nYK!AHQGC4SJI?;1XHumS2 z&h+oT>qFRWL(pNv&LL@y*QCL?+?NbEjdZ(34=>CO`{bR`jsolfY+xgus6u$FK-I=* zNDN#4?OEYn|H*F_p`h61pedi*tFjH!BITMzp*eIeifK74)dG_%<&X1*DB z`v=IMq4JMI{1#_Yl6|U)CS_2T-OlBCD#;lj`>I*w3Y@xyEKo|YB;hna%{g9y5&iv) zIrUK+x1Ex1xxu~-xPY?q$`&ik4G!0j#yF?!e;rhxLeS9-zOuxGIdo9{O*7bU`@s9;|caHLx!$1``Cy`wz6jH3$W=&oHisu;Ob!7(5*C*Nll)3WLPmtqbU zN`hnO6trn25ErpOCsZVBJI<-xu?;*aPV4G@v~|I>Jlu>%{V>4{F~jw%29KOUk;E#R zdenB?JdK~2+p@0Tu5p|p2n`J;y=I^aaG`JCp|J0!_og{w&<>&Xd%PxRgj<`md9I9?=%&$czA#=0J#Q8l~yUdV?dOsWTkwzk~7wtv)xEJ@I% zb#xe9pf-JDkqk1xK1h~|ua29LVnsL>Md?2i22zuHBd#$Y055oD`l+!tn`|>(+ccUh zt@Ni|Q_MyE?vSfSCbqcrdu_t- zp=xru3^)iw=MN%1EE(9`$A&r1!mdu6`NeQj&UQEo&A)E6*yT4$pe$Bg16ifUrOjZ+ zLbXN|rQj8_!xaTMxIc`h(Z@4;?Jadx#3TEz>Q`D_JJKOqg z78^bSrba!f7%Nz@{%LA@#TaqF#PsN(eX;2mtKECbD4B&_eFV2Wlk*7_h-D32TLc2N z%ot2c`W?83Ee<~M2i+4aynDCugXjGha?$EQzOV4p zjWGK^ZrD(B*?PiOQTCM3x8h3tUZRDdxIn93qLDPUMJYfLy&ATE2VsGt)yRhrK-W8g+N~H9DL;1}kj^E1k_-!rgtYi2uB7(sCyZ%;bxwPxM zFg9lyq=hHAEW*cp--$}dVI`QMq9UoaJI0-HtoV0O?uO}X}9(+fHK(5Mb%^^Qd zT_=9VMP;MSX-8}C3WvHn$_w4Na#OzhsfvSYXKdopYW=RoFHL&U=+v@E$sXozcO@g< zVMPWKHS}XD>j>%9oCp&R4sNIhMUR`U8|e1~7==wAy69L4r2hK8*v=VnYZdlWDmxw) zQl@^%mwDc(*40`}94dhW{I|{Hh1Yp&9NRnCWLM3j@vd_W0sGS*0K66G#|L%GK^qS4 zS@Mt4i7`_x=${qU&$^)?{9XF?cKF?P{?xc73{x%tECY3q1w&9hPuqN4H2ZSm^z+I> z6Mbz_q}=%mt}icRE~@auLVl_jU3G~YUo8S~JgJ+>EG1#+G*aI2#nKSoqj33-3B3VOfU0E`1?WN)n1@Ankm_^k={oO_BPE!&K(O)K> zg@bQ^&biK{3KOg5(cG&9Ycf9p^-*3avyngP%CG!vtm}0$-w zR3zpBf8nON(E*UEg;K)X$7O))N$KzrcGaSIht2BRV- zLcm0yR@NA6Z~M7Xg`jnK)JJ?5RSC0f^fOtWh*Lq6+35&*X8d#$f{P>#4o8$6E zlaOo5G<{nA<@elOPR6*~@-JsPqAnQWx7&m`8!;FBuNki(@ zrBjj89bkF&t&-d8hjPyvK#6hNHT7M)Z$U%6IorqFmhLCwMk@&R4f)Fj#HBX%qT$k& z?L7u2zpqJxvl2d=&l^+BFD=L_`%1@;w(~3%1Bs)(-l#@Q=)56eav_zAAUT{Nl$tW@ zWgj?U`iRLsesvtaGs_U9Ow0dO(||_WKwbLN85AxO2H1$#{Q1{VjK1PO$Gq^5+u>_bt9W9_Cj&DLXC4}L+MdYput*~!zy)l&qlAHR;EASSLW#Tr-Or}CYDKIOBP zWV6fgd6}Kk)~zQ%A)u_vW0CK(y&;W1X)u=|SbfCI-W!ZJIk9KZiWyf;QZgaZ2$e9o zf!ZNn>>Zbw_^Fnd=cFL4B+m?@7D?1%9>!<{w*;aXe~zzJFphm)=y2P4asfB~^|OjB zUqs?2!V>Z+6%3$*S)yz8oF(G}bF4k9B>|fG+txzyORN#kue#_NMg(`v0!$3~eyRS`h$Z2MD7i)NK_mT};yHr;jfb+c6{`JpUdc9zXBUFS}}{i9Qh} zdYt^860FDbH-9AA<`Rwnta1EGbi2FpWL)qik>y6De^JbzmQ4xeU+l*+TtN&NxrEf^!Q zy#GKf8gB5SfBQ!KGqXXq%s7nu?~*OS_r2|(ducsu-{kvgIK;*b;hFH5+3$Jh-&=MRTi*Rehw z*+*@1$+}CJiS=t-Dc~7y@T9R+j`j_@8#>WQK-sJSkTodqJ1~R1Xu4n8e7CVC(rM1m zvBA3{*r7~NxrwwArZ@9?h2q+|h|8^TGz6c}0vKux2jxYC!_d9lNbv@5#K)e~^2D%= z`BJf$?}I}wX&c^^rJ_pKD?QCY`jA6h-ES$YZk1uCUXg6@nZTmPux6n0n_IGuz&wjd zl#7(opxU^KQ>mSQ^TF#0g}*toGW8>Ka{zh=t3VH6q*}})gUQ^h)xoXk8T6;S^Rn(- zkF5=$_8dz+o3C9fy^vx#vAdKz3$AVXUed1uqXRzpiMA-+KGK$3*uLEaB>Ebgn8fxs zjs&)^0tvXuT1q0Klc7K!uiow9->Y4JCaw~ z%N>PgE&?TYRdc0y(jo%iKUiGyjpTezm zo7e&Qwh*3TplHfu;)>k5698cKeE6Gsuvzr-sM`KEzDRvVtQ#)*tbRjkJs z;s?nO2)D2ywHf8)j##5_o4#eOgF6vPm)Jlr!&dV*XKM(o!TDP^qu{rO^R;HbJ>kvf z0|hp+@x88pUr6+s{RXiS2|Lyy+N#BB7UQ>*Y%LGiBJ{TxetWil{S7Js70_$iE<1ab z$usGuSA-*6)%WF%EOBI5I5glEJb$(|0BospxBV66b6cAS`tGP(IaKjDC+;U|sP z@D-Xtj14q{uezfySo;eP2}wG?$Q?l-3QU$jd`|(GrP{OZ+WDk_SIY#iG8NZS7t;;np-5= zqIjU|nr~K@Fx9Jpm`f+MF0O01iY5^{B5WS>fux$gTJ4w2Fx!>ypl&Ao@IZNvP1Wc% zZ)7OX2a1#L^L+hi)Iig49Q&im+ao3tXe-B?f^Un0lHZh#3|LkHWQr1CWQ9L%#UT0S z6tO}dKd60g^|U6p!w*EK8|=kv&H3s^*y*1~?PvzOoo6ys{QT#g;8E37H&i6uj*M2F;E7Pt{5h1O({IooeG zwYy<1l5|gh_;>xpl}EqIs0~y%l&|EAeEoJOENA8}JE@~Uyty8XM>eBFQS|jUMv3a` z_@RZVTY<`$!opysvTo%kB%TZWf>>~?pFq~~kYdqY4MmkM`_fvk{3YY=ln4C>;ge&2 zBPyLHP|i@<<@XE&=XVefG`iX*6^*bO&Ge`MbXn;%1^g`(7dlrTKgH1x(=5R+r`W!} zcXQ{vE1ggs6Pt2Z(XEFIv8I_#HE3Fr+&>I2YuuOqkf1r{>*!Yi!%H^r5j;A;tYMy0 zw8iZ&g=1U7H|-kV_>rU7w-{XEqMGO?F&8DWYHT)Km2Es~*gV2o5tDxPX=Z}QtI})A zV(Rp@-a{B>B2)(t`RGt8foRTCkVRH0II$kYA=gYm?5N=GU+OcDEqGKqTnmnQ( z&!G}hntLj4V0**SHv8c%btZ{U>89k(mz~d!hY_1TgxCF05V4}MX9mjF*ZMMML9L1|ko4Rl2F<4CIOq zmBpTcr~*eV|J~HrdAc9uK>e<5d~s~&lRparJOuo|e4i0BeSX1T zmWR%+=XQOv8`u5JQdUZ5L{;w8%>-JGofxsoCiggxtsJM;S zDA^yjDEs+hyS^XJt7%8}=6ua@v+)9&<2m*Ti!9?ZxwkeT*Uj;{z=v#X~A(Hj4 zINq!JRhb8vU~IPJMetTl0BOin#q1|vFXrH(l+of6t3t7)whMt*b49v71kpp$>@BwB z?jn`heF756|7c-_!y@lFyt|+3y#o0;q9Hem$SW}d;~)w0^-J{Erx%OYSjBkpOOC6h zNIq~*-I?AlmR}nZz>dpcJUG2^o9wvEpjkYoCNP* z6meXg^!ymX9r?i*qL@bTY37FDr}7LN=`%f@7Z^m6gA(9CW<8?)_*ZBlH%E1MXnUP$Pje`*c>sIY$%Q=Ze6BB5u2I5wla!q;2 zsDa}A?l1qYdN5Ltn8A|if%xP0?DpWV=;Did9#P~xV6)&+jTp-{M=%3aMCBzn7q|1# z4(ILL=-PGZM=2}_1!20p{FmzX-@@}Wj9rt$`vqZ1ir)60OIqpA6@hCksiGj*GHIt5 zvM(N-d)B~RiG`&2(V`K*B?;;?zc6z?5ZY0qr`{jO*AZN@4~^3JEZ>j`O;*XLxD5w+ zrdfbK%^G@P6*8J=IN%_`d+)&37Mvh{X|e0ec!=zy4z3*DK>JGc+G>%yM9{0ZWdb@1U?_Nkc=3%1~ z6^Jr+AbD?|*`(E+Vc3^y&QGVwoTuc3r6i+wctk(-H6%`8f$@u#PDEf_`|?28ao{X} zGnCd>@$^UiJ*k=km6=Hkoey)^$AqAGu#Lvejn2?+%e9~^-foJ|mkT%#a{By*a5%Wd z3jo9;;s!BzHrsdhI}xQhcOB#H9A+Q>3~nyS~D z*D0~*Fr2V#?nors-1eCLGy$?OM!xo!Vl)GYbXf)I1w%b8=-y2VddoP150>kd)KBzX-x47;3s<@@_VXJB{ z0pL0k9MzEK=d=fYH1q98aGj16a*x2sRY| zw|};4p?;FVb-_I)!KqU_vh#LsmUK;!k7!uz!JiLswEIP(`^!`T%s?;7JuiQrN{m^L z`faz9F1Xg)17r|EtdZt0b#D4*(yNa{ZB-UXZ?Mv|ow7Hs9(tj<%S}StvO4qJktpaY zx607U>zkREEZPs}Z#`O#4x7_R8K7gc$h{dCIF)^Jw|5^bhNQKx-kDVJT=Rh-5%27ono(D_ec5NHDNTqv(3(1v zqZCQj>YGZfPI>PO9)jas{!%dei%K_dQa2h~9Y7CEQ#l8iG{k5Fq;YHl1*er4T+1uL zg{Fm#<+0o$^4`FH;9~DGuc&I<>&z(3yy>WKE^UbI*rQ3gHL}SuqdLPA`jLBOD%rkw zhy=$O$fb4eYa_mb_H)~GHU?lDANB$|5fJ9iRLmV2$W7M>g4uA~iRP-}4PwSjm7~NZ zU(s0&u~vI}b$CHfTY|m(Te0r$v>Oj~{$D0N z?YTub4Q-vW?B%mZ(Ufvyp&-gZjva6)rc9Tc9ghkvgq+T0uS#Sywizb4CCnaJozIBHVdgNJfi2mzks$@JM0IRm~oU!|5S*? zPPJ&}##;LR)`3FBZ$ugGia!KEFLSIFwa%NgxGGf#i~)O~n~*Xew6HgBeP2N0w2 z*c3k3_ONe{>ms(%oU@OgRX@=_mt9m?b=Ro8z&UxZV=^|j$Lmo^r30NdW5mmB30k>e zwyUa0OJ2h9h)bsvWA>&V#LLaaqgWq0JgM0}ACt>LR+Y#6z@;1C=r=s;5ma^s0kb~WQ~am2|zBxazgZEp^~M=$+xnzT6;Ntu&>FPPgIqRGVG7R&)~3wZjGDcO(Vvb_ob&6EylOLmz0ih z5F6(1*Laylj+c9pY&*#uB+Wv+bjt~Z)7G4EfMoJI!PTtm`?eV;F4af@1 zAWCxH9#=JxldW(;dP7>YULW_=Q4eWd@X5d>CS|kh81yAuE5cG!b4>$NyC)I`45kF~ zlhe^>;)AxwLyMKHtPj4pe&V)jrP-u!UU;@WhZJ~v8qZ05dFM7Zwl(>^TCs>9aJ z`H7d;dNPEcZR4VCXMF35)zwb%k-#456UA9WAY$t{D9e3Oa5HP_T6mC!ZRugvmLe^T z_z>|H$ojHfyizy)vA{s1Y$oQWw&!Ol{Cz(51LkGaWyRZ&-xxOG3a%jUBrhSmVYGC@ z=Vqo5%9;RN6~o4QN+nB)h3uU82pblr=3_irafL3koL$5E-qX|cH~Bwryjp2XmE;=4 zsuPymE|Jt{_3^F20Nd{6m41zbq^!-Q-8bJFFSsl!Uz|;5Y(FT-R65OJeIBY>IateS zl?_L#m$Jkk7f*h@^e{tNH}}mf(%&^zhU#~G?}EkQHRplAhs7yymL1CE86W{et3}tq z=INZgb zse%>pImrIitPmgb9>gM?Hy@!8etu0iPg_t#8N}Esic-_7_wH&lQQaS%3m;dq6|o|u9hw4YrBipK6_oJe4HJ64vE zPRmFa0Rd=9&tD4pbW+yIz3JUfXlK;BL&1NG_W-ql;w(TIES4Q2Ae%Ok`tI=N&tE6^ zFuSeL&P4kK@DA`7s6Y=tP(TV)$es4%w|2FX8KMkP%ZTH^>z+ziUN~#BE z@HZvs9nJGEsvj63&Uy=K2cWmQKfcQjPH6(&69XN$ zLKk~Xxmkw|&q*Y0aH|}PgBR{BJp$^s_xvmcj%Qhi5i3l70CWYGd>!#y1lR-pxqSkh zM59P|7_1qVlr5QaJ-uz4P&*i%e!DXo9^8owsj5v@EL3wtouK#r_#Q*nJ|Ecuf zdwI`48r+z_2F)~o{H@h|vVp8;vQgq0^87DFwaUsneq7U0G&8fv7_g?LiuvpM#XBAW zr{ae_l$$?D?O|cSTt;B;LIf1MfT2g6EWJPYLw=*A1Ux%t`3ouzY?(xccO1Ro#sLyI zljcAAly+9>Og}#RyhDinGf>lK`hhGyQ!GFc80;CAp6cpi{;jQbcC&v3sZa{}#;B#^ z2Miz};D4s}|G2L0m*{wQU1e_KwnI37V zi~+kD;E&jubpL4<&p%ah37ypehMBrF zboZu!fa5G<)!Cq&$@D*?_s?Wj0JWO~Lj6ah0HOXnCR*Kr|BlJOix#Xz9cV8x>dl$> z|6B4u;s;b9`b-5N8@mWV=>L5GBrsTmm!91DkL0xgAXh++yyeJk^hp;fHD3#WmUdk5 z-BwzAhH=xg#tchd*X{b@=wSpvvuk6P>!hId?|v4*IQK5a0pc>WF~kgo-6`<)d9rvE zg>DEPFv-7epj$5zE%BG)qrhIeL#dXkBhzJHAdhKPw*WCBeYB~>oT=|n{hGnRL=IB$BL0|lPAu4N{=zVd)#(&qL_D^TC=V*-Jl$!}icXBc~h zVRXfm#1}1Np-9DXj!Emt;V?nXkkW%k8bB8xUv~JnuTMlRIP(SeeuiPQ2e?AfYGG$j z`{5Js$%haCV3$Q;FB7eH=X=x-E#O{S4=-z)17}>9Cav%M3=A_V>gtcK?o&Gd>E9n2 zVAXdc4-)hE<#oW+Gbn#0@&|Qu6^tYKC3}=GH_l_+jRr0NMPg!pr^w@4v~H@1@r^cth+#; zotO>gaEia{0%~#TXb=_&9<{N1Z5*MUAX(_bY%ZfyO!}f&$xtYp<53-Ooi`Cugl~ dy9jjW)0bdjR#RZ;v4Jf*`3`tfj3(p%n*i*&Re}Hj literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_2.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_2.png" new file mode 100644 index 0000000000000000000000000000000000000000..6e820c8882518829f3c221d0ad7d2fc815eed64b GIT binary patch literal 51675 zcma%ib9g7svT(AooosB|wr$(CZQI#kv$1V&Y})u`o_oLNJ@>CW&ok50H9a-m zUDc(oiBymihl9p~1_A3r zvVuTBb@4FohG5@yC{(qgo!}g^n-#|haQ4JSiCsRWgOM5#46-!%FASMPz zPA*0!E=CRlMn*117A_VRe<~nwAfQoUNfAL6550>`2pyDVe4uOW)e8nNlQGmmTQo!@ z1;^d3CEbq>^CqpPIIgqmf+fYLA>O}%FlfN5*ubWvTUjLTUnHPlGESNVJ8z$NFY6@3 zo7g?x?pKGKxEHGx2r4CEpu*)Wz1ZK~`qzONkZLPVpLJO(KQylNZtul=3nM*2GmQ9i z$Pu=GbWDa-mbBhr6(4$^VP^SqJ&{o%X+w{C!&V@IX?8=#TzyoRFpEb-qskT<#34)ALfQSxU%~_D%+N zX3~@0LG?2J=NRs&hmhDif2HuciD3vg9*JbDTGih@{~`(y&~rBy0)74&?EfG4zma|u z?i-%Ok^*Y~KY;%(2dsEHCCq|$MgJ2{GUwrum|sTY0rH=40(7EhjQ<1)NEvt_{U-=B z@PFeo0S&|W?@9abrU^(t^+5mQH36~z3!=j7T~UqA_OH?#yvMWJonW(Yi;PDHr_(j~ zm~1}`2Howc-oaj}%MLIB>Dw;1@T(r|f3fs`AJ@SN{;+XId@e7Kw9y-Iil5pd<4dz; z=|yazUjXg^OI#hYI2?-_-K-|DQER2iwkmX(B>wU=wVP#dVE9`g3Ii<7iL;4RN5;v8McdS-TVZU|I{0LF{=!o6`MnE z5GAf=@9rsU5Pt$t(|k&7&!ofb=zaXiZgnB>!o=OvgEM%`_)RWd1f#^l^CxiZb_m#z^d-Lnkz{qKgBPp-)VL0x~( zHY|*na4BWSIcppSrzAu7Qn+Wv%R9Yrvn6?;2^Xza+f|F;Y;{A;?J!?r=xw-v5;Y{* z=aDE4n(I$d9%e%qI#kD!z1c`pzI0$wk=44r0$qT0OBXB$#G~eKZ(z?Xodt z^!+PM$5lu~2W+6Wxup<_@8t(;^HnLZ`cUYQy1}F}s!-y3$CNJ9YEd%$v9pYYfPse) zoA`WjdX-y6me9yll;7Rlbo|$CJpBM+B|~q|W7YQfvZ5v{6Rf6G!LL2c|DpYN`6o8P z$0IQr^UU`c&{b38-JJ;5S%=q2MNeAwCo0S)=UTlG$I$7gP)AKj6Ag~&hp6rd)NA6;N6#+z-s;7qK~(y7&e zZp3oGEPLlCl>g%yqaV7B3Pp|0?I)Yx*Z?CjuMTdFO?y*Q|q67r^$ULQQh?j+eH2*9FF|5M7;JjPk$|U~y9f z;`5!3=iGWtvUtiEH&(+C!9M)h5z9VWTx3fzdDoh43j5FJsjQ3N`!!O+eNw@j1M<*~bpk zgaD9Nx2AqQIRk8LYQ?KuQx++l1qx;utxT@UH87l+N_@cN zi<*mADDf+Ed&S^0yNo0d5{G$sz+P+*SMydi0$t5aJKvww6@($UI-i)8>txU~W^bUeP1aT`g?r$rZtg7V0)16HTSSjqQZ(!c8%q6L?IXUza(-f%BiY_6az zH1%P<92wT#zWeDx15~tskdu@3Wk1z0Z1E)YZuoJDHs1T`!gNM_V#M&Gb5)KjYK@_C z&GU9ov%meke!g$36H2ap=FCRA(TRrreYF1#!J{UekZ6bo+n5ww9CeSy+S~ed875AW z>=AeIPyY1|NuK&#)D$;4F=H8y5);!BG6|ZcxtUCGS=f-5EB;C5&)J)e!a^Y^@PO;6 z&J89U%kA+&EmeyxD67EGIx41o5PjYUUzAK)rWeZtdSx$5$a*N|s<+1`W|HGUu-7gh zB*f&$wm+cZ2AQV`FEC_JElOkY2wrahUmv!xy73;eRFPn5K2gwaPmI)1tVbL^@9L~> zA-q%~He#V<=!#4ifKgtoxd>RN=LZ)@o6@-5%tcZ3(EVJ6Tr}i0f>gOe3xMA?tph2t zCvfQO^W9lyrlZCFhjG2+wu!jTDJ!AnBaOylqc%G>FAF(cNLKXB0kY}1pYd0VPd=|l zH~T-P*(u5AF`q0_WS*h1wz+<c$KW+?vFg2gtP}vlcIKd^F38gx6jWQ>AW4ebIhyN;%5+rIltZNXCzo|ADW3n`%r3VeCxv3+;cSH}Czeo3~Mv5}GC;31W+x8_NK=2aj6@{}xb zR$Gi43l&W32SIg2OPbg^a{5!JQhB7YIm+{0M?#F1U43IayjzQsxP^n71A+YyqDs4~ zBSYahuL~4a0zpPYuw3azZr3w|#V+^d$8rf-M>{{vbL*i9t2{DtJ{l@Az8hg9Ar%La zNTes~!}mWsDqCRN?=rtqdN`eL0dFDCttZzec0mflEx(l8=aR1QU*2BVM_QjVN^Ad? z%6$H`zPvT9k;Ci2M8v>G!9>k2*eu9OCH#HQ73a^`H4rkPhnkC&Ct3ATyLb8W1?Y~C zkA;UBRk>PK%|-KY8*V#JbaYhA%Uhkm+oW~TgtFiF5N?a5ssl_<=d`1sVqoJU{Y)y@ zY{*I#?7E1c=KW<(ZR8Q>nrSA$kO9ru7ef4KyNfqQjntNUJTL{yC+u=S#Z)wjB}Jcx6-rcPJiinqPiq zWwn7(aANGlSZHLDPr2AhDN>^R6$q%-*Q?HP^_(9@6z0};E#;VCC%76X88|DqDifpZ}ukB^op4^zE=7_3wff`%m5>-9Ggc7b#4 zrBUg;6&Aw6R-9dWcQeq1?4+S1QnYX*q2rAt$V}ts$$v$rbG7FA3>b-$7& ztKKLZZjq<{=gcJp9rQ<6jzpiQS81p|X?+Y&lI&T!suVXv$5?Pre);wv#WvMEG`w06 zAPWB?d?{|jvh`g&YuN4NR7WLK1{-p!C-IDE+__wQu zeK)?_+Hct|O6I=L?R4m_0KOtz6=@16)rQM%zK_T4_*`rET#u`whG-5Z1QipBS4wPh zS!^5pisjR35<(Mpt))~H{s%nEns7R3%3&}PWw@^f$ zI0QVsx!=6;`s|9M4aooNG&fg4P+#2KuEI_94+$b5EX&gv;QR$)`0F!W{=@yF%FZW*#m|a|pgpug?j8^BVrxV_h^Fc>C*^7A>e<96LY5t=thw!rhR4j-hd2cEKP7 zj-Y$VQb9Kp3f|C!9*Wk%zq(-ly#E*w5R>&@U|VL;I}?tYkTm}Q3*sALgAh_bR^@xA z5zZ{@f&5%DXq+PlVoXvf|8Ov*9{LMiJu2(j=6t|vQ43rb6B|Lkh6-QAZQP-#XrZwT zHa3c~wzZd?jE$bGm5j}=sMxBy$f_nPZblxlxi=v{80v_q=Yc><8C%w%6oU5VoVCQL z;OgAYhdo^l6%!GOkzwSZ%Ukt`le62 znF)J*qq!5w4#Xi}dvgY18J7CSy1LKX`Z=?blEdSoLxrl273XF9f|`Q$?qJyxKo0F%Sz>)CKPMKw zIb+8|ePiv(pMpGVvt?@m`NfnG6-qNGqd_ne>+mTvt}%}z>Y6vGhnf)EM%u~*imOyo zlIU*TNmk0$Pj_EFhc9sI-IMnNgA(zI2oDcxje2ctCqi%#^qOqO)~uqkI!OrWtSR1C zxVsDcyou4r9$#{zTv|3#57E>pTX}8ithiUKvv%-eGu+Bb+8CDFl)vmZoyUgk)%tt@ z=(|NO*He@2ijt;oJ??$@X5F0EELBmG1E+3XJjE8L6WcipIAp}z1B)9`DweWsA4q1~ zw+Dvumus#a<;xX@{KeU#4nNCmeFdur_Hh)G@X~v%OZcP>DdhzK_Q^?fU6i*4ih_inD`w>{&b?#?@fDlp8JUKZ&FOo!b?W9LIK=>KqTB(Y!J!*sKi z*pJ~;0CQr-i<6V{=GLH6CJ_r0IgY|-)hFrcbhOrSU{y5*9|x}+W5FRl2IF(n`KP5$ zm19cE3nhWl&m@fD%ER!vq$|fICDR_^#|jf(t?)Q3GmE3YHGd^$WM~r7IeTbM zJoJ3EeZC6+DmO(C7Z10?WpRWhXMaf%0t-#vLrl@z-xLfSKo70GG$M^u#4Jth3Raf4 zUYvVQSBKB>dC{ChviZkM0qq)0>-mCyK?$;wWc)iYAb=hC6Hd2lBJ{&#+s__pniJw5 z?Gt2~d7c01djSCjG(*7B|5HT)0TB?dG^p!CB5xcb=-|Np>2Uh0veeeG(*bsnv zrjG+B_Vb@^ClFA=kJhJu%Jy$WOyylq`2uiIQV5gC{}ZnHAN+_g6aRmax zinMxC7$rJ;3sHg8wdH!r*^=$Jk1X^6^w!&J3jeT;*ESmQPyL}^H~IJdcSgpmK`k3b zL|Ot7VOa*kkeha`hUU&_o9_$6=66=0(vonqi&?i7t{4wd0mi$_!R3yx{DtN$@Pk>^Bn`aK!>_Dj&HZeAg~IHLeX(^fE^Y-}>^Ck5huL_O7? zn5!(oR@-(zR4-Y#24)54MIcg3An#v)-7^u+FD~MUpIMG+n>)OH76Sn7OSmU0p{g+b z_1mR`Fg#p|pjY3Y3pfI&UTu}t=<*T4m_coktgVk7KOw!_s8Z~O5YPrM#|8>81F?q9 zXnDCE4FxF;LI}Wz;n<@PpvKn=F?=9&DWN{w7ygwDvKWR_i2bW60I5?-h1CpqmmrGbkmTM3dmPK;3SIv8IQT!`UD!|2HWgGbHswtm?M?gMgEzlweP^a+SOGMMyGzM3spi?Oo;~c5P(4f zuB`lP4m33ki!%mLT~NO27!%$0e)*?7c^Hul{bk3IM*KR`L&I*TE;zj9g8RKhT_uvp zxLz9Y6gDO?NVTXi_ySG^ItBhs~6%zvcWqlHL2MFOqrv zY5KiqUH)6|_lwEKbSf(D3#l#kPQrK_zb(sFz!Q@_{GY&j*lX-B+tK@xeaNUaY-b$E z;pAeL`{R*+8|;7)n#bX7dmcOk))`~NEc^wK7ebQ^Ay9b3XfPg7&|TNdVOC+vFC1u78*DvN-kI>+*Rs7J{PqJfW5IUyq#15)#^DdauN=xk)NW+!%-z+Smw1Fv6K}X>bHTOee4VGxFe~8PXp14^ii`V;n zTl*29+3eLeJmC-0!&@5}F-iv4*1Q;NC5?!zIijHxjxu3+Gf`%b`T9=38+X4u+bJJL zTfIK1C^DI7^wd%RrrYG$i=}M*OSyn`s7C?2F}hsq4Fx2}#9P3%G@k2f-69rhdJ)_v z-_m-?n&dzSBq(F5h^pUXsJi~?iBolvgFF}4zpy<6ZZ>B|LsgvkI?Q_#YoT4MFSdJJI+xbbcGc$dybcM;_WvbKSY( z2zqsQPrPsH)p`dj)02l5E--i(2^bt8iQ<|u)1SsAk2pOyR1m=$qwaFqYt9$i>q zy8!;s2xBmhH{6X5vwONV?^`B4Jx>N*S&aN}1FnLn{!~1jM7w8NFsQS5-t^UWyGI=| zAeZg8YLZ4XAER6k*6>ZwgZz9v$IlM385~)Yf=NNh_=-BE)%~rU9gm-PbH{>vZ!Muv zd7+6l$v&Sy2jAxkLrC!rzt~4fJb6+ zDDh}bzq#*={YJJ6=(X*iNCnlG5YxfRtfC{akT|rtM3Y<~l>F3Dh|%@q^!*U@QgJYR zPIf29XkGfM(-M-^Qiuo(ghwlvgO9C1_30a=q%Tvr2!R%97+>KfuknS1p^EWA@_U(P zr3>4>+B;9v-Q-6{a-GGB(|l-*)qy15#f&JlRiUOUCF2(rylm!yqV?|BdKo{(w`%+J zv+x|r#Y6qnK7G~XiDg=x`O(#;bGou$5#ZAR&tsmg}>TYS)Jp{)xadAdb@} zbt^6s)Yegl&P+|Ld>xo_P1Q*gsjCKL$RM*QJY(UeYO7PnX?aXt!BAQMv#&G)U{PL% z8>BUBlU@Ff$Ih{tWD93uUXPBp_gv>xftZ{_wF$l`$65Ko|Feh{9@de8=gZL5i$)G0 z+H@BFr@T-OhC-6sI%HE_0Mt(Dt75bw&{ceP4O1XRkEMc6^(vU~-Pm=SnjiYSZwBay6g{2+e<$f5P(hMx#o__$DdPoEjdmW zU;Iy-ghd-lqc{Bb>Zxo$@`kD_$k(9KY7i8!*eq5QI{FB5$>p8K7|@8t*_Rg~I@|=v z-+ky?96gD+`okX#RKWE8Hw*|cW}P-%y`FwxdwGi8XQ}5=zATcU(K;KeNp=so#x1L$ z7r*fOBpKpV87p;o4ey5)LUrbzIHrf znrHjF)=WbT#+d!J>O$YWQT$}CJqrG42 zad)cn`~5afw;VH!G4*N9VY3@k6`qtYrZ@U+*vZW`b-fW;w%aw3bui@1;d^FkX!~gx z2E@$66b?pu9X(lY-EYzPW~5&oKnWo;V$W=&S9zVjRRe{`LN#c!d1Z z2qj%>fjjW`?y3Lx%%SLcJb9fL44(=rlp8NV>2@gCqzXrdI6a)N{h>T&ZOc=k=kV^Qm7*B9^q$PNd3aXw`L&}w7}WGy}mPjf!Dps}r>3*Yxc7K?=Z)nx!(mmcR zahsg`aB%4DtGXKZuuT1fLQ-8d|n-NbGgBorT}n0zV85)LN}DyUDv5;?p;z77YdpF`!fl!z*7!IHBG5TqSh zr@@F##iuGTvx+rE+a!mMIGC6(TqT+Q?h@NC`O(7E1}h~YAckA0pdd1i7Z}Xg8FBZ5 z1VNPOs=l899)nTOjJKS!JY7DpZ=&I~)6p6g=e7`}ZodbL%K$7=5kI(K`8p(38FO8! z-lDuk-oVg)v=WPZ&*c33_uEf(5)F8vl#6!io3KylJK|)lrXy&fMku$5l4$dWHn2Ay z*f-mBOYRVpb>*CkTyc*F#H&$S%8lE6sy1hJ>i+L!jAh}hr(i5KTo0aiDa5R-C7hhk z2LJM>eI)XmI{hK1yD98xyjeb{v*+L))YT*0g=c!~_n!H8Vd~1Q^BU?env5>1&#JNx z6vj@5_q{R)z;Oy+7z`OCZ~I+cKSI^&!C)?k;Cbuy;G}+-EWXv_wTw|eIPR=F_HwWm z;OoRst}7#mRos5#l^>hq{XUs;38=(La5X%IW@8_Zfpc4@!PK*;o}X zjXgV&{KyN@{>r(reT?4FP@MMV`|7M!_h)bFP`4tV%^&(5TqT+M$?nsJG_;L0V4mC} zf|6YLha{51&W~6wHp`RqW4!>_Py}fJB%C1;^|+}w90Hmf*=g_d*P6BbeY#1MR3WH0 z`=Vn)G8?(iWo2miTnNrBq`O|e$Btb`vNt|jhA!XPpDQY7IIKQiqzME+52wZE@j%z) z+C;a0_{uANH{V&TZJ%s^@IM|QjFjd)5-VeMKbog>RoBI4>1n+jjjN9vdoO+IijAO4 z0Y{}UeYNTm)-@b`2$HwQLPgtQP1Rnc_Gz)YUbiUzJw>jYo?ktKo#!MZ^EhCtr``_h z{<`iHpO-!f<2l47bow5tI`_8tGI%k$Y<8H|nkbESeSJMB9>*mSapSYrZ^c!gwnGj2 zpJ?^DELCaRoBVjYu8Jk1_zh>4*lmb$*DR8mY~^SeDlnX)yGf{N3SLvIj}@Xj#jbjc9Lo9-gG~J9K9t_0T)}-v zztK>Vicv^d$k1kvQ_Xr3kam&8Ka<{(Zv48%4Gj7B#-YMk!x(YoD`GvW8TllP7cASk z>zE+IX%t_I+a1)H zDoxxl>1P}=$%w|cqZN^ETN=hA@Ib_5Zmk@mp_AH9>7`?7TqRXZ+kXY0-s{F`ySZt1 z4p#SsmdEl2tJtRz=T}&o56GhF;r)J7bipP>7-jF3qkaC%o%VOZZOlp*(QiAz_1-fX znNV&toI~+a`5~)I8pCT)_2fyn`%YzHo!gyF9@m2ABUIc&)N&Kf>?Z$@?{Sc|#FDTs zEcSFzx{PY}Rj^5njiKe@^A(bEd~X-!Aw8gHqzdCcBJNy;Z z6_hvLl$h%hHe@3F*fK@JDxT07HZL1u7-9L5&P22x*WctS?(1=}ySd7J_7$1(ev6nK zQyA*0y32?W?%u_d-j?FT)dbCGZ|39Nh{r=y5)*#@wDZh76*WzmBDfa;Y!ES70?a^E z?@4Z-;sNipQZ375!DmN9yYP9itD}=s<6$ISwoiwGDhpXmg*XI>RFo&;FEl5+@$49- zkY0s)XDJbaS;z9bVU$W`5sW zT3-JyXNw?DTaX{j;?=rrwP%2G-cMH)U{gXx9tp(c`oUn>Djm}c=UmhnBTL)yt15~= z?OEM)ko|gfRb3nZ)@xlQ>jttE`&*}XO(mZ?mA6V|)t?Fqf{_T`G;c3SjQhi%hdFjk zPRo&5_&)L%xhs+GjNvQ;HbG0ywdULucUzJB@1Il{X?IR3l$;l9 z+6z)zpp43&lCX|EF(>5m#n|j>ceIFo%8rzNWX009DV@cNShI4I8Z(cnH2y2gx@xzv z5GX7jhiew)&@prAnmBgf2y0kEAcR6$jB~(Gofg(-RIkWZv`TLx;xS;km1M&_fDUV~ z^e2Yps1$ZXnE5ig{Ip+1Rbv!2@0MM4eMds*9ZSKFK2$k0Iiu4uMuAXGZR~4Ld+s2J z)Pmn2Meiz}L|>Rxg_{{A@iECql1(u+9XU&H&EZSPHmsSQKv=`k(#OS85)PD@q&T!V z785Lx15xFUoxPd&da?{(C?njx;lJ$2b^*vcWBZ}ojQ3{VhDNvCrmYpEh zxmJqtxGYujcv-Fyi@|#<@9lH2y;|9?>tScjzaZwIwfD#G^pxyi!XYwnIC$-({^&bs z#*7ICyA;R;llz&t1EsI?>2tr^ePP)NS_uzH3xy0GR~dHPuGfIC^?>|RcFW$tP^6aT z2ZDY?s#{B8IONEaj9*MhZZaZ1qL(f8LhGyXH#l61*H}z5LS=Su5!JuysDjoeIPsp2 zM#J0HpViF4V=OE!A*~lPDQX8uln7Vy+`IM}i#~<3pWS~Dt|C0uir!vrc&Jiz_9i&) zhqNWIXU&PJfP6E&Fb_+Zsrbf&pOf^+`RRNJvLpf!=!#&tT9r?NEz|4MaE*`fw5=Y0 zvG$wS@ip>AC+H2(_eQv3=iJu*vV)Tjp8tFbJ3&)0~uEtvXm|`n231xSnMU6arS94jZe%0SU0)gLA@jIu>wwL6BZp^JI< z+Ew@EptGU#;T3^Fw9m&&sqE{Se zW0}~jj@n^Hy1u=CI!36(=lI+2x;~FuKN~W6UUEL(jK8o)iiVV`XY|uv=#u?KlomX; zNfcV&iywI@W52U=40;x?<@}({6TbY0oxSqSb?!@TYRl)#1S*Z(VLPz+PAlDK!9*cS|PkzqlO=fljnm1t-k& zi~zA}okbpnWAbf7n^W8ln|LBrXElX1AB!M{;$$f+vw+~!XT|Qv=EoJ=3JwCGnNZ8hgh1M(?o zd)l>sa9W|LEt3`Ta=zc?Z(@IGye7Xjf*l)M@P|BJ>1#b_*#(_0ccR+4Zv#iR!@mH-bjo`bfe zXSE!X|FUfCI5wgeHN}$bS%ga^q1ICP(b5^1Iyv_3mI!v*9K+0+Kfw!*XeXP>@7^kpW$Tx^@0?9gv89b|>6`&V zul1gtD844P3vsqO$3;*+`*EN9lz+EP`SzBwX4^w1g+}P=Xl4sSozdN1$X0x8)`*mz z5&@U2>^JEONs_qF$qX-%D zxYX|ULsH>dQMd`h=PoK}_G;@?398Www`=)Va+(Svh_*FuNb);l*C#N@ajgc22+=st zF3=^9%O5zM3+L^g*~=-;vfL!jTCHV3h~y9>JVdxcqoYJ$xi49}r6H6#+4QFCPUdtD zxqOZHrcWl-%V+eVshRpN$sN4xlLjr#v9T0V*vYKa`|qxMyX)IK>7#A0mkO-yO=exQ zY)C}M0kU!!wye;8lX@OcB-I7|2NQRYO@y(oMLS33?E$g8H6K&_b+ax>`WZ?x|(CG9lRP{K9YH2l24mlPeV58 z?>uA+e?Y}cw(Sc>smY-Zs<_WDeY@*a{+tWs2cQLnbubZJB%`@7H0Q=vP-15*t6C?F zJxFBec!Cyu=&mc{QJY9B6zS0+L%; zkv+C@R}7Vg?EDn8^xfL1=4uk!h@To$1-i~}HLaKc!+{3ErELm6Gz)N!*zwaFRs`$&&`&LNjzC;vv3W zd6f^@9hhBV&QK(qt!qZ8rmegUQ@W~ok$Tj0Sw~ezs^|EKeAvRLY$3}h6v->{B%@`8 zU*pmQy4bx1nr(-OEp32jh{=sqm9Hteq@y3A>(hm+Q^p*63IGEjVGb3q9WpaQ+T&%NQEvQ;VEN&r6)C zm!H}6M)o^Cp99zd!IYWAYOAogre~Zz<2v%GUh6~}0zt9@rFn7Zko$uC?pk#d0w}v| z5UGOn(H+H}u_@M$0SeHF+9K68Pp@UKSNPL;I;_~Q4p zlZ)8Jz{j4?XOm zQvn1cX2~eJ3X_P6NkhRWB2?E~(fP#CW~CK=yrP3+d!6(;(gp=wVPx+h=_cGYD;}j# zo+s)*TArQ8u!CuX7&%n4ODdT6C!?=3yN4sx|3h0!7fYw$w-)b2kfLxv0A zZwNf8$)keGJ1ozG7%mR!!|5UA86eRSegCB_Qh}dZy;}gaq1IkdfMe3AQW2`!KO({` zE=|}QS}BFwEm?d~$G&OToVg<9L7n!#VQX-?+}m0+mZGk@OVc~Q4MKEoUS1i1BpWZ! zK83o8?Wqad=}4Y<<29SUH-#W0`9KmIW34X17GX;$vMVE6g|g>d8#}6J%mlGmN1xa` zrg>4SH|(cRapz+PRb)U0Y+Xf_RH#hYyp}i~5~$;uq(ShuCR?-_T3VD=!y{Bgap_4e zNk`XVr4W-rKWJu2Ht7crf++r_Gv@Bu1L;GS)l%J6=Wyyn8AY1&T zkD?_>Sd$LLOr?8E<_NTeMTx>g6H_V?l^CgCf2hb#F`C# ziY$zrkmvvuHJAip#TIW2&If&!z$tp!c(*Q-eoQ9s3^e9jWlS|*9P&*C>b-t$qg-`Em)cZ7!4qnaWpXS8kAk;OI#~AbRmgpsKM=8{7c6Wo(RfbZHzBK|r;p@U3)c#S ze$9?UC8xK?GWR8Ya=U8_wjirBFn+Tq5~(3igdEA2nNLvB0SQySK@+35k6YH@%d7a1 zFI_cZ8J4>vrg1!am*1|s_q;xoyB_0BKsq0X&qe5bP4Z*Ta_8v>UAh^&#R5gcSinbk z%|T;EZ6Y@wiT$C7qJ}HGsV-u8*T$hj_%&#-0Wf1Y3D`kCk;VDYxSTk74UhZ!<>C3? z>C_F~;aSK}r*d_17a}w@g?z;h`_{+lflP+&fY!oysffJ!)!xC$q{MS?fKKN^0b-vj zsNK3t*LLE~diAD*KUTuX9N=(oMYCYQ{d|gfn~zK_yIF73^?>)5jnQNxhuCDR9!q?^Ww#ON=ovkWD6;7N(}JFzFbj^l`Sgn1BSs z+pObpc27jmkXdecW&8%}oCJlEMEF31p}}`SKG-Sq`^I z@nPo?*KX)00mgmaY?}QLR76~YmsAZj(p%DrEjVd_M37$^7D`x7hc03-@H(QP_46oR z+)Ry_fMkwZW>}^fzlKWd}Bx;qvQpSh+`IwaZu}(hbnG z=j){9@T9rynQ!@yy&ar{sM@7$jy$0&ZKW4;Qo^;ndTQ=Q+AUvMzK3^OuGxm~^dXGZ zh`xu8*aIb}c`$#mf?(r_ou z_KK7K2JrK;=@;fm`{m{|9R3#+V8l@pm71Ke|I`iOob<^@8GUgfHhJoe?{!2gQvDe| zNJn2fAv1#Dm6ELLeJfAp^YoWryW)7EExNfz`{THtQh4o<_uV|_JiCDrnXN%X@!I%` zJdw2Bm)A@_i=!x4(e&ik4_W7uK!pDmwU9U0*RVAeDT^HL+xOyXnh(yz1ba) z(>f)YmXf_AiGLG_-s}49!qNLmlz@%6WOB3CXKQY>`Us72+E@-q&)TEMPqDjHFNyq1UJ-@w4%<80oc=%+5&tbTpjjMcvPnvRr%sFeOV z#N{wF4`*SNZ}_6Mvf;5=4CW~XXym-2QDb{3-v%ur&ELpwpQVzY{nSD5IdIA&p(wHf zE`qG$B9cE5qDp0j@`E(ZS`hA@vpBpm!X>%+A$G*FNTqu4G_^m&E+MyyMAh$TlR!g1-wGyf-a3cOTh|m{! z|JMfd4p_~n6ZY4e-!|+b0K{Allil!O+ir1YO+#4k}yyz;Hhi9C=rM$$e-s7ERC{w=9L4toS z)ms8O#ka`7DqJud93SRrD~dZ>gbkt|4$!1qD)rjuCKWPK5wqc>&N}cK)}-i22m!Dn zK`f1-K~`bPDVZ6`#^#r8h>EtmTy8g&qw^4}PS}W75P`WSHc@ri>He~t*gCdsj{~{= zB;FGI-zz;TLc+kAsYb`AwDWdP z^)FL)?=_1b(PQ+$k^$EDHDSP4SvH7CsKKho;?!W}g#N*=e>W+*D89K)L@phqRU*fx z*@i_${92|afS*2{s`9eD1e0wxCWY+tZ7(D?eK9cyZIIF{nWhs_|7PK^1Pj45mw) z2O%!?$n=0>Z!D)-aZ^D1hY>Pjo}HT&HP6}psH%OM>S3y|Rq*MQ`r>f7wM?wBoL9xs zE6f#-#B!lPJE%w-t18=LymBNA%C~bYVKwuj=X}4kdZzEw148Cw5o&)VE86(YGtXAjW0u;$>yzkFg*wrS*u7@m+i@N~JI%a`VueZkNF->n`q4@wcn*M1A z2C|Sghy}i5)8}h*08+gMzBaaegJ@FY>xAq-6F!ksUl%2=ip%DoV8{mYJJFQmA0 zn~%jt59J|isA?MAD3L4S_`Qa)RbH)P3mSEmRm`93;X2UUv?KjxaJuGe(tn$Q>20j3 zs{+rCIq=~js@?ARyCtzIl`g)!-yoq$Hr32LjaT`fz_%Km=M(njgS*axvzm$kCkZu% z(`Yn!+I_)8dm51wpiv@7)(hN=*KE`p>-j4)Y~62uBrJaH<87E$0MgtvY_Qub!2kTo zgv?)ZopG4%KAK?T9hQzVLsYBBZW=p(3_h6o6BL0_$9LTMiL)I`_*@U1$M~Y#;Z$$& zcFa)4S#PLe-Zr-5>ylgz846EWUV3EU)e}92E*5m94J)z;uef9ZrHnxsv8K452`|X; zNXgNY!jGu_I|=_R7xyeTU5m!YAkHCBta~#gXlJl{R{TrW%Z2mxx`*=+ZkfiGXi!K2 z;3sIrz?w=at6*80*na}xD$m3nZp|3qmMG)$gf%ouM8F%CdaQM!VZUDK?bm+9{hX&R z7fEcIr$Sl=vtl{Ft{N~uhmhcx6VzC+EvGx6C_S3Lk5swXqJ_{{oUr^{%Xzxum4QO| z4gv9rFycAR(l_$#E)B)mKH`*sJ^x>lbypWRRXX&jIa~WL$#N-B@1uG;`}Wu$5?+8P zv|U>=`tt?81KtkMyrY(fU|>^0-OQ0$f&&HzkKz8Z&WmHdes}MI4Nv%@N7Sd*2_c)3 zvKBIImLpANzqRfx2m7N7x9+>0B?^_?HpYzT-}=VkNd>!q4v?(V7d9Ti1D--NT+MHb z6^x1$2~}kqvRDquYR~>3Z*LtH_qr@-?Rw&-{@$*7>M3M}IFN^_ukvB_o<_A7D4R>r=Vmtr z9&?V+b?+>FH5R(bT$`@3VVh!o!>1cGexlP>*ph3kT)2+m*?A)XQ$&z>Mg{PPo+>mc4wEGyKF}Cx!5<+@*#{&gvD-dGeOx*E0qi75qF{nF2YHxC_A}_MBF~PaYXKj@`s|7ZXcGEMi z+_}4Q*kZhd_D^~PZLaGXF4t-t&k`W%&0ibMLA}i>kp#F3{i0h18;h;Kd>;4fh)W@} zhv4Q9xhAAqs8$c$j++MMKEDqyga2dm0f-7&#$CnZcH@^B4zzU!at#^I+mE^ty*%w5 z3?K%^y*%dY3~a}|`krfbpW&bP$7o;+0!d-jaao<)8F(x=Ci9`I79XCnUg$NLoK6q+ zo!DC;V?>9__SCs7pdLnyzT6V?HXPhXY4G6mNq0JL&t@4H#dHnFRWzDZ0h3(v<~W_E z5VReIzO8k+e&cXSq0x)Ok-F{;8A^cwv|vdLEJajPwJZpZ0E(2Z%N5gD5y(+w{Y%ai z8TeEDocUmkMCjHeWmN+27+?zz^P%E#fp1gw$Cc>coe`@!mztpwFlQk&U_nc zNXMkGElO!1=*j8Qo;f5#0}r|56XpyO-j&_t-U{R!tqGkYqUw%EEq7=3v2Ot~5%W>R zpy#rC9G=n(^UitZEuM0zJ6>wnv(|s5efPgv-dx#2S}X)7X!mMW{vWt4Wv`B8G#WcJXGuKZ_|v+suQ z(N=|oLZu#Wm+8xhYfMDJQ;WaJC)cOp*sovnG~WuKCGOT$4R@D)n25 zUVyf~Uo;(O%V?@P{18|$y*|JJr$5;dF-miQfKP?&bXMBlcUoP5tJZXafi`J+`k{lD zA9C@L6zeNeZlel-s7t?IIT6bYzsr1d33Hc)2W1sBO0=nJ{Dz&yy~WL(xWL$F#f@{F z!HH+iMd94J3KL+X@5WK}&#yg;?%7#cJPp65G?^W7)j@1`wF@r_TSA`Q0=^+wjN0ud zi5uyscXveP?ri%--vU2Gt%6!bzT>%A zUH5|_2L!~Gu;}J>Jd(Cs@J>AFwRkOwI@i>Gf!B|xSCnC41DOH&VJ4u*j~<{A4L#-d z`lO_&b^k+-KfyCKwmh5i(&4My@-2J){X~X+7J;zS>yDJ=*hajniBy5&wtPD*tpDw@ zeG*L`$G#olSCYgjfu2qrB21Xnc5FOzdK?hD2W8*`@`aFGWt@#;;OV1sciDL@g`3v9 zz;0$WWTE^ewT8rr{esM@@}BAuBba=$*8Gw}HyA6iI>*vW@6}BgnMRJB%wcWS(4E1N z3Sn8dQWtzx-o5JEH-m)v=J~$v^XHJcqZ;lO?7326|I)Zm3^^1P<*05`T`;Q!uNv_h zA5Nn48rZ(lbXceZO4p%9?gx*5?%AM)yDds`{rY;|cl=Z2WA{xDPLh8A8}cy8zDQAI zohrG=8kXE?3-4wsyWbOJ`34~3?x$%v9cMxP>xHl*4ClAx1cfK;= zd~ywhIR5bZ8$o_?k_ zQSEVJy4V>7*4}qw(=Kafa&CsAnF5#WHI2{JJ%8Z(JtbpNAE#~foD&|X0fU+^+RfqL6jf6^ITCrOl}~13nyb&2xj#i zGu$gGQ7qmx%M9Zq$2B-HN9hth9?qAsT6rG`y(EF^*!l)0zobk8f>!6`n(du7M)a}! z5KG=`dqbl6{_b0ZP7M)u`n4P-QVZU6rox>p-5t+Fv=t?ucO}TiV`ETr(dD8vfjFFN z=XO*sO#Kxw;pdOLfEV8#lTH)N73`?zEpFUy7ClzG4Np!T58^s|GorvK-$nCiMVXbNs9j3o|9tPaKjAhr*IsSij||ig~vrDn%!j_#*$dy>QD1Fb@wxe z9R}Mq1e6}M&Y`5ef@%4crYW7{l`U{~T=`;{gdgV0K@b+^cxK>oNZaoAK*_&w_}NsvF8 z_ALxS2)jXhZ01FCVY{z7B@uP+^oNapHJAE)tadMS>cg+FB-%b7 z3*~3H)QwC7%{y8%F9lMArZ@)ePIHn|udY`Io5{MJQ1VO`0AXC$8Y#r7v&AK9D0y<# z#sUDo^l^s81H(o8HrW+Yij}NmlQ+JevJejiJE^TCY_;LcHf`KsY{L$s<#zqH>f@g~ zTN?h0tM&Vb*V!*B9r|wEVKFbPN$KwE9=D;l-&F>QA&Q1LK{*3{OPg<*`^0w!H+p`6 z2lMVzwJUqMwan11pe<~LAZNj%YZhH*p~Kwd7(!o*aVn-)0vPSVASSz4R+~q~x)5_T z{Km%6&Xs1OS*JINJLltmjB*~Yo%y$=pIb%PQA; zq*25IAxr|iE;sk*56%kwD_Ff%+ZYc5(>x2l&g=RNc%ed-GOi~;MK!HP{(6i$y$dpZx|NGErE?(>2=rE zXcY5nG>JfZJ7ro51tg`EsN)sBqt)uz=+OodA`E#r2@!W-SOIqxq5xkkm4^l~H88uR z<5tv9s<2p8y4qcZ5Squ*xo2TQ+k-}Kh&09l+zNySHuARIDScbo%SU>wWL?N1U9GEs z-VCAEux%sI+8wX0kx}s71~t`J@`B!)Qrt5G zP15pn=zNgvo~llwm%1{Mom!lCXZPT%;vzcFNQMJ0W(F9H8La*1@h1GO!H)txdS%enEz3bolXQv+dQc0s6SY!X+0 zM6dR>&>@g|J+R=&95zY{(xvPlg85h^} z&q(shNgg(mt9TA+SoW<(rbtLydB+qmRpnv#`EQ+6bCXPa8cV z0Dkal_})+@>mMaVHD)G4)9Kpz`0nx#2{no0?EN#f&%Z7F>iv_<#R|On`RTD;Dh#D) zS0tl&ApdcE{Re!iI6TWN>pm;S&2!e|H|_WL4fp zjtO2dF6w1+tX2XJ0~E&^R(8QG=k2^cssj4AQE( zu5~$;Up1MDX-U1LT5>vk^FlFn_vJ*}naMOnC=BS%Y7k6Jk)EDr{UdWK$@Wh()Em=R z3%nszDGQt=%+9;ZrXR|HmCHN&T&RjzX#D{YEVMd&L%&AU1_bV;x@nT;8=or!!Ijga z-_3Z;mE}>nKNI1XcPrw2AS*CaK;K($qMwc_@%R`S5;NhxdayQ|375_HRDb=5irhW3 z`Jwx+?=>vb1KU|rWQ@v!AKJSyfEARa)HCF*6=c`#>WVd_pIR%!51IFvOl>yN&#&nZ#;f>t=LK#GD?)P#)k;+Q zCx^qKnqFB{JEo2fM&;D3B+}E55*B3r2?5X4>(h#wvYaD@>`y_FFvwQpI&tG7Hl?2N z?@bF>BuqDn(k%5=<%kPQd!!3t61z&4=Rc!uFMPf9rp=(s=-ZVu4uq?zRer_Zh@-;( z*gb)mf9>T*@qkB{yPTRDTE8$VQyW&=p0Id59o!wWj9_d3IkHX%iOc#cHnw-I@^y@IStQg)k4J9&4$xoWZ0sPnj z9?c&qSF-qeAv;C|zn_j>9vnNz% z#Vghj2J@jl7b;BDWlS~|hL?)0Gw)VMEBEYl&;u!x#sd-8B3HG^M|5FK6H~}`pCHEj zYFA{9{!1C#2l4uP_P_=!ISfrBv=WrlUv29bDavo8^3N+Sv8N&4i)0V(cI|W+>jp#3 zjy<|V>2j9jrSHHoPfCQ>TT2e~5^SN|y}7m&4l98ERz9=Br%Kk2 zv=*sCSbg}|>pl7QYCD-l9#ZIPl(5HvtdQ}R0no=d?gpsox~ftKGXFzP+M68fu> z;K#;ozVo9ZStHZ(7lxo>b-bH8@KYiqAprp^&%87y_Dq-WS}QRFiRcAUNt+klmgY>Q z^*jnzrXU3j98<3=D;mghjum439sZ)4rH(NF?S#ED*UT_+RIU-cQ*(k*P4!G~ChtbW~4`v_@He{j!9O=SOd^)cgO9&du}se?;d_ zZ~vdrxq;5yh!gUrif3(lRU+oWo@Zt0R~HFP)_vTo*VSN4B_W%;WA8#7rh8}>Ke*oe zJKO`IlgTvckb3!CQ9|c!(~4j-_I-dA8RSh-sQbc|HX~?Ch$!L-&li8tTk52wYr}e` zrZ5LR4>01l^8x#&r#O3)D?iRamzmG4&KFj`BEcHaa=B>rS1c172 z!vGJ^^r!4mhHJ~jJ=?H z(2=(?g_P*x;%7(-YdW<+7Z>(K27YY(UER z%V(ey%1<7(=U>ypmhEg+j|EE*ax;s|ia&(zw>cb}@?y#nTTv(I3EYIH(w(<>4+Ql& z=j=fx<*Qz2(B(a+Cgbo9>Na{Azn*Fw(Y1zU;6#f`U^_@sEZ%L)&5G2`)F|&^x@t*V zqBgx+SxM7-o=`Pu%%MBm4a$7zLR8EvPf%7(uH zb|4{m7s=oF*~h^d8CX{YIK&ASF4&JdB1Hs=P}Gj0BmJ5XfnNUEFL3vQzXr^Rf22+Q zQ096jpJGC=r5cUL{>>FO zLvoiSGd?6ww<-`C7R>pLrMR7Lj_Q3A_I*!2WHy7Yr6@MY{HzryOfo2l&a4O`y23|IlkCSzD4^R0^2u!FoblFUFI~z6 ztc>{34M@%tG90^#p!(p`17XFLYm0$-lD7G+LCQ94G@UuSpPK#5J%FPkJ4qQayCF!t zf|sYa{em}VWlOgA#UEY~iK$2~167;ogvY-f2;3EujRbC z$!w1g4$NZCeRS!$`Lg^6?x`mw%Jw=C@RHTAFdVavgob>F2lDhuxE5rqJ`Jpgrl_FR zV3nk}F?#a8>X&*-La1nV*JDXcPMD!#>59!C-wJq4O6Cj{R3_h~(uPjmmr7QpgQVtX z+9qC>Ony2Td-sY%hSAV-tkTG;sECu6*XL-4SK9j|+=?`gHBxH?>s{D6pqqST;)0ey zC}${WwK=9&9;_jvl6<|gwjYJep6#y9*7H#FPf_?(JtCxU!xn*wwYwAA`J=_Fmwl6f zGa?-T7sYt;Er)MtvI>FoHbY=*6mttENP5;m<_FzjcNBu8{cgDJ2M>2fEO`273`~qf z#^Z1a|JI+EtWG?!wXW_*!6|qszj1fKACtE&UTh(=K9_MF&QQ#wdT-t;hu08b)}3eS zPeRZVOb8ad2X)YV$}2N!TVu!3%&;(!5>oe_dvRs=}&&(dIhh|R+^rFx@{O4-FLW;G*c*ufHSBjEXt$1 zv%;8d&uq#sFx1~mNkBT;xo*7&6HQpxG)jQv8@6U@98!%ho$Dp~Xy7ywQ`TjZ)Kt7A zT-AY{nqz1Id1{t}J3>N|*HY01;|A7D#b(^_+a_XtnA-`J`D?C0obc1dQk`8(KEPCm zJzsBmP>S8hLL)p90RmMDu^+-_`Q}k7$Ss#Nb?lp4YhT(RoxSN3eL^MGz*3Fr=#=*H z90(SvUy0~)(=WoZf0BMVRC39U4JdjEYU^rJ&O=xOC`-Z9!-d@)7Zg2a)OrUgOjO@z zC6n+I86dNz11u0R!w9gz$AGY8fB7N2O~3=i-n&SF5BD1ve&IWD?AR2uCa}Gt=TJud z>K#uZfEDFo9i#?_k2|F4bIGzo1~=Uzb@(kV4}r_u4tCbw&bLjKIfJVEyBkzYrNBY0HT&m)2F}}XHKZ<+}fstj=JFJEU%li*YV^FTuhwQ+!>9uZVo=s zR8qcihI$)8r>kdQUA0T7<=PoFG#iHU<}Z!CrwZ$dXBVUWRX-2{oc95CG9Y-SepzR6 zFVj))1q>l*29XuRx8Fa^Y)8u_m-cG zPQ~PkZyreb;tp)|2VPYe7{Qg|l1cY?pkzwEj^9Jb3`?1ac3TknJ9 z7?QjHBL%CE?M7Njki1()bCI@Sp}OB2AxXgviHZH1k4nyrme=zHHm-~=e9NY9X^YNB zecn^8iUza$?G#R_OlgG zV%*$5n4`5{6g$#%#f_f(tVX<){Yrb4s{S3|Zdvubr&zYF{xJH&(L&3e9%QWMp$0|$ z#8P%U5Q=8^UgnF(@QH|&rTigyl|mFqNGh~+y@U;Rzmk%JN&K2T`TX>ntqpC1T3yW% zS!Aw!6}jb=6w%Gp5k7PSqUhtLrQ2ypZTow5N_caPIg>$CZxHe)hnn-z{7(AbZR9g~ zIJxq2g9|efjYL(S=usbcLH@?A3(Qej-j*E^gZJ(%87loFQbQNs`ga*-0#jkTUs_SY zB*)-pbNDn}WUo;&wyGJ`E;Rqha4G)-HD;&xW_HD#^YNB(Z&>)S^y6)IgxtJM_e?K_4whcQ8gw#iT}^33ww zu}HXKzYqDhxckdQBdy*{qK6Rf^84|STf$JSv0oP9UqkkugJ#XQe>Q z7U!eey6zumnGzFtdnPg?JPxjtq$xzv_;r{YGNX?0$=fw7- zvLV^#023Rzyl*s~x@zOCwa;rYasw;c^)vY!K+tNHy&iFL`3CNy*!FR{P^X z=Zy>l6bt-0hG_pZp&tLZx6SnegG5GS&Pu&j(>a$rHyGKPtahWLq&XRlR$S@$_x|Ye zQa%g4Mmobm6n&YylCi;!ii+b7SgPpGPN(Jk*kq*)x`T{a9G;IPI(GPbFC^#jkrn(& zSC>^K-T`If16lXZDc^F6`^fnSs>#UE7Bj}^vGvdG3r3JS_Axc1en|97UaY)-7Y0st zj)1s+3Ly=7f??r*^7(%zyUaVLR6fMu+!$D?RW1$_8glls+lVBj;HXz+5ElVsi{_&R zzRa!*&)10AVY5+j5m$)GYqr;;ALB(F3>^l?ec?Jg$yMGsGe#T)HypxssO9sjYn zi}rZG5U_1S`n*?G=Idc}E3j^u$3|vQi>t1?6K)9cPw+I?kZF$;_O!hMzZgg?P0zQ5 zk!QP`hx^t4y*3_7e1&ouq24alTI9Z4W^NG#reG-oFCddJWI=U@23@Vtxc?|_;`=k| z&wVz6B;+D;&HkAidwVK&v0?UJsx*}dB0W{dH7r*fi>cvk@6&LbTn2{nPd01%f^2Nc zP7$M8#kGx=*{?_dRTbpPw8mQieTNjoIpAC9d*9C$6sNFAQU(XMI-Sn0@&6!p*|`2m z>;mavb1*X;q;uvD@>+U2ZB@B`C4Eh?$)w2qwETYS|AyVoaOD8MQ%w5H^)SLBefmRS zQ}_=)0}nyD=b7;*7KWzGpu}P3A^>)>rQoD4kNu^pd3@>SXhh+dHuR_XuQq?e&-19a zq9GL3SBo6kcRNdE`=no5+KroeCAzeoNpMYJp68z(Vh+^66c^_l&slCt|E%U6#pUSo zi+xIHkM@0sFI9)^kc%tF;CHXI%X!?@&aRTSwn|s%)}g@~sVKuG``K+sqD)EuyU(ML z|MKf9Y6((lE)AGo$9V$hi#I=PhCprqg+uT55a(NVkVi6?3j_jP#C*QcI6LJm#|F5N z*CpM}TA*3EO4`oy-oOsh=oc;caJiUK>#XNDXkBGtkk+qoOmN$T{g+X9J9|qUbba!pNb4JR z)2*7?=X%zR80f%6zWZ##E{({46Y91qQGx%v63Ma%R{0WNlV3=o??i!7*%R~>b7?w6 zo}kxWFyYl>dSl8KI4;AlMF#%*?(69`{F-Iln9J*QP0s~dr8EMCLr~OIotZl}#7yDI zyYCpF@~lE=tm^|yB2Yxnif!dWNC=)VGW17?L+KTUk#8oEZYE_IumqSTnm?0o*a-`r z8*LoszftkG68PM2WLySYCvko^BBgQfB4J5M8%dxlW}h80ba5wC8;>^D9or)&RCK+> z>FFaQ=8N7F{75*8$me!`p5znqb{$TKs*V;2|3~`nD-e%a&S}vc4@Et2vNgEu z%cY0nw10S!DG?3oB%HB;XvErj|J8`Lw$(J)s;z<>b>GKha+V0MWvhuXy8}nrAGY%e zZjRVv_qy==qtjaV=Xaf9$5;ilGGK8>&r9*eUtoJhc=eVT4$#4$harpShCw7-P!Za_ z06Avghte-_+i@@I1*gh)Lb3NYC|2K3<)5ybzED*TwLX%{@`AWIle_#stb6g$W3zPDx>8=r=sNq#Te-DmfngCnhD#&Pn; zyQjC4wq0xyay!)XZaOIVDlkF1)o9&G<@XVpGi~+Y9PuuH!4J=B41jCHx%Ta@5$L*` z5EX@B?5ir?R05bMbm#HtH+_1otSpSmBd)pkoXm{MY830_<*dxCHP{>5xIOj3!H)l) zzbU{#{W35g1f1c@eo;tic~%5QB*G+FuMU&n~{2VAf{J#AO- zjmAAwe5y{^a)deZGc#;qqe#QG!Rz=1Nho583ptA%>UtB{=N^M6Yu5ZGF_U-ImFWHd zJMTG~{p(gl!RyJigTEuUDST`vy11l}A^wRe3q3A-jh&z4e~o*(<>JVmed>BD|GpfY zM!}j|VAsb!WvdxmJX}1rrmFc3|KPdvWx7`^D|Z62v+$K>j$ z-ko3&^d98XJ)w59#YLrtuYK*thIjS)_`M8Y>}gVVE8gB)unyAS=GG$L`kYpzo&D40 zr%9P?=rGU*7F8gYc&Gg3gE2;(UIW^`ze(5aH2->2jXfHWXq9tJSL!^-q_0pYk9V&d zA>B}hQLpQcg|9d;z31oPmU|3{aJo`RC}Iq9*>$fXt}o{)-u0L%M*Df2m^jP%i^o|E z%m2)1?%@9$qdD(R1i(O@3k_Z?CLClOgn~|_2;2>M4Bq(Uw%^o^btB@R(@HzQ*p<=w zO2SXq%=|pu77wp`^0rR-ZC*W&RfN4Qa?Sa2IixzLX9#;M`Av7nNYj1O&Q}n;k#Jhz zp9jb12ojJMonBC~cpdADCT`V|XPBlVds}HE{LkOiW8{4qpMg)yThhob4Z?wIlO?Bo zl)|Q|&WGjHaptZ`H>qag ztmQkgR&StVyjMHxwZq&2!n(23pTwgF@eXm>hveiAUADr`UKk z%hh4OHw*$QBwq{UpLF9u6moga=G9&a^BVL^o;z{V81rugU=WO-{p$;52}$r#q*oOM0S_Qv5-el$;oj* zQ8e-bR&CMW*3t6K8Y9tB$GaQrrUkjfDgfT=@z#+{Q@h^4Zk!h71Kbi)x!u{JVb$%$ zYuCzz1RdhN>B8HmJ@4!nE|fRRfq9XgYY3ixYdZLuXCl3|ySwk1Ma2DzXGL$mr?>C% zrO%pl|I~bHu@Cvj5k6gapOFtL5Vzd!y4qYOr`t;>z^8~a#`w9MEMMq!i$Q^zK3-pw zP=m;KW)`V<_^I%o4fa)f$#6B6B$akBQ}~^8-fthdZd^d4Z!ZsbC4P2KLHjDG^9v{R z{rmptH)9}l@uRcpqV*IyBhH*I2JM@PJHR{pe7b6vsTDABjS%qYB7ao3M7b^!0etJn%DCIUKR{31Dk?>9FGXik z?*yo(_VTv`8@iqhEIg0YL!}t{JG{{CkbOYb!)C)n78>iv;6n@j|BovZh$I!a#NHHb&RqLG=b8s<^ zE5tPCJewZtS29J&1goz1{P3gTy_;1#_!__tEm_dXtQfOee7u9rTg2XmOBj(Ygdr@jTT3v0a2ACHTKRq-f_?Min@sBPu%8@1rEPoK>f zz8j}E*=MpiKVDM1N>PkJ(jT`>nrBRvb){yMxDWpi2LoF+7b!7qJqM-@7{7JsV0d-=wu)Yzvg%n^CXEEQQuQQWZd<} zo(5me9CaatmoGmv=h;inErlEBGAsTk`vyV^ zOXT4;2`h~!X|JW)jwz+kZ`7ScGjy!=_i&~#q!g`~3aX1Uq^x_`N#~Y}=V~vO#3c3O zZHqi)G3cYHf@*RjOHF^RogGq67F8=u=Sh*@*lRX5Z|c`MebgylUSyNJF_R=~O>+}p zRo&X#Y9UTHGm6?xHz>mTvI%fTP+6fZOdRq_eFA^wfN-JQr<2LHq-{dckrw#57|c5SWtAMBb1yC=qv5{pu$@BTRl zH8!F}ncWLV5!*wt5hIHS0<<{&)5}SMZbScOk)#^u4#X*+ttUVlwp!kUh0+9sGj0S`PJ3 zC0}5~*K~ECBl3gfa{=h}rzs9}%p3^LamfA_ zNm9i&)y82+jCD$#@GRC5CU}DSE+D!qguzqeD+(bshX86MPK(=%equf%nB~9L|5!-% zxnXi{)7Nto2u8~cVUOXZbCdXvE zsPW$@8gG*gZWx!_EwylX1)7Vuo+ZS-o1x>h#ci+zmPiI%kPUwjrDK-NxY)&~GlHwCt53CN*1R@BY@7f!`q7_?n~ zLazm0B9&vozA!%}u3_Ki5QaFvgMl((Uo^wuIMzsw$LvSb!BjSP;*EB1pQjPXuFi7B zGk(utuxICJ&D{1<(bsR!j2$reSz+O`f`m-i6HjSrNm-Q;w)Yo{_dWS|Q!H$zWTz>i z_i)|RQK*<0dB!Hi{?$7>@uo<}>gsVuVe4F|get}yfrQxcnjjugO*36#q~NsF25hIR zUsN~wgCB&R{`%?25LO+pOVDElJ#4}JCarVyeK=`G3InGnu1wz>3(!d4Jln(vC><=6 zu7@Hx_^f!wPIjH1F_Zu=ea0~6kfBDkgBOV8`{f9i<5hh5=Ww%)H(}wyL4x?SP#YukrfTX)?Co{Dd7X5Esqzi@4_eypWDN{6l>w$ zNbxiwLv$**p?4O@Wpg8l1$wNLcNl4nSWv_Ic;?i&n+gnpZq}dx8Z^DE*VpH7Hy@xq zn(e&PggMiyJzrdWmTm*I+Y89@rezRzl2LV3Fr6>g#4AjojUWm|34|G8LJKFtq1$}af=qbI&4r7 z-#@k8aEVxg%k-gsSGylTd;g{()cwZ9ucQLJdt%VEJavq9vS2-*~irG&Dfim8{EU9*N6xBMNAYOFSjmgiq z6JgSGGF8f+8-7?7RlkgTKV@kp%mhQF{nZ2g=GUo9xvHlaAW2!fuc9k0ZTU$hZl!FV ze^~?vtnvehQ=Dx!^$rASItp1|iND{(s_T?+8yhJ0tvS$RbpgmWvOX_D0yinFMF zLXxo?b94i(`xht_|Lt#3NCqOCJ=mB42`SfNC-g~p_I<{z!Z3kmg|+(<8$-}vMm`H} z0>rZ0)WgCc%8XP#_l0lP0`6IS9YEJB%Tf&6(C3z%YaR6Sd->wng^KjLPm*fRqI2WJ zF+Q)8l?@-Do9}eJD5H;RcYX;&zh>x#Idw~QxD0nC%=;X}kXO7K57Zk&>)*narzZsM z6;LG`n>fRlRpE!=N+aNyCu=ytXQG1|OjlR?jXOJ&D*fU@99cUW88fS&OtTWmTZNa4 zgZmgs=t^ta$4==oOxC+KRasClF_Lar8X(ABPi+|Lmtq5rWR$-M4Yyy7AdWuVm*9|V zxz`tS`9~kFXgcE86ztXqx6!hoAcu!Se?R+I&5xod#0idkv7J_hV%96fDY>Oy9({Nk zu%gyqvME@fz#6;m4EJMAfubW@G8`ac%EeBqS(UsxNh9-6n90CyJtu>Y57^_Q@K7y>YCkd0?K$dR=e=FUe1MELA9H!54*a)gN=>Y zJ&^cG;g(s1UN|dA)$y&7hUM1*m}{$I5`3GLCMcCe@VcS7u4CuQC%4N7+vo`A{)1-^ z$nsUO_(nV$2ELSaa~;g^xG(l_bae7TNJxj%OMyFOo(GBgYO!|}lZtIy&Ida1P&oep zU7|FHFtZ~cqi{%3ke&Yh+48H-w|JzDjy91CHqu7qs6O0KFiX{YLqw|Pw;s-hz<=&H zak4g1+M1nn1d}aJ@_q`B4vps{!oR>1JA3Wlk~lgz(7w1UIK*H%w0aIZ{mqPe(_4qa zE4=QI?SpiG2N=Pq$IyNumhf-%ViJFE#GHX}x}L*p~(?IGH`vZD45}b1RIa@;cw+Pc!ZcUytXQ7o8@+U2FAA(PJvf z=d)v$5ts?D$03E;j=|>i`@^@7h$?PcuArfT7oA4E7AG?>;`n0o9p0cN`EAkZm3-ND zVrobu+5YU!_^r@2#0_}e>SbnaPm4yI{3nHC5MQEEb+*>Eove0s@Z9+9XIzlRO5@Im z;Qu8ZFbGHN6^61tSooJ)GfSTbokU0spcS zd%bM$-g(97f_vpkmvhWrfbpn@!mr}d_l81h5VmU`JXnnYPvC93UlY+{J@8zge()ko zgpwsFk;2iK@o9p-EBvQzdL?zNw@sVdPIo6FwEN4;<2sNQin6oKJs@8`aF4bcs4 z`ykVQA1hQzPzps?LBERRda3+AvYD149GDxRsA_=mty;SM6FNPDu_>RSIpq~rvNNIw zY#x7D-Z~OpyVBJICVdm0I)|_&LW)W#CS*+)n$H20asX5gliWC)qcKlTh^Rgg4MRTA|t^TGd<KQC1olKPx6lm8P$W(oE7@cf(?#ATMwWQ(`w_k z-nYj~gW53}#Ba|>S2;FFfyH-(f`(t`i*yEw`)83Xdq()h6>wJ>Zzf=~X}a0uOXRQS zCqb%So(HecxcXOX;CWq)j4E5hl`@UV?D89$AY#48&Q05G_$l~J(_v(Lfr!!^=9N@; z(E50;js(7l+Q+AWxAcW?sjkS{iG=0ruDf5TOW)?KnN9?euq+P*d`#|ol0$%f>YHlB zdYv#8$}Elbpo3lZX8jnTI@EQXLM}*u;{q1jB+Z5eh~0#wRTZ-yiS}t(A{IU@JPk$( z4?L zuv9l(9nYBpp(Ce1 zuuI~{lcIu;VX}@e&ky#LOA2!&i~na)*^ku5McG52m`9>ab!W%eCt%ZM%+0&cG9;#| zIa07z1|}j^$VAmm2_A+ zCD!rku>IM*5NtKwCNV;MZI{afqBgSbL$Y6-D54zyJGvorXlYTIqztc71>37hgNT5B zd~~SfkHLif4}Z6f($)Fehx#3ixQTNtY2nxBOPjU-M{92Z6v@`5YoEs58rO!#T^eY- zad&rjcbCSU#@$^DcWJzFcZ$N@-T66lWX?BtzKJ`3+>DCIh{}q}6}49G+PT-8&+B3N z`Aj9`H=?{l_nd`K;MgOQPoDBx0*aAh|Djwc+^WXOTAsoh?-aMTw=Xz$U*G5_erOYo z0^(PuSQ39&_xv1gA>=#TdPAdSMZ0WH8N0aikUB*SpwHlTrmvSHe)`RV^=%9YnJEnH zKmoLOPMSY{)>mZ$mj>(mdjiSXz9Ed?qkzWe0IF}@5T;j(vEq%g*!Hu)CPC+nRMqQW z81a7HMDDsy&LME2maXkok4cnRWLH>o+JIs`@elNILC%IRf$?R zapzk+7FJtIeTN%NGxl%psu(H3@>)MB0b8w80pj1)x;=HXFZWWU&)N(iwnm)w+~glO z+-qudiOj)1(tQ%@m98cZv|(SZu4@Um@i~mGtJUR++CP+jm}`yj5dK+ne#C!6ghek% zqMw;Xjs#RjxS`Inl8m(cE*lEljnj ze4w71Hw^F~{PA9Hcl%odG4z3m37u_8XrKmx0^W^`cMsF>!htggF>`4ssgI6S#Y;zv zJD!zkJ%gt=vI5 zYjj=~J~f3$u`UD87+iaE1ArQP5gkeXh|{duRM*jj#x|!_|Bs(LhogRXjSJz3Ru!i`#%3BLcam5LV-8>H%vFA=N9^1?;(N(5@h~o( z{vip3JeP&$3^B|gFXUeGCG_U7;-%nAo#4xrLPQYqC@THrucmZ4l=emT$Netx7o8|#W3_h$mae-$aL{6nN5${3ob z_pe^R&DFvPA~wGF3tv<#SNGT_h`xHh$s2XXbwa^%=WBmaridYyqP^_iQj1=XPl7o1 zfP*U2jKp;8`%|5=-1t5`i2YliT{!h>m`QAd`OKkofv`l8ASEh?{j>6-RS1=r4e?6? zz^E)7LE|%=%Gt}+ZZRMW-!V7ueSmEDj>o%Pzm<~gcNzK`cIT>l?s@e%UuDSzIvIy3 zX%qBsKP>`+xy%>dQKZal zrYeG%uOGmj?L;5X&DJP-F&8pl@WG?L84Mw7(3e+_FhOT8F&P6s zKdGPRCT?KFSppsQ6Zd6+gK8o0X;tRMg>Elu%+C0QQ#<%6S!@2W2iVP^P< zR{3G-nAv4w$dahXAMUempzf<cZ>8tw;emfStJ?doQ^hQDv^SEH`I{|9E!!VE zSlP*m3yArY*xpwfn)={b$@86&d@e)U0HcGc*xKF5Q@T3sw3P^We~if?2fOXr;qfux zx@5iQoZ?J|-mda3A{8RdYhf#{;6rTkKF<-?eNA~AEB@bg8PM*H)DFiGWn%nT!>m(x zg;ulq?$COI!xA1DETEU$hH=?8NgEih!Atu*U zYDMHfkU$ysLp@DqjGQjuDD6Lgep23OqcPMmz{mGj7SF_#D`?vzWG@}05kyw?9`|bw zE4a>6ci!-h^sPYB(z$hTHx?m&vo>)4IbEA9c@IcbUQ%vHCJRhK&SV}}kXCM5$qVB# z_D-Y!2lnYYJ(n-&(;|e9!sV;YbY%pU{8D?K$zqE8^(GHQa41;Ts{emDv2G1OzjK}r z9eO(2mdv97)kQQ@de}uYGlgZ(!6m?CE1g=iACP2Rw+8_$qS4vB4ktrLi`&aw0tOtf zA+O6#HhZ0j$-Tm>mdO8ua^L`kZVyU>o z{APKhd$+wA{J>*gBWs)8iKSt(TXS(ARL+-v-oQhicl@xURyUr`dh7`-);vMnZ=_Yr?XnC*hTn^ETzEF2glr7%Dt7M#Rb9)! zZvHu!OVdsvd@Ea4DLA`K=DbX%UG2d|-Wcg_ z6(@ME5>NMvW(HR;7`Er7m^-X@s#p!lPamK9rt}=7s7U?-cteT6sLLhUG%`N#nNAT} zgGLt(k5=L#L!!6=4VMUF__SH5t4Id1+AGqO-1dZ+Hha16jN;lx-fv}D`q z$}a9quW}$tB70$}e&5RY-D?z=ck08`p<%;u$;fM6bh(PtzOl%zGL^RDar9b5Qi?|T z0)1}?<&v}NrcVUMt|&R{m^$AS`65#`eU`M31IxyJ)-0_J&*$G+MscG%aZf{Z)jG)5 zYtL{Moab)$7y$IMI{BFVE~lLP|6ms_7W{52`rxRAY&g)Q%`aXUkpn2au;}Oru$EkFPBnf2G~~C^B^>nXl9n zjQt<5qNO$&oCc$r+OZX^N{tOBnyJ5Jju>*cvIXo!P4ukdy={;*`ae*4Xg$~dP>#?wGOa%nS%xW2Ro_Ac*O;v zR@V%gpdeuy)1L`Ro6FYh1AjNQd_5ap<4DuTGaY?;k=763{8$GyAdA-&*-!zi!EUn} zs_a{(*0gGVKYWE_QC04nt{-X#{)ML;cYbz$2a>38FQcpK4A*kxMKG`w5MYp+&C+Ql zk|z}Y?X1=2X_S6v;&qQh|9GIQiD;GGbUFbtCIT^dBKv8qUVP)LdCYhwkKLh;9%{5I z>FQ$)7We8xx1*0ZTBFmyQ##bOhmwT5eaD3Q!;zKn{C7uIt6^Ov>KC>O=Q$epI;+|H z*zz~6T8o;ipAR8?;*LMfqqSp7KE!6<^OP^WEY8tQ=EZ#dqvn6cLDi|0Lb`eLGG_n7~#a^h>v>1vZz0heYFZjiPUe*m-IfF!Rs} zE;9O03WZZa|9g@Uy{bJc2|3~{q2K_v00(k3QFIl$*DT7rr)`MVi2r{oJ8U}aV!QD7 zd4N!s0yuXv*>6e<^)NGd?R(S4Ozl^%VC|vF#y^QBAA@H6xr@>HI`D@rv`Tz`ufpOt8LnoOuy_)|A z1nk0vr_VzRaEeY)$MtC)n>%G!mjg>$RWA|x%#^~WPjs2Z;i2VZ*B5Lvt|z7} zK?*n>L8$i|AZ2GadmZ9;0PXP2NZPdR(-em{CF%;6b!U>DX5eICqh#qLeMYSVMtbLgLoAkU1rS-taFh?^)X zTw)>t530)&G5?KY?SkD}OX~8tc0(DrL@$DfK&nQbSvl;Ua`?~rK{5hA zFCObifg3?uW*v$j{Bk5 z!#%=mJ0TV!QTCA6s_q4}WLZkTPz9t!!7TY_Fkl{4RXyObgvqHCT{eZA-%$ip4V~}_ z6ZOXut`sHs!aBj1-#SKe@rA{dwJ`)U1YJF-%cRlp!6l&`ffB@c5}3Z!CQqB8AE%r) zC>^eB2}5sF?XA}Ws}Vh?>!|z0S(ilh(lrOadfbq`MHHfB|u+{0;Q<^9Q7-#?RKxV-&{_9benTO=8b z`SoRDZD!$W*)7_ku0cp4o4e9Sz?hEe>PMWc0eMDGb$M=gqK>}4cp0L_TArAH4hlZt z@j}kl=r$dJs=vBHu}!mK0JBgz-tz05*RZn67OdL}zc;~@{}0C|_>q`|QeTcA2Mh}E z;v(gZNX|C1h)?@-YDgA*-wvg(;+1LSfQa(IH1D45KPEZreEE-ZGHah9d89(Vb5-m# zr4N{2vDUdLIu{6qSqy$t(X|=8s3_9LCfCMB^n?`0%;c{^73u#~7mk`RWOYL`*vOv0 zS=6<>1{ux%lr-bO30NWS%)|+o3%$>vm26m3@E*xU;K%GJGBhY5TbWB>O z(E2zBI9ev}J~{8bIWi>yWN|Rp()Z$iwT|3B{UqETI$Dap=oaIaDFwYQm()EO6de$( z={aV`J|@{Dpn)qhNdgPO&VI@>TMH^+yV^^|(Ss3|AQ8AaAf%E{h!aXiHCeOT#Bb~D ztpIA)l*5Ce9h8ze5n@Au?=9cm)!shptVo%x4v8tNP!HRstokgI(!>xa$tbgv{JiH( z{3y)f;e}7!-vasmFj&aw_;3LuOUQ27k`YEOcka43dK7IqGOrW2{CB)`&C)csRQrd? zD1lLz@u>)V_Ks{)Hz*iEXWZ)g=drYhUTVb4RzF=ku17vDm~+|q zA?lzxvr3dbuRWXI2~ZFA*c3}p7Hq5cMZqKMST@{a$G%eX#uzjZ<=!9-9S+5fS6*i{ zGNgfku4l_bNz1o@Le%bJozK!CQt2CVgizh-*BXye9~IG@zX&zhOAcL8l!lIlQsoE9 zi!!vMf_Qw%i*H8FGo8b;cqIx!06ue}$*?bdMvKpLgk^L_v!AaV94SZZgRFzJiEu^i zh1AqgEe=@SBbQbd$p#Eme?`65oOZk=HZI@JmyDlgtQLM4NscG?m1SJ1vt9V4t`pRj zbwlgw5u6<>y?IHvr&Ef$NVvS;4rQ<63t3A}Jye?-d3R+0SSml)m47)ao7OwHQu08- zo{60^A)Yv(5qbARSyrITf<%FXwLt`eBRkjO%HK%1%=#D=^~ z5ro?T)OsOj9SLA|xJ+rjmmhdjTCZc)M2$m12>tL@f$M7lLx~c|fl@Hmd=F!Il?0pm zc)~gD{WZX5IWfyp0Gefn9nFg`|BNhbFOTdxxQr~QUvGz6BOgf8Crg+>{jprdLk*Y5 z3SKyw1L!mI%RM(V7 zlE5&fO%plY>}dCAMWbRe;VY+jBD6eLL~i`?|4QV`?*rLz9{05T&^Rw6DuBY%JsbBZ(LVIpT-5UjRB!iaKDY;RM#5w57EBqsuFm8cTG9G zyAOUVmF}|9s4*&!kzKFxUdyCG7aX$YdlRZv&z?F-WdK8dRGTj&Xo;WY}LcA+47}XZLdZ$x=mfPd9JU$Zx#&&l3>b_N$2z^T! zrU7aihVb6(KPhJr_W=Z%HZg$ehoAPRyvsS+rAT9jJFAD!_+xzl*2T}@UP)G$7l=s9 zOfhR3o7UQvR`MEbEl@cZiZZ>h$G70YnmGr_41wN^40Rudb4hzVTYT}C9lF2!+MHgm zwHpjIg0LcsTx+K_0(d+QNBFm(#a_(4YU?5AD#@ZC3zv$Y!~U4)z0%lu)|u@1D!;;o z6K^`r)vMs7`b6bOF?KQy8|4`>k%^Nt_ZkiD?X(DKz9Jl+p{JUT-=8^hhb%*3!5u+k6TX!Br33n5nwSR$pV2EA z#?8zpkcCdl5NFm7kFKAKPq4#q1`|Hzn@~ipLqONkAeDAyV*w~~Kyh=Z#FtC>q;nd( zGlZh_vpW6fY&WWVJuUC?i}cV(bPi@Iz2KU}p`qAm1m?Y>=N&}h*jmj3sxW3HACuBb zO;H*Wm$oxq)fo$%H@yh%;uH-#Ip&ACp&9qXU`&$!&F12Q$qb5VV?8+c+lbYY^U*+~ zr6+wD){Gn~*kHeYepIEf@3{`{^L5A70ZgLfSe+|}nz30=q^W3Wb~jF`2nm!JBh0$n z{i`xD;j~Ig$6QMC`ch!IrUNrrjEpTLTvQaBA|eLiY^ep8Tg-$VBKMD0+PvdAFyj2? z<0C!ITnEVc5fOF3DtQj4AaAm2>!lh+QB~-VCNez@BMT(VFhWb8f?CN<8VR^sJX~CzZA~rx z3xc3*vJbo;PuMDl(Zo1?FAw9l~q*kSg)VAdLoQR@i&bI^3JI*%K3$rfup) z;h7EQWPO2^85qoWLoJiZ&86OVIvvo>9M~A#ob~nxqc8T#A-^T|jsgc|EJRm1UAXHv zcPm&)Y6&5L49xY;`_qMz$jNM#bdZIBkG3H?yv}sQn(l~Fi#O#=guz3_F501V5vzG# z$*5aT?9x0PT-#xn+q!r3e|4H++(AbEGBB z4YbrdS>K*tYKaeM;Z1zm*SYTx{jvi+>uF>nWE3rbm6XZpe7vdGGwg}$vBL=@9M%)z z8HjP(>LUW;J?5!=z{icnaemmOi8hW<0cvS$RY3O38cyGjr48rZogqq6MVwe#$SS;3W=?43bTLW>VqZY}8Q2V=?*aNX=LHby0WyXEA4Uha=y zKs!*W41)5wo=pzGB>Hqyd@wYPrsRsz0I(@!*r52MrFU=pEyU$m<5d+Rmgz@*2!?@1 zHVb;gz4oFvjE0+vzm(J?_ZVvYQc0cOXy&+7N z#RV8Ni6-uP&Nh~a4wI!TPUeK5PWfr+SnOj$nfl@|a(pjD2jwxV@tJh0M6D9acgq;v z(d=yuYIz&nPZolOpwsB!Stoid{qTqpy`RI@AkPN>(Q@KDv^$Xu2sx zxjcuq4(~%x$dxZY@GtSedCNm>Z-hiM?2HS^N)O@! z`=FXu0yQx_Sh%21@>|>E_>G_X^6RmsVX%CVDk1&d$SXEamWUYGZ@=+sQi6(-(<`%D zGrK4^=K_vUan7?b-q7TLe`LXHJp!5G{d0eByco`JFaILwzeywefEqWA!4l#Yq;>?(vUI|#H;k`ef> zw>mF4y0U!8HY5)0>^iM0t|2GidfS_nQFCw=b^0dMf6{aGLwvS5KQXJ2Kleb_gJnY&BroPGnS z6CPiJXaC&$5h4q=!*z?xWZGH`uH*A}1i$HexuFUNfw!EB2I=ykM70&OpCeZVjqPDk z8?4`I-UNeCqnElp_}Wk7H^P_ejVR&8vwjfhRy?yO+Vl9toaF=Y40;u*5um+fw_u4% z(u4&0Bd(0$IN=j$WvNROl9x?u$vJh;B2hqUv(mtU93s4?vA1X~nJ(AGw(=Yc$ARnR zJG{-gIhAWz&FS|jkuN-sTc4^K_n+p87;ZySqt&XdPOh`&b+z1Tf-xcgihFh;8DB?8 zev8QY$#z?#;k|4iKCVN(v;dK>(lryh-hBWdDw#T8_ZXc}`4=lA@ta`;VuxZm43s}r zeI?uLK8b{Gs#An7LmoBf&J9P7H~Xa2orv9)Il*v0Xtk26uJth7FlH3RsP&-5=tct0 zd!(p@YqP=Dv!tdsX<@{$B8#!{ya}@kjes5-6F@)U9i2Xq4~BP#6XE^7uAPnMeRmpz zG^au{;;_F_4c#ABoYZ8oeot0U`B(TvGg$aKMo#afn_<0Bj0<=;u*}P6Al&DwAEBWK zUn2Tx`5={omcB;p6DJhhizn0*3;LdUkCOhHE>4bxpqx7I8DWCLn5NHOnFL6(EoK>sshznIe2bCU4o6HI9- z6zS%E7G=o8NtIP%rt8>lzV?&n%@iGWl_TBzEYoWd(L>qLkJ6!2Z-=cqUCvhgOF!}G z^LI?Tb5Mw1Y$9J%EIkNmzKTF$w2t4jg9WS08eYj$TWe3fI0n`ykT{^ju1Lb8!xTf; z!D_a(Q3kLav%?32W{B>-`R?;(?pCfLvCMiwDm5_xM%cP@;Cq;mg+Cey8}?j#FzjK& z?B69+-?r=5BJ8VHWZWJhop6jw+p#TJ zmZDzwRu*n~qNANdA8OnQ$m=z0%NK}5Ju8=HJDZ#n=VMs2w>>>tR7aj^ERz`s`5e2O z_?~RO5)q{*EiIzbY|g=+sb|Hjp89HN_&~g8=ZtqblOY1>f#Rn3Cy*lO@K&vUYw^zd za-!;Vsv~CqJM)E}^Dv#a@*5gGU7w;cMB~S1^o1);=2w$-35a^-%fz?2xHAk$9 z_I*tQ28WLQASxU!il+~C9cFmY2~kOP5)GW?w0r$1_bc+8qp|B+@sXbDYczR+4pCz! zg`kn}#JGrkpmAh+^xn-<&y@9f|I)chz$+Y*WLJZ$x+#!zkigzDAcaxS10hk!Jvv|yAL;8831=Q7G0DqX|2|6_8-kU_ zYesHQ76`!MxT)0h!sQ-@>_DW|+GN|$?KPGhPO@2jI$r~}H**ao-<_n+jVU~#cBC;s~_2Ju^8t}-sKE`G9zBY%cVxWPbmc>5Jz zgL%e_sh-r)xq^pseJF0Eb6v>gJ9eyn;VV}E(=n@N2T$`NaZV=!jKpzH2uXfweTNr* zl!*Ry{K?7VBtOFKW7Cx4g7rPi7t;Nu7tLYn5l{SA?(KyQ63%jshLSWqYn%qipe9|r zvUBym7W)B=iNSPVS1w{s$AupnnAm?^*bMT8ghm8%3KICAeLs@R!mAqbeTFwcpRPsf z^mlWYyWGFqY?F+z1;ml^5L305kJvi_mRBlPFwPsL1la_*f0&9hl`FRrKy7LfKpq*;*`cY%&$GfttiFiV5s%#Q-{icX`aIsr*YhiJ`8|i!Pz8eHI)F&*4qqPLPA$3$P7Z}MVHKhhDoNT*ihwQ_%j zb#p|qU-b>Z=qC5t0>aqwRtWd#&_wGf{=!s!y`(=>xfCa5ynrJdgrvOpJbwU3IRU5W zL**lbQdEISNGU-! z?X_kc1{yv!C>E!N?7aocnO7V5y%pzL{b}W4R_unxt7DFNq@G$wCC1G8aZaaw28O+h ztC*RCg@iy7wukfA0!fOGt`9{;G)Fjw^~aR6CR*AZt~UJAT9Nx3%0>qr+Eb$7ivD+e zE!bD|K6wJ%ZP-agdiC0!zE8IK+O+P@FwC`WH;(Kid8)FhtTTHP&MU4JT3at;P4T|% zO73q~!u6o(xd<;dTD7nFSCbgUbvHa%FVB@6+O|qM*Bd-fY-ejttdzOKYpg`y++9Au z^0w-ib@%Roq~3N+bRNd+Rv6T;tlKurL7q`K4PGN@d~EM`Q$D->V0FYSMI5sx1tpJC zDmmoHQSdA$EXzUKUUxk$qi_d~oU|8&M`FT&M-QQ{mNj_Qr%|2rHsAj4sFLo`oiz|w z-jt^)*9{?`*Lb))&BCb8SKpueto+7vg)81mn``7j?0blB7K^f7W9s*UF`X=pWDC3C z!E*yM%2>)U!Eg3tPvUNum6o=7fYoz?1#vZEqy?w*-9nxSuy!o%q0$K!D&Kn3>n3>9 ziu)HV5M7rWAme%KyO^>}gPD99Ze@{w9A69OW!k3m;gaatiT6g5&I^C$Ve!rKkqZel^_+V8gEv(Y>UGwd&O^JPj2)FE1@+MiUM-!2?YnC zR5Y5S^etb2S`nDi*jBs?17jYStv2OeoX^!|&J(*}q7;PrJuu=GVf>cx##JSo`xRJ3 zroPZ8@p1*byoG!C`Nu_G9WnDTG~c46l>^YidWtU&H(LwY*+(-muyqZV-@(B=vNoB# z9S#DzBTaP=4J5MOsp5>s6t9fKV?yP>HPGH1*LKA0wBPF9v6o9T=?!R`W^*)p{h)O_ zTySLL_2NT{UvXbllO(-3zQA*cO%?z6XdEaM$d_cGVly7>Ok>WPs+1lw5TuU04@y<` zdRe`xfaB0>L%=tWHi9}?#{5jhr-Wm&`n1AJ!hEpUezRd)W{J8Q?fr=N$=vjgP|9Z| zVW)pn1CfKRz7|7I$zI25zw-g!C+&Re5G3HB;r4QNJBrO@lwPxoZGGwy0Jm-i$#E3QhC73+^nEH`kef8Dm-E6ifs=0HQv$G$27 zJ3H}@s+zN?hB0$E=dm>t6K?sN(g1$R{ow`ZfU9sQBH6^n>J&G1!f0I2?FaeCMpIzaKgoomVhy998-}LgeO<0*3>0g- zW`6bl0)f%UVo^lPuMmrps_Xu2C|D|uRv?fE_mpE*F`b}!f+tp)^EF)%x`4_*VHSlr zj+?Lf-qJJXExq$*^~9gNWAN=vY4V~gMAJ+=Jv_7$9t*-)E$V(}Gl~WosX~jhR*(fz zUxfKaUJLX4%Ho&uejV0|Q_JU~)>^{K)Q(FxiT=2Ov_&;I##Q^ns{8chtWIxX&%5>9 zl-yjOs2ESgvXO%2_rHx*i@qEcsf!aoON``SeUa7`!YL%T@&e)yv1N8NE4v6~=lp%W zhgZ=!nkh#H;emk#oFKg6nT`B}?c1!g`O_8G-OVAE50;pt&$?z|IakfL8Z#HdRaXfZ z;P-biS&G56u7^B%=mVAjlW-|VII5j#ps(P z?cQ}5p8K+o-QUT}Q(g!vM5(0}8{k)Fv9^pEvSLc@7C>b#{jy2}>T*7ft?CC@G#Hnv zsOC_D@eh;JY!a=CXP){iH+o?U4@Dfh1zGeOo^dWIgDP$1^P8WIRgPz#Eq#TaYo5m$ z>PV2X$EC&;evwY^b=S0k!-ED%=2<0)8kub|e6Elms701jin8i^G6(rQBOPIBRmxdN{{eV-V)%JS8px;_K zLop-Wz3;p)l<-*33;YsWGX4s2%?tFwfE(i!$4MNtv~ePBb<-ms{@4 zfl?GzLAjs@WiMeY%nQIKZihfiFzQSbWuI?!QEbr25d9TZ8Y`NF{cZQVSvJnXt~AU_ zH3d((Kq&GYMuUCM7TIO<@qON9Kq4gzRc@WX9f!x949vWf|1K%@dOces)}*j@0?D}Q ze72NIBQIH9>d%7U61Yrgs$#LPfDBsZ4wx=WpSk%wl z6nAV5xKR?@ecDfsz|Bs=EP_44D6AWeHs>VGhPJ#(IYCWL!$>J{nexTXKl{&Pp(4yz zJ+0<90eNxkP$FKIwUya+AB{dhN+cP~$Js=$EQPK@RsA^lRKegjIdIF5nlL zbUZt?LLA-6eG1rmU3i{lu5ZRM`H&L2K&q0 zExld)Qd36Kj5`qN;$&1VP@r$mNWE-l{!kOi7^ZfgmEfZw-BMNOYU50cHP)dU{&rf4 z$N$j?&QE)91gF&3%PMUPr!$!!k z1c64Dk36keQtc@|C$8azZZNjI)QhVS3eskl*(mv6I8#%EJ6zv?6$p2PuSbZ^|Go8_ z^3T?gx-a6fSHtnb-H9O(a_~I+O;UP&A!iGBfSb$SSvU65u^6 zsWT2UQ%7+>acZg`V)w2BJr;#D*`ZAum@===7sSiBLzmSNGL04hF^#FDGdfTZm}24( zJLfCztVB0anGx*p_cvZ2Jnt`?1nzzFzUJ^ z#mgDA0m7tBXLX)heem&oPWrm>;93=;ym}wF)5@`JG})-ExnP69(`6l8`FueLBA8Ix zIme83xav`aoOmg|YV!eZcW{0>pG>32IIBfS^xTU<<-?an_pn;)XtrJI^BM9!6MiD3 zwkkg1excO6qCd-KuhMYWJBuy3@n)5R0|-P&l_@1dTbo9|xE> zE8PYu`yhv3ds=ht5Utl4#mCs%O-8$4M^oF<_>W$`InQ-txrLPa#Ms_vFJB)OVMsPU z-a`;cu4XUS04Mqaiili-n_9UY7BcHa-abn1QSaEzU&w4ZDwSm+lqWGCcqahT6r zf=40*J_bZ>pGI4Yvdr<2%$4nJ$tteoePPpxf>bWrZp`*2S*n=Wza7Q;4*mLFTKmu1 zTjzslVz*RzRW(LN7wkJIGp}T3e!=BW)$=k;7!n#Xkcg8q3Lc7^7;&6AxRWOc9y7uu z5)D;TKUVg**T#qwQ#TQ(rrHm#n2&DEF+~r9q)>bH>m?~blf>R5n!AxtAUBnm4(XH|7G;kB2j_4nm%qU^QDJGgs;Hz5UHoo)`f_9YuVpl^@o+ax7fgok(XHH!c+k#i1Rdd7}uhiNHGF2~!4 zOTf8~%u3ieOx$MgqPv5>T4zBf{RO~)8+M@6?~1;Xgsw{%SUqOEXMk~Z{_uV>q1OH zVl$`s@ZyvkxN2NqTbx}^xAm%Ijrm%!e3(e2ALPu6nBq0I`MGd4oyRDufyE4&QzFM_ zbyOwOqnFG=CbK&cfUik(8qSW+_BEL3d{N?C?3UCKYhCQLg|tQ52~Mxua};E>@`{?K zdq_3}8XRfxzaop9Y=c3bg2=i_1*@DsFBm3f8?!ZvG11m5YOqFttzLjOw>uq^W}7Fe zV|E6-cUbze>)D*AUwVLicfKHA^7tx|s&ln5$LOl}ae+%;rQx6;jZJ{IBL`!8%xhJQ zwnsB)h$4t}TS7ZxdeN+bk`jZOrnCTP7K3TjSC;+Ss;Q{**I4{Kk|W6FLia}F{|j4e zxfhP9asnq@~)vn)eb`Ag;fA8N^I{a zbHSAh3h7)8s|r{xY`viZ4<_TmrIo$S%_mnb2P!@nHc|*%nL7&y2`z2-WF6UdMA(;x zu;LJ+)aDKLDpwX|jQUN${}oM6x1O#AUwWR-E7cIC$o0U{?aF9_Sx61XH8}tQT+2)+ zW=!WTN>0)g{6p}k0#fqY{Vj-BTw>VYsHaXi?S7ypqT{2$RWmkx57N0;tv`;Q)K;-6nkW3p?vWip#4svw$h zu-pz-x5^K7DCXOIn^nmFisl>;h*ZkRq45eS9qj|puD>i}q}jajxQiVTp+IKD|IPl_ z*(LIV!Bb2Rt+nrM159=lA-1W^HmS~0); u?!Wx`{eEx$47}|yf1T}pfByw#`|ZOc70s*)6JGZ32gHSCgsKGe{Qo}%KMj2V literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_3.png" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/report/src/1_3.png" new file mode 100644 index 0000000000000000000000000000000000000000..37eff2ecd21f348f759d9ca276f0c6645457f64d GIT binary patch literal 18662 zcmcG#Wl&^6v?d5mBaK62jk~)$jk~+MyEN|ZH15zy;qLBEV66jn>WHxn^CF>iKu zBlbsC=Bdg&l{ar@);-_%od^XvaRgXwSTHa!1W5@IB``4X)6aSm%$LviIfZwN&jQ?8 zNn8l5Y7+P4)A(vGC@TmCRvQQRW(fIde{+z~bOr-M?Em)yAGR+s`TUT`MO4E@*vZt; z#nRr6P{q>L6pWdXiHn&yL#!Y|%vjQhHDj4AWjUrq(3nHS_(}@B5VMr{%NHpI z=ygZarwu;2ulp@Lkz-px-$#-)YZjt`&)JBz6uh44iC%)ML}5D z2PW*;1slHq_H+1PV9(p}+FM#$Ho$NH(ExJ)c4Eh3RQ{jwhX(Ah9sIMD{<4qrX}6L7 z?E2rCw^OcI@NI7*ID+o6{X=n1M*AjcFpj`hH@Gt6gN3a*iF@Ae(|6MCJt(sW2fXPm zy#m(eV?I@Xq==^l+)Y20uN~5>Ha>H<6z@(3Q*p*JiYwJMUE|w^?-vNiSLL%k@a-u2 zvIKjE3b_Slqh~6c2c7&H20{Ga&17*6=2Qhhr^{i_T^ape_M!f6QZ% zCt?dS%~$^*%PcU>E=)5r&K8XXxiP|C`r3em+|VzVc|A%dmI-{ffD=FI8rh)?TKqca zK*y6nJ9uD(m_kMIIp@n!uRSm8?@9VdwSaVTy*wn>xrr+ z@GteC-S>&yU;R7H1LB>~#r)ZNxyefUJ5LNJYZY}wZNh$plMJV_iMe24dIj?@Ly@Qi z>m5E%6OI(50QjKm({PeZ4x3dc-aI+{8fEvh%jT;=bfep-%4uGYnovPEAK8oyY(kk> zxvCJ2$s9x(U^)~fMh-oCu;T{A?9|aC|tDkQ*<|bhRN3T_)+7MN8=G zMIkza>hiinB6FhZD85*==6XD!Pa%i9-f22GyWoqGe8o?9A)JNxc6GvXG`e?w5bjMC zj4t-(Cg^+UJzYPEx$yLe4DR1FdzeRT#DCJkp}l zX*#$LVj!d}ZraO$4mn~pdb1!n!snK$U}o5pY}F&#YXj^n;vSxVILDrM?;ewE;YVYP zer#d)HH5qSc7wk0Wuos}X(Jn&IB#}i`wc}B4AkO$ zb7S!jEsY2A4B1Q>60WkIY3_fej-9;^%IkSyT}a6qUWbGO*6hEaS&`;18Fmo_TU$Qn_9H zMibrTdXfuv`^-UH-L*h1oP>d|9Lj>r=VjG#f=j7}Prs@l>f-JhC6>3_A+29%sv>Ly zC+*|G^=G4!E)AxCzOMc4>+D2dAS8N`c~aSQPtEDBx;H%A87P9JcreiRoobs{M(2*0 z|Ni6Q42k5fGzFrVK66Q593Q1(Z=ahh%bo{dtW)XO~`q}s>z_e!8x^Ji> zc6vDyY|wb&LF(N;zeR`@(9F9G+$)m1zX+_kZH!sxo%KZp#(^{m@XelHJl~gme4sS8 zcr(ki)Jw6@%ard57tfS6?*VgliHtO6i-&`H7RNJUOPldcZ8J&mKpf>q}b4rHJCVy-%`3%zqPCmx^Fg=o5Tj6o)7+yaeJ?8t%eoVT| zO}EvgW!COxH)bU;7jh{tLy@hOr$m%~?AYE%-U9|O#rn-0CP04KhB5Z0Ir?5TgFhIExp?X zB$u_#%T;$lll?mk)zXEIy~YzsP1O0nlNmbZNY=~C*wS}(`n}|?DYV3{X@?{Sy!Y$l7z!i+2 zp7)owU)4qReWnv!IUuX$VkN7C8l$}$co`MK5KH9TZC4YEjnV-9B;%T{#B5~Rkq+?p zDq1rEcZmgaT7P550L7@`UH$%fT|wA*{O^@G8+F;Dim85Aef{1NbCtR8+V*?kx<~+7 zY234BlgBJzbsVI$d@)U(>gLZSw*8*)ysOBq=F3e@KO4RCeqjRyI)p}S=lb@kTx|hE z*}0j$p5^jDp#w=v0e3+W_o864tzlL6eUr!Yv%X%ZK4P>EAzQvHPLQM!;!wk-B;x9c zDUDi(J(?_K z2iFgyxJzA(kctXSewXAq`F$!P64?9I-l{_-az|uWMOH8Iydm$NI~b7?7MEoA_aMaa zsY|SPlvGr?LwJlDfOLq0l^b>LmfNIHR!2o6mPD+ms4%`1HBBW_m=fJKF=_j4dAo&r z!22f%-?OtbJ3TOXdl^}F<)F|Ek@PbDdt1P29&aRc%B{3VN9V8O1jaXxX58Z`=-X0Svv{zh^a z(}@OmJ9nwPwp6vSg_|OwPQM+zhLV=jjjt5qbi7NN_c-kj@+hh~aZ5QH?;nK+{AR(H z{ThuV@m=AOzL1t}aiDoA{^ZiH6?K6~M4{w-DvPg0Fp0th=)xN>aUi1_ghpa+MnOky z#SFI!#*Aruh$*VvRwvl+doTr(Sw9jrD);#95{hFf*~oLMo%)5AL&Y*;8qsFz9FGHb z6UHK`O{sbr^MwnhEv&pr=_ev0XhJyg_d?TuD?eRDPgcp<{?t5SvqZ!TugkYM=|bb) z`^AjnI;99fH{CYR9&-&wfQ@G=ZYb)(Fjx~bRRJnTe11}sGF@G`BZ}2WdOHuJo0OE) z1T-=xnwv;P@HKz}sA+bwf5vo@|E;lL?w8f5R=+AX-{ABbcif>UNU>{@kDj7M>4VQn zF5T^d8JCuz#$~hVNtL!#OixCuiV$1e3M1%H;iF2Z==pPQn0S%h0BBVYRMysJqE}0C z>!3V!JZvd-Rde5LY|*(*q9oytxVo@KP!-RTRoHkGi}t~+{?SY2n{(4qBSZ+Od7$%J z#jYiVe7X{g_xV{)<&lncXEYzouU}EbHE^UFUI?=!2pyoM56d9e?aDuLb0+xRcYQY@ z?Y=`M+zQL3+@po#Phz*KidNwjwkcq#gUdySSeqfz?CBuUFW?wS|1ne3+sT!z(D-0= zTu1r&Ug_sEPx>ji3p1Xn&t5VLI7MLY!YLQeaeRG&yK3Lf1W)XieC6))0}ivx^G|hW zHB(0bZ^IbjiyVJ{*b6&Q@yfeZ1=QGiyf1#DDj{8nQ&sXr+s5ydAO3j60)+-H57lk_HR16WZG4-|u zQ;}h{T@6#4>+b>B7iFxMw+A&Y{A=rtQ-1^@I&j+sA!|9_+-&$Mnk%)Wzood@g7Tsi ztB=`z#AAN&T+R{Yv^BO`o-kn3@p|KQP~Til@W`*rA2?sU0$&g>jf=yKIUDC>cM;3v z@WK*itv7MGf2&31173}iPi|V#;NpmcUwEw4`C6Tg?sD20RCHFpKG%Tf9D@6URFnL$ z5sqhFCd;M&BfMk&?-3mNzXS+s zMMF*aDMo$&A~$z+gaNUF_OrWtw|DR&$0W|MOPUmmlVHge@e|`%sDQuitwC8fbQr8~ zOARm8iSev~#+utlTfI2uOV^w%YUV9b;f}3A)BEwJh?sxaY&GL~soR?9))lTr8du%2%9f9$u z6Wv2$iT*W0vF|C>E6jesJyH{|)bO8%MKM1|vZ!Ci<%Bs`fhlAx6pm5GlE8J%y0$9f z`yK>7<0P`YN)UL0Ab6kS=_(_XSbLr}`|>_u?Ig9=2e;QJ?Sy`gSH}921Uach0u4hx zOxBBFMO5}h-eGBJ_Jqr^=HT^Ike}RuE(#n@&92k+K}@c1Z&><5i`F^gWOUEhncxx3m9IRaez0MxuaZpk~Ih?)SdUwuJU zGQtpH&=78X9ntWl*9D4{zAqBuEa7npJ+*5w+I7gEa8aDo=S2``O$`B2^CEFJH@dR~ z`7*yBVL&5rvFL1AC+)Ruft6w)YKS$CZmN`b`c?7tarLiBI>b*6OZb{Y2eYYKG(78I zTYm-1-z_RyPR;bb%{2{?GDx#gY>%wM^`eOda%hXy+~r~(#5`!!_NTsX1iBJ0yv}!V zQN$9;OI5zE% zsX+wS0R0vQICuT4BY|Mn4g{_<%DRIHY93v>7y{Dpal&@qu89E{=8j?Y0X zR4E+qXu@zI2JHEzBvFE*l;K@SArk?L7=Lf_pSY?l&B?m+;j>th?AW6FSV+w64bbvW z3dC46grBH?pFjFPV)@*gjg*UjSie{_t^5|8_=W2}Am2gR)KCNQX~oG%~Y`KJEn8hc7!oyO=k&5>FC z=0(NFozF{BMSE$tf1}?`U@(6Ti`=M-9G|1{TJaGcrN;Q%9zOr5{>fG4S6{k5-!g(| znIV_U13l<@WcZ*IW#uWBK>N6|jX)I;;hl*w_Xl2N&G9WoV5(?HMAdX4WoSdb<^Jd< zS6qvu$~hXp|M1P5Xj@9nTZK$9O|dzD>WzD&FfV>i2HNieH1*Iy!F2G=jU?8WM?}1n zd(cV0<_Sa#eBy|GJs}8LMY!YFZU5Ek_%>F}q2mkavMPXjrK00JWHR63%{k6 zhxKtiYrf%SE~VzXT(nlzZ{M5XlmaEXLuxPvPqZ^US(-(Z-oHA& zhCl)f&A)5ehgQ;fVEgG|wl;8EloEF9JX)J=?2#=9Mwt#f8Rl_Iqoa(^u#m4gG{CQ8 z$llqU)j=+g=&FagPp2`mrECS9%0?%#Vll$Atk}Apgk#07INZf?3AcK1Ono$3UeyYN zLI#p&QEtv|IQxDPusf9`s`*~Cb$81FxBSF@;dP%ZpWLy~S=OCtC_Z;>xmB*VSx>A4 zQ=@Yu%=egwftt9W2Z7#-b_cH{8t67$MNL z!|FuX3jnN?kc*EWJD06n7*9usp?Wv3uYD_jj!Kf3_D3Nq^u{^z&pO|8;Xsuc+!ArQ z$lm>+zX1O~Nk(NYQkU|GOhB9Q_kK7E?TCDPJzfuv%E{UC<{YgHedmFS+7$QDEdq{P zs*D#X-b##hTB42N_c}jZ7uN%;Zgbm=?j}h_4eu>{h$Wcqm zcpM~TI*0|D$>>@txxORoH>3SmDB>OJOQx7=R6Lo48GHk;VK+x>fky zcRff@>i2B2p)~HqMXeuQv~5pMcMY%}oG>#EE=z<9?H#NqnqiClmWSED-vB9-*7CU1 zJPv=aJY`~6KkQF7NuZ7;zpG7Zwp640F(w2Dm2)i0^GwSO;R!U`t;-Ae{dj)Z;e}_A z=k%cs;Jq?^yHF8l4i+*x=XEML+VO@nJdL)9$fKz z>Fv7nHOBbM^JrikIrdnvD>6pER6vLzW!O@=%D90quOVRj(PXm1x35f4SN^qTGPn_^ zt2XTW8>Z|!#>?%6B;!PYwHhK*1?;QuY(l|Goei_6ZRg{X+kTAlQ5qYXGo-T4q!9yRa+(a&2`18E1nNMEP=`?JP4@Y`t5COkZ(vqku`mY7nh+Nv zIk^#KmsXN(Wy~=YkqiDnG zU$1tZdxX|>UYHnw_>efPW6liZ(mrd{$XvJUsGPQ%Y-tRr!UgOH?Y4*IMDbLH>P)Wn z`v=~1sc>g~G)bza`mW6REd{_#2<6i$Hi(p|e5oORW1+Mo+_zg)eX<&lu8qrWbyqjW zC2{4b{-j7@XaTO*NY70e;&wVHUnntrN7v77qp1-IGD0N{3NXzlCd(QcS@n8Ry>!|9 z^FGX*D=Ex08|3RHy(()m=X@-IM+qteje1^?BQISqV1GN!(6l0Qm-UdEzA+v5OKWx6 zE7AtA@A-Cg*q52U!+;(7G~K@L^wH3`c8JT5NLeM#1_lmDg4Vr>7LM=Ik&3&R3R3zh zxCoO2)T>!LdS4YRN<9o4%fuuQ#tUp zDnuO{KK*77aEAGTZJ+PNC6WJFso~o>ZPUl#7I-!M-f8zB(u^-B)(vZ50HgbP&Q`;I*;7J(us> zSay{9$4bZB8bOd%Z-%f`{$%Qv2v^+A)Ot_qU*r3J#t0xfPRvDLp#DTQs6 zrHW&)kv~!04$QcVm@MYOR%AE^P5)9LN}L?{1&ymN1i6VN3Jso$hJ!cXIEzp(n6ZaI z32Uh79=Al+$C{eIER--|3jR@o=afrpdob3zul#_vm!`LPxYOaJud*|Sf9RBE!On5L zE4OVEB{5KW%jZ0>9PH;)^jZ{qt7AO9KgeGRqw zpv}tepmS3g0)GH*b(=4LsmHhelt_egb)X;0}SJGC8zBW zF=&Mjv%(PTe*VxKmjjG@^=e}l{m3g>Y5r(dLi8?jim_lQn=euOoZAG)cbR;RdQkD+ zJxcfvyLHx&x81|7IJ95cSMlVg)U;&i&_#K?aKIlAe@JA~r`Q~$DJc*V!-=SJRkYX? zFoKe3g>KOSg)rYyCW6FWE*{jedln`j{}yFDl9aRQQByIH%9Y>+eQ_vNSTu1_6no#k zML1m#%rmw!uUHwF9T2rlO+c!Me&Gn9#g)_)UEcbpjmi7wYc?`zTpfv1%33k%Tt|Xa z#o0(2bDXgmDpBY!13O^ipq2Hgfc*#Da%FGNT76?zm^Kw$&MHQ@5KxMQWUI}!?v{3x z;B48^tNloKzO}0@fU2=Ht3=T2RCkB71g}Glv4{dLX;hkD{O^0Cv3wn=Ps0Vdws!RqUG*G3_=qD7D~ZGQ zqoeUYo_g4!i=CXf^+Y<=TQQaa8ZR=L$!VQ1qNT0Aiex7iL09Ci7lO3wUhKY+ z`~0Xe9G$-qB$JKt$FOSTru0~kv`(rEOdy)zb0*K4a>(Uc6mgp#h}a-yGKU~uv z$ry3-$PdnfDEi~7yzxo1i*t6(M@z5phBoeKi4*8|;5~tvchGD!4BW zOW}T?s%$M++>nH5X3zqw8JnjfS1ws4S0BP;WsEBdTVTZ7)--AKR>{WNn(UT4_P(75 z@VqtuWlwJQHk^O(yCdqKO0m6XQz)OZjD?L*NrD2*i-ZKL^cU@!$zOb|qW-kF7aJXf zy~>8I5~3}>(wo^T0cb$RRim!3KD+G5cOEFWS&>9`q9{Q=J@23OuHIs?W(j}>zEsBe zAk&aiYl@ofR~EhNyH|mQS+NuZ+7F|%=9t-=SCT8dwIt6|0wBpC7&?A^7@-Wl-wpB{ zz1)@wBkBKDtQG3k&M^7uuKa96zp#pyzdDz7j-DX^8!y2Oir4ZL&vT$;w_ahttv~%k z8@eh}idA5-Oq?X;cuOVu1c}zfFg~vCdeJyjYDG_3vSO5y{)XAdi!O$nFfUN>m?F%_ znc^o*d?^kfVss--ZhBJ`E=t?j2!Hw)WNs2IYK>Cn631>)Oi)MrK!<7o(+& z)b-x|IV?&@>B7W1ttSF=d|~U(#+UjCO8~wP6JmripZvkjM(hfNAx}Sq;>n z5qnHcbs<%L5_BPO8D22Dqy*RSgoITVOO#N?f2ng|Y;O?gMpj)nvV9Q^UM+NAG}p56 z=hjaYvUhivTVwpIX^nv;ml_J$WE*`I2IT}K{ER^;6xX#s%583&j^t+Rs6K*GoGI4+IQm#sn~ayl@kg7jfIKy!!!jn zH36Tm2zN&^xg}L?dYZP@dVjUIxi+bR!yp+A-}yax>y|stj8e7`)>0v~f%0)Pn>Vyn zR^FZg3CX!Kqj(f}j=v%Djp9w%$;9WYUiPoO-5`oaRy_`BH@D^wJHCsU#+tt39mBkM z21!-aecm?Yw6Noh7Wc=;8y8pRIaKt*5dIG58^h2@xZRpMilsokKVnS~&`7|-?rc5N z-BR81ZoYV_%Yel%;Wz##`Ylof+1(5Y?i&kY1`_*Auov?iFHHmd9-ytL2mx^e9#<6ovZpr(>Od_hMSq zHUk8{J@;BI!8xn2@#;5~vmKo3Muu_-(w+02Sx1}*G1MNY^`Tt;?Ip*V9wX4~ zI)1h(z4!?_wwsfbvLb+!g;o6AETe_k<+fcQJU_@OX6;7p-4A7XURONIy(^87tEi2o z5oa3Mqm^6rao0D=wx&-1VGEqa(|!uo`7kAY2iEqx&1W_%d{rG^9vGNa=`}Q}0C{Q= zTocVK>}Mih(;6;6^`Q#&iZlC6Iv0~#Ov&uY`VIWJWoyl5+hz+dD!>U+xvHC`nBrOE z{Z66FS2rlYig}Nk{q=8A->h9#kh#yz!7VU%>YnNQP?j#M@nl&zdv%QE7pohOo(*eB zBs4sb9l>ZKzCam?Xgo2T@et?H#fFfS&+K)fN&oDl^pMtR-&=+0%+pqu7 z@~{65V4oG~(qxAi_ItXZkb@NvQ^NjZ{YA$P0?fZk+!!eKFznrJww7<$6sgBd12+w| zL@{CE2XC8q*0%nM!xJ#zaeD-!i+{A5gI;uBIUpNe29u0d2_QcGd*iy4c<-6k4kNwT z@@+T2cPC}AN7%m_e}3g32XTFh`dMiswIAmg^gcW+sEs2~FANoE_jNd89bRe|?h>=~ zmxG>h%x>5_4k^A-Jdh0dX3p`>y4*4=96;9mYw^H2SC=b_!D7}X2mq9?Y=>&rwNcGhaJSDQ(*&U&z9LWwZl+S3-Kw!znF z7C=Gru<7@qzE?)auX*Nn`i7Ze|CYKyohNa>&I!G?;h*xNC-sNP3i^VH)k)9@8xu}*-5t#x3d>{5pR#Rgm_I;OMVWTZHcL-BW$Kxh9t2m42!hDFAsrFCemmnm)Wj1LFvlWVo4$tv`U*g$q ztsXWLCn&rzsxGwvbmnTW$_O0t(Or=++nZ!;kKwI@VpK;IUp$AT@Yv3n-AS~VN z%PxB9nZ7g{9U16aN+T9!MaX2GMLAa2^ zPsQ)G2{KgU-*|QUFsPw5tO)4+wdsA}9GjlsnU1e32`fbv>DG2j2UgA>xSF@%Lx0}L zSg{D~9kQvqNXCKrie7PLmL#ZS4P+l@Wkh00=~0_&NMV@g@rG#Pig3&St&Mo20@pFjB8cF3Stx@c=t7@xK5FOgcoug~9AAH^2 zYA=Iq<-S=T6Sviiz%4JJS)g#~_=TJ^AL3^(yl_;YSR2ps3CV25kq2y1jW(jcu@aSB zTDxuY2>O6OI^R^)0HrIRBy>l$VD!0d1S{{jr(hxY;%x@I3g$TH2e8AoongJr`)N}E z9P{dcy`)MhbuD|}6ZhY&rKlZbE*j6jRO^%kwm5TW`wA3Of~o1LMUsfIr>r+&A4D1< zi*0nMfc?T@u@A`B=}`>oEeFL(2%ooV14XbMN`u$$GlvYQk9m^X)-M^C_CB3XM|5=F z4%8AKx;mJ|`&XIsSp3f9DtNnmX;qfvBQcLmhO+QK?KnA%xRM1%xLt`RDYUQLk31V+ zt`5V}K4U0iL9{wtPX;3j`|#h=B`|qR3KA{Fx9pAXs*e*byC7B?1VU(<$VjS% zde`HPwfgF8qRKbR>Gz+rNDZfyv3cw~wA+eIbIXfs?e+rNdbTe??`LZ7*ZPlVsp);i zf&q>~vdTEA0T58pxW_woYuTE~c$j#eKsBK*+HarxjK+Fa4Q=0S}+m-#kfdDBh;0LLz1 zx^O@fc&4~=tIiAGEV1{~;;{d{(7wF2{cidl%p!oEa*MH|Tg7>wzDSed^Zx;vPQ{$m zdE2t7G2-n78Y2H7yrxkgx)bY!@8LWxnA~`U3E-WMy|kY+6oNSvl1h1tT=+k7d9T+m zGqJ*k>?l4{qGykgeC`@)80tV$&Xe__tJl-cM7kt!0v28QE-Ih2_d_L0Z^_l$F|gFz z`uFnuOJn4?)4JbK>}g70jdmtU!oaXVk`)T2Smoe_#*D)Iaf-)aZC*YGBpBf zs+9EQ;@}lVidy`Mpl9t+j+sT1h4(^4-;1jGLW#mW-nV3qU)}9-^rSvHt-#@<7v-eh({Obu5YjEVV6<;E2-kPMd*QpRdN~9&Our{W;*mUTE8#OmK5^Twq*aZDG z+>7A(xun=G@lC&#trd5lWqNsUwYBfHW3!sg2^5p zG`?82DY_rRcqD(Bi=MvBtq*-4oc6i+)|JiF2T?b}BlnGe9xCC=PqV0AZv2 zXWbgas`33yCQ&s$u==E6<Y`9n zot63jC11iAuMCc>($e==ICB%zK14G0hH z7#c%fH0 zdi6bt@t#)#pmN6rjNFO`o?jnu(#hk>oi=@|de+@HMco~(cL{IsVAEU;id~}W<<&CV zTbbj2*QyH>Gw4gcsUvHs|5zSU-12dll5t)-_XY_CQgUk6zm17p88#xBM*f;+FgFE< z=_*zt^*14gxVu|r2wOyDYUT`DTt<##8LI;YfoUOcNiw%zCo+qwQ$(ZytznSzj|-tT z-y=rlpk{s%y`ppoX*p;od5QO7{yzMnM?cz4GDbFuJgh4j!(IMZ(=ig>poN>7@{i)# zNXDFbsC)m|-WHH^uhuYISQBc3NhoEpl+G26nz(3rFf<{=j=jV#^h`}9=Og((Zz#cX z6}&G^`H$aOW?kt|0(6D5cvY^x0jwv2?1yBt8(gmr`PvWVa&IoO$1Xovnfk;S6NBt; z)*Rl(NEFWuPCuB9-*)x8^_x9rB29B;HodcT1n#hJ_7LvWyzA{=k;*Y%|MEY6UBctA zHi>&_n>cNEeJ90HoG%+q9Ije!uvwS|H%`g@djt>MIRde$RX&y{%8CqIk4Nb0I}@w@ z-EaVi_@e$>t(04^0fraynwuY4wMdP{B9?Ja=1!w(;mXUu%WT^lu^d9_TYn2H1PilM zrV2&Ni$-*R%iTzAIQx~Q@j@NhaASxNZ2YI|D+-EG2S*AL=da zUO{P5@7IyGV!b+YS*GfjTrXL#>11#u)W3|bUvVw{sVjzo=wQ$)>n>DW6KgoKLfq{BS&h4#$%Zvde!DmEO@;|Xft}JC-V6-=Is4YmFuN%M z{Yh((TqwvS;49Cpmy5lk@ba`eWmI0N-#zKVRkLC-f2v!S7~a@6MH-zHF6Rl@>Klr- zRUH*?$|&#{7-$C#$hD)A>_xWLlY%5>m6-Lt?g)GIicHZAOF~4ERMkgHQe?GqPo&pN z;~wp~SD)>`ewxonbUEfnj1L6=SM0%lscO7xB>3<*sx zp=l$~JmJJdbyIVR;s0CeK;Kbk)TQMXjLl<);*$=%d>#EA^W;=QuDn#4V_T@yq~dZK zH?H=zG&(67U5MM0u#h)%HmeVd&;k->NFr$p{`EiF)irSm!6pW&zicJ(&}HcZOZJ?YSX@02I1CRMjS{*dP-k-@sroqw>Z zQbn6TD~_RZ+Y(~Yop;e4EW%czjId^u`U8ec^VJuK>H*#sC$oGjC|l)E^t;e*XZDB* zP>9>)-$2+NI*pT7#NrzAetdJdhtV>LQ@nDlSNZklGWI<=JN@778bz4BRMoP1Tbf^| zap;>o=sF=9a$BAG-SK5Cc(VVCh-NB;I-JjqMxQNDl_|3Xc)Y+-mDO4^Qt!(M4d%iu zODgyYF4LwqG?YBD7NgUqQYF23n5+H=zAWVcbEsgmSQnNvjqMtoSDms__@5wi5UUM} z6o3<$6l}q3qo1+_n;}MWr?#M@pin|P5(2RT9Tl*pIhei`5M z6Ox&-mBzqh1OdFbRETqms4tAjw{H zhWDE_g6^u-=3mx5z&)Io6193O5{{1-d8h^By%4|ZE3JC-waw}BHFKBhwr-!Z8{UO^ z?LnJ-Gvz~h-1#}jdk&UR4qaD7Fc(BwzKN(BbtFIwQQsoPxfWJ=FXYN>KCcQF*0@7uo^YH!^gKXgaSX_?)5jC;zF zJ5N~cI7;616$NWrS`ZhR1%J?LXvM0EMATPBm4C}tOn}46?}g9zAwrJ+4VpiYx}99$ z*CL^{P199SL)7X|GjnC6P440(r^bx89;!4`il7(CB9wyjNThOXD^v-#_$7Wka512u zOQeQP?u$ZOTBYh6<#`>M&prN;V>X)v!4u0rqJFnPlFeZg8~+VrsP2wlk6zutM_2(t z;DKt0&FqGiDqKY8wu6?vfs#-AeyBzT&3|&&>THeE6aEg5)#~@t?=Hj*GOnk|i)@hf z&&aWH`Xug$;qrPr9q;SHl%X@TlgrD+t;*ugS`4*kR$f}xTL6|&%X^W`!@_^yt^hr0 z!=~ZjA0+Judi+jj5jKpfnRpo2C25v6XBQjIxpdX+@4X+t%6*?1v=^G0sm;DuSDNx5 zT@6yTJS@d#rjI>EfP<|{tFK+*gv$CJA>u-)XCH2DQmR=feu1Hsdpujhc1C$K?b(wx z=XnguHnWi7`IMOPZ-3vi&9hCHyQKCeUHR&QzRE~-t=D^l8TK`V4cR>OFnmMiyLzyk zFEX^Ei$c{+SZ(tbG=$A*Re>n4zRYi2<&E^!&ro+0PL@Kx?dw5;dTGrEGVD}<6?^*3 z9Zu05anYQ5G(NoKYCndVF~1seWq^K$mUXYjNqM=s6tZsV080=;DPSv+#J?t ziMnsc)GmU+P(sJ5XCS&{C7M_|axypxWwfd>+s&@I;m0vz;Giq z-B(MV**M{O{sfyZZLkD+)&F$5&-#DLCw%_FeLtc8n`>lR(<{^KW?&cK1!l)Jy@`+*T>2-w zeSBD^$Ubz=`DVs#${2e1wx29F4#ry9%piUKus`CT2yc=@62BJLtCx*N;q>$$qV^2v zVr^4eti4iLbuP21cYaT=?{Tu+~$MWUW_6bh5$fn)Tp-$D=JocZnW0m=M`!t^WC4!EX zxW_3zG;N*XsmP8tyWWaw`qb-=Bf5KqaSH5zO;;nhZ(}l6dFpQ7_UD)2|6A-ws|vdNAmiu&_C!9%muY)?H?NGA~~?@rD)a2lFpsAR9sf>F8}BGZ zt-Pnin6kNSxr=Uo082|B#zB$>rr@hcHC^ETkJl(#Tb%Z|bB5FtBanGrQS1GbI3Hl_ zX#Sg|YJnpI4c4oN z<1xU?@HCO!j7%m?XJH7mexmhE*mH>DH$i^4MI(NnkZiX6{|AY;QkP83{~+;pm-_UJ z0wbkD3L912UldR|4(9JBvXp2qMPSz5iUzBn(A2@)19-Cmm6g0=4HUG|76!;#qX%fT z+>H={4f&zcs8A1CfjPf=*Iw#fZwNroDF)pf;fJ6P>w&JMaSTErK8N}L7qqiplc&mK z{1f?%B#Zz3&l_8E0Jw%v;ls)P_1PC6t6Pz=|5|aK6|LaG)sxYm&Nr;&Qi0M0E(8$V zRk9r2XnWr1o26#vlVDg}o`avj;M17@FQFXGGr@jC5yiGA zVE?(ELzfRfco+NK&hPyLheBBfXW!1q$l0OLxHBzhw!9zN zuO75Fy0ou2N3_N_Lqsi2c1WPOW64Fzt}}vnk3{5`FDX70n6+l=z^)RRkR4O4=VqleJ-_ zos{mLWo=#OeO=-%Rba~Ziz)8@udQ|w=n1H}X7x?Xgk{pR`?V9lx$R3_w>pmh(zj_# zj)$0Ry>HEQaPwsC z4@;$~S;n)SniX|c>y^YDjXb`|_;1#yS5L%4ot8=z%rPi^o$h^M`>*+CPn(WS{>leg z3aIU8O?YuSL!ldG9` zP8B3-uCy@VeHwYfeORVdS!>k+n`U4 zQv?62O=wltT+Q*@I`MT=`~CAgH!I(S7Aj9|Gp+P1d(zTJ%xby=|A1#d%vN&?LSJ*{ zv$aQK;g)SZIWM+6^f@oNC9hVqtyWW3x_QA2ABAB4gOiUQH5Kq#`t46|qGijaikHo+ zb~;>?i#L*awt0{L# zE(fUPth(3q@>^`egQ+vv!_WETbUU6dxU_lMWT8Jc%eKtg5zp9w0u0Rb@lZ&Muvu8CKFj6`aawobLkRjNmkf~m}LR4p3e<+RXX{{UF)FY4CjrJ zr{$`gvTq2s?TbpAu}LD!cxe*Pr46ncQy)DE7IIR)u}^2cs7bHev$d;sE?AJ(9r^CG zMB29{FLnKZ%d?b}RWkA|uQVtBG*@xSQe=77m$FMIdDof6z$wM2FD8kH?qN;3bo=x? z*25a-(;I4y`7dcXZ2b5@@K=}jPya`+qQdeyszfLGzcc4N9OM4@qVZZcp3B7>l1%He zxR@{4nBChUUSIXPFSU63nVDbz9#UoRm%sn;@RQ^7?1a{y`vq)VUJ#p=!FEpE{rpP5 zJ-RE`DXH5my;|Yhrt;oC(QU<~o9Ee1@qK)szed~R=&P2N8plgfF%z_PxrA){C)Hk9 z!L;^xgQ{0omSUIsSr2Lbo8f;G!ea~N^rkvI3R+|05~TGz#wAkk!KwCA%fv}tHfz>N z9e0`VVW-OPfUj#Kx3;cN7rzzH_w`ELD$ZZdA#<9&k65T3Y5jTWkoBWqotdKfjj8u6 zgg{5h)Vn87f!za*HJ`vO7@?`H*dcmWSG6{b%x-1abcC? zA?3?J74LVa@X7g32R64P-skq_V3j}l#q#{zNegU^d(Hm}rk}X}#D)=dflBohCBI!& zDc$uMdM{LgEj)K%3$N+cgj?2&fA;rx#Vzw{t&y4Ra7oK2V(qcxj{kov*nW}OEy&sw zu0AED{KN5S`O04Vo^8Kr&vU|@;R7FN8z*R^<|Wm&pskqi_@% literal 0 HcmV?d00001 diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/RegAllocFast.cpp" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/RegAllocFast.cpp" new file mode 100644 index 0000000..11a162d --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/RegAllocFast.cpp" @@ -0,0 +1,1215 @@ +//===- RegAllocFast.cpp - A fast register allocator for debug code --------===// +// +// The LLVM Compiler Infrastructure +// +// This file is distributed under the University of Illinois Open Source +// License. See LICENSE.TXT for details. +// +//===----------------------------------------------------------------------===// +// +/// \file This register allocator allocates registers to a basic block at a +/// time, attempting to keep values in registers and reusing registers as +/// appropriate. +// +//===----------------------------------------------------------------------===// + +#include "llvm/ADT/ArrayRef.h" +#include "llvm/ADT/DenseMap.h" +#include "llvm/ADT/IndexedMap.h" +#include "llvm/ADT/SmallSet.h" +#include "llvm/ADT/SmallVector.h" +#include "llvm/ADT/SparseSet.h" +#include "llvm/ADT/Statistic.h" +#include "llvm/CodeGen/MachineBasicBlock.h" +#include "llvm/CodeGen/MachineFrameInfo.h" +#include "llvm/CodeGen/MachineFunction.h" +#include "llvm/CodeGen/MachineFunctionPass.h" +#include "llvm/CodeGen/MachineInstr.h" +#include "llvm/CodeGen/MachineInstrBuilder.h" +#include "llvm/CodeGen/MachineOperand.h" +#include "llvm/CodeGen/MachineRegisterInfo.h" +#include "llvm/CodeGen/RegAllocRegistry.h" +#include "llvm/CodeGen/RegisterClassInfo.h" +#include "llvm/CodeGen/TargetInstrInfo.h" +#include "llvm/CodeGen/TargetOpcodes.h" +#include "llvm/CodeGen/TargetRegisterInfo.h" +#include "llvm/CodeGen/TargetSubtargetInfo.h" +#include "llvm/IR/DebugLoc.h" +#include "llvm/IR/Metadata.h" +#include "llvm/MC/MCInstrDesc.h" +#include "llvm/MC/MCRegisterInfo.h" +#include "llvm/Pass.h" +#include "llvm/Support/Casting.h" +#include "llvm/Support/Compiler.h" +#include "llvm/Support/Debug.h" +#include "llvm/Support/ErrorHandling.h" +#include "llvm/Support/raw_ostream.h" +#include +#include +#include + +using namespace llvm; + +#define DEBUG_TYPE "regalloc" + +STATISTIC(NumStores, "Number of stores added"); +STATISTIC(NumLoads , "Number of loads added"); +STATISTIC(NumCoalesced, "Number of copies coalesced"); + +static RegisterRegAlloc + fastRegAlloc("fast", "fast register allocator", createFastRegisterAllocator); + +namespace { + + class RegAllocFast : public MachineFunctionPass { + public: + static char ID; + + RegAllocFast() : MachineFunctionPass(ID), StackSlotForVirtReg(-1) {} + + private: + MachineFrameInfo *MFI; + MachineRegisterInfo *MRI; + const TargetRegisterInfo *TRI; + const TargetInstrInfo *TII; + RegisterClassInfo RegClassInfo; + + /// Basic block currently being allocated. + MachineBasicBlock *MBB; + + //https://en.wikipedia.org/wiki/Register_renaming, about virtual registers + + /// Maps virtual regs to the frame index where these values are spilled. + IndexedMap StackSlotForVirtReg; + + /// Everything we know about a live virtual register. + struct LiveReg { + MachineInstr *LastUse = nullptr; ///< Last instr to use reg. + unsigned VirtReg; ///< Virtual register number. + MCPhysReg PhysReg = 0; ///< Currently held here. + unsigned short LastOpNum = 0; ///< OpNum on LastUse. + bool Dirty = false; ///< Register needs spill. + + explicit LiveReg(unsigned VirtReg) : VirtReg(VirtReg) {} + + unsigned getSparseSetIndex() const { + return TargetRegisterInfo::virtReg2Index(VirtReg); + } + }; + + using LiveRegMap = SparseSet; + /// This map contains entries for each virtual register that is currently + /// available in a physical register. + LiveRegMap LiveVirtRegs; + + DenseMap> LiveDbgValueMap; + + /// State of a physical register. + enum RegState { + /// A disabled register is not available for allocation, but an alias may + /// be in use. A register can only be moved out of the disabled state if + /// all aliases are disabled. + //the "disabled" in "disabled register" means disabled for allocation(in use by other variables) + //the "disabled" in "aliases are disabled" means no aliases anymore, thus it can be free + regDisabled, + + /// A free register is not currently in use and can be allocated + /// immediately without checking aliases. + regFree, + + /// A reserved register has been assigned explicitly (e.g., setting up a + /// call parameter), and it remains reserved until it is used. + regReserved + + /// A register state may also be a virtual register number, indication + /// that the physical register is currently allocated to a virtual + /// register. In that case, LiveVirtRegs contains the inverse mapping. + }; + + /// Maps each physical register to a RegState enum or a virtual register. + std::vector PhysRegState; + + SmallVector VirtDead; + SmallVector Coalesced; + + using RegUnitSet = SparseSet>; + /// Set of register units that are used in the current instruction, and so + /// cannot be allocated. + //seems this is important + RegUnitSet UsedInInstr; + + void setPhysRegState(MCPhysReg PhysReg, unsigned NewState); + + /// Mark a physreg as used in this instruction. + void markRegUsedInInstr(MCPhysReg PhysReg) { + for (MCRegUnitIterator Units(PhysReg, TRI); Units.isValid(); ++Units) + UsedInInstr.insert(*Units); + } + + /// Check if a physreg or any of its aliases are used in this instruction. + bool isRegUsedInInstr(MCPhysReg PhysReg) const { + for (MCRegUnitIterator Units(PhysReg, TRI); Units.isValid(); ++Units) + if (UsedInInstr.count(*Units)) + return true; + return false; + } + + enum : unsigned { + spillClean = 50, + spillDirty = 100, + spillImpossible = ~0u + }; + + public: + StringRef getPassName() const override { return "Fast Register Allocator"; } + + void getAnalysisUsage(AnalysisUsage &AU) const override { + AU.setPreservesCFG(); + MachineFunctionPass::getAnalysisUsage(AU); + } + + MachineFunctionProperties getRequiredProperties() const override { + return MachineFunctionProperties().set( + MachineFunctionProperties::Property::NoPHIs); + } + + MachineFunctionProperties getSetProperties() const override { + return MachineFunctionProperties().set( + MachineFunctionProperties::Property::NoVRegs); + } + + private: + bool runOnMachineFunction(MachineFunction &MF) override; + + void allocateBasicBlock(MachineBasicBlock &MBB); + void allocateInstruction(MachineInstr &MI); + void handleDebugValue(MachineInstr &MI); + void handleThroughOperands(MachineInstr &MI, + SmallVectorImpl &VirtDead); + bool isLastUseOfLocalReg(const MachineOperand &MO) const; + + void addKillFlag(const LiveReg &LRI); + void killVirtReg(LiveReg &LR); + void killVirtReg(unsigned VirtReg); + void spillVirtReg(MachineBasicBlock::iterator MI, LiveReg &LR); + void spillVirtReg(MachineBasicBlock::iterator MI, unsigned VirtReg); + + void usePhysReg(MachineOperand &MO); + void definePhysReg(MachineBasicBlock::iterator MI, MCPhysReg PhysReg, + RegState NewState); + unsigned calcSpillCost(MCPhysReg PhysReg) const; + void assignVirtToPhysReg(LiveReg &, MCPhysReg PhysReg); + + LiveRegMap::iterator findLiveVirtReg(unsigned VirtReg) { + return LiveVirtRegs.find(TargetRegisterInfo::virtReg2Index(VirtReg)); + } + + LiveRegMap::const_iterator findLiveVirtReg(unsigned VirtReg) const { + return LiveVirtRegs.find(TargetRegisterInfo::virtReg2Index(VirtReg)); + } + + void allocVirtReg(MachineInstr &MI, LiveReg &LR, unsigned Hint); + MCPhysReg defineVirtReg(MachineInstr &MI, unsigned OpNum, unsigned VirtReg, + unsigned Hint); + LiveReg &reloadVirtReg(MachineInstr &MI, unsigned OpNum, unsigned VirtReg, + unsigned Hint); + void spillAll(MachineBasicBlock::iterator MI); + bool setPhysReg(MachineInstr &MI, MachineOperand &MO, MCPhysReg PhysReg); + + int getStackSpaceFor(unsigned VirtReg); + void spill(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg AssignedReg, bool Kill); + void reload(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg PhysReg); + + void dumpState(); + }; + +} // end anonymous namespace + +char RegAllocFast::ID = 0; + +INITIALIZE_PASS(RegAllocFast, "regallocfast", "Fast Register Allocator", false, + false) + +void RegAllocFast::setPhysRegState(MCPhysReg PhysReg, unsigned NewState) { + PhysRegState[PhysReg] = NewState; +} + +/// This allocates space for the specified virtual register to be held on the +/// stack. +int RegAllocFast::getStackSpaceFor(unsigned VirtReg) { + // Find the location Reg would belong... + int SS = StackSlotForVirtReg[VirtReg]; + // Already has space allocated? + if (SS != -1) + return SS; + + // Allocate a new stack object for this spill location... + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + unsigned Size = TRI->getSpillSize(RC); + unsigned Align = TRI->getSpillAlignment(RC); + int FrameIdx = MFI->CreateSpillStackObject(Size, Align); + + // Assign the slot. + StackSlotForVirtReg[VirtReg] = FrameIdx; + return FrameIdx; +} + +/// Insert spill instruction for \p AssignedReg before \p Before. Update +/// DBG_VALUEs with \p VirtReg operands with the stack slot. +void RegAllocFast::spill(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg AssignedReg, bool Kill) { + LLVM_DEBUG(dbgs() << "Spilling " << printReg(VirtReg, TRI) + << " in " << printReg(AssignedReg, TRI)); + //first prepare the memory location for spill + int FI = getStackSpaceFor(VirtReg); + LLVM_DEBUG(dbgs() << " to stack slot #" << FI << '\n'); + + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + TII->storeRegToStackSlot(*MBB, Before, AssignedReg, Kill, FI, &RC, TRI); + ++NumStores; + + // If this register is used by DBG_VALUE then insert new DBG_VALUE to + // identify spilled location as the place to find corresponding variable's + // value. + SmallVectorImpl &LRIDbgValues = LiveDbgValueMap[VirtReg]; + for (MachineInstr *DBG : LRIDbgValues) { + MachineInstr *NewDV = buildDbgValueForSpill(*MBB, Before, *DBG, FI); + assert(NewDV->getParent() == MBB && "dangling parent pointer"); + (void)NewDV; + LLVM_DEBUG(dbgs() << "Inserting debug info due to spill:\n" << *NewDV); + } + // Now this register is spilled there is should not be any DBG_VALUE + // pointing to this register because they are all pointing to spilled value + // now. + LRIDbgValues.clear(); +} + +/// Insert reload instruction for \p PhysReg before \p Before. +//seems the reverse of spill +void RegAllocFast::reload(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg PhysReg) { + LLVM_DEBUG(dbgs() << "Reloading " << printReg(VirtReg, TRI) << " into " + << printReg(PhysReg, TRI) << '\n'); + int FI = getStackSpaceFor(VirtReg); + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + TII->loadRegFromStackSlot(*MBB, Before, PhysReg, FI, &RC, TRI); + ++NumLoads; +} + +/// Return true if MO is the only remaining reference to its virtual register, +/// and it is guaranteed to be a block-local register. +bool RegAllocFast::isLastUseOfLocalReg(const MachineOperand &MO) const { + // If the register has ever been spilled or reloaded, we conservatively assume + // it is a global register used in multiple blocks. + if (StackSlotForVirtReg[MO.getReg()] != -1) + return false; + + // Check that the use/def chain has exactly one operand - MO. + MachineRegisterInfo::reg_nodbg_iterator I = MRI->reg_nodbg_begin(MO.getReg()); + if (&*I != &MO) + return false; + return ++I == MRI->reg_nodbg_end(); +} + +/// Set kill flags on last use of a virtual register. +void RegAllocFast::addKillFlag(const LiveReg &LR) { + if (!LR.LastUse) return; + MachineOperand &MO = LR.LastUse->getOperand(LR.LastOpNum); + if (MO.isUse() && !LR.LastUse->isRegTiedToDefOperand(LR.LastOpNum)) { + if (MO.getReg() == LR.PhysReg) + MO.setIsKill(); + // else, don't do anything we are problably redefining a + // subreg of this register and given we don't track which + // lanes are actually dead, we cannot insert a kill flag here. + // Otherwise we may end up in a situation like this: + // ... = (MO) physreg:sub1, implicit killed physreg + // ... <== Here we would allow later pass to reuse physreg:sub1 + // which is potentially wrong. + // LR:sub0 = ... + // ... = LR.sub1 <== This is going to use physreg:sub1 + } +} + +/// Mark virtreg as no longer available. +//mark the corresponding physical register as free +void RegAllocFast::killVirtReg(LiveReg &LR) { + addKillFlag(LR); + assert(PhysRegState[LR.PhysReg] == LR.VirtReg && + "Broken RegState mapping"); + setPhysRegState(LR.PhysReg, regFree); + LR.PhysReg = 0; +} + +/// Mark virtreg as no longer available. +void RegAllocFast::killVirtReg(unsigned VirtReg) { + assert(TargetRegisterInfo::isVirtualRegister(VirtReg) && + "killVirtReg needs a virtual register"); + LiveRegMap::iterator LRI = findLiveVirtReg(VirtReg); + if (LRI != LiveVirtRegs.end() && LRI->PhysReg) + killVirtReg(*LRI); +} + +/// This method spills the value specified by VirtReg into the corresponding +/// stack slot if needed. +void RegAllocFast::spillVirtReg(MachineBasicBlock::iterator MI, + unsigned VirtReg) { + assert(TargetRegisterInfo::isVirtualRegister(VirtReg) && + "Spilling a physical register is illegal!"); + LiveRegMap::iterator LRI = findLiveVirtReg(VirtReg); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Spilling unmapped virtual register"); + spillVirtReg(MI, *LRI); +} + +/// Do the actual work of spilling. +void RegAllocFast::spillVirtReg(MachineBasicBlock::iterator MI, LiveReg &LR) { + assert(PhysRegState[LR.PhysReg] == LR.VirtReg && "Broken RegState mapping"); + + if (LR.Dirty) { + // If this physreg is used by the instruction, we want to kill it on the + // instruction, not on the spill. + bool SpillKill = MachineBasicBlock::iterator(LR.LastUse) != MI; + LR.Dirty = false; + + spill(MI, LR.VirtReg, LR.PhysReg, SpillKill); + + if (SpillKill) + LR.LastUse = nullptr; // Don't kill register again + } + killVirtReg(LR); +} + +/// Spill all dirty virtregs without killing them. +void RegAllocFast::spillAll(MachineBasicBlock::iterator MI) { + if (LiveVirtRegs.empty()) + return; + // The LiveRegMap is keyed by an unsigned (the virtreg number), so the order + // of spilling here is deterministic, if arbitrary. + for (LiveReg &LR : LiveVirtRegs) { + if (!LR.PhysReg) + continue; + spillVirtReg(MI, LR); + } + LiveVirtRegs.clear(); +} + +/// Handle the direct use of a physical register. Check that the register is +/// not used by a virtreg. Kill the physreg, marking it free. This may add +/// implicit kills to MO->getParent() and invalidate MO. +void RegAllocFast::usePhysReg(MachineOperand &MO) { + // Ignore undef uses. + if (MO.isUndef()) + return; + + unsigned PhysReg = MO.getReg(); + assert(TargetRegisterInfo::isPhysicalRegister(PhysReg) && + "Bad usePhysReg operand"); + + markRegUsedInInstr(PhysReg); + switch (PhysRegState[PhysReg]) { + case regDisabled: + break; + case regReserved: + PhysRegState[PhysReg] = regFree; + LLVM_FALLTHROUGH; + case regFree: + MO.setIsKill(); + return; + default: + // The physreg was allocated to a virtual register. That means the value we + // wanted has been clobbered. + llvm_unreachable("Instruction uses an allocated register"); + } + + // Maybe a superregister is reserved? + for (MCRegAliasIterator AI(PhysReg, TRI, false); AI.isValid(); ++AI) { + MCPhysReg Alias = *AI; + switch (PhysRegState[Alias]) { + case regDisabled: + break; + case regReserved: + // Either PhysReg is a subregister of Alias and we mark the + // whole register as free, or PhysReg is the superregister of + // Alias and we mark all the aliases as disabled before freeing + // PhysReg. + // In the latter case, since PhysReg was disabled, this means that + // its value is defined only by physical sub-registers. This check + // is performed by the assert of the default case in this loop. + // Note: The value of the superregister may only be partial + // defined, that is why regDisabled is a valid state for aliases. + assert((TRI->isSuperRegister(PhysReg, Alias) || + TRI->isSuperRegister(Alias, PhysReg)) && + "Instruction is not using a subregister of a reserved register"); + LLVM_FALLTHROUGH; + case regFree: + if (TRI->isSuperRegister(PhysReg, Alias)) { + // Leave the superregister in the working set. + setPhysRegState(Alias, regFree); + MO.getParent()->addRegisterKilled(Alias, TRI, true); + return; + } + // Some other alias was in the working set - clear it. + setPhysRegState(Alias, regDisabled); + break; + default: + llvm_unreachable("Instruction uses an alias of an allocated register"); + } + } + + // All aliases are disabled, bring register into working set. + setPhysRegState(PhysReg, regFree); + MO.setIsKill(); +} + +/// Mark PhysReg as reserved or free after spilling any virtregs. This is very +/// similar to defineVirtReg except the physreg is reserved instead of +/// allocated. +//seems this is called when a reg is "defined", so old value should be spilled, +//and new state... +void RegAllocFast::definePhysReg(MachineBasicBlock::iterator MI, + MCPhysReg PhysReg, RegState NewState) { + markRegUsedInInstr(PhysReg); + switch (unsigned VirtReg = PhysRegState[PhysReg]) { + case regDisabled: + break; + default: + spillVirtReg(MI, VirtReg); + LLVM_FALLTHROUGH; + case regFree: + case regReserved: + setPhysRegState(PhysReg, NewState); + return; + } + + // This is a disabled register, disable all aliases. + setPhysRegState(PhysReg, NewState); + for (MCRegAliasIterator AI(PhysReg, TRI, false); AI.isValid(); ++AI) { + MCPhysReg Alias = *AI; + switch (unsigned VirtReg = PhysRegState[Alias]) { + case regDisabled: + break; + default: + spillVirtReg(MI, VirtReg); + LLVM_FALLTHROUGH; + case regFree: + case regReserved: + setPhysRegState(Alias, regDisabled); + if (TRI->isSuperRegister(PhysReg, Alias)) + return; + break; + } + } +} + +/// Return the cost of spilling clearing out PhysReg and aliases so it is free +/// for allocation. Returns 0 when PhysReg is free or disabled with all aliases +/// disabled - it can be allocated directly. +/// \returns spillImpossible when PhysReg or an alias can't be spilled. +//we need to understand this +unsigned RegAllocFast::calcSpillCost(MCPhysReg PhysReg) const { + //used in current instruction means impossible to spill + if (isRegUsedInInstr(PhysReg)) { + LLVM_DEBUG(dbgs() << printReg(PhysReg, TRI) + << " is already used in instr.\n"); + return spillImpossible; + } + //so what means a register is disabled? + //register is not disbled + //process the corresponding virtual register + switch (unsigned VirtReg = PhysRegState[PhysReg]) { + case regDisabled: + //this is handled below + break; + case regFree: + return 0; + case regReserved: + LLVM_DEBUG(dbgs() << printReg(VirtReg, TRI) << " corresponding " + << printReg(PhysReg, TRI) << " is reserved already.\n"); + return spillImpossible; + default: { + //find the virtual register itself, and check it's status + LiveRegMap::const_iterator LRI = findLiveVirtReg(VirtReg); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Missing VirtReg entry"); + //spillDirty: 100, spillClean: 50 + return LRI->Dirty ? spillDirty : spillClean; + } + } + + // This is a disabled register, add up cost of aliases. + //the cost is much bigger than not disbled registers + LLVM_DEBUG(dbgs() << printReg(PhysReg, TRI) << " is disabled.\n"); + unsigned Cost = 0; + //MCRegAliasIterator enumerates all registers aliasing Reg. + //What is (physical) register aliasing?? + //seems same as in class lecture notes + for (MCRegAliasIterator AI(PhysReg, TRI, false); AI.isValid(); ++AI) { + MCPhysReg Alias = *AI; + switch (unsigned VirtReg = PhysRegState[Alias]) { + case regDisabled: + //seems a disabled alias means good thing + break; + case regFree: + //cost increased slightly + ++Cost; + break; + case regReserved: + //directly turn case into impossible + return spillImpossible; + default: { + LiveRegMap::const_iterator LRI = findLiveVirtReg(VirtReg); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Missing VirtReg entry"); + Cost += LRI->Dirty ? spillDirty : spillClean; + break; + } + } + } + return Cost; +} + +/// This method updates local state so that we know that PhysReg is the +/// proper container for VirtReg now. The physical register must not be used +/// for anything else when this is called. +void RegAllocFast::assignVirtToPhysReg(LiveReg &LR, MCPhysReg PhysReg) { + unsigned VirtReg = LR.VirtReg; + LLVM_DEBUG(dbgs() << "Assigning " << printReg(VirtReg, TRI) << " to " + << printReg(PhysReg, TRI) << '\n'); + assert(LR.PhysReg == 0 && "Already assigned a physreg"); + assert(PhysReg != 0 && "Trying to assign no register"); + LR.PhysReg = PhysReg; + setPhysRegState(PhysReg, VirtReg); +} + +/// Allocates a physical register for VirtReg. +void RegAllocFast::allocVirtReg(MachineInstr &MI, LiveReg &LR, unsigned Hint) { + const unsigned VirtReg = LR.VirtReg; + + assert(TargetRegisterInfo::isVirtualRegister(VirtReg) && + "Can only allocate virtual registers"); + + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + LLVM_DEBUG(dbgs() << "Search register for " << printReg(VirtReg) + << " in class " << TRI->getRegClassName(&RC) << '\n'); + + // Take hint when possible. + if (TargetRegisterInfo::isPhysicalRegister(Hint) && + MRI->isAllocatable(Hint) && RC.contains(Hint)) { + // Ignore the hint if we would have to spill a dirty register. + unsigned Cost = calcSpillCost(Hint); + if (Cost < spillDirty) { + if (Cost) + definePhysReg(MI, Hint, regFree); + assignVirtToPhysReg(LR, Hint); + return; + } + } + + // First try to find a completely free register. + ArrayRef AllocationOrder = RegClassInfo.getOrder(&RC); + for (MCPhysReg PhysReg : AllocationOrder) { + if (PhysRegState[PhysReg] == regFree && !isRegUsedInInstr(PhysReg)) { + assignVirtToPhysReg(LR, PhysReg); + return; + } + } + + //here is where calcSpillCost is used + MCPhysReg BestReg = 0; + unsigned BestCost = spillImpossible; + for (MCPhysReg PhysReg : AllocationOrder) { + LLVM_DEBUG(dbgs() << "\tRegister: " << printReg(PhysReg, TRI) << ' '); + unsigned Cost = calcSpillCost(PhysReg); + LLVM_DEBUG(dbgs() << "Cost: " << Cost << " BestCost: " << BestCost << '\n'); + // Immediate take a register with cost 0. + if (Cost == 0) { + assignVirtToPhysReg(LR, PhysReg); + return; + } + if (Cost < BestCost) { + BestReg = PhysReg; + BestCost = Cost; + } + } + + if (!BestReg) { + // Nothing we can do: Report an error and keep going with an invalid + // allocation. + if (MI.isInlineAsm()) + MI.emitError("inline assembly requires more registers than available"); + else + MI.emitError("ran out of registers during register allocation"); + definePhysReg(MI, *AllocationOrder.begin(), regFree); + assignVirtToPhysReg(LR, *AllocationOrder.begin()); + return; + } + + definePhysReg(MI, BestReg, regFree); + assignVirtToPhysReg(LR, BestReg); +} + +/// Allocates a register for VirtReg and mark it as dirty. +MCPhysReg RegAllocFast::defineVirtReg(MachineInstr &MI, unsigned OpNum, + unsigned VirtReg, unsigned Hint) { + assert(TargetRegisterInfo::isVirtualRegister(VirtReg) && + "Not a virtual register"); + LiveRegMap::iterator LRI; + bool New; + std::tie(LRI, New) = LiveVirtRegs.insert(LiveReg(VirtReg)); + if (!LRI->PhysReg) { + // If there is no hint, peek at the only use of this register. + if ((!Hint || !TargetRegisterInfo::isPhysicalRegister(Hint)) && + MRI->hasOneNonDBGUse(VirtReg)) { + const MachineInstr &UseMI = *MRI->use_instr_nodbg_begin(VirtReg); + // It's a copy, use the destination register as a hint. + if (UseMI.isCopyLike()) + Hint = UseMI.getOperand(0).getReg(); + } + allocVirtReg(MI, *LRI, Hint); + } else if (LRI->LastUse) { + // Redefining a live register - kill at the last use, unless it is this + // instruction defining VirtReg multiple times. + if (LRI->LastUse != &MI || LRI->LastUse->getOperand(LRI->LastOpNum).isUse()) + addKillFlag(*LRI); + } + assert(LRI->PhysReg && "Register not assigned"); + LRI->LastUse = &MI; + LRI->LastOpNum = OpNum; + LRI->Dirty = true; + markRegUsedInInstr(LRI->PhysReg); + return LRI->PhysReg; +} + +/// Make sure VirtReg is available in a physreg and return it. +RegAllocFast::LiveReg &RegAllocFast::reloadVirtReg(MachineInstr &MI, + //OpNum is to get the corresponding MachineOperand + unsigned OpNum, + unsigned VirtReg, + unsigned Hint) { + assert(TargetRegisterInfo::isVirtualRegister(VirtReg) && + "Not a virtual register"); + LiveRegMap::iterator LRI; + bool New; + std::tie(LRI, New) = LiveVirtRegs.insert(LiveReg(VirtReg)); + MachineOperand &MO = MI.getOperand(OpNum); + //if don't have physreg then allocate one + if (!LRI->PhysReg) { + allocVirtReg(MI, *LRI, Hint); + reload(MI, VirtReg, LRI->PhysReg); + } else if (LRI->Dirty) { + if (isLastUseOfLocalReg(MO)) { + LLVM_DEBUG(dbgs() << "Killing last use: " << MO << '\n'); + if (MO.isUse()) + MO.setIsKill(); + else + MO.setIsDead(); + } else if (MO.isKill()) { + LLVM_DEBUG(dbgs() << "Clearing dubious kill: " << MO << '\n'); + MO.setIsKill(false); + } else if (MO.isDead()) { + LLVM_DEBUG(dbgs() << "Clearing dubious dead: " << MO << '\n'); + MO.setIsDead(false); + } + } else if (MO.isKill()) { + // We must remove kill flags from uses of reloaded registers because the + // register would be killed immediately, and there might be a second use: + // %foo = OR killed %x, %x + // This would cause a second reload of %x into a different register. + LLVM_DEBUG(dbgs() << "Clearing clean kill: " << MO << '\n'); + MO.setIsKill(false); + } else if (MO.isDead()) { + LLVM_DEBUG(dbgs() << "Clearing clean dead: " << MO << '\n'); + MO.setIsDead(false); + } + assert(LRI->PhysReg && "Register not assigned"); + LRI->LastUse = &MI; + LRI->LastOpNum = OpNum; + markRegUsedInInstr(LRI->PhysReg); + return *LRI; +} + +/// Changes operand OpNum in MI the refer the PhysReg, considering subregs. This +/// may invalidate any operand pointers. Return true if the operand kills its +/// register. +bool RegAllocFast::setPhysReg(MachineInstr &MI, MachineOperand &MO, + MCPhysReg PhysReg) { + bool Dead = MO.isDead(); + //no subreg and things are easy + if (!MO.getSubReg()) { + MO.setReg(PhysReg); + MO.setIsRenamable(true); + return MO.isKill() || Dead; + } + + // Handle subregister index. + MO.setReg(PhysReg ? TRI->getSubReg(PhysReg, MO.getSubReg()) : 0); + MO.setIsRenamable(true); + MO.setSubReg(0); + + // A kill flag implies killing the full register. Add corresponding super + // register kill. + if (MO.isKill()) { + MI.addRegisterKilled(PhysReg, TRI, true); + return true; + } + + // A of a sub-register requires an implicit def of the full + // register. + if (MO.isDef() && MO.isUndef()) + MI.addRegisterDefined(PhysReg, TRI); + + return Dead; +} + +// Handles special instruction operand like (early clobbers) and (tied ops when +// there are additional physreg defines). +//this is called only once, right after the first scan +//"additional physreg defines" means inline asm? +void RegAllocFast::handleThroughOperands(MachineInstr &MI, + SmallVectorImpl &VirtDead) { + LLVM_DEBUG(dbgs() << "Scanning for through registers:"); + SmallSet ThroughRegs; + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg()) continue; + unsigned Reg = MO.getReg(); + if (!TargetRegisterInfo::isVirtualRegister(Reg)) + continue; + if (MO.isEarlyClobber() || (MO.isUse() && MO.isTied()) || + (MO.getSubReg() && MI.readsVirtualRegister(Reg))) { + //again find virtual registers with those conditions, put it into array ThroughRegs + if (ThroughRegs.insert(Reg).second) + LLVM_DEBUG(dbgs() << ' ' << printReg(Reg)); + } + } + + //PhysReg + // If any physreg defines collide with preallocated through registers, + // we must spill and reallocate. + LLVM_DEBUG(dbgs() << "\nChecking for physdef collisions.\n"); + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg() || !MO.isDef()) continue; + unsigned Reg = MO.getReg(); + if (!Reg || !TargetRegisterInfo::isPhysicalRegister(Reg)) continue; + //is physreg and define + markRegUsedInInstr(Reg); + for (MCRegAliasIterator AI(Reg, TRI, true); AI.isValid(); ++AI) { + if (ThroughRegs.count(PhysRegState[*AI])) + //so if a big physical register is to be defined, + //then it's aliases which are in special condition(throughRegs) should be spilled + definePhysReg(MI, *AI, regFree); + } + } + + //VirtReg + SmallVector PartialDefs; + LLVM_DEBUG(dbgs() << "Allocating tied uses.\n"); + //this traverse done two things + for (unsigned I = 0, E = MI.getNumOperands(); I != E; ++I) { + MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg()) continue; + unsigned Reg = MO.getReg(); + if (!TargetRegisterInfo::isVirtualRegister(Reg)) continue; + //MO is a virtual register + if (MO.isUse()) { + if (!MO.isTied()) continue; + LLVM_DEBUG(dbgs() << "Operand " << I << "(" << MO + << ") is tied to operand " << MI.findTiedOperandIdx(I) + << ".\n"); + //virt, use, tied + LiveReg &LR = reloadVirtReg(MI, I, Reg, 0); + MCPhysReg PhysReg = LR.PhysReg; + setPhysReg(MI, MO, PhysReg); + // Note: we don't update the def operand yet. That would cause the normal + // def-scan to attempt spilling. + } else if (MO.getSubReg() && MI.readsVirtualRegister(Reg)) { + LLVM_DEBUG(dbgs() << "Partial redefine: " << MO << '\n'); + // Reload the register, but don't assign to the operand just yet. + // That would confuse the later phys-def processing pass. + LiveReg &LR = reloadVirtReg(MI, I, Reg, 0); + PartialDefs.push_back(LR.PhysReg); + } + } + + LLVM_DEBUG(dbgs() << "Allocating early clobbers.\n"); + for (unsigned I = 0, E = MI.getNumOperands(); I != E; ++I) { + const MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg()) continue; + unsigned Reg = MO.getReg(); + if (!TargetRegisterInfo::isVirtualRegister(Reg)) continue; + if (!MO.isEarlyClobber()) + continue; + //virtreg, isearlyclobber + // Note: defineVirtReg may invalidate MO. + MCPhysReg PhysReg = defineVirtReg(MI, I, Reg, 0); + if (setPhysReg(MI, MI.getOperand(I), PhysReg)) + VirtDead.push_back(Reg); + } + + // Restore UsedInInstr to a state usable for allocating normal virtual uses. + UsedInInstr.clear(); + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg() || (MO.isDef() && !MO.isEarlyClobber())) continue; + unsigned Reg = MO.getReg(); + if (!Reg || !TargetRegisterInfo::isPhysicalRegister(Reg)) continue; + LLVM_DEBUG(dbgs() << "\tSetting " << printReg(Reg, TRI) + << " as used in instr\n"); + markRegUsedInInstr(Reg); + } + + // Also mark PartialDefs as used to avoid reallocation. + for (unsigned PartialDef : PartialDefs) + markRegUsedInInstr(PartialDef); +} + +#ifndef NDEBUG +void RegAllocFast::dumpState() { + for (unsigned Reg = 1, E = TRI->getNumRegs(); Reg != E; ++Reg) { + if (PhysRegState[Reg] == regDisabled) continue; + dbgs() << " " << printReg(Reg, TRI); + switch(PhysRegState[Reg]) { + case regFree: + break; + case regReserved: + dbgs() << "*"; + break; + default: { + dbgs() << '=' << printReg(PhysRegState[Reg]); + LiveRegMap::iterator LRI = findLiveVirtReg(PhysRegState[Reg]); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Missing VirtReg entry"); + if (LRI->Dirty) + dbgs() << "*"; + assert(LRI->PhysReg == Reg && "Bad inverse map"); + break; + } + } + } + dbgs() << '\n'; + // Check that LiveVirtRegs is the inverse. + for (LiveRegMap::iterator i = LiveVirtRegs.begin(), + e = LiveVirtRegs.end(); i != e; ++i) { + if (!i->PhysReg) + continue; + assert(TargetRegisterInfo::isVirtualRegister(i->VirtReg) && + "Bad map key"); + assert(TargetRegisterInfo::isPhysicalRegister(i->PhysReg) && + "Bad map value"); + assert(PhysRegState[i->PhysReg] == i->VirtReg && "Bad inverse map"); + } +} +#endif + +//this is run on a single machine instruction +void RegAllocFast::allocateInstruction(MachineInstr &MI) { + //Returns the target instruction descriptor of this MachineInstr. + const MCInstrDesc &MCID = MI.getDesc(); + + // If this is a copy, we may be able to coalesce. + //it's a copy so we can just make two variables in one register, as described in slides + unsigned CopySrcReg = 0; + unsigned CopyDstReg = 0; + unsigned CopySrcSub = 0; + unsigned CopyDstSub = 0; + if (MI.isCopy()) { + CopyDstReg = MI.getOperand(0).getReg(); + CopySrcReg = MI.getOperand(1).getReg(); + CopyDstSub = MI.getOperand(0).getSubReg(); + CopySrcSub = MI.getOperand(1).getSubReg(); + } + + // Track registers used by instruction. + UsedInInstr.clear(); + + // First scan. + // Mark physreg uses and early clobbers as used. + // Find the end of the virtreg operands + //first scan is sort of character determinating and type checking + unsigned VirtOpEnd = 0; + //we need to understand these flags + bool hasTiedOps = false; + bool hasEarlyClobbers = false; + bool hasPartialRedefs = false; + bool hasPhysDefs = false; + //traverse the operands + for (unsigned i = 0, e = MI.getNumOperands(); i != e; ++i) { + MachineOperand &MO = MI.getOperand(i); + // Make sure MRI knows about registers clobbered by regmasks. + if (MO.isRegMask()) { + MRI->addPhysRegsUsedFromRegMask(MO.getRegMask()); + continue; + } + if (!MO.isReg()) continue; + unsigned Reg = MO.getReg(); + if (!Reg) continue; + if (TargetRegisterInfo::isVirtualRegister(Reg)) { + //do these if is virtual register + VirtOpEnd = i+1; + if (MO.isUse()) { + //seems hasTiedOps means "Must be allocated the same register as." + //from source code + hasTiedOps = hasTiedOps || + MCID.getOperandConstraint(i, MCOI::TIED_TO) != -1; + } else { + //the term 'clobbered registers' is used to denote any registers whose value may be overwritten during the course of executing an instruction or procedure, from Wikipedia + ///IsEarlyClobber - True if this MO_Register 'def' operand is written to + ///by the MachineInstr before all input registers are read. This is used to + ///model the GCC inline asm '&' constraint modifier. + //from https://llvm.org/doxygen/MachineOperand_8h_source.html#l00143 + //https://gcc.gnu.org/onlinedocs/gcc/Modifiers.html#Modifiers + //this operand may not lie in a register that is read by the instruction or as part of any memory address + if (MO.isEarlyClobber()) + hasEarlyClobbers = true; + //seems hasPartialRedefs means: is not use(define), has subreg, and is read in the instruction + if (MO.getSubReg() && MI.readsVirtualRegister(Reg)) + hasPartialRedefs = true; + } + continue; + } + //not a virtual register, is a physical one + if (!MRI->isAllocatable(Reg)) continue; + if (MO.isUse()) { + //use of a physical register + usePhysReg(MO); + } else if (MO.isEarlyClobber()) { + definePhysReg(MI, Reg, + (MO.isImplicit() || MO.isDead()) ? regFree : regReserved); + hasEarlyClobbers = true; + } else + //def of a physical register?? + hasPhysDefs = true; + } + + // The instruction may have virtual register operands that must be allocated + // the same register at use-time and def-time: early clobbers and tied + // operands. If there are also physical defs, these registers must avoid + // both physical defs and uses, making them more constrained than normal + // operands. + // Similarly, if there are multiple defs and tied operands, we must make + // sure the same register is allocated to uses and defs. + // We didn't detect inline asm tied operands above, so just make this extra + // pass for all inline asm. + if (MI.isInlineAsm() || hasEarlyClobbers || hasPartialRedefs || + (hasTiedOps && (hasPhysDefs || MCID.getNumDefs() > 1))) { + //this do early allocation of strange variables + handleThroughOperands(MI, VirtDead); + // Don't attempt coalescing when we have funny stuff going on. + CopyDstReg = 0; + // Pretend we have early clobbers so the use operands get marked below. + // This is not necessary for the common case of a single tied use. + hasEarlyClobbers = true; + } + + // Second scan. + // Allocate virtreg uses. + for (unsigned I = 0; I != VirtOpEnd; ++I) { + //traverse the operands + MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg()) continue; + unsigned Reg = MO.getReg(); + if (!TargetRegisterInfo::isVirtualRegister(Reg)) continue; + //deal with virtual registers + if (MO.isUse()) { + //here CopyDstReg(which is the 0th operand) is just a Hint for potentially allocation + //the reload can do many interesting things, including newly allocate a physreg to it + LiveReg &LR = reloadVirtReg(MI, I, Reg, CopyDstReg); + MCPhysReg PhysReg = LR.PhysReg; + // + CopySrcReg = (CopySrcReg == Reg || CopySrcReg == PhysReg) ? PhysReg : 0; + //assign the physreg to MachineOperand: virtreg to physreg + if (setPhysReg(MI, MO, PhysReg)) + killVirtReg(LR); + } + } + + // Track registers defined by instruction - early clobbers and tied uses at + // this point. + UsedInInstr.clear(); + if (hasEarlyClobbers) { + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg()) continue; + unsigned Reg = MO.getReg(); + if (!Reg || !TargetRegisterInfo::isPhysicalRegister(Reg)) continue; + if (!MO.isDef() && !MO.isTied()) continue; + //hasEarlyClobbers, physreg, isDef or isTied + //mark it as used(thus impossible to spill, etc) + markRegUsedInInstr(Reg); + } + } + + //if it's a call then spill all + unsigned DefOpEnd = MI.getNumOperands(); + if (MI.isCall()) { + // Spill all virtregs before a call. This serves one purpose: If an + // exception is thrown, the landing pad is going to expect to find + // registers in their spill slots. + // Note: although this is appealing to just consider all definitions + // as call-clobbered, this is not correct because some of those + // definitions may be used later on and we do not want to reuse + // those for virtual registers in between. + LLVM_DEBUG(dbgs() << " Spilling remaining registers before call.\n"); + spillAll(MI); + } + + // Third scan. + //From new: Mark all physreg defs as used before allocating virtreg defs. + // Allocate defs and collect dead defs. + for (unsigned I = 0; I != DefOpEnd; ++I) { + //again traverse operands + const MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg() || !MO.isDef() || !MO.getReg() || MO.isEarlyClobber()) + continue; + unsigned Reg = MO.getReg(); + //we get registers that are isDef, getReg not null, and isEarlyClobber + if (TargetRegisterInfo::isPhysicalRegister(Reg)) { + if (!MRI->isAllocatable(Reg)) continue; + //seems here deals with physical registers + definePhysReg(MI, Reg, MO.isDead() ? regFree : regReserved); + continue; + } + //From new: We have already dealt with phys regs in the previous scan. + MCPhysReg PhysReg = defineVirtReg(MI, I, Reg, CopySrcReg); + if (setPhysReg(MI, MI.getOperand(I), PhysReg)) { + VirtDead.push_back(Reg); + CopyDstReg = 0; // cancel coalescing; + } else + CopyDstReg = (CopyDstReg == Reg || CopyDstReg == PhysReg) ? PhysReg : 0; + } + + // Kill dead defs after the scan to ensure that multiple defs of the same + // register are allocated identically. We didn't need to do this for uses + // because we are crerating our own kill flags, and they are always at the + // last use. + for (unsigned VirtReg : VirtDead) + //killVirtReg frees the physreg associated with the virtreg + killVirtReg(VirtReg); + VirtDead.clear(); + + LLVM_DEBUG(dbgs() << "<< " << MI); + if (CopyDstReg && CopyDstReg == CopySrcReg && CopyDstSub == CopySrcSub) { + LLVM_DEBUG(dbgs() << "Mark identity copy for removal\n"); + Coalesced.push_back(&MI); + } +} + +void RegAllocFast::handleDebugValue(MachineInstr &MI) { + MachineOperand &MO = MI.getOperand(0); + + // Ignore DBG_VALUEs that aren't based on virtual registers. These are + // mostly constants and frame indices. + if (!MO.isReg()) + return; + unsigned Reg = MO.getReg(); + if (!TargetRegisterInfo::isVirtualRegister(Reg)) + return; + + // See if this virtual register has already been allocated to a physical + // register or spilled to a stack slot. + LiveRegMap::iterator LRI = findLiveVirtReg(Reg); + if (LRI != LiveVirtRegs.end() && LRI->PhysReg) { + setPhysReg(MI, MO, LRI->PhysReg); + } else { + int SS = StackSlotForVirtReg[Reg]; + if (SS != -1) { + // Modify DBG_VALUE now that the value is in a spill slot. + updateDbgValueForSpill(MI, SS); + LLVM_DEBUG(dbgs() << "Modifying debug info due to spill:" << "\t" << MI); + return; + } + + // We can't allocate a physreg for a DebugValue, sorry! + LLVM_DEBUG(dbgs() << "Unable to allocate vreg used by DBG_VALUE"); + MO.setReg(0); + } + + // If Reg hasn't been spilled, put this DBG_VALUE in LiveDbgValueMap so + // that future spills of Reg will have DBG_VALUEs. + LiveDbgValueMap[Reg].push_back(&MI); +} + +void RegAllocFast::allocateBasicBlock(MachineBasicBlock &MBB) { + this->MBB = &MBB; + LLVM_DEBUG(dbgs() << "\nAllocating " << MBB); + + PhysRegState.assign(TRI->getNumRegs(), regDisabled); + assert(LiveVirtRegs.empty() && "Mapping not cleared from last block?"); + + MachineBasicBlock::iterator MII = MBB.begin(); + + // Add live-in registers as live. + for (const MachineBasicBlock::RegisterMaskPair LI : MBB.liveins()) + if (MRI->isAllocatable(LI.PhysReg)) + definePhysReg(MII, LI.PhysReg, regReserved); + + VirtDead.clear(); + Coalesced.clear(); + + // Otherwise, sequentially allocate each instruction in the MBB. + for (MachineInstr &MI : MBB) { + LLVM_DEBUG( + dbgs() << "\n>> " << MI << "Regs:"; + dumpState() + ); + + // Special handling for debug values. Note that they are not allowed to + // affect codegen of the other instructions in any way. + if (MI.isDebugValue()) { + handleDebugValue(MI); + continue; + } + + //this iteration only calls this + allocateInstruction(MI); + } + + // Spill all physical registers holding virtual registers now. + LLVM_DEBUG(dbgs() << "Spilling live registers at end of block.\n"); + spillAll(MBB.getFirstTerminator()); + + // Erase all the coalesced copies. We are delaying it until now because + // LiveVirtRegs might refer to the instrs. + for (MachineInstr *MI : Coalesced) + MBB.erase(MI); + NumCoalesced += Coalesced.size(); + + LLVM_DEBUG(MBB.dump()); +} + +bool RegAllocFast::runOnMachineFunction(MachineFunction &MF) { + LLVM_DEBUG(dbgs() << "********** FAST REGISTER ALLOCATION **********\n" + << "********** Function: " << MF.getName() << '\n'); + //here's initialization, subtarget is just a kind of "sub" target + MRI = &MF.getRegInfo(); + const TargetSubtargetInfo &STI = MF.getSubtarget(); + TRI = STI.getRegisterInfo(); + TII = STI.getInstrInfo(); + MFI = &MF.getFrameInfo(); + MRI->freezeReservedRegs(MF); + RegClassInfo.runOnMachineFunction(MF); + UsedInInstr.clear(); + UsedInInstr.setUniverse(TRI->getNumRegUnits()); + + // initialize the virtual->physical register map to have a 'null' + // mapping for all virtual registers + unsigned NumVirtRegs = MRI->getNumVirtRegs(); + StackSlotForVirtReg.resize(NumVirtRegs); + LiveVirtRegs.setUniverse(NumVirtRegs); + + // Loop over all of the basic blocks, eliminating virtual register references + //the overall processing: allocate BB by BB + for (MachineBasicBlock &MBB : MF) + allocateBasicBlock(MBB); + + // All machine operands and other references to virtual registers have been + // replaced. Remove the virtual registers. + MRI->clearVirtRegs(); + + StackSlotForVirtReg.clear(); + LiveDbgValueMap.clear(); + return true; +} + +FunctionPass *llvm::createFastRegisterAllocator() { + return new RegAllocFast(); +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/RegAllocFast.new.cpp" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/RegAllocFast.new.cpp" new file mode 100644 index 0000000..5a3eded --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/RegAllocFast.new.cpp" @@ -0,0 +1,1332 @@ +//===- RegAllocFast.cpp - A fast register allocator for debug code --------===// +// +// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions. +// See https://llvm.org/LICENSE.txt for license information. +// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception +// +//===----------------------------------------------------------------------===// +// +/// \file This register allocator allocates registers to a basic block at a +/// time, attempting to keep values in registers and reusing registers as +/// appropriate. +// +//===----------------------------------------------------------------------===// + +#include "llvm/ADT/ArrayRef.h" +#include "llvm/ADT/DenseMap.h" +#include "llvm/ADT/IndexedMap.h" +#include "llvm/ADT/SmallSet.h" +#include "llvm/ADT/SmallVector.h" +#include "llvm/ADT/SparseSet.h" +#include "llvm/ADT/Statistic.h" +#include "llvm/CodeGen/MachineBasicBlock.h" +#include "llvm/CodeGen/MachineFrameInfo.h" +#include "llvm/CodeGen/MachineFunction.h" +#include "llvm/CodeGen/MachineFunctionPass.h" +#include "llvm/CodeGen/MachineInstr.h" +#include "llvm/CodeGen/MachineInstrBuilder.h" +#include "llvm/CodeGen/MachineOperand.h" +#include "llvm/CodeGen/MachineRegisterInfo.h" +#include "llvm/CodeGen/RegAllocRegistry.h" +#include "llvm/CodeGen/RegisterClassInfo.h" +#include "llvm/CodeGen/TargetInstrInfo.h" +#include "llvm/CodeGen/TargetOpcodes.h" +#include "llvm/CodeGen/TargetRegisterInfo.h" +#include "llvm/CodeGen/TargetSubtargetInfo.h" +#include "llvm/IR/DebugLoc.h" +#include "llvm/IR/Metadata.h" +#include "llvm/MC/MCInstrDesc.h" +#include "llvm/MC/MCRegisterInfo.h" +#include "llvm/Pass.h" +#include "llvm/Support/Casting.h" +#include "llvm/Support/Compiler.h" +#include "llvm/Support/Debug.h" +#include "llvm/Support/ErrorHandling.h" +#include "llvm/Support/raw_ostream.h" +#include +#include +#include + +using namespace llvm; + +#define DEBUG_TYPE "regalloc" + +STATISTIC(NumStores, "Number of stores added"); +STATISTIC(NumLoads , "Number of loads added"); +STATISTIC(NumCoalesced, "Number of copies coalesced"); + +static RegisterRegAlloc + fastRegAlloc("fast", "fast register allocator", createFastRegisterAllocator); + +namespace { + + class RegAllocFast : public MachineFunctionPass { + public: + static char ID; + + RegAllocFast() : MachineFunctionPass(ID), StackSlotForVirtReg(-1) {} + + private: + MachineFrameInfo *MFI; + MachineRegisterInfo *MRI; + const TargetRegisterInfo *TRI; + const TargetInstrInfo *TII; + RegisterClassInfo RegClassInfo; + + /// Basic block currently being allocated. + MachineBasicBlock *MBB; + + /// Maps virtual regs to the frame index where these values are spilled. + IndexedMap StackSlotForVirtReg; + + /// Everything we know about a live virtual register. + struct LiveReg { + MachineInstr *LastUse = nullptr; ///< Last instr to use reg. + unsigned VirtReg; ///< Virtual register number. + MCPhysReg PhysReg = 0; ///< Currently held here. + unsigned short LastOpNum = 0; ///< OpNum on LastUse. + bool Dirty = false; ///< Register needs spill. + + explicit LiveReg(unsigned VirtReg) : VirtReg(VirtReg) {} + + unsigned getSparseSetIndex() const { + return Register::virtReg2Index(VirtReg); + } + }; + + using LiveRegMap = SparseSet; + /// This map contains entries for each virtual register that is currently + /// available in a physical register. + LiveRegMap LiveVirtRegs; + + DenseMap> LiveDbgValueMap; + + /// Has a bit set for every virtual register for which it was determined + /// that it is alive across blocks. + BitVector MayLiveAcrossBlocks; + + /// State of a physical register. + enum RegState { + /// A disabled register is not available for allocation, but an alias may + /// be in use. A register can only be moved out of the disabled state if + /// all aliases are disabled. + regDisabled, + + /// A free register is not currently in use and can be allocated + /// immediately without checking aliases. + regFree, + + /// A reserved register has been assigned explicitly (e.g., setting up a + /// call parameter), and it remains reserved until it is used. + regReserved + + /// A register state may also be a virtual register number, indication + /// that the physical register is currently allocated to a virtual + /// register. In that case, LiveVirtRegs contains the inverse mapping. + }; + + /// Maps each physical register to a RegState enum or a virtual register. + std::vector PhysRegState; + + SmallVector VirtDead; + SmallVector Coalesced; + + using RegUnitSet = SparseSet>; + /// Set of register units that are used in the current instruction, and so + /// cannot be allocated. + RegUnitSet UsedInInstr; + + void setPhysRegState(MCPhysReg PhysReg, unsigned NewState); + + /// Mark a physreg as used in this instruction. + void markRegUsedInInstr(MCPhysReg PhysReg) { + for (MCRegUnitIterator Units(PhysReg, TRI); Units.isValid(); ++Units) + UsedInInstr.insert(*Units); + } + + /// Check if a physreg or any of its aliases are used in this instruction. + bool isRegUsedInInstr(MCPhysReg PhysReg) const { + for (MCRegUnitIterator Units(PhysReg, TRI); Units.isValid(); ++Units) + if (UsedInInstr.count(*Units)) + return true; + return false; + } + + enum : unsigned { + spillClean = 50, + spillDirty = 100, + spillPrefBonus = 20, + spillImpossible = ~0u + }; + + public: + StringRef getPassName() const override { return "Fast Register Allocator"; } + + void getAnalysisUsage(AnalysisUsage &AU) const override { + AU.setPreservesCFG(); + MachineFunctionPass::getAnalysisUsage(AU); + } + + MachineFunctionProperties getRequiredProperties() const override { + return MachineFunctionProperties().set( + MachineFunctionProperties::Property::NoPHIs); + } + + MachineFunctionProperties getSetProperties() const override { + return MachineFunctionProperties().set( + MachineFunctionProperties::Property::NoVRegs); + } + + private: + bool runOnMachineFunction(MachineFunction &MF) override; + + void allocateBasicBlock(MachineBasicBlock &MBB); + void allocateInstruction(MachineInstr &MI); + void handleDebugValue(MachineInstr &MI); + void handleThroughOperands(MachineInstr &MI, + SmallVectorImpl &VirtDead); + bool isLastUseOfLocalReg(const MachineOperand &MO) const; + + void addKillFlag(const LiveReg &LRI); + void killVirtReg(LiveReg &LR); + void killVirtReg(unsigned VirtReg); + void spillVirtReg(MachineBasicBlock::iterator MI, LiveReg &LR); + void spillVirtReg(MachineBasicBlock::iterator MI, unsigned VirtReg); + + void usePhysReg(MachineOperand &MO); + void definePhysReg(MachineBasicBlock::iterator MI, MCPhysReg PhysReg, + RegState NewState); + unsigned calcSpillCost(MCPhysReg PhysReg) const; + void assignVirtToPhysReg(LiveReg &, MCPhysReg PhysReg); + + LiveRegMap::iterator findLiveVirtReg(unsigned VirtReg) { + return LiveVirtRegs.find(Register::virtReg2Index(VirtReg)); + } + + LiveRegMap::const_iterator findLiveVirtReg(unsigned VirtReg) const { + return LiveVirtRegs.find(Register::virtReg2Index(VirtReg)); + } + + void allocVirtReg(MachineInstr &MI, LiveReg &LR, unsigned Hint); + void allocVirtRegUndef(MachineOperand &MO); + MCPhysReg defineVirtReg(MachineInstr &MI, unsigned OpNum, unsigned VirtReg, + unsigned Hint); + LiveReg &reloadVirtReg(MachineInstr &MI, unsigned OpNum, unsigned VirtReg, + unsigned Hint); + void spillAll(MachineBasicBlock::iterator MI, bool OnlyLiveOut); + bool setPhysReg(MachineInstr &MI, MachineOperand &MO, MCPhysReg PhysReg); + + unsigned traceCopies(unsigned VirtReg) const; + unsigned traceCopyChain(unsigned Reg) const; + + int getStackSpaceFor(unsigned VirtReg); + void spill(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg AssignedReg, bool Kill); + void reload(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg PhysReg); + + bool mayLiveOut(unsigned VirtReg); + bool mayLiveIn(unsigned VirtReg); + + void dumpState(); + }; + +} // end anonymous namespace + +char RegAllocFast::ID = 0; + +INITIALIZE_PASS(RegAllocFast, "regallocfast", "Fast Register Allocator", false, + false) + +void RegAllocFast::setPhysRegState(MCPhysReg PhysReg, unsigned NewState) { + PhysRegState[PhysReg] = NewState; +} + +/// This allocates space for the specified virtual register to be held on the +/// stack. +int RegAllocFast::getStackSpaceFor(unsigned VirtReg) { + // Find the location Reg would belong... + int SS = StackSlotForVirtReg[VirtReg]; + // Already has space allocated? + if (SS != -1) + return SS; + + // Allocate a new stack object for this spill location... + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + unsigned Size = TRI->getSpillSize(RC); + unsigned Align = TRI->getSpillAlignment(RC); + int FrameIdx = MFI->CreateSpillStackObject(Size, Align); + + // Assign the slot. + StackSlotForVirtReg[VirtReg] = FrameIdx; + return FrameIdx; +} + +/// Returns false if \p VirtReg is known to not live out of the current block. +bool RegAllocFast::mayLiveOut(unsigned VirtReg) { + if (MayLiveAcrossBlocks.test(Register::virtReg2Index(VirtReg))) { + // Cannot be live-out if there are no successors. + return !MBB->succ_empty(); + } + + // If this block loops back to itself, it would be necessary to check whether + // the use comes after the def. + if (MBB->isSuccessor(MBB)) { + MayLiveAcrossBlocks.set(Register::virtReg2Index(VirtReg)); + return true; + } + + // See if the first \p Limit uses of the register are all in the current + // block. + static const unsigned Limit = 8; + unsigned C = 0; + for (const MachineInstr &UseInst : MRI->reg_nodbg_instructions(VirtReg)) { + if (UseInst.getParent() != MBB || ++C >= Limit) { + MayLiveAcrossBlocks.set(Register::virtReg2Index(VirtReg)); + // Cannot be live-out if there are no successors. + return !MBB->succ_empty(); + } + } + + return false; +} + +/// Returns false if \p VirtReg is known to not be live into the current block. +bool RegAllocFast::mayLiveIn(unsigned VirtReg) { + if (MayLiveAcrossBlocks.test(Register::virtReg2Index(VirtReg))) + return !MBB->pred_empty(); + + // See if the first \p Limit def of the register are all in the current block. + static const unsigned Limit = 8; + unsigned C = 0; + for (const MachineInstr &DefInst : MRI->def_instructions(VirtReg)) { + if (DefInst.getParent() != MBB || ++C >= Limit) { + MayLiveAcrossBlocks.set(Register::virtReg2Index(VirtReg)); + return !MBB->pred_empty(); + } + } + + return false; +} + +/// Insert spill instruction for \p AssignedReg before \p Before. Update +/// DBG_VALUEs with \p VirtReg operands with the stack slot. +void RegAllocFast::spill(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg AssignedReg, bool Kill) { + LLVM_DEBUG(dbgs() << "Spilling " << printReg(VirtReg, TRI) + << " in " << printReg(AssignedReg, TRI)); + int FI = getStackSpaceFor(VirtReg); + LLVM_DEBUG(dbgs() << " to stack slot #" << FI << '\n'); + + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + TII->storeRegToStackSlot(*MBB, Before, AssignedReg, Kill, FI, &RC, TRI); + ++NumStores; + + // If this register is used by DBG_VALUE then insert new DBG_VALUE to + // identify spilled location as the place to find corresponding variable's + // value. + SmallVectorImpl &LRIDbgValues = LiveDbgValueMap[VirtReg]; + for (MachineInstr *DBG : LRIDbgValues) { + MachineInstr *NewDV = buildDbgValueForSpill(*MBB, Before, *DBG, FI); + assert(NewDV->getParent() == MBB && "dangling parent pointer"); + (void)NewDV; + LLVM_DEBUG(dbgs() << "Inserting debug info due to spill:\n" << *NewDV); + } + // Now this register is spilled there is should not be any DBG_VALUE + // pointing to this register because they are all pointing to spilled value + // now. + LRIDbgValues.clear(); +} + +/// Insert reload instruction for \p PhysReg before \p Before. +void RegAllocFast::reload(MachineBasicBlock::iterator Before, unsigned VirtReg, + MCPhysReg PhysReg) { + LLVM_DEBUG(dbgs() << "Reloading " << printReg(VirtReg, TRI) << " into " + << printReg(PhysReg, TRI) << '\n'); + int FI = getStackSpaceFor(VirtReg); + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + TII->loadRegFromStackSlot(*MBB, Before, PhysReg, FI, &RC, TRI); + ++NumLoads; +} + +/// Return true if MO is the only remaining reference to its virtual register, +/// and it is guaranteed to be a block-local register. +bool RegAllocFast::isLastUseOfLocalReg(const MachineOperand &MO) const { + // If the register has ever been spilled or reloaded, we conservatively assume + // it is a global register used in multiple blocks. + if (StackSlotForVirtReg[MO.getReg()] != -1) + return false; + + // Check that the use/def chain has exactly one operand - MO. + MachineRegisterInfo::reg_nodbg_iterator I = MRI->reg_nodbg_begin(MO.getReg()); + if (&*I != &MO) + return false; + return ++I == MRI->reg_nodbg_end(); +} + +/// Set kill flags on last use of a virtual register. +void RegAllocFast::addKillFlag(const LiveReg &LR) { + if (!LR.LastUse) return; + MachineOperand &MO = LR.LastUse->getOperand(LR.LastOpNum); + if (MO.isUse() && !LR.LastUse->isRegTiedToDefOperand(LR.LastOpNum)) { + if (MO.getReg() == LR.PhysReg) + MO.setIsKill(); + // else, don't do anything we are problably redefining a + // subreg of this register and given we don't track which + // lanes are actually dead, we cannot insert a kill flag here. + // Otherwise we may end up in a situation like this: + // ... = (MO) physreg:sub1, implicit killed physreg + // ... <== Here we would allow later pass to reuse physreg:sub1 + // which is potentially wrong. + // LR:sub0 = ... + // ... = LR.sub1 <== This is going to use physreg:sub1 + } +} + +/// Mark virtreg as no longer available. +void RegAllocFast::killVirtReg(LiveReg &LR) { + addKillFlag(LR); + assert(PhysRegState[LR.PhysReg] == LR.VirtReg && + "Broken RegState mapping"); + setPhysRegState(LR.PhysReg, regFree); + LR.PhysReg = 0; +} + +/// Mark virtreg as no longer available. +void RegAllocFast::killVirtReg(unsigned VirtReg) { + assert(Register::isVirtualRegister(VirtReg) && + "killVirtReg needs a virtual register"); + LiveRegMap::iterator LRI = findLiveVirtReg(VirtReg); + if (LRI != LiveVirtRegs.end() && LRI->PhysReg) + killVirtReg(*LRI); +} + +/// This method spills the value specified by VirtReg into the corresponding +/// stack slot if needed. +void RegAllocFast::spillVirtReg(MachineBasicBlock::iterator MI, + unsigned VirtReg) { + assert(Register::isVirtualRegister(VirtReg) && + "Spilling a physical register is illegal!"); + LiveRegMap::iterator LRI = findLiveVirtReg(VirtReg); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Spilling unmapped virtual register"); + spillVirtReg(MI, *LRI); +} + +/// Do the actual work of spilling. +void RegAllocFast::spillVirtReg(MachineBasicBlock::iterator MI, LiveReg &LR) { + assert(PhysRegState[LR.PhysReg] == LR.VirtReg && "Broken RegState mapping"); + + if (LR.Dirty) { + // If this physreg is used by the instruction, we want to kill it on the + // instruction, not on the spill. + bool SpillKill = MachineBasicBlock::iterator(LR.LastUse) != MI; + LR.Dirty = false; + + spill(MI, LR.VirtReg, LR.PhysReg, SpillKill); + + if (SpillKill) + LR.LastUse = nullptr; // Don't kill register again + } + killVirtReg(LR); +} + +/// Spill all dirty virtregs without killing them. +void RegAllocFast::spillAll(MachineBasicBlock::iterator MI, bool OnlyLiveOut) { + if (LiveVirtRegs.empty()) + return; + // The LiveRegMap is keyed by an unsigned (the virtreg number), so the order + // of spilling here is deterministic, if arbitrary. + for (LiveReg &LR : LiveVirtRegs) { + if (!LR.PhysReg) + continue; + if (OnlyLiveOut && !mayLiveOut(LR.VirtReg)) + continue; + spillVirtReg(MI, LR); + } + LiveVirtRegs.clear(); +} + +/// Handle the direct use of a physical register. Check that the register is +/// not used by a virtreg. Kill the physreg, marking it free. This may add +/// implicit kills to MO->getParent() and invalidate MO. +void RegAllocFast::usePhysReg(MachineOperand &MO) { + // Ignore undef uses. + if (MO.isUndef()) + return; + + Register PhysReg = MO.getReg(); + assert(Register::isPhysicalRegister(PhysReg) && "Bad usePhysReg operand"); + + markRegUsedInInstr(PhysReg); + switch (PhysRegState[PhysReg]) { + case regDisabled: + break; + case regReserved: + PhysRegState[PhysReg] = regFree; + LLVM_FALLTHROUGH; + case regFree: + MO.setIsKill(); + return; + default: + // The physreg was allocated to a virtual register. That means the value we + // wanted has been clobbered. + llvm_unreachable("Instruction uses an allocated register"); + } + + // Maybe a superregister is reserved? + for (MCRegAliasIterator AI(PhysReg, TRI, false); AI.isValid(); ++AI) { + MCPhysReg Alias = *AI; + switch (PhysRegState[Alias]) { + case regDisabled: + break; + case regReserved: + // Either PhysReg is a subregister of Alias and we mark the + // whole register as free, or PhysReg is the superregister of + // Alias and we mark all the aliases as disabled before freeing + // PhysReg. + // In the latter case, since PhysReg was disabled, this means that + // its value is defined only by physical sub-registers. This check + // is performed by the assert of the default case in this loop. + // Note: The value of the superregister may only be partial + // defined, that is why regDisabled is a valid state for aliases. + assert((TRI->isSuperRegister(PhysReg, Alias) || + TRI->isSuperRegister(Alias, PhysReg)) && + "Instruction is not using a subregister of a reserved register"); + LLVM_FALLTHROUGH; + case regFree: + if (TRI->isSuperRegister(PhysReg, Alias)) { + // Leave the superregister in the working set. + setPhysRegState(Alias, regFree); + MO.getParent()->addRegisterKilled(Alias, TRI, true); + return; + } + // Some other alias was in the working set - clear it. + setPhysRegState(Alias, regDisabled); + break; + default: + llvm_unreachable("Instruction uses an alias of an allocated register"); + } + } + + // All aliases are disabled, bring register into working set. + setPhysRegState(PhysReg, regFree); + MO.setIsKill(); +} + +/// Mark PhysReg as reserved or free after spilling any virtregs. This is very +/// similar to defineVirtReg except the physreg is reserved instead of +/// allocated. +void RegAllocFast::definePhysReg(MachineBasicBlock::iterator MI, + MCPhysReg PhysReg, RegState NewState) { + markRegUsedInInstr(PhysReg); + switch (unsigned VirtReg = PhysRegState[PhysReg]) { + case regDisabled: + break; + default: + spillVirtReg(MI, VirtReg); + LLVM_FALLTHROUGH; + case regFree: + case regReserved: + setPhysRegState(PhysReg, NewState); + return; + } + + // This is a disabled register, disable all aliases. + setPhysRegState(PhysReg, NewState); + for (MCRegAliasIterator AI(PhysReg, TRI, false); AI.isValid(); ++AI) { + MCPhysReg Alias = *AI; + switch (unsigned VirtReg = PhysRegState[Alias]) { + case regDisabled: + break; + default: + spillVirtReg(MI, VirtReg); + LLVM_FALLTHROUGH; + case regFree: + case regReserved: + setPhysRegState(Alias, regDisabled); + if (TRI->isSuperRegister(PhysReg, Alias)) + return; + break; + } + } +} + +/// Return the cost of spilling clearing out PhysReg and aliases so it is free +/// for allocation. Returns 0 when PhysReg is free or disabled with all aliases +/// disabled - it can be allocated directly. +/// \returns spillImpossible when PhysReg or an alias can't be spilled. +unsigned RegAllocFast::calcSpillCost(MCPhysReg PhysReg) const { + if (isRegUsedInInstr(PhysReg)) { + LLVM_DEBUG(dbgs() << printReg(PhysReg, TRI) + << " is already used in instr.\n"); + return spillImpossible; + } + switch (unsigned VirtReg = PhysRegState[PhysReg]) { + case regDisabled: + break; + case regFree: + return 0; + case regReserved: + LLVM_DEBUG(dbgs() << printReg(VirtReg, TRI) << " corresponding " + << printReg(PhysReg, TRI) << " is reserved already.\n"); + return spillImpossible; + default: { + LiveRegMap::const_iterator LRI = findLiveVirtReg(VirtReg); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Missing VirtReg entry"); + return LRI->Dirty ? spillDirty : spillClean; + } + } + + // This is a disabled register, add up cost of aliases. + LLVM_DEBUG(dbgs() << printReg(PhysReg, TRI) << " is disabled.\n"); + unsigned Cost = 0; + for (MCRegAliasIterator AI(PhysReg, TRI, false); AI.isValid(); ++AI) { + MCPhysReg Alias = *AI; + switch (unsigned VirtReg = PhysRegState[Alias]) { + case regDisabled: + break; + case regFree: + ++Cost; + break; + case regReserved: + return spillImpossible; + default: { + LiveRegMap::const_iterator LRI = findLiveVirtReg(VirtReg); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Missing VirtReg entry"); + Cost += LRI->Dirty ? spillDirty : spillClean; + break; + } + } + } + return Cost; +} + +/// This method updates local state so that we know that PhysReg is the +/// proper container for VirtReg now. The physical register must not be used +/// for anything else when this is called. +void RegAllocFast::assignVirtToPhysReg(LiveReg &LR, MCPhysReg PhysReg) { + unsigned VirtReg = LR.VirtReg; + LLVM_DEBUG(dbgs() << "Assigning " << printReg(VirtReg, TRI) << " to " + << printReg(PhysReg, TRI) << '\n'); + assert(LR.PhysReg == 0 && "Already assigned a physreg"); + assert(PhysReg != 0 && "Trying to assign no register"); + LR.PhysReg = PhysReg; + setPhysRegState(PhysReg, VirtReg); +} + +static bool isCoalescable(const MachineInstr &MI) { + return MI.isFullCopy(); +} + +unsigned RegAllocFast::traceCopyChain(unsigned Reg) const { + static const unsigned ChainLengthLimit = 3; + unsigned C = 0; + do { + if (Register::isPhysicalRegister(Reg)) + return Reg; + assert(Register::isVirtualRegister(Reg)); + + MachineInstr *VRegDef = MRI->getUniqueVRegDef(Reg); + if (!VRegDef || !isCoalescable(*VRegDef)) + return 0; + Reg = VRegDef->getOperand(1).getReg(); + } while (++C <= ChainLengthLimit); + return 0; +} + +/// Check if any of \p VirtReg's definitions is a copy. If it is follow the +/// chain of copies to check whether we reach a physical register we can +/// coalesce with. +unsigned RegAllocFast::traceCopies(unsigned VirtReg) const { + static const unsigned DefLimit = 3; + unsigned C = 0; + for (const MachineInstr &MI : MRI->def_instructions(VirtReg)) { + if (isCoalescable(MI)) { + Register Reg = MI.getOperand(1).getReg(); + Reg = traceCopyChain(Reg); + if (Reg != 0) + return Reg; + } + + if (++C >= DefLimit) + break; + } + return 0; +} + +/// Allocates a physical register for VirtReg. +void RegAllocFast::allocVirtReg(MachineInstr &MI, LiveReg &LR, unsigned Hint0) { + const unsigned VirtReg = LR.VirtReg; + + assert(Register::isVirtualRegister(VirtReg) && + "Can only allocate virtual registers"); + + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + LLVM_DEBUG(dbgs() << "Search register for " << printReg(VirtReg) + << " in class " << TRI->getRegClassName(&RC) + << " with hint " << printReg(Hint0, TRI) << '\n'); + + // Take hint when possible. + if (Register::isPhysicalRegister(Hint0) && MRI->isAllocatable(Hint0) && + RC.contains(Hint0)) { + // Ignore the hint if we would have to spill a dirty register. + unsigned Cost = calcSpillCost(Hint0); + if (Cost < spillDirty) { + LLVM_DEBUG(dbgs() << "\tPreferred Register 1: " << printReg(Hint0, TRI) + << '\n'); + if (Cost) + definePhysReg(MI, Hint0, regFree); + assignVirtToPhysReg(LR, Hint0); + return; + } else { + LLVM_DEBUG(dbgs() << "\tPreferred Register 1: " << printReg(Hint0, TRI) + << "occupied\n"); + } + } else { + Hint0 = 0; + } + + // Try other hint. + unsigned Hint1 = traceCopies(VirtReg); + if (Register::isPhysicalRegister(Hint1) && MRI->isAllocatable(Hint1) && + RC.contains(Hint1) && !isRegUsedInInstr(Hint1)) { + // Ignore the hint if we would have to spill a dirty register. + unsigned Cost = calcSpillCost(Hint1); + if (Cost < spillDirty) { + LLVM_DEBUG(dbgs() << "\tPreferred Register 0: " << printReg(Hint1, TRI) + << '\n'); + if (Cost) + definePhysReg(MI, Hint1, regFree); + assignVirtToPhysReg(LR, Hint1); + return; + } else { + LLVM_DEBUG(dbgs() << "\tPreferred Register 0: " << printReg(Hint1, TRI) + << "occupied\n"); + } + } else { + Hint1 = 0; + } + + MCPhysReg BestReg = 0; + unsigned BestCost = spillImpossible; + ArrayRef AllocationOrder = RegClassInfo.getOrder(&RC); + for (MCPhysReg PhysReg : AllocationOrder) { + LLVM_DEBUG(dbgs() << "\tRegister: " << printReg(PhysReg, TRI) << ' '); + unsigned Cost = calcSpillCost(PhysReg); + LLVM_DEBUG(dbgs() << "Cost: " << Cost << " BestCost: " << BestCost << '\n'); + // Immediate take a register with cost 0. + if (Cost == 0) { + assignVirtToPhysReg(LR, PhysReg); + return; + } + + if (PhysReg == Hint1 || PhysReg == Hint0) + Cost -= spillPrefBonus; + + if (Cost < BestCost) { + BestReg = PhysReg; + BestCost = Cost; + } + } + + if (!BestReg) { + // Nothing we can do: Report an error and keep going with an invalid + // allocation. + if (MI.isInlineAsm()) + MI.emitError("inline assembly requires more registers than available"); + else + MI.emitError("ran out of registers during register allocation"); + definePhysReg(MI, *AllocationOrder.begin(), regFree); + assignVirtToPhysReg(LR, *AllocationOrder.begin()); + return; + } + + definePhysReg(MI, BestReg, regFree); + assignVirtToPhysReg(LR, BestReg); +} + +void RegAllocFast::allocVirtRegUndef(MachineOperand &MO) { + assert(MO.isUndef() && "expected undef use"); + Register VirtReg = MO.getReg(); + assert(Register::isVirtualRegister(VirtReg) && "Expected virtreg"); + + LiveRegMap::const_iterator LRI = findLiveVirtReg(VirtReg); + MCPhysReg PhysReg; + if (LRI != LiveVirtRegs.end() && LRI->PhysReg) { + PhysReg = LRI->PhysReg; + } else { + const TargetRegisterClass &RC = *MRI->getRegClass(VirtReg); + ArrayRef AllocationOrder = RegClassInfo.getOrder(&RC); + assert(!AllocationOrder.empty() && "Allocation order must not be empty"); + PhysReg = AllocationOrder[0]; + } + + unsigned SubRegIdx = MO.getSubReg(); + if (SubRegIdx != 0) { + PhysReg = TRI->getSubReg(PhysReg, SubRegIdx); + MO.setSubReg(0); + } + MO.setReg(PhysReg); + MO.setIsRenamable(true); +} + +/// Allocates a register for VirtReg and mark it as dirty. +MCPhysReg RegAllocFast::defineVirtReg(MachineInstr &MI, unsigned OpNum, + unsigned VirtReg, unsigned Hint) { + assert(Register::isVirtualRegister(VirtReg) && "Not a virtual register"); + LiveRegMap::iterator LRI; + bool New; + std::tie(LRI, New) = LiveVirtRegs.insert(LiveReg(VirtReg)); + if (!LRI->PhysReg) { + // If there is no hint, peek at the only use of this register. + if ((!Hint || !Register::isPhysicalRegister(Hint)) && + MRI->hasOneNonDBGUse(VirtReg)) { + const MachineInstr &UseMI = *MRI->use_instr_nodbg_begin(VirtReg); + // It's a copy, use the destination register as a hint. + if (UseMI.isCopyLike()) + Hint = UseMI.getOperand(0).getReg(); + } + allocVirtReg(MI, *LRI, Hint); + } else if (LRI->LastUse) { + // Redefining a live register - kill at the last use, unless it is this + // instruction defining VirtReg multiple times. + if (LRI->LastUse != &MI || LRI->LastUse->getOperand(LRI->LastOpNum).isUse()) + addKillFlag(*LRI); + } + assert(LRI->PhysReg && "Register not assigned"); + LRI->LastUse = &MI; + LRI->LastOpNum = OpNum; + LRI->Dirty = true; + markRegUsedInInstr(LRI->PhysReg); + return LRI->PhysReg; +} + +/// Make sure VirtReg is available in a physreg and return it. +RegAllocFast::LiveReg &RegAllocFast::reloadVirtReg(MachineInstr &MI, + unsigned OpNum, + unsigned VirtReg, + unsigned Hint) { + assert(Register::isVirtualRegister(VirtReg) && "Not a virtual register"); + LiveRegMap::iterator LRI; + bool New; + std::tie(LRI, New) = LiveVirtRegs.insert(LiveReg(VirtReg)); + MachineOperand &MO = MI.getOperand(OpNum); + if (!LRI->PhysReg) { + allocVirtReg(MI, *LRI, Hint); + reload(MI, VirtReg, LRI->PhysReg); + } else if (LRI->Dirty) { + if (isLastUseOfLocalReg(MO)) { + LLVM_DEBUG(dbgs() << "Killing last use: " << MO << '\n'); + if (MO.isUse()) + MO.setIsKill(); + else + MO.setIsDead(); + } else if (MO.isKill()) { + LLVM_DEBUG(dbgs() << "Clearing dubious kill: " << MO << '\n'); + MO.setIsKill(false); + } else if (MO.isDead()) { + LLVM_DEBUG(dbgs() << "Clearing dubious dead: " << MO << '\n'); + MO.setIsDead(false); + } + } else if (MO.isKill()) { + // We must remove kill flags from uses of reloaded registers because the + // register would be killed immediately, and there might be a second use: + // %foo = OR killed %x, %x + // This would cause a second reload of %x into a different register. + LLVM_DEBUG(dbgs() << "Clearing clean kill: " << MO << '\n'); + MO.setIsKill(false); + } else if (MO.isDead()) { + LLVM_DEBUG(dbgs() << "Clearing clean dead: " << MO << '\n'); + MO.setIsDead(false); + } + assert(LRI->PhysReg && "Register not assigned"); + LRI->LastUse = &MI; + LRI->LastOpNum = OpNum; + markRegUsedInInstr(LRI->PhysReg); + return *LRI; +} + +/// Changes operand OpNum in MI the refer the PhysReg, considering subregs. This +/// may invalidate any operand pointers. Return true if the operand kills its +/// register. +bool RegAllocFast::setPhysReg(MachineInstr &MI, MachineOperand &MO, + MCPhysReg PhysReg) { + bool Dead = MO.isDead(); + if (!MO.getSubReg()) { + MO.setReg(PhysReg); + MO.setIsRenamable(true); + return MO.isKill() || Dead; + } + + // Handle subregister index. + MO.setReg(PhysReg ? TRI->getSubReg(PhysReg, MO.getSubReg()) : Register()); + MO.setIsRenamable(true); + MO.setSubReg(0); + + // A kill flag implies killing the full register. Add corresponding super + // register kill. + if (MO.isKill()) { + MI.addRegisterKilled(PhysReg, TRI, true); + return true; + } + + // A of a sub-register requires an implicit def of the full + // register. + if (MO.isDef() && MO.isUndef()) + MI.addRegisterDefined(PhysReg, TRI); + + return Dead; +} + +// Handles special instruction operand like early clobbers and tied ops when +// there are additional physreg defines. +void RegAllocFast::handleThroughOperands(MachineInstr &MI, + SmallVectorImpl &VirtDead) { + LLVM_DEBUG(dbgs() << "Scanning for through registers:"); + SmallSet ThroughRegs; + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg()) continue; + Register Reg = MO.getReg(); + if (!Register::isVirtualRegister(Reg)) + continue; + if (MO.isEarlyClobber() || (MO.isUse() && MO.isTied()) || + (MO.getSubReg() && MI.readsVirtualRegister(Reg))) { + if (ThroughRegs.insert(Reg).second) + LLVM_DEBUG(dbgs() << ' ' << printReg(Reg)); + } + } + + // If any physreg defines collide with preallocated through registers, + // we must spill and reallocate. + LLVM_DEBUG(dbgs() << "\nChecking for physdef collisions.\n"); + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg() || !MO.isDef()) continue; + Register Reg = MO.getReg(); + if (!Reg || !Register::isPhysicalRegister(Reg)) + continue; + //reg, def, phys + markRegUsedInInstr(Reg); + for (MCRegAliasIterator AI(Reg, TRI, true); AI.isValid(); ++AI) { + if (ThroughRegs.count(PhysRegState[*AI])) + definePhysReg(MI, *AI, regFree); + } + } + + SmallVector PartialDefs; + LLVM_DEBUG(dbgs() << "Allocating tied uses.\n"); + for (unsigned I = 0, E = MI.getNumOperands(); I != E; ++I) { + MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg()) continue; + Register Reg = MO.getReg(); + if (!Register::isVirtualRegister(Reg)) + continue; + if (MO.isUse()) { + if (!MO.isTied()) continue; + LLVM_DEBUG(dbgs() << "Operand " << I << "(" << MO + << ") is tied to operand " << MI.findTiedOperandIdx(I) + << ".\n"); + //use of tied operand + LiveReg &LR = reloadVirtReg(MI, I, Reg, 0); + MCPhysReg PhysReg = LR.PhysReg; + setPhysReg(MI, MO, PhysReg); + // Note: we don't update the def operand yet. That would cause the normal + // def-scan to attempt spilling. + } else if (MO.getSubReg() && MI.readsVirtualRegister(Reg)) { + LLVM_DEBUG(dbgs() << "Partial redefine: " << MO << '\n'); + // Reload the register, but don't assign to the operand just yet. + // That would confuse the later phys-def processing pass. + LiveReg &LR = reloadVirtReg(MI, I, Reg, 0); + PartialDefs.push_back(LR.PhysReg); + } + } + + LLVM_DEBUG(dbgs() << "Allocating early clobbers.\n"); + for (unsigned I = 0, E = MI.getNumOperands(); I != E; ++I) { + const MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg()) continue; + Register Reg = MO.getReg(); + if (!Register::isVirtualRegister(Reg)) + continue; + if (!MO.isEarlyClobber()) + continue; + // Note: defineVirtReg may invalidate MO. + MCPhysReg PhysReg = defineVirtReg(MI, I, Reg, 0); + if (setPhysReg(MI, MI.getOperand(I), PhysReg)) + VirtDead.push_back(Reg); + } + + // Restore UsedInInstr to a state usable for allocating normal virtual uses. + UsedInInstr.clear(); + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg() || (MO.isDef() && !MO.isEarlyClobber())) continue; + Register Reg = MO.getReg(); + if (!Reg || !Register::isPhysicalRegister(Reg)) + continue; + LLVM_DEBUG(dbgs() << "\tSetting " << printReg(Reg, TRI) + << " as used in instr\n"); + markRegUsedInInstr(Reg); + } + + // Also mark PartialDefs as used to avoid reallocation. + for (unsigned PartialDef : PartialDefs) + markRegUsedInInstr(PartialDef); +} + +#ifndef NDEBUG +void RegAllocFast::dumpState() { + for (unsigned Reg = 1, E = TRI->getNumRegs(); Reg != E; ++Reg) { + if (PhysRegState[Reg] == regDisabled) continue; + dbgs() << " " << printReg(Reg, TRI); + switch(PhysRegState[Reg]) { + case regFree: + break; + case regReserved: + dbgs() << "*"; + break; + default: { + dbgs() << '=' << printReg(PhysRegState[Reg]); + LiveRegMap::iterator LRI = findLiveVirtReg(PhysRegState[Reg]); + assert(LRI != LiveVirtRegs.end() && LRI->PhysReg && + "Missing VirtReg entry"); + if (LRI->Dirty) + dbgs() << "*"; + assert(LRI->PhysReg == Reg && "Bad inverse map"); + break; + } + } + } + dbgs() << '\n'; + // Check that LiveVirtRegs is the inverse. + for (LiveRegMap::iterator i = LiveVirtRegs.begin(), + e = LiveVirtRegs.end(); i != e; ++i) { + if (!i->PhysReg) + continue; + assert(Register::isVirtualRegister(i->VirtReg) && "Bad map key"); + assert(Register::isPhysicalRegister(i->PhysReg) && "Bad map value"); + assert(PhysRegState[i->PhysReg] == i->VirtReg && "Bad inverse map"); + } +} +#endif + +void RegAllocFast::allocateInstruction(MachineInstr &MI) { + const MCInstrDesc &MCID = MI.getDesc(); + + // If this is a copy, we may be able to coalesce. + unsigned CopySrcReg = 0; + unsigned CopyDstReg = 0; + unsigned CopySrcSub = 0; + unsigned CopyDstSub = 0; + if (MI.isCopy()) { + CopyDstReg = MI.getOperand(0).getReg(); + CopySrcReg = MI.getOperand(1).getReg(); + CopyDstSub = MI.getOperand(0).getSubReg(); + CopySrcSub = MI.getOperand(1).getSubReg(); + } + + // Track registers used by instruction. + UsedInInstr.clear(); + + // First scan. + // Mark physreg uses and early clobbers as used. + // Find the end of the virtreg operands + unsigned VirtOpEnd = 0; + bool hasTiedOps = false; + bool hasEarlyClobbers = false; + bool hasPartialRedefs = false; + bool hasPhysDefs = false; + for (unsigned i = 0, e = MI.getNumOperands(); i != e; ++i) { + MachineOperand &MO = MI.getOperand(i); + // Make sure MRI knows about registers clobbered by regmasks. + if (MO.isRegMask()) { + MRI->addPhysRegsUsedFromRegMask(MO.getRegMask()); + continue; + } + if (!MO.isReg()) continue; + Register Reg = MO.getReg(); + if (!Reg) continue; + if (Register::isVirtualRegister(Reg)) { + VirtOpEnd = i+1; + if (MO.isUse()) { + hasTiedOps = hasTiedOps || + MCID.getOperandConstraint(i, MCOI::TIED_TO) != -1; + } else { + if (MO.isEarlyClobber()) + hasEarlyClobbers = true; + if (MO.getSubReg() && MI.readsVirtualRegister(Reg)) + hasPartialRedefs = true; + } + continue; + } + if (!MRI->isAllocatable(Reg)) continue; + if (MO.isUse()) { + usePhysReg(MO); + } else if (MO.isEarlyClobber()) { + definePhysReg(MI, Reg, + (MO.isImplicit() || MO.isDead()) ? regFree : regReserved); + hasEarlyClobbers = true; + } else + hasPhysDefs = true; + } + + // The instruction may have virtual register operands that must be allocated + // the same register at use-time and def-time: early clobbers and tied + // operands. If there are also physical defs, these registers must avoid + // both physical defs and uses, making them more constrained than normal + // operands. + // Similarly, if there are multiple defs and tied operands, we must make + // sure the same register is allocated to uses and defs. + // We didn't detect inline asm tied operands above, so just make this extra + // pass for all inline asm. + if (MI.isInlineAsm() || hasEarlyClobbers || hasPartialRedefs || + (hasTiedOps && (hasPhysDefs || MCID.getNumDefs() > 1))) { + handleThroughOperands(MI, VirtDead); + // Don't attempt coalescing when we have funny stuff going on. + CopyDstReg = 0; + // Pretend we have early clobbers so the use operands get marked below. + // This is not necessary for the common case of a single tied use. + hasEarlyClobbers = true; + } + + // Second scan. + // Allocate virtreg uses. + //IsUndef - True if this register operand reads an "undef" value, i.e. the read value doesn't matter. + //like %1 = xor undef %2, undef %2 + bool HasUndefUse = false; + for (unsigned I = 0; I != VirtOpEnd; ++I) { + MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg()) continue; + Register Reg = MO.getReg(); + if (!Register::isVirtualRegister(Reg)) + continue; + if (MO.isUse()) { + if (MO.isUndef()) { + HasUndefUse = true; + // There is no need to allocate a register for an undef use. + continue; + } + + // Populate MayLiveAcrossBlocks in case the use block is allocated before + // the def block (removing the vreg uses). + mayLiveIn(Reg); + + LiveReg &LR = reloadVirtReg(MI, I, Reg, CopyDstReg); + MCPhysReg PhysReg = LR.PhysReg; + CopySrcReg = (CopySrcReg == Reg || CopySrcReg == PhysReg) ? PhysReg : 0; + if (setPhysReg(MI, MO, PhysReg)) + killVirtReg(LR); + } + } + + //this part is newly added, deal with undef + // Allocate undef operands. This is a separate step because in a situation + // like ` = OP undef %X, %X` both operands need the same register assign + // so we should perform the normal assignment first. + if (HasUndefUse) { + for (MachineOperand &MO : MI.uses()) { + if (!MO.isReg() || !MO.isUse()) + continue; + Register Reg = MO.getReg(); + if (!Register::isVirtualRegister(Reg)) + continue; + + assert(MO.isUndef() && "Should only have undef virtreg uses left"); + allocVirtRegUndef(MO); + } + } + + // Track registers defined by instruction - early clobbers and tied uses at + // this point. + UsedInInstr.clear(); + if (hasEarlyClobbers) { + for (const MachineOperand &MO : MI.operands()) { + if (!MO.isReg()) continue; + Register Reg = MO.getReg(); + if (!Reg || !Register::isPhysicalRegister(Reg)) + continue; + // Look for physreg defs and tied uses. + if (!MO.isDef() && !MO.isTied()) continue; + markRegUsedInInstr(Reg); + } + } + + unsigned DefOpEnd = MI.getNumOperands(); + if (MI.isCall()) { + // Spill all virtregs before a call. This serves one purpose: If an + // exception is thrown, the landing pad is going to expect to find + // registers in their spill slots. + // Note: although this is appealing to just consider all definitions + // as call-clobbered, this is not correct because some of those + // definitions may be used later on and we do not want to reuse + // those for virtual registers in between. + LLVM_DEBUG(dbgs() << " Spilling remaining registers before call.\n"); + spillAll(MI, /*OnlyLiveOut*/ false); + } + + // Third scan. + // Mark all physreg defs as used before allocating virtreg defs. + for (unsigned I = 0; I != DefOpEnd; ++I) { + const MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg() || !MO.isDef() || !MO.getReg() || MO.isEarlyClobber()) + continue; + Register Reg = MO.getReg(); + + if (!Reg || !Register::isPhysicalRegister(Reg) || !MRI->isAllocatable(Reg)) + continue; + definePhysReg(MI, Reg, MO.isDead() ? regFree : regReserved); + } + + // Fourth scan. + // Allocate defs and collect dead defs. + for (unsigned I = 0; I != DefOpEnd; ++I) { + const MachineOperand &MO = MI.getOperand(I); + if (!MO.isReg() || !MO.isDef() || !MO.getReg() || MO.isEarlyClobber()) + continue; + Register Reg = MO.getReg(); + + // We have already dealt with phys regs in the previous scan. + if (Register::isPhysicalRegister(Reg)) continue; + MCPhysReg PhysReg = defineVirtReg(MI, I, Reg, CopySrcReg); + if (setPhysReg(MI, MI.getOperand(I), PhysReg)) { + VirtDead.push_back(Reg); + CopyDstReg = 0; // cancel coalescing; + } else + CopyDstReg = (CopyDstReg == Reg || CopyDstReg == PhysReg) ? PhysReg : 0; + } + + // Kill dead defs after the scan to ensure that multiple defs of the same + // register are allocated identically. We didn't need to do this for uses + // because we are crerating our own kill flags, and they are always at the + // last use. + for (unsigned VirtReg : VirtDead) + killVirtReg(VirtReg); + VirtDead.clear(); + + LLVM_DEBUG(dbgs() << "<< " << MI); + if (CopyDstReg && CopyDstReg == CopySrcReg && CopyDstSub == CopySrcSub) { + LLVM_DEBUG(dbgs() << "Mark identity copy for removal\n"); + Coalesced.push_back(&MI); + } +} + +void RegAllocFast::handleDebugValue(MachineInstr &MI) { + MachineOperand &MO = MI.getOperand(0); + + // Ignore DBG_VALUEs that aren't based on virtual registers. These are + // mostly constants and frame indices. + if (!MO.isReg()) + return; + Register Reg = MO.getReg(); + if (!Register::isVirtualRegister(Reg)) + return; + + // See if this virtual register has already been allocated to a physical + // register or spilled to a stack slot. + LiveRegMap::iterator LRI = findLiveVirtReg(Reg); + if (LRI != LiveVirtRegs.end() && LRI->PhysReg) { + setPhysReg(MI, MO, LRI->PhysReg); + } else { + int SS = StackSlotForVirtReg[Reg]; + if (SS != -1) { + // Modify DBG_VALUE now that the value is in a spill slot. + updateDbgValueForSpill(MI, SS); + LLVM_DEBUG(dbgs() << "Modifying debug info due to spill:" << "\t" << MI); + return; + } + + // We can't allocate a physreg for a DebugValue, sorry! + LLVM_DEBUG(dbgs() << "Unable to allocate vreg used by DBG_VALUE"); + MO.setReg(0); + } + + // If Reg hasn't been spilled, put this DBG_VALUE in LiveDbgValueMap so + // that future spills of Reg will have DBG_VALUEs. + LiveDbgValueMap[Reg].push_back(&MI); +} + +void RegAllocFast::allocateBasicBlock(MachineBasicBlock &MBB) { + this->MBB = &MBB; + LLVM_DEBUG(dbgs() << "\nAllocating " << MBB); + + PhysRegState.assign(TRI->getNumRegs(), regDisabled); + assert(LiveVirtRegs.empty() && "Mapping not cleared from last block?"); + + MachineBasicBlock::iterator MII = MBB.begin(); + + // Add live-in registers as live. + for (const MachineBasicBlock::RegisterMaskPair LI : MBB.liveins()) + if (MRI->isAllocatable(LI.PhysReg)) + definePhysReg(MII, LI.PhysReg, regReserved); + + VirtDead.clear(); + Coalesced.clear(); + + // Otherwise, sequentially allocate each instruction in the MBB. + for (MachineInstr &MI : MBB) { + LLVM_DEBUG( + dbgs() << "\n>> " << MI << "Regs:"; + dumpState() + ); + + // Special handling for debug values. Note that they are not allowed to + // affect codegen of the other instructions in any way. + if (MI.isDebugValue()) { + handleDebugValue(MI); + continue; + } + + allocateInstruction(MI); + } + + // Spill all physical registers holding virtual registers now. + LLVM_DEBUG(dbgs() << "Spilling live registers at end of block.\n"); + spillAll(MBB.getFirstTerminator(), /*OnlyLiveOut*/ true); + + // Erase all the coalesced copies. We are delaying it until now because + // LiveVirtRegs might refer to the instrs. + for (MachineInstr *MI : Coalesced) + MBB.erase(MI); + NumCoalesced += Coalesced.size(); + + LLVM_DEBUG(MBB.dump()); +} + +bool RegAllocFast::runOnMachineFunction(MachineFunction &MF) { + LLVM_DEBUG(dbgs() << "********** FAST REGISTER ALLOCATION **********\n" + << "********** Function: " << MF.getName() << '\n'); + MRI = &MF.getRegInfo(); + const TargetSubtargetInfo &STI = MF.getSubtarget(); + TRI = STI.getRegisterInfo(); + TII = STI.getInstrInfo(); + MFI = &MF.getFrameInfo(); + MRI->freezeReservedRegs(MF); + RegClassInfo.runOnMachineFunction(MF); + UsedInInstr.clear(); + UsedInInstr.setUniverse(TRI->getNumRegUnits()); + + // initialize the virtual->physical register map to have a 'null' + // mapping for all virtual registers + unsigned NumVirtRegs = MRI->getNumVirtRegs(); + StackSlotForVirtReg.resize(NumVirtRegs); + LiveVirtRegs.setUniverse(NumVirtRegs); + MayLiveAcrossBlocks.clear(); + MayLiveAcrossBlocks.resize(NumVirtRegs); + + // Loop over all of the basic blocks, eliminating virtual register references + for (MachineBasicBlock &MBB : MF) + allocateBasicBlock(MBB); + + // All machine operands and other references to virtual registers have been + // replaced. Remove the virtual registers. + MRI->clearVirtRegs(); + + StackSlotForVirtReg.clear(); + LiveDbgValueMap.clear(); + return true; +} + +FunctionPass *llvm::createFastRegisterAllocator() { + return new RegAllocFast(); +} diff --git "a/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/gcd_64.ll" "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/gcd_64.ll" new file mode 100644 index 0000000..550799a --- /dev/null +++ "b/\347\274\226\350\257\221\345\216\237\347\220\206\345\222\214\346\212\200\346\234\257/labs/2019-licheng/lab4/working/gcd_64.ll" @@ -0,0 +1,76 @@ +; ModuleID = '../gcd.c' +source_filename = "../gcd.c" +target datalayout = "e-m:e-p:64:64-i64:64-i128:128-n64-S128" +target triple = "riscv64" + +; Function Attrs: noinline nounwind optnone +define dso_local signext i32 @gcd(i32 signext, i32 signext) #0 { + %3 = alloca i32, align 4 + %4 = alloca i32, align 4 + %5 = alloca i32, align 4 + store i32 %0, i32* %4, align 4 + store i32 %1, i32* %5, align 4 + %6 = load i32, i32* %5, align 4 + %7 = icmp eq i32 %6, 0 + br i1 %7, label %8, label %10 + +;

      KPMbG|VZrnKQ+-*)>>FP5EojZ*dLg+Xzt;)^cO=Ns4Xqdd&u=8gq7cLBP# zF7PDF<{Ycl;x1NsBCYFXY-h``u$UE&k~8SYUYbr6wJqxzUc>q%h}x5npBXJ^*KdFs zyYZ(iG2z;Glan)LJ+#Zhvj9miJQ>O$AO*<;4`6Raa5Ei*n-0su+EWfJlL zhNHEefH_?nBn!S4h6&uzzl<+Dfije`N@&z`<@bz-1PlG=(*0z&s3>+J>+p{Xo$A1k)! zw#*GX@^UvaOjZ=AH7BOh&T=^+R%uBS#X%gZ+6=)}w&{M?+Lez_wJW*r3)ce)vQLtY zBaRVgA|z=$xBA{Fj*-!3%*|q6#Iuzox}Ss$gz;>WP&=JB!8cUJ&M!IQOK#N?Wv*?P zDSNg~**&$RxQHlE^N3`+QxLy~CjdQ{6FV$SCTUO0C}SJymIY8S6Yh;?a!9u-6FF5f zvaN`Fed@;~hg}yebEy3Ho8kXQtlh1cHlq|+3{TtZAu*?cSh{A;mOkpi5$k!LUz?$z z@v)%Ph+--|SiX_$U?s(8ezxJtw|qi-k8X-z@3tqG=Vdq=M*Wdso681c0)(_(346oy z1G;mFC~1lj{)B~dFUPaj1^Op+13Nhx!*)d7N+U`XeKGF&(!e3qiJw- z$AX@wIp>SbL!Fhh@nJoD%k33`@dr;|!*5H3z3#9e5^;hDYEgX@C_`WgU@OdC=cRY=I~=7D+bWPwu3m0 zeAkJy3^b^d5^G-!eaU1z(H=|Bw0Tc}s>gYZyGth?$$`%;G3YCTS;)3J(}xN?kw)h) zGd%b3F$(6fPL3-lm}6_os7z>s|g~zS}SLZ-riCEy~lGtG8=GE*346 z7+44i%Obk6J(Pfr`_pMS+XhhypP8N(=rbx*?n`k6>l^xfPn}wf2>j`S!pX%-N%dR+ z=1->{cNzm49J91Yn1|;p2!{xig>xc#7@gUZ!28& z)moyNGAB?o!}RGK1es!htwNk_8mi zEXXgeY*?VrHonT2L$34=Jlnr;QO!aDN2JFW!*_2b8B1Bd-I-|MeNEDmnVa~=l+VV+-S0g$gymQ=E- z&T`;ARh;=*;(0WP1BnNPq_IqW-%M%C!hl6Em()*!08h+~^W~fX&{f8`3t*kSb@FhW zb2?PE?OTQjK_3^oHFtYTeZW<6gs#(FKts2mVT;HKm6Ei0u``?d7>=CI;>$#vk3Ml+Pb-ssQ7F=Kk(^``xo&~mlSMu9jLl8 zhG14!6z~}(kmg+4QQe z!1Ao(V^)YoK5>1-vv3qbRaV;_Xw6FA=GPWAX5r#nx1E?up7Ux-01v+J3m(UYsYfo*O`V;&K9zAEe)L zkgZWl3)p)>Uuhl5&^UtUB;+wkrV41h%ZoPOWEf&W(e4EDQI1)|B2$<=^O+lEZ2?>v zilHbyu>|P zq|qI39%aNgCLSy7k(9U^(UEh7n{vU2_=Inxyihj4+cvF}6;4k!N%KmxOx^aQB6MXK zWm#gUSpeuf%vc(M=sWUF30&B;XenGq=OK%&!j8$vBDKI5JsbnaueZ*M3l%=ab&Z4k z-Wj2;hCc;Q*`}W@f8)uhBwi+YJJ%haGZw@$(@ocwB{#}rh_9_PS24{qR<(VZ!CTiQ zaV#4^NJO2*vdPt%>fd!Eaqhsthc9lH*^_6dLIsbWZ64h?Sa*|;m3P!>;&8Jtu|e)h zt8rEQ$#s-7z;l>Jc+8TO^_4Wa`2HRvdd4VTfM+uz-cL34P ztvebF6DK$T6VnBd-A>8|!}xl3Al3QMWx?~_2ojnB2vpytDOj$O0LkKi+oUV1 z-EF@{MOhfmW{?9GV@Q({*nJfo2g4fcqrU+F!T>G1u&oOu7(B(sZs*S7+eQ1>X_UGKxl?Zp4wUN&I3CY6>;;TPe(&kCF8&q zqR64gNd_5KNsS33EkN{2=8;NguUVU_`uIA@HM+}p&T_uuGGi)e_Tf@&`!X*ABj4_w z!3)gbILdw~;#PuO?c%nJ!We{h?8+W=N7@h7(avg~h;ru?oVFooUSq*w#0;f<9$e##z|0x=YK<%^4t?p>t=AEsq>kjEx*b44_J;%K zI_Pse**$of>!>{ObC>g|*VtKHxVKJydVZ@Wzb488w6Pt8w9Jr+0jhEb9UilX54Y!j zm%Df}iAOUZcxvl|D7RQYx5qlidK-93iJ`YDH-W)(d{X5nD6`JnYu)b$kKR#(L%ufc zHpUR8qrHhi^uCp4oXGf^1pP#isMzq$GPg&ZWjFC3)Zl zc_`h!XY-UTi7$P`c*vCuHHRh?4(@eBXM%Ed27#z;u5Qa}kkVhK>+X!+d1n1IyZ zqUmtLKtxWgnH~p94NLAx3~O$Swhvd;BsR4>6^WnTyWTMYoF)Rz8Ol_MUG||s+kq8q z(?g~$@oeb^CKtx?-G!Z<1ka6~U6u8Fa_=0pelIshY16zPJ)iMdSfCRnbt@_hW3aJu zhEFOyvQ?GY-`O0vr{!gdKguBp!_WdX;U6p(1%*p2HjeDo;#(S*H~?6q@1D*!zbQn$ zRCd3;eS zCxEDBT@%2OGBgVc@VUwvqr8cDAX?(FVg}%)u>^_iPA``n8rXyPSDzwU*Hx_ez0MCc4cYorD&yg?38ygfaNhL^Rp#w18^4}2HR`I2$p(ifpDFkJR0{@Ntf$$E(@&F+@Nu01)z#sS=vB7tXsh@9zS#@Gxstfx$6jXlVkb9 zIfa+)5*zn~o~S(Uug8&laPn&{0kB(TQY&|2woFTac8yZqA@;oAWB`KqXwnsM3)`AC zJ(Ocfd*WwJpHC{0aifb>CM;g*AH+SYqP6k#*_(ur>vK&;e5vlDmbDc5rDB{Y1@v~m>tlEG-*s&RVCq` z6w+fL1JfXMFhm;&qD0p!o^;D{c!TF+$N^rw$P1!nq|HGkHdy7U=RHfaTvRGA$S}fJ zRQaLMR`~Ior~yOSgKrXF@P9^SWJjtLivZCV8@-It-A0;2O*&9L-pm;Z4%5ik$R@_7 zrH&Rx4Jv!*y_2D<`Ge#v=Zq4FZ<5}wSCf-l!KPO-dwvfW0D z9ASrgR)w4h{xaj6m>;9WXI`?S=q=7I50*wYjU+F4oJMJ~_y{)6nslo|0PCCb0r7Z`k zEH)q?sZ8jCqXM0&^Jnnv;-_lgy}5Glb*8cq?I`x6FmM2fpus8uGP#_*4q)2%<|d2a zE-|THr*J*2GjFIfOz4J#-e1w_?K5kP*O1BcGc+N4yW^6 zmE7MMAqeUZ2iSspC8N6a9Q%*Jiug`d6KaiRLv!D9TGmZ9g7w|??pCD1l30Ueej7WM zs%p`gw)BYOcr0)x-^KV3_mJ2mb8KN-I;(@U0<_XqQozzJt1b#afc3s#h}6T{&Wwe@ zEV9Ib4F^giW^EXnLBIwUhBQo=%giJUXr6|ipEe-JF*Y;T8EEVEY|w}L_I&is_mRij z$9V3fNVX}K8?i|4Nf)3Dla@oQwgqw?#9y2~sB&(IFlW+35*+SWX3o2de7#<{X16H>nlb_tBPwOG~P7?fmGAY$U!_3g-Y zWzMDG0O$AUZHV@4A0#lI4amts$&f(Bkl$A)Z({wL?boe6nhYfG~T<3A@aJJA~|-WY$D>qrgKCDymseFJECC(ux=QmEW(l>Axklp zDHL&0g(75C#G4lQnGerb0Y`Z4Jg;4KJ{Cz@Jx=6FWg#N&wtgnr!bhs*klaCGzloJ7=aW_vm5FO@gtWz5jq<4 zissQV1PWrAvZ+K3+LLvhxK2V{nt&=4d5l%YmKbNgF?ZT2vsP6~xdF~Fz9-?;8vB{` zl@~Bzl%@?s8gK>l;kDC_={$x}k_7!LE%5y;2{(M4xjJW3?Za4|_q^1N1GHrtYMpGJ ze(J??2=o2*A(e>~k2%t(z-wr0lYJUl?wooeYl+R#zd>qyG0Ww<+#V0bE3E-8kamWs zTTl!I6OrH&c2_K7?Nn3KK>zN!2ITG9h}v^n-pVG*ILMnZ}nUQ<>YwKo-BsL z#a63KdcZXuGR-64&1_D5U9dV1Ve7~`5sYOs$RAry#PI+(yVfo@dzPiV=VJ-vlxQW5 z5>~M!=L5?$qSGaRXtg1};f&*zEa9Z#Q;Q}UcU54iXuyFvy9Fxcc;Wi4x?+0h<%t=n5&&I{q z5x)FiD9z9s-Tg4raQ`6o81X7y&9+0O1%9xYHlR4ow1*FmMGAB)W zSMo5Z3SI*e8Bnuy43xF^N|Srr_6w);T|XjJ<}FQuB(HH9#jAGX zU(~V%7>(3vnfiwxT~6k^r_x{^KDvw@D0r1j#KMYOqsXEd1!x4jKr>7lL}?_W(97I# zXB_}mTNL*P4LHy96l*B;C8$Nf^zK;rO;I$SGFyU7Uuv&FGxodioyfXc$YoA;qAoY9ZeRawM%m=)GaBZF!#^VQzdj`SHCKZI4mgU;H6-RNpA8a6! z%BPr;eVEe}gWF*n?K0SiArSEa38@W3iMoPl=*I4VRHm_vh75ofO_Lzv6~|g#$Qd|b zswFNB>q>kZ)=)qxw&qlvEzQPV6SO)I^|BlDv77G%6c_l&J~CDBFqEd3PV`T z3{xvy9;Gzb%Os$FybI4@Ft;(wD;~UwqQO(W~4nWz$d=F5NhtSqNa_zH++4cfcv2AZ&*e`)*`W zTn#BPsi>n6M3kB5929%7HA!q2e1;((lQaP$Bnqq;2eL{_GIagQLB&97sy4NynTsV@ zHwYCu?T=HW1a%(q~!t?B$_KDf;kk7 z6dY7@G-pY`Va~IebL4g$9-Nq*gj_ehu?Xw3sDoAj4%x5vn@=rx|9p1Ah1L6Nk!lat zqQW3tRKGBQ6!QQo8_P$K3UdHp_-sGO%M=t~<^%!O7j{BkB)$(!Bq5g9IrU~*OG?O! zT7H&dm_ipOi9P$a6%fmvm=}b4XYOY@(MC7TywKJbIVoj5eyZzB;KtW&&!3KxzvB%_z zHk}j)_Ex<4z1knt{*bcM-PD}8TFbTEhru&$)tq?tolCWe6AfMuSG~e4j@uO{HlV~E z(g6vM=fcVSj^|+-)>#u}0D6MV$w8~{0j^S?2x2;_tcyF@E+1pE7qW3961t=eT^V~3 zEEE$@6*C=@?sC{GS>Q|8i?f6!@QyPI^4iO2Q2iUKD}neWt$v8u|r%kw#& z-7wT!X`;_%tBbWZ))0`b{nWDy%NYGiJ%dq^|pGu)H+@xY2P_jPk+rmaSA3jE+WD_6G z@HoJpmMxwYk1sz>vN$(d9%q!Ilk$)*M-q;81Ql+04A`CG4#T)L|s^q6$?)6VWqe z>$>2TCvK?_@#EKd1em4z>0f9qy61B=~@If(%0 zW`KBkRDpeR=TM!iI(o90Faj))Kv{a6>v5^Wqhj+vxyGs9 z*eY*!^{LE-3e7kNW~|2cy4CxdQz+<~=VG8iL3^(rkR z$}+v}%cSn`vVp$pT>BuycV(qJt9qGe>&CNmsK(QAW(CT53eBCn>(Y z#Uk!Y(fD^XWBc9{-FSNc?UlkM=IjRqGd)daWdIKISaQ&kjwNiH>{(1BzTq4#Bz{`B zuQWkMJ#e_IaBwy1789YM>b^@JzjnrEMrX#zFF!q^+EiXo|be z+i7zyJvla9<&IUDwLmBc09#go(Fzzl0bEr8?)?9(t$p8M%yNjM3IHhtH5~%oR%fLY zUPz1G9>n9hw06irIdf9AFpR$9Ud-^6KX-{aIF)5q3gp3>*=l%9GFCcfVLKJN=(g$0 z$j`QYPVF2>6>0~LN@AIp3F|NdzWfGd?c1#aaNVuji$~bTog5O~?`I!_8pbYwUEvso zOh|X2x+a-HMF71QEb9uaX5TXz03<`Aw%3bSnW~@vk+t`TG(V+zrxAN}rwq>OH(9>l zrL_wm*)#&ivOC7WgG!`}rx(dk3&EJ8kq*87NP10bdd^VC9R&oO?5G|1nD-mOSYfSW z{F`KMp4iP04NWMyZP~e7xHfQL-|p&giC5OeS|@3Fg74D&8~fEqw=4O**v@MV{TkG} z8ugdskDe^h1=y%QVKCw#(XWtllEMJ)&7^ehKiGNpM4ILPHdu#RaInjAxyA{r;uWo8^x zz`;RWD4Pc8NTY*i{FrvGU2=2o17;+?_u}4TBhCOf*C+|p%bqNZFcGN-=wO%SfsJtp zOm>b(15X0}1gNxW9T>wsjA3rx zWa)$6;z^u|z=l=c&waNh5eLV1dABFw&STYataChjO9)T6ae@WPVs4m~++%>}zKmR_ zTzt%~s!Q`V&fYT41+h+NaDDep?J2`oDV2Em2);6Ax=A$;8wLXg(j9O!>_wR9EX*>p z--R>q7%qK2Gn;12*KGzsqO%00MV=B1#?Di<%IFy@eeyO|I+aE^VWm6qI(njOmd!m& zw-0W-aSk2F`iC2fA4c99Lb4#sGn-LB!P~)i-5_F-OmYw@GMn(|& zQ@rVRuIdlQ9zR^dHXhx>*?RD%zzua0^Z#4f+e6!lY-xf7oO3Q+HB%3zQYpHtUl%H+ zUQ*LP#f(}{O!s=ztkuR~%&dip873wsW|(1y8D^N6n3$NDn3$NDn51-wJ9`J5d(TxW ztL9~jtE<1mU&P+uw|~Cf%wlxv>uMKWLH!_108au`Bau4!jrK6;U6RS}x0$ec-sHw9 zb)}n;_S!hvmN{wD-0IK`cm0p1a_MES;hW!RhQ2@A4?n`5#2!SFa?h_#U5!D`s-STe z6fydg1we=P^Bh>~6eX7|Op;-cqa3!LnsgCin`bXuW6y(3d%cVm-D85v4p1Z4C%=Z>@& z`sJ^VxBgP5-05}4=HxZhfa)jF91;(&Ft`!aoB-^qqIHv%0d+=NE(tJo1ITi zZFHFZe_12{A%&eFpSBMk7ys9&X)c2f4~_g0arVhBtqe7#o@P$mAusdhcZ_v!N{%Q^ zZF`2Ppi7o5R`~fi6ujS-(R5=DBob3wHsg6xNG5=}10|Sh!3Wz{+qkZ)jw)55hAH^d z?$B7-J5S%4rr_IKLA9wTOocAP9!Uh&9$V2T02hOk8O4QC!%dUq9FuhI2^WN#eguKF zC)Gm?eB1aUG8yfg1Xjf!(fe@k@BucZgjj#-iNz^ifA)n&t34 zA~;EP1s)BIhc=Nx0)Br%MPh2yvV6i@luH51>1vjfn#}gB{RvnS1>CHhrWez6^~^<_ zoM6`!GUqoMyyt_IV?^=IleN7Ac{gbhJWiF0;%uZU1``>jGLK0F?#~Q~gQEgB6x^gW zB7U~wSga(7IrC%{%SNJx<04=yoqCib(IwU!VY3We-99&y+3v0-^W0GFw9<*LgS_@m zY`gW|5RLaT9PzcAuifjTKK^dV;XUAyrT)OC7^zSgFxHrL$-n?^SjUan85(s2;PZ8*nKlJ7XTuo&u`8xDR$H7~^+ z?0s(b&#&P@y!q+UQjHB7d8s-@YYfj)V%4e*RaK<6pNedw%cT;9KLgHbxCE=+an5B? z=<(4iIud}P@UEh6a?@9Pa`-WW=clJgz_)o56ElAA{HC?;RGH|HMn+Xuk`=5er$su| z*M%f`!sEZ<{+*BddZEJiJHGUTl{dN%cGe>Ccv)-J`rbA*Sz zPT`khIUB_cfRSh$?7r#^pmySQD93qHK}b01>8P$u&6!<2TBle87@_rGR}qt6|ub>2o;kGo?s+)XlwpuK0Sc*x$cilo`zSH5FCGg)^2} z4R&)ZLo-CYoARkkG62jK#*)%rg>4%Tjj5Ae_t_jKP0?UA-oemfG0)Z4eCCP+{Fx3+KT<> zOBF^jFS4(BjzwA#SOuJ-J`Xuq+KMROuNIl-EHWjtTRk(tZbrA;Xt!d%@B^~oXAN1* z8t;W`W(E@ee3SkpQJ7SfCtNRz^+>#VmO#>*~WF!jy1+(`k)3&YXiuz z#JVE$K=M&1v1v*>JMkj}+v6S>{>`o1`t2>k{Y|vf$q*c8s8+8`iYsc8io{=~&Dgfm zL?rpemHo!R$!ufX4^qyfkcnbP3@UdD!)!iV_WvFc;CfGkCib3``vBnS9Zs4+3k+95 zkO2MXeQi4y0qe`a_@h2znr5tPd59_6H4{nVr&+9PUHc>=;XL~zmsw@E#Klq*_&Zxk zTdfij+pU*mxt>ceN|Ic30C0T|eraZ}tiDW{=axwYf2f13;ccE5q7>Pl>yIC#UGKfb zdtddZC%>~Bg~Ik-<&xjW|59b4!|fiT`x4 zfV&vXk67HZ_l^DuXvlL?3m>^t2tSz2AbH!@dDeKo^omvHD%4mF5om5FdWuq}fCA8b zZykUiVH`$08jCcu-EFK?funQXiWVj(2!1*_v@?Qx?F<|F?Vn7++=rh(ygrKhUNbw$ zlO{<4zf?&BuhTDQa6j$VX9U0jW>i`o*&@x>xlSC`)Lw(;wL@L>dd^i^7bStcnNx)z z=H!$|9{S&yKE!{zXNl)K$MW{3lz-<4otmgH0?Q6qC9zw8cnlCAV>Q^sys8rT(hqd% zY8)R9+_X5CWf1}J%XwQhO`b2jQm`$XAz$IBS>JKVn|9jYeR{(9@ZTH%_vc-L08V=Y zAh(OWkh`n02<>GO$z=nen6|?h$PyDCVWg6fsI4!^APdfl0>!;6YFAF_1_g_^X07Tq z^f^`?!8J-TJzDXka>~nms=0Yqq<$>GhL2#we>(8N9tOWhBUn=K!XvkNBtkIQGR>CE zlYW-yZlF#M*O9Ah&a;v;GBOz{=4%-QnFvROBSbWP);DRiHfg`}MbtiF!0G?lL`L5{ z%}_%1|Uf@yD9*e z=g}2aVTN*8gq?47>&)9M85q+Do)vH%KhPEI&YtPR4EJnAogXnRteS9H7ZMI&~n_m(ai8o9)vyZG5FU{GFi6@ z-VZ=w+T>D&VxcsRn4nh#wy5? zh;cnuO_c-gR#pYrg+Hsf+$zN07(;k7#-kDplOqSp!=vTGcu zW}(a-Ep$p_5z`BgFk(7M&ubSxp^6MVwNOTjlwDEf74`(!&hrfQ_b;yFKEtse$5mXi zI;SMjiBX9db*M~rFgD(2c35ay00;m^5jEUs+RrAaj#)GKQMWiTq=5UMj(7k6|GZ&M z!S84v(*Q<5&v;J+T7g}ZIsxzz|7+(x?HaIW-_*kF`l-9B#8bWYhdrCVzwV#zSDxdl z)E-$+Lc($#=}z-)!O;wflw70)%-T?Hh40snr><1(@~VEEv9>A_;AsGZBAOL66`fvk z;rWFQHU%pMDAfYjhVkY8Qat;n*WdL`ukU@6z1y3DWI-g8fY57gbB1Y2J+e|2Dq&?D zi8z%U8$tAx0#|1I4DUZ;LWHWx8kOb?;A_3of~&NXvf(u#icY}7DfaqYQ_J@sZWa0f zc0Bt|I?dUu`EW2Z_?=vP9%-sNMaGjQo}Q9k5)b9TWe^*J!`OAuL!f{PmfNKB+;$yH z3d0ABv9+{b6MQb(qm*m%aZR?e?xc0lWO;9=$`XPVJhh--;VV}XTV-%U1(Kd z6lfr>q@pZy!j(G7iU%@GSmaA!P^A>GUdO(w2SB4>5#hbSuFbBsMaX*O74dp554Y#H zzwAS$;s^5JlG~V`)59-LQULNQTAkZLl-4;)ugyVHvq}yn2`jxkT8W>Dp$YZ6WOiQW zD0s-EC}Vi$dK!va)L5E4OJdoH?e+b2%`(pO%+&F~dvo`hu6+23FT$SjR$AvfTJ05L>a5AB!_ zor$>+j6}B=aTjOa+w9-HP;bkc)Um!`Db#|z?{-{7T%vlnp13Z#uK3P1!pHa=!@fO~ z940G^jCr{uX0@%0N7J+rG0B0r~z<3!a1@YjQ<}6nVz=U}A zl$B^2X`V5_dwc#DmfHN_U2>C6deQIv=e^;%k{K)VfEG~z)<<3PYhiRj!WiC1u=lVs z+dE-g@95k(i2R|=`q|KY42*V8(=8Zh0P2~K9(IX#HW1ZIB=jVVcbz&RI&q7SqD>1- z?tz)u$A5Vl)&Kom;h+CP{)zk%(6Y@D1e;Q|Z4GjOc|8D5JvO?@NFY@~OO*tODYjuC zxr;SlBu5P_*>26qd0J<6o2ZzV73|YO*;%`ZIH_x}ig!Kf81Mi2S%v1C-ZXp~_d%Ms zdx)XKj&7{+XupnR&^)ty)pa>H{pHCPFIYoaoUx%Bu*|Xv?EeT#eEJ#bGA2{S4!i%? zU&HyP64|Ju5FrEpo8?ZZrU}@8>9Z=wUVRkprQcpemA||Qo3O|9!tCrjy+%x36Tk=^ zaLMet0w8s@MX<(~jHVXLf2zfLk5v7xdfk-lynb)gu@`4rD-n4DYuDR+jI~#gKAHrM zDZlvFe#_HLMk7u%v&XH}tC;OT*uHf^EaWU^4ecG1|MjWv;0;C!zRgkEMp6oGs3|gZ z4oNX``?&`yK=LRWvNWv%9)(IMm&yV--s+Mdj`WIcl#$Yr`RT%<*o4ipBW#wK<=wRL zKq|ZP1F=jQ-R5x|w;sM6J=*M{KHb}`!}Q+g_%0dA*N$Y$$*=Y8IEk-dbWebn=k3<} zWIO&o=hOP}h@8GeHfXZQlUmB_LoMZ02-FtitwA_>=4~=B*i58|l;=KLdWz~&RY2{- z6f>9XtJD>Moz>}DUXW;;mlbY355z>8NEeNlu?ls951#hNquTiB)ixHxyz%FDk~3??H>}>A;R#$t|O+8Al z0DF9+o0<{nNf!`z3aqr!4a;tNynrgjM!)SG(+ zF*6zcvMY0Ndp6o(bn^#0$v3dA*b>!&PZ>8##=P`sE*DxeQYgK|61cayw!|JT<;!dP z`SYPL4U4uLGU5$-211vyO9@xkH@uOZU5Yww#8D%1a!niuV;0{gG4_@qj9}KOZZLT{ zNXoe410@013^Kt>a_r6YC5l~mT9?R~_F9)6!Ff-K z59|osmqvw(;&sG%mWM?_{YWpa2DFyC8Yf#9T3*L(71+4kJH<8J&tU56n!D@YKmXxg zjM;p=!$_#?Ac?wC1$CLFo@Va0Fal>8kI%Q}&X@k|h-;z+Bbl?;8rZ^;Chz^``205p zELh~hCVTUd-H{Qt!?w6S!6t_V`v97p)R~S?BdImc#7L3ebAY(MmWv<>4j*tZhIyud z4RDIl|7mdYtr8t9^)5^gCeu`Wy!wRh@MLs+AYhg-|C**rnwVvUTRv;DNmvxPU3LI68xM1PCi*^%ftrW9Y=#B z6zXa|7+rN@Y&YVoLrd%7J6c+($K*&yHUn9-mjlN0lRU#w!JXK`OH>a{E4Ls`!GZcV z&{S8c1G`N)C);S7Kl#LOf&_p5{AmyJ*&P5sC$DkxaFyv$H(Kcc#V(?{V6s?w5?ABG zFFXW~H*gxNlnd+xp|Z>>A>3?Iv=lf;$rh%L>8)Ygx8%ABFFv2#*<0RiH_3_>M@l+O zE)(ffSQVp|+DEbOsC8YhURQonX;KX&46{^BJWFxXaau>H2JE?_DjVGI^<#2r?0Vmi zCMf?Kadez(=m+58FbGoCLCt95Z<23gMh2z>+p2>!(H^Rzm#|}UCMm1~jQM9ydWmnE zkIu)xA^(y5f-<{Cu*&)P`skvOHjTN@L=`k%;Q6lhfi4X(K;R-!eLP&^YYPx&kzP

      +sDotBI5{0v#3?~@C&Jr>i zZ;OqN=@p7&WtzuKjcNq8)puiA%$RYp*|PARoScHn+!?k_wi3A3F}SR0w+$pfm=K1k z0jnt~kV3gS3=7yKhK{PtILCg*jj!0C3jh#YRuhMLpO-85hL7UA7z5JMOK? zzB@4_KaA;^q4Tp6Gjjl!ZU6)&pR-m|ddO4ZfTax3?I}<*vxpIpR4ifynQ=QY(-Z10 zl`#nIk%_a)G%+W=AxR@2)z3-i9`sUFz%IadOwcLo#30KVuL@PWE0h${0% zf=pDl2FqV*K@{2mF_I*PxaC;5?vXTjcI}Q7N}^VPA?$zHwEP_gIxvV-+=*O9`5`oJ zyX-9~Z2HRl`RBWdxfGr9a2~ikx$6K$7JPV6SZm_qP?4bnTdF216YpGJ!cpEpYe7UY zDds5BmdtTbaRRq@j1Qk8nrI`EY$34O%tw?MJ9hxKkcA~GZ@bM<7;8+1*_$F#hVLqY zml1LE(jx!J`P!a>lX5J_eg=cOewz*Q26#m2B(3|u)=1A8CO4)Vg(6-lI zGl@muom#Ag-;6V;INVOS=Ni%29jzaM+pw1nXe0?>gG>Ka2rC`ev6f1xqQ&F#d}7zX z+pJN%pcq)6x`MWQc(P9VS&77}rjem8s{DjP4UZ$EMNH#FTkm~bIk*C!b~i-yUggd2 zp2-6LPy0{X_{i=%ojRimzsuhc)w0_V=dApE-_6*YosXmR?N6oCgu8DyJw~F&5S$6^ zwbS^z`Rg!~VpWM?C(-eTX#L%r zH=ob$<<$!;=ak7z0)DsKB;R@E><((U2O{58`OuX%a;Q}-g+K3`t;Fn-Fk9poCI6PDFs5fe~C=& zemYe(T12UmFW3YJC;^)UeuO&4O!XXT+8Z=g>^4luxA_AJbIC87 zJ;*+>I+K5X^dm>HA`w-|8o+EY)V%IQ8<4?aPC=bno1lnb=VjF<&zurS3Z^x<9N*(^ z*xI6b`Qf4GN!d5^m0BkR$CVrL{TF$~{Z6zKwCJ7T#Yj;b;{o<9WxV=}iT{#X zMFa=$+d7Ke+W&UE+S-XpYI=I*<&?QORVsD+>?H^lNyvbLh&e^BT`vu{zW1FhH&28^ z*=Kz33<7LbR8i&UzimDl-)-3UNV47oR9O_W;Mw1Uyi%U$D{?4kP-N##ETO4Zf8za-D}h`^**pGd!K!CW-Xk@nG5Y*n?bKJH_P+tjZx?gSZ=C&;4c2g0%?`-Ud7 za&Yhu(~&OKwfbV+cy)J_Ntfvh@-cgF=?FUJtglD#sC2^XH<#3?YICSh3o2;7_vpaAL{ow~;!n<{*-jHjm*(1^mHI%lxdVY#H+CG`Y z@?I$ey^-Kq8ubg0A4QDUr840n6vyov*=nyLp8}849r%xUsyrSc%}b1uU7R?9a?h6g z0a&y=$9Em5$70RrNUx6~2gMC->b|^^nbyu!bH}xoXkSV`zQqNtV`M?jmmZ@BfkRI| zA}_JDeQC; zY!xU_>ufwEo)JrzU+FXK4sWO zzj$yqNMlCET4!#_PGPP;EnNrxv*X??k>U=+T-ddtW*toz7x$d=v9zbVMVc#A3jOKN==UIrWcL!ma5&uTq&(rYdAQ_X?W_FRJ%eT3; zjI*cJQ4Enk(JoIJABe>{(N}F=adYl)?imX#ehGW2wtt) zaeX7Xicvpr%Y|m6T;xp(ax_eJxTg%cV+{iz{tVZ6J#~@d@kOJ67l7h89r^_XX*#1!s(@FD$y$l?Hwa1mcr;Mi$%Z zB*tp3oYn6WQKAh7v<}4nFP>GIXsNFH^&5(Kt@#AtNjWID>UX0&<)!aWrcryV$(s4% zOi8FVQ2ym*%=8VsCAkKbS_2d-H7F1$-O?kLHpZ>erOx4mXANT>Vz9(yON~cNh`#y! zoO>Ag#4IyypOpD;NYC_5K~weUkJ1N0{sTW*LcjZi;-<5FmIeIcG*smuwgvqg#fq7> zGTU2updW$>rR$Irc8+dKcmD8x?qoP*<>3Bi7C#69$H4Jkmi_f^1&_hOmC5xN2iZ|i zZ;35nP(J2^H2}KvoPM=BHSG{T3}J~BN2in`1JN>lm4@iTaLn^~KO6uCav{WI@o`}j zMKuG#j^Rg9-WSr^F)_*z@0b9#yAiSHrdyj~FqyEs;OwD*ivT$R-Q}n)e`RLwyq$r; zS4ci&?20%G*fHD3ps9Zm(R*Nw{KAHVv(ahyq=V`Ruh*x6jtDym8O!<0=t^O>>h^c@4}uSSB-0s3|4f(BhzTI7(;ZCCkre>$1xFvq%9oWGfN z`)(o8s@ZSP;ldK`IxcJaGJC>9BFDL7n!{a7%f8?{`~veZlzd@pekQC>{M9s8t|rNT7Nk5z;tP$ui~-2qd2f2arNhus^$URRHe&Gk^zV&l9&?MFoX zU^_$qB`gs+O=T}Z(_hf%A(o5t8GDZtTkADOkF_ncwX2gy;Bm=mO(x5}kCD;gJ{LcH z4ObFgmwwJY8PMdGmmW(V61Qk@+Bx`LS#2F0m|s=1GW%0!V-vh`EbDP9>v1CMakfDe zyYF$_2&Ap*p|qd4~(fZgCA+eZ;5=uZ0bEyDa0X_v%Mh2*_$Kf&(!ogYFK|f-vE*DJz%S zMM};K;sSL5@}RZo2w9=Bc2-{b0M0QpEQh=YZx3OmHQ?(W#r@#M<~GD)Y8)~yUX3DS zm05_XA5m^F``ZRR&9MnSKa3ddV4Z=c2wTI5t6Ps%eSrVX#L>mXv;t93fs1n0n@zo zqf7{S@q*HourKj!TVM3uf#;ltU=nU)=gIHksY`}=*J3Jv#h)|U-m{;W0wEe0VMWUl zRi7T&KYHT7;XQGm_Rk*ok!A=h0c7mKAw}^~Nh*$KA{bN9od+7e}zO%6!+CiX*Sa!RnDIq4G>uZB+ zGYtxRJ+!@SGiz`=oe^;k|BKeTP$T&MA{on;+aLeR++tIC8Umx7WSwa;4kXK{zvI zSs!8K@u$7sK(vnX&LVuZIMMZ(WW!)0h0scB{L#ER0_so$pS&U!@;E}qSFPF`%Q4)*qG}5tm5Y+MX^katnWkel8RSySGX5s&_il)-yFi|^e+rOVc5pf$a zh@CA=NEiwewHC9r7qgduia})m{|R$JUFR3}fAJnFXlM#y7GV}P|364m93t}XcrW$^ zWjJFE8oRh-R852$^S*WBos`Ui+STa4bX)yxp2u&@YC2We{_Oofzsv(HZU`BAFSuZH z7fG+qF46nGO}?|QHhtwB=ho%OGb0`uJlM(ate@;#lhbVJb$(>!9nEF6L*RA51>Mp& zRxraBfKug50Yh_#>6oAd1zrlPc>;$xkMCemwD~9Ww-&rO8&I6BX3BsCC{Y`f+Sful zRz_(HA=zyaD;*CiergyEROsIt94oV#A?gL>-2eluDZ#Pa)+@LlYywk8K*#m( zk2_0h`lkr;XHNxsWS94&c&i?uq&hr;Ey2xdvxY_SwZ+$a!SFA#t*QU)p)HHz>qK*c zW!>G{pJ%CMBloR&JG0$144lF361Yeq>{$=dwfST5*1?$o;X5uuUpL!jPra6@4K>ql&{s%@hGyhL9=YahQLAA1J^h&V(TDoVh? JfzVVU_!nn{hLivR literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\226\271\346\263\225/notes/cm-uncompleted.tex" "b/\350\256\241\347\256\227\346\226\271\346\263\225/notes/cm-uncompleted.tex" new file mode 100644 index 0000000..0a67c4f --- /dev/null +++ "b/\350\256\241\347\256\227\346\226\271\346\263\225/notes/cm-uncompleted.tex" @@ -0,0 +1,417 @@ +\documentclass[a4paper,12pt]{article} +\def\pgfsysdriver{pgfsys-dvipdfm.def} + +\usepackage[no-math]{fontspec} +\usepackage{indentfirst} +\usepackage{xunicode}% provides unicode character macros +\usepackage{xltxtra} % provides some fixes/extras +\usepackage{tikz} + +\XeTeXlinebreaklocale "zh" +\XeTeXlinebreakskip = 0pt plus 1pt minus 0.1pt + +\usepackage{amsmath} +\usepackage{bm} +\usepackage{mathpazo} +\usepackage{color} +\usepackage{mdwlist} +\usepackage{paralist} +\usepackage{enumerate} +\usepackage{url} +\usepackage{latexsym} +\usepackage{hyperref} +\usepackage{ulem} +\hypersetup{ + colorlinks, + citecolor=blue, + filecolor=black, + linkcolor=black, + urlcolor=brown +} + + +\setlength{\parskip}{5pt} +%\newfontfamily\hei{"黑体"} + +%\setmainfont{msyhl.ttc} +\setmainfont[BoldFont={Deng.ttf}]{Dengl.ttf} +%\setmainfont[BoldFont={timesbd.ttf},ItalicFont={timesi.ttf}]{times.ttf} +%\setmathfont{BRADHITC.TTF} + + +\begin{document} +\title{Notes of Computational Method} +\author{Michael Zhu} +\date{2016.6.8} +\maketitle +\tableofcontents +\newpage +\setcounter{section}{-1} +\section{绪论} +\paragraph{绝对误差} $e=x^*-x$ +\subparagraph{绝对误差限} $|e|\le\epsilon$ +\paragraph{相对误差} $e_r=\frac{x^*-x}{x^*}$ +\subparagraph{相对误差限} $|e_r|\le\epsilon_r$ +\paragraph{产生误差的主要原因} 原始误差,截断误差,舍入误差 +\paragraph{有效位数} 当 $x$ 的误差限为某一位的半个单位,则这一位到第一个非零位的位数称为 $x$ 的有效位数。 +\paragraph{向量范数($L_p$)} $\|\mathbf{X}\|_p=\left(\sum_{i=1}^{n}|x_i|^p\right)^{1/p},\ \ 1\le p\le\infty.$ +\subparagraph{$p=1$} $\|\mathbf{X}\|_1=\sum_{i=1}^{n}|x_i|$ +\subparagraph{$p=2$} $\|\mathbf{X}\|_2=\sqrt{\sum_{i=1}^{n}|x_i|^2}$ +\subparagraph{$p=\infty$} $\|\mathbf{X}\|_\infty=\underset{1\le i\le n}{\mathrm{max}}\{|x_i|\}$ +\paragraph{矩阵范数} $\|\mathsf{A}\|=\underset{\underset{x\not=0}{x\in\mathbf{R}^n}}{\mathrm{sup}}\frac{\|\mathsf{A}\mathbf{X}\|}{\|\mathbf{X}\|}$\quad 或 \quad $\|\mathsf{A}\|=\underset{\underset{\|x\|=1}{x\in\mathbf{R}^n}}{\mathrm{sup}}\|\mathsf{A}\mathbf{X}\|$ +\subparagraph{$p=1$} $\|\mathsf{A}\|_1=\underset{1\le j\le n}{\mathrm{max}}\sum_{i=1}^{n}|a_{i\ j}|$ +\subparagraph{$p=\infty$} $\|\mathsf{A}\|_\infty=\underset{1\le i\le n}{\mathrm{max}}\sum_{j=1}^{n}|a_{i\ j}|$ +\subparagraph{$p=2$} $\|\mathsf{A}\|_2=(\rho(\mathsf{A}^TA))^{1/2}$ +\subparagraph{谱半径} $\rho(\mathsf{A})=\underset{i}{\mathrm{max}}\{|\lambda_i|\}$ +\subparagraph{Euclid/Schur} $\|\mathsf{A}\|_E=(\sum_{i=1}^{n}\sum_{j=1}^{n}|a_{ij}|^2)^{1/2}$ +\paragraph{特征值与范数} $|\lambda|\le\|\mathsf{A}\|$,\ \ $\rho(\mathsf{A})\le\|\mathsf{A}\|.$ + +\section{插值} +\subsection{Lagrange 插值} +\paragraph{基函数} +\[ +l_i(x)=\underset{\underset{j\not=i}{0\le j\le n}}{\prod} \frac{x-x_j}{x_i-x_j} +\] +\paragraph{插值多项式} +\[ +L_n(x)=\sum_{i=0}^{n}l_i(x)f(x_i) +\] +\paragraph{误差} +\[ +R_n(x)=\frac{f^{(n+1)}(\xi)}{(n+1)!}\prod_{i=0}^{n}(x-x_i),\quad \xi\in[a,b] +\] +\subsection{Newton 插值} +\paragraph{差商} +\subparagraph{一阶差商} $f[x_0,x_1]=\frac{f(x_1)-f(x_0)}{x_1-x_0}$ +\subparagraph{$k$ 阶差商} $f[x_0,x_1,\cdots,x_n]=\frac{f[x_1,x_2,\cdots,x_k]-f[x_0,x_1,\cdots,x_{k-1}]}{x_k-x_0}$ +\paragraph{差商的性质} +\subparagraph{(1)} +\[ +f[x_0,x_1,\cdots,x_n]=\sum_{i=0}^{k}\frac{f(x_i)}{\underset{\underset{j\not=i}{0\le j\le n}}{\prod}(x_i-x_j)} +\] +\subparagraph{(2)} 差商与节点的顺序无关。 +\paragraph{插值多项式} +\[ +N(x)=f(x_0)+(x-x_0)f[x_0,x_1]+\cdots+(x-x_0)(x-x_1)\cdots(x-x_{n-1})f[x_0,x_1,\cdots,x_n] +\] +\[ +N(x)=f(x_0)+\sum_{k=1}^{n}f[x_0,\cdots,x_k](x-x_0)\cdots(x-x^{k-1}) +\] +\paragraph{误差} +\[ +R_n(x)=\frac{f^{(n+1)}(\xi)}{(n+1)!}\prod_{i=0}^{n}(x-x_i)=f[x,x_0,\cdots,x_n]\prod_{i=0}^{n}(x-x_i) +\] +和 Lagrange 插值多项式的误差完全相等。 +\subsection{Hermite 插值} +\paragraph{构造基函数} +\paragraph{利用差商构造} +\subsection{分段插值} +\paragraph{插值函数} +\[ +p_i(x)=\frac{x-x_{i+1}}{x_i-x_{i+1}}f(x_i)+\frac{x-x_{i}}{x_{i+1}-x_{i}}f(x_{i+1}),\quad x_i\le x\le x_{i+1} +\] +\subsection{三次样条函数} + +\section{数值微分和数值积分} +\subsection{数值微分} +\subsubsection{差商和数值微分} +\paragraph{差商} +\subparagraph{向前差商} +\[ +f'(x_0)\approx\frac{f(x_0+h)-f(x_0)}{h} +\] +\[ +R(x)=-\frac{h}{2}f''(\xi)=O(h) +\] +\subparagraph{向后差商} +\[ +f'(x_0)\approx\frac{f(x_0)-f(x_0-h)}{h} +\] +\[ +R(x)=\frac{h}{2}f''(\xi)=O(h) +\] +\subparagraph{中心差商} +\[ +f'(x_0)\approx\frac{f(x_0+h)-f(x_0-h)}{2h} +\] +\[ +R(x)=-\frac{h^2}{6}f'''(\xi)=O(h^2) +\] +\subsubsection{插值型数值微分} +\[ +f(x)\approx L_n(x)=\sum_{i=0}^{n}l_i(x)f(x_i) +\] +\[ +f'(x)\approx L'_n(x)=\sum_{i=0}^{n}l'_i(x)f(x_i) +\] +\paragraph{误差项} +\[ +R(x)=\frac{\mathrm{d}}{\mathrm{d}x}\left(\frac{f^{(n+1)}(\xi)}{(n+1)!}\prod_{i=0}^{n}(x-x_i)\right) +\] +\paragraph{$x=x_j$} +\[ +f'(x_j)=\sum_{i=0}^{n}l'_i(x_j)f(x_i) +\] +\[ +R(x_j)=\underset{\underset{i\not=j}{i=0}}{\prod^n}(x_j-x_i)\frac{f^{(n+1)}(\xi)}{(n+1)!} +\] +\subsection{数值积分} +\paragraph{代数精度} +\[ +E_n(x^k)=I(x^k)-I_n(x^k)=0,\ \ k=0,1,\cdots,m +\] +而 +\[ +E_n(x^{m+1})\not=0, +\] +则称 $I_n(f)$ 具有 $m$ 阶代数精度。具有 $m$ 阶代数精度时,对于任意不高于 $m$ 次的多项式 $f(x)$ 都有 $I(f)=I_n(f)$. +\subsubsection{插值型数值微分} +\[ +\int_a^b f(x)\mathrm{d}x\approx\int_a^b L_n(x)\mathrm{d}x=\sum_{i=0}^n\left[\int_a^b l_i(x)\mathrm{d}x\right]f(x_i) +\] +\paragraph{误差} +\[ +E_n(f)=\int_a^bf[x_0,x_1,\cdots,x_n,x]\prod_{i=0}^{n}(x-x_i)\mathrm{d}x +\] +\subsubsection{Newton-Cotes 积分} +取等距节点,亦即对区间做等距剖分。\par +$n$ 为偶数时具有 $n+1$ 阶代数精度,$n$ 为奇数时具有 $n$ 阶代数精度。 +\paragraph{梯形积分} +\[ +T(f)=\frac{b-a}{2}[f(a)+f(b)] +\] +\[ +E_1(x)=-\frac{f''(\eta)}{12}(b-a)^3 +\] +具有 $1$ 阶代数精度。 +\paragraph{Simpson 积分} +\[ +S(f)=\frac{b-a}{6}[f(a)+4f(\frac{a+b}{2})+f(b)] +\] +\[ +E_2(f)=-\frac{f^{(4)}(\eta)}{2880}(b-a)^5 +\] +具有 $3$ 阶代数精度。 +\subsection{复化数值积分} +\subsubsection{复化梯形积分} +\[ +T(h)=T_n(f)=h\left[\frac{1}{2}f(a)+\sum_{i=0}^{n-1}f(a+ih)+\frac{1}{2}f(b)\right] +\] +\paragraph{截断误差} +\[ +E_n(f)=-\frac{(b-a)^3}{12n^2}f''(\xi)\sim O(h^2) +\] +\subsubsection{复化 Simpson 积分} +\[ +S_n(f)=\frac{h}{3}\left[f(a)+4\sum_{i=0}^{m-1}f(x_{2i+1})+2\sum_{i=1}^{m-1}f(x_{2i})+f(b)\right] +\] +\paragraph{截断误差} +\[ +E_n(f)=-\frac{(b-a)^5}{180n^4}f^{(4)}(\xi)\sim O(h^4) +\] +\subsubsection{Romberg 算法} +\[ +R_{k,j}=R_{k,j-1}+\frac{R_{k,j-1}-R_{k-1,j-1}}{4^{j-1}-1} +\] +\subsection{重积分计算} +\subsubsection{复化梯形积分} +$$\int_a^b\int_c^df(x,y)\mathrm{d}x\mathrm{d}y\approx hk\sum_i\sum_jc_{ij}f(x_i,y_j),\ \ c_{ij}=\Bigg\{ +\begin{array}{ll} +\frac{1}{4},&\text{角点}\\ +\frac{1}{2},&\text{边点}\\ +1,&\text{内点}\\ +\end{array}$$ +\subsection{Gauss 型积分} +$2n-1$ 阶代数精度, $n$ 个节点的最高代数精度。 +\[ +G_n(f)=\frac{(b-a)}{2}\sum_{i=1}^{n}\alpha_i^{(n)}f\left(\frac{(a+b)+(b-a)x_i^{(n)}}{2}\right) +\] +\section{曲线拟合的最小二乘法} +\subsection{线性拟合与二次拟合} +\subsubsection{线性拟合} +$$\left(\begin{array}{cc} +m&\sum x_i\\ +\sum x_i&\sum x_i^2 +\end{array}\right) +\left(\begin{array}{c} +a\\ +b +\end{array}\right)= +\left(\begin{array}{c} +\sum y_i\\ +\sum x_iy_i +\end{array}\right)$$ +\subsubsection{二次拟合} +$$\left(\begin{array}{ccc} +m&\sum x_i&\sum x_i^2\\ +\sum x_i&\sum x_i^2&\sum x_i^3\\ +\sum x_i^2&\sum x_i^3&\sum x_i^4 +\end{array}\right) +\left(\begin{array}{c} +a_0\\ +a_1\\ +a_2 +\end{array}\right)= +\left(\begin{array}{c} +\sum y_i\\ +\sum x_iy_i\\ +\sum x_i^2y_i +\end{array}\right)$$\par +更高次如法炮制即可。\par +非多项式可以做代换,但代换后不一定满足平方误差极小。 +\subsection{解矛盾方程组} +求 $\|\mathsf{A}\alpha-Y\|^2$ 的极小问题\textbf{等价}于解方程组 +\[ +\mathsf{A}^T\mathsf{A}\alpha=\mathsf{A}^TY. +\] +\section{非线性方程求根} +\subsection{二分法} +算法简单,但是有局限:只能算出其中一个、必须要求 $f(a)f(b)<0$ 、只能计算实根。 +\subsection{迭代法} +\paragraph{基本步骤} +\subparagraph{(1)}构造等价形式 $f(x)=0\Leftrightarrow x=\phi(x)$ +\subparagraph{(2)}取合适初值 $x_0$ 构造迭代序列 $x_{k+1}=\phi(x_k)$ +\subparagraph{(3)}若极限不存在,可以考虑更换初值或者迭代格式。 +\paragraph{压缩映射定理} +$\phi(x)\in C^1[a,b]$ 满足 +\[ +a\le\phi(x)\le b,x\in[a,b] +\] +及 +\[ +\exists\, 0 Date: Thu, 9 Apr 2020 19:41:30 +0800 Subject: [PATCH 39/55] Added Jianhui Ma 2018 datastructure course lab, most written in C++ --- .../labs/2018/Dijkstra/README.md" | 3 + .../labs/2018/Dijkstra/dijkstra.py" | 143 + .../labs/2018/Dijkstra/graph.txt" | 57 + .../labs/2018/Dijkstra/test.py" | 28 + .../labs/2018/Dijkstra/test.txt" | 7 + .../labs/2018/Dijkstra/test2.txt" | 8 + ...\346\260\221_\347\224\265\346\242\257.pdf" | Bin 0 -> 703861 bytes .../labs/2018/Elevator/CMakeLists.txt" | 13 + .../labs/2018/Elevator/README.md" | 14 + .../labs/2018/Elevator/elevator.cpp" | 492 + .../labs/2018/Elevator/elevator.hpp" | 153 + .../labs/2018/Elevator/event.hpp" | 68 + .../labs/2018/Elevator/main.cpp" | 374 + .../labs/2018/Elevator/person.hpp" | 43 + .../labs/2018/Elevator/persons.txt" | 52 + ...\346\260\221_\345\223\210\345\270\214.pdf" | Bin 0 -> 125958 bytes .../labs/2018/Hash/CMakeLists.txt" | 7 + .../labs/2018/Hash/hash.cpp" | 268 + .../labs/2018/Hash/rand.out" | 100000 +++++++++++++++ .../labs/2018/Hash/randomphone.py" | 5 + .../labs/2018/Huffman/.gitignore" | 3 + ...7\244\345\256\234\346\260\221_Huffman.pdf" | Bin 0 -> 201337 bytes .../labs/2018/Huffman/CMakeLists.txt" | 11 + .../labs/2018/Huffman/README.md" | 7 + .../labs/2018/Huffman/gmyzip.py" | 124 + .../labs/2018/Huffman/huffman.hpp" | 154 + .../labs/2018/Huffman/myzip.cpp" | 331 + .../labs/2018/Lib/linklist.hpp" | 198 + .../labs/2018/Lib/queue.hpp" | 41 + .../labs/2018/Polynomial/Makefile" | 11 + .../labs/2018/Polynomial/README.md" | 37 + .../labs/2018/Polynomial/main.cpp" | 178 + .../labs/2018/Polynomial/poly.cpp" | 178 + .../labs/2018/Polynomial/poly.hpp" | 33 + .../labs/2018/README.md" | 7 + .../labs/2018/SimpleDraw/SimpleDraw.hpp" | 186 + 36 files changed, 103234 insertions(+) create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/README.md" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/dijkstra.py" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/graph.txt" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.py" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.txt" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test2.txt" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/2_PB17000002_\345\217\244\345\256\234\346\260\221_\347\224\265\346\242\257.pdf" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/CMakeLists.txt" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/README.md" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.cpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/elevator.hpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/event.hpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/main.cpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/person.hpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/persons.txt" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/5_PB17000002_\345\217\244\345\256\234\346\260\221_\345\223\210\345\270\214.pdf" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/CMakeLists.txt" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/hash.cpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/rand.out" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Hash/randomphone.py" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/.gitignore" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/3_PB17000002_\345\217\244\345\256\234\346\260\221_Huffman.pdf" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/CMakeLists.txt" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/README.md" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/gmyzip.py" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/huffman.hpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Huffman/myzip.cpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/linklist.hpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Lib/queue.hpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/Makefile" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/README.md" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/main.cpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.cpp" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Polynomial/poly.hpp" create mode 100644 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/README.md" create mode 100755 "\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/SimpleDraw/SimpleDraw.hpp" diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/README.md" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/README.md" new file mode 100644 index 0000000..b66f46b --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/README.md" @@ -0,0 +1,3 @@ +# Dijkstra最短路模拟 + 用Python matplotlib作为GUI + 似乎因为某些方法过时绘图功能有些问题 diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/dijkstra.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/dijkstra.py" new file mode 100755 index 0000000..7645c1d --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/dijkstra.py" @@ -0,0 +1,143 @@ +#!/usr/bin/env python3 +# Dijkstra shortest path, a simple navigation software, use matploblib as GUI + +import math +import copy + + +class City: + def __init__(self, name, longtitude, latitude): + self.name = name + self.longtitude = longtitude + self.latitude = latitude + + +class Graph: + def __init__(self): + self.vexnum = 0 + self.arcnum = 0 + self.vexs = [] + self.arcs = [] + + def getnodeidx(self, node): + idx = -1 + for i in range(self.vexnum): + if self.vexs[i].name == node: + idx = i + if idx == -1: + print("Node not found!") + return idx + + def addarc(self, n1, n2, d): + # if d == -1: + # d = math.inf + # idx1 = n1 + # idx2 = n2 + idx1 = self.getnodeidx(n1) + idx2 = self.getnodeidx(n2) + assert idx1 != -1, "No such node %s" % n1 + assert idx2 != -1, "No such node %s" % n2 + assert idx1 != idx2, "Wrong arc!" + self.arcs[idx1][idx2] = d + self.arcs[idx2][idx1] = d + + def shortestpath(self, n1, n2, usestr=0): + if usestr: + n1 = self.getnodeidx(n1) + n2 = self.getnodeidx(n2) + if n1 == -1 or n2 == -1: + print("No such node!") + return -1, [] + short = [self.arcs[n1][i] for i in range(self.vexnum)] + path = [[] for i in range(self.vexnum)] + final = [False for i in range(self.vexnum)] + for i in range(self.vexnum): + short[i] = self.arcs[n1][i] + if short[i] < math.inf: + path[i].append(n1) + path[i].append(i) + short[n1] = 0 + final[n1] = True + for i in range(self.vexnum - 1): + mininum = math.inf + v = -1 + for w in range(self.vexnum): + if not final[w] and short[w] < mininum: + v = w + mininum = short[w] + final[v] = True + if v == n2: + break + for w in range(self.vexnum): + if not final[w] and mininum + self.arcs[v][w] < short[w]: + short[w] = mininum + self.arcs[v][w] + path[w] = copy.deepcopy(path[v]) + path[w].append(w) + return short[n2], path[n2] + + +if __name__ == '__main__': + import matplotlib.pyplot as plt + graph = Graph() + fin = open('./graph.txt', 'r') + graph.vexnum = int(fin.readline()) + graph.arcnum = int(fin.readline()) + graph.arcs = [[math.inf for i in range(graph.vexnum)] for j in range(graph.vexnum)] + for i in range(graph.vexnum): + name, lo, li = fin.readline().split() + lo = float(lo) + li = float(li) + graph.vexs.append(City(name, lo, li)) + for i in range(graph.arcnum): + city1, city2, dist = fin.readline().split() + dist = float(dist) + graph.addarc(city1, city2, dist) + fin.close() + # sp = graph.shortestpath("p", "s", usestr=1) + # print(sp) + # sp = graph.shortestpath("a", "d", usestr=1) + # print(sp) + # sp = graph.shortestpath("a", "g", usestr=1) + # print(sp) + plt.figure() + plt.title("Map") + plt.xlabel("Longtitude") + plt.ylabel("Latitude") + for i in range(graph.vexnum): + for j in range(i): + if graph.arcs[i][j] != math.inf: + v1x = graph.vexs[i].longtitude + v2x = graph.vexs[j].longtitude + v1y = graph.vexs[i].latitude + v2y = graph.vexs[j].latitude + plt.plot([v1x, v2x], [v1y, v2y], color='cyan') + plt.text((v1x + v2x) / 2.0, (v1y + v2y) / 2.0, '%d' % graph.arcs[i][j], + ha='center', va='center', fontsize=7, color='blue') + for i in range(graph.vexnum): + x = graph.vexs[i].longtitude + y = graph.vexs[i].latitude + plt.scatter(x, y, color='cyan') + plt.text(x, y, '%s' % graph.vexs[i].name, ha='center', va='center', color='black', fontsize=13) + plt.show(0) + lines = [] + while True: + try: + start = input('Enter source: ') + end = input('Enter destination: ') + except ValueError: + print('Wrong input') + except EOFError: + break + else: + for i in lines: + i.remove() + lines = [] + short, path = graph.shortestpath(start, end, usestr=1) + plt.title('Shortest path: %f' % short) + for i in range(len(path) - 1): + v1x = graph.vexs[path[i]].longtitude + v2x = graph.vexs[path[i + 1]].longtitude + v1y = graph.vexs[path[i]].latitude + v2y = graph.vexs[path[i + 1]].latitude + lines.append(plt.plot([v1x, v2x], [v1y, v2y], color='orange')[0]) + plt.show(0) diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/graph.txt" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/graph.txt" new file mode 100755 index 0000000..ac50528 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/graph.txt" @@ -0,0 +1,57 @@ +25 +30 +a 87.68333 43.76667 +b 101.75000 36.56667 +c 102.73333 25.05000 +d 104.06667 30.66667 +e 103.73333 36.03333 +f 106.71667 26.56667 +g 108.19 22.48 +h 109.24 23.19 +i 108.95000 34.26667 +j 111.41 40.48 +k 113.09 27.51 +l 113.23333 23.16667 +m 114.31667 30.51667 +n 113.65000 34.76667 +o 114.06667 22.61667 +p 116.41667 39.91667 +q 115.90000 28.68333 +r 117.11 34.15 +s 117.20000 39.13333 +t 119.30000 26.08333 +u 121.43333 34.50000 +v 121.36 38.55 +w 123.38333 41.80000 +x 125.35000 43.88333 +y 126.63333 45.75000 +a e 1892 +b e 216 +c d 1100 +c f 639 +d i 842 +d f 967 +e i 676 +e j 1145 +f h 607 +f k 902 +g h 255 +h k 672 +i n 511 +j p 668 +k l 675 +k m 409 +k q 367 +l o 140 +m n 534 +n p 695 +n r 349 +p s 137 +q u 825 +q t 622 +r u 651 +r s 674 +s w 704 +w v 397 +w x 305 +x y 242 diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.py" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.py" new file mode 100755 index 0000000..6d5a74d --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.py" @@ -0,0 +1,28 @@ +#!/usr/bin/env pypy3 + +import dijkstra as dij +import math + + +if __name__ == '__main__': + graph = dij.Graph() + # fin = open('test.txt', 'r') + # graph.vexnum = int(fin.readline()) + # start, end = [int(i) for i in fin.readline().split()] + # fin = open('t()est.txt', 'r') + # fin.close + graph.vexnum = int(input()) + start, end = [int(i) for i in input().split()] + for i in range(graph.vexnum): + graph.arcs.append([int(j) if int(j) != -1 else math.inf for j in input().split()]) + # graph.arcs.append([int(j) if int(j) != -1 else math.inf for j in fin.readline().split()]) + for j in range(i): + graph.arcs[i][j] = graph.arcs[j][i] + # print(graph.arcs) + short, path = graph.shortestpath(start, end) + print("Min=%f" % short) + print("Path ", end='') + for i in path: + print(i, end=' ') + print() + pass diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.txt" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.txt" new file mode 100755 index 0000000..74e3ea6 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test.txt" @@ -0,0 +1,7 @@ +5 +0 4 +0 1 2 3 4 +0 0 -1 1 -1 +0 0 0 -1 2 +0 0 0 0 2 +0 0 0 0 0 diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test2.txt" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test2.txt" new file mode 100755 index 0000000..35d0473 --- /dev/null +++ "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Dijkstra/test2.txt" @@ -0,0 +1,8 @@ +6 +0 1 +0 -1 10 -1 30 100 +0 0 5 -1 -1 -1 +0 0 0 50 -1 -1 +0 0 0 0 20 10 +0 0 0 0 0 60 +0 0 0 0 0 0 diff --git "a/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/2_PB17000002_\345\217\244\345\256\234\346\260\221_\347\224\265\346\242\257.pdf" "b/\346\225\260\346\215\256\347\273\223\346\236\204/labs/2018/Elevator/2_PB17000002_\345\217\244\345\256\234\346\260\221_\347\224\265\346\242\257.pdf" new file mode 100644 index 0000000000000000000000000000000000000000..3d49fd4f1123facb608de81756855d45a8a3ea8b GIT binary patch literal 703861 zcmd421#}%tk}Z0~%#0RV%*@Qp%y`6%wq>Ek%*@P;mSr)sESAO0%wN7eGu?BqdtUc{ z@2&q!%C)LaRc2*mL}l*S5lOBnDo)2l&jCyRy{>;A0hWn~k;vZI3W1lGLEgyL%$exz zMAXdL#hHlp?T#`(KM{k1tBVcL?(G)auV0856pbw2E|~vzq3Y>iM#LauED`_ zvp4-;wW8=`Z|Z7d_SVc>Wfgl@Clj-`T0nE4nd$%Zauru&D>D<9KWeE0U2NWZ`c_}n z$;iRst)jV+jkDR`M}X-chd@f@Z?}mUgyo1BXA|MeOZd{un)uzm2_|nJLgn*xrLkhw<$PHZC@L79vhoCVDQtUrKU% zd-OlGq-^H=hm?P)_wTQI)3t=kTSx!s>mRxne|yC*6A)KrVkLU}|3l&8s+>fezfSqz zj{ofNFDv+aFMsvuKg>uRX!E8OA_j4rH)%x8OzchHWRf+rvv9E_VrF4yf2#!R?DD2Z zTLf5-^ahNy=gO!1n-}+|C|&i@70*c= z=O4pJ#22soFP&-auQZ=tSw6kCWQyGSym}n2-K=#!IX>Ebx^!RPf4O-)ZhPm0dGONj zV?PtKAgSe}Gda)(H`Dvt`Rw|bIm7yLcC*Vdy>wi3cb(d&jvMgR8iSf->-ktFzejpk zmv>t*4*F|djLuq11ewv5@RXA*MSIj|`^{VNvQK*9qAA%;Vi$)S!XNTC@FVJ5#YLoP zg3JwUxRsR1bdw~8fKx}3RHHqVNmgW#RFDFEF;%xzKn!x*0M)$)6uou_TW)*ypn>LX zS)mXdRAW8lTIViu#2;`B*)!#h5Gs=Da@~Wu_I|DN+LyT3@0q-RPM3_OIAF26IPJQ? z(+o1N3YycFj+PQD2MgbsXJe7@Nl%OAg=zX7l}i;eu{rmfmV(5e59j(eIeyZ;9BWZr z0}J!bwK=-bF}jQH3DVJOT)1dAZJ5mk zyiRHRQc8@L8+sd191yO{&*^6HP@A`lUXQEesIuC64$kkQo%9o(jK~vrg#!Hjj^1iQ zt!%1@vOT%Lv}N{OpCfmUwiFKt1~&X|Fz8@X?v(gDQ4DfCeSZl9jc?}H#XYSh{b6A3 z6(_v`X!F@^9+ogCgBcGryF3|BVJN!8S4TJoQ?!O)gKTozh8}ult^>-!Di(a`6r406=0rdnbe0*X2 zyM{beCjg|EG2S~@uCvC5ea`^oRR@GpdpyU}Ef)UMVylk$Z`2=t-X-jDDQI)qVXQuU zm4eU!H7TgUR1O-&PiL#BP!=;Od_EH z0ul)Q5C9@%RxsdM(HgWBxd+OaGqVwN2=*9Pg;blGOX$kzThfNt)Q2sQA%a0PB@m8N zY}VMe)+t9z-3X}fGX9#8xcB;wUkx;>VQnI z8wdn1O(5$VG%wgV;n+cFx~K3zOV79T0j|yG8Ry+~h}+8&1`|J!ixbj)kcK~izo#{p zsv-N)JD2;>El_1~>=10R|8TFuIQB^9tgbxQ*M)|=REokDSIWJfwKcTExU4G*BhLo@ zsRofVHg`!f#x%+%-xxNrMHqvRLv?3^n(K#g?~N|4>V*$yCVJmRG{YRkNr($N6S?(Q zD)M%@MH2< zoyE9DuV_T0RA~~)CI>JeZj#)>xNMT1fk+B?LwvmRu2ZpiqUCI!*R)W>W)#9m5B&O0 z1G*nHqJ%Q0(lu%Qw!}-nU#W$FRo@OZ1Aibde^raH%-+<{toR z3{%zP?5)ZixpRw|Sl_)e@yeW+UCxmv_F%#1Z3$B6FYx|txec0uK?H<)q_8)SZ~y*Ea*Recgj3E*`L4mB`^2K$3WoHxtn zgOas9&ZlK2t>|;sF9A1wmDw5YcamCE^M;<71;;acfJ+@z7xf=e(2PYd>G76FLbvT) zDs#?)3Uq||_h5Uha7f)fn+>+~AcOn5ym*SS4c(^KoLNeF6`Av3nNyUkIla0B9WvrZ zoit3_9HJEjKagqM)ijafDi$MxeF={t#J-=)_vjKP>ido}oNAeZ`50ZMqFMESfSRqo3DSeT8q{<@uncusQYG7^S~;QJIOvxQt#KUsVGG;3l_#6Dyfy z+*Dg8f0~(yc%Y30-ti_=u+=?5l$_pk-9h(+ik>PFr7)!L~=?T~yF>MP$hpsi= zN2nFJ^rHbP4+tvrY{pR)KX0UaWZFK$1pQ(PRykXhI%ztVfmv635vkP+rI4_G@w*A` zdGSumBW^O?=-l>ACJ7*NcOHR1_E$4FiECp0Cu%+?NiJVFR^boX+qNQ^WkYP|W=*tB z%JgWIoYk1bf*k=~vDNOdOHmH;MI)U@=6A$)!XrLyp`Aq!`kU`1>=J>#~Es`=! zTF)Sl${TRk@iJpw<-@;%uhAVu$>t zHC>MzMT+e;_PY%mg&TYwLs79d_{Pxzex@!JjWvPydwL)-Ih|I&)Av{`<*t+&W(sO4 zIF(rCWTOXKd6W8B? z*nh!A=3nse4Y>dR2rvH8rGEi1GI237{&&E*qOBE%&w>02X~bu5S8!3e#Ko7X{(%wv z;-@K*01+9aKbRxo#ckeKH9a=I4oI|q+O`qPvI6c-?wMBQ`(3DvSMV9v@=uQ*kB97) z3zpV(w4WZHZw_x~v+e~LD;I~L^mKIA?<5Y7UrQf$A!iltZ~LDfjTR-;1Ufqk9xv{v zAD7D338@KGU0*kE4vrZXRZm~PmS``eB=+h^ z@@yXiEB5H~AM+E_^UoO9XdT_v&@Ne&$SOHmu`XC)q&L>=JpA;&wjrXKzR^lIyiiw# z=m;~-akBei#T_k1y&=R)51x)#*^QgI^_W$mvw#0;((rM=-(S5^uA=*WSX0>dx}DnZ ztd+Pt)YPWL*%JwljK@fju=`$dcg95y`m|zKdZzIcw*y#RHv#=;pT(*R)z=T%b|L6Q zz^VPp&9b-~i*uZ1hf_%OrZNWC3vBa;rt8SI2f4L`c$btSm=QRz2ruMGlz*UGe88{S zO4&fA?BY`~4xPqq3C*bk`|$`6ubwzq>CNn8OD9JY9>0ZG4A>S@9q|b68EsS7W4?fP z!_5}QC4?xYe9#kzAC&(>IUvZt9>c?O=ck2URVf%VD&!}Mn)O~v5bL}Ys*U!*@0p%b zJcNl#JfzVv9Q7z0$IQVuE0Zd^4&BHw3eOBaPgTfMyrg3GDf?@u`7??RXhY|9-A5dB zRDXsR=#Y-U52Pe(TXY(r*hj%1AiQ0rib#Xc&Ik6gYfn8@4CFOm5gt$2W0XlyUIK(p%}?V?;rLm97u^QZ6nfNk>}9r?C$ z6MjNAJm^co^&D7d(6Lam)lW^et}IaQ`#;J zQ5pNzhz~LQtiq3E49$P5+8^gE1+f_Mj)DLowM@i4wTyHa40H-6+(LCj(a5jKFIZnW z-5$qGejuuJRZEwi?4jf2IkYy{O;dz&0j+L>c7UHwh@JhC;$inso2aq->0 zj3OcBQV$LJtgG$_#lFT?++CJp?6$*R;5322M+pHYC4nV0Rno2d24G&GHK{7S2&rnw zl#tgRSqS$q)ko4|dXJZ8CO=^pOIR`#LAVd|pxm*NGI;E0SP+XSK&b!d5Upj~N&Wb^~lRc(COFG1RE{?s- zg#-g!^6aCChiXX-E-#&!>V_j|#<#p>_X-SD1Sc#?9+UvUDUZ*f1k;a#mc!@5Wprl+ zyY-T^9ZYenFl|H;@6vhQpQi7bb0dTr5+%K*iOFp#;xD@#%0GgBo8t7H^P^+v7{4WJ zn8x(F%0?^B7c!2>om|a#xp`;ru6S?geip}LVMOTzHQl2waNHmSgG1w%& zEXQBmFU&pie#yaVqX3<`-xn^aH^qQNj@Pa4>RX-`n%@bT;S=NhkX}njp)r!szevR4 zZ+PNbDW)U2RVTDvaK#R`5%{p$;wY;V#A1mv7Ni`|S*Oq`xPuHGv zVL_7^p1dx3#$%aQGS<7!PUxX^v=B0xZ@g!lhJ9gme(8vzky|K;Tkl0Pv0dQWp;@T( zWtISTHmAW%M@Oyi6NfNQ^?K6|RfeWM*C`MZzNT`c@b12Bq`|2uI4wxQ7gN1RQ*cQY zLT>o-Vr{oC_dtKw+tP+W_0SDl8Ml@clxl*6xnor)Y`+D)9Hu-KdM|>XwlL*em5Z$H z{NZZ&{w0Bsecqq0cY{cS{XB=eP&Wmxya1-p$QDAROUa5r2Yh-Ih!ZLaKzKUOC^2@l z*BkP0#a_sKbX6d#;t_qhODq}gEla_LPfWd=o2tJxLD7S5?pGgk{y-wrRluniPMDIl|Jx$W`PWpJ;XDuR;nl8 zno2+aWS4biN1Oko?dWsCcWK$-$oF@ydCUg9r4x6Dff}W(^=$F& z_KFhR$aD!x%CDsIK&MF1uSFgoY0Oq4$hpIn+t1W1&aC{Ir1qx$)=_g@pBm9CF#4>$ z)4#So!y)gxJ^cn=F#iLoB@A?NRy1>Zqx2l??S64hoWJ-z8+#`e2P2a=%16}94QOJf zEFt_x1^ovlBr2l%pM;R48PLMgg@}Wl?Z3z&HYO%!_BTGx)!5|^N=(Z3jbi#wx=B;v zZ`>P$2GI14NaA4U{6|>uI{*3;fGjO8B@O@q0RTYW{s6B_01*H*BqS6h1T+*B6buYB zEIc{_JRBT64k{WFIsxuGLIPZTd?GS>3L+9(Qha<$4k}tkCRSF~cNAQFoXouREUe7G z8UcZUfq{pE$3{TFW+uibX8yO2*KYt6XwYXc02l}f02BoT3DD7Lt|5OOY8UU zp5DIxfx)4v>6zKNAM*=~>l>R}+dI2^`v>P2msi&}w|DmszvKdWlk-21zX|pyxlrEZ z0tE*L1Bd!07YL~PTLD7>hahHxL={qkGIB&CVfKYa7mmrP>4G6;Q9i>kcAA96Bx7AC zKmR4#ACmoRg8BWwlI*_(`@39=0C+HvH|2q$00aORk3O|eeOtpX15x-d@AEqvB9X|~ z%`La}hVVahA1W=;4K=5(vKtrSz%;{lesHp}v(qp=^6}_A^6%=mIy~?UvDEO^u~u7h zB@1$5+3tfH-jB74a1lFwr{Ph6cQhaClxIHTI_f+cv1`9Fj{Y81oiy%Qt1SA?M=cX0 zfez0EzHVh?6i1Wde%vA&xL2EF+eU_r{3w*iMB{?W=a08IfuA~}R6?sHCj{Rq*OuQ8N5`_51#ySbwET%-FBhDj*shS;t0udO1 z>D!aFM0fx2IY#0uAPIFdOFsc(G_Xgb&CWO$+*A70g~;{UiewXBwqcd(g<<@Hs)(9q zuyZ1Mk2|@EKu?W!cY!P@ zYNxfkNwY2Q=E=`F$OP8&r4^AIsP?+};sixx)$nK`0vcG4p}6pL+gfY0Jp0F`n_0Js zIZY3-vb9nlhNQhAj?|B%{-wJ8)y+fe{-&QG%U=QC1xlemOk1hBopf{iN9m%a#;H%E z4%*VwJ#FhmOLuc`h!SmPDSE5t)Nvm#(H#elW}GW)|D?JY1SexWtg8rKRzo+x?d1oCp)VVuS_ z8q=Zq3YZMYRDbRc>T{6LPnfw2-8pyl4$hsb*a)fTS+r0)$3@2we-2R%|Twl1M);|jVlT#v-j!%dp3 z=zDS_)%&Hs&Lk{OAu*|dME=bFL>6i`m*#2a(s;|r>eR>{L<+_KrNByXLjmq!tgJ?R zhZ$=+u9roH{D~o#1wYzCH%qV^G~*hM25rSd`Gkjt!;jJ2)r1ka3BW&({K(&s0W9k0 zk1JV`93!tsIf4~O-02#%G+5MK_3#n%wj@ucmWSLm>oI@ls-L$_ai_{4*I;?~@oVOF0$oK9EUqPa zINE1D=oi-}^7`9M)al-OW?7Tw1YPlhU!OP@kQu4P9h|rc>r>~FFv8W7kUa+p zhH8+P;iU)>xo-F(ux98AhTZki+aiZXe}>~^XpZ1|e1qaO$x^5=T3Q@;cS)QO*SFwN z6T(kj>a1^RKYIzinUG;rjlmmMp67YMS65F%*h)=j(!!MKm={KzLh?Bjp0}k*I3Y@$ zTmP8>D}%E*q*m6HC6AF9pE;Ga1MA3^C$}EgyE421t3X68i4NJuhAN&*g_a+%(VIQBlLG zKRrrHE4l5hT^DcHuI^KvXmp44*pIK@Rnuy<4&rejW?NJ`PSvxH@>SK-ti6Yb{VAO$ z^^M3mQ$czzXl(t12R&~RBMVEpuaq(@q4QL&%UwZQH+QILc@@=8pj=;T#i-E=YBg&Z_mnh24lK)PiX}r!%$5>$H;`dvhkw>nf%mF@rKKV zr)Q~#$|Pet$SF_yPZNnK`h6`b=&{sWn-i~qKr>DfaR|3)1;T{9^~>$Mpm87UIQ)mJ zLca3E)KtFh_7J43ODtg!z~%Kuqsn#_+2i|80*2}W@)FA>Y%_P}5&=PZ zYu0dl^_>orV!85hN84r>l zR&#SCbf0OAALTXKPxZ6OtE5hDWxeI+W>i1rvTYowv&|}f7Ohm;638YK+5m8^iCAy= z>k3L*JE%xeF+3-I&-~3h5xenejEOGno$p&Zqg-Jq-!`}qC5Bd$59JcaJZueHVwm6` z+ZzY&8`BASKCw+)mH=BH7O4^z&t!}J$#P5v2=*z2tPAf9^~DE5SPR8m`>;loosb%^ z5LFmnP@Xl8IxQd3Gxb-V#gDv>$9$v<6XQD4ldMww*kO-jy7)K`^#Zp>%{Fit(1hDF z4Yy-mnvE+vg1^UvyB%w>OWwW^&gL}+r|{lwqfn61D+sNj>*6bg(WRd)b~%$6l&NkhNKNV1Q|t-$djFSta1Zi5rmJxDBM3}rzQ;fk#$-%8sQ z$-#~;KxJS%x0#Y01xc=mfX@m6NWWY#vKa3^$wf>+HpbWZHal*seUxfUqPJ4#MojV{ zPcJLs$yU}fje;V2ck(z#RhPbiHyibgEwydG%xPl*?z*q15L{`GrO_NpN4;t}RE*kC zc*7<1q3y#to>}wn|k`BZgf4R+P1jMT_$a=sv5E8B87SVb*^i z=*inPOt$aPtLKdpNQ!;D7*<1>mryfh-$3qG??0mY&7_&~T?k2vYvHPv9$m)>0)9nA zPH@+F&c1duXWaLPy3!-{mhix@r1lX(Te>uU-YROYnk zL{Wa+(QA{3`k?&P*UXvu4w!~gf77-SOJE0jega(*_2pW~#*TkTo3U-|hqB}0XJ@s@ zEN8Udn`H8)NC}v)qSSm3KTHZ2x{5q!^?&l2h26qs(C}e`D59h0+10}Jr7xUH8y3e#}|cHQ;`@WJ1CU*s?~mnGM$ zkF|U((kr(HLRzRi4oCYjQ9J=0@8;N8Wz<<+Xe_JPhIu@bTofoqzUEa@^NBwm$NJt_ zTVk>sf}?;B-1h4yET7gC7AImKrIWizX1rYW&TCz&iFBPYQf z@C_35b7P=Sz1+5^zDuUTEeHN5H3BOgo&6^IZ*T>w$|Fa^pP|;ZBAPsxGFmqI90ge= zC?4u$FrK$i?j7~U@qJdLpx-3|$zB2KRB}H4+Wi>T1k?#4VRXU?I2xW_zDalo^ zOqm;6E3>x5UHl42&e(l^1=ydLmhqMeWY#vPxt%gHtz_vw%(y5M^z+n5jvZ;uZ>K~` z@fQ}!3ExnDNIs=UWjLWN$GRBR0MtQ%k)?xZvsmKhBiGkzv(Mt#FvmhQL$RTi$s-fr(q*H>}VMqU% zZ?qqL9b7hzEG81$h+@#9UtiUm9Kk#9HBg4=1xYbSFh2I;H)@iaykIr*_A1 zY1Sn<47cG<7%SKP+VgW)G`%zQJ56=HwduvO4$TMX&QafU&b9_zDQUMF8WXt;c9?r` zz7T{|x`qW5b5G0-9qYNZe29=qNcn+vn`DW)bK)6~?@Jc=Ss*0e@8V#Fv8g2$dCfz7 z+xfbeZIi)mG8@NYF)#a2Tf3ruZqYSXrl{9W!-0h?v`^(UN_tVv1V>z8bpKf(hKj?@ zqRZ3OW@PS`MRatgZ(HiABu;^CB-8X_kmeQOIbZWM%0D%<^I$-|h?#I6i&cHgS1Pl@ ze5wF#mka%lN7B`1vr0tuU|MCXwbL%g!upEz&+`C(u zF{Y?W+;+ZKWmWU$v?_17FxaB0xfmv!!Xb~zcb-k&%IeEPSQ)K0g6OG%eC)!n^q~$4 zwPUhQr~uvAI$4QJ-({n{b>|=!y+s|uDoWn2xu0QG+(>H^a_Z5zjqcy>b>{^>&qn*+ zt2s$=QIJiF!7|D3Lws+A6F_0vw=Xtg_+CdlPdx`h#4E)e9nbQd$VL`b8WT3FdI6{s4Y<} zjA=;!IVpxfa`9d^OeOt^T{2s5PaVp{z+2CzrxiOw-@WG<4hVY;qk(v;Q!CDt`-${xXKmp=<1IuZavC5B#B@9e&VD2^>|~ zkJasulGc{i)u^ot@gfzl`?51DT$^W?Z2d6@L%ww{8}v^FhVB)xxx;YVe_2_+s9zMZ zx^5gpjB4j8Nz87N>7_w|2A+a{r4GJPb>?qeE9wrk17){dVxv-b4A!N$PgT!`k}x)g_{<{b9>YnoifIC%rls zDtMgp(6>OCrc5t&@hCEbv-ofOz9{KO5BM9!Qa+xn`l?CJ?LNyun0E;p;C|4Yq#bg+ zWbydsb2Z!3yOnv)8m*^Jv)2W^^%polV;S{6)8>PX+iOq##?^@9TNxct;_InB-rsrq zc3ec9%|0k>sgVS6;t<5gG__fI^KpfRm z=N1tUr;ET#nJxX$G%13R$epRo)Tz6Qz*fD#H?2@=w} zuvxfkl3C9`BYO1nXKDNYcZR3%cie?a8yubNqZ~iiRfhb5xFcP5l%X=CJ3FFPfaTOc zP4+MoEC}b;+)f;N)cUa-SwyO=AiHne?K2Ioj!rN->x2w81)vq>X+MW8m(4XytHNa% zdS}|G(QLWUB|&%O8+hK$c=E9qW5T&Eg5OGTx(4>VroQ@HD<`a`c$%>~EQ_LSJ!Wx7d-f|j zYX9EdQJt%AE;p`k_8*fxQ40?GX)Jl>I9_vPHTzsJy8GoyqtQ>xFF$xyJF#nRVk0cD ziNz&@)8K#v$6z>!4fx*iiLyiC>dA^vd-XN9 z1C}QinoOOXKX=cT6>~8$=m(>4^{N&G8nsITH`GJqwT^IO=%sF5Q;NIj#fRYo?v3(2 zu;GR|3Of=Vitx;(kUklg&mBJvwTfIA!FwN&ygZ{%UCX5Hu zWTs}Y#P((Q5-*P7k%ZUzE;aRF5aswO$Xx0hHNIh_<0y!Qap$51)4jPTyUSAh=0$Ot z$`NLS(;Set6Nm#6j0cCf+K?y&?mwgGTlkMl76=5olHk8w^j{WcC7yat1x_D*B9wBY zPbBMx>h2F|LYnL@!3Y+v$1B)Y^4;8x$NFjCK;bp(A|>dM6;_SNR==l1jE|$4VP~Qh zMygt1j+U#ga!7BqLZ zw+SY3IFDp+!I05{JjrR~es1B#N>a}W>=>B{di>5olT}IihP4+`Do)Hp(n=zR;_g+^ z6~X_4mf;TDR85;&Gs*4B@B@XON?~XGyHgu_Xjt8BYx*>tsS_VSg;*_NPmL1_w&pZq zRs`=7*_UBXuS?iy!mQi+G8dPZ%06rJZb6<#Sw+~K;7;ijL^1Ys5i}vf?DuPv*Ja{q z(woYP@SoDv^4k_cMXp7FRD@}8$Fe6OW?938qI^k?OH5QyIpTr+BHO|3R%GwqKK!20#Coi!U$f5=ux+Op%B>kU~* zsg3ln*%x*21g3DNs*AP7Td&Mgn(Hcp9v#D)I^^%Rh{JxTH|0_Si$#{O{31@1WG zIPs|Ds1?6gA#f*gZBYB1cckp;?S73{bJ)c7OvC)S;cdzMh1Hx$rATt&>yQE0??2;- z+54F6AA2lX>5kZcBlQFuqK9;QcwvjO2lCBMX?NjxrXNG|S-wY8o(~F*-GH&RBZQgA zugNGcswv*b`~`~tWmEkh%o>+{*s1b;Q$%t}pRgTh<$>6UTM~0slH0cv0!}FZ$k{CX zi|#CH4cY6(9u>V+aoVGi{uVA)O@@MqVW3p<>WUVb4&+Y!7AEHrpEXRHGbW$ZD7REW z@{Sh4z{@3?Yz~p#=HGns%wOwgHuAs8Bm9nc0O3m$O`1FA91#|y7g!hU_?9O2*p!zRafHOEvh=$1!RoWzPUB9TE=-jLwON;7DsLXM=_{bhqw@+yBH$IUWfDE5 z))DXeO^r--a=D8>1!E=;^SHt@9IqA`W_(?DGF1gD-prZs_z@1@?z+FKgV5!xLfno( zLffa&e!C+R&g%lh9iD$m4mP)>OR+|l)o6vl?H{YW&+$g*mvv8q!Mep%hr2f~Q%vxa z-)gKhX$$Q6Dy4;fpFB3^wfU9%hstvW!!yF#J>@IlNzRE?#p-892!-wRz^X+`BE=`B zw#@oRDs{L+PlJ>E(*8X}D_E-7+1bc0%yZXiv>u31-G<#o_H#d&#}y{slJYQMla=;OFU;;+9AY_QY?OcoX3NE(@=RWG#O!TD+U&r3grMq?T8)kO1lYRgFg*a z%Cd|3`v6o?W}h-P2!%ItOi=~(-&vK>3Ca;cy2jaB9L}u>@ng>`=yEdg5(Fp2(Wq6= z1UDOu)NhhVbUd6DQ!NuW-Y0VQ&^zR`@YHgRPZcDfL55P6{=x!)|1V2}yoEa~&O&22 zeELr>m2BUW_nL7yeB*+Aa+))AMhzaK%q- zUc#8hI%J*H4`pv_q5x3QY)ab!(<*@fLDO~Y8(~*F^1v7m`%h%|4TU8 zMbimBJBuIpRlYUX+#mxkui%M^`oQ)VeeMYwWF>8%v#5hkvqy>f{EM5@0}hiPcFqr~ z?A&rU`IU3go}tO`?hs(-WJ)fWX4KTPe+|Og=>0CjTCazckbaDj_nSDYVg#-hCM%9P zu^0NL&0^Y*|Xw*EI#N@!ILiYb?|H&jX5Y5qVg?!JkSF zjg8ck>L*vj!&G@e8$?kQ%l9+>W8D6sKU&WUmfh6t!3{?a`V6Q009>2+4<9XC-_dX_ zQoqC%!99zL(A+!pRgjGd1Y(uEWJQp#j26u0lj+!#Odx;N)n)HmqqwVC4GZnXrO9R2 z225coEtS{+M|eIAT&7zHxaCaP6eK=Z+Fs3{R?O5wnh4f)(@hf#O*$Wm0Ak#Lu0HqUu-JLTOVb;TsY1v6?@5wTgbeaUJ{}Q`iy-YmTKzV z_~UiyvWIBY?GX;n1TUpbyO8aEvA`vOor1IDgq|h?V3d5&3)>KOegYO*l(@iDSkA&~ zA07Hd_;m93&%KR-raQ@lN=Yc+EM&j%5eM@umQW_&imK7H>h%Ny7rcBZfxiv zxmhu9axjS|EJZq}x<*f}@SS$Wv28jP3W|6IwC9_?8wv}oZ;=jNjg-7vL(X4oPbU3jzw6 z+b>@MbLFkhJk5$@qxFWSyI@mc5LY#M@NZz)M3*7{o|y^^xKl^%_|Xjjdf)){%*qC( zjm#b-^-?~A!JBn3DkS)C(PowXJsKQgWy@li3HGdJsxaEq-uT%sv~HE$RX#Qcc`wH9 zS+~!feFeF3wG4ZLD?&bb&E3lqtwbI@B?E*DTdIq{4Z@W}WU6J2Yw60hxhG?vj8}dH zRvweqwPCt(nVZBal;n1e<}9xMvye~(SO_me8Fyu`b@G6LyaGo2pV`j9KT(}xL0dLf zrk#OT;wG{1hjDw@hN?eqk~rSLv;HECIczMcrDArcV(Q}KbkDM8tR<&`$1n%;`FMAi~{DwAXDUqnj>x^Q98<0 z**==Ch`l=)a7tfmKvg~%8-tXSivUS`?9WjGWPLpy7PgL&NfuY87G)o5b+}aA-{(_k z|Bh%-NBtn=cHnWvXlpY>-k6}>-A&O9H!jjEfJD~d2HE}@pvsSHm`Peg>%cqm$pj;9SK1Ky zZP|fbCS7(r*-!VH1|jl8gx<5PHc++ITF}$JOC1@vrxjc+hM4&L$#LSgEXJVdCY&A5 zU=(*eqpfjDuz#-FbcVs)V^ybRC67xS2t)l*f>%{o3w+ffrYEP#e&y1l()m!j%iG3{ z3A$jqAsKT_rUf#4oQz4TCe)1=EE>_H+}8NgEe&Y)oWz$ZdNi4mPb*xXI7)k~fhCK(;c0I4M$o?zrq#=p!PfTQeJ&V9Tedf2BwFUJQ4_Rc z{An-@ouE01rcH}1oPbA_6@na?Q#~->n2B|>`m)RX&MQwyaWiI@tLdG;tae8(MN+S> zA-)2}^;BM-`(FXQN{;jPLCF-sM>6w5ZqaJkxgG~HlrV;Vw3q}~<_Uwd`qcdyQr_;As%GD_HpS}Rw4Ae?J=Qo~*Xk8sGj?!`e_Tp`-aRq@Q9+({?}W+8^b5TbfNF@|5TC9x<;m^mjIN z+EVD>8&3`NCTH$M=1k1b<;!HCNjC=(g9~&Bfu@&Mlais0bDi#Ms#QVUWUh{M*jUM32Ecr1( zw4XXk{)$@ZOJksIo?2v@;sJO}G2;TyRvI&I6E^CJ9JCW%v@Z_IYUzNAD7)M`YjsJ} z>d)Qi@;^}N$)c%fjHl;CeZE#QB*V3a_8VY3caw>(-h z34cprq`Lz5vr72a97ehL#3ZJ~vGoe_R?SOzS(GJ*pPA{dxjJ0y4SqYKJuD{|OQbuC z4j#vMbA4&xP5d~sbU6{3E{PmW#lt4i9{IByvF{Kp9f$G6H;-{Nut|3bN_6Q8h9>W< zH4@okYzEYE^rom|`2%+H&t8HT8x~N(Zd?$W%R>>5OdUKA<kT>@LSOi7wWo)vBY z0$)W-ESqh95Euo{H}H#3@k=Z8xAM?-$BT^ji%!s+#hW=d71mt6iN0ozB#p$g?zNH4 zu=$~>JjvwKB(3qrD-Bgl{%*n`Tu+p31PS3)zhyz%y#HC+$$#@Kh27C(MJP*bb^G1n zLO#USQe84-q+j=caIGwQ)HZm)>%4di1m30E`e|_Csw$rpcX~!baTbj&6~(lVv(U;v znYi;=af7>P{5Jcb6{akL)+RaXh1*>@dOmM`aCbN#0&rNFG1myI86wZnN!- zMeSullZ5knCTy;shF2AMf*Rkovq`}fkcnPcQ&Sk$KcXaW`EzeQKw_gCDArb|_Lk4J znguumtCyHhRJf%p&G{cc^6kG(Nd)?Pr%*=+c#c{a@_*RQvC5z=-)=ztxh;Gg_6fNL zN{KN{7XdW`tRJrkzD1B}41m|U{#-(6X;lnvh5qzSvnFCl(QRfL`!D;f(?xm_yavg5 zM+J>AoP9nS%-%F*iU_^+HJ}dg!5S3jWfAtJKHqukFnx~JI0D!DPIW)N?G9migL$Tb z{{yr1Ke1DoC8MN`D`WkZ-?y#^Xa3uy!v?2mtN-r3xT{CE9pC-2U`4^e%bMpaK*0GG zu-2_MNVb)pIvF(Aclg19?^}XmwFMZkf4aazG3ewUJ+*(2%0q(vff+%`&iPp)SbSH+Fa9;^5SN z(at>0UV&M7{%P;e&__rNz&lD6HpJW#<@OvH$>Fv6V>OD~fMW3S-Ox&>`DT+F(;Kd! z%!Z>X*6&4%BiQ~f_S~D`_wp-6JYzBYch!q#W%ikX6Jp!K`Mgf(j)$Tw>{RM+FmcUx+iKgQP0^k4ktUsM%_wLiytXlf@A55Hf@ zt8~`f#o^?_AYERTOe6Hf@oCkKQBsWlZ!z$H&kF5_~tn;ljJHcyVo{ z>CZIKCJrm<7U}90v)2J$Leoc>+x{cuu~OgtzkLIMegrbd#EGH*5sCRf%f3|T@PQuO z%+iy_B1fe|Qt;w?c`JEl>uG6s^u!7)F-7O^#ziT3X^1)1QNOX<|7w;Ph!-^BhFJ(x z-0~IJ%xr}49G?1@LASqs?R-=>e__V>vlG8*+NFegS|JUF7b^S*#t5C3m$KbTw4nab z=rQ4Hup)2D5EQvf0E}2J`)kVMUA3&rKY3qSzYzU%sEp;F}wW z-t$Jt|0O%&pE5aW^apt*oEU8%jq-p^s9L%*pKg`HS%XW_+*l?qqxz~7wC1V(G}8e* zsR#9URSi7x)pM--WZ_f>^@BK4!~h5P+jrWDsO7KLHiJ_ilqNF-WASLi~rp$L{0M} zmF0hJgOFQ)Mn1Te@DQ+2TnZg1bvGgPA2+btt6$<|Jw+D-BF1n+OFvg^IseC22&h2E zR{#t;uuqFj?ctcUDo3}3Rk3Z#-X@hilU0RkG0jYT@Cl}0TWqSzK;>mj)7d@ttN!{7 ze5P|`=2eziOKo$IOqyqo648z*O**014;_evp(jx+e$gN(H`jP7N+A*d%=o41csnO{ zZP(S@qr_+&SooR&5Q!FESO%BF3a&}|+<9Fyhpt-w?9`6DaYurR8G(f<{RNqN+2S|9 zj|^EtTFzP~w2ww6n0G63TQk@Wk}L`e|B_YIti?9z;Uz^f=~@&Dp7HGqO1pRWhuu5xX>V|M<|@ea9k%J%BPLFJzWCi~Y|wJK z^$vY#LLhB23BqWQvfwol{*>;ZGv9W7+`NPpSRkQZXFQTXNyWToREQnOdcK*_0Xrd; zF7UlG=9^G?RFPAV3Grq%-@ukLA-Er9I;LfPtiKFjvQ(eI;}Lj*KZMTKMe0OBuL_x# zUsf#E5BSqg9&bi&vW}X=*gkRO^s?IH`;U|B8irT%QGU&_g<+#1fRgEq9aEdhn4qGq zmGF;7y8U>F{#QP*vgr4;M0p1^UNOU!JGOW5ttb+90vFg4Z>ZG1V?#xFVqJz!?0H@f z;trclq^9d;h3+dLz(?(oVUfR=BB{wosg1DBIcut(4aTs3mbHRi7@@;gL7XwO@672H zS-&>dQ(q85<4X%97z9V9{FN>&pwtp{aGtriK(`K{CV4;%cu4&*Q|>}Q|02Vsq3kZ0 zUpq*MC@8RUTj|#J4n=pBzRD3@TdjREL6O{A?#Rjiu4XX^&U{SsuX^|kFFV&5fG~sg zz!T2ba z+i}z9+mneko1tOcFk;G3L=mcC;wY~7uTs4YkcBdL2BPL%L}M-dzCjRjze~g}a>LY) zcUfVkT5oRaNXe;dBH|KCbmPPDHqZHrYu;naS9xptMdx$7w;zrCcNm5zCQl>K#MYo~ zJKmhz6t`nef^#hjg_e+wM_JURe$s$75)8s;OEhgvg}Lh!i6M=@2smPp55_8v!+Nv`cIjM{WUX4emk zYWS=nDV|`R%Ts34NcL8{TBp0Myhrd=mcP>7Mj=E+1^pY@%MU@!&DN8dq_)SVab-$5c+%_P7F%&p_vl+O}!y zj3ls5+nkUlM7*jV4s?H8C}bBpPvD*d)@(0RZ~j0I9YKB-alXzq99AZ$K~BiH_BFR$kP!K_xi{ zWXTdam;0v*702ucf#8(uRPW_82&t>&rHIaK4SbE$y~FEnD0vHlywiHBwcNsQOR1S2}r;-)T4 z+YWu5RBx2jm=3KU%lqV2)v$?`R7SoxTHyhu%MGV^faf<_Q05xMNcm-jiJXa?9**w% zo3LItYTxZ=`0%k9Lg9c!W>^_DLQyGn!|_?%!vSv(Ts2}m8Ez0KaUnMlS%<(|ME_(S zqJ!CBijtxZ7ng+TGUlQDk~jNIdoI$(h9)sQ-4O6m$OBgB7^QcB^<7uH7_h#pf?ne1 zFaDOpkU{({hw}=gEGU@wgr8qKTQ1mKFH#aYf-pQZRG9R2iRX>a?vd=E*eE9gfrpkOmBoxC@*P=rZ^YGa zbhR3@rGjJaBX?@kH4*`ny@3#4jbj0&x!uO`+r&&fw;*0~qoaqdZ}Ur?8s>P&8*0p2 z*Uh^q!?VK!r}J*S&I^N8dMrJ$W99U6!$BC1ta-Y^U?#8o{f43uAFdwan{QF&wq)0G6F!09-(Inc9Io1!A*`i&!!qB1EY+81 zljoCXe(kTEV+>(cl@kf{wzl}uYCI~$pK3Lt6cn|EnM+z*_^{&Ht74Bii9uG=g5^_E z5&WV|fwy0IH0#Q>jn)@FA+Ok9W0{w~%VkKJ?sYbnAZt#1ybHXOBE_VlS-4;ymX(>< z?9!q?d#{O-!!AiO=Z#v|Zb)>?&VfO!uGVStpr`xW@M>`IE1!nYD{-E|?MkQj{{i!XgaXk_xtwt~Pp~adduK)6ciP3I){&1NAEs&b?(~nE~ZE??>YSxvp2~5zbWD z9y>a+`dzx62HLI-X9sJLM@nLa0k7mhxR)HoYOEH78rJujbDFE;c?8Dhpkj~6?nMn& zncwV8>!@w4Z|J-d_=ta8EaZvi&$r;lp{%t51Gzo5o)DSYsG1hRv=zqeS5xKc04}#- z_1TVW=5XQV7`0%D7o3@$OxA=EmB!k75veZ4>JZwRg#G|?%9!&{ZKQol*FrTz)*@#F z&Gf*fTDjLmqwX0(_Mg^wBpTqflYYjoNm!P)R8+rYiwep+stoT}(d~ruteJ4fS4V)Q zq_+(-_%)`tkw~3TnAJ^MGb6!YiI$*buI+Uk&D(Kp!IOb1^F4fv4ABf`yLt&vgEtjI zT*ezaP^J*z);~kc{!-c;e(OjK{^kD}?E$J}ah1v9Hvhxpf|#E|Hc~CL4q9rgb03y< zsfvSN^qHCp+Ak1W7F>=eTn6l2#Cl!Q)Dh5E*~zxWRBx=>viJ33zQdav)bzZzL=qOg z#fNs!{4Dis^#I9jJzT7hO$15gPMt zStujUgBW2>VHHH}1sj{K*d>YHEQpa^8pKr+kL_Ape33*8sN`7-%eFrbEegGN5I#DH z^&QluA+$Ar{~MgDO8Zu+;|vXLiIUgOI@{ThTLlzlWR3Mz$jrMYuej&$ z)d5$X3Q1sAH!(HV-G#Shz>>iIeE6;QhGCtr4jW^E81szg0Uoyz8RnZ0rN0`l#@bJZ zPan!9UaIb#a}zg|g|3X}UVr%$Wkbo6+F~R&8?X~2o6|?1bX8DF_^%)%k@3v(haTrD zJdG*fc2~QgMEDMxQgjot(qHq;Uw3I4a5=LNh7uJ?wBuStOK7jdj&LOLbUZ)I$z()n zh<92K7L*-Y?~JY>e*t)~D22ez6TcEW?6I#0+c=VI>DASl!J|jyw~O@z23%;K6Q7;m zjYsgRsQP5kB%ej-j}f}6_h4{CIXh}O$|!7#SXL!=(Qn)A_sCke2`|;iy{W0Gg}gS> zN@=VTq+Uv<21!MK*?D)T_l27G+KE@|DRm1!h_p@L0kgyCfTl!@Ny zVHbtU^&>F)7;CtNEE=DLn;0L@SLmKpyCbEcdgEN~w1$`Qph*-zhlx271{%OB4P6HR z$!%KvyW8~IzJEjS4^MIzpxGnf>9jAX>fHZ~>?Yjx{63tNS%;a^gQTXZxFf2=go zQmtx9L`X`EI}LQ~*L&lmm>lMAWZ??#%f* znw_c;hO{XeqQ)>)D}I~LO(KWCj4c-4koR#UKbn1B7qlD)~& zO08ex!ga}?Sh_C#p<|Wz0EsC2WtAqlzAk=$Q!6RODVo*fAv7yj`o1vi?9(zDHji{~ z_vEsC%~p%guZh!)Lb+BJVus(C9rqeD2<%{$6XD$3J@pjARK4GJFHP_j*f$ICp=twH z4x_sA)&8bc)m1t*iV~)j54H7PG$+yIPds_{<;XQyp}9YZmVwKvQ+q;Sa^B_J>=zMz zY6ISX?DXk_{?!)nZ-33abLpF+#Mt<#cPpi1Va!)djkvO9xl_cvZ*~#iLBiPms?OLF zA8^!~H_x^DU0LWgs!gZW=X50utNn9~@vZbgfc;Pj;e2}_FXSqp;C$G=q;PLoqqZ*G>> zc)Z|oQBtFriV@ZgdBN@w?-GIhn{zQ2W)N?EWJ`T{*rhspk;Wj*m*2=vPHLj5sZsRNrS5pxllafj@ID9OBnMA!RQkdr!5YSz``q{6w0e98 zN${%CM`%vtggZ;eG?J1F&#H_Ptx)>)8;y4cc&+kcoESNi>f}~+NZDud3Qy(GX>@BB zu_QSfbC6nu-RfFImMBRV&*aR8n5hMMxe7KV;uJavlsB^Q?GUYeF3vP}w6;gQ^5jH< zbP&1+o19o#NzqebTlpE$6w2!u#|jYXJ0z#sUdr~nUGgPhC0Fbkf8vVic07LdHu#vc zCov~Vjg}5^Qw4;H7e>_c(5Z z!e|j-fjLSXv@Deqz9~o$3$%o-`|>Y(F$~m2Lp^%UY2SvA!rv8W@S-E}Qj*S}{;1K& zUDN{GPaOj%T=%v|f+PnvxxhG2XOk^)hs<0#LW&r;TN`5-fUVt1qm&;kZABqu6}y`s4%)mF!1gB7E+&FoT_b;Df1Ke&|H2A z0#$|hP7oTvp!!(~t~u0Y&_$a2Q0<`8!vfojtcYch@?7AS1iXL>^Dp$^`}rE0%QprJ zhlg3c}RI}T~({lEW z4%YzbHFZ_(b6Sz;4wv%uj_e}tFSG4le9v&W$p;hFS7VL}N812R_AgUSm~OqF%=;a* z=}cUvAFw(4Y{*nqNAEA`zeedFP4{-CorYM%b5$#8p0Y_YDYg+OE@E~QxjTXFCrgi~ z1WFnE6M8S9yFv^R1Gql0fl}q_dDpW4WQSPzZP25Jwt?aW|p$!zb=x^ z4&$m+n>=xtB_XMz<{PrvU#oYiu||8?@HT#6Z80jce1%%FlTv6r6ZpMUtLuO}2>K2J zlzfEZv)xQuK82XM95e=Y*M_kr}#%KDCD2|qY zhv>R6Sv37q8$LExIHkO3=$fA#f6s5}Drr#`^@Zf=@NM$+{kgls&P-BsA`;4^Jt_BU zedXBblX-<2LxnFkMip1X>uqoi%Jk+U{Ot^~0nb3>~D1N~9oxi}k*F*O#8=W(CTNanX;VMijL0WT9u! z++@p!ux8J`=$-S1S>OkDU3i>(;&PXesVDs_qVS!_)5@nZTgX66S?&-Hxr29p9 zp3r;pwcWXOH9P?K7|tSw=(A$JIT$lx}xpV&|U{#E+g>fQEOz;#J}l9V`xyB7CAW>#4&|Mi)XJAP>`;4?Qc5b-1-#~z zQf0{G_i+%oAW&M^kBVj5)9TINL7&oz!o<-$$W4T{X+bYvjH^8TVERNnvOMF1Z=3&_ zyVBL+0&55`0@NAWMbJB3iS*o+8rKdvx~a0V4-!5Ot>4egK%~pR06qnrnP#`77=(B| zQAixl2td)nSLdI2YIA`1|L`}D0!X}7R`{!(pfKlTdew)GnlBm)?E=ATYP@&vxWRd8 z&I4j}VJ9!Ew$}pVB`4J2lX9G?BExZ5vuRVpa(*jv{(!2D`5CoMlR#*R1Tt3~B2=!50*9kJ}3~A;DGz$#X$>B|Fz-D?N z2`V_k%V5+i;^hrfqh{S-y;!*Nix-)ZjqIRIm1D>p`*iHz>01%x8&`4iSof6KnIAnB zefrYn!MXheFeQTwV7lP_wnPvq{?qyLZlTVYxS}1S%UP?~hz(VFZTz?0Y&`RO$~4=i znd_us`V19sRp811$(M7?{EM!IE4_ZP`LHCO;yd$bwrCO1;@xNeT_cd?CQ_3(`OqKnvPjIqQ8-GJ%u?v z#M77gwer0xa+=B$%#!2*)TsnncetHQ7d(I^L4QV`FGq;n3mDsViT+JuBr;! zYCUGUL`fnKTD7gApAMD;+R-MT@nnVIT8bIOh1p zD&7%l&wa~MpD7fNoxR-2aIaY#|a*}iI)>- z2~bo0Sv)SjeZ(W|G8?L<&n5fn9rN~$7}8iTYGTD$4>~(FKf9v0Wi$nurklLa!T2e)F~l5zrx6#+VDEc8AEB!*j3;H`xKn!U0OqdL*Uu;jw=ydb(0S|6S86w^Ct%dbnwhiPFDb*mb~T~YE< zJ!c8lor2cG=XXiBH||@38EBM|??wUfS}-nQFx^k5jp5ZCcm4&_NaW_~2 zZlu6R#q3us?xtU@jHH%&>Qm=a^sPDL=5|*4SGBfplXpOw?05xW+Qp02Y0~nm8Xeek z$Lt&Ry~&73Us_s9)Sll-d01p?xn4OTLQjrQPI*2j==gD^O6|+TH^jlyd_g+v3yl4A z{3%rECA;vtCH$Kx9(jVQR+Z=1&X*D$v5+3-dhs19&A0S zpk{J=_l;|w6lH^dwh6v=q%cXFouz0-^=KtmoiBF4eivPmQcl4~ApjFMexKXCI$n3m zaVkf)A3s^7&2JPre}yOKr|Dc8+-Ys4`mrRdae`?Fsxu_;hV3l8Hvb0Dk7}U5Ntp91 z?+?vZQ|jx3{fN_Uu$dQ@C_buWNAOtAxWd3rKTG6qTB(tgp{{nBX(?v!y9eOGe1Eio z7tPQuCC~G_nqp*-r_|As#sLVQn*ZwvA9$(qv#`U+)B7HFLxzL|s*C`|LP5fyi0y%~ zK{2W@JRCvtwWa3fUAkMt7Or2~D5SUILhaC{-lMg0YG_2Y7X21~99DRQIr~gUXXjj| zZ^U{7DxpQ1CJ5|kme9dFJ-?4x{VITVz}Ym{pGh;ltS-4}=umf2P;re|DJ}}a7Hm42 z%ZdXyJlHl8;UaYahldVkV2?7v#l#R;7}5L$3w`u@SRy~0sSRd;w?s#E+R~b@n|frq zhOrhuTGWvKq!Vn)2dvakOGnPU$aA)*l2F>lhutD&sN_bRtduVeN;e5Inuja5T+E+& zIMPS0^3K)y;PG}ZDmN1YNAmr#wNSxIHx?wHm{6b4bqGCYL}iXd0)b~-GnATOmc@>r zIOi<9DyFS-#sjerYDKLUg!OloJU zEk29Q`<`FjTSpx}OcVKk&3E+WFgH^FXq@N|N_DU_ycy|pOQ+BO8gU8bx`8SEr;|$B zHTRi@3=#J|IJbxE134u2qeKcB8c{9(+8b7VvyU0D03R!lHwH%h&1F3!eg@Uk&$J1Pm9j^HN zivPvu7rbpk@mIVm+nWLX!Av#;1JY$AdSM^{4S0127?pY5%Cs|PeZiKpPiTt*Z;Aqun>}w8bVZ=ZS9$;0}!v= zn>ijys}a3!t7a#*(*qK7TM@eM!NDNy2w!^4&o$(Kb)4fMZ5TRCE1GcEs7m6r)PZ?# zhx4OpelVGBk5F`!jW##ojTd(iYHS;!lOU}99}oT39v|pDf7Yh;VLvwgQ43ZX+zK%x zYHq+Tbn=1kvbvw=VmJVE)_<0o*RxdbIsvVBkHd+FCItBCSlxQ?#Nn3EB2C%GmwqNT zwO6Od5uXLleA9i=|#LBseC@Ki@Fs= zz+Q*0uWa-ty->6ub7*x0lF618eY}f`{1l-0yq5zj*EH2v%pF@MWz3y47Tg7RO~cdb zu4=VY);47FBf?C2skc6fAC%PHWV$e%)t%SLkWQ(C$~(YCIx z6TkBG3!L4PeW4kj>5v%j{z(-X1?rm5)hV|Zjq46{vc=DPNo#ldRjS4bW+WTECHdwC z86?V!409a;DspmvvYQPRn;c`$dJ#Vk?H3LzuAzpT(hvDB9)2)w9H!SxT9suXop5` zNB9UOU?SZmzJu7kq;&83?wcQ!o)s`9!TGow*cHH?6(z+e1&?QI+x3W)>zmcGNtmb8wzA#9~ zj)CdFFjqO?E`Q#=Q}_C=5MO%djERk+OgR>nK;MdiPUx5tn2O z2D*cXAy##SE6z!k8rkPbnbt4MklCHrliK|l?Y!2*blOI@9@tMOD1b8-w$C5iS;d<_ z9EG2MKdFV^Sz;V1Om>9*F~61|N$e4GyrDgGX9e%57++mrU?m$Ul#eF=r)4oQ@_&Fh zcN2x~$LCa2uP@@0v`7HnU1YM?1Ykfqyr(^8s-PT7ZtSsJTGcq=upF0S;t38LI}G4A z(-c#P?*RRXjjhWzRHTvDzUtTutD}SmhPr{Mwce$MeE*_330f2?h3>LoL%;XDz(i0` zIA=4mQzBH6TPZP>gbY!SboYs5#9OwIYRHWbni;e{dDk=JOy5E`o4nr2O>b)UxdVBi z*aU@7@3-olaAsOdbCz2sbd!|T;&6v09y3}YO=uF9V=B=WB6W9o^NoW2^>em>5& z#bED??tnhHvad1Fs}&Hu7>Ry))P&2fxpnd~V>xBW=^JtZvq|tYVc=8I-(ik-AlI!L zN}FZfXn}`Dari`Tr>b~D&y`+C0{bP7?;yxMK;ou=@C3&ZDHEtU9DLPDP~ZW-i;^0Y zZXAV8QFndCV^|9k!Z1&8QRBjNQ5ql)qSw?IrGIA+uEq>V``ps-q(iYWh8|a-# zpdGPWPA*lNacFQja5%l-es|~>=a6_*k~2A_t!{yv(6M5iA9{7cRU0)+Y60N4T8AZZ zLP`YWsdnLb!dB)9*M(Z=8=kdwItpfuu-NSAm20(ONMJl>r(cZZd4D#ZG~|>LChN&C ztku>e(i6rqE^Z9B;*GGO+?K$;d5j^aPn?fQ5y7(10}mxlDj5fSZ-#2V9m71F!caFQ z8zv*lJrLr11NKnm@@O$^|A2HSb6_vyBr-sb1WUKco-WFDA`!~@D)~hO6YgrU$Rk+B zJJZ6Q#1c>lU=^@kA`Gmn)QUZ~h+s}F<#%6IKB-|ut;m|E866H7_fggpW8?PQMbl*2 z#ZhGpqm=M`v?1y0c>M9Hfx%S;jFt0NhXs-hTZbUS@`2*H>LI0BGXhqHS(c7 zr4I6GTy*NebQ8+eNkSJ_rwzq#)nk=1Qkz;Tbpbk0%d`1uYZ@jYU)f& z?Q704VjX}`!LkTE%SFV0CgN|&wS^jSDZa;cf(CMRWy1(HqMd7Osc0@J1Jb{n2@_z= zYqmvt7dBse22FOy7|%8SH)|$fTzJ8+Vz7SHOXRq^;Z;5T_dojrq-#IEiVV(D?lX1n2N^gAuOlLsfn z)vV%qb?r$$aGwVf@>N`J(W1%{Uraig<&%t-T^3kcE3n4$Re+d3D*lIPtn*|o3DNd# zbXIbsDEX*Sqvb^OAswP*uDFNU50gvww>TD5|SH;{$5NIsEk7y;j#`!H0243QvSSfbH`AXzGpLH@aK**DG6zsi|?nX_S83nX@V^3>0JbYxzM&f`g3Q*!PD}DF(C2luG9_3{g0%9*0jSl}V7H8zzF;)~^+}2D#rN(; zP_~k^2& zS+Hu6jU_FcIp$);katAPr>(Cmkp zJ1SiQT$pwj&x`n?y|OiXsid)61LiXf$w;o65uouHPk(Dhh?-k980hQsDC^E@@nkY< zg?l$SfwcDUY4D?Ix8j~uT^(;weJad%kPM3O_$!pmKLigE{wEF;MwjF9<-Nzl1oMd0 zccbaA;8eST*#1hbZq7FTWOd2ZHkm{~UQJ&!HD`s6(`m0XTjy?w)JC#uMydZ1x)?(G z1Mzjq{OEb2p(!AI%>sn4gL^QgD4(}MC>`Tq{)!y&lF?2@F)Own<4lyxK}`4M`RpBXSz%IX(7Uonmg4O z+^$?LuW&Ki2>0Lstt%fG%jQCWdV?oNbXU2mv&K_u6at&AmnR!D;J`jel84%eL3!}_ z^B1e(i>&u#A8hz7%nWB+HgRlRN{rxX@4yb83vX@J;9W4p{%(E;*o51X-^}Bh@MIrI z*=)y;9daJ3G(>og@};^w$ZW0XK56px!d+9du?5yUO0rrK?0mDdNe<{@RHuQTQH2Pg z8!EwXjj0~+0tIU>NQZp2+bmv7oay@#>5S?u&&+9@$whqSblqLvFmWg2fY+uZop#)y z-EfLljsU^|*h&hhu?v1%>w&9nNLMDtkwiip9aZ@ANU#2)^D*b4aAlFkVO{Y~Mjcwx zY_)P!Epq;HWeHOi+E;a&Wiu1A#wmQ`X{l`nmxGbq+x7=@+By#`gVvG)0p2j>K?yUa zc7p7vbxvLS+788lff|)V4)QJg`4~+UNnJ&2Hhh)~)`FS$VN|_LidG8oj9p#Cn|+^{ zofeRhx~A^Y#CAbyKb!{33Lirp@S)X_l5piufKH6yH$UY?M#{K9ZrlDUZQflj{_gW} z3326N*D?s!JAzO#TlCq zV!5Yf4@OX%h@4*Tig`&{r_GLY+v_}9Z6vhpLd{{6m} zt9}?*)eZ!cgL#K@iU_Z+M^vlsqmJKVW6yb##J{+`BJIW65^o6cm@bNZZbh^7^^2-A z9?&nkV;oH#41MHU2w`IfI%RdlKT=ed)tLk!njZK@7cbtn@^HpZ(JX&V{pt>0mP>7v zJ(Z8-T{M74Ysr|I`>NwV#clrT5ti^=2o*{a)K5p^8QC~1dfl>Qs}}gvC{b59kX3*u z(sMGWYv~4AYiH!@JC`Ob+CX;sSQ(nl918le&mBl}HRXG3j~KQ<=pcsn@vtO~vNxgC zRRKw@K2nxIB0I(qs}mk*{TRenQmLQIo6+<#l`)H|V8hqGvSE^`vbK%JxymqO)}+tu zdBs(4D)}nZaSjI9C=LuQ{Z`iL^!^9H){;n5c6?YHu212uxK%jfhUQ6i4X!&$fOv>f4q(i%HeQ?l<9f?yQY7 zd__$FK+BDq82o!i8AT_e^(-fy~P(Y?bvx z9dY?+{$G~;sye@I+U zztBdQ)b|D9Qn_@OplGa2*zQ)ww{g4d^J}5om7g$(pEPaS^px`=FoT;Kv^>Vk z*0!TGA`QI``-J5^iDCZcV;7UlFT9*4*I%V-q{h@Q2WUv=4-kan=}`Te{|*YxXV&PS zq@!DZ7q;-@`{;$I-!Bpo9cU$>;bblWxar|({G~c&6gYi0upAsJzaoL!gZ!H+KVFn~ zv)jar1F(Ay0Cryxt5`m3xEwD*g&|7Wv64-RF3RVTw5!f>&`nQ_91d*7M1S*l`gboi z*4Kzc0|@{XAJTC`*G#tPLpBfD2E;;wTr8#FT(?474-ZUA5pPi4qmP zy)B7+pd|?vW{Tpuq%z1mz$V6eA1xgOP8oMGS|?@+$qGf%Ah}1}moy(-J19WpQh2YQi7FB7G^kT7N-42>J~x#znwrdnJKWKn z%yMunxukEQ9LSX75?IX!1XmEjX(+Kc?;1s?Uwq8C>H*a?)PuSU>*a(I*9jO~9xWd92yqo}mnrl=j}WUMj8(0ttk`q4;ks=6CN*t;}mPbua~ zfy@vZb1Eg*lCl+^@+!SXP@nK-W!5ImRRbuoT&*00xg8E zT#$Ka^N4}cmS5P_^x5alG19X3*@_xMr5a1OqD0(brJ!0<1M&8AmCt>}=)$79iJxz2 zDn7Q&HH%8p*RZvXaoF2Hc3X^a46kekFiT?6DD0V)-uUo25eM9d;Ge@k*>+VBh2dT6 z63BPZ2=lmy8?KS}k-S%sXFI{q;Qj*Dg&-kUXG7SC`4(d=2fwMZRMPjso}wRLIEd$d zbsq+bU(8Kv;~xzQ2!F-}!K9~I-l=gez*v7W3?Y9ljJ7BLD375S0pNTNc$}$XBTxZR z9k##g{JHfP4k4tnKfHrAbhW1?C7u|@s9Na-*b1)SFQ+@Xcy&uwyhPN_x>>gZG4Q1E z1KL_JY$gGJhorc1S4&HWIGC%_#P`EYucT^Tc(Bt6^ck`@WYOWK+me2mqimG_Sz-&w{G{LrGAwsye+eVTl&x`dj^HE;_7pni7VO5j3 z0D-qOS;_zgPb}BYd9&5gfT#KXUrtI8l>*^siqcR<$%wzT9p)7Lt4Zl&;mG=`qT0?V zfy+B#vcI<;t^gVwhXx89T>Vz*_=D^HQUMTnR+YMmLhf8tnzJMI+Gq_sQ8(jN zl4V;n`A3QdlY#!e&EjHPXXyppnXWz})IyE}$3V*4*C94Id{6tuir5a<(;gJr3Hr*!N>4=h68V;vQk)`)3q= zWhJ5HYTdbrx~K=i+EYb|qL_%sm)Nn3L3r5H!UFE9QAPO5vAELC-?%YlyT*Lafe8ry6gYwABB84f3%NeE5;g!%&b4Lqz<^r7INor|1Q&jForkxVJ^d8aj$66JO z8bil10gU$?8yU&dN?2b66j2K|g|Q7Nv#L10@l73`Iuo8!ODo%B6qDITbq(Bkjz=`S zun}3(R0VxQ6|JSs8p@ObFHZWwz8x2doguikUS(_3YpNI3W?!v$md__W$V342D8Yb&h|<(UHf<992z`}BeYd?^2(z@+>yc3j1juPiF^=f?IX#4X*i)^{f- z|1Mjg{9g{*PM**5DE-I70Tq5?|08eQuBFAlUfWmD)}^od3G7S#7T1)C*PyW;?%L-9 z^|I2!R`IF333n)ZIC`xPdZVdSfcT0DC=oS3(12{@D&^gcJa@iH*CeAEBr&m75-kZ(em3&iruXnnpOFEQe^EX-0`Twwl`_UJ@{8W8GamjXha4wGjlkviHy zs1tGR;NT6r+uZ39#J$#SOfIRYwrZk4O453^Y3UeonNT1Vs3#dNn%C6P(k_cfH0*mt z4=`=g=c|-4P=(jW!O6SO727xVObsu0PnaWeE!AYDN-JeFiiA2Zy6e}nD!b3K^QDs|&3&Pd)cYFVdb$#+JxO>wsdY-wf9M_wdus}} zkIri=bK|Q?9Umh&lh*L1OwB_yLz`Djxb0~$1MS9(Z`P9Sgp59eqxd6W+8fh2*`#aqe#%>{Nf3QZHqQwv0G*rD$9#P!Eu{RQbXq8mc` zsxN=(X>XB{Is;Ot9u8$N-ajhd0i)s%9fMWsW2Y@CSHjz(_dT0WKD;p zNE7S6hS=h&)dn*&%$xP(V^rlH^9o<6?Jm`o%17OH;-9NG(EsAF4 zs7$z%#YCVY;Go+Psz4VbB1*4T8e9zXK;U{lxzRWho!}$Jnatnv>W9 z#0p!1!*&PsSxM6P0P%fQVe(KC_O0-wcHME#q$;GXgn;EWYig_48eMoNpyfEfB%BMr zp?ASufiP4DuMG2UYuzf#tEjJRm9ypG<+=T_lyXb{4`%oBi5Q)J+!=_CNsziJi@MJO zzMzG_Cu)I?b_CX~hgxie(~B?s4!StQmKKd8RD6WSdyUTF9esiR^T!_fGbp@HJA$TK zw(R{;xs;C84|s?`fb-xFiG?1FZ z`8EZQC!`C$4#BD1;o9d%YOi}P02NN`*#9jSEI+5+>*mbd*IzG*S3&A1uHZzG6-@v7 zUu|N?%6#z~Pg0w^vKRKz5qU|fusf&17ydDQc>Z}=oM4g9WSUGJY+}`wX&;FO7d~1a zK8-F|QdPK9AN`mYe}4sb?Xa6ute)Z|qNq_Z?AS_@SE0g$4_XK9IH=iO55`1fymv*W z|E-|xsl>nf)vkX{-sLS1JiNg8T5Eg$a|h{;%IbjUHY%{fcuxzIT42M+{I#aFgRGbO zMa;9bUMH)spx1o}Sl#Vf7m@;?^aTHntEqX5OM5W`fDa$d}U0$W=1d7=bQR^)Nx3@!;;P~6Y zOQG}nAme8J(5MMN_1I72VP=kK_AqSoi5{LfYIY*9i%3LC=ie3XRJ0$@ z1_&;d8&A~iDxZpp*$I^s zc}_XIQi4kyYQd-`Rx`Xu8!*28%Z@n8yg31*baS6I3nTwzwy1W~@Sf9R!0uc&T3Q}iIA1s)sl}U{tV+Nm z>B|cKNBL4NIUOYU=7eVx+w%1IVaSYg@?1;!Blmovw!BfrI#o-DFl^VK;utCnR~2A? z34r;Pj`P!^MDjAsk|~Nw4VBQ{C)E2n&yF%>vbrRz)JA%Pda$@FP$tjLy4y)}Rqo>U zZs<@MHlWS{SSTBNymWp8@KWPc(t=CxA})H;S0a}EVSMpe8M85oL`s5O; zF>Sq-j58>H!;bs+zUn2f6h0Qng@K8?h@5%l5&qHBeoH$smAl$vV2(7|rT#*Q-Ce~q zZMR9#BSqDmdC;FFvr5&&$ElQ!_V*5n0E)AkJa?&4D4GV<3SCmm*(t!2BJG_vR{{D3j&AF2AAY>t{I%aEYTju@(=Jkfp zCEhgwlA(@Wcw*q!v~W&&8m-Ko{wyUW3du_>e*FXyiJdjD;TPi^xc{*9Ak0Eu*5Z+jlobw-wxCn9Fh(j$5<_Z>C~-dXHA=XcxVy2nfh{0m+_^0Qfb)m*|0)xt zO3KFJT*AVLSHePe5X~QFKwgU%EkNYB?Lly(8eUAFmkgC-b2Ey&aw@4`n!LGvG*`%` zH7AErqY^HXajC2k{Av0l)KUgbE1@S`Oc-H`%|_`Us*6)hP>lcou=gGSQEch9aFZmX zk`a(5XONt;#1_dpD48ZVQ9wXIP;$;mGDyxDBvR%` zkQomLp~zNRyz>`52F^syh*3U0e6d$(9k=eknv8ihppc_*uBSd~Z%3IxcmqdN{GM}9 zDIb#ug(ahopz}s!K!n9>eio*SDSzIORtad)Lbe{XM!C*Qu}zgcrn6WLF0`J}ZuP** ze%o(Jy&uHWZxbwyAv+HnqF)5JjyT#gYmb{gr7-Nsn-_8|L}8(=_W1A@CSvqA!>kO< z&W?(^-?P2%ttFBqG&)6&@fEHvg1+hfaRn!TEc?jDt*=pS&6^YE=Ts?6UN$P`n62ka1U|O3d z$0U%$BMwyie@XiadV=~Dl#UhzR5*t>9o@Ki-JndEuRqJuqI8y4i80{?U-`-$jtU5r z0q(9H>ro2%JfmHof0o!uG2<;CVJfCl@8B;!SDJ!TjnE9&*VFdLzu*ujKKkC<@&-oZ z8#5W>FKnkZ*IbH)Ja#l)_%(m8q+4+DM_LCk&mW!Ne z2Qmck6aUO_#bF)KFzb$3D;_7olyhFMt&^bKf;u0X@1^Rj^*p{y8nm{C2jfMhg${z3 z;bKN?yR*p>_UAb62Rv8POc|kK+tajU5qLq$^YaiF2kMRiZ7sqIocVE8avtaZXf&vP zAiSZt*<>D5}NPSb04Ey12r z1$qk6TfD;<(m=VBHPStg^agHm+2#@6MbVjLvs~@I;;`pO`ArS8X>|EvLtSJx{D;L( zFEB*;Kp#BJ#i)J*G1NDSE77zj;g@Upi%Ar#~ z9^jAx)wt6Eesz%tR*6wZH1=`b61ri~g5>*2;;LZ-JL*4n&iqe4LoXC+K-I~Z<91+3 zPr4|@v_H_@K$2KtgvjA~#ODzq-LekGwikj%GB-v_#bzjKE?>U9@9y{=zz-Q64;)+V z)VKm3?CQDG%=b15N3}dh*%9t=T^8s5&(E*7 z*$ZlJW$!j?Y4sn(&AHJVh_r(_Gr&CicHPBy(~jU~i}#Kgcyy%60JOpQr`VDi$+ijCqmA&j{K|6{Ynp&N`Zp{G>G3LBd%d z=-V2p6=r!%b{j5`@lyiF)sp(rlaN*G!VC;z+HduI##ApdqU&{#TtF?b!Bx$-v^zhr z3-1|8Z|7AOPjgUG0cBKyKWrAk&<079tY9(oec8<~!sV591pF&wcZ>$u9!Oia`?$d- zgZvVZ@C2(J+AWa=0$!UfY*b4RRxW>>`&jUM^HN~l7)h&7f7<%MXK0Gbdm1eVBRzd)rgu8Kd>4F?ozD9uM#O&<5YV z9Q{pHcbm|#{N?EDMK-_@aw87bPNqx;H3p2uBL<);fto80QwUY2rAKM0UqKdML6^+0 z2D2MO;%XB2+RiB!38J%(Z=nG2lW<3I$9@=>K(Xp&oO3xI4uj8kW@}6u=E7j90a8cV zt?O2jVlM{rhPoQV5nae#Avr$XFRINY!m<)lN%c!jSI#>ICyY7z6Q|RK8C9ude3Z2q z4^@KspI)4w=FJ|-^Ls^db`c1Oo_rZ`yE^C+LCagEJQX~{dZETr7H`r-WtHA6i?*?cHe)bLA+Aac$ zue!xnnMG96bW;s%1wZ!8_{)sfM<4hI<#SF`*L@hux5ltK$ttT00VGv@JB>Sk)c?1j z`+H4)v{EIuRic>uhuAwFNL;`l8tih;Q}IJOqEP{)V*OEZTJCH&eR zE4Vm-Xd^a=ieTFP5-8w*8sO01O%di$I;nfEq5g(A2x^G9OmwGMVePl^z#qjgcFq7| z@J|J`A6F!6nd56p(W*BUj%K&cSqY?KUfVm^ayjTdz(v zs(rM4vR*H0$F?qwtk&(O{+QG@d=&LK@Z%Y;VL4NcduhCY3=pa+`dvu!hX0JoR&qSt z^)UGjJ6^k)51>@2sQ33FNyTl+e}SU^TSh8ewx#9PkqeB3Ew~{f*$pHFrPjRv5b}g` z%XiZ#>L9SmfT}-x9$oqQMug@IcIRuAVk(A2kIvE6HBX&j>^H$Ua#x|V7+;1fd2aQZ zMjeQH>|^?sCA&>(A!6TF0%#-N`O(JkGp0QEZ5G+Wv|D3*2M+l;Z~z@PqJLB88x3m` z8MM5WIA8m$>Nb|_v&qY~U-y&Z|S1`LlIr;fjZ>T1`H^Y+9cC>dkxNyA#y>Y&#{s%A@dg;#JnHAH_4 zQzD4{N$S$Kp$Ixin0M-@|9V)b(Y#EU)4-LJ&xy$W&s(wRa1d&4#$` z4l(J+)U#%*O1LbR%o^qCv3Tdph%MeB&jScOFf7)+nSn50>(1?zE$QSN-x*|}Ux!WQ ze`X{%h_p;cgnc<%GJJDJOPCAO3=EtSCY%(b+<&I%H#saerjNp1Nf*rxo0+#RpeQB8moOb+bXFdkf@mM z$|#wKI_!+L&AxOuaNg>RA$m;;^Re@+%K1sN3DEz1vw?^s9$xWtwn882>45Ic{LlPn z=Xee|o`P6%L0)4LicUG+mH)m$w{^?s05-2_v6fRf&FHTneie}K zpcftY%Kfz(mq%-pQTI&a{Nrg~??Xs{^lW@5#LUFWa@CSUsId&e?G1rA+-0}KVwo5i zu!-2V=t%V2A13a15vVky8ziSz$lD5J;vC#A8M~Gu#w^(kF&kQ#gMy9rG&1f&axEV) z16tmgVyQBQ054?!88VpUqpn@77*8@4jLv%Q;`z*8^3_=6=Cdu1tWlsM)933=>=!5d-PaY0rWBOCPjPP>}>n%6@O&dFGxvV9eN`W41( zS(z94Fh6KLdJ)|9z>Tb{)F@WQ82jOVC_j7h-B05+fStv&Z;De!x$zrim!qYJp>2OC zD`$&Q-@j_YkS;h8&9IfDx~#7Jqi#+8-wc2<+U+;N9ae8m5;L-P}P^}_taVwQNWj^lxLfMR_2a-ye-lV32= zX`Dt?S%0CmH6ZNCC)Cr7wx)sE*^jKf4n_!GMLvCW>-R%^V3&7Q9s3L18Cxn9Y`3C` z0#MtI_I1YHRS9^o7v_6m9Qd(POdS`TSFgl@?wmmWFI?*XXy);=<+oyrO46DZI_$dG z52aw+ptGFebL(B^fd;SFy_McKtwx}rP7G7#D&Q$ND7Wztv@-UNRt?R^4n5MDx6`y zBLEWUJpy*%zs-#MqnzZwx*UF0<|Fs^LPV45^2o6)<&lf2*AY&XiuUZ~^}@U~h7rrU z+5H!{wXFc^-+YVN3GB1fBhUCZddMElCtp0b0P9Tuf=Jn3KCVp6qL9f?%kJI`=}(7l zjfLgP4W<7F6TW{v{PBBDlN7niozM1=aVSq&f~00;S$%VOw>7#9VAJ>Rn=@^$?#`66 zLl)1S_=S%(R8Mbi%Lx_o)$zq#JE02zq6D_OpG-HH4(=1SCQJmk=05r*&sWx&bzn{X z?olT(;x{sVObU}c!`qK>acj2SZ|3jK`v)dMv@)vwSH|ut{OZ(LPzK0KMshh-fgkkD z?`C~Loak$!W9*ZC-YVG?kszs4F?z%W+MFa^!6G~a-R?*r7h!e)th~xf^j0|5R?)l z-TXjVJbT!-S6g}VT}t={8QL(pQ7+ZDyez4f$Mf=9y4HBvH@^9=pO0CnIUlz3`-bV_ zBo5}HmF9a&r8J=C)S%J#{E=(OyU@V0AAMBiT{D+7IUx=p3HsT1C#$q~*MThfCifUt zcQi_X=!qE3VhuPZq~6WF2AHH%YmZa2SU9-m7`iliQW#uBrEoO+noWs z_y`@^Fo|v@?KpkhhS0vj9NjB@8&+8r%}OXmm~NL?AW&orWw))@YF_vfPsbaEyj2SuY@w3=Gh}%M||CQ2qa3q2d24H2nWqp<(9O#NlV2 ze6d_|oFqTz04&Y+P|%^BC5eu%)-Xm5_sPT5ryC>1cCLGB07ujq;D}1CWsC~%wVG+(@vjPd!Rm4^*Al$P0W)Vf6im}$F_X#*KsqCXqd$uC!7{bcpJ5n|M` z(b3>~wyqlMtfAgaQ^7IUe~0HSoFkYl*->bZ7`D(r>+FzvCiuT~Lc!3WvzzuIjHxs2 znrCpu|N^2YyYQvIL2h92;f`8F5VkFb8;Bgy_IZIBWOHs#O}h;zCGM~3!C`1%Hi$yu8Z zBKg(CMh3-3WNHX#!6JoYQbf57{%J{z@(V2=@5slXBBDx6WfW~KW@3xrY9d*Ld4$9v zCunOnAGPN`fC-Z%HNWMpA|fa8BchGhf^XYfKvEcv2UlfR(M$wXE&}bJoeDbP@{f<# zk;Gg-Q95n_ipPE`RmCW1U1r1o6AI6P+@7Ph6ZAytI9T9(Qo64np~7 zie?^(9xCZjMhewWWTx+m&kvs)8ll(zA~nvrp`Gj!smHTRQgq6(U1W_e&7a-7csu;f zA;T^fETVNYe-S#R4u{#s@M?&=r|5G)zGk3JX113rGGkR=rTVM$`?=>{BKyEueVFMi z-f@6cXg+g*U}3oAwW=QecjA6G_z34tEE}YfR4Ov_TrJtHudJD>!Nk2l zx280HI3)VHB5f&H4{buWS4^=mouf=nYZ3_*FQS&f{$zb5^$G z-y;A;jyT~W_bu6p8WfaP9rN8Z=A1tmDS58^!g^<_7;kRTsYJFsd@$QVAH`YY@riF(?t_Q zC4^%qr0qSA+;xcEQd{KwSW75_`XF_aB8N;bZ}+8#N(V|t@)$eusjK+hF3W3MNx>^F z?sb}R`{EmY8E}SjXgE#_X_J5Fu!-&P&DL$pIbvoZNPr~PC{mugz6a<4zh-Lx>!X){ z_CkxvsPja`z2Wsru*rPz+6dg9eC@UH2L2+HbRd3fC$Qy*l!@{J+i{_3y&^3OWc_Ij zvX3MqK>gQn*RfQabE5LM=h)~%?%#>D2yv7=BGY)5O}sxHX`g92;56tk7_w!%FpNus ztxoyxijsbMUcx81yvf}DOdP?enPahLF!lwf-?;Ox&OHaLC3e9rqTz*N=jx>|593?* z!An=5d=qj~4gC?oBr38Ney}Q{uok%FJRO(#Q)>*vLg@p7yrE4+`VT6=Nl=o90Dtproz_Bdp`8 zNH&t5X|oTet-Uxcg`E%QWD&@;o9+ba321p+tv7^QU>r-}CK2WueU~uRFtN|EkNaI@ z{Ov?dp}x(SHB(FZR)tJTA_u46H``UEE|_MF%&R)Xp{_l}q~1#JjpxRG`$EGOi6_#{ zrRK4lAb*vr2fH&O-WPbe+1)^uWXVCHm}Lo{{zHYvk4A4uFAF2AVP=Bt^K*mPuD)^N zb*)=PjG?n=KVt|ceYd*gbPne%1muD+ZrfWH`}A#iQlO_%NFX%u_u)o^(_4q-+TMGu z;{dgjQ}uv=sd!LWbhb;@6U|151BwJ&o1vsts?cpxNejJa%7+4sJU$Ohos1qp*Fe7r zuntX0{ERQ3K95&L{^2I1BQDhqIXAG5r4LC|8~7U2cEK$_8Z1}P6EB|J~zH$ z6RpR%ez>R+vn9Ln732T|>XIh2tD2MS_d)e)l1xJbwIENt_&Jx9y17)2DO}8q?{-v$ ze6w}$c)=&yHGd$SV0jR9BrT%W7M2_ojh+yI@o-Q93Il$jy- z@nZ{u3PD@u`NQ|gnVc^HA<ecxcR zlF=kD(gBT=>=h$>l1sl(SrKi|q-U`NJ{!v?3Ac=h`(7*rbU(=!b8=w*Mn31>p)wrs z7$lV(3H-AsXvV)#`}p6uF2dItOf(Z4V+Tij69a2Z;E}DN1tvQi_yPFa13^Jn30oUS z6B|bdFgrW2P#MfBZ()_DLd;Ns(A;Q;e;va#^#fmsy|?16Q`zpkll;$Z7! zZ)D;C20lmfnWMCdqk*Hzw{gLYPagkGtp6RZiS8349OFO8cgOY*B9F)QkHlZ$kN{@!62(YZUVjMLZSR z@L=tGuT1uQg2qy>rr`NGZU?F(X(G#~-Ku)hGI!VOm1U>okbP8#kGk%|O48GakF(wK z7#r}>F=IyAxO4E0XA6RP+8_2RY*T1BB$vke{WNY7IU?rZR#)9y%a>o!rs}ZlhqMNZ zK2j3T=U2&iB1!vv02iU?bX$80*)ZsSv5=S=#Ln1sUXh=!*dI%7?N+_Y{8)A*>B$?s zidFkNLLT9xnYnSul6r>T&&?uh#;I)eyvj4|4_qaw9f^jqjj@KGU4|q&l2UUmE_PXu zxm*|ewk8R_WLOG(o;i35Juh%26SImC89>N(TBeOkVL=ee61Bx9Lrx(|HzV}UMBR8L zml$4O)m+I}@$y6Gp>HBG99n}sVS#AEYtG__rW8$s;OJ{9K6|mgXq0&xRUd}#m&S+q zH0~*aem1QhDO3ZeJ@KrQxqP2f=Av$Vr!k#lPT^T9S<24+)fdCCuLfon!Q`*Rq7syc zg2Xvunnv9jj&=q}YBmN2w7&iJNWLBOy$D!^(7vz7;=tq4HyB`l1*T#bq&6i=#SVy> z>`SoQV@k+JmWj!Mbpx{v`H$a_g1vRnT&? zlo3nbEnU7_pKL+j(ad;kU_1OmHxF)19MfNAg_Q8lWd|-!aKKP{ocMMJ{pB7G0Rhju z*HLxccmkcL$z^P$Ofg7(gqynx;^8KAEQtN?fyEr%T~{OiD8>dw&7n1YtB$+Gfe?oQ z>x4PvF11hd?b!h-Ui&xQ1B<(|rdt|}RqrYUdNd3&q>GG*^wY;a!f%FPu%y(BrgE^mIEjWOEd0H=Lh68Xdt$lt(Hc z^lp=JJhgv6><7BPP=j>76D#Lqf{R^$R5jg=jjT8i#jU4t25J&lr zX8VwpnQ?dh4Mdjwu5Q^Y-2%cXv829iuXzHTM0lF{9xE$r+jPS)VjNpk%!7WHBJh%j zx@iW9TMiRf8j;(x;HA1guu54$@Ps|8s7uqtNAfvPpnl&PRW&*q%}C>$DiK17F}=^? z=u+>`#)m3Kk82}CF{j^ta9j(?dCH>b@L?$>LE;|5F8yg6@}Qlr$%po~FP#>K*MfY( zxM6Ba*KLj(k<8SpSZRgZZ#H)=>G{=69#Acy^Sz6Kz2KsUcmXEaeU zP;q;FKol?MBT}#WBAI)v?;4X&k$&!|-^!FjKv2Oji_L`>oA==jwVQIuopUoe z5W}4aL20VGgxVnDOgX3s>6qU(LeY-sVmt%aGdTmkl__Wa>;CId;U3~mrpTzTGi`jg z7T7eQAIsiMLrQwCl1%QPl2Y>diN=6y;W1IajHuGf^(pHTp*}pX>>9FFn?IP=B7%f@ zpEEr;PhOr-u>}oJ=Xbo@&P|N6P|rr5scSawm`vDC4UCw3#~9f7(pw4NCv#!Y0r$=m zY5X^acw}u})a(;9J=cayEfL_BH_xdlg_|GZAEC%bj1e<$NG12l3yc~$E?~uf)|7XP zIEnYXQPp%~64*)(65m>He2rHSiWRY*tck9wF!q>#aPk=r3C_VDxJyciRp}5^Kzo`h z3$qHS99e43mv>_W898{;;Tq~1Db$-BylviG|2LhZ=#7_WD5=1ry+kdgfN=U4P47bA63!H2+iDLcoX-j z_5wlE-|Y4qEou$e8Iyxwt*h|=2+u7QD13kQ804rJ9+N;oVw$oRq8-2o4Sef&+d)U*|v)Ae39TkZ&QOAR{BA zqN1Q-;9_E+qhkUZN6)~?#m&RZ$1m|vQc7AzR!&7#Of72oI=i}idizGl#wRAHre|hXR@c@yHn+BSc0V4UoSvOue7d~))-E^@!Y|DN{{GUi zAKG;nXcs&pA_5}vw|2q7y8yokcM*}u*=}KrDIpuca46WlQEt!dv|_U9Vr^KZ56uMPWKyJkTc2yno`L%0hP0Uc-EB~pB>DXxjkIL_kQ zsjr9WwL)XfmGTm;GdO|h?wYg1ShgvkZaq0)KUiR%)G9J-i&E)%`>NFQ+(YJFChneO ziP@Bb)VUY47eb&<&e+yYNlDzgO6A!8;^dlQeWY=D=o1F6M+DA--cj9h)`w}982B}l zqY&!o0X1I`l{kOc;}rUH0`zo;xF=?F2 zFblg!~TB0^Cl)PKso$|I^ewzW9DHChjy@-W<&ofqHnp1YF zdDNAmQ9CYCf@}$m+qtL>n8Eh^Yk2hIAv{PP)WwO%OF4-W6Q10=3PnM% zH``0b?D1Y+HG^G8jU36a$x=om_0)l#dZ(D|;aTCq%_A?SHQx{TR6W6x@g zBOXw+oPAp3g1_CF_$c`G#|FNg(E^LHOCL@>Lk~$Q*%O2pxpS~0dZz~Uk(zvw*%HvN zr;(d+Fd7#oMga=bA*+d$|CRaWapW}or(sVap|@QnS?#$+DQ)O6HMI-zTZ-l6%5cah zt7(}_bCNlFGY}{0=qUE`c?$exFVt?{?8y@5>@m)38C&gRhy%GR5s^c!kMM<1-sDPl z2&R0c?S2UDVCd)0jh5)UV|K)K3*9Bz#?#Qr5(D8(52}19i4T?Li$$Pva2Nr;5Y=%| zTDr_<_oj%Z5-W>PtKG*QrTZ*z-)291G%P8yP#*vnIhIoJs-^ zs(}WVx>Hh7_=J*$R`Z2w^wtQa7@LD10E;Cx|t+VB=`k`*xD< zoX+J5Pc_I%LN!$?KblW{2-H%-MlO;humauKJN{Sv(_n1 zY_q*RXyD|=Y|KNU_~_9k9Bej<-bU8r_IY(u?ou~4_4-&6l$+%}C9HDUQSe0J9(UxG z_o(vW*1>Z0iCjsvt``>n*&3Jrmb%Z3JhuB&;yb#;Ofr9AHA z(O%#ha_E7q2+A$$%nl-OzDJWpA?A4XGK$k;|GgC&JI7)W{o|OG>T%|_pt{57G2waC zcUT^C%qQmm?#Ng`&>Q$p12`LY5*HpeJ8~eh;FW#bBCaF)Ub^Y&SCFN-b7F9-TV7ZR2-r~(035-;QIGd!D zrCL&dA@gy^XHNr%Hm8S}4@tU|o!T=ImdXn5*;MunA?-m>yf$eZoTf-((Yw%+^pNNkdPne8?Q$N~9-wC4`BYr^CO%gaXdK!Od zmDAk7ag)k(_hpUz&_VFBGa>2jzFSe`r}bSL+f#)HH3^lcGokUrPb^-^Ok@N-PJ5hq zyxJq!?C5gG%s2SXJCcZC<#9LN(669}YC80V&%c6L4i)H)YW0f4lAo^S@fD?D56hN) ze1u;%=)$~D9o>xkP?Nr=`-ygEV~aV<0dUA+I)9-DHS+hj?8AtpIx;1tJw=Y)6Fh4f3Q%`#Ed5KZ4%erX%%uZ&0NfcSTJsn{NQ1AHPuKfM4 z*7p9R_2FFs7F6o6Omp+gL0c5ITeEs8ie_U>QWiwF6ul>_xHPjWK75IT@)K^|W(py; zdxs68a^8lrbrL%Mn^Greg-Y%8t;pc<8VwbFppe||dLw)z31s{Q4-?n_?-jY$DrOnVD|nGSC{-(RJYLnEmQtN z>?gbk_~M1V76FGL{?&TxhdUxOZch^9azvOvHx(x!xbUsk1SS@&B$gjtYToHT~*g0yR6esH zMpa^FkJ`S>f52<7^N>eTI{k@uf`~g+UJrL#JjVL9vfhFO%d1?S%uP*g6^iALktl^L zXc2|$C1$KKbuM!)O4W6qPx85Q0q`vu(^>fo_;y7=?BWOU=AEW+6Zfzb({Pl(!@X2r zCa}8LD$ReI*mfl8KeW3)`z!MH^$?h_=G7?--BO7hk`!rh3JG2LWKZ`PmQAOZlQcPO zdC6>3U!({fNI+UH$7Y@Ge5d=o?YS{oTcTBQ$9>nTc!}W4RIRx%-AVr%cWdYB3_j2I zf))>Jg!P9xQhCqM-qI>HaW9$j#NEQMJ`OIbxgS5oSa6$OF43Cv^Tmw_)uSSN9by4U z&w_Ca#4`A^kD36Xd+CnkWSm2;EU~`$qC{y&M5zhvOTXg~U0Hp3Rmh?{S(UEY`U%PF zad+>jldR?mn=?>8N1os9UJMI7f^8)0ZwTY65`SsBnt;1PpY!oy5NU_Ej}a*dfhe!i zngxB&y&+-XFm^>D$_g%`-0fsqwfY1)RgcTf<5C2rZYuL><`3ih#hK^v0_HIW8LJ#0-sieTGrVbFlB$p zplE$8#eX$vT2P=Knr#^D$=WJd`~geRmp`M=D-HbDIjPtUp;f*=MK^uq0-JZVZ-5>;fY3vF}( z9o+kqkX#a_+=ZFJEcxswawFvN3b?K4epXL?ka;^H4sUg|#Byh$Lt{l*OXg5L=KwOn zr%nj)-@pdVAD~9aqcL#W!SM4F3ay+i%@<6MVWH28@P3XMhs(w(bo7i4u&rZvMZ%G2 z9c+590F-e@0n=~b`~%d|X;bDJrIv9#{1%(Gk<{oDMSeNG`I|=URH)i3N-z?3`^j8C zJr9RGaUM8wdg!tFxJ`S>Y5c2c>anay8P)*JSjE3MuNL8bIPldcO*;>TsY*5&FVzY^lP=UNWT+SGbLp8G?^=?p zt&n5EAxOS?{m=ytvhvZl)`<@{v9mK`^mL9GQc`)7G`K_?tN45XW%&!|RS<-5!mVFk zr$<}D%f!}yuG$qX>gb)I+Px-|eCA|kqSEr(ewzhBlh^0Vq4ecy^#Sg=%+VIBS3_&C zu4$68`&(c&h6eY%qIHXmuAwF9(Dt2t;clu4hC3pZE^V80*U}e0PXvq(7^LQ{`G%iQ zn#`1v3wPCkPa1|yL}HO3nfj7O`JJ3|jfL#hi*N2oSqSvI^TOZ6UK~(GMQq@{`wWer zogf}>aWKi6=9jRaDxsIOH<||Z47=sxCEJ@{@VsnEzzqy!XY<`tm@H0jXx0LIY*R=M zp{!%Mjh)UVsTesKxiE@X;5kOKEN8iSi^j40xGjlALi^7#*)<`YQ&zjG5yAc-qmv@I zbgiV4(xb*}>4lTeEekf^PLOc|m+Hw6P}t1yxqpR={T!MPrO6>tCjY@dm@?n`?< z19i?IwQ;A{u1!0qXioTWbL6%&jtbB_Xb{tL5|jq&+(BG4_HU0 zEZWz`-eK=F?@UN|a+HyAPC8OYAiPsu7a8d>A&D113;yV){^VQ(bS~M9#5A%&BS>D4 zz-+pg@k_E*^Ta!4?k#7IX%eTc55;t6YuTvt_ezx%0t9;_P~Vv%aE(GT%n#nmX0^jy zNw)=*WyRwG4-QyQdIP)$Um(LmOe5x7X}dZVwoKt~S_wZbGe&M}r45N+zJ>V3DZ6C%iOocDDtZD{l}jJI4B@+dONKyo z($li0%-#3xIr6#kt}x?!N=(3%e1o?Y1Fn~pA*VFp>c3jms?Ex>EN6o!~j3BHl z&GD(j<)(3E9hXHP!tvz0J-YWR2kCSQI7{Bj8n8!{#d2GPelDQ^%8q6=H72*j-tJBw zIOHnWvd$JCJl?T+r2iyQ0zUKp^qxx5V!-|4+0g~LA>9F{&l$w7Tg3H7f>Ue|9}q9E z5WVK}u6heL;aB;RO!0NKDO74`uIo|5kIzUC=CQZ$>Ae*;_0C~ux!qsqO>$aTPe089 z^iXzL>z1`$vWuz9DV;p-D1+66Goli`&47y_wU<6mNS-gSE!J)rh7vtS;k%VxU!Qos z2?=_&#Po`38iDphzQqQuvF;dHPe(7r23uV=iYw+Vu>{cWtxoQmP zhBOcduMrJFkD+q)HpzXui;F$tG*Tl8?+%iqAeoCgGZr`!Fq%E$m*ysRxreF2$ojRM z^`V6&#gb0uA~16$>+!E3Cw@;4uX|jzP$S9b0#B!2J-+RAUaWC&U-8)G%5AOM!p}d! z>>RznNwOGJ9brU&Yd#XV{1p)wJzhLCtAEwua-Jcsv)>^jMLIY`Hvj%!nbv3sSk{2fV9QXWZ{w$~n`x1x^TJzbHz} zzq8qLx!)WU=I(-6e=E)+Ea43_ILP<-@Ts&A!${ntaYuJTmXg^~OVM31zhquoaIlv) z(mZEdqH};l0hPtY{bw~VdeR#!LORRFcnkLqv@et&>eM~-LnW`K6N$&Om2axJ#+!_L zepo$P8_5@8Zv^X-IYh~0AqVm<1l3K~P%QM4NF_Vr$}8XLvC@&kGb))CU)4Y@9D2br zUsNkI60Eck<@cv~woe;sYk9C@TfH1?ewl?B)=*4x0=RQyf>=9VBGR+xy+|KhNaORW zVQ88ew&3?}pSDomH5joJv#vaD)8Wt#6`KF;81&*6BlM@czxfX*A=>rh2Zx*PdC#T2 zEU2iP2OhpmKSd-l3=z}ZSeFWw3h^hBMRX5zywlY2v7NBLrxn*N(vCiOU|%c1l$I(g zQeM{5ZZghp{tZ$_gf7A^mc?s+uAjo$LPkb+=kc|g769uU16b$Vz%zL>nQQC9u^_Q3 zaIHknd~QfZefte~4KFB5yEwB@4D(&WsPxTBFurZc*7&H#u1eJ@qkcD{MuoH`eJqmw z-JT6UG4(SUaP%28rV*_iWI8( z6_mLX7w19r!do~u>Pq<@hlMQjMj&05Q=1&BL_`nn^cvJeX2c}f4`F`NKll1Zo|$~Z z5FOq_nrxU8De!?9^FTiaW4+WiNAS4Q$WHY+_w2YGRa8@liMJKz%jI>qtI0>sc~{04 zRMnprRI#Qxb)6ZMwCN3qJ9DbHZtY5G>~s-giSSo)E(n!M%)c1BNj9^^+&j%!=^Kz^ zltU+W^xxH~j($ybca_OFIbt!Ru_BO{qP{vJ6;+mux1F9ega9v4RY_z2z&drvy&#Hv zdPc)avv+v%h1>=j!%e3TUQO)a%xX$C9n4?-l*zFR zL5#!tvU?zRWTJYE>E78sMdY$>@PXM}X*fq1puz)u4=;uC&`tV{xIvSbw-?&rjM8@0 z4m}4OJ>nnT5;kCAzrFG|6!v%63P5H5w>*av{Ac#DqvKxh_xJa^6;rL!j(9$y4Q^Wu zUp>xtR=DboH%>(cc}jT8n3rYd>EzbKqN{^@&xBrWsjjB1)k8vyi^)>A_|jRZLs7}4 zI_!;}z1t$Cr>cpi%ZQjlrK84@1}okgAh>5?;j2XOD1mx_`7RYUmMe+NTXl}PBuEe> z0Ruv=t_6SCdOzcBrzPh|KPN0Ulsry21{0rj(7&!Spt3fz_5^=ceCQg5kmj(nRBjD|emasY12g$5xQXkWQW(u6##fNYRQ2zxY?O;s7I z2EiC~8quahdYEcSB1ZkG_Mar46Pp)3t#qLCQQ*olnI&p^m93R}Our7zoH%@gIUFfL znmcj_7T8{(e&w_IYp5K@1vzM`{plcg5irIupy7d4iA2h4Xbs8SvCP$I39T&`dTTH{IvPasIRPuF<= zLhXuOoVBcbs7Maf72L`I`-m+EO8iOQ7bxllhbR)R>ZtZ02Ae#UiBa4kPZ4?e@1-6d zhw{WqhH^3O+kuWmyWXo8JMI<8v=8G?3~|)n_u%DH5C4{H`dI)O?k7Rz|A}RY-`yv^ z&sr3Jstv&?*L_3wsIg&t01@>}Fm1HL5~B1KBwbNaK`QQA?$x-oOwS(YAj~_frOZiW zMlO26<*peae-Zxb!g-hCNqfm&q8_REg3wrScBQF8{=NwRuqjJt{6;`8rxTWcQI>Pm zoNgAYb7(0MApx;wXb0S`Mb>Xe1}@=96oU0&I4D>|pT7Ec{Vg2R{q8vgIk+r#BSD7t zmzawX_hQ$ab|_+`OKor|vv+ASN!SzZYzHUAyU-nLp5)2G0UfBK{kzaAQ}hrnF0s6z zoJWzH_^%^Tkp)8qMz}1anVHEgwO9^QCJ~>$kh-;LQ@fewWOO^mj5;AYDAoj>h8+d$ zeDxkG)R_1@R)d}JRXnvU{2^!!@eae_33W&U5{E!#4Q>jAokxs80OszoNu%igigXu z?)A@h!k2HA@U51a<{@#-tH;+! zVevIO+}wkObzSZsRhg~9mQa>MeM#>phHs4=cyt}Q<>G}>7SpV8r=(E97`be*7^uU9 zIXVhf=j_w-GVgN}Hl^uwm)A(bvSmGFj+iMz7a0r8oPESKu!KzvrdRXTuIFAOC3C9} z89y{UAlJ1q+GgjzZ~*Ay*-cPK7ew?jIm1{Qpw_)Svsz}0r7IYL(gu%Yu27D=VJwt2F9?VtO?q{%>#X!`3x@I)Fg05;fjtlJppyVe#8G+isq07jDNAd9cKg8)oP zUMohok#-q=EdipXLa0Qar6jRQ)<4j3prcg!pV^?9H8j=LX;qa7^fbWnV2^xJ8^Jnz zcF_1P6}A)}mRRNZ8w;UPPk>9v2p=p;PG1*~O*J>KNGJAu{-t;*vOHQy-RaH=EpR}y zBcJQ`yI{TV>xe!x*M0w~MXCyYq2j;?i|JquhJAq>!`Yi%JEL0%)6<0%;h}p+J#*qv zOj#;kvWBiEI~Nyan+u2xhR~hUHk}vIHBr2AdU*&-DMzRfZ%4eEO^p55B?P`3q%eSU z4Z8sH3IEL{w%_%jxwR~?u$%EenJx>TN`Y)5Yl_8RJx8O;5;0Zf4g|XhyB6j zKml784o?35z2Zi0akb&w$p+j~%9tF{(RHYI17d>|hTjusqtJtay>?`#D95X*XgV74 zxtf6(V}w<`JZC%yukfdYlkxd9(wG@C$xJyBt}cW7T+JVyzker~j%mvTIY{8pDb@?o zSTA^O9DVf;Jn;G2*J{O^=w8u@h1syt0`aA=KlU#{_gIbX1N}UGzQbl$qL25bKPd`h z^CTvH25Bp}H60+>u~`4YeV(I3SnnAT6SeSqjRnw$Ky%)GI(0nzu6}9_7QdGM2)rmA zwc#ziQN+S6?^X1s3b%Itw9Uk2Z8ySwcrgo+f?~nAGcUV-szoR`$UP{hZdXzo;_q9@ z(7>y-UjEK5#1EL7y@kT}XYw_Yciq)KcW`aUL-E)Rv!+#Ry&&GrzPB(L1cQOOg7@<$ zq_Y-G>BNvEIyA7zcZbSSaeX0&FM?|XZ#OX9vG_sy1jgprjNsCD;jZc$0nD3X+q^mr z=?3|=h9@wvq$7H~pVjqB;CeEsAAe`Key$^%BE-GZnV)Wpo*@~ZsjhMYWFJ+Iz9nLyeX+TRZzS2qy8T_=5q;#ocj_YcQ4ULMM zpotbm?qLXBI$A1CtdWVoSudMaj9r==xZgz&84f_>@0Qg7HTyq#*>tV=TzGVma(YXL zw&C@jdnd1nqDInnG;DebKeH7LlYz@9&X)bGivT~})GF|Yxx8~(Y1R428 zVAN+0+HwYGNeNhG(>axeYvJPuiPj)(Ld{xVXqn)|WtN|N6JomUXe`cmj2S*mVf4V^ z@nKcay6qRo1@4OooU*>?J@na=TIf@&bD$t*-~AN7Xk3;?P8$_=ifNdNA{wx&PgcyY zxqIM^eAIX_K~`G92?q2Vwj%53dBu3<(W$Neqmp^!*%92S>4!cFc(2(@$TnJeJh}dh zi}VXZs0<)iTZa*80vp8t`>(tIUeG2@dlfFT>9DpdRQ_(sG(uo=fX9>qO@OgE{gMn?-?$ zSrkJPwa@8pv1Dq;z2oeLjQ65SK-YlSQpM3+EnAZNd@U+kU$ZV7_fUp#Kk+#l`uxe6 zo;}8!YYE1FLmAYf-71l5vbW|{U$1y?WaspVbrnsYtDJ;b3HBj%q8pJOS$yg6R&y(5 zT@HV2`9sAnUG`4t0jBE(jnHH`U}P3leu>+JMU!L{yS4slX;;O)`02t1Z`KM~2XRx$ z%oZ}a-OC}~r0^@DPPI9EwaLnKy_GiUfWUCN9(e0*t?^DZg0qhMr~zSSMeWJ4yM5En zHxkGnp`6i*R2zNO*P9cF-V^sH~C?-C?YItq%en7ZcE+Cbb z^?9)fhMk1tz0*3>Eb2xxwa-Ov;>H9S`XUgElvK%qiVG;}qe{_up@eY+mt zco9gt$}a@M20-nFvc+3dABsmxmWEi3dOtB3NH5XFy}|v=Et0o9)l9CV*RvRRSHaG$ zXn?-jbYP8j5Y6-13aF3R$sVb)jisal%Z7$%kFd6Bx_uYknT{HFU9%HWerp<1GQfnU zSD98MBQ=+Gy8ftEb@UyY!J8GTFPbVB8K^Rs-7ntwU)uL>sJlg3eF1Wxi#-4uerRr< zaX{l^3N4UjT~fbfC05zlZX!KiMV_f{u7mdQ6;P&BoJW?CX|_KA!J zu_{HsA1>@fqoTT(l<#42qb;Fu(K)t$JY|HO?3%&tl-KG;NW`4{Wk3Ac%)zjk@u>{8 z&^1`*ydTgCu_hvoEsU11r({a=LNyyzx>$QUM`NK!>Am;!2C!8n4jUemDN^uci0dK{ zD;=P}7IjVSEUd06Th8+rgu~kzP;$_d78pXcp9IcsLp%58&DjNuiHKpeoP$HNS8E+f zO0rfz3aZ?Lk%OfL6nVKBdcL2GB+(NR4eNl`y&7V{*u%ibn#!RqyCZ*d8G`&gujjE% zAVUuwoA2+@QUP5@<5`M2n-YIT;v@YOS6+Q8a+_q#hInk-$SkQ)BxKovi*vqwkVt-m zd__WGMa!PcpY-K13;$Z|e1s*=8smDO$O=)Vq^XmKj=o$~d9hM;ibk}yws*h}G-iGK za}xAc-u>=J6V{5H*&6Y-(WdDf!SeF{z&FdyToJ&f1X&++JkOV!&3B_HY~J^AvO}-# zIFK(Z=y3#teI4xXs3{WxkZF{J8$!F$5V?b8JIhGVD$jfDlV9?(2bc6 z6)SiW<}A43CX8m;kkZ|N_tbOw1gLme3mjj&%@kBzDP|iP5^2Y!tppMmtTq|AcR$-F zd6q_SBGgFG$h3LZ#N0tkIaZb{mhg^HOQzz$@)O+(PvhISH?sjJffV2*K=)ZB9~ItF z{s`u@?6h<9AcA+_<#(;zqO5_0Km}3+MZLmPNC(4-8#8En_dZC;K=O-ZT-?OyKQF5G z4JJcy?3QT1s4Y)5FvFLhP}qC4OfYXC)3PQ5Z2XjJy8yb7O8xZbw)H+a%$ggdju#Pw2Ts=&(K@*j9t6PCI@PiqS(F+O}KFoEptS6Z> zH(pYC?ey9=NQ+(`|G;2*SgW5p2Dy)f1ug32B!9{r~&ckBS}4Z?ShXk9A}GhIpRnEuQmi%Z9@KblTeY$SPdJusBMM`*2u8&W-~WFSyDTWmb1}|FG+3y zEj>(lSDa@mWApa3R;htD2G)=;sYrDT=v#D1*Y?=n`)2;VCxG2~dpuKCxn}bI=?hJc z)(&dTl;`U2h*jiTAl*6;-xFU0n}Akn+NjHVJ<8HOxK6mfT#~mU(dX_l1ixB(DHgU< z-$=!H;8Q1XQCLOQ<;2&ucK3n0KnX`V0XCTxx=Iea_=Ea3zL)Nbhmc*G*G9Q=_FG(_y}OPo zevmVREvEx^C*7oDJ6J7Jrp}&neI@t<`Zim3$hyCw_z`U}`llJS!5C|k)*h{I& zZ0{^EBH%oFpvJB-etpdbmTVX!ziu}~a4b-O+^oOBUnX4Mr_TTVF#8+9);B!~gY@3@ zMI>c}B^&N?>P*~M%X(*)g2hkzlXK>w`hgg?5~_B!QZEEnlM-c(NSRV+51s zqA?BoHTYswjoaW{bu%mR+jk=F-&c$?ruW)ZC6R@37;F=8RK7z+2o8DC^j}YCf?8j& zagtz*J1-CiOJ(vxycstP1=bls7Zp84xppOw$8btX zY|=EU^)M1*MLI_;HI=*91-&98=?b?R1XIjGf{V_l;x(b`PZY4els;;<&Cj3d^a`9x zFv8NpW&hYIf?;(wg-5hY%6}v_!@uVsvd;d5G7b{sDns74@~F(N2q-+CF~6u-Nt6^% zmgbhBcI1Vyvt^224Fqba$}^1}GZ!Y13f(P$#11Ai36UQ4C61G0yPfI*>+i{ob@O^5#l=crGS<1twrKeqok!8lRW(pZDX zoGkW=@9+)a`dW=i(${&TX!-iwHb90~g&h5Pwsc>5UUI?!hy&i2YWbvcmg+7%H?JF3 zAM>{NTzz6ZUe)HnsUg-WYoX0qnCf%8rUB9bs_$#A6W?V+XT|O`OMPl4XQ!#$IqXSM zS>Ze_>WMnMc)O3-PhRa=J1yX5<=Km=ci7Q}!qWD0zffP4#3rBf5E8!pIX76d&+8l> zDZ|-OiDnJp(@gt#TL?+lc+32D6m@rLf58+ddrV5v4AL~9c=tbi|K$#U#mT*KHXW~e zs1PmOyF_yE?hhK5vKOQ!C4amFMgOKI(qkj*CDE)LJGfa>IdDCT%#R{GsTt^3Y^pFb zRw)9ZVi)UIlh+>}^73xDVFc{^YrpvAB}dg;8S3L=QI1`l;&h*0V@_$i0RM)K)^nu} zjBE$x8y@b9V9}F@A%pwJ6%5d%O!Y()QRqagVDJ8*DQf`Zp?5f+P&V#I9JHCveyU|i z4}j>b?*Ro%HkjF9N7!Cia!3cT^gc`NFx zNe@vuRc~udE+KM<#y-Ibs`>pni&%!YI=qHCFEh-;LtVCL(+B$XS%nXI15|smlg=Xm zjoOiQbky;@aj#;mC7$Zq)_y=KQ&VFTLE5ShLC&N3r?-Y^1`~P7O z`S(M_UpNz}?|tBTHZsR61m21HRuP~@8MNz9nPKQW{P-WZIF+6N*m%UkH&52i>*>@V zt0;gD`>noTQU1$ zs6b1_P}{;;@~K2-U2E0X_EQRE-1rZ)nz|}Mu@#!+OS9?6!LT=9zL%v45A?dt2{bTF z)Z;M@;$%$Krz1pTEjX#}#zpDTp^=4&kT0K2KFJ**ms>pXUxLl4<-J!)E2^lO8^<>G zX+LD%3OYu*Y#Agqxd>+Z=gQdl_)W#n4UQHMa#9;_Ey4^#xVOGAW$-GrYJ1$6-?-*6 zf39tS_MWBx1J+dL-BWG)Fk)0SxRRHW`gQ$v0jcVQ%TQ7Mi%XYF#+poT#epVc5S{yx z&cpiSwc5qj)X&_|pb zU2I>*P~Wp~fW+V)SUvRyoVVw}Ggea>Wgtx>qd7BV+WWgCS}8a$<*8#tCo6=EWkFtc z9=;NB=^m~r0GVgiiGd!^8uJ%04*;Aj*Z$M2C`*N6H1GI9>Ny z-pV((=_>Lfhvs^i$=1Z&5ULEO-E5pdnzA0-QPS5SirDeVQz&bwgKE{L@Zm@?hcEi} z?x%j>ucwZa?|xi+eq(Jh&sq9+{Av}z*EJo|y;@rYeY}63JS`edb1)zNJb7v{=HK1) zOVk>O%!q)?X%uHEi60$2hQXj258)Mh>Wf|QG=0#iEH~ZE1j5LmsTAa=m$;4-T+#w_ zuVvPxd}9}NOcSj=akOJMv5s(AOX9EsoZqxAas8v1PsymJDxy)@#rgE}bJxe(c|>;=0|rIISxYzR*_b==)x;;I#pcdpXzER%GW>^A=>Pd*F|Q6{ zTM4~^XYO8ih0d5#jfatbPJNGoEK`c`5YYAMOM+;Xy&{X7>FlS%^XkGoJvuG$`}XCP zo&)spI8EY(hSK;y9g>j1G&jpLx#O^frP(NWep%{lANf(S$#(LT#*7hQuqga#u*md2 zeH}OEO{EFAQ0jkWut+OL$}-Hyn#%aHaZ1M^uFqn<*$}9_deP85TF}C*ZHH!U?G`sB zDxqGDWt)`t39m;hSnE&Kr5=5&aK3;3u*a2>V4iXIPH89B*RreZwQ0XtF?9n1_i+~F z7@z^0_oJ0MslqyUR87?Sy|asr+Oh=;gXl{g;%~;?NB3_c?^Q>?Ua^xm^EBwu`TfMs z^NTin%C^s=Zhb~o#b$;oKiwyTpMSbf1~a-ExMk4aSVmiZ>fiDMM;A^b0|6_3!Vt5h zErx3lg=ly(PnnMjTd}>qXie{Hj}4rsDkT==y`N?zzUa*Ix9>98#GCJN@vT3826F&& zqQ&NogH9VCR4I7>avU~jOu)xq*54hdr9TV^=0tpL|6n8a_{ptoSp?m?DXZpY&hgA4 zI(+rvn;&*G&F2i}!p`sIqH2`-4-Exh_hxH)a(;te${SamSOIDBB>BHvdfS`-$zwi;MbfchTUgW9GBzDWO+4(C_iAcoT?v>%+L8tf2s`t!Zg%S;lXG!>?=b!*J2G9QgxMy!*370k-unIPZU zlg}+2qzKG#Lfv%`eNj_b?)*Ua1>XK2J|tvjSXgUTw!i%}BTn9VycR4Reyx+rhyNT0 z#&Q&K1lvL`JpT0aM#k*DN$UYGcl;uY8I~uD(QAF(7GEQmwt52#Y7`)6V>xxT3{nP? z0)9^~?6l9#vr$2klJ|S}zwPuqVweCvYcEb2sxEyDSl;aLvHR(0rph{fTu1?C6t}%K z-X6(Q_r1f8X-up7prY5IIpC+f_S-}5-{mzuuPbDns;iLE(F$?|e;J1sO{yH>-2Amk z@~0T*1nLOWU2CHSRU0qr5m;g}U($oRXL7hor3~+x3B?+<)-;0fKlXp`EI$_$soGy@ zfId7_bj2!eLX|DvZ-jv5ETG`e$oI*cE8jw5)Hl)tOSUjbik|vt&vaqt_1|0 zotAGS6CT&S?ivVOw{8J7ylw1iKKG0ADL1;q4LCC6ue3@0Uk2y@4vYs-?VKxLl}Hfj zNp)Jq-jKo@XLh2ydkG`jrm*Qi?~ONJJ)X!=5SQURFw@4IS4&C~OxL4(4Ro@A> zD3S_PyUK}N7t2CzMlw^lK17anQ@JMVy*8U#Z`Z=EO?z9sT!R9^+xM6o?tH;nSVRjC zyKaJ?wARKgPFd;`qLGt%3xBGxy#Jy91c`#9TC0}M07Hk#XnuvWsln#ivk1H)bMYbp zz&b=^ZP%*sac0FB7P)mtbXI!eZB|$xPWJna&u$TN1Whc(HB>E=m5OLkV6{4LFdT`NtaX0PbPX7I@Ij)_y)uZ-%)10#@ zf3<4kIdxgv^X#W=`am<-`3|P(qH_B|h#CAq1=Y}v& z)&?>)T+`?W+Kkc=&|rR>^!g&4j=kV+ z-5p^XqblP-O2}xyLuUHqGs-lww@P4IX-u&%*+ygx-j=btujnvb0p_J5!~2MUbr{^m2u zb(Uec)zz@u^Nv0Ye&g=2@VA2(To75}-+fSx2e;nYSb3C~;P@*>)x@feNiPRDw1U4m zvxywv%!xPNbhfrEWvB~ABRl8~uz!*?3z5;IjUwnIN}}rx92?KE6&nmjWH~Bs*5VO3 zUw-gFg}Pg@RIk{Mc|_Tj=6$qcgtQiI!55e2y2eJ<#D}P&Ab}c+SZ`ci9mLLAoc3+x zA-d7lHu7F{wD&~vK04=fI#qIzFlI5zC877K%WH3G_7Eiu#ytvKbtl%rR}!c%QuM+j z{DG#HvVJZuzkNL@6mV~(GGxMf{<;0bH4thm9Ka5_k;(tCh>?g&{xw9L$GapZo+i< z?$-I;mU)~9c>+9N|Fs7AUz{`lyH54LbE*FlC^Rw(L-1{-JMpw_-H(1Bit!}5zZ}UX zqOFx^eHN4yo*C^(S*GOKwZ&dD|$XyH*Z0u)ECmK`Qma@g8I-0?3Cy#xf%92L z`6#dyH~9dnpam9Uilf?~Fg#XQB-gp(hT&nDs8cTP+f+6615L~za1yzw_ubhU+jCD= zsU~FoxWcv`NElLiiLq)${Hg=ct^C~s+ubwow!Al|d!EFZGhRedPfEp}!Xa4Kn|o1c40ZQ=o%;}YOr{8Yv=_bh5o z*N4!%N@ka(PODnTwPF)9HC=oP{5Hqe)Lc^bd*dFw+P>(~#}Pz({%l`BS*Hu@;OAP4 zVU>i}<`(e$zjsG9r;M@g$30CCta9|CWuS94z;8UzDapaZ)1th&%4=wzk-4vAPG8hH zmoQbknfKgghuY{sT|S0UFL>09T!&W(K@l*ymso}S8MK2tcwV5omKW4B+b7 zujjb1$@k{?197Ar3H3RJsBMLZRRC`I_Qxl8%M%_b1_sKz^9|7Y zlpIqlf4cl6%P@TS(AqYd-u+?7(-m+1CkB*Y8l&Y(>o1rl}Xl2o}OIJNE9 zNbl^DgI=NV(U<(0tbOn+C9<;0r%z;-V~#T<5GRu6*=z%AfOr?U9rIkbl}`mxWuRW1 z!$ldzoum^^^(7vUGd)^D7edH6VCojdLsm37BCFZb?nN3?IUdhTrz;}iE=|6rv-JwV zR4i|?K4stMv`ja!w2dKNp!3#L`SbDs!te28QDl8j5F-2_{*O)!R)1r*$f-DR9*BaU zv(s;nW;C|{w}0(_=`r@NJO=;EkGx;-*65$qd95G1l0P5ujNeQbbBG6V&zmXu;UnTT ziUa{imOEQ!!wY@VNY$4RJA`ekPdd{ghaMsJGq~<22`4qM%iPhcTL9w~PG*j*qU1p% zT_!TwNv|>P;48irt-E{@O$uZwX~sY6SY|=Z+XE?SkttV1VwG*4nUIc|&W}1%b97}h z&ZE;=A8%9_Ii9$hZL@H|4rFj0)NZbF-ZrvLL8SbY@Z_ewgJ;|8)YO3icPlILh^gQvNu~ zHm1dExE-&p)HOkt>xG3|M%t;In0beLgTuLxoZYhc5P<^f>Jt^&>KU5t$vXAX@0F{A z+Z5=8$(Nsa7&QnX1GA#y9l88%>O!qidtco;Hp0#zqZ9kP>u^SRRiUFNANHrz`>ww) za)l*FjdKf}3l?=PHOUHfO&<5?(Zro@)2@UXapi(|9cs4js6T}c-c(2cMW^Df#K>v2 zwADi3Lu$22h2hwbYeom4{xmYvXu7G##tdHu5RaEOvn*WrKwGucJXviB2MX*09P@AT z0$dGgFCN&}uCBO=uT&9heN_z0t7)2`k5~N1$UHQL<;yipGGj8%gYzHFsYSL!PHXDSS;x6NLW3{~Lx|jxz^*xU_ zQ_WY;&h#g|6e&h87yXNCveq@3kaQ0N+>5DJ(gmpF%#Gky6QAlEU+&=$cQ`4yW>^El zAkApG>5P54Q^bvlZNkUnWwmnE%m4*xTki|z{3&PoO~)Ij;q>S(8@@Qj~91$!?m#v zP^UT0=iMTJL8dL!*M>7rFe!+wBiuqcgMz-US++Qe^b^r4Z#vJ0^6mQ;6C%u@Q1>xhe^$`-&DbQQ};xs zT@-};HX^|gwEuu&K=?NJ~cBJqOfIcvaejhH^enC(rNybpVnh!i)SRE1m+ z*vgr7*Y72AfQfFv8Yx8q$Ok)K1LAV`^2KI8)QsyYzZT?qooE;0F)c9i%{uvuK%B(m z7uo%jdlsJ2+B(T!yb7WeN!J7q3AuCp{PomQyht)CI^7KhaCr|0d7AvJ(f5>`1TQ|J z$9O=Gy95NNC4A@3{R(Wu8%Df2W^NUq4Yal z15hkF`r`_ft2H%P1OuY9Xmd*2NdBbCH9^G}^@sr6)quq$;VXb&R){n7Lk-j&0vVXFp z2HA!lR>cM&w`V05#u4wnJI{YTA}hEWm71uxAI&W^bcp@l#hkpjj9)U;!88N;@TXDm z<|d7O8S9HQ1fjI0NReK6Dc%cra`vi`(G&Wrc913TKCg>xz&Gb-dZ;+!8OJ}8pZ z!=g#snSf{ZSUt!l^i6nh_xUg;D2W65JwW#I4Cs`{@YK3`4Z4oK&p)@8%*gWr=kk#k z@ub7m)XJ9}|S+SG@kQO0i30f+zH0XU?3kP#9sk`G&LpACf z-taRtk~+BzXFMib=BfgCX#u8J&JQv)4Zw5H1m7_<3t!UaC!DSqUL`f<=V8Hk?1Ac> zD>a;7X*>T5KgVAEfu`qhD@*;@HYew2FvWj+^7!@-nZpD@*PddV>sN45#$Iy=bJrg~ zN%KEP(klErNz0oDQCc&|dw3|)^)^PSOg~-q_{Bq&RKfe;rp4lHwcGXf-!$g%9+P7% z+22~b6<3E>EV3d}4(oj%u5I@Rcc-nII+(6q71qX|kT;oVBnKN)eW!Fq&)Ii_|{;boHH2rzR9#L?VS z4vs&fQ8gIQM7g)|h2P2#?#gUr7`_nxkhYfDcsxQ%{EE43O3*tcu6ZCZd7AtbSzPd}EJ$!pbQHb=lPkaUI4T5mAcy8Z4 z$jGk-Kk&v=!=&F6C)l(#CMqnT_3d@>hU~?b1;W=K0fDV<6S)-uS4YK?;%g`5)tB?{ z=vQZJw#Dnz#mEq{OS}9QCLF9(0-CCxLoOke*ps#2X;EE!@be>XjZHKfgny7jxGeJ- z85En+D#Dh)_jT6uul^A~nenh4}74WykHI&Pmm7WJE*r)@I!kDiV3 z!g)YUdnZVeOpVPV&yek}B2RY~F5G-{rJ`tdflm=!OO(sO}mj{R`-#4t!x=6+=UbuTB&90m;X} zkh;pFSLtG6(Sk}0Awc}89`I}5pi~ns`vtline!80{v!-?>MDHsN`4Wl=|$frgyR)h zv2Ie5D1wHC;YIc-uQqv)k;kwlM5!-PPqG|)%c$A9B zbxj=#LT^lUqx{{?3~w*UgbwgmvT`X5C??Q{_wHXc*3q{A zVm$IOT8Xm~uf^8B-M6~!wnUi4pH)PimMk$5Fv(*XHcYRM)tEQOZ-F_}2({MX2>%e6 zw^uJqII}R`Ruwk$r9=Gr4Gq!gt%h@+mPT$XmqZ(I<591hZb`9)pxISnhNb4FEy?>x z5cxBhFNxxE^uq_S9v#GK%H_JD=pp!WBsjHoa8l)_FIwAz({LB}!wl~iYuMT_jtQ#t zu78|#?C%USL7s&DF@nv{es5Gid>c0<`du%j18efVRowKUf;ctP=m53DanCv69m}UP z|9r=$u=z9moNL+8p(Rh{~%r&Q@btZr4RnWToQHs#$1-cBcoE9_wi2z zDx;IabMe-*15ibVEeqi!s*NJ#OcnOO3OkY$!{TZBSHr5yAwb-b!(Y$aLwS%p8I9lm zS@J8>{%5`K0fZe8y6PuMfHGILcNzXE!|&qtCBkohO1mNk@26%B-aJ8#gMd-xf|3pW za5?m5SR+lB+bA0$grbqj%EPFoA?5MJ-u+g`0gmCYRco8kPdCr!QN>s1rI%AMSD!|k zV(u??8{AdroO!62`#a)0miEVa1#P!>6M4Kiaf?!jBsz`ltfRz`ocpY6t5|QGgF_gPC zwRxIMlGxuUZXLoV{II}Lgl%?c&Dj)nfB;vTr);a1cDr1}*|>o@W+O7kt+M{8jgVkc z4L`&wi-_8-z9FgGcwqu$~zm?MlpX!5K*cV;N^0#!2L}oEN@=sk6_4wgA0n z(^Cieo1fX!k{6XIbdiA?1_Z~;-eqyy4Js=MC28&B;$4fj6BD+gRuTav2!6i%)ezNN z+ox&os|R1@5J0g+J`h_<+{5NL68cJy7sS`cM0X24jBI6Wyl`Q*A=|&$Yj?elqL0K_;`pYk< zRX3CKnNcp=dWcZEVp`%WL_%k;E9;S8(Sik^(Alk}i(+7IU4PPSSL{&X5%9oaT3^qH zP+?tp%}kJ0Ir+m>n5#Fd3U0NcRXS62-{MjwJ6}!-Cd~1{1GUxk9G7=hC4Zz-%sCG35)k{ujyL@cg0`B*r+m6 z*&~^kque^+6GDz;u+IzxzPEapw<%(_t~!aBhVq)2NS<1Y*mjUhg~^&eyfTq_$}2eu zt+ufZQSf_B$-`?t31fD_!OEK=hH-LEOIvzv@@azUb{5Y@AqgwA;W%^ilgC#k(*ggl z;V|0oE`Tj@#)fgn+f+M3NL_1mN4%UHzgeC~e(CsB4=3kjRJ4%yrTVBVuOu*rN2wGb zy~X0=f%>fMTc|(CDfN=t?@PKlHbK%3^9C_ebd_qUcJBG#3FavX9{ zIe|>pv76py8H5ht=JWIuSNk2}1Ibxt6SUB#M(f7#LucMHhijGrhj>O;TO+j_jaAaf zXwgGM;e{$nt98j+R>M5p`c5&}Tc(2l3NFa@I>i`B->nKNc#rp@*)v}021@Tf^R=W< zFz}Qw(1TogZH;?!>bW23HKY_7e?V=t`NoU!&+pv15i!d1fmrYST!;Y3Y>2G(T|$Kv zZ$$<2@3RqYmX0LNgnkgLz3G@Vgav+ z1a~)N=1O3dGdyq941!SQ6&iQplyUO{@s>x#K`mA!_}-ku2MSrYnmt%N{Qd9WOrv~g zhu+|>N=lrTBd#pn zTkc^kMG6L*tE-=0QE@TSsL#gNksfYnib*Sc4N=;S9>ZpSwbmooo!C`9URBS}0lL+X z4i<^i+1ONk%U(!UhI_}=(YRX}KsVEe$oo>=vUAI~1Ow{7kMv4$@6Sz>Zx8`qX&Q;J z$@+3qzK2@mojmX8)_e3SMy`IKAdCc4ZXCBR46pnAjA3s0J%*XZT)Lrwm*sbv${2R} z;cg#F#*^*`T5^ab?4`szQ_QQclFUxJguDU>wlf2Z3sJm~MoD3e)GfW>kBtWV@ru5b zp`2v5O(-zr~6iwKx=m1mDd-%(S6A(6$l{1mP91MpI$W!8f?t! z#kR;$IX7|dor9_kx+(yiu@8cyaFEz?uy;eFkpvY-ZbO@y6Wnn&t%yXGh_g_SwIqBH z3g`VsK36NewWA|0$x-DcrxH<>-;yQU;OI-t6PJ+1x1+pL(-i)1NSO1~xU<(&FnKC_ z!mA-4v<5!oZrSlSrG!g2{A;cGsz0e8&^Rj)%~dBFi#V{kPdrpj@ga>ClL7NQ=V*5J zrSkJeZ1@I z6&OmK;OHfggzypE*D@H@fm|y{!y~Tx#B8am#CcyjZlNoMHT0VgzQQ`*!zrZeSn{&z zyLMhMx7G8u_KRoZZU&?OC@c9dtjE927fOGhHC(&Obo)Q`Gs3x`K7`ak)z?a>2AHwC zhi{wD3^sE2fTRo?qfPj<*{aoT@Q(q7ikFwb-f#-(?KVSv!)i?Rk6M$1YW=QlO)5>C zx#@~FvGGQXtiB?257JKvP3Fs??n6BN^TVf>l0~_BsnOxnawRNEMmn_y;#XTg-zesnGFCDS^F-Ep37?F45$U`ylCc|nMfA?8h_igkb^Cj^Ka`4q%z9SiVJc=f%qR_{$D3!<)@lh^QG(}0oG4*9 z*WeP=CHJ3AnG)R?X}#J<$@z;py@97DSYf0v`Xgsr$tH^1)V#i6Di{%pBkZasLv~qj zh68)rA`xV719;Ws$D@Bc+K1EtZcq=Gux%&#UqU`Sgi>Sq1In71(M{5dfW&8deTnr-5#70^4$%bbjwZ{cBj+a z$1)!+eezqNf-*ZPf5EhiZF57~>_g*z`WPaOk^-vFH=M3+$1tfduO0WI{DwO<)$?g| zVJ##i08?>8F4oFAvL9Un8pn37_+G~W6`O22T0Yk-?Aq^LxH{B0>BbRV z_cZEoP<41he)}eU17AY=VA)?1J|Yc7GC0i^Iyr+2k@@TP6jl$Gzr#`qJ}B2+ZG5PH z^#|9L8M3y=dMF`g2yJ$%(^QI=Uafk3sk8SV!9RwCpA&1tqn|07l*#}3%9~pIyzZv@ zswJaEj=UZrq%)n~T~l8(^Ry3C{(guMQ$Ee{-6zfMj!G5irI;kH%Wpz>E*vo{s0DYg zKQ_53*LnK#taj}9LUi0hq~Mqi^2t#tZO@q@qUqX-Wx?yNmw)!&;}Kn}{;U07v)F+I z*q4CL664vm8UWQomHn3f%vQxQ%;O^0b{a|&H{v;cXF&!Q^(7n~3&XNj0Q9|+g7ckZUOxS4aEJC@ zPj@K-0|mzfUb zq}s^StVdNesbMsrUL9246e(|9(0d^Q)sk|-y*5gN_yww87X|UZuj6d z#s86U+NEXl_Av) z`nTD?yt?%FvP~q!9K*-s^#_5|NUV{j=vB09>asoP>&66tEc&x>0*$$JbjNUkBG zzhIVH-J+!Nxmi{|VjOaPra7z+BLo4D5|4}J@a5Yx{=5wS(j3N6qY1Y`Io)hVHyV}l>n7bM8=TU|k3)Q09JknOR+ zPh)v=rI*{D-_I`j9bMtQSD)zq+x<--2caj3qjMF5-6{_2bQQLo_ki^#N%)CdkK;Bt zKgb#+>EyG{#qm8SCgb_S=)Ob%+db4iHV~gWNy8^SK~9RbHs2BBr<;4_DqDxs2nyrh zBiztaoZJ`x;&Lrc))#=*tcu(Wr;+vh1+Sp)5w{3soiu{DSr;?>?NDqLoTm%mLN|Jk z2dlj+QE~GG8YZ&Evn^a;e-xaG)SNzVIRJEw^{&_=*Kk`gt{bzIsD@F?*9wym=scyC zqA1MY(pc>DadQQ@(vZvbKe*B!XSs6F545?Vw}SQDFX=Dh@`4hrD4(7>W=%+r(*GVL zAqBIE&Y){&>n|)>c(oA@_iuax)S!7r-KprE-)BO@)%!(%R)D@9)G<@k-#2j5$H7O% z-SY4|oQ#+Z)n`=iRXVpYe4_C!<`SW(5|A2!ExUPBxQ8O-U3N}K^^&QnT5$9WE@s)W z#*Yf?LCPa{l{^A#AFr*(OB=rSNFV=v(Is%)Q!$*OcSiD}zc2rujMS?OM@bc5CxP3H zYq&0VqdQRR*;;?Fg<)8kI5}YQTwY77Z$?;ZBPxKu`&ccg+w7nX;W(w z8%;#HcipD0q$Z2Y)Yos0dqo@*lQRwDU(W{jrkKM*PBfLkOMW-4v|JVLyZTKa)VhxX zIB_;P_w9h52%xR*kDdr!&|lr++DEz0@`$3WQ8Ag zQ2ngrdk}k2D)BwC)E*GL2Vd7Q3_#PyZt&v4f+cEWSog1#^w^lRTIyb_K;gSKpnN4< z_%J{p#|1z4?I0RaKE#P;QVwBSpeJbV=az>X)9uKGto=a4%8)6;j8#X)R$AFu{2Or= zv74JtUiYEC?}6Z_i5e&!86EnL*0;bz9BM^^)lUQMN z&7|?Z>z{kg(Z#&&s3ja;zU-;rFDZ2sIPyv8_a1S1P{hmY(Hr1U`=8h$Z8k*tOqzfz z^5(ZcBl)-unFx~iuQ}O5!(Zl$Xfd?iIgpR>>-kykQg&38El5iIPJj86*eEIW?g{a%h@vG6;wWC~6ZVNFzBX36gV^oO6>= z$r&Yii03Ojcji6!h;wJ&Z{~ipzIFfTwOsVFs(RP1UHf@{32#s&|Am1A%~UX=-k;_? zI$4g_SZC(bP|~(I@!96XpwCJabVl0_u5l*Xl-r#9-`uGRGx=XQKg;h{zxG*tJvwt2 zvRWCQ-$%2n_~Pm!@io`Z_nI?ZH)ix7+-F44kUi+a;it%v@VqZMvMbpB!UoaM(y+I6 z$8%s8NZzUa(ca@flb~#v`0mJ_`F`6vnpup2PX+n?Wi*Oy0 zkK3&I2TXCY%Nnesvkh79KnCfW4I9&L|6uMMR*#)sjE-TWA^{zwo|hkf7^ zY;T|4%xtKSl&kzhj%)Elj=NDWf{mP7AJ&IKr)_sIW`LXFtYYm7jkx>U6Y6)XdBH{HaQSGU8yDmj|n*uYJhr%8~^Q&lbv*Zn3rk zBz+hadadB$7kvpgd4>Tg=X28hxX_euUJblJ@`)qM(~%K`h^4@DFJ}J{ZEuwlmf9Lv zb>t?)(Qx~sUSE}hC24qJji2rLb7l1*2)jBaII__X0I)M?s)1@Y2J`7g7DzVpeWVbo+hx4mb~V ztExCPw+R(k!sY#pXpGjra~m7Zon;P7P3$5qX}+gqllOlgdr}kk`h6F3^&wC#2dXVz z7bL*$AM|ydi;@YN8aIt2-wPQmLxyC)o3LoUq0p zi@5)!Aj}ePBe}l+@rLo3-KSYu!T4-B>ZLF8!~}G>4#*p}_*FY+_sR>Vjb0yy91T&t z5x>&$o0g~BxZ`c!g`U?IG>2Nq4|3NM-hapAcyxnn%9LQ%{=QhSGf65b&u)?M>A<6; z=m_N{0rPJxvAlPM37Twbl-$&4%a!=wA?`EO)>QD>vg*_nc|A(zQW?k@04y^A~ z@ZFx<6e|F|?4Ag+@v@Gkb`X9jM0=7L5$A>5w94zEFY}1i`~?-{zn_2iD}h*}ZQ8ofSIUBd;I_ot9&D^*f{3`Zc+bMq&4IqkLh-!((PVil zuE-KV4;fJ+OPulQ`cd_4hiRsYHIr*}97}+0kRHc994LCJNuE=CGv zPBR2}>#OBfgq}rY92I|hS68_kAcDa36s{DI#DKRThFv>5OTWlmh`1vvDtn6zWqvXa6qc$5sn1(9%e8uGzVsL6sX-r!A zZPn0yNKd%2iIHmFJuCRc;{nwbiT#pGLfz!rY^=3@fva9#DJic$$#4Ign{ICI+99fV z@{v&&KT2Qv6(NQ@yHnEwa{Tfw6X2%HozD;3Qo}crDZ!Xlx6*rXOw3+C*4EaVGSj`Q z_>38dj28vJ408S*16KgW_lA2xQr2+T;RJRRAM(_Ewz80~pY4D!aOE$c^!u^uJZbn@ zu(>NtVBz8Rzu72Y@Wchn4}Wf`tgTMyUqg@_2{utuvwRSL!Ldq)ZkOZwF;Vh7f&23K zyp!v>-|nEQzSBwtN6O__uT#b7;-(uR4QkB<%}2_wlads7d{G`06T+t*R=UaHWZiOQ zBT(v9PC?M1kvlKcQ_GZo#p1N&&3igO&avMyZh+RIHQkf9X(x!VyYQrET)$V**hIId z=k{trL`v;0TQSC?AIdjp zmG={dkO_miiLzym4}43>lqMO3pNu^5p{~rxU)AP4a+ak1FWkNJmzU@C_Q)9!?F+)~ zu5n6%p@|_=9AGszeYKHTmH6q&>km7pZVS_vM#9uClRjN|x&k<9-(}f;7tyA}Xi<(H zL*~ftRUW|lEE9+}byojk3yXdH1K5o!aF2_(`!q?V5l?#*+~dc}ko8%}wf2pva_`rD z=Yplay%e_+|7s&V)*vE$ zr$%W_bT9LYYw{#NP7pg~@oG2j;}oqrxh5uBCjh20*NDSdl8^7^b51p^cm zaR9`N5-!iZ@q?4adRl`JW*EjIE3Xv6W=n`1t@-z!$V3BV1V0xapu3dNQ3Y23GhRH zE7-||j60Uh$`7f}L|!?+pTobU0M;MBS5tPUs*JT_-LEE5Qlc@`N=v=j6Gp3d`7tjA zBLIx%-Do}Fpn$1T4mc?Aw*#$vPi}^!XgifGdlB&va(y7TCdHlpV?WL9aCylBvmy0n zm&0f;y2SkHSwSP#j4pZ?{VYFrkH1sNbrpFm9^{{wi;pF?y-nkI7ve&Cv%Kc>etOP_ z)Vv=~gMT$vc)u1f$rLF+W>p-0^9QNZugQxx4}iTyFR&(YVh^~a*&6?s1<@#e3iHq!x4Hf#_bLk!3o|4 zk-sgjGdu2Ua975b?N0)(#-{emtH2Gn|G3@l%k0Z_Y*Q)Aox~PEex*3zI?aCW!Nlj_ zmg9R6b=y~z-|ni_Hkc<#ln?0c&S?nblMPFl2YRBa?r<$c4fwT32OLvReO#l;$_34D zC{cvp?}jfjnoI2;zNZpjsz;^r3lSrVtK@_9@t&@&Ho0#E8XEjqXCs5%2;m6Wx=2Yw zHR)K&SzD@HrOw&t+R?!&O#K&jul8q2!8xCrY$_`5lX>g_22HEH@^;W0f@+t5SSr(1 zOoRNjx=;ErRjrKg#JFC4u%;{bp8(5J%J2W8aZ)GAdGC0>+wf|e7rqpu7>33y%eOlP zmU_V))QK{mAyW^n-UfIC;9vr+j^j7e&KdT(%N-RyP+7R6rt1;-7pbCsGcz;B-Bio^ z5koRpR~WBMrKXMJPZYyo&N*`#w}K*}kVZ*uHIr{Q0M}HG8?}yqxTdy}0IsQH*SWIG z_rzX5lH|dY7zxSU(i~amScNP7=dh3N3&XB5x9K8W9;dtHILmj|2V}?hH&oR!tHDUN z&QL}mmcCaCkX~cJNfCRhwM7qo-UV<(lDq`>OFSP_#)`9F1&YsWf4~lb&zzgnp8XKV zup5A~s`s8`%mT@PN0@jb$>`TxnH5%sQBXI+y1;1hnVH#R8Fo=(BT% zBn?_VU3aJe?+|k)^X?T^p*+j+?UDWJ0{P?|qnVjk1oPq{TJhTz8q#`*t%AFuMssHf ziUd+3-p}59(jodg)UdC++mITdwP~yIJ4Rs%kdSGUn5Ezh1ub>OcgkNU#_p+g@2Di@ zB%YXE{bpo58xN(Acz1`h%Is<4np>YdtAkBZ`_s7kQoEC8$tjKN`T(+tl{d>zU_18hMGWGl5x-`o7t5GM|EgrgdcRm@DZb3 zrFJiTaYFDVqJyrS_HBjBYUHG`$ys9Wyr!zdB(?KYIFU6(m}Nzcz&O&VznY zLRRk5WjM(3H-iMI5$sW-09W>j8Pk)G={;PcmLDqY3$hZgmnUtXEh<_>bx%@I$DTd- zY*84T$Rxm*2kH%(4oMsDc`)ZUwH7i4gH8M7Q3rFZsY+M@)(c8{LO{aBg~<|D!q#~9 zDfKPWi5Aj)a#W<~w5syF6ea^<2aR4{Jczk@m>N~@rOXjU*K|$ZXO!y2Sjhxr?GQ2C zK}|^qHmRLBzNxC?o|l8zK`Gwxz8-OrFPv0HR-G8tX!;v=yb@tzO8Gtper)zGO6Ce{ ziq(R6A87J?WEk`z6ORjfU~>#x?a8y?zlx1Dxd$)!9ph?moI1*#eB@rz8dvkw2YT%gfcdhhr$WnS z72p%!C@e>k1n$)58kq4W02gdPE1uqqJBCzxS^9pmHF(8T+|f5eD$@eifjh&*N6|rs zbxTP!UpsQ>UF5LZb)0J&HGUJk+b}#IBnk<3Rk{t3=~TJ=?QZkQ)v*eH0Wtp%e|t-T;C-A?S0V@Tubbk`r@M}3%24nL&>wz9e- zWt}T!sNI$RU7}WVdgIzkV;^UYx?xiAFhoPty64E8#hACk8+atM?6o>91wtxyrmjWx zDSf$!^Y!l{B^_Ikb%ir}XH=f+yyl@dBMI!7<0th_*aR$7-KHgpWZ^WGye6%ND&`NZ zD-wVV?G-p;YE+%nb>*Rj*gnpkFOZ6HqleyuV=p$c3=<2ch!r^ry=dG8`ZP(#rt1$O zD6Z|et$7<`FK15Kq;4L))q=3?~hF<{l3tRN{p>|(Yg7p7hhm8TCd$s&e*%DgD)~Hc*8AzWLW4u zMx?xvX(;D?dZ?ro{)ge`2WI}{lEbG5M=`$D@iiV>1%2`8bJG-iGQo--TX(Drk7Smc z&o;`wyv$U&Txv{Rn`1q=zv1?z2VdFBn2uMPRP45a$!gK$tVz5feRM1k1H%na-lxAu zgs^bbDrJwWX?s*=BFz8U%NA{kT z4`Z?G`&yV2=b8#?O^6jwx`t?o(#f4u29e~c)88>l zkG_2)yb!~)89%9+SYa*jIb)`GU>eDYRJ>nOj4MTuPYuPPalcole<`CgIMw9>uPdwP zo%5>{-|LN-%vv#c#;NWc_V|6}r^%`}Ct@anfCpR|sif*3L6~yt4u!3BUghs(LynRR zr{5Tl9{%jr^sHDOIhZWhSdm1L@$$^)Ia2O!MAupn4fx}wBBUWV0Wtu*NrOf-1beyQ z2j?k8Td9WD-lSYhSeZjzf&tl8<@dhl$hlOimTI15cowj`qXm2R2WyP+BHz;FX7sz! zsvk|ra)C?XAfw~U5g;y_EYhG*-`rG~B3OzV+KbGVl#$b~sj8}a3osy*ZejHRuE6$# zU;8D$FqgDDFVonr!bH@P;eLG1Z+b;54%)riBvJ>T9Fl|4MaF%&indtot#9Hhg>C#0pbKMzS(hz0IuCR-BbdLkXX<8Q}zdv8++LyNDpD zG81>QBO)a&n!;DlQsY|ZSftrBMQI(#4lKnre#xv?6EcL{v#h;JUwv0mH0-2QX)E8eVN-st+z^AQ2Q${Ra)u9RSGi9xE0buF8 zY-q)RxnO#Z3a3YL)oU<4e&q)q z{bg!I=!cgMRA1Mcch1MU&(gc;)B(!`V~;*^>25&PIQefh!}6v-0#PH?eV<0tT`ECj zo8jDYz5hd`sO?@@dbu9aFo`Zqpsu>XjS!{DzgjT+@`qJ_rY~j;gt8LsNv8d((1NE^ zR|35eIGmEZCz1X{h$nL13!@8&^;^CCIZfQEV)701Mt9F2WI*iVKj zo`H_{aH?7+k*|v@Xz8C`68(^#Q;?bnnr$7F!-^7>yY=xdf_#;oY6u1wwo`H9n)nne z_>QN^)bzXoCi2YuALMCW;ji?(ElonKxXkvo`~(>j)(|3>9Z* z&{)d=A0nb3(2V)9Bay6F1!+IJvj4{3h=bI=PC?=_dm!&VmzlXgsLQWS0Z>R<|4eRI z`>R#4&b>GTe2UD+JzQwkRx9s2_SS!3nEx=0G7ZU!@!#<&P`iZhXz0#JwmZ|!@WS%} z)&jkgL>aJh{1-(~?u@A2HWF=-FHBgeor`$jQBRNJUkGk4O&S5K0g;A+$vQ~TeYsmz zu;2$)5rcspy?A>&$ibS?t?gx>oF@u?_KZvoy$_$KUPRni8*w`)XcxKi>8sTTQPb+N zygjPC^+Gj3MQ}68ue~HE?Omba&(2ZW6PFl?j8S1QekK?)tIlMu^${2=>+dF>lV)^E zdm%@^@{Ggc7ZA@gPAOWAtI7PlklrEXS`+o_{m#mrPkM)j9Oo+=q8&{ddfchyL|qhd zerre>DZhknFAyOoiand}u5XlBKQrKd^VQDQ!{?QgufpF-JPQl~>yi(?xoJAx!zRS1 zMx8?+Inl2Ut;{2Kh1ug%rAsQAvCtY;NFMoN32ct z)sI-GAyp%Bn7nOwv+ctt6z)cLpU&*KC?9dg;~+GQvWk?yimg|NUMHAb)ld%e|0f8Y zG6HZBHU{P};O8rga^daTWs8CS)mD(YB=T)|Qi#bb!J|ToUhT zl&jsbM;_eAYf*e01(uh865bZ(B-sxWT>iM+N0c4H4!jwhCQn1bnO35;aeNSiDKTEi zx{}MoK$m>3T7?hy$`-~PVmer~`25nmUextvXZ5;dZ>qPdZd^G3XKEC7U_H7sL_FmxCN=OH4?ep`@a>?`I~%p@RbW;Tu}45%SKf5w zNt5Jr&Yw>{IEN-z91~D-BsWrQ^?$14c$WbWC%as8Xa}@ej+b+O-R??K_G-QAh{b${ zV;)-^_UG3e_E|FTMhso7=9*VnFl_$hOp;56`v@mdpC|Q7 zyg2Tf*6^PWA&2o}?bm*0@Ju8LTg1+)GBz#Rqb7kUVLrV5k5>b5mWyLuJdqe$+ML97 zH$@69WiSQ3Zx5$uT%;0R7}EdJ2IbFH#s8?h8XIZ=Fn-r!Vtgr|`AcL+9fRRed&$*9 z4-d;64MC0X@u%3#+S|4r)GF-qft-u1bju&s^FQQ*O76@yk&&K?oL8qSXjW>tEwI5U zqt<)H_P%pAdT?hP4u+iRIxz)aS@FI_DS}TEwY>vOHtEzo~uwZq>`a-3&(fTl2R_ z)W>7tEv8bR*YIZFHGZ$p3l#LO$Pd0;TSx(1LY#!U-gQ|u`J>zRGeuhV^dGq`w73)_L=!{;*HgpmDZ1~_&;*5 zwNPZC#>Esq$j`MX4^W)KZxmhbI-4}U*=rR+lXl z%ai#_+WqS8h|v(hDypm)@pbK!S@9m(hE4lqd5rMDQ;zEkEVLY&;)!#~aFTI(TzZ0R z9uxuY07ZVwvkn}F;w=Aw1*h4>>J?gNNX$$16YMwdDj|Y`efibxKHm)VaxNL>=9@() zl853e$;(HNEd27?w*i^-dK=EQ1TOF&=XZ)6Yk;eNkgyzX8OKbY{xmq}Q4H zM%<%LRAF7|>0t~|jTCk>s0>Q@gLRw_)y5zBX$aYFfAiZ6iPZ46V?qR`g=R(H)2Q1d@l|t#RvZdWjXE(#)wf#vJKT8w zdC~hAO+x&Riy>BCncMs~8gwr?mA!qS7P)FHQ!j{!kr-&|0703=q0s?qz=Sz>eEVa< z*qpyLoyfQ)>wGhQNl|f{wS|q8Ir&M%WEYgFwc;e%TZQhia5E0gd`d%%M;q1iO3O&k zpeueNBEc%eGk%@ddd_Q<&e$rzxB_)CIsj8Zu&J2KF#cFKLJ8`er2rRi_Mi7Kds5Qu zf2=)Wsz94j;phyix?j)yMvTT1=r~I4SJQ5VXv$%?KJ|>cOP?P|n4ve_lIGFNpt^zp>`&pp~QI@qp#A8Tnq`mCfz_6T7S$ZY2!s2O&jf>W|wn6l~3D(7PsT z%SWu!S6i!XB!?r<+vo$RUp@K6E+aoFPpO#ea6dh47$Q`KnjxQyQb;1?0fag4zYlLu zq-&x!U4Y*C{S^fuh9J?Tv=pi0g($SL3g9JQq_nY|&|@Dgt*V4Qa43w8pbgAG@|D-P zh_e;ns~?#7+GxFZvc2bgY*7Ys>+xyR4HF&pFhwayFRhR&8*IVn1cMfhzm)VWMN^!@ z3Y@PV>=yqfwe@SlMstp@E5m zNeX&0n#EL4`uTAM{dy4)q>mlz!IiI-vZGFQew?L!T>7baGko9At+xc1S> zMcfxAGQXCVgrjoAby|6IF9_q7@A~E?^Dc$7&|FK7^dXhdo!u({Qc@V{rwsq=M2u$C zHZq1rOlvrqJx&CGk|MLGZ!5@$I-sEV85IQnj#By`D2Z-NX1mGSw^r1ij5U$+v~o9h zw|x&3b;J9RNXdyy-lKGDLXongK)2?dSJ9g-T*OZCTKvw!0Bh;Xxdg4;ad6WmCn!j5IV`E`!j0p`|b`=cH3z!8^9+9d&!BpE!Co z4j#{bLcjZYbY9vSCq=DETRL3=0SjM8c<*fUDtM!{w z=A=wPECa72g_u={9=jb1W=E$m{nVKyzPuuu0U?;MoZE>iRU+|#346jD>&;w~-Zf?a zWeI;tcIet7P(Gg2`K5R%y8QvI9bmiGxVb@ABgi54$sPx>i-UrUpi2yuML7s~6Nn&J zeFg6Kr?I$9hXi~w@89`eV<`~95X%Zb zf9aK$30xa68bHdDblp2!G;8@5gqK}3DH>6I2%S7 zKdez3#V%&(%+$vT7a7XgCtLr>bEsct`*_>smgF1(A-6Mle#Gs2BiS`bP%@KBj%F+- zOIZE;;`%UAabfxC%*u$w7@Rlnh)(mAn;mS>Z8Jik>fi^j+MgN+CM3OEeg>|N`RN%X zKV-wYH6y!xq)jXc%v?DD3uE+fF;a2{HLT1kP==bU9`IBXj{w4zxEiE3l!w=IYRY^`S0m!20Z-lkkgDpP}z zfXVbJU2N{xS-I(ivBMxaOhD$=x-5CpM`?PKCT{UI=2TGQME+JveE+WJHvEMGBi(+B z3i*A)#6$c$JctShc)X!&$oKi8Fka{3a?HuuwZkDbH?v5LZdXdPri5?EUSR?!7g4HP z+{Os%(n_&~LJpUo-rsna{YQ$shkt#R@@5TEPB^Cc>O3D@zq4}<2a85qPK+(nM7nXs zizKIc5zpt*Jwxb6!QP7P?H-^uzkaS8s6r+mVULjLs@WJ=Ti!gI+gW+zod>gZJcPX7 z?roC!BG?wPcxJ!&Jwk&)jqZax2I5I1#nwf2t4C32Nu@W+wbCCcXLg-Mc%zRhbV6lA zF%^j)?CBBUP3q7^8TGh%fzcvY=V8&WHp>6B4Kj`_*l*aK zqr?xb+QRr%7;~2fufPZ_xq589^hw@~UL$w${O!9TB`&5g+`ICvE|!m*R%qE6D^aXz z5#zY5OoR&bI+mfC(G1ck7m}N<`UlWk>sN`QNNe(CX`O)GbBYM%gm6E*T!6m+ie9gs zl+A#QH@NQ~FNeWlQ?Vp^CwU}ytbOD?!&dHMo_%ftMzP|jZPJj_k`0I?Ka(cUNxC`J zxeD>a>^AFOUqhm&`<++=xDO-2>q)9x zLH31>-BC?iM9oFA`zLWjjp3xMc_oJJC@|kO_&9cpZAvqSMPWs(+4u)@l9;QDwlIm= z=l%)aLr$eUc6k*Z&PtA;vEGnyd70Bd&R!opc^gL!iH3RxeIkBT_Y z!Sj3(wf+EM@*|r1c@@etTmcVpkDJ%4!<&nYO$(d_5qgXsAD|R=3PA$6;HRC&-HGnB4@O2Z=n|7z(R}u1jF20>v(9}?OBq_zZSD@+gNn*uI(qX( zX|$Y*m67aci3O#T1MV)vTcOb&Z6OI~c36d)X&+_{KZ1 zHlZd>axBNJ^up``tpQhSWA(Rkl^~NgI*3yJdbaF&gXH&@dnwX?ZfvO*oJ_;0>n-fW zx`vmggXkUlMZD1#lOGO!Tel5VNc?${9&E_952+(-4jDE*h~*7>T>3L^x65Mi9F0ws z&5G}|`J=vMcWJMaFiUkUe6Z&t17@F=YWUw;npfM(5BlDjW5vt5NCO09q;*^GxVBeR zr%7>0(ikbpOQ&Bw@E6sxrXqbwluC1C@UM#@5zG*kZ- zLes~#Q*I1I%F<>J-f~6)mSu$Vkp_`UjJrxZN^&G!Tyw^9pwJMz$;T0aA#}XZL%!*F zm0*=v0+qX9!>ilPbZMolndUPiwMi3@2}p}%9K8+a30|0@__}U=B^}d=VbphO0KESl z!;@A7Ee`nG@PoMEQ^PQhEUVBN#YonE^jhbB4wrewtNRh2E0JD6?fcZNwJb?R34s!8 zxsC17`8g^2#?>mlX|{Rf@BwYnusrFtn=As#NQ_8a)!QAsyPeH1Kf6M1&&i2}@_W~h zUa8(yv@AQmO2`9PcA2TS^$D(l%1PoZ+6ZMMx)zDz9KKCMN(9P3C3)J?85%!z2WLeN zKZcuCM~cZx?0`xn;Mv!ntvYnLXZyjpG7qz~UHWiCB5^4;hD#l?ksK+R>@+_| z-H$y+w>s#v6tRYgE|!vDU+tOjVg5R6k*Ny#e94P_XyKGRarz7VFhW4_H-7s5h4P;2 zH~Fw_OO2|GuM*Lu7;O}tT}?X>k8wd1Qt#keRmGHarQI8enXep#NGhyNJEH+drspVq zE(;y{Xh!^6fGc%JS!Mbac7h)75j@uB)sB_(!4OX8;;w{{p6AVmp_ z=#3N$9ed64Elm)gooDqr|J+X!mlXN@OSW2iKm@|6NO|F6h zv+6kF@INx6*~`gjCv%7!)CZ-z9juVm;l0BUA zkDGP>Q6uj^``tfnKXdc7SXTsYlMSZ8uu7WU)_bLl7H2QoRZ(pvnRk=L3C^8`9@FO% zslQ|R`X##81VRPr*pjv44(9BVAD0^_GJON+KD6tG&PEhd9>@}ivB}(7iY!5rMhqf8 z@lzFTkT|raBAPU_pnNMgL7K;!&8f=Gd}5)%=obd-IqM@w0sJNwzH;(PdzjC%r=8Ow zK`5@v((^u~uQ{1Yd%8ZTa;wC967NJ18a1BuG4^MJ^|+rs(`hkPLXz-Rf;<20z=N4- zA9}e3u}xkcq`W^-yspu{wp=u`n#b!YlmIWp$Fe}Z(wot{7N+9P@xYb4boUi}B>}M( zvZtaoN#gJ~(^%$3d?*=jIK2M?r6tSFhD^8EdRlY7fq76n#$i~oJ4ttt-m~YCc$q85 z`L4Pukx>uNYcXm-eZQx+)~gJ}K4x{c31W-(NPf$ARXkK&E;Bd|6_W{7H>;uw?y2P9 zeL+;+{&+y^w=r=^^$K4Jxcs@HHd=^?{o}PGnvlmD?`+igfUywv+R9OGM&SYX%hx6l?vWw8S5zaF=xd|8_1t+XRpPO-On&JFqu7j z*T*hW9h%^rtnA*O3%9=UA}e7_#7ONhD0bZO-4QL0c^lP?5k%Yk@;E8OINuCjlP>I0 z^2n0K>swNwaIbz>qYckAg}jQgO6}4ZK72{mpgAwVd0{}nRBenNCVJwvoz(3U`!Lxq z6!)Mz!8Obd$nErnDZSA^qUZ0Faq3?wru_(y03tg5M2bDl)1W1c>v~x%97zZwb{#Bv^d~e5-0eFJJC!lu)YPX`Ej6uiYV7p>cnI?7sB2=HZmy z>4&QqKvZrWhb7jn2koqAF3zx+tcfWT-|Wpf#F1D@;If$l&bRa&s3ld!e&Z2Y`KRw@ zzhexp=P}2eJvx4MA?1`e1$A^W(DhPA(*9kQ$n=T@~$!LSsNIUMeu6M(^$xZWj@8klg4dyzh! zgNuBZ32NU4+6I-;i2xr=q;jPGW))ZKrN+eUnV$|HCrOszr`|a&yG=UtU<(Ugv<2Q{jPatX| zI}5LgccFb{@g%GfRZ~tPbY6MCaE&f3Hvq+GRE$*C32Gm;D_tAmjKvY&6mB!U9Muk$ zl@)EV8~QD)Uy#E3ZGd=_K!UkXs8kC@PZw9)g~?sKQUjS$U;XBE0%Lr_0V7@ZMxQ~A zAej8?9Q6Aqd+YeV>*iL|ff143BkLNnN|%Yw?Im#w%8Xh%MEVY+4Kb!#?5?Q0w!7+F z?)+&;MVCq&R!TcIW#eIL7t))OV_>0X;@DO z)(jmVRM+y&igucOS8O`%7$?pCa`GIu5e$hHEZ7I(M!b<13-BX4Mq7{Nqdj>cGk29N zg{}FIUvI+f2O)kI6UI}0kB3BSI6aB4;fABv-(@!fCsHJe5eKbEjr^DzRjw$r$S-A6>;ePABzF+--0GyyQV)U=g@$sA1O_<^&eSB{pgMwy3peWw5 zZ>?LLQM~ZEj<73>7;NtB-L03Wn^~`YgJ}2>ct%mcCyRCoQ@}|{FVzNj9G8!bw)xW4>n)#4V5}GGDC2<~0(FiZC>cxI{@<3#hlmL4Bni z%lWR0@Mx!Q>E?kIFhsQoHeQ5O@ps`XPey!{>KC`Gaw#RtI^mzb>ypgb`l?@nk%p=m z3dJ;1^7Pm)%EQ8^1HjQ8uz)1X35VJ){<}t>(BB5M*5p1;krX;`ISf%f{+I^{UvB_u zwe~|*zhi{oh&gkMI@WQ>Cx&@(WdmYYxW9#QsnCAf7q}a%mj2FP;LTL2xFRk60?=KU zA=h>yn$+x>{Iz97)iP?in<)%G*w!P!W_s^UtVU|u7mF99Wj{AS^f-T<$@WiYS}48z zM8)@-Ihyv~FZ0v$*`|uKi=c~kC5t~u3|j4;whqLEoR?$wW!5=MyW@AFhXv6$Lc0}! zAKC$&Huo&%&f%wt@0AhXD;I5T_~LruwP7<`1C$#`tg+{5i8OG|Tq=UnBCP{~yt71$ zarrje_${feIjP+qZ=m_4yevnW`llu(UI);mgmUHI@{Qi2oD)rWelzrdB@;FLqml`VrZ-I*f2(QvD7n>=n#oK(x6SK2xg{_4ix$9qM+_Q z3QRz&ky#DbrK8=tBqJ=fj_sUPY+km^XT?bUkdiHn5P5~v!z?W+z=-01^dY@qE&tOV zR1Oub-!T+QOG{~Gyh{A)K7Hm8O3h_Sg2cu_7f6CkhDJdnv%=jFd8^~3mc94r zQtZ*Yap2F&g?+y*##tDv&=AXnzFKVm=HD-(tBc)tecQssXN&1~4A;YelOk{12W@JO zUe|g$)mk928FQuVQ4vwi9Rkq-7{Z^2wajNm>#O}!N?vfOE|Xbo>xN~}9o%~~{f77~ zzw0D)ac9b}HY^cFhXkCn;Rw)Tab)+>6cevkF1^Wwa0kO005ANxlkXkwgLWq|(C43z z99~cjoAL?7Y~5@+vJS-D&n#*P6IF7Un};}=daD6|aQk(d`I1$@A=>5GWSYdDcs5LF zjsp91J&{C{L1x&;i<7aqI=nSYnq86HgOD5GJZuEVy9G=Q&-O;NpIdh7Q@<$!2pS{? zTn<8Ugr7Q7wpzeBFM$)lcbQ%@ zMrtr7?Z4GBLwxxMbkP6T;m5zQ3j6DE|9RG7Gd0CS`$xpos0J@pdqBH&5iH+Q079%& z_Z(d4v`8*=TFrnJT>1mu5FIGY=Sjg}Raunf{uY;Lu#zL<3Bbuue>FyL(!VuM7l>I; z6&8=3FF5XWmcBW{16I<-y%m-|lC2!0G`bM1f%*u^-NIB_&iJ|#2+-aZkp=J78hhl% zvKk*=eDQn< zQjKc;yX#A&o*X4b^MFwm)5y+#9xLL$5gMl1E&Zy>NnIX@XC4>yv#1-{&az97jb35wr}=;%nbV8!*GjK*qZLv?hMzA^y4KCDDHwPcVJ zexq9#z+50cIXY5x6}GLz$|1plosYBY-9iHj_2Z*RcukFevRIYD3X#qpzi{_2n-TvT{`P+l6oI#s31S*3>dPx-IlG)9 zk6>NX0k~I-@(@FDcW@tdQIpu#gyLfc$m6y#>#73#&i^&Re*axF_CGq#Kb*~)_}rMe z!lW*HN)1J?t*c);IC#9&*Ec@4R$9)zd}O28INs@M$??kkW!7P1EVh#sBHD_&*wj|1ZA#ha=DN^8~dB(DSF2?kvD{EC*R-mUb;; z_vG8ZM+z@~#aL5%8?w%t`*%zT&3huOd4e^*eejj zOsnR~uH5)>4pLBk*|^KA6q#*#ryF-8w4||!Z(?0qnE@$@lqr8KRO|`?24BK#j1{;% zXbw7zWEuV)A>VVi|GslDh;4t_ zD*yKn%Eh?-F&@7@~tbWqNsuBJ0E4du`>eA=@~~>Nm)a-DgLiT5+RP z7o6#@`cX;S=%ppztHH9LKQ%n+ zH?+CA*`b-_kh~-G8=h+B%s`kRN;mYHVW*~epXr!Jd`>~WSpHoz>2-zQF--aG*2&hk z6Rbh$yuKRdM`Mh!!(VLsjU`T#Rvx5?kS|w^I4p8&fO@0u6I$Sr+yQELZ=us5c=3qe zzJNgI$Ol_kas~g5yE8*&az#F$k=d3`sJQ1kG$jm+pIwnAn7NdpnYFuv)`^Gy+iLcq zKlK{aE#Yu?+O~p$gpX<`s@Bed5#t4qE=}0{kRY@HV^M+(CfdxNN69;&&>XRSl^-9p(0HpvtB+1 zDPZG+6)`ZWNnI5ZLQOGL@{IuppjQsIzuTfdmnHkO<0q@93I}~c1#<3``6}gda*?6W zDJO_?CWZHNZ3NNdS~Es7N3BYY(2zoI7m-0)e91{P$jt%l)i6ppyLgkcGP^*(vg8${ z$Mtc4g#7uViEq{MBXMPyRFs<1p4e>}=t>ug%AOTYe@~2ALbJ5I3fjwpXZZH@W=-j3%Jsz?<7c%Y65hzoVm4g470^ayMmnXe3|24X@R-x z#M5WU{wdtL9hRrd6S^+aI~q4_J+r6f1cm|>G1+>p1FyQavtBvAIe9+giTk9lpc2cH z;=w?@b_B>Kl64d9v_0+T-LxXkBQC2!~KXe-7CG4a|AqXyUz zmJ#S=EjJLrZ!{<$DmGj`(G>Lz7b}@d0z?^!jgttLTD~jz&pGJ$q7L%z{Y-JyLkyKo zw|cY=cNdc5BvogKU;6}bay5-1wPm*h%Y={o0kWYUd#$sWHq=8i&qlE~zWpX81IcQB zsxK9+BI0?@7ny~?1iypdG1x6CEnfWl)Kik~HXESc+sfcb(X0Omyj7YEHlzU1Q@K0+U<$(ISzkv2GXsJdq zaoaL>8t-eBF@Z0i;h4?GFL1{%iT>=NQE!wfP>Y0B(J|uGlmgja)|M9SY){bxm9I%y z<%PGl^c)$=427iKtO;5pmZGO5>B`gLu28#7Q%zVfJcHWf)!x>?)$n2NwV^+n+@jc+ zGb8qjOxzkYJv|K9?)dldP_-zQ3*E7rbzj-v^LV=Bxz|yzU0$LR!A~8F7=NoRKi;8r zkhk|U!$#L(`(Ak%ByD=TtJPjw)OGB2X0G~2<4I25X8VvwYekHd)VfK*2M2lSKOG-j zU58WxeMqM_;lSj4EX?TUsBS;=Gl3KUkhLm`L)!SiyYM@;PG6Ts+3b_jW(?3X6vz?$MBj=QwZ+pJeQgz>f;jX=($q#K(dzOP5-+Zvh)#i-zO7f zejLt}owH2?nW9p=64QIH#byi2a;crmRs?KB3q(&0-S3z4MxpFft-=*M9!1Ay8FxVa zXi8Rkn!z2$L`c~oo)V_~VL95kf%<939Rr$`EbdEaiSM~Un=3&u$OrL=7Rw%v5El{j z^Phj4Cf=+v_)rVq3*ktMX8qK2(e(Fr)meY4aR`oIBzJXUH#enH5WbCR7 zyR^4B$*L01iW24mB~IbSJN8RhO9U1C%-|gJ`MCwD+vS50Q#mYU*%pdwgr0AUxM$`X zk(QYr5kUOv&u-|#8=<0mm43n-A)^&S_^=#V(W`oQSm@qvOl)a=hs=6Szl#(rsa06G zSZO$a$FS}=t2`9nTJ+sIqMQs_E~Q2+REoN`d(T&(*W_OwqOgpy1mA0XyKj7sd9P?y zYLm1WmIaw(&v2egFF7p{xp`kW|J?*5vdt4ingLxoqFH`ELY}P^t}x!oI^+ERQ1>2S zQLNjxXcLr-g5;btNX|h(a?a3A5E_IgX9Pq91SMyXoO6)WWJHi0C1;SVK!aof!CS7i z_C9;5`<`>}+u!@%Yd%7E7u7ZEubO|=9Al0V;nK~7*PvY)uz|JL7(as9tsMJYF#SeA z-tDoGc!2ZmXZ}0^%z#C7iF?bdiZ|cpAhr(X>Gvkd@-&LgWIcbTShFQ&yl?}0Lxj>c z@_zJ&yavRpVt&mzxxZ^2lO5kM_=&@=CQ+I8c$Wa-enfz+?0^EuFoFM882;>`wAR#O z5Zb^JX&_7=Ba#g!D9+6wM~yYC{D{urGlf3=3xnU`AG0r5sTlkn1Ph-i?xWw`AaE4! z5nij_b0nJ44Dm!Ql~k8tQ=X6^^`DWt>Um@QkB%fZ@}GyOT#m7zCxx=^{5D$XT)@%b zZM4s-ibF+o2eAf|0gBEfA2&StKm9d9qEGt?zFUUBa9!klC#?UJfSFQws|*Yq_%bLl znzq?14-IfNrK@ucq8*=%&up?E=}?Yd(GUCx(0zVWZDiAJz*{e z+U>xJ1${sF<)!{Ii^ES^>RBckTPWgNxv?(BcjCLabg_P&reW5ta^VgIG zcWhU}?npP($I4N*p6w5#encFLmi(9#!w1>PiFj1b>it?3b7XjWoooZW*Wy*HpG%FB zOa3wcnEu*?^uWe^BJWRjxhH^I7ES`i_r|bCyin(Nez>qWJGsKo2hTXL8*n6*lQnaA zZ);Hc>WQf+f~Qqliadf1Y48G?(kewNAh)X1AjHm#^;;ZU^rRQAxUN3X)S&2+&R0nc%eV=rNcjDv`_{m?Uru=JU|em!n8A>h4)@E<(yzm`lX=Dsh@wBjZH{AY%`GXP`{W~!y`cUQg5=n%^w&QnD#f$Zd z*kn1QRw5a>E0RX6=+_z%L0@&$ezJZ49MP1BaMHGymNWg0v4_cLFHyIi85e5CQGEH1 z#NJw5K-+}#SE+5-**tE+lOqPA)l6od<`gYO^T|i43;)JH#qulkMjk?a`S}`$y@k-q zJt9dvLN5eem_PAR=Q&wuAWw3fNti_cyNc@HlgiZBl(zxjlR20sXGZr~{!y%fplsw$*^&q*mWPqiECF5>HN2r2<12S7C`e3)&@qNcSb$)L6=evs|~ zO3%9^T;Y-UUFpb7$CN)8oJb*5fA%75auPn6A*PwytA2z)aL#to4`ap*ct{&py{Ca=NOWc ziDOS>_kwik^s*4-)IircV%{y2FLj_r)X3&-Px5o?^GvsrzO#3`)8RWrSx*DSek+!4!$(0uO8VIM zU5a1RlX^4G<}IdUE|3YvT?UM6?{6bMCLAljNig=u6+K<&-)E?FOVDpiN<^MpG_%#< z@cc5k@!}QE0kbpTyLW}QV2@To&+{|he#ZQbN=A?<_YSTwzcI&9bLP)_LKWe^+1G~C z4LU|93T}>@43Ra3;VX~e4YaxN-w6#BgBR4v@E5V_g|^fRv7L!$0Qa?2E_Tw%f9EYC zLYWt9f=jAMvo1aXxQ6UIZnr#|=rgltM z@YVQ}@A22vZ$sl;A|~B`128FLpyEveOA=d6y(x^$^9_QBkYgfAY2O0oAKAt~B_;k+ zo%lacXa5~c_}|S*$n{;#em99$INiJ8VOvr~9%5XKz8-e`{J>>MNfL>Hdt^Fxzz6F# zsD50DwXZoV)od>66896@-Naqzs~k1TI&$?e3(^_muxmOt7K|%OiGi$JMB>=-jcodQl2j z&U#E?YA-(_BePA?xALtQu0y1sk1W=aCO+L@))2a*gtAqN~;KEB(%iM9HsoX$MK$ zS__jpj%1SU{s&Bl$}}%ua*0;4DRqoRG^x{t zI#4ifjv5@K*s3OF0B?@Lk8R|PYCO;_&h7AXv@;=R$B`i)mb^l!)_S*KbEG-D@@jy` zAZ_>(Ch&sh#Pp`uMi&nSk4J>ud%PQ-{86f&Qi(j zuCrUfYH6?KAEz&SJ=aTmQm)KWpMOb??FVH==2Qg~I$gz4i#RixjBt52;pHZE!xX z%%~e?Rb(_U{vNf^$l7wDEjoMmIor^`@e(O`v}IL>WHTQjbCKbo^6X2Iv6gtu!cH_} zP<4oim&}OBI}t*Cz>emF>35LDP6Ovt5474ivs6PehsO?nYJ(zs6An)QOtY)!-+6o?@7Qvvz$?=1YIvtnzLb$phvsgLx68|DDnM?@Wn` z`NO*=PJJOINUY?6v%68J$^eUMdJ8^QQ#DE3I&tuJa!@F(sS#IXVLmx04B_}8bf&-fX-PREvEnBao@WKUvM$13;@CS_+vAq4k1BBU^ zn-REG*zR>}KR0gS?aAGq{EtyGA5Tm5J>ATfdL0~tH)1~C(Qrd(>V7cMu-o#+Z+&J# z;8#SdGanV$whUP9{Z4>Lg((F_9UON()c<6{LC+=FteLwA;7P(a_K^Fwilv z32?Bnu&_z-@7*DwB%`LHB%`39Vc=q>q2r*ZpkNVV<>2Pw=jW$p7LgF<73bpP=l!t~ zBn%7;Y%FXN92^o}S_)d;|KrE^cOX1;VCh9_7>mL}On4FrPnVp+oU0dJS+}i&1dFSxx_~i8L{OiT#kGPOPD1U|p{QNVppW?y; z;zCA6ML|XT5f>7&7x0aOhkA>a=Qh5y7Mi)sJv!b1bOM>!oazn?dOqzhgch!2m_!Wx ztBi*~Li;1K|5;#x|5uUyGqC@PYaWD+f&>g63LZ!bbhyKk+j58FUx`DRBXe)K)*EyJ zw@G`P#ZtrM^kNesu7MBiq4d*r{0Aq#VuSCebOxfYx4wgrj0Os3*&^bcZ_%D`D8V*o ze&IAOFj*W}AAP{_96~EDs9`3h?)UbT}VCEG`UFJB2(sAF_n3y^p>DcmJ`s$Ez--n_(_DP$@AkK92gey!gNgb-NnN*uS z`@L^1D%LRQ;xL16s7NvYdA;wP>8iQ*Ko9r&xp|AF570%QC1lRzZ^`uI?8160q~(7zV3w@r)oI*!Y7Y2}Smf%#jndIQ)fJxMq5 zJ$-z@dKM4^_|K?&{(odWD9Y#82Ql!7p5GRqbuzjsA!U=5#)*8RV+Kju!LE8Q*360QIKHP@Avst*vdMK#|4Ge0=;ntMi#2EX;aBNh;2D4dV$D>= zVO^%Y;$$}s+n5l|n*z@6?)+Fp;9$S~wpS|Tq%=X3m}>!0?8<>R*5B)H_xZM|DBKG! zW2YY&3a!RibxVK7zwSg+PkhVY9r8%zK(19qpv$E-9KX85^Aig)+j4trLw#d|b*<53 zL(Q^e8uxYjHj7cRZt>XiKskLH(Gw@1sORe4vmUI_##AFhE2HnA={$F*FU9-T@I+AH zM88PCq`Cc-n_h7?mr+y8)kDF2_^GzShIF;Ya=fILrYrNj{%=2I>4V+O5= zmUN`d6C~TM3x+y~A!ir&%VTsd!}th~l8`cTlFiIv#-<2RO)|ak=h)@i3~X0kp=E99 z%L$t*lVtiyO0@;WmgP;%_;e_xY=;`pqSr5;TEwGXGgJCJqO!TI|rhU;% zN_nVTm{^plWJaD5PT`YkMR2S0k8`4YuH#l$8dD>8+yi5U7KR&f9j=d8oQqYCOPkdu zvpq1}E`Gg>@<$L9k6U92>@V1$3xNvL#hOHze~?%HHH@eb5mNF4R+?)Vwgcg#1$mBJ zz4P~m?l<(`aQIC5{E%C;0RHJuPdJtmn()!aFpBjd=O&=~1gJo2FUp?zrkF3a47#TD z@CAj1{aElf5)!p`u(Q{d)X!F!B);~zA@oYH|F&YbZ`0hI0nLG*1_(O|c@Q1+ySl8n z9sjHp=;PE`yfgO85%0WunzhzjVenUndC~iO8oUpKxPDZ^A2#ZT9|8-y44*mSu4}jhl0-e7zZw$7o0qwg;Ij6IY~?S*rA-PBOWnUy zvov@cu?!(xig;W;3`L$LFXoEKF{ag_TEv&EyA=i$BLD|iowd;I6uJnj#gEwM*-(}u zQIZWyr`G(S)4~rxa;!bm_?mQG>;J9(sD6DxWSBOw_-{k@kD>ebzxnI_-HdFr3@BZ~ zJU!b;J2Jk5sEAJrKOL5tg{D8-UsA33>VEB_GW3SlBLu^ZvXr8r&O%ZwGylfJbL6(P z6X6ipr)+&A=GkGuK^FLZl6;Z@pcXw_eVIB&mVci?N5hgKlRI0q&j2__l;5ivKlL1w zzpV2me8JX%g&iBxExlRvjsC{5`&e?S!BeDXB;_POT-)ZLsF8;~|LO(Mnu5Abn!9yL z$`M>n^j7tm^=mg;3w53cb@zXrJa^!6U5CpngP2lrNQTwHi@lT*Xa%wkeiWV|(`&OD zMAy5=i8Sk!Fq*eS$Ey0b1dk#sFrX2BJ~#Y^UL4AFZR5+Iq$&7hX>>LtOW$4jOzqYO zqWIU3%m@yXMDv{D%t*o$U7poI!tH!rP{kS&7Y(b=1qe?^7w$7O&eeL4EN)W(IwCoY zDbbKd6`LHM9wBP6eHt2%Z=WU~h1S(IAF9}V}WQAFKq#yK-7o%MF_^)O4~EndGE}^ZoULhubyh9j)~0&LizSTk z;S11hP+5bkTtQ!bHCURy6!}OsEgYY(H@kGEp~}?J#fCm33M@@ab3^_0RRoLN&J^G1z-AKeI|!>dE4g(r|MggWl&^?%1ZG&4BtQ<7 zUAi6xfMUM&lVE#Gs2Ml<`bl1JNcLjKR*um(Z_dVG(46h+I&mQ?W%)fVSWO!ELNP86 zb#U|rc?Km?RoqCBthnS|Cg|IcT%R~t)V5A0^u)cQ=LnQ;^dKpIjA#1U)dZbB#KxH2 zTNV=-?8#KCj+h<3kzA7xNgmAPP1kG%! zY4A9Fz@vI%p?=%1OtO4#St30`rYx^=M*dT08lxlU-!J?U^PJBv{f-R%qDbjYauqxV zt7-715!1E%T$~Ki_C%&C)?%&WD+PvR<@V4dU;d;mZsY;ui1k7RAK4~?`dwJX1*U5W zH;QBm!IHs^OoQ3!hbga2B`(#yxtRJjznv+>9&uVa7=7CZR=?NvR9sBA|X7 ze4~q?n-Y@J?vVo6)S&n8WI{E)bF*<1NRA%;8| z5krKZe+5l5msu|gY)f8H#kCSdG{QaL7tFXA3v=Zx*N;)$dEOe&wAK}I_=zoY=&UCD zF4&t{gn5WF%h9&?EP+ox@MzfD-6U6(= z?nT7-dX!gtMe@(>?cPsmmz?ckcU@vkh4`kmpf08!}4$ll#YFGk^4)c)Qo%> zdf&J^-W~mF#fw=gl2f^v>5uJh{cF25e_UI$tv)Fi@byJUJbF|aknb@_BrJl~0PZJ# zGNG0^Qge}ZjEJzPD6F_AuDv)H>PXi!_b}KkDuwb>m2pY&cTk`D!A*9d@n~ z_mA9+^G9xm0QvwU*mexsTyyk}5mGrNTuwCv z4kBT=mX~59VfVPt8nt|-d$bmj?~GLSX22z4!_PKyCeb6m;$t9-l~HTqQL>GB#5N)3 z4ywd%owpE8(6Qelz`P-8=}EOe^GF_A$+CwMlnV|wz(YnX8!(FMY|&m@$9P9(7A?$| zLf$*!jO6yks>k$zIahd3uWg$qvomy;jX1`Ov9>TU@zE+pC-0h~6Mfd_VwQRCc-4AS zXUG*UWU4nE*AR%Fllb^3ZNMZagm1i-t?yqykr159nCc@}?piS1707g?=UH9>=he{m z$4t5rCfDHQsevRqgX&#EboSVSGuFX;k>P(0083Nmv@SB12E#VPMQ|-*m@BWj+T#Cl z7D942ZjU0WIyRi+91wm7e6E=@t5r?ZfmTf%gKo$s3b)qt#;f-yPs|Pf7N9wK` zuOX6)lhc=Z9YspEck$;in7kkO1e|7j$@%u<+x1(964G;cmPUZYTr`2M2Q?!GNF=V3 z>RpCV-eQ||OqZ}Al`Eln2!hJglluPfRa%SVS>e2C{#_L(fyPWybcdF(7=@=3^qF(k zvCALC)y|>E=nE(OxSNqmj)XeH@P=>FV+o1wscPJzrl zetuX4IGqh&aQUNEGK1T~hY62ACOY!~b%`6cN`;Ypb+$a7l}rT1y0{*UP{-Iq4>Nb;Ip`gVhYD30@jjI=561@( zzBH{VnrhUkywN)1ND2j;4ir}>%oprZq~ovtPNNQ?o+L3yj8hV{hq6%(9$IWHlN z0WpfP>@_bpoO$`Qew~-4Z)YExs<_czMAKD?uUDvrHIuveIMQPP^^z}cuYr0=BH(Hr zXFQRcq!KvRi-oFfIDAgvliF0=aSsM_z4a!w*4wJ0QJW`Ip+c*+^@=tY%$US#V3#A@ zcX?LAbw9`VeocqnMp4QZz9olJj|8g+8T@7McM#plchKpV_JLA>0M@%feLCi!q4vvs zs5dVST!?FM+#aZOtA9--V0MAI;yL0;UT$?9zZ}G!~`HNmR4^i)M$LFt(-{U*; zAv714uL~uz9@mGb?}tegeO9u5a^}i|SsB%0LY{}|@%Q`UY(E^V-&I-Q$`Fsb>2JXz zy04M4RKpT%tE;1o_QoNv-(j)3@&TEACuo!*naR_>58@(u5+fK+_U2o#WBYDS3G|;; zE!DQRz+<;?X^MTvO zyp9}-qf~*p715tA6AIy`zp20VwYJnZVUNwdD)3w!VPM|vR{W(rQHQ&62myC6XBf38u<($sjR@G|Iv=b_v(dZ=g65tWN>h11(Xj>b?hsXP^vINm~*6WDL~ z8?O--#Mg{Tif>3Hre2)~u!|?K$qmaz{(CdXUo9;_E8uTl#|jz2E8yH-pyy2y^vnAC z{It}gjibbSHPqTp#c(rf^{%+)3*mX+uyAR^7vP8^X@cQfP3}epuG{o*7X>_)iS&)i z33%O6eLG*yxVl!D?;9zpBc4(>81EeCs@Wpn>-Uy^ANj`N_gljeNCHT9IIW-EHn;;k z%aEJUsNf!Oc?~Sc;F$`O6wGq|1FI>4TeO*vPPh8lW>o89g^9lzPfvv7MV3lla&8uX z2rN_PRdAGN?yABE&q4#+1)Yr48Bw)T7)~*GrEZnMa1NWCTXEDlwZEd#e?t{WC2zU_ z5hXPX&5+J8_G}_DeW?xV%4aIyL0unaNp_tR?kKBTxbh&A;{ zlqS0S+?u`(cYpL8xLGDT3VU{NfGc?Et1EOP_Z{>yZ!&2d%lAdo5ygWRy#SMU>j1xm z-NszYq_x?vKE;DFCS3lBCQC+Tp%z~puVVke=~_+Q6+7OVU7wysGdx6uiX&sdnHSK` z-lAq588bNWko84>HD@Fpveb+&BQznIP=Be^SR*9mSREbsw22w>o3Boj;GXrE0sjSp z&I{7Q=NbhfH!h<+rM?Dy7x`Hb{HN_9Fnng=yCzKi{z%``t*Cc^+`NvcCZ#m0@^@H&KuR_E@)qS9rAu1|2$tN>QH z+SRvY))tZxiQFX|_oqdvZZ@T!8`p0|pHE=8;} zla^7>eQI9#d}N$&6JICqMS_AFu`am`ALFmTRCu*_@|yq30Iwgv^@z#xu|4Ixvt6SK zk28P5ShFXFrfFh^%#am!cRc%nJ?5;Q@RzKkV_>462UyqV=~=8;#ZpR> z0tly4FXl4(rcErYTa?{R_d{OY1kf{-ubBQ(+C7c&8``0toy^(Rc<0$be_abJ=SCns zEzh$r`xG%tZp!PM`>yT=2C$q3$PT#4pXp&W8yzHh{zdi2>NDyL>9P(xrYLK-LIq!n z>|52b&Y%2rsj|=WUO%nu6_RuJ65{?0ew?!L`Al2N}FG zG5lBGLE(Yk)XKwOru|ph>K4=Pyq+_LQc$tH4ceR{xSw4ckN`H7=ry^@IXb&3lGrH_ z)i`}~b68ocTK6P4qwVx-g5 zZh=0rKNx5q9FpP*E`3*)O*t#7;s_~J;~oi&K2pew)1hq!vh?=V{!cSbZk5W^H8uc=y+nb;!> z?k>E(QAt>eu%?;<*R@K22hjs&BG+C{+u;Ari0Dsl7FTAtmzfCef-@D9R{4)|S@JjV zw?Pe+Z18tMx*wR@iDDqe3(CdIG6)6z&%MR`#v-a@snfy?>6}9dYy}YywT)+_ge`7v zxQT_ZO!X`)`cbqL`cd2?b;W(VtW*tA>tqY2GfwzzLbusF%3h5E7H4IJ-H$j;$>YVn z@Vvu%Bwk?0PB4$xmRUmp0jFn?-$ABXS3KpmcP09PoBWg{5_%W|G+2+6sbcw4YE;&p z3=ey4al|4e1ntAebUg${Dh{(z^NY1LVJ)qfdf2lTQkcVG12Fg9@NTQ491jE3^f({w zMu<#-ijx!L)R5FvWTigg4VemSTwU7Wq!2a zmX#zJVjbE;Nm6#lMca5@!-kfxa8#6s<7rT$#2sqo_6l1yH#ZkY2M2mAzNd!|2uCn> z6u`+{W^Rh#K~?T%!zGLQi(R=5ts+J5T?kJ%22rk43@nT#`v!Svh$Mq3#U|%liE3=N zyhJU%ss}(2QexbfQ_?$kd<>>L1`9?U!*;h)$7ggWPSy+NBw7z;w|K(02frxV7C02m z@`4&pB|rQaB9DUF4?W;Ya*3 zNkf9px&ITFhKc!{AE&VxRepU8WRY<+X6@E|Ip#hZRh^j@L?$Y$P+)^^QHia?4taCa zJS(jxU@!~<6IS1)kcn1G`%7_ zS=*Om&UQMY0LY^FGdb};{2VE-XvbxKeiY_r!{xB~Xu&Ii_NB8N16hLSij!eQV6O*h zq^`*I?1=Aa>9pstw-;q|IT3|570W~CSh zt9nwZKZ7&E!<1}igou&RW%tlv7QLjO9~SGx!77$64;BfPiah35=;{tA;#<1!hVi-< zlVs#gFy)o8J7JlYW4XT7xhuZbi1I~%;ILe$pRA8T&jRI*{CP!OO?BG7*#z9Mf|I$n zxt3Ueg7@txcaA<~2ce8tl+x1LD>+gT!}mn<61+YD%kxpA-T|V*;3m*3>-@UCr+Bh( zS=ycp^X60iJGbTMllC?J;uRd@;&DP8Bd!`iah5;_d3T4r&hX@bot&A^JFR&GO42Q+ zIteKD41M~-@x_>_-@-y(!4&nzwKhuq^AT`A#lF459*Q5D!^ zZic3Y;bs1O!&+MeR`$?hMA!fz>b*A}q#LzxC2ax6qFj_0kfsI3!zXt5p#Zi`WZ{L0 zBkIlLgEP-{l501BoY>hSm7Q;Z^YzO&m!d7bs&>Tqhqu9s~^5r1_5)m90_@;$){XLYeY% zWA@$Ay7Z$Ni1A?+Rl^dk0$IKhwVrmyAuTp0ufk` z9J8BwgPns_eVr9ACk36QU6}eSu^D2B@%H2R9-K#!0_H8TK}y`OV>F)LmrezCCFa)2GunWShQ_uDqO~KO z7HgxhdkuxFLGeaH#7>fVoS%@WlvEojKE|iMkt4djAmE{(G}>s z>VrMu=;2>yo8F?Wy*wvs@5tWDf-k_GtB6f?dW?7)jKi0PA2A= z@9Jk)euomp+lI>?n;R065h>>2_{7PvCxen@W;bH{ORsI%}{(>FBRtAo%t=;CgXb@3{c zbMeJ|9-o4aMv29;V}}{W@p5RG#Uu3Y&V63*8Z&vVG*7`ew{+-9aY#-iUfl|N#k;Jb zrJIpOD0QW+m@rLayyeVod_}BR=ayN$VOD=TQpj%26*-i_rbn>{^P=mz11XHFwBI)w z`-A;o?o;jd5S`;gpVso#qmk02zW-N17hn#IQ5LRO<|RZ*}2eFe2KFZW}&CKm+5R<-4Fx83T$uYtfDwCXtb?o z_LG+_7t9YMl9kFNE=Kco(b)k@ZJhm1opHQK(#JdX&x%UzqL%x-sjSqtzB%baKDBD8uOt7psv`4S;_P8Vv%8%L zobKfm!tOmbWOYj#3U`jYl5#ed8frd%ghx|OYjf(FKvUEnp3A*2)ahrR6m0LqZMJJ5 ze7iJN931lB^Af~zIuG~+HBPacsEhg0?R1x~#ctDtrX4T>(r_>R98D$! zSltIhV(}OhWGSkJ2bwdpr^+%crt0R(%TEq!8Ad8MhRljoEnSQVw9HM?)GFqedPye% zjIvP`jK2G;8Sq}`>WY?I@Y3laq|is z=z!c^l-qLd+vciF0f?H|UO72q1cFJSNI*V}gFV$tw0&McMQnxMX>M9zu3fC&cRgYX z!F#lSt~Y7A+|6a)vN!?Ze{MZBA8m!&)>a8U zaR3ZjcKSk?UTP9p+|LZsk36^(Ot!WgODA19T=iFWj3$GBP3hf7Ob)EP)R-Y?9XKL3 zd<2*{<^U3u^y^JK8r%BAzoOLP9FZJv=+kSfp{D|3Ci@d{6V^*H{VkGtB;7<<(X~z8 z2iy-j4M1h`=*F4v;X*oc(K<~JHA@+2K-{WP_wK*<{9%~kcr%96>MMA`S}QW*UAUlvt={A7 z!W$dm#2)<=pj}VGOE^1Az3b}_OGJ!lG*O<>Riynw#@h)VK2ox&uY$ZXzq57MxSE+{ zR}OySGEWW(Hy4MTzZuaDits2fU(wEw-clTe8@P-RJi3!zII(HzZ4@g=E6(K>-IJmk zZwkw+uB|;naAp)lyYa{FGi;?5N>lc?w8YY0FJtCqR0sC9SDlo43HjTEEK8(4O_)pH z79X>IG99sQ(N7RwuegwhsZV)&e?<;u)*B%At^P^wyA05;oJYsGSr9W`;I|O(ofI;@ zoR$FKSeCm+7Yr2fTSTJ`{0qBT^`=TLwU3W8nnr{Ham^CO3^;tbKq{^>X~Fa167TZc z&a%NxQ`nzqIDpN;JF6s@>w2cb%``-URdt@%$P9OYd@d)we#Ytma3*O$tWADEF;<&A z0lPyUdHvwdc+xnzZ%f*4H3gmUtD|*SJP~2iZ>0rqi@(6e!7otjWt1{Csj~fS$;Eo= ztjUQK^G#~xmq@f86U$xwTx~A*sdbYmFGeNGR2oq_#l;`U^Efw_2vgBodSkujhaAzi^Hl1SZKDlpoX2|(8 z;aI#=H5fo+3b^w0tG)uoCDW{%x5O8EDrR551>H2?KQ5`buZ_;wkh-XW{X%f5Tao^) zZHpqn1ykC5KBqV0If2{*u<>XiZ!SOCW|;n5T-UXc@l8JYI)-o>q^mY`&5!uF4W|P8 zcS>#TneibYUeI*=&j3t4@MUgbd(ep9gXPmo7mkXXA9j+=G}d4BW#BN&_AwlbQ>1CD ztGgnsm19$AOt^UKSR`<(91pU%V-(7GC0gG>x8Xcn8gF*dP=8LPFCG)*xZmQB*<$Wo zGgxZeDxJ7)&6BhTDTLvkTk@g5ac|;!f%l%Di?B5(_>o_m?NmB;;4=H`x>JMRewyob zlod&MhTF3^@L$xHsz0bL+*7pPbg90y42Qu;(=tuHs$s~V{9=hRX}Ia=?`KsJ8^<6a zKH0v_^L(6Y7;%>dpE`veDVn)WX2#J2&ezW7^e>#xjfP$jn+4A~8Z`@snr5UGHxtFfPQ5=A<3au9D)9dHW8_(}({Vud~ zdk>;kIm{k2Zq{vzZ9>k?C3xTCs3AG_`Yjh)DO1+4OMHd@f|%l!6}RK;4CFXD9?C0j z2yZ+(D@Oc$aKRkRGuQS;t$>y^2+ik1yyvOU)+r6Cjv)L7b zxjlVoc$7zO;3VS~=g(&}Ny}^Z&x00jc7bIAAXIvUVZv4)0pT_$r$?=eYabNE((3|c zq`!%2QsP+u21+h5dX4ZnB%so*=Fic4g%gn3JF}c4nsBc)ZICfl59w(Kt;_>JmaELk zne!lS6}n38ta^1a%uX=RJn1+zp@J8JibZ=7$4zqNliKQ(;?81uB~g8SXi`X{px$_T zUJlDYejKL?^(E>pR0G!og1@qMF80jf&rUA}VqBu?_83mt{=p|&l?Pp7I!Nj(B&OW6 zxYxNY{0)|XzSx+G1qt_cvvNEXw=v$kxY!-O3#)qX{R}ebQBOmYQq|;`77Z7@Ia;1y zTgn`^N->wIG!B@Eh&s){E#UCFr$Sd=CUrUK7zMgfQqe^IwGiK}EnqTP2|5o!L`}Q{lLj8F|3s1g<(1Zx6x3TYr?u<}B zWA1#1Bkc>R*V3+C>Cg(v96zj?J5EI_j4x+b;{@gzyo_7$kr=3!V07@X@ndZrGG&^G z8jRZtT4B$B#r@Dv(R4h=xz3wp^0!I)0mhWq!}DLk{R;WgvnoI$U}0`V<_-^oaeqs@ z>5B5;-}oU#A(!JsQPM@xBHXeVQM_Vn&zq!=+iF_Wy-9jlS&x+`Mj75jj&w&!sPX>L zTKtJC`J2Yh|8VW(=f+W_JnKRQfpF6$lW;p1=A7n#7N~1QI6_-0Lqe__aWr6qP?xgW4 z8|S?mu$Pj1yU9YsqU~CpVoz>;(cK+UiiXa4a^G-oJxISv>CWX%fxPJs@!{y=4Rl*DR#xHxEkl~8`zX2 z@Ew$-P{rKTZSrMn?wewKosA#{6JBxW1}hEHo_``#g?^ZQkeVLCP4ur4U?Z*uu=#m!h*?T)etI6kx#=_i4XEzcxK$0Lv%S%pC#3J{opph&nK$t~ zvMus7x%c>XpE)u@|CTGRgzc_vL?%%~@?Mhav+;g-_Ft91qOgp-K}zo?aP!SuXe7zf zv1GEseK|=O_Jnva9u@^ct}{9tp;|drUnItr#v&in&~E=~$ZGD)X$^(i_gh$j3F>bl zq3utyHY~Z#Nah958|lmKjrMP9IHBz6*MtJ|3Y3eXn5wZsN9D82l8wbSDBx`+xEd`S z3v!mu5Kc}T^caJ5H{M8~;m)_vWV6$+S(g~)PfX}DgMaxraut*^1wxNCZccN{#u})#z4!RFuv<=$vnvpiXKUNalNL!b(6C9=2OyN#S+DbQ#lHuf zlFqOIJ0X=bnW{v*@j%NQpK>s#K_XkH6g|`}A-gyCAlV>Rn&C6D7|7~b_&$s)N5!|v zJjyBsZy=O74{1@af@*i~eC!W!EJN?bA=3gnJrx_tDc6{bYPrVsrxkF8$uSqhusW%lxs!lmX|{pl1?vcGe&a71#gcHs>(Hv$ zyNmOqjn;xk2PnH>DniE7Seum{$J{tpwBmVLp1{)d=D{lbU5c(llxEFm# zS;%3|Z~i+-_4x8fKNCN|Pya!F|NqT*eyK+)uR$}nk=ykgf-7d|+5 z_N29Se`Mb+%eF|4NZ-%RU6bYE(kVNKxlrd4eU zV_oB|wT?}Nb3;%10&6XB*rnmv#HldC!Md)gj#%)h%AsZ8?)yf%I2>g$r-pL<4rp^k zPbtOCp0xyUHA5Kwk5cx;M!243oJsqe$;SJKd4gG+9*y_B)7s~Hcy#7$W8N3RBbQ>D zgNBZEy@N69c_#I(2sU39F-$;OPFEV)YSeG^FYwh5`^8$d(DRtt0z!e}vaKjfg?3Ff z&f>)1YSB#^|E3l_9sGOzEzg61dy!Y#nfVnIAC)~*0*4G|xl6$J%1-Y)C_7{O`a8(! zuv9>#Hp5>3B>RX=mc%~F5c?!sdBb&(;i#@w@knv8R-|7_8}FVD#+8Ns+CO_k?vA{r!|JnYe97olv;b6n@)uNFCV4Ob?6L>=`hmWJn0;rFcIOSp2n4XMBAJ}#%?pYQTfDL z^7RI0S8hC+r|1Eef1jYn<(_{Wke#H~j6D56hkplsVrsn%>(qsmmNIX6$l~Wok0m&H zxgShV1MM@-xDWoTSFNc4kP(5|IvZVNnx)RJGzhOtuwoau2t znSNfH0MgQ4Sm^g~qikhwRw7}GSZA29ip)t_b9pJx_I^rD%njHaAO(va@J)J=~dhp&a%_IAETQjnHzgl^c3RnJQk(5@ z0WLziZU1OcV!Qbrz9`G0rSsoSftslN{}4YRwD=W|ltZLn`Yk3kVAU&*+{rnontW-| z22}2(|AYGVNdLoiq3O@pDy)imzwNc?MX08Mmz2NcrTbh>t0z)+ZxwJHLgr#}^5aJ} zX(OnTuurh*%7lytOKfAHpGTxQ)7WBHxZBl z)~ohb;f2o#&6Nw{8>(n+h$81c{&t_skIPXnlxT3t?Gnm{v%qd!BC*B@+d$c?>hx3k z)K|77W8`W_&5Qqwy!Q@kYTdVgQ2|8+1*y`cNC%~NP>|kx7wH5Dy(6Fqi1dzh={0l` zI?{WQ5+I={RZ2j*6j{INUVHDeR6N^t@44r>&%J*{A1r}nX3qJ(<2%M@V4V%lZ=$+5 zPFB)t2=^-{chUFC%gKDX6wZ{#%?d`JUy+{W?6p+$dZtLBnx8mkC>$2wR;1Vtq_4G+m&uJ- zroDNC`4yS68Z+b&0v1i+K9#>&*}i(b%0-F=&T*%V8x+zE86EW&D&}wnbFswjT_-f2 z!BfzdG!#1**J?SK7JE}HdKYl12K|9{-T>Pxa#Coq;X-y%tDHt(AwsV8ZfJjhFT?ut zTAV*_hyzWSm)W66kHyTeUJ#(sPJcWQHu$oZa@K~Yw0U@;Vd~pDoo9muYvJaL#{FTX zYUu?MN_p?lSq{7Vcac!nHzs4X@s{HHUkqUh6v}`PS#6?o1W}ug zG+C?zgc?sTrMJN@_EmIF@aP-LKg(9sv0HjNs!)ZGwH^40OR;X^{C$z`+%cK&P(|tB z@od#RxkZ})8s}GNhK)Hd%$Zf*A=&xZQIbLGUZ4NmTay%TI_)_k;=Ca^7W}Ah7G0mS z0c&+97hrX7yBbQOHq}g+5F6+#QY0ekEt3Y{8kos`jycKP;{%9OPYNd*DCAa^ zKzAnM=^VqCFPWd*9xZq&{kI%t290C=j!X%lC$cxS+~|_mYkcH}x()}I#_$(bgmjYb zfppLuyRF~#h3BrSkfSn=)Xx{wkG^-_uQ$!%&($P>~ zLThD(f<<9WI8C%qI{hZ6bUMXSYV^#6;vIcbSJ|Z|c{BDfV_kw^EpZR@n;$nc@Bh|V zm1yLCVP>hc$7^B)E9*ybSy_k*M(oa2Lf>6^HY&|Xne#ztI>EInSsDvz)=PAJc8w;L zWv*8FV=--`1N!<{&qi+qNm+CWW>{A?QwJi*LYp{QLZ>v)Q+porf6|p!mm6RYbvq((6b&je0xo+LiZXhS>>UzCC9V-$*T>HQyYL*rj$l{fB;o1% z*^Gk?WU>N7948tQDqX<{`*`>VTVvqWMz#0*Ci z*jau^mmaNp#*^_y4#G#@zQU_b(tR~V9;~kf^{_A`_sL_uwXp1;K+(5&GIl2BaP;H> ztTvWxISG(QdeKKeb^EA>GMoTBFpo*yJUwCYp}CWJsmF=-TPq}KQbJzM51^wSZaSM|@sSlef^`%2mbTz%pquQznhsD0Av{4f(n-NBOf{ zPL1Mj1d|ltJb$$5vkpLlcm@YM>uRdQSO@ny(X{y)3Ms6M*EuPSYv@!eNzXnvJrt@6 z$xs`&wSU>D_Y_teebnf0@-F?0!BdM+YvR$acI!c^0MyDUMJQDbC)mc7r7Z%`eGIEZ|}B&&8}4FM_%ug<7MrPKj! zhW|F3PBzB$OHY`NidBL01240V$|ObiqsihR%ScL^)HQ3yVSU^aL0eKdbZsV&L_RlR zTDN@(BDS()-|s2CZ>)mvOpUgfX-=VdrM?dIcPw>0YLoiOWc`JuwEAzhT8Z(*E*%fs z1-NCMYDIY81F(?#@`mT8j82=Vv3rrbSp)1PRt7Wexu9gr*!*dkz19_(z4pe~7@_3V zmDr)A<*Cc15D2i05kLZ!i7G@++np9^@>LsN!TlU(~^)i(( zUc6*)eK&n}>oQ%~m5Kogdzp&@%md}#e>^Ho)OtOSeb8zMAUGGuZxTSjH8i;JE z9T3}u*^VSGReWws?vBf;u?dR4FE>BH7&>}_PE?}7J)z8W9Nkx#i} zsOtWL%>X^Fwil04uAHw^qhq9$w95$72(-MO3C@g2fZd|x@!LiVqTMP)#Bk3i6l)sv zYZnC*5)%2YWeP7RWwTyYeCH~9pe7<|THaDE^8lt4cFpg=SeE~2LoJr6+TO+u9yG5V z!Xobx7#4M`jQ=s%H#5>}aQyYl_TpD*z<=b38V)}GsWZ4|SWe?^YSTA7+7RB_o z%-7@T8KrPLAt+uRIc7?-g72rueQi-$X|j9p!+Qa#E@t*WA_ z%9FdJwk`rrFRQ18M(!B@$x$}`b_Sd^QE6feaw{gf8GwbZGjILmBGfR|JxJ5oPT)y! zXC0=+P@TzmODGESMBkGDTgg^eNA`tB?xdH@zkX}jr8hU-o@1!jZ&Eqa_b9@7LTvb; zWPP?ed1$ho7$k_4b##)Bxys3oizPNoZsxU8Uij7+kk@~!mFs^}tb*Du+|g+`HLy^p zAFcv0Uz9Z%jW?Yg$??J(!w8+%ZA^64w%gT);LwVGing~_S=Sw3&S+3l)IQkY?W28t z)=TL0iKI+KIHlD|I~dWxkWdTy0>KDHdRk?I4W6B2PasRinR&3%bRC#TaAR zy~DeCv&T{IY~Uc_HkFcR5t<0mSXh-D+u;)t&u_jll?^zhx5WrX;41oj7uh3;GO>(ul$#r z3n$~i6zS)MF_VGYmh-+=>2q7j{S5G~lZmKuM#lXCR0Bo*c=D2aaI{ZW+Ym|bJ^Jd0 zM9LlHciiEvV0McX0eGjq+)e5nK$l;BJ}k%jR=&9BZH&tciszgyl1DtF7jL_w^X3;d zFd(e6cK1|zK3^`vI>$poSjsCIXT=kaoZ89zIcekZ?@L{&EwGW+kKnJ_m|B#Sf&cTA zGo*iem;fn`8)M}%*l{sIu)kcwPl(bc&PsdOO(sXirJxS3?@`gKa`@ltBt^Ijp_ z*XL^%QO}hNDC2;TfJw+)?JetM^vQ)$Y?~C2CRvYR!TK!!0cLJ9^o6i;Zb!LP1wU-& z1)A0nSM)r$fk7TlQ)8kw2ePdpC)&SYh*ObQ2SJ+7K;mLjBGT!?dz&pkJU58{F5@JG z1cyWjbMMGU?0WWvPVM^zx;n2;*)nAA1mKqxg+o2wwM!18pS;}AJ%D{wGtwmrKhIld zqKWbf2guYMI&rNihyOclY(RSXCcpf@?qQ{4t%}qev~jiujLg)~ZAOW2eRkL#&GA<(4pFDUwtsWZ`YEh=v^!+nwmhAV1k&EUx_l~Jp5YD#HJ^gVq1*4z}d?B5qbAc8B-BDUVrt z#@{QCMF_~zVS2vEYU1*74n;~;1lqHnAQOWiT3}C&jO+@x1w@h=GThCK8 z3Xj!@8l|61r=vOmH6HB0Ys6|QSCg<7M_-c(A(Z4l}NO- zOJenl*L&4Lw7MB%xE3lhQJ@)N{w7cS>r9w)NLyCu-4*k$ak}#0!lD{jHW35C1mpOMpn(m8A>(%DbSu#P2G5pxP~MRGPW_pM2Z6- ztq)|C{P=>4#=p(ifo?f9f_z`C@|;8pg*#>jS>s2(c_g|=eIJH19edXFudJ;E^W zYoxS!%DI**I691lCo|L8y6qRO zQ2>CEe*DC$pc7~|P;l<4abn9n8)m({RI9=@79+`fq}(u;gE#wbhwDbE7gZ)uE>7eP zK#ndtb`J>(F4U|wpuf&$5uo)k=4x6#Ey6N!K-&TZ%P}A3=KER5l5;9WI%sotwe0pB zq95_+@T!DU+xt%Az3H#oobSLCJvt^R6#~jd{>8_F+}wov+_k`h?ikN?bdHzv zfX_kON5U=@^Von~fVKtsD`4VO zdb$AvfL(N*)z#|hSaRELhoSy)x^?7(Y!*`rX-&+Z``lMaIvKD?P_q z6-VL@EvOlYzK8+Q7a+&<4UV!~3|rUJGnk+2~l3xzhppQdvK-z5&-kGpFL4l1h#;YhV1 zz=ES5>2r9r!k$joHoY~KH~YQiBX8+%>S8ns1lOXM(UOSP^5E?dX7^%rB#XW^IMBzap~@dLBm{Dv#|al zuC??D6Hs>3=bT9#5Qv~+Cdc?=pvod>T~hP! zEovsG&$PWsVbCOtCUaxqN1yr9lh)wDXA)O>?H#@I*gBWU+=i+M80;0>uhLiAvCsKP z>5p6%j!AM5Xl3AG!fEadcjA6!*c3shA(`Y4z(KgCw9dx#^wyJoQ(YPuVCjs{x;UO4 z`(okf)aMo36xzpuig9Mb?#L$msrGw4@F!aYbly%og=MRH! z*UwI;#5tfLmUV9e{_c$l!Jl(3nzyXhlL_3Cz2@7wU*Ar>#vW_d^8EZh#OlcmLg&e} zE^ngwy~e1=X7u;xDB5GlN^KI8J?-vs4zl!8uMc&^8fujl>Q2o~Hzu1H7MUkSbbits#t&4>&;G@0eFesjF)W936&~49Pxc$r^*dMw;i%#s_=uD7?s@KQp-9bE0J*1nlau zH1n3Go^|ytl6=^a{mq1qA<1?ThuI%!048Po&IWhCbg(XRUjI{14C?Dl`|bMJN!rZ) zHG{3+&FzeNZQjcsPn2iL`|=JUO`w!vv-=|Y3gM;lYa)?N>hK!+y1H5zFElzZsoCT0 z^;Pr(T8QTF<#^tM#ZPpmE+jc@WK_ov!tX{Ev7ZKl3m#;%jo`MWo&=$YM1=afdI#n# zw(3X#7$@z2Nly5;-MNK-DXM?j*&oSW!JiZFxDeLYu@HawsP}XS(R=O~sEp>iqoNi47HGq7_vZVSjj=z_EO^58=V<)(ZNT)TQ8}+| zg4D+;x(fBy9g%CqT5OgCkpk!n^PWs>FShhP&(BYYZBp><628{EBbAeEw%3@j;<54~ z)9hwdP?u-xe%AViLc(keQo-XMe@D<#v)GO60LUSe`~BP(HJhO7>JXhRwFjTu3LL8s zXo{>bJB(xx=Naf}myQ9l!Vt0o3E@+kFsZIiA6g$U)K}M0g;``(77Y`U@fj`!9; z#>=h?beA=mJz0ORoPRGc_YD+^f1E>lBWy6tRdgIGSp5JrXzXdi4mtalr&W!wh{%RA zLSF!InrC@nGNZUGYL{S?BqDotQ|P)3Y5r$0qED9Sh|!p#LIC~ds*0}lA-u&dy!z`Q z%vd^(o~8Us^xo50RipK+6}XU)jaf~#)Etc#t8SA{dR{2TL`3qhlx_oLJ4VZEEf!rO z8KUK9P_3EkTO_MZN{Cs=jANR-ynIT4O1jg)`-Cfb9|SYJdO1;bds(WKR8EWn$f1Xs z^!PTJ-ha9Aj`sg*HlFsq=t@rqgylvoa=~`+E&ie0+}y!7Mr8pDtNu%44iw5wEF5{I z{el;2@ESD`$x^%0e~9Xq_UZiMfT*sh8uSTilsj|nR`=a#;sO$ZD|3(w!+GD9a<63B zGr)O<(q=U8(+RFK*c8SoEQQ5zIyHHeG_k`GR3w!TH@I(+(gg?2kX@JCn2tKMqx6_-gjyv8Y~Qh2nnlEc!Cwd05$mX|Cve_hc5o)9fh z(I-VoqKV6!o}-Cl(ub`dpD<*WF#3Tw^lCiStnpMt9O|M8x3ZH~#J7!Bpnm^n=;so7 z+Oz2DRL1=ozcee41bHkT?mN#^rC#Hg_*1I12()fbSvE7xXpOG$fWP<{<5_Ft>$eL% zvNa?!n|ZtBRwDOFn1{|$e|?x$+^VyXjg-!gl-$fE=aAf;%!%zl@iO=km>OCKjaNMj zL_|QwBD%SUug9G9RNEi$smc<(90xNThxi|9N7!Hh`6GRK@VRLLFf7_qwztku;#d>3 ztq<)9T6eL6WUI&2eM~(ujbi*HnkUR2Jxtc)|5ytnD%Fm3O{>PH!DjZ+YITjijtrVq zZMRaRh8x~%`;TfP1v6M&(D8Sx=qHX^XA-U;&k}jIIIE}+_j_t@JY0J5O{aIcpj!SS zIMTO2!`4uhy!>wfafLAu#vn5~*~}Qw^!m#e8k**X`xeo9ROEO~;@EgBWjiJ<`t5SR14buO=%lNvd7cWYHx_Wqdjoe;({ zk7EF<`~NBCII!9N53i@_{liVYEZ|q28cEHp=M~l^I(|J;e=f~aBoz|5^|mwxoD}7& zl(($*n{%}9FBlzP>jvz!(tA9w{u^Ssfs2E6yiBhMzRk7>tCBgZBx#!}Tv6!61$Ktt zNf4vw>4s-ewZ{@w7|~uh3@_Gp9cJ$=Vulc4glcH&F=7)H6jiGbv8l@bNO4y9jNlF7vP&-!0K?f-B7`M=N0e*(h)he^`hW74LUmdglzA$iIb z5bccYpt(G)JWJ0iE1+yBDm%L$27?!;VZDk;L(YSMWXs(Hw6(iC0a@Kv4QJ>Boufm_ zxSs-qMMUo5Rztk3(J~EY;q?!)en|Gz2Tr__G%<(mHILb*`AR3^bl1q|wPQuBth?+H z&0fM=4Wj#CiKtp1Ok14p>0quGM7h|7G5MFn_*y`bmeY`|RiUg%(1}*=&sn-8M@JBy z_tVNF2aOvO=<$*(RvHzsk|7H3}6B=s+j ztO87&AE=BRM0QJ|^3hekEtliDcz55)dmQx>Uzqd7IxwAp^lq*<9fKKU&MXzGvHV9p=kX=6usNDQ1NHUX}NJswQo~uC72%;5CpUu^EPhScVJd4HpkiJ;aZ)FZSOR{1QS*ck{^Sp??+$_UmaHw$|Gh^6ueu<`&p8)Zg&qK+=EO`o#*_d(tGG_ zdb-e{@Gv3`Fnq`s9=n|=xXp~ z`wpmT8g9hp>r)Y?YHTE4L!heh|1?DA#~{@I#@Cl1&N+y@C`2ISR0&@)JgT({J?x;j zBpLbY{BeKT$(iz3bof0w_3MnK&vifm%pl6Q?)d&6XtyOy7Jey z^YTTOGT%WPuN*bWQ1(9U@2r91d&)hl+#C%1a0#VhmlZ@7B*TurbHn6epmTR-Y5awt ztCBQkq0m7&-33?`wS+7~`dbMSk)0_oHHEx{*QA<1dhsDBYxva>(e?NQ=FTYn_BWx= z<#st}oxph*|+h1 z+ECIz#`j%+8Q(XM&l0}>Tk@J!Eo7uS3tIDclrvR_WdUoJ0$4e0tcK0;2A%=7OlSwa z;X5ae=zShFKq_weylyT2Cry(CplObO8v{tT$F&tF8%7=`baMCsSxj*RG$~oxyIlX((aGg2 zG?>g+p5F4N^2+~(lR*CwR8K*DZQIY&0}5?ZW>QWtOsYsl6DefdO|Y(KZP#icUS8*%McZT?x9e+n#a1r2CMHTbI%ZdQ<4E<^ zv>yG7+sx*dTBfR_zIsAdz3V8%1qreCq|px;>kH0`jgn8jJXc#Zc^OCjVe*;YBd{}= zIolJvhC)A|^6fVUqqU9BhZqMKS2YLu@&sS>7c(u?;DDf3Ql6)vPh6xhrCFq~P_F=O zU8yffcrD#9IAsbtPNF&dRD@~n!$c^eG==bajfb7W&Wz*=3(4UvPHBYqLdj9?ZejmP*3kQM;PniaX6> z{dQ>ZM@cCP4KCy0GZtPJrrfd8`-F*offEM!PO@uqAHmn-*?#bZxT*c>V%hwLT^ zwzn$!;kE-F-BT*;^y?W$UIMTB!9%`(agxY7gGAm245bKv>ZsVo)z}yIVLrdm%4&q? zGe~>h`reN>-%;NgKVuNmoIWlsd^ z#>$dy6@&QG${b8=UH%Vjr;jA~x}fiy$*5sRx?+qH?8NvWU%S4mPS)6D9;Icp z{x*RyY5qMDvnxokaM1eW){lcU=pXOlD||VppM7zR%YvVLHPhs9$VYTbUOx~?XL)tx zG}5|E->aAF6M}6fbzn#KHkC?v;8z^Ynx?8(GW9hHo#u6MFUePn{4zEqTOkVTj9K4u z?7(pSf9G*=&adcpqm$A$fjDDtUl$4V{aP%%bb2j%&}0&(ZGxDQT`rt5s4^A4q_Jfh z!Zb~ts!Y;FcU@c9>rNk!F;i`~>xO-cNwV8`NSPnlx@G@%;~!`(sB?gvG@?z#TB*z; z&mBn@-p`d9dqAHgS2WbBf#31r(#*uHywdqV48K_#<%d%9aKGi~O{^`)hu416!YC*F8}nZ+ zO(ihB{=G()(;#OO+sH;tFLgT>-z;sfteHDGZu1Ci!UCPG1&$)Dn_FGZ;}O=>1*VU| ze0>`Z410WjRWOq7jpSTOq3fH7UkH+#V+Z%fw)1M`pOr+{Uu$2qGLC3mC1}6Mm{3VE z1(+Xt*{0UD1)XK!C55zM_Keu~N7Ccbze_hngh^nkHO{VHNUk?2fqMgLg?W zDj#?(SweIspQVW5wwtiw4_VglHNN0WZWWJC5UI)-t+Qs*d4{;ixivc!Yn?1%O$0;n z%M$TQU=HAOP109_w%AnZzB?+v%$zv!__!EKZZ=OrOP?ZJ+@#!276rPSnYe>eKFNY1 z!#Jq%y)1)!bB}k#W*t+{Nl>arhC0f3AbDwrS~xLXZn3mu$^N553nz{^)l#z8hOQc~ zD;vvunmQXl2wA5ki>`ILMmvtzn*Y{tD&bV+6kHQ0sx@3CxxDJ;?wq>6kYwrzwx=a4 z=5PtCMVK)@IzZRd)5LhgxUPgjL^voktH-pzR9;<01aC9qen}mjR1uMnC@Bjzb_-hA zRtQ-*B)r7Hc?(yNNOc@8Ih%9Hrwn#Vp;xdocp1SpuJY~7X<#``_;%(rh3yyGF;A`w zRWAK*JS0I&%rv0rQelH6GcdQBqnQWsR|IMP1Q;jqC z_QF8a^d|?UdFJRbE;_d{t-p`*-u^S7rA2%(1+m8T z^GY#*e1NW1O5z6QhnZ2n!YI_m;XNd?fehj-k5A%32Hc;924_4!TZM@WsrnR4i^Kq3 zuxz=dfZa1rz|Czfru$eE>toEEdyooxuviFYsM+*JHv)?2-!Q z^9;CyU(*PZEWhs396!K>7;F$h@&?T<^L>)&UES$Tz6zSshGd%B6HY8SC^|qttx}ra zce*Pm^xd zsx&uQFl_?5UsJch_66s5HgNY?NgFCV3|AXE>Omg5hP&Ahq0+xB@_7I9I_5laLHrje zvzz(k`l~!%Ndtu*3HM5QV+FC+xn*+p0At@&w%W) zJ&Z}wL(>l20D3HP^K$OvW)~@=JNcwC$v6hgpkBNKQqHkPcB8cAyE~Pp>|bY{IKtG{ z5HEGOi%fLiCb`MqCdZ_D(mmI>925r{e54DTcXdHECu;MoHfXaCQIER_*aueg9ue&p z!D1RTCz_g^)n*JN^FSv6qQ{a}5%s+=B(K^R=#dAgf@S|Wru+As_|=cRU+<>eXpW zBvde9ojD9FzUCngf;nlg1#;Qce#U6{%2QMAun`nPPxu(Ut1 z{7<#-F2YNp1-&0_Jbio3x>2ktdKiD^?{rLl3sbG|d2~s(Yn`)R`&7(trTb2QinpP! znB$LqBpR{*UOT@vaf?()j%Xs;tS66jew%Ey{fvpHT~AMv)t!mN!&rkK;T3K06|{yZ z(MpJ&p4AO41|=Ef15j+hwVo-|O}Dl4m?kW>#5fd8nIrZkRuQ|Fm_<#l)BkhW$JOVkeT1l8rwHINZU*6G_XqdRW?tB)?2x$wr;eB zBsc2KLd~UHXlP4r6kj*+iDg#9mmbluT<%fgyRi=uVpHoI9hFf^9U9H+)kmS*t%`7T zYS!qiPS8%3cjCo5=q??jk$+72+{tUM$it8ucmc+zSiOT!D>1pFa$4#&?&Y)4=N-5* zgo(1Y)<@jj-epzu(*H2UxoX|+pt>SbH3s>q!lH=dKfy1u3r7GRbgrAHjdQ^si(i8(yC$hwB4+ zGySVHQJOiIl6=GAk$<6o$0un^dRIpX)!`E)(OzBFt!VUdR2f@NkX;5@L@!0xSvbe| z=8Q4LfZGA9l=|r8b*(csU4su2MZxpI&!pe+t)jGg-OGHE1+Pzzp1f4Bixp zj&eMMRVmHs9cL}F@D;j0u6s98s_-CV-)T-)Id&VDV@>tRF<DirJvcR1^1`3HPfthfg>~^&e>WOBTSV-=ICZ-dNs7AVRR|WNyhx zWRl)oUO;8;d{Ay01Z${1?|BUIX@zGAug{%AwU|ITH#fWW;W0_~jdQQSi7_@C zCYRU58RnpGT_d*7S|zN`rsq_$k)Q7Hv)TY zO$!f8*5`3ZyV7zXU%greW9dtOTUNLEPj+4SS4me>rwgsK8Y4Kt&9SIvB?al;y}jk* zG?*z&&+a=IP~SgcKoSAfroujvPwdp_g_y=t$H%=lr3>P>1lqMGPrU(NG`>X^mf(njz=AvJl zYfMhHpc(Rad~#n+8}FU%C4~h*M};EgcxnxG5~z-Ev$t&Qi0$9jt@k?%fcR}x`f+Gg zUvwI)#h9P!rq6L)e>D?K0-aY<)^mb4Eh+ETLc$ax)EAk}srRK*Ip zbGRks;v&A97!56o$cf!AzKG$Rp~@EYcO#w!58LXo@*qF)6O0(a>` z!eRLLIH-Sq0y6#0y~!|}=R;F;+)TedYvsyNI9StbrXP)&RI5*BvW;Q;z>3$CIr-x3 zO0MzHzc^SdBqm7dJY~H^m8MwLmgFr>O;076CojXkU1+*cdHyX^_0Me}JWFguz0VG4 zM3tQ8+cPE!hYiHRs~%y|JsOKH;5y}zqeAMY7&7c)NlhjPl?oB5QJL;lS#zsNm?JVf zi&(fZyhg*7E+dx?ci2=}m)?g=vLQApor%VD9k0A4Gk+K#@#PWWJ>8C@N7LDBq*zBv z-g_sShIYGHD?x~nSP+ORo{VZyM7QO(R`rIb>rEU(T|`-u1B~f@!nIz*95b)?BR&du zn07lp_wC5!nn^MDdEbY95Gb+6Zw_RQ*L?#@X(fHq;;+#~{@oWGHd;ErYLHpI0qy?{;$Tk8A+?V4jopR&-Ygk@5-9ddbL^-Ab5Bx$Q;x>XYJ`5IL_JTzqXh8K&? zzZ}GE0Wq<9Z?PE`aGAd@CFqG3Q$~MH0ShzYS;RaZh|sw#V>j#3NsRk#h!*ON?IWZ% zd8?K1U3GAyxcSUgT2d+r&HHBn4GuRihwUz`Rz8C|0K3Le?pp;C(3{140sD>R?QfG@ z?!P^DmBjwU`oWwiG176;_tUecmcn7LLZ#TRHh-Ws+LVm3QBqsl``BmG$it4DZz!!R z8$`Ss%f*?JHB7DfVI20?h_wBhxyw8WnaslLF_fPLWc6h@w1qS zX3~~U@i{_%-?ASrwOPWaQhkb<=?H4^i&^F~CGD=!g51C5*VFFz2bv-B7~b^jV?tO1 z%$oBJnI{$N;rTK5Y88bGLYM^5>)x?#gg_kbPH6$oxNo=ZQfYjc@ z|C2^pX!}b{ifGm+i}$}S(yYn~8CHI!pL`8mI*MhYqo;|_4rj>!IFCqtaI9oewsvCr zL_(w^ri7@R{fQRoaRVboF9cYGz^biJN@=I3qw^zY=SH&pD+67P7v} zPhYF=;vC5l^iZVg%X@E2(G2%{kTz5d*UL{n-8Fy~#GY0>t4Dp0_8F6i5)Ty_4OO zYpUyw4t;W8KtqRa<6E%Hc8OpRT^QA1PEANR4A5ph>sw+rEnjy&C>U(?pE4l)`X2mz zwTVcgfxWdz8vONbgZ z+sLKv7Lp;Kq8cK?>FQs^FN*Wm<@4ti9TJXpX?aW01L3b zqpngAymEdkN>l9#^exHAvVRCyr30nd_m9MP9~5aNkB?Xr6RUT@F0biYhJV4m`6P{n z^B+AB^EOkYl5z5#7ZXhmrV6S*}o$ z17A@XhM!~+zZ7*~X(C%%tUX?`X>U8H$idETTJt(aEB^Uaa&s?oZCdS>iWjyxL|%E349(sa%`5&ra&{k1N6DzI{*euQ>hULLduGjH*}R)z zx>f$}wF{Eb6W+nT!_KTiFi$&m{w@bc)o-m&ya;HT?dO4d{!;}V>dt-)Rw3ZymH)-} z<5r`wy(2weKbO!`#~7>fV z-L8w~L1uN4`mYJ?17fLLACaP^?!A)`{ zMJ(d=WOvUZOX|MCo)bsd-n^uhOprhD!MZZ5b91qnsYHt|21xnrXI4Gg~tMf*qD;FygY%(2Urjg8$7Pu#PgOG*bf<@vhLdX85} zx3Qm9l(AhJ$*n)o*4ttnx8ViAb!@ZF-tW+d^KiI-_OR^!>TGy}tccJmPo@=6kM=0P zv5;am6U5e^dR4uj-J9~@)z6m$k})PJ6nQ93DreNABf|XeE|^Icf9B;1r&}>PQs`?R z#5^>RZlF6CR%ick$~IGOH@jS6IMroE1m)R}HphHjFz9mw*IY4Sshsob;gjqFfkU7} z?k(B%jb9Npop_l3Qg@e`nQzizBU)9Iw%b)Xw4Ai`v`8p+|8o9C!@NXEBEmtWYzgWa zhg&S9I+2*p^R6?^hYzt|-*-uu0!@I7<$T|uMSm^|iuZKhK{3~{vl+FoX>`NTBOxj| zLVzOj7rZgyUw@JuPG;soNIt@(*5~xuo1;b2;To;CmqW_I*+iEYQCKU)$fZBfh(xTL z{)v^=%=qDx3c3N2)Zu*}ddcxHU=JI$>laE{^W;CkRQ?53`R{+nVH5gFIkfxvKw}rc z?s~So({d_wyPi##dP$G3DVpWd;@^8%v#A^*%;w8)EPNG(O~mwv6>e~ z)aC)(HcBnLO0pr>>LagbaAwU#vM5%^R%PEmnJ2<5RK~m^tKW*Q(pTlFxXeD%PCCSV ztxS!o2K^54(x&gpYk%(5SHHT!1fLj)Klkf zID|qDYeI23W{~-L^V6uzyIzYB%eotznr{A1#3GCj5%@56dVl!B+|0Coqw*bMAyHqi zJssC3KTmtGqusDY~@TT|Rq#*Hm(%k{`xw-lxfV2a&{?3M`3u>qij zZ28X;veWB@KNRf{QUDcXZ*S>&G6*mXuw~c*-85SF6}jDb-FyvmtEwxuEMi1DQpfLj zBSkjL9LfvLO-US2%V#S^n6Xn@swM0x2b<+=hn&+v(9!nW73nhyIi_TNi}N&Tfxz4N zQ_+s~N7Vl5Qu!%4r&Pn^h>b*(hjcHI5Y@V7SvDd#w&M-zvo`Dmxi;Nu+~jTjZfSLV<1NV>#e8vdYN#6=fEmo| zWnlw|*n1{Z%{k0$mAlnFoO^n6<9wJ;Q44H$ zK1kSRJG&}fCD0|ZR>9V<{m*)ytayvvH;)@6ZdmdcyE$-Tdz6}tO&C$py*vuL`RoL) z($JM`d+3@tFrQ&-N@!&r)flZP5*6do_I!idU@=dUCRQ9N3BrUp-#|VHgx}}evb!2S zJ}7HPs6JQ&3zzNdz5JYPhwxfM;5L2w!jxQP1<=EQqFeQ6`niGVa(#tdDd@fYy;iHm zKz}Ayi_;JhMfGB>AG*`VrxKP?QrA-C<)hf1O{Da*f!m_Pc15g#2FHJPr>V2w&-A`u z*=n-52mMj2Nnv9*CvcV4WJE>W6V z76Ra}PbkMCC1@6waU_FF4gvPMBKNwAQjtAt0!Ra64?Pg;$|Qv~nf`k)U3;%~ka0~m z-Tp8McMX|UQ$g!(5XcFmESY|{U*93eR5()aO{3PGrltV5@(0=vM+KKd$;p9Fkfni< z_@R-x|75Q+*8p9Q4gj>#=q-LcOaS9G(GRYGs(w2f(q62@k1KMV*H6NWvl8NS@L~jT zv1qT>XjzR?;OS-?34$U>BE-v#;4$b8O)W87(`d#FZBoJx;!onWhd1gxyP22k-sTB;-m|+wY^3hnOWC{IlCj|E3L2YOmdGWvlU<4~FmV zk%=h7YRu;5T0QGJ-$u9#fY1Y8esRlB`{@EeQ(CHMVOlfUq2j65F&e0KmlxBU%E${+ zFq@Q@KzrjFk;ER|Q;wwr9*m}Uux9zd$N-;KuI(`%@=?z!S$dA9P}4Db;Pk_Zeb%2T z!7z=A+zvr;To!Z%V)Ld|r1=cj0+Hp2Y_T5Al%FnsDp=Y26%SrKEC1M-<;1a;e2dR% z@NB0K89tfS93Av|;P8jgji2pB<0LKA9ldYHT2d_&c*O-A`|aidL7;dTMDC4N`t&AH{X7 zehGgut9N1g>@%VrOrHy+&xpcn@J{e`o+~eFTD0uhgSUiBJgG5fXWh;T3JWWr81$KF zhkVH3q!+%W#@$596*hu+eR!IasY*z5KeB(@Q zn`%-pCT|zx7gQS9>exRCJ|0ovL)z8Hh+$T>G1r3TyBK#b3{NF_fi7BXv=4sBnW?LG* zQ`BXEy8ZwSrSe{qQ_;JQW^_qk2XZ%h;*ux5VkZEiAJNc~zuoQa$I3V-gJII$pTJM< zbzK?7Xz_ozd+WF=^LF1K6+}`%x`jnZcZYOIHw#edS|D8_iqZ`N(n!}rYSE!|cP~ni zE+qv7f44L9JbOl#&z|S}&faIA^XJU)dJT2oe81QA`MlrZC@V<=>-ExplCL74y5>Xo z{2N9IUMM$Y*-GjyQyDjh1HY!KSHDA}K(#yC%lGW-!xi<}1(x`hnfYtT!qss<6oowT zS6(ydXHhf%e2A0_fgHNQ)@2=O$;fSyZamLNeA>>bFq39E}(lE2+}r1f_p(#&r7F)~a@3J!|G!Ts8tX-d1R+0xcXRA!d4DkEeP=<*FVJ zjq6{EMP*moh74xG@MLE9;AP1*%fUfotCz|FWWD?IU})JjiwNy6=8 zTb5pQ0;f;dDQ51)>#p}qYm)Q{%z=u`M3#%g=WI=zmHMx5eZ>1r&8nFeuh}hQ=8d8I zw8A$|x*s14n1#CqQ%th8Jy$@jHt4TSo6x$Bp$b|9o%&bo^c#>C+nL6D^Te-mT<nd$C;gZtCu>;oI{!!CIAM8MgjQ`$l=Qq}$#`5PZEY#b#A z-kLO$TafyYD7mM@D4(m-G@|bLsR#H6(^5U$x?d8S@a6rCXLNYXY|(R+iZHJ9$9hK= zf9tA90VK8Q{QYCAWhF~E#;WKS zW6`gDt`)5Q^^HSdyy{SCq{KJZWE$V$9F~N`Cj$;RO^^&wt${(xZahPuw)WGJP2tbRkto=G>!P(*K1h!a6c=y0d%l+zkBcG;G{@EBFCTLxa zZLfB4>%m_{fN_3jM4)JU9zi;e`!S%Nw^?ELI+(E7w->A(Dm^`?o;Cw#jh*_LWNWV| z3D$;*B@eT^Rl7_Q6bBC?yv@_(yzhVEpNs6Hbr>4Oi07rN(N^V~nCE3+2B9N4wCT7S zT|JS--Fh%Qni&i!*`o_L|Kt13W#gS3S?S>+9*Zw+v>23NC4P5}Kz85=O7bDxEJOwl zf(-jBIQ#z4rOr=wNA?|Ho3X}*X;2(8#K_bPeR}=jx_m5CKm&3 zcmA0*Ss);u?Q)Xjb$7+~cceB{sD#6f*;zScQ+8Su!?UERc&+-+V-+yy51C&+DWhM? zqZ0zuKzmG~{_>zDUoIKLx|_^{>g%?_I!QSiZWFA>>+eP{$w%Xi?k3dv#AWt`7O+&~ zQb39QpEC$h^TW7RM@jcdc0qBEU@x5ZO9%U>@9!!r6fMBo`#vXo>$N;s5OG8?^^;?0 z)VqrH)~WHe-X2XJKYJE@12zZDjvZRJZiQ;p#LSb-V3@2|(66As-}%FxYk$jGcI0(^^{Yo16xpFxu|l5vuO*~9MTy1 z@aKK6``JX6l0&vf#Gt}URhT1rH1i;hg{;Mg+1vJ&@1{zO*Mu+9LZMCRbUm`c!qS$G z&pG4=xOcX+cYm_k>9itTk=jK3ybNW0pbYrzez7aO+G5M4FXjqi{!40bXo}7`Jrf4q zv7_8w0acEZ^3s}g5P6;LEyZ6>v@lB^A17sNm_07JzI}m|xm*^lA%UF0kNW{{H%1mc zOQ4&V!se^nVrwy+F@oU$q}`ig(r`o>Q1MPsG9{0|E%sJXWnv--g8z;zjEhr4wB2CL z&bMMUf}8&03rk`LXLO2oxbZ~^8^d$BL561SC1`>7IzC7aF|L%`Klw;uZ)Nfbj}(pT&%4s%O@DsDsL@FMBFScY}gFhx=aSE-d(Y`7oyk+;H}~%Z`uPC&5+K{;*(2 zTMl;ztOIK}ISEXT1nua?NV>NN`%_}jId229%{9gM z$y%4&2ayK46!nQWdvLQ^_uw?#ByK**U!Ql*1Chf5T?vHS{~{0jTb5%BgZ^|{ehSwG zxCt+6ZdS#IY{v+DSP^xPftWQDU3Dw%Dic5 zWewcv$O`v8cwDjlh5nlhZ~wcCbvOM3awuTM6V-f~tMeLU_WQ3m08d^1hbF+N{})!j4lfl-bx!55vPKXoYb3{3ZpnI1@N^$ zJ9G-w?+5>d**P)x?Cy(C)isTygiHHa^_Kb}cdBzgzKqr5QGcYI+1?Hx%(c6cJhrwa|I=K~3QLYY z#|OV$iDIWmfu6sv=Y5rs*;*3A*t=a5PE~Jb7R#7Nwn_DK7lLY}5-NdEVeRCA1x1XNA^sCHj?YE$nIIEu&;GO;??`f#$EW z4pI!#rZrD?(&MV!fVaMu9zgly)t!2KPvrIh??t!FW$l9_GH^44!}J7ag_}F%q?4pj z^8;OFKW1;0%qADMI8#J<1Z9$fTeHr|aCmn-oBa$x^lUc@+fPIqaEo!)fw3yW)#eyW z^-2r_Jf*Y!ygz#DK=3cZ*onT?u|7P!eB9S>>!Z>#ml@~s_Bg0iDl`D8bT9I<(PCER zgr94Wp1*4aPQj&OBNRVRm$W1>FB7b{Snq-_8FrU}uDQtfjUfM9f`@ez>?I%dC?Tbc z??X6n#qUlOs*<*gL>K$X=uitCI(S9EaD5+)!BspZf*Gn)`IIp}6Z-(A@U%bTk*R^x zCI=0F#3MucLKxD_LO2tgpL5Sg4|USg`mBJcpul#rEGy5Z%)mg^($#_unrrTq_E@qQ zNb9q}1h!q#c1>W{1!+Ad?%qAUf$2({qOA!bE+wL}Qsrn`VE9_LrM+Hi(&wMjsW=);ZQkz_}ongHxR|R;1b^N*TS`bYmfXU`TNTz4RI+M&>MpAAZBqdR4#!q_fCCEV%C}lZ zcMR!^myOqr9?+jEJ4=FHIgZQtoyAy<62k)Kx#H0$fe=Jby$kz=4&afA-(G+wMU!rJRalX|&Y{0(bw3no zcSykOMW{O83S?5(qi34N^H{YSDdZ7wB?itwNGj**9;It}E8Qsy}Y zz15PxkMszAG+cY<@#SE3ManBm6ZzU$_h*ewalmN}qkn)E*}1G&9t5Ilg$a>u$r}jX zOcVL^0h3;!YcE7IB%#Xt-(I+}{2m;(e4&v}tX_@!q6a+7EQjEw@sR ziAPqV>XnLUj4EK?P$Zn}lXy_|ZF-|}wfO4jkdR_nL}#K@A%B)Br%h)H_nx3;&ElP{ z5H>ck(T|Il57cqp{b-Fcz6GXeGlTcad)a7o0@MS8Baz+K;1lUrZffd}n*8B`)uVec zt=mt!Nq6vsON@e%Vt~W^U|G8ZTM_oSBfh6(E67RQAP9l=o|lBCF5VMgksifdXOTm^ z=Xo8~O5QixOZ)Z%vGHm*!H$8%!=l`)m*y;IpC4C7jED5%+ z;dqnca8~{sfW%*c6aOdtd$jQEUbAX*U#9_6tmu=nv^d_lNci3Q*uEcj={{58+74!G z7@sPp1p72g_ql>H6p6Xz2$&yBWEw8oOwtppo(Vdz;obE(|o8dR-?SI#^!mk48sdb)Von5^lTA z7eeSZ^q{e6_tIqL#NG^n=UmI{s_xyv$xbt~8ew?3lHDG{!fscbtW+K|FHggDhiDJ` zV>i|$Pl=fE8iS0hTM`AVDW@o5-%JTFldje$Ux^Zf>hb9qX#-)o)v7zNwkqpE0+SG7 z2aZc@-Ud)4J=@MMx^m9W*m1emX)5XFw1cRLw1e3DD)PHFx#6r~b=mvIIpsvM9K|8h z%y&huqkcZhT&k9$44IjvZIBM#AtZeA7GUG%|6t>WG<(v;M;3Nw`&z(N(UJADqq%A0 zkz&ZIjX44M&Psks=D!KB26B|>AzO$InpqB}$m~nRn6?blS&zwt{B&=JMM{MLSR_#y zyIVkE@Rj)Be(b>I5ZWq)tm@RW!-spO+7-1D1~JS{e4I=a{21%jCw{{-fJ-7!$n32# zoF#O0)Yx!bH7wnuZTW^_p5*7UZbrxzPT{73<@yt9j%T80NNCtUGOK);A?T z0kZgW9ajRCSLCnLRryDImR8*mU)l_U{wfM`HE7DQH1)RFw+?o6rYL?8Q(258u*^KP zR7=WeWj!@oHL(_Oyy-d2hPOxKk-l3q;EU;&|E{E%AzWuv<(&Cux2do~^hUor0515i z3xefmc0KJI`I~t70(BXGH|yEW??_hTEPGGGn=SPwExzqe??rF}p;;?e+um0DcLdXp zESR$drYV+Z6UzAdDAQ2yBDiuct3S}=dVA-0uW!w+C{sz1&qZFrpy$fzGiy|f?d9h} zmjo&yELc^B;||}EDvt!;i8QnKb#~XgaR9O+OZ(upZwPa+7%w>CI5^N z%An}wnD55&AI~g&UBjrCmSqhxJ;-d~Wc|iuKtT3_-fiX$`C_^X6AT}vUlnK0z}ObA z*PIk2fU(bZ14ob=kTR*gsQ1U$Uf4dk2y$iTkE}eUG+sD%x8%8|7;BVf?>-P`>ljDwM)fx^Xxs~qcQ?s{G-*zn(jR4%-f23irj3uox147E&Z6;>Ij%^-< z<3n+%eU`9=v}WQ{FA_mdx`jkP81|BWN9v`Wx-+{J>L0?RuEPRZs%wZqD*Av%G|Ux$iWk57+^OA;fX$S%Fi^anWbhemcYl2sCGCvC=gV`-#bcp4}O*l4n6Duiv!642HKOLy|;P zEh~VCAJ=$wS(=-~h z-ASE09Yxl8K5;M2%2Knt4p&oC+g%}8V1xn!Z60eo^KpilBi5)oPJc6vyZUI0IO2g& zt)?+CIK=#RT*dbA?~nvhfn2>=Fu1D&K>O3O@g<_;_(FTJ{G;vq<6s8+O_r#A z-px|!qa#Xt&Nt(sM$-=pP9!fyj+(3c64KK+o|&$qgrUR_I5x zW#*`3g-?MuX5woCZk3>9%PietTNEVjIwLLc{q*pwEAQRkRYaJ8ifHpk8Llwu@8xYr zD=@O2;VZDPHkWNz!pqBUi`|RjIsc9n>gP$NI4}bF2=-CcsIAh+$}lPw5O%M4Waf2S z5mE)s1B-C8MTm_O-g0#MpoF~wSlY>qZ1f!LSCc?#!hNTo({7lpMM~aQ)OqXzMr@9# zv`8A6)EYfp&Y2c%_#(B+8M@Z{S>C3#X*?^f+saAeZ=O%Hj!uuHe;w|qpx@=%mv zXilbe^)u>lQw5EA2DKQ>fNP(*we68f^8#0!2&2G;aK-!%l&KQv&L)<`&6?;<((tw_ z6TNrUsG)t#&%xpz=n?0-3fnpz1N{(Isnf8xy%p63rZTBO{}lSmqK>u^6Zj$SJQyzH zz@jtFGWoP4c&d6$`5{ir4p#eoB0>8$({&XyYH}Brtb)Rcy4f-#qh4pc*_=fh7ZY7~ z!NrKz`Vs5Hh#Qi*h`T#ko<(4#9KfDEqeo`d@R5_=TY-ngCW^Qqu z*K@}tcKd^(L^bZN+xz7qFRhbmzmpGssuke(vKjcrXfV~?L4l)UD7Pj=aOEZ_ZQf;? zhyDHIBfxO&{RaiijmkW9;2#t)#;T080Ava8t*Qq4^PhRJ5>%h97 z*MT-Z*q;Yx=2m7+oQ_vMNh${PVsi=UxbD6K&S|EW7FF@^Z=Gr@)9~4}`7zrkBb{=; z>?&La)dtgW4&gxnd>PvUy-GK;FYrw!qy zt5iBv@{Ql+CcgF^Y245G>#zk@=FSOFZyg(p|3MY4+y6lo{q4p$Y7IGz#GT&Yus$xK zjiK}W^q{M6O8CXjMD2&Ga6QVkkS!0Q6yDd&hx5HTuC#zEWP(nuNa($jT z){3ggTUDp}pbOjNc)29qcv+U+_BUyt#?O897XgJjOcJxQpO#wmO-f`}v}~C<3bd!! zl1s_@2}b+Np2*$OZ8=3-C*yqhuYPFQWoC75fNlQO>+sLnN1f_MH-F(^D)Hh?*B@6o zHPyFt>f7hgrszJqrhsh}I`g+kiK>VK#Q%Z@n3|6$Snv%>7aDtT!$~{cbo^@NO&L~2xt{D@Ri27_|;jP`!spV+#9q#os7gKEz z=*;mPyrVyoQfoI_KJKn`G&>p3FaN&CV&HC0Xfv$CoXhT9{L8g1-My#Db11ymY%%ZT zbjGw0%oL-4BKI22iDUc5%d%r@OI|k1bTfoHk65(bnngM(RB`?&ZDG6-r3ZG%u?`i* znZ78yoLsT&6;n-3XZM9n?M$Q>=Zt`ied4lzn5xQ=-hGW=)(wI=m^-BIoVXtlvDZ7( zhYVfO(q$nK(s{?4q}sd-IC2j*11o=cE%btBmv++LSrefe%*KZANvfP`L$YRdmEO)r zlkBqC7imaT6LIJGS+k!=^ofhE7h~U|MJ83;U@?P@Kh^Yhnb`dNI$kS6;sdo~G(e*O zcAzrH%#0lHYKdF2XO<(W?I!*N@L%d^Xa*>l;BEX-4e|SuZ~cpU+-2&&y5o+SByh~y z0afFHe;f|}jKcUR9n%6or|ea(XT~{LO1z=k{I!N5`Y>~8Wo(VbyP(Cd1C0zoZjO}xoveY);OiW2u7wi+$Nfs8Y^RX)0jOzx#$a`-k=qO z#a@vU<~@N04+gkCPj2c^C95h90aNY1OzNiglOU#rxf4ZZw=UJJq=B;=)G6mtO0qNOKsW&2Yz{2_C?BQd6q+s@-xDC<=8>|dqAE;T$CX2?YPv)mTg z1qO4yb&_rRsb1}@o>PQU!MGr{uD_$8WZDv(6RWFZHdlo`bX{UNv`IP?a(l_7xZ9So z!?Xiyppmy1IKGf$9eX=0^kqacJ{G*B+Px$ogyqBf$J=XRm0>J92c5Tc1sDqQd?b4& zxvWZZ_mxWFMZ&fJJSje$l1M%B8n8Y9)R{g}sNjIPgHq$#mGkjM;+?jBKH zc(MHk{8p7vQbK7Cu*PE$z%iol_Pw^SWx$ne#BN+QY&yC_cmInVPlZh5Y!#P;sL*f4 z_&98}m0!S+SSgF{JWyhD?&e9WKE~Xm{&fm+{9DLauWFCV2?6 z*P;X(Q>%!mR01kJVqM7x=O+PU{oYktVb^GHkVu)#ix|$Szz|aiQuMh zJUy5<*KPZx-YjhKjLLCGU6~=3ySMC)Hz7dkuH8n>v9kpYW?5A`Idivz9{5P|5gqch zz73YKnwg(&%{DUVH7TF%k%({}7au$--b4oeMsofg6v==0$B^oHrEpB~#?8H{ec5-Q zd*Y7{%-l2|jcF|G^b(Y6)rNHxlK&{vo=x}=1deaa)jKr3>)zRyapqe58@G1LVHK@= zJ#4J@dk4GZeYIHQNk)qMdT4ij>xq(DEr$yc0-Xxo{)PNk2**joM`S^jIgq=w=c)`- zGyJMIS_A4%*cSWe`?bwLUcRrjDt?-7!b(fDshe{pF!qeYN9nCIetVJZbl_=h@ik4v zzJnjrGDjmb1nF0pVs%(uWyq>-+6PPjgDP>}1WVDNLf4bBqKo748z8)iKofMW8i{;PLLg*T4ub2U0A; z@8#bYjYhdoLTg9yR_SE#6i7m-F(-Nbg*!a`J9ju{R0k|v6ibmwCj6pG$-+d!c!A$A z(x5*x6lFT^7s%6`FPLmYvql@yhQ=)!pjF9hosvC5Kj@Hm54aUa^HsN*nn+4*-A32gKmIIaGf_*m?gg>Jt!;Jk9O5gTBU_$;&33ZA>Z8SJj}X3 zo;f>DU6%I6;i24Zm$y#_pD3=}N7{LR2$Z1fW`}I}`JFowek(!OVBc2X(Z7OrCzR;x zCqoQgMr{(p0458Gj z`S8`7NAoY*iGaWU-%Brr+7OL=K-iGJ4*ILzHRrWE5kH}BT|_jlRm+{H_L3#Te#H4A zDZ001=u3FE?Xb+in`dD~c!SpNw5QQx_gz`!*UC=v-@&Zb%E4s9GL^7}Rjxp`fjKU~ z48xS=;6OLt=k~c|1N5knJ1q9kDsz=Cwti-WxK#XJWqrHdk_T=PBbt$o{2m$bxN8?lY+c;_=!%JI6K z4S+fZo*9*Mod|EbvWiNulF_9pBjD>BrHkCpM+6a$v(jJpiu)$!+E~ORy(r9~rdlOG z_)#{9ZQ8hJ_?`W7wVX7Yrze?B{-%PsVl8xDLSzVTfCpiv-On=pW?96)WGiN6R-O1U z5nVpe_TDCz-2Hb$bCpR0ldVM7LU08~C%G6@E;ej5@i}rcuhr7RpZx(EQycDH5#N!@ zJKj~0Rm&Gm=FQWNga;Pg8#d5Q!p_QT8JW9ZmKk+>Pc1tAk+V7(qij@lvt3Z1`Sh{F z(hVx_Zy{{VTyeiwN(+m(2_#rlkSO|*=m@+uMw=@@5hM(9HOz^o4^Pd!uY0FxHkVHy zzQ~tg>dA*&hGFs;epbyFt1J=b7W*GELcdY`t4llYaeS$VA4EtnOIrUHz)CB;$;D;s z@GAfTtFt5P+*tJ;z73E`pHIIen+|<*86O@ES+f2K=IXrBqK~aXPe-c}4I_jN!f@lJ z{EHa&BFngMTWLin2JJ_)%s2Y0P>6A5uQPlS8W#@cv9hYK9K@Hgz6^CtjOV6STXY^* zHPwbe6-w;wf0J`sIHWON2jracTly2#x2@f=`aBly1R5T82^P&DdaLn3^B*b^Ss+qn zY8eR2IAqzlhub=cMDyH5-l#!pTP;G!U$s1bZEfjmOOPKSoF}VBp3ZWQf&6GoCh%GA zE(~G?Ir7M?tPb7gl3Ec_3b=a~Ai6nL=TnvFN)Z(*>!HS&T9T{I9m6Hx-E*{5tK|J1 zsbV9c>Y4E#%+ptF8#1G?u^?E~51pCoBpIu$tgVqjTVv@bc_d_iqu?aRLd?v-ucKwc z$KpswxZWEt6PdM^xV9xWB16mlPeu%B;fx^qA7XA^N`JcEIiq%KlLWp4hCPUZaxO{&Ki#hS0J)j`**) z8^O_k%~*Wcf=GsGXp|b3(tBLwy-^n4Y}QHP^@-{rX|P~t&%XG?Cu!A+-_$)0Xje|+ zPcsfR!%9Pj8XI#9@Dqt`pVy1uXQqLw7CfA7Gf6vM)f=QUi+l4-dfWhq+q9LR9?NYU zS*^~H5_S9osYWyW}u}8w9>CqBfh=`w9*msTUwRp&83@!Ct&_lE>w7mB}Ik$ zZ2xg+K`y+y&*|%cV{8&@{$5T*-h)aH|J25#rm$ePg}FXX;Q~=7Au5$PRm2KwY2I^d zi|X3?QRK-dDA%=9G9Zm;#JnkIVS#!O1$>qOUlDdS?Cqu8z9J}#0YcrigYkkiuMb~` zkJyMut{=X;2hddyApTfyQoDl?jnC%lTWJ&T-XyzFQEXfB%-s&i$>u#a92<4gub z$}(sC+<*k~8p#pRy+7M*@fe5j?3I_=#dB9CQl<=BKB+4!LKro%CFD8xxtyCgzO=m) zFHEcQ^_YMTfpuLYH!7KRVJaC77puP*5P&$aeHMd3%}pPdMC0=%@WsA(3A*1+G+xnj z={l%=4mCO3C3vw4zaDlg>)M@t3M+TyVQBXHP^VZPXwUkIonN76%o3r62%gVPA+O;g zDVsC#v^AxOW5N5{<G_bFvj0d>B6}o34s`({h&fO`0iI_EG%t6hT98s zEFKZDCJT(k>-bdIX~-i0neX$eew@6=hkJvc*WTtIA^P&QI^J@O`&K@CC_Zpd=U6ZJ zt#BYGe`*2Mqw<4;Bkdj#LVqFpx#oR8;h647>)#sJW?87+UK*Oj%-KSKRpcvZynMe>ocqRiFA%v<&_zQ%K& z@@W(#W%{Ymvc)oi<yO7(fw;UDXXljeeFTC2sfCJGm>=uTL6iFAhM z5Kd*b5^xH4auk?tk9Gn0f@pKWfkuQ=AHw2VSf7#B#I}ZOg@O@+A@_CN9N%anbpHE;SN}J zntT$DpUW(giL-&hIP7ip_)^HoJbP*P?blz}hyyo?Pm0-h7)J&!Cz#dA-BrRe1jY0; zR0OvsvZ|ZU#S*FR)gp$cVJ^Z^iHx0 zB!b2bI>rgMklSJU)UHXlFk_^p?6LgmJoh5RnTmfw0Lyut?`ygF#kif40L0LXDt>RV z4wxf4A%+8l$?FfWy4w#@yzb*ZGxR%4$^JS@fDd_s3H(FyDH9}!&k#E}nqUw$XN zRg`H0>JIVF7dehEvPDC>HA%cN84__dPO}hX4`t^KHZKpyBS$$Ux)wwpb?*o}!07i} z|9@hU{?9Da|9}5`y{F4LglkjyE@9Z`40U0n2bq>2v*_5kt#&7`6ddrTJFY=j`Tdfo zRXVfi$7_v>?)N&{6@S){Dwq9e;^o%MFV{!kRfyqurkzaIIZ1$pWYhVvUk7kKLPpI( zC@@rsyF{@ubnJ#28wN3-qe^N&r#?6$7`3UIc{*{XWVCr3LR}h!40fiM6Ir93&$o(_ z@TiMOF9XHPE5W}OLJ-APEY6IFz!+B2&tiJ>CwWDWB64iaNny=K4IGbI*? z8ZwyE{eeV4)E4?{c<4jZ=*;TvjL{ttDIW*t6-ZcD{OU5j=*QCfFK`0Fe{5#{BetPg z1C}C;(@yovrwe|6qqbzmNDTgBw&L|g-^&PEDaL8`V#11= zO92M$H@=E91QN!>BmaJ^16`5;a?r*oWm(DlyG8=E#1h+{HD*hXysqcgY~acO}C z4_nv3GZoS*H!4t&&&|Ss(B5C}{*bR(zQ=uT@{Y)yvQh8s2*@uj@fG8mS8Gl2R*mgMx}%dbIZY#bE<5SA&pW7gtEBFIlOrfF z=XAJjn;>66UM?cv!aGBtME)H0zL%C8sP`j6d((czF<>bi1J?vUix;e%F^yGEQdVyQsM;zNyGCHroZsvvr~5j&jy2DYnHMCGuyM zyeU1}A*FX;d_c`FNjsaM^)Yp2dy7(+jf?NrRTrFMz zrI{`5khr4)ws2H1pbnl%;oHkeG~C#5i$Oxk4h_`Y%&IxcPV=aQV~O{aRYvCqdRGoR zfYvD1S+(nQWC;igaulrTvv?A$uM_ETGW*f!igJnGb9MPGo(~H~qoeyHo{!>u4y?cO zOF}l4l-bplxwi=qr0WUwDV%oYk)ym_Gcg!h9FAN$It5@sl_uJ=LSdGld2n|s8zbCc ztd%dGRLSj-JsXLs;f{ui6GrHM)`gJ>vz!mtBK(Ooy)4Q5%xWrgGbe@luwAGGA_703*WIsk~>!5mHFX#nXR2pGzV4t z+Q?Z20%t^!RMjo=OBbBkSoI?=x)6Cfs~nAzK+L?dTwRI04I1WfiIQ)@ph|S4xGy9Y z>k6U;b%?&%8H(kNVa~Q372xvbTFWUi(JyJQ!3Kk#90Bw6F;W944t&|&AM@$XSrf&r z?!hupJdA6OP715aV>4||ZWYD|%jP|LuMPTiZHg3Sht4t&OmgDoIv21|*`mfjXXkf& zNuUr`X7M16WGs3>=N^s6!E`Y7^5>%cUI-+AYARK1_+yelby?(Eud8tWZJUX3BVgd) zGFsezap=~e$|7J|PttKsR2-d+rH#-nfzo2Hl9_MT)SiqgoV5!gu9ZsdlzWeYpne(T z%T)5$-&mB*8S8Z};D-TFRkwcCtVq7coH7^QpsO4Gfwu*omEsx3b!{iTBNQ%pcwWnD zPh=OJLM62;S_m=ecG&@NoM`vRJ}PWQWR`4Q+0q%Zn5Y}@RaZUPAkrzHDz4^=GEF&B zJ-DzH`XMFw6B_To&MkWL7retQN?F~w={qJd@@meNUOI7zH?mo-_#Crb5>FU{O!1JJ z(?qf8Oj6IH#`pf@;>jOd9dIoJkG$-%#(Z2Z_=tPt1#|Szk|S;4(Y@joxK9RLhY=7S z3vm}%O9~UJ)QNTYF{2aa!d#J02E|h~f2@_>7TW~sG~T%-jZ1?ZK__?%by0W~`in_e znN?Ec-QF7x8b;z3(U~>>3wsT1GA=7B{p&Rdb$?S2q-40v!1bDXpt{>zxpb^ zxt(eLPDsS4HXrG$3OG2!PfdMiHeMs}tHRdT30Hu}+E3OyCuW6iupv3!ja-|WPWhg+ z2H|AKUk2FDW%81F@-i3I_Y&0TPbc>tpl|;`t(_n=u9y!4DSXH;zO({88>;EE2Hi3_ ze+9Jt4}(1(%;|62C4c%0%LL&Ynb`MbTKxhI#VH7K-vwRB-m?;}kFh0GGng%|at2i; zAT{WT)Kx4GmWiAtR-dSvf6G_&D{l2xQ;k!+}M5~e>IV`Jst+c(VJHWfH7z`DBi zne!kd&6@jUs0*aaQqxHz(<)b@Gg=OONl3p zH<_7IT8%Q};^qS?8`^OQmt}wK6Ddrjg+lw%>=K4wTg-)Lr>qfQFd-1cMs%vyjpbTF zXz_#!oG?({Q>Z9V!gyE1eIDORS_Nq_*z0K7KYO0UgQet%@UlHB7eseCR$u4sF;7+& z44q0X7IxkgwWSPUd6}4Swd$d2S|oKdWr8T4W^yV*J`Lc zH_j5B?@zOX($B7u2zJ;s0uKS34G=q=NlH0s(v4e|PcD`@=$#hC@T=d(mUQgsgDq0H%+*s)&@+cYpRoTYhn5N{Dy zO2L0^?0mw^@uKh$#8|W{-V^o}$ud`20#TL1prx^&3a|SyeeO%u5XNB|?I@`pqBQ~0 zbIg-=Q|&7+_C}0H-u}SrS*B6)WamJRA)&%diIU$^fWq32_hy+VYJ5y9(@qS-dg~c! zo(%Fno3XKfZ*Qnz6e}!n8ZO9U!4A}U=vMY-GAcuR;m01|8g>VEozra!WRNoB8bS%3 z;Vs+t5SbR-@cN$U-CITcUay_?pmDJL>gNi;?=_o}DTT77`h5ZV-G2WGzzJ-dDkiwQ zgv8R`g-U_J?yQ|T4$^!&l9xy~Ltrt$p)g?MkB_DqvJNJy8NmunnD7<;tth3f$e58G zGZiyWn6@Mkbn0p3ex`PtfsrGVeK zji5NGflCH`K@Zv&g;YQtmG#I4mBN-j1ckA{-UiEFbqAv;{skp-8r_RXWFH@&&Bm4X zlrF-V*6p2?cy1yf-(N6%KTaUe#cIYzUB+a>UB_>gio5R*p_p>jSp0-{cvTO?lFWGS z%`!#pR`iw@V}@vo>j4kj5h%XTz+fiS)(1Z2z%nooAYhr;cvZ#KVfFiNrx?WWdf}?` z<**4K{OfrH7w+`+HRsyfCuDA?<=i{KAU;KlM_oceUvF+i<~1pO7Vja4U>qMf=6x5{ zv{yvN+DvJFW)%EipmKTC#ye6&%Zkqi3f+52dxhgIqy6%CRfo{i!3}<%Z>uUnGX`=i zvYlTCbsX9zjDUxQA3|RN``kXGQZ-^|82#x*U+7Ce)e|`q%31)+oB4jO<-cjyc%umM zG>$7jcoY4{142ze0TzG6^L;nxerAcb8jqmiTiwB_x z+a0qqPFmV`$S%BmY$sL|hy(J!PzZH5j6-uqfbH523s$A^lQ*%PPAZ)1jAi3*U#i$* z^y0cYta=uTw1ffu9*Q!>8SJx!N{nJGoAnPs@LHC21^;4Uo*Z&`obT|%eR5l_fHj-& z1kL%Azzesc>-8@>p;|AsBH-r|CNK<&Sy^6*yBAXfUeW#1yqH$uxu-_<$d?v-$8O8S z7tSg(A!mA3(O#UKaQ_6#HQ~A+nG75O6Yd;9UkM7{VARrf2GMYm|58N zu+|v?)C!6PWcL2*D+2gct`RxTc|74ZJuw0u`_IcS&^FZmf}+pL-z|1?+BlvuA9Isr zCHZ(i)2%L1dO*ILmVtRPqz_hJ0W>f5Miy}&Q1W>z$P%wSdqmLKiMpW;MjU|GpO_4{ zETCJdd&Sw^s40kKnYYre_#k)K=VQod%a{7LfYoCY^+XBtaF4~~Zs_T+=4{TS9r>=d z!yK<9@TldNG^3tByU}Fxi^J!71@f>{&rHwExq(Mkb$!ST&1Vt-+8E~JH4eP>X}%-1 z78GE}v+m-pbv?emqpR`bN3T&i7C+2B%z6o~>3f9w9Z3gmaQVSi>jDtN(3?m6FkGJi zXh+C7xEKR@Hf-Zm9P7g96^Q>7=PPhs6c0KO`%CRgV}c#>7@%l3+{>KX#P>NC02rCu zrK=ahOT5-NFW5-wX_m5{{ijDG59ohqdnY48vM{a_>6%vFFk#O=cZiL}-GBP+^qJ+4 zpmz2@d)6I5Qacl={2BG)xIEi-Rzs44%St@zdFg2%pAXr)HBE#nfH7ArR#PLGMP!TH zflowxu5xk~okkX#YG6cZ_@hg1P5Y*`~6-$o4#Hj|#E zxYRRLr!hY5;Cy=e3=K;Q>T(Xg2P;Z^ON5pu*0uC3Oeqef@qW7+qHS1qpdsg`?j)97 zInekgfy~uVr$qS3L76${2p!Bq&Rbdzr)NG0%?DLD5cZX{Jn<#1D1=)dfHzp(^F=HD zTBva$eTn1;DUn_w$KKZ8h)E}g3_5s`_&oi)#q>YLYG+TloWEh!*i-a0tA6nqyIRHx zwQ9lnz7>5iGEr#$#yOsX*{j9||C>AA1E`MCNw%#7dH&1p!C3v20k1>aCY* z4l#%LKtX`F|R{tXO z+<#*4Jk0c{Uk~xGok~lsc%b6!*JuWhWiqKgTA7wl_o%PI zlR9GlT#V@j`u?tO_A;K!k%~!61h=H!>OQ7VMW{KB$Xs_B`PGZ^(~$sm_1G>AEZXda z;i(<8hG1vVtLYcpnZc^6%b_u3PR}R7#LV6TPc>PHX~I2H&<<{D z2hs;xfx&9%HIr}x-m!ZQ?kt>r6*PkCG>4CbLjwL2eFW2Lg6Tz0!3WD#&u6x#&t{jy z4zsyZ3GB}>1W06ZmSZsTqHl61S)vn1X{fhwWeauW4OXZuq(fP~$FA&J9G>vqY zdTvtzBySDyl=Zl(hgra>oitbc9l z+n_bJf`-Ueny4--D21+a$a{kZetM5xngfC`?^`6axvDvqYFK)V1v^lNdaj^5zHwxV z-(L7rsPdv9;cJgx-CJno1DJ!9pJp*?$oK%vkn2E$3q$_tAj|bnHEP_WF*1B7s`aDU zxO4BnaATHuQN1N6Wh3;C%SZULyN{n=B;=nr#fuTDeEu!rUOn-EXdw&kjZ`!4J;y&JDazmcc*?x*8W@dW}9$?64vgK zteS?goHS-{E_|LC&rM{`mkShuIl5Q8MS0dq>BpX_lqhK!uclcZ$aighpukcNZJgnS z73on)L>#gPrd5lndy~I1XHuoTk;Y^(o+OS2?>`nM6t^*(nb_3zCtseNFL&UzwRUOV zK3BQ{AxfbK^%(-{0(8Wo4UMXoO*uQ)w{95JaoqPE4)v4~cRvXv^ARlsRI<|@H(X8rhXy>`8G&(Xv?{YHUZ~(#w+nOYV;$PI z$*971S6fUc5q;s;i)Kzj<8FxOLgPl_8b)Ggwy&yty2&!a#P|Y;w+0*)`yfTZSL$v# zS4xi+>xE@RyZ`&--os<=Izs*bhHaJ?_X|N*H%eQQq=GxLO)%{P_%q{ICE~ypXd?He zT1{OVZw--RuZY9@CtimeniiT?3EiQWILqR%)7*~(2Dz=~s(S~xH`2yU5MENWo5rDe zBOU41kB{}9en%2nHNDGP8L>Q)i0GPfFJ!$a4}jt;PF~hC91vLkM{UYgMIm9w!rP;b ziOPxE1nwg!YIBK5r_BS@tExue8 zqD7J0n(C5^eYTQ;-1n$d@Ina%Pq1kaCmK9gf$yRst|7?A^N%TrggPY z^9-+&@B%GB59OV17OwJM4jU~sqJLO5x?`6+v1=Y|e@DfajWK(@tyT>@hN=1ITfr$f zBh=zY(;d}VI8D8I2W#IV)9Hn++esf#uGWhbquO-KEw>91~NxK{DbqLZng&>AMt z@x#h?QZ8TDMZOJp$W9KWbw1uA{>soB^W4bn@#bNHZ(?w}B(m*0|8s z`jIw{6~e-;mGSJ1p7FFkUxGPZLzJ``J^MUGYYbV5ZNgt-V@5i^=z$lQ=LQ)3Te{pW zAvidV3|_D%U8B?xyyRQAEclIcCi7})a(kD#$jSyWS)m|H$&{@?B%#30e>jYpCK|m< z73sw{QJWkDsZg$trI~qIejSqy635CewU?W>GG|bZczC<^aB0qE{8N53oC|;4o0s0r zE0||(mTgc?SBTR_FpZCj&FfS@6`y96h=LvRfajWh%g?hYX&5Zv9}-QC^2(MA$9 zNJD@Cfk5&)-;sCDcgP+0zIXrsANRe}>B@j+cU7&by;tqM)?9POry|2bt_+7(Ff6A1 zgSDXxioXk2X#OY3mNHWeNWSFB8{6GSn-{GohIpO5d|$kIr;jso3v>vo{|P|RD%x3o zGc>kM`0-5MuH0&I8ht!Jx?#|tRKG^Ozg6E)&oB6d{AdXO8r$?uJy#PF5oMYI;b|F% z8BBrXC*YL$hICN`aBW`yKMZ8nco3Yd z4-<5RYCI6EMTgpp0nBrW{q|SrfAvqq}tZa!kMe8;iqu4OT4^3&@ z41fKP_?LsghZ$AM^p0F4z1(;PY+T5eski7qz7T-UM1dbHEz;BtT!=(_kP1itZq937 zxE+hH)~f1>wgb93RvF&W=EUZ@_iOhKC2MMu(}=id8V zv#0ezLtedK^A3+*CauRoUV75;4+QOWy+B9xmCVh35T#jW`FOxk{%Vu{8O_ek&H3FF zq!v=i_fN>*-M=7zHB}8(WWBiK4#ruYUK?Me*xvFPP9+BWraJshNxPp2#y1%9i*GPM zPpO>yElzX#u{+CK@MvQtxEfUeMha>6a3rkhL4j9>ruV*zf^UlKNDLG5s9Zy!(yRIV z$3m!bM8#k1R>$P=uNjQ#YXuOV?yKrrUoCdqM>gDFH51mXWbsSD%6*j7f<8kG3)he_ zhbdzTN(cquH!2?-)W4>3!ScVQXX#{HT%{na6FcI@B%%!oS|dSKxY#6ptEJo64rifuQj(Ox^vS15cI=Am^09Zs`ISPqu564BZdk(#*{T#- z0BlEp6XyRQqkOh9$AO@2Tlh%Op{r$OzD8W@r;J3WHMZ#>c(4j|JqtlXjLjuqjb=$bhGlRP-IQ8jQRL{ zqy=4U=e%#f^0#(G843^(Fb&pL`b}6jH%}aK>rn9RK%6esa|bH%c4wFOYi6(G%=wQ@@xzuq zO&Y#**q>3gcBUk}R@F6q#V=B4^Y(XsKzbn030GU`;V^6?4Ez3zaVYRFIWKlt&a2d* zXL~U`m@)28zz#;3q|U@RI#xp*M_*Y)yn)bz+!N_2_)zsVZ2#NL0>zl%*O-Ne+=)S;oQvPIzuBY|)^nSb5Z&!B* z?oBh_2IG))bKZ^8O7VVNR@ct@wtZeWA*#XrP;sZ`(Wg#>EnWP1M{|rEx%QAtNXDYs zKYD{UsLT{j$-#BJwfogLSqF<|5~m|q5B%-S`g__b@x<7$8m69){_NrfRb^Am28L>j zJf@^{)Rco{sV`yn;`KCybV!)5 zA<+;~NNPR*l_A9_Dqy8-Zc)sp`heDGpm2gj-k>j_0Q4p=^n04=U_FV&k1>IZ1Ji&1 zrX`RY2ZM!}F;bIN6qoQcKTuba{c;w8PcQw|eVVSV|2r7}x%BcEoeZbD&)sV0M`kWO z|3c)l{xH7zVK6z2`{KmKJ;{S$K7`f8%1jt)sA6cH^j)!YzR9-=p3tvt1-cuxp8vpI#X(s0Cc*?Z0l_A2Z9j5rSg2x+iK|r}DY$(+NYKJ#;xmZ^8wghRIXbg) zNY^ED(=`Gm5AZtZpBdgoTz8*7|KZQ%RTOpI7q8D#SfD=a92*>jCmC5>ifa%x1|_}6 zYtC-~-trcEE;e0^;z(dBr@up2wo%k;YT&)?P}2hqUJ+59XVLXz-b<2yHB@sxaEZ(N zFIFy~tdZJk=7lkZvRW;g{J0e|rB5Q|SdZ8SWMu~u;G3WcDMoX7tm38Hb2LAR9?A*R zrHqls!E?&MI^mEUrx_--9jGw+K%Oe_!xbCcTJ4KA`&lm{R+g!!omO@1WeMj~$Ot+^#oA&r$d6pP1CM4$lIR)#<7zju4wCO?aS_)Coo-{CEyRYZo0&-Y{w*j5!O7h zJYY)#icak4&RrK6zI+_dcV%Q2w0M(|koYU^qTm4We6${@wmIl^Sus9sGABA!{Rt4YGfpmQ=tpi(z&{`Ew` z<;Z7Wrm76-;IJnRPD2M**(^l39I7NGB9RE+K^x}xvz2h z?4U6$l^4U9Kp1mS`L(*9m3Np`wo!8$-E!T?QL^(NvayIhvgSp_unOkYgD;?OQ70?g zy7_@*iH5N~Ba^QU4xgP+QLM01^;@`d*u8jptIDI1y7K%W=>aG)(BNgV%kVBVCYps^ z#b_O-<|(#SkW~RgX`}u(-R9|>Lo2M9RSs27i5k=B9D$0%jfJA5r?|8+wHU$`rrl%w zrTdMI0cwj5#CVBzy6#e&uJu{lGo)wY3D)iOY$baom8k=QLE)^Qo5D~&CTevgu2vO8 z7Aw}}tqKnri+uezER#*fX{roH|6u3GgL;EBGV_|DuHRViWIb%pDrWnl#;Qj?n!TacJO_p0 z1I~n_8YtqJ6ny}~K`VV}1iigRMt{ba94L5Z2IDR7R4CLfskE$~-Io6_+;dI$wT5yBO2bTY)?>$*mEGnjf-A2$VXv2DvSki^8!~E0cMYURVrMTD5 z#%HUyths;VjSpJeCvU(lJF;=Vd+E(w6*so2(xNC%o-|9T#;mV^P$9Q-{?^cXI%sZc z)c^I?Xp@k+)u&g}q#; zc-o(%-+jE~z9Wa)mmB#1U|0ucDc+XM=m5L~+fPA8{1uP3}15<*`&eD(Qhg07;Z5+PFHk zLAp1=NtM`8h_}Z2`-;jQ&^D{Qjf1yy*`Ea&*o)JE}&~apNQcGV|veDjrM}X_1uV27QV{ zdoL_W7(=Ch_SuRV_Y@pd?Pq7*{S~Lno zs%5L606YJ4Lq3a@T+VHK7!A9R783vxuRDKY)Tr3WxEr^D_(5(>1Z5~DtrO#j*bBH#9;@H1>cW5Fp>wTD zS@R6@KzGefDLqerRN!O}rTib}3 z@~r(G19rtOyxnKHUKmub=42A91TtD`V6dT6**7(@VVI(AOfQV3@*q2KIa?SDbN%lu zdvLwVgkvGNTzMeA!yUxFLRv#~pkei|IZZ|J^|km1t2t#$4=GkP2I&)bZyXDB)-#}l z(+bglra68}t_-)jS-0Qr5Db!ye zW~iy2c}O+vWo=7Sb8O^7N}G(n9Vag3tv_SXpV0m}Ic$@P>w1h|cWN5y@fZ6HxdW(T zQ){D&S1YPRjNOB_PG5#?UE#seb7Eo$;;Vrd@o;sYrlzU>=ZKNP?t;FHg2;JuS2OMs|+f&1G6}XzO-v_MFQ-l z35!3!DqNq341HOTP_iF@8bh+xjNnUPL(`nnklTQ{Y7tmyD660N?BR<`zekX8sU zQLpx!i&hfh9>{ftI#^86xkR&=bPbHM z!TK9*tpSX-wn-ie)xG$qHKztc9a?9kP^KZ2xG?iHw)yyo_;@oDB62olUAVindP#J0 zWqV<6#kn{+Ij^tP1=#%q4BqcN@2KVusCPd$f?I;0E1qxEsbrTDkG*TG+k& zUfXO%U;JjTjH$jx3!#=D;KAeZ&>BoF=aTI=2*tvl*v=z zNu?8j=HChr!ONsAy{hKj;KB|<#B74LT%W4WigWl!4MpXbKnzb}bddj|?G|tp2vu*Y zc5n`H_Rkpt9PHmCL-hK+nnqcKZcRDQO zd@bbIfS4S=%L~ntoGPui_C-C5Fmh_56W24=330L5*UXunob1-&hH`F_Z((t7zBE|e zTVPNt&tHqbG7|0kkz&s&eimgW#6^ydMdXW+)yz;RN zt-~yW3#be^g}9C4>Y%>)HCb##mSX^Vuk4nJLR6SEi6~uMpOVt!Y+SWGsdCETgy&O^ zK37DiVMBRgn0)TP=m1HakD5-M*@n`xZ2)jIW4hw-FjllOU|K=b#ngFDi{d+}k$sYz zDVJrKC!kUf1J>qxl+rf&Pc5$4RbHQ(W9ZcT!~+RHp=pd&uHW|CLjd&zzj(DfCrxL? zeE3%fzuT$HIqbJ5zFB!D3*0BIfg!r{{1em{NZ|DXR~b)!eJ27y$B9Yu3&5^%Kp>-0 zuD_O((t!9&#aWirwE~raYA&)OlB83Kt{x-=(rRkn>aYZ%08dv*HI*;{8q@$<2XW(O%C($nyx@FEQ*tIY8DDCCV zV5zk2nx;tK%NT>@!#(iQrE&2HOUkJgJ@tG-w!{iE-@&CY+{qv4b^Z3*$7;{@sza2o znmP1kBhOmgre6l6g@q9->Aue|F4_@664A&$XKXURBrJh-iVK(CrbbP(WuXCsZypQg)~9zYLfbz9)mkP|28NoH z9agj_Qxp5v)#@r2Wv*(d>>o0cepLQ2+S!Nz{}&0J`|=uV3_-uDCSV$W|1Deq|Aw^x z8`A!7NV`+S+tzag9Non23ONdw(}rbRZTb6R-=_w#+pNO z=5>D%C~yRgd|#ecSY4oM@@1IVS5_Q|0kG`o3sPtym53a^2CoQnAatA6(?jWa8vxa4 zOXOXbPYDEM*swN$-aqu-((Gt^Sa3<(T5SoH`@XWG3U>_fe)kSRD0rQ$H0!0`moTD^ zhKslB^>1g}?I*TH6YB@)*nn+?*X8l!;IX{s6E^C3?t2(>M*T15jE+B;GY(lP2dTg( zJB`imQ8?5xqX`;W{d4(8DzV_*S-Y|&(3@bG1tzl~?JlJg|Fm~aK`3+EqsFLEAfhvd zh;?HnG(%8&p`wYIb)tZ9Uqs^$1~pnuG_=BpzRt`ZNhaYE3}dJa{f}Z_|D*rLtnr;` z!+_JKUGbFXqn4G4r2;0(FcLjKPqLhy&aV~fpRHqiW$0VAG57qr#md%S1lfH{UGJrj z$;EeRXC~U|LT}lB1aFk8>G}{l`v}3pagTojQuU{<**5f7l!z-UgICi3T34)SQQH3` zK-XX;JVkh#$Inx>+>}iE^{o6%Krl^b^ZMp#XMgI(USLsClSjQGSsjU2LVnML+^Ua7 z^|nd}7`itv9a)*YvUSn1ovIk;6tz{)Q1IpJ8W>vEtUd3by60Z&eEuEkeiiHGBRWlx zoVl_-kAtz8>TPuK7h5a2fkGL)mbm|!7$>7TaX&=7|UIX42CJtrh9J&|`?-UNm z)tu09CwbhfmQ{wlR4VEJbrdRa z{{!}oBxz;tRBS1jvE+2a=!DH86fz#y^F=gqT1}dkx9@*b6*{b1F8A~{L zQi9lFAMgn9uyIlHb91l>7*IY}HFk!zrR4leOLcP>2RCO^a~De3exyBJWi?!lUCn>} zBCEl{MG1Sub|@-J`CJBej9(wiXmW5l~8Il-r}> zlamD@&HG{pGGulT!;R56YlcEJkI*RVV1*02+{L`S_}qr5UqDFowmldXMj z-4(uo6mnl+9E2&U!C3_^%KtE1ceSmI$iZbPj6r(uz4K|KZnDQ2-nnLEazecwwW0+* zNSDbR$zHzSIVC|I(|f(yBqxDDxrj1_$kUoYtJMApLXPLk=~dDzHc~di=S_1QIH#3H zt?Y{MB^Vb=L&y^!A8kj^F7VdKq9%VZE=6DVjA(fl-_BndeWjL9% zQ6-Qj5V=q$-^w8KTyVx?58a**mB6`@uqIR8i@fDuxsfsg&Bhkg=*mH*Wu2X>Y2j|s zmUP~4)y7(vep!0uLbNwzX2gRIuMtu9#Yx#SH?6!yZadTc?Fi%enWz_dR9ey5e&DQc z)Xrf8zK&7#G)TDK=a(|fRE2!}c zI}$d=k3U%>nugKP1%;htySAzf*wJ+f!g@2;xjA47LgSfa3^3hOkbEN zisy+oQPLs&^|tg4{Pm8f4BYLDo(s+KoVecAs^|5rcRQxfbcsEx4ZSPBGAZVPh`jCZ zp8`IRQOF`JL_m+8b$=?}@7-N5dc1e<-E82uc@Ow> z`d$11pUCyg+x^vvNLabLsG2)VI@meFXaZoqi=PtaCv6>^H5`piVg5wQ+}+C5TwPWI z=4bxuZ=@tO|K@Gv%&jaeT`76F1^(`Nc=`A_*eRcDxS6>A;lJeVU=HkW&g^f#@42>> z*&kktkMkeB)X(Lg9|4#OGV(G2I5+?R4)zc569SL~AU}SL^cWEt2?+@W1sN3`_X#>0 z8afd+&Qn}6VhVCHVp38{S~hx0Y8Dz&QU*Ro7IqG9Zf**C0TF&qVKy#q&R;u$LqS17 zM?)uk@`R9+ij<1;zx?{y1HeLl6pFwO4@V7ngarqW1^06RKmj{XM7Td*fWN-r9>F6Z zK1M=DK}CZ#Xv73Of`f;DgaD6-h=2fV?F;)m009dTn~FpHF^-xs615X9=lj@PWEzRu zUOe^LFSJ}H&i*K<_ymMR#B|T-85o(kd0>}SKu}UjT1Hk*UO_`s3#hH5t7mFv{@TLQ z%G$-%&E3P(%RAshU{G*KXjoi)LSj;KN@`kOenDYTaY<=eU427iQ*%peTVMac;Lz~M z=-AvmcwupAd1dv}*7nZs-u~xrvE; zOsUz1`T}dt35oY7N_vZAO4l*L*Y2OG4g0H)(vB=rnkeU?(!0lwwNxnMSj!knq8kJ2 z4N%-rQVy3k;1Ha!D;`hut!TQJ$7~8R&Y|~@gd~b{RB-p2%024)0`0ciqy@F*{Gp!! zcR7f2_E0%6@^CH}JDR zGvlRhu9ijfDm8+I{r2b`6N&S+kf{d0Sk3R~dHfbrJa zvfAkMTpev}VQhg*l8LD0#jz32LA6iZ}jGU^pj_=mmYwSEF(8kg=}x!ouvGVwY+ zJXnJYl6VihUmJvJ7>T|X8-)=~;Mv#-f!ZX`i%^F?d3c#!55G}!tc{koIyAJ2L*7l= zWwX0BHhbyl`;_Q$!+sJ8T4J-}a&8cNdmcOf<}huBOBx$#F&FU1SoR8q&A7wGU4{G5 z+QK*Ckf>rWOT3QCE@kbLAmI!O1-nV`(pz$D9Bcp3vZjE!4Q|Y;ML>I}xfElzgn+*8+45h*=orWF>a89?w|g1IM(FPhx{2N+|a$HS0a<&rtmF8Huuu z;oD9Q6h4`<=}f41nC+C~(fVi>vcByhRrm?8-V7k;ha71f@-1mha&t~h5|20*H=&e2 zbrV?%-sO_huQ+_{gRX%_LmdX5Bg>1r)$DN%U~zR1g;ucW9tkMl?%A!IloL+ppEzQ9Yt8ug`PxPj`c%5NOokzI|}`m2_)eV%c< zcSB@-QAkIV3fCIzo^Lg{1IMOvENTYfOdm9q=^qUxRdZ|#(!!g85#1-%KF+q6azC6c zg_E7mFw35*y#zcibOrR_hyrfYa2{6x^TIaT+mrg$6-nF->)8ewYbdxV&9YxLpwK)H zTWj_gvU__#1E{dvg(K=6@>7^>0o$K!V@-3oB2gM)Shhe-Dsi=-YniJi?YI@03TNC5g}TcxGBiYcT=TYTgQYEX&_Yj& z+P;g0 z`E|8$iiR~v*xjqmABUrOZ|7F%D&mn(q&7KFyL91+E=S6z|EX^R-Ky{2!iwz-2ySK` zZS*uP)k}Oxlx0FW0v0ya+i_G?-hCOTl%O?|rCHY0tG)}Y>ORUXHHDQpc>CRcGu#z( zd+qk$m@NK(vtoo-RJP3P{w`IKBT3{)xb5N&zfqqW-p)l@Pa3b1ei~gUE9d(JZ3T;k z1#0SM%NQq7{DR9}^rI$k^0Z}L*)VP>16-1LjMKLTy{t*rjT5@I*!*`*WMH%R3Mz@b zJmq9=zN~Y3>{5}U$4*rX6oD$_)s7+WhT%sE`xFk6)gX_{^eY(Mm>@#Ja3M0r{<5aZ zb?@yRpwQ)*y0H?uLpVxxX9dz}8^cSoY@V1JLlp<1i3*}@s{V-JY5n=C>fP2zlNC}m z#*rwxRjwnY?)P?XBCkXRku@jQv_4897`+vu3{-{RkCbZW@he!;$@(S{~kWcNx5 zs1-<^L`bhmD9LG7@^z9+vr&-@c(_PCNF9@xbSQRNmSE4SdPDb|BY`QGo?rZ(XyWTr zHJ^cjm>$v?J?WQRzDgQ-?^<*Jd*G}8o9k+$|K^9l-@p>H{Obr(tUN*idQ>Yu>FjNd&dv&5(E^{^3g43AJ+{+vhdR zSM}EkO||2T6g!F*d>!UVmBvNvtA19_)EBFWj#GwCmCC8<{kmik^6k}`;TR}gfXzMV zyWr&aK8~yp7+@hc;%-Sn<{P6{uY8@Qx015WJ2dm;2?mDaF2sX%{COI&q>2p|i{+dN zCKbp=j^c+;=pMDR%KNf3tFCbtee(|lkdk$BsdY|7doGCuCUmEse?<}~vCLu9ZvzuU z<$QcxUkg}B_2XO?t!|E5#>vox>Fo5HB|38oE$D%pXY8LfMs3-IgXCb+8QNE=?gZpH z-fB4uD{2k}l8#z5kK9&!*Z}0`mQPlE3?51U1@)z2d_r6aDs(N_|c1oP~HHh z?O?RPlO^3k05_dR@^<@Zv3l8RR?%GK{x}k^!jJlxf;$eYFM7|qFl@DR$IA0u)_xfM zGpF{<4_8YOiSu*NQ2=+lBqjir9YCIN1iE3xmK$(VBu!z7tCErRHc(Vae%a|`g=`BL zIhqB3r7lxtwbun5V6NfG^<=u&puIzC>mu8sYwHtwZ2_yM^J$jl|DaS5w1>;y7z`*&d}n#&hPV~B-82LZ%^Os^Ozi|+B8x@ zp|dHwnKykyBN9Mz4rmEfj%1bhxiYYGV4W9wnVy zCUQm?a;(%vL|sMcR)6}2mL&|WE2=BJqI*?#BCwhd@I~YdV|)k0S|h`#>Q6vc_!unHWp-2ZWrBCdF6~N%r}q%cQ`L_&Z4yV`&JFjBcZG&3;d_7z zxRK~mvEM~>W&ja205!)QZ%|k`^R4-pcD};~!~7eXJRbF8`}Q~4m*3K_uP?0hCiD#Q zWUsi-!2_lUN->-&r15DbbEEm+@@#B~B2*g=3!Y!Q%zqih(|eA&K1eFoZ^u&0w!9z5 zI!8&y?%R39Q5d_&#T8FwHyFB;)mmZsaVI`vZgskvt9#%l;N#J`++3mSW%!Yw^m1pl z-^knVKic^&DsN7U=ikU$73$$dO_I~DVp4GNkK;sjTvnjnn;6J&K5J^!ML{f*tAR#q zq@__{t_{S5vO?L&F%g_6@eRZdreqZ~`WG@8WYm;L%MBdN;-R;9!^AZaJK#zWAIP*x zRqamzRr^oC?M?hofCP_1K}+U|y?NG4hs5zFL9>jss7_Qhrw=B#bT>3{_UCqF%4M#( z4h8qE&-Dyzq@$_8Yyy`^S&i?_o4*4$?cHyVA})tMX?K63a`#6rns2zd3rV8&GBtt7 zs%%xPoS-i$3CfZp#7IaXYu#$m@-FU9W5QTV`u$)N6v)kzhKER3v@cnLUSVfrgF-rV zbs>8a;gKRHhKqhzZlz+WjMQRNI5Xxevv7<7?~M8FGsK?eDCTgGP&cc4{+eWKg0}@t zG>j9lDE@V1fS!T1cT=)u&+10LZ?^yA%bVotEvCXD*Hbh}TbY&9LN0z0DVx54O97sE zGH~px$~BO>bo_)tK7e%p{egzHcfxJsk%7J~hL~yzdl{0ka$i@lN^!@kZ4)nFT3e}a z_Gc4_ccSUFZl|*E(F^4?C842cJL^3Ly)Oe}f_R%fWwGC)K1n?TDKdu|18C<4lL4+f zSQ$W{jj7vkLwhuVJo7E?oMG$9lZtwYc9Sff zX1RB+y;s-8#)cE~^^HN}Lj-3MW$J{xmc4NPnXP2L%ox{tU>-s#K96T|{r0Gu=oCFZ z^Q=5V;fk&H$+mH0RaIuAQzymMdc_hF4oXj$m6UCKiO&aF^F50x8w#DZ&+hokFh`8D z1SHCFje2#=NXdh$K-Sm1Z3a`>gwdld0?yhjqQPIv9LHuG6lP6(znEGf0?*W zZr9seb<<3@L`-Ze9oBcNNGDU?>)YK64M>I4#TRciLn<-eDCk<)?|RRA*FxDWvqtrv zx9U{m(6Yvb#zz%wF~`%=P|h8Ftaf8*!r}jH1R;Kyb}!Y!=1FqI^Q}P50*Rw=3W zLDIw7EQ0rAp;+%7Qm4V$Yrm#<6oi4MTJmD<+h9c!@1T6q*vi2|-2y+8=y6G`2rOPP+AINj9$oTb zsWYWJrE}RJIdf!+5mSGRr~1xJ3BR$is*tX8qP~M&zoB)54abu2t0;Pa;L!$u6|3#ZUE^xB6ULs}K}M8lgKjWqYoXPZqWhAY zh=96E{qc7;jSm>hwD1RN8;1mf(E$j}>RxedIRx%ADCD}r+ef}T-YbdjkfHyEwd*{oKu+SfsaoVEL_Q$n0 zuZQ*)FXVhVuhh_FUN+6Mk7z_`ey7;iT~w8J@*ve%W9^W-n`5Vkuilry0naT&-NQ>I z-Xsh&nO&|{pTnL>gOy|^KZK47@IR|BB4CLXSIw?{&uYw6`U5d<2E*lw!2~D%M{PJE z5!Y%`#fT%SDy@SCi>wVtj5`fYd`eE}>0~?1HQQAHBGT`32Ux44l_0d%EIN!r_bLzG z6S%MBmmrvH)9JKIpbheXaWTNh4_UbpFBePWYGA^q6u(IG{{Otey%vz-n^D+wBh%Sl z-m5;k`s`5kJh#0ujcAW0Pe9xeLxmX()ZcvL%Oq$Zla&NZ)Tj7|{TWx4Hn(!hw$&t& zyK9uwET+V18G$p3gQ>uwE?YaO!nwD5)B-IWQq#T!5gxNqMe)9ViYlk*9jjG|kJKJ& zUtsx>^`b2zhRGDjSq#PRx1Ji{)@)`*HBe|enMO9jN->IE%_~UIWyE;fAvJO{ih)j^&rDhxubd|{;PbSP%~i|+(~wj}0cpe)E{3{V z<3t%l-uwS4!x3BQdU!6s^x60A1GSllP!Nx+gYAo&7wzI0QCaGk_$das=Hj)%r}p-2 zti>%JfzRwpoZkD3ReUb=d?s&dR~12|l8fj59q99wT2WDMJ>5l~ROhwmKF=@{1r)WK z$y@K%z@}?G61NkgzuCILmb38nh{uh$P8zl2<-4FKy--~aeWk*0K{h_}dJL1(Nn$6t zHHaGN8fiWdpCX-s7z9)RzmT^@n*Y|GV)!UqIvQ=1nUMD*Wlz|IxK*1au0Arma--X2 z&AHT9QSbCF*rEHV-cN9)smmuFWXWCr8Tt&S7}gL1i2WY3Od0>#h8QOIs2UMkSt<3w zf1cLUeYmugdWjs12t@Lfmz{Ua_$Ek@yk(Lpfk`=ndb{GyJO~7;)ZTh8&&cPTCsA2K z=>$X1!nc%Ey@}xyEVmJxnH4oUIZ+4+=4- zW=bd4SucLNe8S3_3wYWr(}#&viWGFmEIM?k#0)xj{l4`@cqB+#-zz*@@{%Xh#mB|7 zx5^?;Js;l30)90Y$kdzgF(5|Pnnfs0lnNGX3;GH8W3E4LAfec7n2cydO}enS;-}$>p}b(tMa6V z4?aS6ukW`sd@b=*1p{hRLtYP;42oX;*jM{vlyoRg8=#8TZi)1l$0BcA=WgL~v*o9q zbWzJ#50-c5;xL`xMpkItN;nAQiI8Ov@f_Q&jmT0lm2N~UCrSIw%z@i~?g`uJ00D3| zU;ec!D2T-0;a8l0EO;O}RuGCC7S!h+wJK7d(%;4_0N5|Nu3%QuJD)mNEQsNb+n>aK(kJE}M0P-z zvz9pN`|4`yRF;{ykPLdRfbPtK9$DWOCx+lriIxdGoY$RaF*W;6S}R8TAurKr8L82Q zZ#5fYs)-MD|Hyj`8EnWd9o-X)D zmC-taI4;U)HW_HX01f;_*=-{LryvB`Ng&+OAtJGGlm}pK)NL)=e*_nIMdWy` zM3iVV^hU{1UKMRx``JaR>gqz?L0~lOb8n03!`#6Fi50HR#DPP}TQ*A669quIFGVx& zZqjR$=*hf}^cP_>SgLi&A6+mOyqQQ)z(BGZEj7qSD3uZ*&2nb%3SWdwQ)s@Xe67v7 zCLhhI&9+PmTa#kf$ghR9eJU7z{}X^4{X?nyCjd`B5_c8bo#hZK#bGZ3;+s(V6QI%3 zG;N#Js29e7HOE`+M-h~h%BC-W6+nI>`uP>2#evD;*11owDDi46$)biC zCg%`D`QFPiC%=($m!AMbd6I4QqsVnq5*cYlQOvb&zFY zujQW7ci*+s`&|w&c``nKV#zz^J;=4fMn}IP)(?u;A~7Y7{lUh>M+euyNUq zESVo{efM<|Snq15EMT6<3YPEaPHtf&y`McDVlc^98a$M*u*QZhl9Rb4YT5z6R&q>{ zR!6sK)~g-KYPp(}Ogly~NE*5cNkgZOe}6Ln9}W`zE*Q-d7`sUbuk8Mbd0EVr%9<-q1Cf$(b!P-F0>7qXv+y+7TZ;E&b<(GSGF zB^{kn__S04CPHwdCA2Ghe94)qLM}^W4=XGwLH-3bftsAn=C)5m<|u*}Zht?6T|6duEjaU6_{0c)k+zqYp=ey z>5eZoj5Ko=W5bz}`j5%ggt#G-#BF*yol=_Bs?YP|7{#bVyFghjBcX^n>tAV87VN*J zP4i2>)=K;);pdNBQM~XvP%CTGG6XBLJ(~UtOb^#sO>b^&>6W{hfY2&8R$}xW8vOF2 z(j!ZT@>PQg-X)g#H|;TH^35Je8!e@7&l^V(oX4G$dB4}xJ>wS*jtCF~N#y=hvTVV7 z$*1(B;{{`y#IA)Z*Rn}!u?k&NsxP-vleA@zt#`cGvH2|M_QR-)LrI{SXRhT0omk&~ zK!lo`pGf0+WrvU6<1mp~JOo~E*yTy({g2Y_3+W;15Caa`K9+v?`Pf0(GFX^P@90Mx zvGGi?wCnu%lfW@Z;jwBzp}{O6n!|RSvcRO#tDDE?rnW99CK?e=5=ILlOfJ?(Ce6~x zrUGI@`$Q$oj)&TzxzbEiy?0xwSMY`Ca{YOYwxl-%*T)FSSbA{v=7#-{qU7w2k(+js#9kZAYb;DX{iECsHoq zWn9w=a_tNwG(~HpfwQ}FmLC=P!wln|1g znqc-`FPWejAIQsWyTyKHZ_BW=f1r6>U1i#ZQJ2UiC)~$z0rQeeIquBL)Ve#EwUz;7 z6*4GzWcmw!JFIT7Sl|=uhqA}8?wc;8*%EZHR>MBn>9n@rsaXl}B1T&`-=flmhi(^7 zYMm7MtmD~R^ee~T_k>?4W|cA5y`fBp2gPLko1)%0`{F-ktElkN}+K5rfP_$_lmW zvW=Y8e?k|O;iAMrDp;L}r*^67_soeX)&J=#iDtU-G|nNLF_3|IVPovD`y9Uw76uB6 z%AF~O)txW#VfB4@?2d|ZptcwkDO_{tSYfYa<_3gsnMB9mWi;hbIE#;~(2RuwdC)W) z(zqoCxE1z2O7WiM`??9wOR`MNRs^H^7^Q*Jet0&LFdOysoDC3j=J-E-9CI8&;ucs1 zQ@1OSx|@J4@Z<*+h5hkaF-;poda0{rxtoXwY9J$~lA?V`j>NftznAPyfr8EEHe>^Q z_Ph1Cpe3X(pT&;mdhrrn5Tjvzn+$C40a=ZB30SOq<#2v%lojo@nfY$aQL8DMz^pQ} zca>?fyO8G-DFPQSR_VLHR*ac-qS!6sw?tYv$KqUYM#M1EW3ikg>okZ*Ez%%_Wh=L( ztsWq7;$j?7-HMkvW9(+*ztCJQ*eBojWbD6)&_FJci3t{mGM3J z3G@&{V7e2|CWTvLS6kP>jL>m_T_oDu+SL2xAj=Z#tq8S;=0uDvZ2%)q7g?}9Q=6{B zk)x-EJ?G)N_g3YRsKwPzyGy(C@$if(UeO*R_|lXq=>xR`8u;t$N1o07tcHZGSYn&HZ#DZSRL-2oQ@@O^U>QBpHyc zq0UzRJRy_?|KgYsm?Rt{!liWrC7&`TspHk;tRwY*A}&@nj`?cR&hbLJ!C}MH%w)CA+>Z;iwV!)9UyR@iWyEwcxs=LX z$E|g+3&{b@UB|9|0#FO3;hfhe?~*YqsQL3kc%iXuaH2(Juw)iM z2G&p93q(5GV0eW*kfi>$kYY%V~Y{TZK{hU zZrsN204L`A^0(-o+YXi5Ic($F+UILSwYE_V2|KdbP@>DS1~oAph<>`o>+`$>_Fi3W z$5J+jot8jjtU+AyX4_ClluI7-JdhaoZ^vRS9F|%t8am-U>0$~|{Zja}9 z4KoY|^>%A2Y5}of3!MvZQjO1Pnqrf12LdHIGrSk+aCLA@(`3C~Rt&TXg?miuwS!-s zanQ0O(c;Hypl@nue$HVtGyobhV(YN(FSA#&cu~T#@$C-ows7$oaPOE*|LK{=faF;2 zzWO0)hMQcIb6~RFtUTsvNm-(UYNMijz7&h3RF#K!tQZw_cM@818c(Fh4!6%+2@#G1 z>4m#yjtUS>7@YvtK)Z~ubtNw1vR1m{3d_nEtv#(lMIDWLWI;mPoWLE)sX;bbe%sGM?N{BUbh5J-P1maU~gr4yc z9Znv`Bt@Tfa6%Krhl;>h>$K(rrc9&^@E3R-7beMOaQNIaC5{k24o$8!$f2|nq`UHV znr?C^k^0@F6V&pFRcdS(Zq(|_kHErs0DHcq&Ov33?{*guzBJcy&hDHXtSjO(ie=X_G@ zg$Ld05VMm))34mtF2M#~vE9 z`fBv`lp2i_HPk%T8MzR)WBUcQ3&u)-z*}E+;D0(t`yoc3J zV=l=|H9|SVYO5QroyHxiN8+VNiQqtOo`8|DSH?3CbiB5YoqWM5M7meyXF7h)*wTb8 z7^E|iVw#m%_93=Io!gmFTf_gUsZ4;!q28Y`6J@Sioe~SI&mu8vpJ2DHA4)&kR4<{E zqx={pUhd%?zVVDU2(aTn$7Gy-Xq^c|ePohG;)YX`3dZ!@VkzaN5U@2qZdWJYSSO0o zon;@mx2iK#WCin~mv&L+iXkC6yj;*@)L|QambusJH3i?EEaZdj0%W@+=y)lQ4mXNe zpxMs{{xvR>3c8X&CH6!bZ^R|uVPI^e=0ndz*|M!)?_l1MbGi)k0K*;~*ErDHP+5O6 zz-y*5zM!AE)$(S@K}0A;AjKNtv3~$eV-Hb{TYVfb7NnEfY@6r|cZv7vPnq;bpR~6Z zUa<$-vGV1Rn4Ndo3tj$_++-7!wKB6J-Cd4TDdk>e8l2P1>%X!x7!@-^=V3*&-#4dpK6I|$kN7%J~FzoW_@xX`O{|-g%z7}(FA-d602&=ygC_` zXf&8Af=3UPutoJ3jBZ-R6_31Ih;1O&;mY7-tW$4heDuz3gc@rMF#DP!2=d-FUvKrXN{y^ktIn*bhp8yfy;Zq9VUES-B@pr~}qsYr|gFMs72E&fD#p=TB*BXq_e z0@HxSQHU+cYD=Am@NFV)t#|>kp?iytq0MUsf_fra}ewd<}}t z&o=nC(@wbP^dGv(-U1`&jZp(tc+6y07Sy~xo+yuzvWq;+>?Ed135RjJpq47#EQ)0i z;&97)TWa_xQ`W@M?E&Yt1Yu6|MG33qwdfZGtaz)__xVg82Plc|#hHL{q=p4Ih@FjQ zvnM!UuPSC27;wqerAG`)i|2bU(x{6Bd(`f~qn`X zax;|!ccva4azxQR*4~%hBzNZHYb!?OQ}7EXbt&gp*u*q04lg-~qddh_^)d7>o+5KI z8K{H(I1sOBrg9q`Z+MTACV$FAg?g~pQJ?|4S`+$m>*_%+$hhOQb(?9ZEtfccIW+HH z5*l_U9*>4eP@ngk)9@gub+7vuBNfz8gy2HgA(=2)sfNQ>xp?*mF6<9cqF0rxpN*%F zRp~W~7399HsUo^np^4?rip3(DhD{!`V1%Y4v#)yR|Hwd^9l?9>arg^{CVeU z(a$i*0Ae8V7G^vau=y7YBR}rEwI``x=uqogK2My5UETt@x{sAl`IFkP8lmd}k(O*M zIJ# z@TtFlSPCu2$s(DRgx~sA705!mGxg=s1`qQMsQHo%+}_@y7nPmPXM{y|Cn{L{dO^QYg7MaUAGZ^a!B&qr!Dr{8YP9E(IeHb$|``HrsUUbWNG%p2UX3&iJbVoGnW4tF-&qE&`ub zUW}9L2fa|Hp^Z6p?pfG!$FPO+ZN<6JgQ_aKF@sh(y!)F8B51TrGz{Ouq4kwLNwarJ zX?R!jHS!+52p}=KbC4UOrGo65mFFOi=Y{}|&wj5DXWw@>}%H?0F4u0gPUk8s$PXcTBta&nlBLi)nDd?3$^1`w-jPd-`p zcN`SVFR1u@{K&Z1*uBX2RA?rUEwpuKY8s!zU+z3irkxe5PMq~vByt`R>4rlfNBe|c z*=mIbxQh3MhKBqPhxpIp#a{R8dcVPWOfHb7#WW7hhYXNfMUlq{uVkNUS>?$b%|z2+ z@^&*wt=)Lp8BiFX?!W%J2H{|;tVg$;&Jt~-Dt$07SybX?BBne32K7}MWwr3tkSExx zpc$*H%d|KE7NQsJ1@?HKvQ%pybC*X}GZ-A~Z%<*vXwa2j{OJzBh)25!K z-p+#2l?|iP($5S;5L@%Cg^Bp&1bcHoaC8Ct7x$IDTqP!`VSSVqYwYh?a(pcKb#oQ; zN1d{LDsZW6PN$#+g8izVJIxF!11bKl;~3l1Za$ZsHTuG9S%n zu=`m7_j_<^YFX(`fFY||bT7=RUt=>hhVtZ5CP;%GRr*D&ZJ&GrfTJwVE2=jBv@Mx+ z^pY?z(>~U`Xa2+K*gw`9jfM#tf0vr@M-k#@UkKxY%(992zpOT+<_ou>?*!-8wpI3b zeTlDJ{NM#QjbAO2@&TJ397rPG+I)z?ZHB~Dqdz|dNQe&vf7z4rrga~8wP$9Xu&a=4 zx$MsyMQxM%WL(>vmJuR^t@wM!W7eBZuEheUhY5kxkf;Hvl$|wF-$lUzPpw1UImzih~^H z1FMZPs@65&@5^;wyuV@STfy0zLWx<{S6y@KD8 z#ls~z)e}w)oO2qiRlZ>SI7YR}B5Xy@Co?Z!r+l(+QuiS-6U&c-l<764389caIKema z-d^YmZHCPx((u5{j6WjCJI}!_D%PxPy=eSlwZdJSY~eCxKDkENS_>2_l?ACsb8cV1mgXYP4WE;u0Hoh%XBn(4OrxTvwiAHiCo%E zRpke6WhN!1Dmc&O<1-rFZ=AEG8@7z*E51hzjG{33-sZ@@T5k-We_rT!h59IhycpPK zh3`ZF-`a0^%ds6FE8|$GxyKcY5+7;+*)rm^z?GN}M^5HxqQ+(k^-E@08*g!YICFO0 zlO+rM_L2t}N>i}1op#%V^%YgGDEy2zdnxJ{t!T>DwHkns4~PAewCocXDuHzGWW+ zZ-bFrG3!io1vzFC{cM%Yt4Ak{Cm4S$5{t+Aa(yvS>?Jqh`kFD3Ie}JvgP2b7Uy448 zpC3bizS+S4<2|%E(#3pTKi?+sIo@_NRBytiyfD?yIwe&g?xUL1ZJo?@`4-1)nE|tR zCw^$@6VrwvCdK$J&QZM_pI%!$soi1!WdFc(9MM6NcCB)cs9e66YyHLrT={EX2d~793KJIEGQ0!|iS#rngBzp*j&&YPA~7%H<+4_Uy^f-)@L5^?^Wn z#YSB-ygt@ZYE-_7K09p0P#+9NRtN~A(feXNbQ;iSUYVv@U}Im<>xa1=dAjPd7NPR#tt(2_@F zi!F*<<*5$KA^A!RWX*f`Rl+AZoo8D-n@S!pT-kCz#HfC^bQcuiz{93^7x z2&rRerxVZ;wQ?7IWv+(J->A`Pm78Xa3~X(lWd2DOD8<`3U_p6GZf;?zyMO9Dd6+yl=!M(K<`T}LeysyB&R?d}W7<=>G%pu&GlBORGBr3q)21O4l#VjS zV}Yfd8k55O@n?+`u!z3Gm0Jng3rIkOj|i9iMZPJ39)vsJ%zvcXtdH>q4KQjpx^LOG zj_R%l@NY^cx1$h3JwjA}K8LC49*<t5p(^b7%lTD z5ME90dscrar(AT3H}RKEf<#Ur$JOrhmpq$)xpTa2$bs)exS%ll#%RL}(B*&Y2x=Ci z`_U2P0M9owo?VjTT(|3rDfza`r&JCc`gs3vahF>Q2U;c}oi77n?IRXDjm{u@OB?>q zpn$I@HfF30e={i+xA2Vk(Nz*QHDg36U@ogU3vC+>l{pZ6v$&D4*_CC_v%9hz`a#DG zS5$3THuFInxLSelT&*^B5SOj`)rJJpr2w+&(z6EQBxK#1@}3LWbR-eP4c3Y*e=z$i!@7KKAuW~#{(8>>v5!0) z`Ho^*qI;e^eZP(9_58arqDEQcBT5ymQEtwiJ?dQvmC>XalV|4z;*``|o0F-9!UEoD zW5>w4NR_}qX{e`-hLPdO)ls?cZ%5@yWK@D8G}o}GqjlClxR>^P73U9f@5^OR~sWi=-Iz8)TLY_kD40ZxYi_ zHm!teGEg@>CPfv#5O%|~CkMv;4~u--$rS8Y9+=$ax(yy#347CJ+f>~J#wddro&@_O znVan86w0q@zbJf1Sw(zD`Jy8?+_5^rZAnA>^_{f`er9L?_LA7fsrGz8*~X{x5Zy|D zf6TaV{yS0xXM44LD+u&yNfptTr6k#vkma6TBsscw4tB7r>dLbShDwNup#PfHevX$M zdPY~~>*ZR{@__s0&!(NQzN66Qj>lqcF&^9}xOO_$uyg10Wbf{)#hJ3P+$Nc^xK)}A zIlga`*#3_#CPLfttwV_;6tu154lcb$w_ZY_htWgG)qyVvnZ<|dtCeW6X+q^Lnk^z> zP&aQq+tjsSU{*8F%qje}6G(Te%;ysYW5v4yVXeF6lR?R+Q=I%tKSt3Hs=Kp%*~fv$Vu4G;*X+o=M>=JaQ< z@3+tq%a0qt!`q3E9Z2ZeSHk|}oO|}=o_Xfwq-jLaTb@?PM{m1rY>R1j4|5hCRqWRa zCpqF1XMS2vaQiZ3CZfMS6BB%CyxD-c8L!P!oFOzUR-^Y|-F|^oOB2H9t;wQoLMN|d zj6ps!aBVq{v>KOx2C2p}xM7Rs?G`&ws-ZN~S?|*6(jc7T*Q*Wb5w;+Bz|Z@k)`NMi z?~{Gy7ANjR%>`t#E5q_}b;u`C9T65!*1CoIrPBrv-oy1$&V?pK_@iJ9;0jo4Nj4L; z?Q%q+Hgd317`qC5PNc8l4RQD?0ff*j1Vr$bG>RrEqOq{CNx@UK^ z7}(mp*!oVTk}q_oi;C7&WD=v67V9NfuEN8*DIZ=bln8_6`A=BNx8xNEGuJ`8t4$s+ zt>XF=zkI!&$;OsBJh|s$4v#8nDZfSX=|*lp27=3hVxsAlm5QTXbprOgc=H*c0S5-0 zH>{FWDHM^+Npju{N#M(LApfb|(PWB)e!Sc~g4r7%RZ+>Z6epFRrr?h)wy29)#cBlu zdw4wtq*n2L%}LYmBOqt`nWwXyEouJ#Uf@feCa~sWVY!O!sA6aH3_K`^D~H2fv8X@@ zLRU%fHVVHd%^F>g)U8|mEuu?phym4A(s zhSdGv{5hos?D#vOuK<74ca-~>ob6oiyoMz!K57@^{3iJVZEx)M9JLMnMoNf|$NR>7 z<#b*sV2KZ>Qzp=wMU!r)&<6t@(#}s)lNl3TtVC~(s--fZ??3D!jM9$Zanlp{*)l0@O*Rrc2Te&)F3}UK6kO8>0?qfq~_4X%Hjz z_5Rb1FY7Q}o5?~#cCic-mU~qKG4^&Yrz)rhZ*#l@e7|3w$@T(CaV!M@ec;M?4z|}d zG|i!WyZpsDfi$ktYeq5H&r%Y`yu%Lw7yl?fYsVQ4d9g%XPn8!ZmUD1CyHUHHMeiPZ z6eg-FHH5mZSFZ^JEd9W->@R0A>VNq48$VpY6N!Oe4vIegSK6)7EIaReAROz8aiApj zI*e%F!u+(nV7jOKTg!9HYpY?xGbL1y9>qy2=Gb~3>BTL`5w)mNKk#Jq8^4=Wm+07W616Clb7+6T4t@2y8MgMC|$ZD8%8VuX7wbZ?k;T z3qmcCUlt9$l>+7{XL^p3s6=9XZ9GoS&0x=8bc-{vfn(k5KAVW3NAC*IRPv8bskssN zy_>2C^%XSqXGX_{tqX1N0t5C^LnRz+M&*bHm~Vua8;2ek1j-2#8qD@G0FnSpT{Epm zs?}-jG3n8CO#DmEw`?eQc*7~{8CMp_I`6vVrkyJbB3*)Zy22W7HXqc&1Y zRp{=!FI==jTf*y--y#+I&2KZ~7Ya06JEg)K0v{+T*-4=xM=PIS+mim+esgWhKMj%A zgX!iBX7E}g?*`sp^1tR zD{DFd??5v|N>x>sF>J1}zO0{kFDzka;iB4Z_q<9}daZ6OA1ToW=Pb`*T7W{^VvA&L zdF6_x66CII;9a*s2LdmA%LaWcIJ#l578;-oLT88c@b!yFE8&MTK9$o`8$X|UgUu7N zj_xV$mKC4@MoTgFj`t!-D>bJ4Z1K9ZhiSj_wbjTXVu@-Zb>k?iE?!OiKyS*w50r-% z*8AYiot3Jxvp`jS=ki6WKx1%^u%-^o?y?*w*s6n@xaB!xuSjGnPBitj~Zhl z;C$5^>&dS_*1N>ZC@-)#;ELC-H?N(bxMdV5sy&fJyvwP9E}BfIB#3P}p+haHo2C|F zr%QG>%$PL7bH0%pe+srq>VsP}uYxm0vS^X<#Wt1 zbj9?~bfo{Q85qPK-Ao~vIJ-&1I6)}AmTgZTrvB_au{o?``zh-``B6$hr3y`E|>)+p@K00_c-V-5wfTpW-)nu9?_(B;gxj~ z5!qRUtXT`fALt;X6^+U8RJdFueuWSN#|;oatI9#<#rIM z3d@~5$HE0F#Y5uA)^QFC1yqb5jr~JzVt2(XNzu!o1!=Vje!k~}8#R8(^bKiBUvQ?5 z5^6QlvN)bCJa}{y_WRzhq@I-5*c9YBW5DP^hj>ru(QOFivrI71J34C6-Kp)Tp|09; zv>Q!llY^F@IDJRK4LD6$O||>y4GmceI&d`Hoh6%-zW4!>8UN4XTl0o1ZyDGv&DVP{ zXO$)I^#Vr!vQ2B>0$(eO;T?mQyDA)<X{ zRlZEb8c$uQu2V6bm&k!aYwuh;`ZObha(L(SQmFf(_z-ev1+y^|q!@*ZOviUX+PxYw z5gE#z38*Lo@*bczN`19e`h#{=veoKK9T{}53$6Vv6_Z)T|pzGiM-EAEt@`f zl94JjA7h({9_a?XIHasv7NQ*iPxG<7{*DrGd$D9@n@@kPwK#Zgc_Aw(*^TA{C0XC9 zhk9=iqe!Y*FOd?x0;9IhTeQt*bCFnr-K~x~Z7od=bq$TabTQs+SAzk63>tFzaIoU^ zTfwIv*M2?}3ONp`%>)nKg9}5j1Z$4|qs$wwrmW-ctDcgEoY@uSP~>&awu}-7 zwuocFqG0O53}_lgrGEs(|D`|>&WNu+yc}Gp1FCy!U{sRs)ob{!9tf;-fi{NHnepHH zymNtIy`*k?RX^8q{GO>j8J*-4;`u%1$%U;z6_N=b-TQD{xj+V^NW~h3%Whgo7y}u- z(^HU`yQ)3JyK?sN+e;Q5#RpU{vOD**>KQ7aHV>AS`trj01*?Z{A|re)7U-{yV<8rc z=Nx=UlHC`$W|@*qjQbNa7Sv2@%OtEM{@U0(S%Cux5i%>6t;~SS-jSjS7j=QN1<_x@ zF-kM1bKO?`MD)a0z ziz8}~zCpo~%8`(>EIP#IS3KdeQtLfI>f zkap?qaDQMc}((7FzcbR)DY-t2uf3jne%-PS$;nefm zWiK;`V{nbpb%k+-F~MKwewgsyLqSwMy+>6qQRYF&UTQ~Qc(jZpjx?`{P$H69d_M4f z%gxe{h4$wPHGMuqbs)^3mq&>P=1^VD^o<4B!Po-lxA|hGTv>6$VT4)+r$t6VCQoD- zt*d12g@`t;CH-b`fI&e0t1i+usUbZZj_%h9p#Hn9p0J;^D6+Gh$HWEnPz85)BR%jF ztM0r0DHMTGKHkS;qW1(p1EEbGnTIm<2TF}^Cd<#?jU2h3^cN39qHaJF4Oxha1qijzq zE+w3<1IezGDXB8aETx&9_9cEyYpoe8t-bwCyj6phlCOI6EL%-PYP2_)%g6mpFX~3^ zD=Lck%cBO^9SzO`>PFQBR(&ANeIU!fKiNy_j{E}Z^tX1qy@OAu@W^xO+=}dU?MUI!{GNQXKBhSFaz^eBP{=M%g(d<>T3>2G> zlp1ws=M>Q5DJwhJXC?%o%d{tCF2GL&G|Nr4Omg$X*g;C<4^fo@_u!-)?!I@4jCJSN zSqg{P=as)IBJ$%Sd3tzNc#EWEy*fdOXqT+C=V?KgY(=v(VyU4!mYtWrQRU`eXMDx| zH`2eOD5k|GrC$)gcbLL=c{E0g9~h1*8F&;H062v=HVfSZEG{#kO2v%3i5)nuC?@L` z*;XGsF%y8lYZ#vrX5<+}&vLgjbH88bunS-&=r4g=X}k<9Mw>_a-%*~+?EwOy&QZfT zP@npLtNNzdsH;o&qBGgOmt+%Zk!p=gL^xO{k5z!x-l|1m-8;**OX+~Er@t!K_XxyL z_|cGt`D^<}_69`8+4x}ZSsob-(QMr|DX=Znhs`)O%TRwDyJc@2P_%ld3gVAl+HFOE zaKgJGEEI_6yJjycXW3lpLEFSr2cwBch)kJ(=Cmjl%t+%VEiVr>^KP@hu zdv^JLvb=U`T|b~S(#4d(r(#+7oTb4%{Y`b)xPc?5oMu(ts{{q#jze-}YOLn?+BmoA zoD3xjugHOy`1Mj6dZ5oQ;;PS&B1>2F0GCj2U5lzXaoVAM@WMV3{LYXix9C5W$@E{@ z_x*R?`>#F0Iu>Q*s@H`vE0!Ggw+P||;6-t9hE}n7Glv3MvbJoluYe44C;qwj)zj62 z=@2HZ0T531huwa%^I{Gc#>%#^E$;T)nB;p%olhlE43x9Zq&6{?b)4??f`lPN9~z&> zrrusqtA`2>>ZT6QB3sgYKiDj-4W~VIP9c_wNEwe>85Ho8u*n$p5@5BwZ%rR6J4WQC z^F|~6Je!7%$N$c_O3cK5RGYV}k1g4G37n`kO4R#Y@GK-Hxy%dXWC@`o;(Ne_Ezj$0 z921s?m-~J}Npco!44S$h$s!Q~*UEh%lLkfven%;N!N+atP`!YF0VJP0x=U+s)1;kK z1mq&va#Z=JIdtOET-^Qr2h()o!5ay5cRF{RoQbgvGY2LsT(aRZ#8B6#nX}>!mwA}6 z;0*+#b$h-GfhJ@UCEan122(eZ{`@#$i}AS<*w%v)(0UqOP#wMx-u(OcyGcn`P`bNilkc9`reeTHfQN-Em*= zOTF{Z?CIEhnD^btE+02WqwHFUoi>NoAj#$}yw?(ohlbPo&9#m_a=QMttPE|SjbfTZ znFx+hZxgWU$AjnNc%7(9>meb{LJYL3pu+w#o#rFvHdQ6G+Bd$KyBUeDH=w%ZLHF&xf~!~m3;f;+hpO%|1x zeFm~!SuS5)finpJMY3{wNff5}yH&9+VNfYVwzjo}G?uATWSLYQb9@&c#vaZ7x>kD% zGUDq)TuqG6j)BpHYM=Pix;Xex|0AB_YIWrrT^i`*Ho!Aw{LWX)WuSa)IeBaRFo4xy;Fj{Sl$&>jDG zG=h=i4N^rFsp=CK)^P5CXX#_)ni2orcp}9n=hvO=j!8YmUYfmtnF>Rg4N??@$yZRT z>>2>f1m;9X|cD&b$BQ>woLwyE8v)DkrKXSbwaxCUrxW zYwB|Iyl8G|K{5%2iKyA=tZ+ZiG05{$8|9TI(q%VmAR2Ztexi=5p#*Pl3S#@8`7_M+ zFz1cBX|N`_BF9*X0@fFtgMw{C*x}k_H@thWAIGCg`w9W{BuQ@A*QtW8Df-&ubdfMD z^jJfyJw{;v!gaNO0UBY)>gw4}^|xz}(^McmJzw>7xN9zOj9fI!o^0PQ9%;4R90wIX z9!0{8yRl8lQsiZtzY!Zs-dbRJK4=S6A$QPI^+B#!s?y}$wyg_;fY^3y%X`wOF=2b{|{pftp;IHuX7fs(M~kCYvx$^>;k>d^(X zZLhVyaK-NY*9AMbhh4=lSf}-BuGYf%A|t!=S~fg|Vc(J;qog1%tAOKKo|c>ofdK@Dmjcos4e&=O6`kg{DZA$#UFpJjyyN zgeT_jcy0H8!ks8adW5{08@>2Errj!~f8<15YYy7M12ztKnQGwtO;c7ygr zUzcP9ZCjqF-V<{26hp-mrN}foEB%gwr8=#}UzV&;VpKWj$a}2Q&|0UY;eyfH)CRah zuOD4$gqo@>(;%2yK zqL%Ewra0*x+X;TaITjn+5~%Xt&}pij@*E_amFVSAzd^?W)fc>mH1Phl@W(C@sJgy< zIrn8nnyEonis-}6W~RNCc8V8vT5oM#N;z3gew8y|SEiQVP<^}3<(M_cJ0~l4JZfgJ zN5>q6M@fy35)#vs0#r%#Q}CTa6Pyy0nhhcymdY`6{)o(BH$&4J_W- z!paAJ5QRgCVcWIe!K*~0189W3GHl4BV$M?nri?P@p~n0e4n9EsUrWM23e`&z=M3b6 zFFAK=9)msnHnf{U^v263C+7Nz*uLx|`4j~OSleg!UBY@>gp~udLb)aWGFd&^PJADouB|0%Ok^qRVI&l>c z37mtTw<$0=jf~RzUO*=`zOhZjuNWo?{|3V(I|-U<3sHHu+xZ{rnETCO+;r9r0hvf7O3l;k26qFNV_?YFU7OtA2*~`|PnY zEH!MO=BKP8jypl#&X=?NK2P*BviA}8n%-Gvh8~W7ubvRrXK<|&UKHoe^Bl`W-Ry0d zTyL%-u^66P#OE7gN4IvL>G8c=m0vZv%KKuEhekF*aq#Jb`}S-A^C!DwlkBYzbN zbEn`&+k>T%j*-a;WE&PgfHoIwWj-|zilOJOJ=KiCva-r|BwkaO+*kx{B-j}9N9jKm z>K85qJF{_=)WSrZA8MgI+{Z6VOPB5o@qtDc#p0Ynj!BgohiJZ( z$fGm=F@R5}I6OtkO=i}8vsl40DGwdPQm{PFlMQ2$>n3IAsc(Erz; zc8x>Q^}<0hhPL4eoC)<;HXAPcQ>WBOMMC{t{Y3wkGAXprm|4F0L-x+~sQP#7Z4m2k z&R*Tm*=5^%0lEJ|+aRB(2dugQ5R3XYsJGbDn%Tj02WPBI>4SF?Kqi8CA}GA}CiA82 z#(lZXM#Zp$w62;+t>C#uCX@G+HZJ!b5$Zo1cH~n&<34Ascd>#sd_Z^7dgVt`Y1 zx=!_q%9^%JaqoR3Ffk?vCJt)$qf4~Kdd`Z>t*I$o=1Wmf!o6rmdKAVdtAf`VPbny? zSee~xb&nv?j+hnxjEXK`nUWXk-h4b5Ra>mK8HZ+gYCgX3a?bUuBLaPztBG&daH4!O zLCE?QPt5&CAs)n<-mzLHCOw57j-{J*3WG-=`sZRy*L1JoSvTBh;+I9E__tlChM{zU z(5H7%&OCEJEXS*UM^Q3rK_d4?R8>~OcS+~->amZx;rgPr&TAHoXP38Q8nzWz z)_~xEh{;iy;p$Mm;@Ojh_Wg;1;kFl7%AbpjW@D19ivxrkBT~VWw(6<;UdxdaApCXY zh(?!^>>O zO5kMLCrcHY^~Z8|8X&^zepXbmZ`Mw0(A=7GL6_aYsZ`9gvUygAuY^qIo zFJr|P@acJJ?E%*GQbaIZAgj4BX!=#qREX|ef@z__2bU966#&)437~plPTREm=m15i z!X9`6=s&@UQu(-D6v_J{V8C2^#f+>O=H zaArX^g(IP&&4T=LiUJ3O!!*fs!hBiyo?yUa9BMV%1c7SnfQY~*%VZ;D>0~)4o^8t|<2o6o=|X_wv5w%z zo$Ew6Kxbg4L+j9Jb5rWF%(FH39nu2O2(es*#h-p!YLaJxxao$G%^eMhkA#}nJ>q-t z&7eB1Q%tSTIFF1vPz65{VZ)XDviydt;f{z;oa&+W#&_=4sTsBxb>ty@kB;{Ij(G&% z43k>kat(a5t)!F$i!l1*{VA-0`y*z+mTVR5&##25`82%WZ+1~(IAUH2`$iS#Fbc?A|gkux$DOZ90cIS(cQvI@VHm zMI+|1vc>Q)$9EJehX?pm1($ORL?BM+t2WK+lNlf(+Gq<6+0NOnJ$`E4QGV?ANQVR^ zK8tzPDl1}*o|Rs|8W+K=!f&eUBWJ3^pj2|z$qY3{8Z5DC(t;UTUvQBUgOK2Ra&0bQ z;a-6ZjX`fGsMXJdwy;{smz!RxkwVn{jlveMRB0m#_3tx6`Oi$tVmHfzw&3HVAV<9z z>z5E4O+2~BZ=kMgeD!~r7HjCEXLrWcJRbe=^RU#@o){mx#?eU6_9$M#(K0 z`*U>QBw6o}jNjiyVsT;5be(yI{Oyhf9)1J0y|J+3AIE9luYTe(LoPhQpJohe=+8GY z0`-qyZ*;7zwpHeSX!r60BRAcn?aCzdgPtJ6Zs1n^^uJuiG%)=f(~wM){pgG8hophD zKTIB?&+yi+xEcmt9!-sE{Pz={KdpV9>y`1I`4iaOkp0)e$9?2OOi9n{*FQY$6AL%= zo>yaQb=#Bee5LYl|N5ocN8T0w{HG6o~M^a7S1~8tsm-cA^ z;i!D*d|O<<6jLi0PntL6OQb1n?Mn7+2@7gzH#RPiCpsx2II6dZtru zd%Da!_y{(&rCCK~StYPMc&h=>d+{Ac#-WL-U;K@XgkwmWd3a;CD4{kgC2Hb_tq8{7 z%}}yTJ7W%<0oOsPfCp0uH~ouo?PnF~ZfKd|lX~x#FgKpEQgdtM*$ zx@L#C0S%iZO)1H6Tj+hmNtX()a?WxtQw7V6h@2kG8)ye5BtB}^zPJIb?S{`-OAo=6%L`&Hf)m0!+*lGQDqwIZPk>=n2-$H^2RRB*4W~g^vr& z9-_E4mC%XWf15t8qZ03Nxrh3cnMU+;h__qcP~%zzXL;}ZdWr;0y!!&al5e5p8u1h@ zq^7{P(AbH3G9;cx4cmz1U%b9BOlDNKSX zH@g73gDN^@Y&bMe@#=W=6}~7>(#Jl@THN3gYP4To-5FV`?WLpnu-e+ppRQuFbL?{XIE#DKF&a3@to zR$$ilP3d!%1C}x5y4o|Fyt}$YXBQUBm)DW+T&0~~xObw0O%~hMli<_`f&%y_l>8wx zuqs-p?&bTYsxRPWgz9KneOa~Tw->L2da~=kP`~4miUr%c9(}kDP3361_8#^6ra|I- zzxaHzsvAcF`3+ME3yB48i#@(LMR@jI$tfty$Mg@w4PgZMwZ zp~3&~hQ_N1+b{~U0Kwc(}3+KoBx8$Z-iepUfBuM-MMQFgLl&d9oZ0i zjkRr%EsU39?;mvC^8KMyY3;#_U7R3S2E8|oU%e4MdYn;K`~DQ1MSIX_R+rt1b81l& z<*{lL`eNr!`=WsR?1+w%`G+!t#Kl%lXtk>zL+ay)_O;&%Ld}C8TX#GzyyBT&*fUJp zysS`mlgUNi6e;<@GWx5pWLb4rtj`D|<3V}SgAUEhY z$hdqpJ8ps)PxW)!)`h49w_y+ChW1zXwrX>kptRwIkY;b56FsfM1;E|vzdb~v|M6zP z)X9bp6%uW_UQq>CH2vcIp5#kz`c!il{5dO3mC)em-pZ2Wqa(;Z{hS##oPp`N@@t9o zaqeA=#XK+f>`;ha9NG+_ie3p_P0_ENk$m#A=3KQ$dZ=dfGp`G0V^-pDAI&e$FWz%Z zS$$RXW^wk-5zGv4QQjJ_kfByIx{iRk1J7NkWBVX~D)er#KzHXh4S+E|U^g)WFvg)M zO}}A`YyNr43G}i`{IJQ$SKSDa=S*N!Fv$sc232UoxiDJXj16ZOP8{Jh%hd1k#)YW@ z?ezot-jB8Qe@D3?y9$vuU9f;CxTpURHLOgx4a4%ThwZNRlYu)FpM`wG_p>g5awg5! z^Dr7g^<tMM}N+-rskn6 z0V|WiJ7RIN?D#1ZiSwY+Z#Mpe3os9`NQp`<>cE2bO1a2X(k~6mjm^IRIw$f-@V+nnnf9ve{=(Csu;>naJkUT zP1!V93@7w9`U-!rW%!zVte1K;%lT07=xFQgyy&*w)ieMJ6@q29rZ~+2q;Ece^Dy|1 z^17&&g^Oa{@wGE#l5>TV@m+IWNH1DrX^^cF;Oe#i-0N#g71z(|nd&9OD<>qWI52+5 zdN50!Lr~q<%orm(UoL(gB3ZN$OYr8>-?=g6Vei?8%tI1*$&#swv5~QHOI)ug z@zq%g)}{_adjhoz_eum)JR>0++sA7MZ;}q0(_paqzbm67kkGn0!h%-m+yG@MG3b*(vH@Md>#Ma42r~@>&Ksk-PKt3Fj4w$4$#cM>HjwPY{F{Ewaj- z?%xr5+I=fz+-7wA%aF~FOrftP^XP>4(5~M@A$C|Y1K__bO!e?4g`i2w@Z%rxE^;{q zJ#{Gs(gnubxSU;2z~Qnn_VgS?Rc8n}U)rhCt>Zc$KVbtn%brVux@G($D{#g{0t!Q` zO+lkyYWnn6|GG*SK;&L|AFCL1rgi4z5IitEkGYWcxGwQfSWLs#?JK(hB@DKu@lA2j zvpG)bsNkyK_vSq|NCc<3j^NWECGP2m&M-*^2GP8 zN2*vDiEc+0A(63}(Ue{4J9BPvC=3AOK(NQl$HxQl>3DQjw!{cw^su;X0cLRiUW%~_ zWkX=V#39nlc@$UhEvnnsPD)JLmzG-c%h=CcsPstce4ZF03K}hT|WYd@alP5?LCRCuIg&o za=_m5MX&a(POWMr&^LFGicT$cNo*@GBirw2)3|kLTcS$f96gU8$xHKLw=X{qv1K#` zcRXt@O*7av`3OQdT(wHEKiSr6uAizMWb$$ZnLo378Lt44*~RpPiyXO&dfqCD3a#4A z?{||-Tkd)&XX$2M9kPz`TphAr>zExE8?S7PHep^A4Wbw!a*%ZWdZM(OQ)RsJ_nz&o zWrxJxG9aRsMT6({0N`eKmmg2pPJKHAADEv}#L)^~CzP;L^O9J6<#l_e=Hr8mCoHk2 z#g_3*)Db(vBdhH6`HzQVFn`uEu1Q*Zr&2#tRd>OrLbtE7tm+iU3mYU6~W(+Ja~ z7F_h^+)#ceHF8a!cDPiYQi)A2kE4r)X1&4@ui&ij!CA8>0r$u0!y&qZKV?D-vfDH@ z@fa3A0wEd>%rqSqeolmL@(!wjb7pOe!FxoIBSWX^qQtu#xGko(bDU6=58bY=jmlR915*e+zwg8KDfVW0kLNt;-E!9H zzXE(BLu(sjWjzI>nbHtO#%7@l^%qnR8Xg@nm(I$?JJvgtG(;&?5~N!S0g!A<4c&49 z%I1bHk9Q{5`pD2yOQjqnnia>N~oKH?+4^wMcHQsf^RSDO?;`Wv$bc_YlkjGF4+5ScaQ} ztyYANP&8cE?tOwqo~8L7>@)rmLy% z5;Iz(Ap|f`IesRo@-E(X^B`h#wF1(iXJL1nGPgVTQ}VfVz0kzN*l;O)%_lwrxaPi( z`gkk+cyrOsk!ml7BA6TP5@DTs3ux|D$+qI~o5_V*h^! zQ(=c~2M`OUecWdpK$gy>N7ge?L9}%%oW$*^knbpjYm?E%bCwDB3d!FvegRHq?%BaE zWULzNCuFL{?$z25W}AC-^sxD^g}q$^j~%g?M{#|M!l?h!f0t@KGWONFUGZK(h%Zl_ z@bfCx9-$%tb)hHw7p#z87-MasPA0$R(NNtTIq$Xbk&nP9@i_cM953DfGkkrbSF=Us zCabPD{sz%VB{l0jN0bQbn8JSFm_m0(3`l%P5C|dqb?mWrnRx@LNB;)42MV*E_3)zG z_*7D_rAXmV!|s=KLDio|qGp2uImtG*v0P1~!poBsIR&D`aELEDhvA)GM}lBkgX*@% zm~SHQI-qgBFV5!29R7~Se%(a0W%O93`<;4mM|^OwzfGNalRff7q*}9*FEQ$CYN^G= z?3MJhNpUX6$Ut+3d5ui-6_3qoowSC^Z;ARk3mfv$|#SGd@Go;aH z%U;Sr2e91f`m((_#Kvyw0bI?AkZtfM5Ft^>1NvXj2^Y6QBtW0x(&>=@jXom^O#Bq^ zt;}7r*KyR7Aes%LdJPWJ#JBlLF>>l^#%K}qS7;;~Z0x{dCm03trbNIBl{69d8)~RcRjG1$A!e7v2$39T|nHDY0Ji^n`veS>q zY(Pr+LY%pWx~wIJz$B`m7_r;m{(|D0Gz4tJklglE?BvWlkw6YHH^4U&{}X@+_NP$$ z_Y?^Q75DZBJ6za%PzdfwWeqb*1rWuHv+h4by7}*1EG=Bdtddz+k(i1 zaw&=2)Z{U`2DbQTBFIOiDEJP5mg=p_m2N<(%nh;n%C{uc4LFCdiNogW+>^s&07y%^oa-42V80I>a4%R_g;>dHQV zIV25$u7`dC4=OPoR4+Vm9Y|ZgNrd9+eK4d0K%^PJ3Uuu&f5427yd`2a;i(}-0&`id z1u+nGbGSdMR%|4v-hAmfx(FL%G*3DXMY}19uLz{{uH<`gR4`a_bjOHq{vYG|Dq=vx`&%8xNNisdUR+>~Vr38W8L5O@89fxzo4bu1iXO@C7c;_=QH`x}H}VzBJziTO zUjedO)RZ;pGyHcnWETLP*#_alylahcy)$aCU|3jujvs!C-)(c5U7tX?&x?YjqE`LS z3lEa~`S*gs^3ExDb$y0j;CJq7Cakg=pLTO2Yr=SE=w7=_yJMePO4qJpxPH$@6X6d! zvP@ty{|w$c>jDQ_?Nr|UtlX`tv0-j^~Y* zbgauhZZv2*Jt^$E4&=h=`9reJE;<)@e8b@LR}+9P_gQ}y85`G)rxcY)@s3=!;+z@y z)F<3TmcQ-CXkpQagt#BGYyY7{y9m&z&N9QrWy!tzrkA;~aWz>wq?fexB-^!uNIb@Z z&J)NBv^fx3)p6sXlcy%(lsIfu5$klQVtB`cIt|;Xy5F$8pQ`|*Z<+I+Y5<$Qb|<}^ zjMyzf5Ea16)vWZXPutjD@BADf#nd~4e4pENc6dVbO?`Fjlc=6YL4f~lfE&q2AZWp7 zv{b_&-(+o|n2szf#BhfZ(f0Jk)T>*i1Xxnf+(DjyyDwGg?u`A~yDFT$XefVuSF2o3 zi}v>P>$iq@&&scIu0_ZK11_7|wjrzB>6-YG2=ZbDN^(L-(zP3H%*56Nc`sjt0m zG!>259W$szrZo zDOU^8?Gpxaq^KnBb-uij$tLWlN@K2jj^U>rr9Xh5VPZ`{K*s9}FzCcS!X@CTjpYZwjEWj;c-i_7{MY`=3DThTS?WPp? z!FdOa=9u82qCE+FjS{7NQ0S*w@B2nlvIvT|jR}vml&+AD*r~KGd{n;3zh&fyZ-V*c zTBWG07_kD#{8Y~p`9PztgHE7>Fx$6sb0iHXTir%`%UN1OGwGp6YP?Rq4EBj6a9s;sQovzu*Xej)VikpD#J3C|zQcel4e5+%u)`}|1~e=vmfr!n`+ z-RMkztuRL-S7`>%IAa4CF4Y+tuI^+lF^#}iLwe~nja$aYi>rT;INV=V5A9dkzTG+1 z-9q^?4R;NIO{VHs{O+9yvb;Mu7O;{7^I;a=U|vweG;l`oaQ6*$+)}f?FDJM1d=yh! zoX#_?0XCqcaskemcAk!{>B?-WZxwlM5xU3%IecRL*v|7N;nfO;i=GPAM-^vPKypk2 zUgbDByv@bfYA7DK*U)_ z;LFF!ieKY&HhbUWGgY0B_aSEUI6vG=D}DHlWK8ZTA2 z<;-mQ;p^9wFZd`b1LI7O68J-pGza-(=FOG5D@wh1vprv|XcqrOSylijOK$o)mvXSm zUBg;C06dsWCrw-f+It``)NtG45a>dss-ol)BSuLaQ{0;iwpU9C9#%u&3}TnN=|3Pn z+6R!lv}GV4=7%eT#BoTN1ZEbetVXDe_HRg1k@KIhxj1sQ*pLl8h_bNvzUT`(5+~T(q4TCV3j(1v~I8?8A;Ig&fb&|Y- z__`1k>Zp15tyn`Gg5EW*3(DSUcW;m)I>=Zx0hGu$|A?8|9N;?=Sg;e#C2LE zrkh4u+?J~+;5ayQL>o@xt9jiF;VMu9O}Ul+*JIz4I@aC1s^0}k>c|XY=%^0Z;B!J3 zQ74`pDDm|enA}8K09$=J8~%q5>O{wcG&8$0tB+cp5)I|v@7gtl`105Cdlv7>p*4KJ zikB5eee>~{7-!+U@%tJ`i}^>AE)AHAeh;;$A(@)#|QhAj6$aT;1`EIZ1X+}xM$?wmra4&4?1#Uca*!Z zhiAGwn!`}ny++XzKg_J zQ*~*N8x7f$>2j~0nHT{?cFBVtPW-fd_KD7h>IA00v(~dr83R~~!TZXx1@D!FfY~Fk zMP>vZI|b!nv0B(;l`{DZxl8twIW3o>lMxs8l)^&lpRR$1N8FLC6&aU4HR)AqvgTIb z$;#SmvP7e%j20f81uqxVAH_~B`0$z3%DwTN(7HoHF5WJdU#2JM*u~g^+ehn)p@)lr9~+-dOI1VqP}mXPvYB+>8-+ysV9n>K0GbZ!Z8EK+dng{`ea+j&!TN z97!BgWU-`_d-8iX`RQ^gnnHn&-pJ#!(!Dmy>4c>vtAU%Z%{+Im7p1)-Y@ePl>ha(r z@{cKx`7V(8B!l4^-pY}Hjp5Wp!#@&4*2a+7i>1Por#6FTZMxSM|9I4U1Y}i4T9VrgcG`ajkcI5Uv|CvIrxDd@s z9lQf1h4J1B?CloZf2?#`UE!Tz7$S#RpU z$D{wJC8asdMhDeN=`mCREUvN>ACvhp6V94SpF@YTQ*tZI;%_mSh(iYY)KF&a&P3cwG3uZG3)l=rmj!A4h{aQQVREOju}0^Tt95s->mX_vYh z(-PgDUZi6Q_(fhV$JL>Pv!RRl+bA;e+-0+eAIRXjTi=59iSDTp>+fIpqcyO3zK?A%}vUBSLV3%*&3nU*?drO;Ol#O znUQYhgL5f_?>e(rv!yX7GoS00A9wktaQ;a50EQG|&clCOrmg;R@cs)hB{yZS5CHh@@i4_(x zDDg(%!<+Are=sDlOMyt$d`07?U}l!Fw`K&OR|#On0*Qx3ax>ib6ApAzxDie$-`^pD z_qgvT53TZ7M(_~vKFn*k55Bn~yXbJp*UbnzJ)8Xmxc`1O+YKB_*0g2;&9E8<5WL@f z^$zSs0*q{#*VHPw{DV788|r!;|1DagAGn8(1k1#61ekU^yBmzt(hNHz{!?enxL_eo ztAGVuPg2nB44A2I@6YlvdDSn1sX?p_$U@sL-p5%rjWy+EWni=0FM}MPNZl8ab;S#| z1X@IbgMt=a}YGq3X_f8LUk`TjA zt}LBGAoMI9u0Gnqd^H(eD&J8oRHrswteTQu&3e&Nz}&Xc784SP;0+c8zrp0=J5sID zY)`M``ri4e5o#6nG6IaVj$IbEZJ3hhSu9Nj1xMGyX8a@AoA(5biLOi$MuYZermKk} zH4*tg;$7(FUwn&4p+4GAKYQ4do$EFj(uQ#gZN7qW&gIC3u|7uvU|m-WFWm*@*p>N8 z2&+5Cz{Or-iK9#$W~<&lD{{Q-^UQ6r-d>G`p!T4;tWSmqZ|Ho=aeot%!H`w`O3@YT zvGk4A%rM75_$c8S?byd+J5NhDo2+uUK`Cqs+C5Y}n6cc?q1eQw!}Mh4QK_CHW+aG@ zP)x|~1_Mz=E^vHQ*gB~fIq|csVrNUQBRu_^K=;2hbVi$-g6`XHaMZU^I())?k4y=U zX3E<>9Cv}@*~V#0^sI#WzuFS5uZOm%$Pqj1SFp6vmy&Z*7^mn}J_<7N%>PC}re>Vh z?y|#RBdmHgaI7|d%Soa(1)E>T?U%urJLa)G8eU$`qj7iVzVaVi96aQ&n?;Hg9c}TF zLUu)Ee4gBT6*X!cb(~wXG1^OSb$~Rl@f~zbu-*PaJM{1O;>g)OW9m>2lvDvPq`NRQ z|D7vgDs!IfKlY_$&fTe1v`xv(lHC(*Y=ZVzgL5f-n=|pH_#`0Wzpq@8lhv))`lrhX zj~Vz(tbP!IgMzsRJJXEcp?R+ZsTbo>=$ER#R=YIhBp)AlP}TVHOY57tFOO8f15CoS z5k?LLG+ltOcp3;8bwN3CO9=TG+GNN^yPM9vj9evJ;CT{<3W^0rB1Rq-EH0Q4^4=#K z{bu6vgADk85~jrf(r^rP7_N>Bhk4O5?Cv4_!OI^yd38n;xZfv_{du~jH%&*KF-Voi z(Fn)w9w(ZSy~AW7$tJ}syI6&!w_&wmDzpYowmzAko>1-@7zTvY-_Jc^aS;>vQwQcs zk-3XvLKaUVvPP*Q`n9r9Q=W|`lpOmPH?5^*!3YaKJPzRf*B?2AD$X>gpY@7G+XLk z8#E;2Q5QOJ__%*0QR6mK{tYQS>BA2fuOHXOTvQ6xy-{86#l?O5o7~s%vb-+q3Nx-o zz&uEaQqqp#lcUiKq17OES5qUXQKY36BdGDM&)79k%=;@I#sCs8m`DhgVrz3);&{gz zYtDro9ew&nWnIi*z(qYGcGm6`Q$AJTqiCca3Tl$)+@N!jG;_Rta!2532vlI_{ZA{f z-YMEK=ePQKf|9fInwmaF4t}9uc?;^={Is2W*&p>cf0U82c775i={s7426)Gq1trwq z@aa6uB%9dFnb!AA7fLay4och%6(q`&xKf*X(U0ctx2-4RQ19B6w0i1nxdup}0ih-f z;3BgB-9_}>Q0LTH_H|z)m=Y`}|M}{qV9A@%AMRtAryXTr3dLt}Sp#jM|4R#H#rVCT zGgaS0i|X5YKm)F`AG`9Z`t?u+`#v)zq6xtEa;CY#fO!r-pL(0QJ#`o1nJA8`y!GK# z^r^xzZ#1eEvPlCZ86VI|Z}|o$6;kWcb6@$x_TeaM*_l!Mbi)*e>>{gHCM3ykw3{vv z8#rZ-%Q9KL`7^yIE`fAG-J9yOBj+}2tTw&cEy;^?syQvy^}p~_xu9V!3p?}bSeVcD zLXDZu!&Rgy&*uHK5S{kF+NY;t^$Rs3S_fkeEc*K*tVo^=J?D~R8Rt@s;-k=WW>ul{ zS3-)iuT=H!#>VXarXj>Tk)@sD<9Ba(W=+|MzL>{%k*b0Yj@BMddUPs51C>Gdct(M& zcS&r;#82P7L+8t5J$ooq|C~*cciICFZ+P^q76Js3D$8k;*W8dPF8S~KG&(g zQlt8}!Xl&W2eFz2xWMaTW(Za65B({V2_1Lu75Vb2a$Sic?wfpZH6cWBiFU`}1>cCh z^iLI(=bgIFwrW*!q@Y#!y|#Pd>Cjct{rqT35ss%U$+LVBS0Xs9tq;gXvv(xR@r&_> zzz+E29G*9`G=M(7<@pwm+UWhz9dOKKor-H3AqYLUBTEg?5dSSnhU}tvzKRp|9kjfG zKVsqvPJKl1G0dw-08~*uvMi^WW04bVIQMe;=I17b7!=LUI!k?geda}Ww5@PXl|_Q7 zA5LVM9GxSwJ594LOwY69HTJ#}Y;J62x)?y_IOz12g;xDZjxI5& z_I15~reJ+h4M&$>THJ-&U-3E$a}I``@xn2gq3v8{SM~C@%M5tCq8W%(xtV-~#e70y3XOjfnz)qL!`WlETh>x>m)d=qn-mwVC-!VX#G*QO zN1Hn0)jX7sO^Ze+ICLKr$aL3eF{&>n#j^NQQa&5{pekK@9IO}`i*QxV3RvB@%pv{BH@KXliXaRI#$1$Nq0{?os zz0-W>)RSiw_v4elwKKF{sHOV<98mbnYW79~_g&TbAr2<@;Gr3L-fNFrzrE^-u}=Ji zrxFOdUcPu?`OOT|Ou}dTg6JLkNYxo{(xG4_{PTT^$&O!qX5+$S4;&hmCD{0hFB-zT zPX;3yzim>sPinoEBE-Vzzn1T$Qi@>K)$eg6YAgTMXagFL(DN+-;I%L@mhE&cbq`c& z*!PbSpnRr}R(_0qZQsN1(N$hD>2@5A$916-+ z@LQVnT&N$*kd^T>J(+{WXS|b&;OGfWgnI(NXqWc9Wc`EtWKX;}a|rt_4r3$rb>bH> z30|;eTqyvCa{gW<1ST;rVkxl0ux17z#Z#Fa(OOw*;itfT`Af-3{z)T~dg9ImD%-ry z*n<&kkCQ>kZnOr!+WQI?U~r5llk<^BUv`g?ky}B2?Bq!RGb|4z;{0ZGdA4G2C3B>~ z`~Y5T=wE{MI3rE>7&DLj)h6yklgjcM=&dXAOj>_{2iLc9s}4R^#6O$P{X9899wHy; zpnH7^UgYKK>}Vy_(?Boqi|u7QV|nOu1dlf^F0x=^V~XIQ$09~r4g}_mO!firpqbfE z@L>Ay;K7ko?i|15DR&NuSAgFv!R0P{efH$kl-^p!Rw$JTZKs}eua96Yadq%QFp#SM zr&NVWTj5M|o}wqA=xzm}Qn9ixNx0aC{M*qJ1W{^v1q>NxI?J5-LqQQ2th59wt8mNL zv(N@bLOEWcLcf2oWYJvMQDD5v0fT)nVR`fr#7y1xz#!Stduv7Rq9>?`%WtN~^?Y0n zz7bPy37^}?W@b)9x(Snfe+xYiJ>ZxzHgD6`#uk7L?#KeLLF=EeK_?mPUcnsak%qcpy>9=g`+%}+UNE=?gD{0d)z-sJUV3ug1q#hA#4MzJhDQEY;< zuzn1k?f`i8u1u%A`n639u<{3SBPAdJR3R-Ch`4>EYQ4E!y*;`DNMfv(WROlM8@AOT z$5M`1N>m7~X`z}c^rk^9FPxBk_(2|e{ZqdBR}p;CeYH}O{a#7!pxHmy|u39pE*IGQtJ?BXZcb8kJ8@2*45-o2d5V`l+X zsAGlJ)v!FNIYzhPO)=J~1fdpkC%SjQE4{h;z^K(uBpFd`s?2`!^?sxJKjeqfLQkZf zxtc=hppU|(a|`^?M^LKpZ$N_F;RT*<&h`*{haGW}b@fx+D0!9~`tj>n{r#^gM;L{# z7lBrgDH1?#^B$pIDq1cdfO_utyDQLmTSyIuxkeL1@)sx3Cy{h zg|?PN!AFuX{20@SAAuswSdwgyCXphaE+mdHZ9FO8_CHq2j}G9|W)n5Yv60f3?S0uA z8zFVe9Ac7yhrr!wt9yi!eG}HGS~QCDdLxQZyuglh(iO7B)D$`IYKpjawgb&o!(`YU>d+iL!_LIfdtbD!+dbE)jUjGRviJtKf7kj4ri6-Ipv ztiRf1k5}k20-nSrp1LpeM#e+E$H=<*oRF^nxz4BUk z0^pO1H;&ix>XSc6y$$VVR+*ieqLDw?upC(OL8f_y&R49j1kW1HxMu~HhTitPX#DpcD0_a5V;k_YWKMOo6z$atVyBLZ zRCHefYmQQVX}v=;@>+P<=#+B!g^^Jcj9&|WgCQ1Zr}JK_4`VY2G5p4)K;F#yU{=22 z3#aYQvi!RTj{}$5ln?S*V+6R?Ph6+%pB1f|o5wnO7wCSAPg!3)48JMxk_&-&&CQCP zwb(yi8@SfJ%ku)r-P{mb6Z#j7^8(iVCaVn`nOPABkktv&w>?;15zT(zmOt$SPA-2I zWeuT#5w$(J9uI*IkFY2w6QfuIFZwXa4)?%+A?;JubV*Z52&@n=2dqa*cQ0vZygRg*gHWQGb$xekd#s8(AW8ly4X_sfU5> zv2KYLHTC%O_{?xvhS&!9r71#XSmmB4=r_KOlAj(NHjTe;o?aSy*hgTV%+WzMvEXTW zQjs;GJ%teyk~K-iBTo_Sy%y1VY(+M^vovN5c3XHQ&Gwy`*k23|t4@FW((M0GxFG&7 zHG%Zh4_Mkh{6}49VWYTqtV;0fA_%_9A3O{7;*!M%A2>bU6@=7cnv#R0V;}zYEklef zkJrf-V5dlSQ{Hx&B2Ue&K`t5n_{R>}in_q)8|!`{g6>{TeJK4V{_MTy76f;^$9D_( z+m2nwrI`n_3a{PsR@BX?JruIo$C&`@s(>Lxn{AL!PnRhw{T(!p=PRrnM$`g2S{{H5 z#hAv;;*J~KG==0`J5i88q}K=l5nk72wZQ+$@BK&ZB}NhR)aDMc2?ba2tvd+X;twsE zh;M_UhavXFkl$;Kt~pO#Ry{mTCxLoL&%FZgV{sw+y01g743pgF%bOxT9hD*FUpZ^pM7e+7chiX*S ze>(t1EQCV%G|KB{e?v-3Qq=|vEiUj3#oYV}`m)Ne8Qp|9d-8M<3U^T}z4S|uflQN) zrR2!bCe0sIS38n0yBL~~jy{lG*6fbVq0KPsUZ~pFURkhOzn2;1t~c<7ZTVHRV0am7 zJ5ZVLz$3L>Bg)zz>uak#yzwQo?-wgPMmO4oPmFJC!Esbs#gh6!#+GJ5#+6FSMiYY+ z_@!dcnQn_d*ZGfyrs14|HOlXGh0OyGzO`Wh`YB2L8`9DGhyKb{mH?oE{Pv~!xTI&D z)Iq@SltMoLR9Vq<09t3?I}2iTNf4kunv9eyx3>!`*ke(tx4t$Bu1FYon)C&_U^ zLFw&aheao0E>(i296_cN6HoO>aG31K_RV8IK-N+6^f#nEB2!2eR~7@&X0g+(_!d&zX+m zJg#~xu##{syxxZ_jozeQS@PXL@bRDB zymu>W)@f^ReYhUA#F0-xD2c(lOfkYOr&cy*Q)I}bLXSyrlX+9yGzGvTGU^|#r}V0q z{B3y}8ui4QVw4?MgCZpB%fL@(`8t^?bz*));w_v)#dB(V@*})F=(X^NrH^f}#mUgx zj{ReX7^lb&Gx}foUFzbqjO!#+W&%dUiTLUmW7{ugVejb8sIW8C}L*S<(I9Q0ALBZ}08 zNM?o|z)+S&wAxOwjg?g?0DaMH5Oc=0_r={?zCh-!; z(*t5#g(TjQFebe4EI#?!hXr^B-F9M~R8HKK~v$yHvL_7Dp3 z){TcG6wNFTVWm^;>UtX2t~;R)-os>&`458MD{XRC-jD}z27xy0l?n2PYaLe!#}=RTD8%(44?RFy4ovEa|$eu4P!*lv-yT(fZ38B2x6}H z*T00gW9g53#f3WAR@gN6pml2$OwnhIa%&mW798=gQ$<>v6e>C<1cAeG@&5u|o z>e#r*T&|81Rzl@G_Y(8M4IE*-C#FgNT9yo|++x@gtsOZTLA~>Bh2z`iZA}ATpQn8Q zjPj+Y&Cl?dNlYNSZl}Wy0pIDZu&Y30$ z@PqbMfOVxBj>8h2;BB%qt9ncC8wy`4X0+PDzdW55 zR1~j+r)92la8lvX5L5iXmJ&t7gPdzFDvUTyI?F5s@)-v8yy_mHr+gS}mMUDS!=#d4 zGHVfJaV^{uUjv^hv6^$`P0ODX^!*-1?|4eJo{{eup_LrnU41j)h^*3x0=d{ft6e zW}XryFto&;rA44(_w?A(S~c?XWi`A1KG|f3ryo9isGIW4o|vBJ;f|#7?ZwWSN;7JM z=g#^XjTQAn-F418m?XSS2h1fB36z9wE~`C4_ntngZ_EH%=YmkK}jo3|_zbvm#kuIimn!Uu5sVDqyg7ig#ti z^gJoE_d<19;-!Fz*FN#)Dc;g|l${DaA|`ry9Ficm4w?uXF7Ptf)%;q$4aqTwgW+22 z$Kt&rpOnB~Lzf6rrK&4xJnT?a`tWs;J|hFNu&(p6FhB3NXO604aUu(k>wq0wE1>0* z*BIr_bEfM|fDf5a-vxveWn?+rWGMymrwRnDJEsZ+en5)$a!pE1SEn%*VBw89<4SnE zF&4YA*gw(Oha^Y|j3IS}X!|l-*9LmDPF&iJpZ0=E-1df*TAWu2)E#+GL zSq$X(vltk$TJdN+n>S6_#h+B&4%bYC4n2^0khOwqtJrue+67sEhX+B8xU4 zssH&20Xb0ilV^jy+~7c=ecvqkX+quOyN8_HZ3wIXR7B)^+Q;My7O{s{wv_8?l^*sm zb|SB1&=!o{njF=-6m=M%v0fUh?ZN2H8e#odb-lyB^J=Nh+4?`Ig+ z09rI+3EdaY&JJt6du5ilnAv*sxN0iQ{>GB>N@A$+sdm=fLf;rLIi~N(QIyz}$x-tu zpc9hZo+@W`E)rMv6L)nm4(9ooCE9$9-pDbc0`1-e$3-#^7o@2)(P57x5#=bf!qUdI zS8;Tww85W`{6LP>mLV3@1{mbESYm)6MXfS!cKCxZ6wsOs6;9=*JgD_n=Ebs}Uc%Ze+)9x8Za~V&LJ~y*M&($-N(w zlPnX5G|X;@{x~YZ1-|e>j_AwZS(z?=_#YI;xbc8xN9}ak83vXep*H2e_Qy1T5Gl$COX|sZ ze>0~9$im$NkBFPKi0s^|0TyPNrahweVqa01oGs6e zRS%a`b<9J;QDJEc>_#h0LT!vs@$7GTuxbexNQOqqI;}D!+jM11SFbe}ZQ-VQy4@lX zHGCzuQenRGb*X||NY+#PTk+-<3<6|70wl~T+mh)P2rdp{YKB^5HKf%e@TRB(Z-&x{ z5%}>4#R!XY0CZl2r}bV3R|Gz$=8ugG!LT*;eGh~{BT3;@v@5KOj=i&#gQtI02I=-} zg{n@Yyn@xf@hHLOs+`Trf7;X zdqPw}_^FXW%Qlk**CjS^G-pS-7PfcQQz3hiQY6q$A3o~fn&2`jm!Z+%=T)=?9%gQt zkc-S*`L{)m7M?Vt*KtjCUcRE(=}wtLtjKue{iwqGwN+u0s7%QlkUd4Mh8BR}rj>8B zLTspHAPauldFtK1hd$dQ*_M@dQa4)(Tb1+IZ&I716E^^QTuz<-G!NPgPv+_FAnF{Z zTetX)8Z{xNi&br7r9r%Q2(?=cNbFy|<)WWW#jm%;0U2jL>cisD3*{&)&dVASmz=Cd zreiY79tM^Z=;;SIqSZv~JlBy-d9k5QMl+f^y3Z9k%`!2s*^|F2JkX^Nrb4Yt>2*Qo0&9*8 zXF+#hI5!4x`OC$pY3jZ}!!;tn!}AWYowK-qi=ot(EzOR-^P}?$sOpD2H$+b^cQF4J zo&%dSN?y*WE>`!?+5JC`bN}`K{%4RKaD=(+*n!M_Rv1dt{XSNusJ`MofuAV>wM&7v z#C~7Wp5d%%u=Hw4=qIkat>c%rO{YTWCDm9spB{W`c?xlSz|sRlksIU$GR6avO(hRIBL`n#>x<4j@+Ux z?CygvmayBm%G)fph>YM1?kAkcM`Ija|b zP7WYt4BgRt6zjm{viKrm)Yw~>>Jn30*YLj8=PHv37G1r0_1!RX5g}kbkX?7tkhq@Z z&9VqKv!J-~$fgCg#7GIA476jZNDqIw+Aiy|6czSa@Kg{@OGYBPu2rcN#Gr4Xead+} zWLLd>Qvx8T8&|^M&T9>ADBx?okGEqrd-frzUWstiB4BkpO9Y?B5k+-P|MO-bbS;b$m$kPQ&%1E0&9fheNQzfhxb6*c(ZG|UD#7h@O%*m%A?>;bM zQsCc(7o>tcKH#h}0O;z9+ozB2;ah-X4PY5ML~BS0wE|}(vxdg0ah{RIWT=GIH-9+p>L96`bEtd<*|GMz-Q@SPc}PB5pd3>g^52^osgqM2G6> zlv7DOHOkk3-8Qqe3o=t&eWmiUD4=9aJ8Eh^PnUM9z9Gb4f>eeZ1bfDhLr}80`T9F% z+d^+X$3VkJZc1bs?QuAA!0hp3gqU#w^!k8y^tPq>#;HZ zF{ikNymweIOIa>r8n9>_w*DPTO!sMlOS6A{zh9AW(@L{>2{u9D#6QZj0%1%>hdw_T z03p3l%(ho`_~y)O$zYWmdA%zwRFR#^Mg5tP9XesIv{mdbjU&Pw8#kR-NK`RU`z1>DE~1pKck&=hL) zm3}64KymHI;S}NahL8E%YI2rXz9YtBqQ`OM+tR>r1L8NNZS}s3in_}CcJ^i3g;l{p z*;(6vRN%O^>rCO?*N)jyyPW0~E8`BaJO>*vms&^jZYY{Ui>Hn|aFaHTEe_ac5Fe!1 z-yz-`D>e3ejA4ElVp&E3)$ekOO!jP1kh$KlfWdGq^@!zql1d!$#$%QsNHL6wBpz_t zMrpWxMwTmm{F36n@gdT}AYEnB+t3F^88o3y%xu=OS!ci%6G1#%o*8h5}xHVzS=| zm4cV7@$32*rN(Pc=|*xI4`WD^)slp@SJi;!;_=y-;b{A9eVC?{R988upnFf zDVGqFMw2M=R>77NuHp{kV5QTiKk zeBhEXdY=g=1BSyY{84Jw2C57Oy-%TG;pu^NNdE(0{~5LT_Za!#|E&J2WPi2fgXPZZ z?FjCw-0*O148LZTgn_UFQc}%v{rEddP zS)1-$CEVIj|C}F8MLcP9*EF=Wn%M!ygX4?)vDPmv;m(XAEKBNYp3vJ^$DH)`Cp8BB zvWo#i*edBsK1<7&)hpBmei(YJIaTkZ0!WbQdocNYFfe#EkRD`ZKQq;=ikQ`zDh#p} zjKH``Ze(Pa3zXO4C4bF<{aN~{dKh@+fK@E7@2w6*9e%e({aDgu7U`CWdnvICnpZiuxs7_8bZmjc^ z#b^Mbs2OA~%#F`FqujO5blcoT>D|)IzsntpFKp%|{$rGBEbQb%=6_{BpOLkO-G=K7>>YpgQ$J+PHPJOz>j)3%KhO7=CXEOlSadf4dwhKK%} z*9nzl>E=SEsfKfvCBk(R?oKrv0y5HAU=$SLcRC6RXb3bfS6pcBDI4E43202Jy80Ho z+L8W^Lud%#VBxR2gmSHHQDY6yB!@KLQ%p1fg{WFBs5FH$7E2E)YXr_G)DPD)e?Yv39Hif@Zu_r8#b;x(+h z-h|*vAvwOE+WQ zthOYgOO;a3f9ksq!*4PUc<&NAB~%gDQpdxGw48fbY^bGYp{J!;8QK;frXp_*^WTI% zL24*_Kz)T`_myKrI3LpUs=&`fot>-d(cxn27K~0LC8Ln@mEhC@$dh|3GP!?dTM`Vh zMU-ns%q$ETTGj#6TJ3+^_&{(-`b zn>40C?}M17AEWFXvQWAEFzG9XAJ8K1qzZ%^&+favrk09O zrLKcUIM9tepK-Swn`>f2k*;Ui4Bf>(th+#2ZlVQd+hSY87w`TrhS1dc>73}RXJ8+W zY8*NCmkO9?>JYCCe9#~#I`ozJD^Y6Z>G`;y|yFscvl!y0OjLKYtPW3NIBi)u01ScV__y8&w$MY%|X*dT*F2EvO`S+O5b=Q@&>! zm8ry-Kz``l)!ejiS1E zv!8nP#5?P%ESbaSDGJNG$i4)xJ0L_)5>3khg7dk#PUe6N`|N%bn8~+Ts|=P!=RK-M ze8AiChQDzmX*LuDkrhBJL=!A%5)zv^Q@^;j1uAmJVA)`1-t&CO$QQ{iSsBT8>W!!H zQA{g-eo^tE9`{U)`U*$gb3k*7q!mogcB(o3J|9y_&XZ9Ylv_EY{qch@ZkrxEE0m;# z_W8l=*QLyV@a32xRvlVgxm&{lf|qd(pkSv?T%K4;`KKO5`dcW!)%O`5L>v3-LegT< zAjrE|O3mEn$x!~6-NvfHnyAh z0b+oEc9E-@C(S&s8x0l>4)%LdcOQY_3gXCGj}v2HF?_=MBTF0mqQHca=({%bpv$_g zsHowJ;sfj3F@P#()q_Zm)YOVtqlyiz1FqQG*`%6AF(M=hwV2Rge(I%MPX^4R9n3g# zybe3u@fg+qnLDz#vUk|JqJU8Pgo5g=hCQ8P^Ihi&WjJrdBP)ME?uiB-<&GUSdP0+w z=)h^?W*B5ub_m$u0*^GON4W|2LTs2B`l!C+KG!A)Hh5i*OuY@b;$~@vtMqUEh{A-- zG2ebCz(>ltk_dWyY2RQqlPIb6%I;?8xVZ}GSyWdSRdQva1_w9mucqbrxu&JB`Zqz5 z^mMNRt(%*LOqOt#Q;k(5{5L3_unT11LOz z`NwG*#i=tpJB!J5JyR*S?qO(t4JKzwG)kLWidv-^8d^c)-k7ccWTCHiUt!6AqctHm zV0dTQYP!vN(d~^WK#V~r+go8RwtYs=UK^iD?+{qK&Xdq}eyf*NHbzqxs_CcHhgHK& z(pBrgPXzO~6kZ2K*6oc*AaZN>$IDn=yV;sO-Ll`Y2`+S4KdrP*Y^kn^*g~i1ml{HM z#@#TO4D5h&0xF3MwA@e5xj@&=z0G6W!AJ;(kz_f%B=qx>VJc*+_P*;)o=R zNsm?NzpNiy3Fn;KKu?e7<%&g#aWSX~!Q$bKZ!KlEcv*nMR zx+dt(bkx9NzgQRH0N2HQK^h~9D_7O|I!VFQY9zvZ+=bDWxPuQZlQFVs88_i# z5W#XLI}bZ7z^1U(N2_>%{oB)>Qg`T9_*JBg)T1~jWeMd|GW!3Gum5tB<+8@h&+q*= z?LvPSQfT9gd_ICKevmYvP|RC9QqIcHd=RUr-9>%dC$NPA$Nkfk_e38+ep>~|Z{Hky z8XXfMDCFTqa`m;=+|*?-_3s?(DsPZ?Ij+j=uZaRsJD6ZJEJZVbwWjQg&{YZb-;mCf$&?e-fa3Pk!tFBfOv!Si@ zv&0UD-uDyPyy3;qLS1aAtc`Ei?CHv=+f8Yc&W-F!E~8at4b|0)u3FvItKPNN^ZuXTk%N?Eu*1|NG7^mn zqOIoYV^8@+r+WKItpkH3T-RsHk2n{V$JtoM#&L!miW^~yFsJ@^=wdXcB;Sr*zpR4Q zFjA~-UjQ9kgwRR?cjTdWKGH@{1RyJFt6fxn7pgi*!s>nnL?@6iKYZx#Ry^^zF#H3kmAq8T5b2b+*)@19I_v zE9NKijgf@-OeJzCp{~Xy{)CJwUE`LO{tE-_%QD(GL_PaI-dj07Tj0gq#1TI;ivyEDt{n_0KXg8{IkClMWXxLyLQ{XjBz4V!gFUu;JN$C!;meHc(?j*p!QvZ{V=l)OOoZR;}-)upo>jsGuhhS)bHw2lCzBv7$V~ zbGX3(&SJd_lr@dDt>TeE-KoarWK65wld@)YQU z;=9xp&CF%t8onSbu!|y~ZAPo*UwL1ZX-T20<76zD*l2`{u6jA~Tk7}}k<0wvObH{` znRVl!+*ts}rTOZ93sj}b!BO4xFUVQIlO`qUF0@q6_HCAmw6X9qq|*i%`Wy?s64TIhw_$Z6 zLPga-FlbbQ=NJ0z!Wjmf{M{#56%=rSc(@5Dk#K974pg>gEsDSjV)tSTV#9gy;=E^H zra5B_fH^)BZsuWOVS+%fmT_B0yde-1gKmOEe9hU@?bZ%SQ!^EVWg5>dv>!lQ8q!o# zPh4y>I>&SM_+J@1In9AwmGq|KMlUUwU05MuPNrtkH|Tb z>ZWIWQ+hFzFwU5}{{>j0m;lUB0piyQ<`Q6cOBoB3j&OrjHjx!_z&3V}<_bEFJ!ip!RYz-8JkuH6N6L zwQW3aHCwQ`7RM64yG?WC9(3>fZ{(zuShvN4W$lKrD_Zt~9K*XlYwpJjzwZunx&oA4<+HNhl6iYwGSMz}u@-mM0UOyP~mLenp3BmR$9=`AKvG8*pD zspOBwhKFGjdEpS_k@9EJj+=0W$5QP;Y&M-as{EF>}7vi zh;cg2Z1SwjIZX8tC&Y~$ChqM2^m!(BX< zN9p2%_+q0P#22o#C5*Au5Zg5IOf|g25XvjV)-iP>b^+%ZW_gh~nwV@5sZjv@aWHxv zDJSK>@@t)_EsJrz$sL3l*%NiZ9RA?|AM z`WgGBWjBg~IITJ|h|i%6^z&M8KxFgXByTFpfPPytccJYcpweE{oa^|MNn^h`T(*%S z2FW9EgaKUQ_-5GRn9YrWN%pot)2pXn3Ebf7aiR>MWk#+vefW^h{pxv%fhQTdT(ul2 z`gFs4=ADjVb$`v(AxqtD_DuP@93ICnHLmfC1A@vy4uhqTH-2L@f3xqE{QJGlma}(W z<9T7AU;o8Cl7BsCBOI9gIB z3zC0tcH-Mz*4X5%Ild>n#MJ|Cf^r}R){#m+0gMX>llj^fxd*10A7SVI3VGU)>gap- z>CQK4g~7R%>%vOo7f=;j#Ty1sYI}hiczs9XEid@6@>c-MG*J6G`6I4ubz{=rX|^2F zeaK;(IN&%f4%l>s|Gnv2McoEA6L~!@7WmSaY*dAsOK&rj1-e}-G|4PxEE z1Quh}u62tj{hW9X!}bBpmU08a1!e3VL}Nt88L2bpcewAg0#XE)I8s=3HN%u0Z%@%Y zA(7a>SL~a40G)0yXlj%b$p{rJsfr{ELjkCg_>f-eGVC37$9k5jD;}{X$TY@|s^9=; zopG&Xg*2JnwnsJ9)yVuPYBnV|#=}AiTj3XR$sHwZ&6v-^Uv|F5z3grH@`W;@?(OQJ z8=@#THS?)r-NNLx#eHM?WHPY>Mk56DcvAX<;U8NC?*R|3w?xw>`9+kTpZhNJlk-f) z!P&HgFAW}#P$Jg^qBTQ(efr17sLPbWcti}70j&KZ-dQ8m z5*cUIzFMk0xPvDOzWmh`t*5q6M)f+pCzn9N zpFG4AnmW=}zV1d_Y{m?!?-Jb&77G07k{k|kLlcT;jM#?_mX(^v$vhGTAeZFgN8l)G zpkc^oB#Kn6Yp*&n&dNND6L>j1XIQZ$)mZX}4bbAeceactuS`V|FDdw}m`r9)mR4A% zNB`q_EIgx@#7B~+?Eq-Vju1-EK( zO4r!JWvI>{vA_=1>~7z*%Syq63_}#C^l{G3!W~%4{AwMckz7;3d5=QWTM?1tZI=CO zJRpA0a3AR!g!<;13(uT8m&k5Mwouy|6#7KW1$AAOEEy3qptQxmP~pi_X3lE+hZZXjo>C_YSbc6dtB{nDyfADF?PIzYz=mI ziT$7P*~&oT9=))43N)yik!r9I_&&JuAAyTN2ejEgdY!1&hgdcQ&}PnjT|--MOslpn zk+KC7+7+RbU2T+Z0X&77xZ7h~t&^11HlCI-31myt(VhrOk;OT^Q`W*EEdg z{s(kM|IjY|>w2T#Yma`v-c`Ib=x*;zS_fti6DxereXc_5+&9bLj#DrlgHIo-B<|qE zi{rA0YL$hTws&s*&YqkJ%bxYg9 zDL0Ebp$Hv^Fxde46U0W{N-(UhG-pRHmc>+P>Tw@LC$DWmINQM)7FTrCd|11vd%F6) zFII06C>qR}XQa2Q&#OH`+0Y~NA2j~wa;}cyqIsf zOOsfnvst5B533p%j%9uvA}t?Vr)aq*sH@%2NNQjau}~oS+?Qd@9^OqcXzYA}utTR=AkUP|)QAG;xp-VbQE8aT;0gB=pV|0gw4&hm*xtSE{DlN z!)LXcZwBS^J_qkcAWTW@XD*h=pL@z>BknBA$4dlR7Q4B*A*i-()x$^t-=Ks}o zRJr@Xnf%h!F7c#H2Dodu0n$%y_CP*iFL3+7dsdc3zYS7 z9(LoBq*rS}JvQiAdvLE8QdN8d(bqBRt(LCzfx-0u1whpA)j$8+>;LJ192|$5*`2r$ ze><2E1(#tZ;q)AVAj9LsNS_p_%P|J8xgDlCE zK*g{){wNymWKQ~9+ME%ZmvUqLpfAh=V?AG`VC8vdC2Lp2{!`YiUD-P{O=M*BB9 zl)L%I-{?@MimJt~j=<9v$bG<|Z%5VD>9pnO2uoBV2}~YK}jB zv4husubXn5;iunQ`g5)hD9ry=?mGMjCJJ%vw3q-@mS-GRLVZ+^s)UoJ{|K$fUx2_9 z9&VPZ>do6464SnIrl)AQ=v<7R0<(I6WBvcLLCt@6i}qKq_n)_N^B1Rc3s2*Lr_9sw{y$)~GSREShv{q^raw^MkW_72O}_sKl-lFg*;$f?!w;_QHSdc2 z$W`5aC!;S@>AqT%m)vHZe$P`lhtrv9R#mhhnX4WegWS{1Urs?ATHl2{Zf{8|hTU>C zgg?gtD08-Qe&=oPGKv)k2>5MO>smoaXV}q`eAdt;b}YnRP-+q*67LPoZk(E9-EG_A znRk>bW2v<+kWqPh|HI9GUz=lb(%IS;GSL^mU>HlhF&2RO)(ICJ)fd57|4%Y=GOg`i zQd(ofy#dH&*|$uCB8%9CNY0mizK|ti8i1klWRf^l4z|vx?8ke7CFN&e_BnfwSjHPQ%36=)hlkxd{yV*u%=8 z_gvj=@CWgs^b$16^Nm$%O)usPBKg~Av__^`g{Wd|>{CpleO_ESs!Mea)R_;TEVVt9 z$jIkGSmIbT;?$!7^IBHB9JnFmh4AKw+Jzh%r+XZ2E!}DmYSL4hSbpZ+ z0*5hVzWxwD@rZ&#!{B5sNe2Ly`Dy5_)wB5cU&>Y~JLC+QSz-30d8v=#MbaFl+fcBy{n5u03fDI$Fn6XX91 zm=t?VewwF$tCs#Q%t8%|GFazLn9EuVKGH(Yvq^Xf+)yG(td3+Jdv7V!=bOB~&at`X ziOjDSwx3q{Z;H&JN+LZJ-WO9e+}x+jB@GN*tE)2B{eaiDSE_h_%vn0w;f#}2C{XFO zsE!L3gH8ia6R@N@^j8oPD$78t-3}g0wsRc*hVqnL>pnE919d;pQtRK+xB4sY87|09 z|HhXS`xCEZA-AG49~Xx1WIHz9c@$k+H@amUbB?hvs_4wym*9z)szJuv+9Hv2wW0iN z8Z>wk5#C-cTY!a+$+>Z`zh^a|2k(>&p77nFit!~xcT*H?xHfMWy<7g8NK1m3IcapI zazj_rtdh(@U_~g!MHy4)_Tfrm@J^MQ8C`!$oD^`R$L2v{Uq6iWrA%W-O2ViO(fJX! zvVuNbr*iybPCpleA?e=7d*#zZ<>kN3S503^%xB3>=;Lz=QlskeH;i%cp6@VLh*zE~ zXi38eS^_AFGY2SYU@g30#yNtPLtewa0mF-e(o3|~pPN;i-)W76%>_{>1^4Gk^A*Yc z3Ay=$h2Zb|T>meuw}I56W&aFNHu!=SoU#O8DO+D*3B8>i?B(5KDC9C%fQx z7pFRBSqdMjJ2Wm4#yEM}>QP}xMJ4;H#c6U--{MUCd^;s%N@izz{>8EF|4={kA0##Z z|GhlO>oNkYshx?li<7CLEdp@O-pC4pg^P%Z=;sO_AESu9or|fRi!%`mH*lj85u==` ziKU^iy$6vt6L14NH#-9>5f>XX1Gg>_qk^Fmuq+YF-%2W(I@`NC8Jjv20bfVV!$m^b z#n8p{=K~34W>zBL0(?OM0U}0m;68qC7FS_rBLXhJ?MGaNi|FTZ{8mEaospHP@o$Bs zs{QPefPY2VVyEZo!5cszm{5;sd*FfCT#>LbLxECAX-bGD~?M;BiWlinO zT`Y*0xmY=X{{{Q^`onr;%xkTN;c+7SB8|PhaV9#Q(FH}bkaJH5|Gs5HM2L*~p$Eo| z;Oe=wGeYgCY{8;VhA4$yrn*SAjK}pz#ZnaN;hZ<%)sy~d>c`XL)ov{xYtN09-@|Rw zDC4G^-ecE_O&zX92r8onxW z(E-Q6k2fT}#+`LWF7(D*6(L^ueyeW2S5)L~mcqg)mC5>xmfFMuYgGrRu4kl6Vb++W z+DHkyCN-2JKYtl&E+0(_Q+9PyXH$@ILf)X*y_iuN;>L91=j;k-1}HE~1lO@Bgbg)> z+T>RD9UM+)?knOl+6Lk1$gfgJaD+C@yfxiNdQWEFnMav0%T6v#A1FCzPNsX7uM0%Y z(3I}Hyvvomi!dmIr};Y!3L7(3p;HYO4!`>Xj2e_AlQpRq;=gT7l@paA{lLK}t}`bh ztDCC&VoK1(TtTec%zyCA%3KL2v@0H#%XNd6Oz`g1_Iy;i?Q%pRh=96RoK-o)p4$`J zHX*4Bo`_nNYH$xxB)2Z_JYlw=G;>B4U4vp~J%}^7LPcDgVvxUZI4-(OBVOk6@U#mm zZLF~aOpZWEjc!G-=(xHXWDFfC9i$ODHimFf`yKZi;@%uX#Hfn3mOW&oHXu;K;-YAW$poMu~n7xu)K~ zS6CTr*cOD(QKy45z4oso?5eWCc0zI-@~_a4(g}!r-rXjF^&hT z7d7fhOKTG>kKOxr_qktqS2{V&gWa~#Lc&JT4gsoh?A^plRzr%>g;*hde17vv=|jaf zQ;7QKfXU zz^k=Kw@WN!SaBg$ClxP-o;qG%X&4OG!=8$j$qe=K2(Y3zyj>f8K8-mv`h3pk+3~|c zu0)Rsfo-0S&4jG=R&u1n8*z*KAcB_?#sOEN@jI**awo?Pfe3v{aajW zdc23u{a#G)DC`;45||=E>Dk6gYzwEb>dOI$Xo>f-TWeojvK3jry%onX;-8DFwxNP7 zg4J6zybk>w`wcM1Pya57cKR8WZ%qY~`jhooi*-nVR4>~%f?8AWpC?)Amst0pqREvBT8o@vrM(m7)V1olRUZZPWXMZ$22-$ELU7 zmPhW{O+wHE{-k|r< zy8Vq?+1_{%b}cv_E*U%5aq#4`b{k#LR~O!7Qet=o5Ts9BWL@>TLm4@RI$r+!54M)L zN$2h+*-|ZvX%kEym5qd}dOdQG#j{_k_S4ZQeErN^GV)VV9MY`V=J*C8*jg|;ksk1$ zcw)ltAc6&}!2)z-jfTKJniv>>rt0k6=4-X;#C7KLIJNyqmtx{*teDZf%@iw3f{N{Y zPdOWHu!D@`_x!l4&$yiu={7aG#pvhvcx;dKD*LLqUBdrB1Z9n6_MLe5$ zkzg)(?Rxosl{v+E8?u=F-r3jpk=S>d&&e}pFGuvFEWB`Q5X#{=@rclJYN!lyXy}ar zmd}ml+3_wf+PmwVgb(nB1n5G9<*6iirz9dq6qh--nITH=u_2QcFU)c|U3&Ae2#6%#9xlC@@K#ZE=@+R%$jm6?`fyDDqsLc?~!pfNj| zHPNSIv=Tzkxx$VG#4HsAyzgXk?8>+p)-vl+wMjz*D@EBxzU5Hr8u~{);>ucnycUIJ zkcG_;Ru|(_={>6Rq4ApUE>+N^SmuvAuswON?RF4HRtKXSlf)mi<#a<1rnVk4ovPnw z9A0`M+LleDBl0!{4GVIoTkGo~3qT4G= zBTSnE*Pt!H#P9_E+-ypbgOLB7c*N={<#v5WIBu)(`1RR9oShRtZ6o-Z;-DS&oxvu( zR-G^c&Fpdos@YNuHG51CyrE|^iZ%9yS;7swMX5D{Rxg-?>Ov|Gi@m>BFQ0C;C%3K@ z5uYw{J2%sH)VDStY47sBm9S>+TVs3;wJ-F6g7heGj#6DVJ!|7SUoYnV6?^VZKkM%d*FSYtA=T zN6D;4mO`N!$jOuBcT=6_dDuQ-47jh`Aw?+UiAeYLcZ=9by>30+Y#o;}Y7&|mN1=Su zDMG20T8@&ICVB7xy?fEdy|m5K-q&4~MVce0T69;u0*TjYk_{1;IBFHRiXO&l-~2K& zE0{79&o-Tat19-K;!K5PCRhw!rGQ$=P+U4rtD~nAD*+6aPb&MZKH6Y@iYIet1}CKp zaWx?53c?@SLB#Dk_Y`AgKF&CdfKcKU;t)7RyY6EDfx*?_`c@g*DYGrduoy5BAy-~ zkFC#fB!hT&>FQ9p)Ez`5I`cFqtv0GPK1VUbqn?i5e%X{Qe^5r_{=OS+>rUc9e1g^j zfF+`4FLsB5s~k-9T!TX09ALUIrjdjqvhvX})``bNuD*IDRC)p}%ql5QbquUM{}Xh! zSdvH4+~H5LnXQaCJ+*UjuF z>XFWTl8~sWvK%>~PmltTSR~ZXZW*ZLA_b#PjozdVWMtB4*u9*AX>JCQ63RS*Nw@6u z(B3)oAaKM9Zuu;ExqSeSqf_%QT=;qz;Y{TM0ktt|4=DavbBGw#r8zrLstn;C#(V3* z6PyhQbV?_^k05@QL5Ju?IuH!dII+^rl`oUluPOD5v<;-}u{3*bRd;A(W}?CbFCfIj z;8`KFW=4HS=szNSBqoDyJZYYmdr|^1*Sl#31dl)>SB4IPaLyU`Z_$eM-)9FPshG@u zz`OTl0JkvB)d6Rb4yJMQsyJ0S<^Sfi@<=?Q#9-GlyBZ#SJ#Es%yu4%XfuH$+RSKEZ zM^s#lh*G*_I>>Rx>liG*AO|sba)yGMaAyY=fOD&V2)+`*O1oX#Fy%=sI8uOrzi{9? zB43b}Ok6z9kN+`oR6h1d8T^=0=~?OZLo!RV;QK8CDaIR z8P#QnDJ?OHHm`3#!pUs2QqDJ(C&aUw?0Na(X(vP*e0>LFk;z_IZyYnaEXs{yFw6$p zx^P>YIlf?`r@0F0npr9*4Pgq0`JzUDJ;5qRdXjK@%45UoHW*O%1q$dXsnf5uNa$ln zwK|`I@x5{cQp*=-Cxk?^v@o^JqWRJl%)}vIi6GXcbL&dYQnBs<=eeTFisjR}mB8De zVuAexkKVM8mAs~0bvYI)UiS&LMB+l%-ucKFsY}I15slK@I^I1gvf>aMY z^Mf5}$=6YhFWczIgyp7f)P`N$9<9l!=msr_jAyo8+bpBk>z=2My0wBUvFjL1>7;0> zZ$#YNIR$o`YbR;UB#$|~qc*+v#L-RNA()9*=ea-RaPec5wmwbPM%1}a84UA`{P8V5 zn@sy&f82Pp>BOeFU_}{&?v_Kx1Pci#jNR*;eoQXi2ZaSg9DC5X7-M8YFbVF`lU@m9 zkJAhef{z;Jja}ImoF(CS4sVoUk(v^*^&$-KYF&M1OrlhnuC8_U;EP{w&whJ$Q9k}# z+me_7?|md&v$VjCntJ&+~->rr~SXqq7^sbM7D0iH#oU`BiY^$jYn)YB$6?UVMdy`RIRW%Y)36-&7iX1#gCov-VO9wE}(M_sdo zm)4UGMea1@eFFFms$-}9Z0>P%(Rs}!x{_+c`9i;&9UclqB1yg*?98P-UDK5(k1=S5 zeFkIk8l_f5KBq4pbMY%f-U?4vCS14mZI@GsU_KNmmHV8ai}ZM_%VxT z#(y4Fxw7}fa=oid`L>zu$LmXe6aVt`xY*_6Bk%+3b=rAnLTFGbrG*{FlGK*nvP)J_ z5`)qK(eSD+8j0WU;bxhR61$f$+p@`b!l%u5BJg|#(D_{NJMr+wtUy(DHp_J_sU@Wq zLb*08P3bm+B1CCMZUmhaTO>kMLE##Gq|__Sd)|CA;E>mgsGLJN)3ZHTR><4DDO*bF zTp7K3`Q3GiM=3L+Of{u>SgZx6y6_!7R;XD`#(04+^9;WRT^7zvVX@||`A5-VRO{+& z>!HR}O3la@5Cw#fUW;|#A^F}MOm|38)GyuJr#vG@AlG6l(DzueFkaF%K*y`<9*)%t zDkw}#h&ro(PPGikt%=)eVP3F~kI-T!uFsY&6~SXqAafcJ^dfKC#Lx*R0`QN|Ip$0% z%%tE3c@P1Ra5(y~vhn&=?@$jBP5}|u%2c}_Z@PFTU~%b1P+`bFtg!b&iLz0df)mN{ z`KwfX?U~b=*~!D^;vjcSh<6(fPVNI^mF6v%wh>U0o>w2T39_I=S!Nr4X9E@1+T1CP z;tvxNhSVt|%zr?E1sZV?za&r`^N?d}+RDsbrvwD+;Wo=CkK6(fQS8zlET^;ZFmP>lYeYaug>k2RlR7n#80yQIBF=5uxjr zXnhY1O4d7p{%dS1)ZSLc6n^C1g)H&R7%(~FI;slYS3Oe)FcBbhWJ>xVja~hDSi+@N zp_uUYmTc4_Up&Ym);+wU-pcDJp$|m}EMSmotB#-NRyEf$5%zp1I0~cn>IQ7~VbES= zh?mMTRT0#&@{m{<($o;REHl_h#SlD8(;Ij}He%*DPGi*%a!AWo>D-p|Md{V&gBepRO3x6qwl(kE-fwd2ci`*E7^WZM9>z7v}J zkUVaJgqfBd3);vO+KyOrZ=T{EVkN>M%#3kD6uE2Td4~m3R2(K}m4Je-Ah52!QF%j^%lR_yV*r87zLGFAx)x~tTbEjO%{KDU zAi7AS!|;C3gy<>E)ctz!g&+ihLC7Ebv^&VT z$(5>LQvmZ9ALZpR^cC2}!5oz&9TcAse1bc}XB6qT$z3>Jj!TgiN*iuUY0Jojgw*99 zgviNC5o7yOc?|S;!6`~ z;BKSfg&c!pa&{GJn0LYU=tCq{ln)?LFrKcUwH0I6I9Rlm#KFtev2hcsKxac##kv(C z_Mj02MvU`mOq+NMG!WGz+58z1tm%D+aP{GX*3g`csp_q{f)AME9kr0yapDK5Xm6uNe1wACpK4T1odI`J0#>Mhju`e=M6k}Pdhg*VaiuoPR zG9<%^h^Bn#xq%t>Eo#fnGx|W$MfzD}vf&~Qy%eJv=XFaB40^tW81hI>L~2wh0R!bM z>GHEQS6KF5=^iB~*tLSdWjU+(W91kg03~vG5QU~yWv4fkR|}yLG9n1@25mu5VGzEF zm;mDD${ax-?Sza`x;=mV8bN&^aU$2cC#+}20w9Pn@@^|J8H*@6n5^6Q(4j^U?yj05Juh9wP{+HL8x9Dhv3G1yrWUStcg5w)#SP%BB}+?@}Z=M zBp(81PYUe7v3bLas$TR;!4}$cYp?aBUqfH{ZA3288k0-N$(8At{e3`s*kpnE`8Coo z^7^j!Wi(HEK(CkpsAQ_1?RTD=&N7(VyqbKtIJeiue)}~y*9YCQ!wBj zC6fS3^kYLn&^J$py;EWUA;Up_2*8Y0@0Eb}aHUDKauxPV2Ix1Vv?lJuk*Q(v>v&Hg zz_Dj<5iYtHNv8FuC}-Rx!INM&aCRk5D~5ocCot5*Qu~o|D=C)&sZlSj91(xrW^s^Z zgV9)+^uzPRfPf&2BG!N?uw8H*b7M1ITZkF=0taK=MvBC38Z+9_!~74lD7-``Rq zsuW=DZ<8hw!z<{DM~a4a-&HLVR=k-TI{2u^4X>baZWvwqFRtTHq@B52}3^!dbxW5ql3UBSaiVI=91f%?WHuc?c(N8gD_EZMu7E_3due)pV2?O8LyUfq zGWt0-Rxa3|9HEwP=w=#y4PKdleYy=h=?#$z8*h;m+(XMo6;W!m(9GA6R!CZfC^#04sGxgdPcWE5zhqOIKY}xkr^t<7R>ev?#Uy~s2T?0{Yb1R#x;wxSH_BVF zvohS-A8d7|iGWVC0Sd-UBb|xdXYHqu%)7o4z;VRmFf72pX9P#R>!3WI^>yH$`&eDb z_-5wKn@oig3;4}PBPE{tuKDN9FejY{xgv&#N5V|dA+Plh=ijGFnctGcTY&{f%#LaV6%U3?DWaE6x-%-Cr@#Cvn7xz*`nf2n0a8oD6+ZO#45+WTG#Yc*+4!s3{a&n zzHJ^gPbuH!{4S)yaN+ggyV0|kolzN59>Kyu=vB**5OX4?>34&x;6vr9wuY!q;evV0 z6#dU?VHf6$G*8=yuZe}*GJn-IWB;qJ8OPsde*QNXX1m^tZu|Gs*bTwVUQmora$KGF3{eU-|6 z$iWqV^~*-+QOL!!@57HJ{SfuH9h>vTm)HA9ZSJSTsyiO8PrmnOKPJh_k^D}%w!M%G zU+3yj5^pnt&fjIbZ8|NNoy!w;@npHZ4bES?sI<|zl(YDizC4E1@j*gFx-+qRXW)TX*Bz-P;=7p#+hi57rV4|&oX zpzFcQ(|Wx`!obCxOHvIV$5>zGyr3s(2XNoQ;4vd7siw70?MmeO5?~yYA6uv3kTkMC z64`OB2tp!~CSOU3VsU7Gc1Wld0yF2#6MsRUNO13%Ziq;PHn_I)tDRy}dbtgl9)3KgFzJ5wT4y9lK!(C7VrFQ-c zRD95PbRo+=S-c*HM&+f;o#;l0=`bRO@~?TM&GN@~8RYdDJgxhD$BKKJvDyR%IrH=2 zw%k#jSqE4$X4U}kPvM_qFFVHb&LV_kbS8$aJo z*WWB5-eXQYE5vkdc`%amcl(C;oq7$a4+q?#ve$OwqIwvEkrdQMD-dqZ;Sn`1 z?-3cSe&Ngjmn1bO-;&F)TNDSW;aRQo4|-bd^XIgv-e=1x(f@8#1mXzoN4yQNT%QvY z7zBxCLHx9K1=t20&YxyAdCG%j&H7jTID3nru*Uj&Fbg2RsnFcOf}#=|wY$K)_RsyS zXJFI3tfc?~hs1LL_^<~J9l&n&NkLVFDtc%1t@yN@Ti;homLQrjc`O^+{=T#-k1rJp zdBo>=ZVqC!=Sxb|;P(j~fn?L!czM>JUccpp5iAO^!h;i*r0K&@YpIPuu7}q)kh-}A zzl}~1v#?Y0s!%9I>pCU?rexBG+d>jfP>-J^*44)Ow)VGIje?@&`B9&3llPD`tH-6m z4J1D+M`2z|Q$Hs+K{SHX(oOOtQ|jfbIk6Xc*=?@ywMGE5Gx6rVT|e2kWAC znxO?v>mu%iETbWxen#Ld9jLQ>zwK$f7w%_SD~YAtc0 zk2}TEw25S!DLrnX9UD1&sZolh=f?k5=CXD9iY)wO;Y^8okE+Vg=HJ^)o=13w^KL4F(vR=Fv zQ9DmreffuJsQC=6LMt=o0gIlsPt1cHr+Vy)D{rOu=?>kjDeDfgpL#skBXC;j)|F# zjg63!o1cq?kAanq<>!llz`(%3!@*-AAYic&;}Ns`mv67#02F92IPec(AS3`#6c8{J zkk>B&Lf~;ifc$m?{QU+33I+}V2?Y%U3kNLFfD8Zy0RsaC2ZMkB2M3n+1wIb|M}a^k zW)^}(Q#6DkaYSbch|Ps26|U*QP@29VV>NOLgn`9;gN2PlPC-dUO~b~{!O6wVBO)p$ zE+Hu;t*oM|rmmr>Wo%+=I-I?_2FYsaLA|7u(?(g2JNW zlG3u;y84F3rskH`-o7vW1A{}uBQvve^9zeh%PU{MZSU;v?H?Q-U0z+^+}_jeVp4!nb*fI|>7L!t^PLK!-uk+1|nqYKC8 z*7U%TvMOC*7&%SDVv@0aCBOVxwcl#?&s8k&U#i)^RqWsEwE}<#0|9<`U?_mMfXgGQ zyf!2{s?v(BXVnwT$JM=|(rnobbv0X?{7!2r(izlYsfc)%$G%4AjD7y{c$Dx+$h`UW z>Z_O5H(1liWZp!I-_Qohp)>+`4v?;mER@fu- zmzDKvSNJ;J`5&-^w9irQXl9GfPMnFw*~ zsA?+pF)Xo5TP_vS2l=Y1Av|gn(i8oaJTtVbHSmjytHj`saW2~pYKFl?$PQjnw>4jf zV;iXGb{ZR#xb^o~zF>#ohgP&eM;(4r?QQ8Mjp|HfE~V5`@I|$@f1AC*kxXOy;8Fq+ z!KvQVyKGK5qKM+ujfd(uQ%YX`&WGOiUDnt$DGhVmW>(^q6;HWD;h~dn)NuRI+NrfG zvBP?ZRq@x^T|Z0R;-@8gyaDf0E^I1mVgb)m-fVSLz$T;WHr56d!`5lOJJHsd^x+jw^L zKR>F9Y#c9L1-c2JW+ckDtHlafNxFC&O?QFpRn7!PJSOw3thE=7=G18V0BRN5> zQ>C0z4kTo*X{@hFd7`rjf=H%Uv;f^lDXNcRSJy2X(+bosSEaAmSPDd-zc@kTmkq?b zCEvAvMAKLbK=>FHm2`y11OUs{v*-+zQBKbbi-S;Wj?~qS`3zt4;~VRg_dIk|*nvP# zq2JV7-S5e-fY7o=jz-jiB5ye*VKQP_5C^E-p=0UoT^5TO;|Um!x(u=d%rzn(uLX}?HyS z*X72d_#Gw7qI@Q+<4+D-!ejm#M!RLUZjbfa+uO8vL_XfHZ9tDPDPd7sZZBSVm#lnA zL0W~>^>o@LQDz5S%{e``saBgNMUkSey?HA@K*MTgqR*{aL9SUYcU3*mdeXp;wH=Yl zTEmTnQRY@cU)Q~_K$6|$cg1D9H)I#$$2MOXn5ko3Xjt!=a2D5w$l3aC>9#^)wvKr# zbg#RK)QTRsA{xn5SosT!vL$XgZc@mETyOtg84cuYF{0HkGYg;%bI)Nn)4tClyc^Zy z6nUGMqE_nousG2k1w{`krGvS67N<@wDzS5Dz?$`SZt6<9G@% z>+U@WwIkQ!&Y-{lTgSrWA$c%f98@Hykk&qsC9)AElR7~W(D^V<`RZv$hPfF$NIp26rsFa`Lt#0K@I$}y59gO8im2+_f z-~RkeFYDP7c+LVZPWAe= zFFAM@)SXfV>f%PJNt($)JcjjI|Z8X;`$ht}SBo1%6-GdvQY5GGnCi3y?ptLvN(;vC6^tY%y;{sxp^- zsqXdL{t=Yftp199T|MjweVuOT?KHNZbwkP(8Auy)LhE8J6tj#J{kfJ~3NprC5AaVqcXDmUFam2YK6|%St`Hu6JbO4{nuqmy%Ce zb!6y^634p{Jnys8b0ITX%9K^R;=h%8iGmR>v{@#tigD%Xy()660%hu?G6I7t;*~B);7BUZz)^4u|8Cl8p4y8^$>|`()xyHE zytZ$%YH_3m&6dQe8bnjdjEex)zfUoHu!m1k(IY;aIA6}ngE)j&F%y$vC`>#A$6y^ z!(O1a8Msp0AZtWIZbn}{Tg;B96WN?82ee|t=tywyCZri*?pV1aq_{Or?*4%rUZ3k{ zO1?YEjaK*BX6$HjHMN6p-`TcS|55P8J<8jfmb9_dK+69`kV+xJ$bzmc@WYk6j!ehVD>vsTd+V}d!FPeedJ0o+oWDuRdCD( zfNkb6&c9q5<}%5D{~?b{w*aE~>i3&~S+;r+zxPuJseQ!l|H%*khOv^TEBJF8np*=4 zv~RFbbl*ayE)dXyL5WB!ceKy*_;r^)mM`lB7R45bXlU_$gGd!Hk1Lh1hlH7Q(do{# zzQA)5r$-U3>fdKaxq9Uw+MGVtU;E2^O>|yU(!zLeci;EHh1WYB5-yK)Ig>47K9yli z?t3u}*AhPsOs@0fnjB3Zd5J`MR(Rw~amZFQw!DE{L=P`1&dmrA@YFgZ|o?7_otVY4JYS2(VfC zbpoDaDNH5PP|-R(+~~!@y=_oop0UZss2la0I-pwUpi?Aiim1{ZR@%%v#bz_$c@(qd zC1>t@k`4VR7x1_os3}uWm5WvkuFSmpg|#X%jriCq0GKL(LE&8d!VvhXT$0RVw}1)7 zK?d&Jweh!kYhMM9GF zQ($Bn-tp^045l_5Z?I`FCI{Uu6N~zdZXpeO9MA%XxqG#j9l~g_(+YNH$Ktsalj|JO zPrz;v$%r7^hr|TYABK4TFg@TQw@~ibd@i~^YNp9Eugv_Tu8L9_ec7o3qDW^Ud@>J0vRp8U1E z-qtDE&Nh4mvt-DRRn%Auw_p^sZV&nPT0tzxTclM*ABt}Ebh&j;`t0d^?QCn5PC0Y` z@^QV=jTHOhhYDA|KaULOMo$Q}8+mff)UNQ?No#UFeu?gq!12(=bM9YQj|>uUNSZXd zWN7a0;&!$JZ|0_dVQtfU|H2AfxU$dW@#5%7VP;4iCA%U*$&aB&y+#d6C{$-E3 zeS{cJ+`wpVo9l;ucr4ULh0O7ZV(N(g(o__2gtbxK*5>gQA*b}NxP(U#HMPJSR*LlJ zi%Rt3_8kee_qeMVLWKbo!E|w!HWJBtH^->i+i$q7iM1)mr6M?;B}Sb+$x~a$U!{); z9Ow3ZV3APq#E)Ea`5`zDDLfi;rIC^e2(aJG8<7}H64SH@DX^ZJFG&f`4ZV8XukHTW`IeGo39_y0?6b{6WP$#)&t+n$StHIaP zo%p8xLodhblCdvV^XjH?8hC-Dx5u5IVfQH+5ib(MgXr;!t5Z)q&#Am0;h|1gu{C?s z-)uygDU7w_09Vfp3_CX(i|3=S*Eqa#KmRz_{j`JjYnKyY;~(>lT;m7Wg`R!*PbOf}6!o`5%{+iaF#ZU^?4^Gts~7VOlJ#ILJq? zha`I>s)u$t56wr(qP*a>*Xic}^IPs~SZB=Rka?sq@!iMq19V#JZ0uCKo zJiKyE3G#l30MsumWMKqFz5(az*ueBw;&kHTl=Gp)k_+Pz+KiMZ>x3e&_%14-@fX(n zR|#$YXo00&-P+$xcr~lmzClIElg}QHhghi%si|0b=XasiBl43a{e{o4spcmo*9md2 z@PA=R^T!bQnM6JhCq3j&y8U=-II>z|YYGnKQ$N2W^$Y7ZrjCtvu>dF&V%iJww^h7O z1u<8#$AG)dm<$8VefuhTK~yEuO6%|ot0&{H1w;8TJyPDV^XJSz$qKb83;HCt`+e5KFr}@uFMX z=ag{2{#XDoTCU23NdOvu?$}B!{aCQJUsL~7FlJ4}U|zzGN>K1C_76Z$q&GIcuksBNPrsPy ztIt}rpnX*D4ZLt-re?1zeyrD*P1+8Pmnh}KbJ=4FWm&(>i%(EI)YYpfrAA&d?sl=) zKZ$7e_H!Pw=j6;cb&(h+Tbe(uiuv>Z-3&;+Z(1yL2H&$fn*Dyt_F~gZ;hCI+ZP1kC z&;V!jGHyIN|FWa)(q@YPK?RptOC(A_0KI=#M~(uIZrntOA4Kd|mE1{tO|I#-~E3D!ouxfolsC}uD$F#TQk)o*kpkCju}|3 zh^7Pr-kWa_mSeWG(Chl%QB_Ll5D`GwV~RPrb%B~G!y7^HAw{t|Z?Uo0v8S}S6M8G* z5RX|zm1U-uPbo6Dg{(K!i{S12VXudg5(f9`Vj$HbM1m`z*a*SRe$+Z^Y8|NdWI$>9q0vBz+f04*KaQLk10&HCh(d zcC+IYYpxi~3}xVjm{{D-$Zlb9cD19+X4Iw5#vNL`@Zf6>T{W8oibS`0WvFG^*5tRQ zQLdX7dQpEgI>nIV9Qc0lPy2c0V`zvIU&vyL%XM zIU1q zs3C89qLex`=DQSia-jpuNHlJE&FRRA{@n6I?eoi96W443HnLPkE+h2^N-c71`^oRX z*LI6~@C_fnz5p&qX*)d%9aN;tU+{$c3qOc?0&M`?#4@%a3g*BR$#5_g?MKPE<6RD@ zvtagmA2$sLs84CDe5;s!$8`Znvq9QfEoFyh2ZkYgorZZ;X|q1W#f-l9sl0EusA|9h zK`|CUC%6w)i`$MBIP5##`hUh1UQN_e@jU^Ho8a5##TWCakUU(fr3u0{+hu7&Ecx;+KZ@=-3hY(Lauur-)4Kls-mMYm9N`_y&HYkA#-@I8*P14I(nr)y#+9j`KkJ zTX^^xy}zXfJ81olw>@Yvn;RW*#ZD)TBco5V8Bat%OlP36vBNaO0h(_?xamyNITv$GGz8NbYcws z{Zq7+Q5j<`yxiE{dROtyzLzFNrcfwR{)J0-)7ojND^QB7G9Omc(4;a@lHj89rPGhY z4^wmLfc^D+4&I8FE=2-utr84LqlmEwDEgHnzED)Z6)%&0uHFdbU8E18j_@$cX`;0= za%YM|0As0Ds zdaBegt+g8y4(<`&z(!*Cnj75Mq56h}d+&Pv%j)LaR_tH=v5psW{Bry>M`+q(01?~# zO%MxceQVgx>ank(d4s11o!f)uN2;0xJ&-3f_Vz@78baFZp#MyC4G|fcwE3r- zL@R4W+S@d0KT&7fF0&A^J9GYeatC>{U3Y*0nR?0HXLz|)B2yjtR-n{}A*Y@~AWQ9S zCwg2=NmF7+r3)=~;k51m`KJVg1<`)}u@?{MU}~JQ#O$cKzjxI1Fd*5Tb&B(P!yF3C zO-t#&X$h23KIpDf>(dF}7}&`|F<58nKZ9MG)TAsx&u4FoU0BrucQ`+`T-tt8fdSv} zErj2``T0jx=orqPRZKboT#zcZ)Av4F==Bsa&QwI`E=GPx=KffK>I^eNgMZ zRFoEBW_Xy7s1vIZCMzppc^L=}`x=5jOz)^mJL&;w+!s-u_JUqm=M1hFKH{LBOW^fo zs_^ki>uji+y>=jrv7rB{^m*Xowrxi$KFC5+vq6Qr52o@lEAQ4k?yc4jd$E9&Lls-T zOaE;vL)6B(VUor0yIqCzQ5(ea$JYozd*Ly0yL@}CPJKr_%G(4|J{~ncD7HCsA0dbJ_K$vwMMxbs#TgX zl230Jf66v!Rw&uZm;_)@%B#==NlXoTuM#=Y1%81^qzwC8CpwK+riuf&r4R?H%K7xfo5muF zTG|@DqxUP{4Q(&fZ>Ely0t)3GMA4jIU`q3ci^AEJ&I+seR-IHI;IpXoLC>rZW{R;z zJ(JwG7Xep)3v=og6bJz{)mUw3V9sjY?heiV?lwZ2APclP9>-hw`PGFEx}^xOG*Wb! z*qut)XFN@#T-?u-G+jC+CK1$FedwAJSsQs7Z#NYXwaji zsjj8b(98|HM$t7$G)RPMq#(5}X)0PT<4E;gVGE!DHM#`mQ@650>&Y)Q4H@OCH5NV% z<4n1-$5nX)wP8fKJ_hXrWLrV3KgctHsH+>=FjBeW!7p~yb zv=puRoyuxlKjX|nm%wg_ZDKYoQnqqJIDNnD;7Hipe8iWdpJB?jNHjAstucUvRkkb@ zN__4V^1>m(1%3xd>#ODJps?JEBkUdvRc5iymyW?nMgEOAK0|b&U@0 zYHf6iq&EsJNE?gpyW$!OUKjq1g`M+f%aM_e#VTan6XPitAFXMM91Ej3OCC2_t@q^A zG3mx>ARBhHyXz%e2~3<$@XPr9ycY&@!3Izf4>E70{Sv<0vUYfsD1o2+r{{RYht3nXiMEC!tC^=S{PY+f-}bui;bakSgrywk z(oJ_QClF!IwY)pq5{lEaKOnIWIx4t+>?*SoI=MQ9;LNvvDS9xrDvwLCcDr0txw{qP zv15CuAX>O!(C}(K(R*p2i=ux`9$Xe^w^VtfpBjb`Z>>L*e+e(wlndHe;FQ_-O0Dq) z-po+MdhI)QjrqCjloh<^hw%IRoXpg=*8rOmWEgBld6JpS*2*d_oC-XaWQ{qbrZ)A% zawyet+;waVy1a}!rc2sqp8UeP2Bs2xP+z=r@Zsb$cxq2iFv_}haxGz}<0@SA_n5}J z5|ajHoB{Bv;6QCQG(lCsN*Gu+t^AL>ld%Vg&@N?cPmRZkOw~D7Ng2K?7LU3>h(9*) zw(d>oWK0cXo*|*B9NYhXxJ$8x(QU^pRfvk4zJd`M0g6Y9yAN#*!@B)KX$h?+<>HJ} ztDGDdbI5|#U&wyqrOT>yJ*AHwf>ei$N877|X8o#9@|GK<<*muL% z#8k81Yv1hE-iIAcgQY>U0K(~4+?cuYyv=E| zDdqRSAyEwJ>QLn+oesl<0>-{TEk!xuP4Rz_Ba_**P7Z~jZJD7hFkq`;nrPpcPUzDf z3J<;|6`e~54Pdtu6cJ}L9Bu$(E@wF9&&4d(<=!QIjhm@f!>ej;;!1ySn}aBuVx@wW zezU;L5Kc#4zLy_nEj+K9BttM8LkrGnv$Iwm8F}>mQJTp(Dq-R}N_Cn20Prh{ljsMs zB=pNGdDzd!lgUlg%TG=!m04rl{)1j|Af}IeIX!GXD~3GNF}^`n!?zw*|H2w@TD!S}d0@mSgfs9)#{22_r`One zj$V*h0pK57(Z2*r{r_L(u>Re9po4G;s6gYtMIBq}U|>mhumDtZg&)IV!LuytQ%ZmP zZ9y1Q=+6v>_4Wm8+8@lG2O8r?(L@n4t3jtr3>eyNnZ1w^&lVrjy2nS1d(P7{xi`dT zOiySitb#1{2*|YVJopqmYCRg;Fqo4$=6YK3u^6>My<{la8?2HP6S2z`$H#S{o09Er z{ix0<{jM-)V%Cf!6=p_MUOn&l`@TMaOd@jw0##_Lk15Rwx?_3}MjsVCdf2`heI@RHqntLK2w{;3=ZEEv zA5yC%^InPQ-0q_WFMM;TPuyS$Yc_p=C$yuJFjLw=1}z=84v0^tSUMb6-sY#(Erg#m zOY~bVTRM3)w!}3#bvJ5%-#NmR&r^St&*IZr1hIKcbr^T9=~9VWiA zc2|t(nlX6aoqtve=t5^Unc~`|$i2R>TulortBTZ|c5E2lspRAXGez7z8eWuZUyLcr z`h~@oiWwinQ2?N*UG!K1=TdPj!!!H|^lx?+qMt|KBU)^?rAR>f4f`nb)-8>|KYNmFJ!Z%>y+0CVP#K>$Zh8+(`{mm~ zSb*f$hfS0G)CL)bj%m-YS1YNVXR%ky^rf)t6xIw$@p@7@V%pP{ghv%<1khxH-pjJ? zH7x=^ID*~E`rH)Wu{_fSy@Ou87GZY-De_YXNbCwFEN$iPA5~i5XGOiBt@tu%#nj-D zSo)c>t^1x!XFIlQt2g4qIidEh~KIcIYgQsQ%KEWOKw-!Y5IIgQ%gEp#qjk|P0%9bf0@ zMwz+Chu&$lqXr2b0^o`#MRi&l|4JV%s}b4p5Wdgu)FWe8{ASUY?2JT?w}7v_&2%$m z7eT!Nw~1kZ`SNS^jNT1TNDB97j|Brt1BYW<8$Qgo8;ZR8O&8%n z>>c>6ZR{P+o`9)(zO2c?t%w!K4n;9Bp9&))_Xh>t;}p_-qhtdYX*-p1$%WZ3ZY(dX zf_C-g7f^TvQKdu6LSFmLs0{GGqoS1r=>*|nyWN9S|3~gws`k5&WJu%YsRT6o=Yj%z zQncQqXAYj?@*)UhXOJdmRd_K_fo&|eJ#j4dfQP|F^hb>Qo&g`7-|zM{?jOwU|14PH z|Hj|l8bHxRY%ABrNzq2zkAmloYcuwg6`GyfpT8cUxJV|Am$TA}V&hxhs|OviDi!$u zI~tgJfUlj~E*gD%2}TyUoU(*M>DZR+$c?IF=wW>${{=GI%zbvr3V6M$vs2ZDBjhme zeBS=Z-!LK|WqkkhU#9*Q4VplCHn!obL8o=}zoW!uYP2@Am?F^6=OfA7H&d5#tCfr8 zR4|k{?TVWYi`K@-)PItTmMtgraVg+tm(p{BPtI$9c7wq_vMaVopr zscZ!)nyn8rH6D`^8lbtAr~z+rT9N@$CxTM+AzN>@e~fy(T4Gb1{B%aAi#vVC?)GPy z2K->5faQw2i|=UdlT|@>{QIjENC+JOMsBD%f z#f9h=B!BWUXzFZh)qn}Qk5sr}Cy-&?@S9*rEah~m15FX8ycRBVr(L58ay;Uy>HGsJ zzD^JaGU{fx*Q2a%&AU3LSoV;VBF?-Nqz~CDQj4;XLnoBBikl=3t#%fgak1wdhx-f&rvL=6)M$luF^Jshfx|LvN64C(5Gv$&yVS*oz%k)y3vT(6@X#IFh&UEtiC_K8d6HG;(k)H+ZcfJP=T0Dp* z4S&|Mo;W={We@d!ZPg5){5RUC<>agDEEU%`z5wIi)YM$z8Q8&2ti4A@uy}NG%QN{# z8#6kmqBuXVJ~nDm;ll%LvTZ79eA76gJIoL%DWgbtfTP~nP83W8AU+(hLt_1^f4Z!U z!}A#k8#=WB>1`-_DL-BbabjGQk{{~xXeEVhnfG$TJUcU{# zJ7#9e?IM=Qot$;tO1X2ASJo?MNV1cn6yRNF2){?pbPg&^0@BLKO1Ne&0!q(2mfeJ z*nBIuU@%yY&TY~6fR}M@x4Oz$30MjmxK5zK>adPb-JIn&$?5W9u!Ew&tO>H0+kbR5 zV1x+&P2nRI(A^U~q(MuyL{_|_SF?OX#x8ty$enP6`*Cn~C6C7MbSX>(kQ|_Hs_K-I zl9SHvepKziErn*W`!X1AnX>~|!}&*jmj*R?d0%Y5AR&Ihd(1`sHMEZHAigwPUng`x zWy81V>e4;xw%@zQp;`q+Fj&g&s?am(qk#oo1$XUTfp7~S#Ewg15|$|4S3wtX*S%My zED-#5&b%qqVScg=>~AP@xv&0@^kx5*KJc*xy}0OVi+>Rzen+xZsnPt${mc@n7!}Jc z{*l{vZp*(C7YCUWT91u6HvsHZNC$91l=I0-6qlG-JE6a!!xihs@5y&4XqsRwi z{0VYT9w zlml!{Lj-VLFU(aRSF}dC5HUP;n5*6yvqNf7F08jLML~aBW$+EGmaRDIl#^x*Oc|G2so9Ok2D31zqn1Fi- z8C24mE}?&vJ9oTT9aQlu!>7KjmYkDSMGM!Ps3{Kv9@@5G1ce->%i(acTP+iTtcD*7aoM+8#)&y&o<{0xUu&(zJ0Y z?o0&FO>)PV4U=|cmr_`n`y5B6td$CtQZ&sZ?Q$ywkU$Zg)e4K;o|ZO7|V$)Pi;{k`t6 zJ&(eUv#F+`3WaaNpV76{l2gY>ktDI7Yo8J-n#edilQsn{FADT58XUQ~sXFd&*3uwa z{8vh{`LC#sn+1)a0)K7taIzD9efDFezNb1aGrD_aIt8d-}Yp^(B1sZqd6E4ri-1)%?JA zX%_gd6l?6kz>whD1WX$s*s`2jW-LBB7KLJePZ3#%i}fHg09>tUOypy6BM*Ffv2#tR z9kGJ)`vP=Y*ixe(F9AMIft3tv#T+f&K6i7Lwa6kkVczLg4=4kS^Qu~zp@n76Lwb6T z{C?zQO-=(0c1Hh!YUu$INuB*j8zvilz{&8asG`t4?;Mz`7Ml5IHBoW#E@8==;(;i| zCbl1mzd7D~304ACM$?XK4RDVIxe&s|&*Sts)HRFGx2n}3gg~;JPpOM?_X8XnU*i|NWS#)CI^PzSE68cl7tY7 z-nL);DZSoXktUW#!TYb1J-t9AwP8m?Bgh}Itg<+j!f{k5a&@tMqE(a{poD3v}i%b@w%F!M#cqgcZ8?U zo;^3l@(b%@!ZR1=#MdF7&n4H^Mnt_WsDnI4Z47ti5y%UsC=94|&j2cLoOQC9oObZB zZ8`&0Uibe-;-^j)9gq<<7;6!LgKT+4Q1 zs<_S+ahAgS+R`~ik(z|NhV~)66yYIN+)pqpv7T9^RW+!;+li={--V?RDUntNalhEe z)^WbLyNjda?Mu^IYb};ykzjX<52luQ&cwaHC%4MtNL043;@1Cz-$JJ5)8&%@xs=h( zTkwUB6^L9tV@lQL!=`wIrGa7}Ip`lBV9wUICn`b8Uxij3c=@kZmnqQ+Ge-q;>| zEe}-|+y4RM02bhCBg#C_@oos5{dvd;wxumlN^PrB8W2Ob$Mg%UA&?l2(WqrSno0FY ziCB2m*)EWBJW5krasJ~Fi)JfARf%&8UU}-nSr8GfN?_NlDA!8b3sn<{Z} z0Y9v5`$Md+(dhxZpw^7s{tKX#!*6WXQn|PJx9FmHI)JcUUEil6nn}vablJg4xxFsg zNbq~iOm=>yq|Nlf1~k+=i0DTpI2ju%qD_b3jLF8yMrXjJ7hy@O7L-_7ajvr&5WBKS z70^#vVRA*Hw{!Dj0m21H9E=$X>eb4`RMBfqfv+oS|-W)aofvZGdDq$CfuK<1OE zk3@lh9!QM^oKKiYG1mXxd!kPgI>x>drBm=w#`ci~_Lu&WNnD&?&y`lhGRb#x0bGu~ zAz!15V9ofUOw5bXsCeD-$>tcj--*lJ1i`0|dprbMR8v}_8M9u_i3Axmt>9jt&IHm- zi;8vPF7`JAo$~H(2;<)KeKM2l4>sAQAb(VkF?+5aiagQmHK?6&M4b$Ba8;^~sIw%Q zo2SuP)bLdfwQ!#^YfpH1q>rb~hR)NR&beMit0l~-R71l&T0I+&Rh#0t4?oa2EuB`X zpiF?xoL6OVB(?J?vBISAn44eL8D=2(JwcX>@W?K%sz~ALt?wtNeG3=0^kQq#d`DCs zyaC*L?zq~L%{5vCVmpxgJZLUD4Jgt(1MruCN!z@R#G(mmdtQ8uk-7sT1$66O@;zEG z211r9M`+h<*km5A5{OVa6;}j6SvbGJfFQ+}8$ExW`gnPh&O|%@DGftciRUK^#n0pF zu%wuuM(+c&HD){?qC^{WhmL+w^~1d0ZTI=4ftFViMsMasrS(c^ufD{NkWZ#~M-w<_V%$`p z;B%nb5a{4ii{XC;PhaW)+8Z+!%y=_#TI>YWNin>gsP8ThNwdwsnYPxjW|&H=VWxlI zzSPq7*Y5nXDyRKr9}{^0{xIe69UiyEi=3qW19mX2Xh6(b&(XGX$$W7unOuSpbfDBp zYRT||Dfb?nd79Q?*;#TR%0%cdpzOGENyfp-qdD*(%mm`N{AC@ z!Y4TpSM9I4IaRs``pJa#gG#DP#2MXr_NN|?`59s&&+%#Dkn!NZxzNEqAn;>Cb?t-m8Ou-%7MsN;;4yYn}g3q6t6eAWL{ZAL4dl;!WSYv)(x96`C?sO$wCdE*Go1u1q zDe)k2V4+|5ij_B|lH`Wt3hTgz3bkh3!9MQpd(2pyQ<^_0eaV4 zYHH%_xdn+-UiaBml%^n!tSNZh0K6q=L74ZQexr5s`Xw*L!QW({0)7kDCeDd{lxu^g zoUP&?;d6Qody3UgJui(F96mnURGPww3qmR0OLgsvPP3LWKPmHquWAC~b~NxQoT{Be z>c-TQ3Z8Gg7h+f|)>6^S`3XSgil?LHbu7F)#o5dNj~o?B6JaYgivYR;$e;A5y6jT_ zM#LfYR-76GSIAg09<{;W1vK~F5|_L$!-~1Ao`0P(>HY`hw&%ZI$tp2Tnu#^6Uu8VRba1AR5=N# zm8aBF#OZjSmEo0M3S1fE+-S9Bwn3J!AB?ZXN8ourPxPJ}Ey%(+7#Lw34ET`u|8=Fa zEuu~2b8Wmgoi5dWVWmFxw!2g9SLgAbG!`jh2$}th_^^6MO6&*kHn`@ z{{RoZW%~D2pueRB{ZIKmW9(t0NtbhWheJdwzI)CMym zL^fl4#mIRu8Y{rc=dKS|!!j|Kie)Co$j(;TCPbX}&SE3uI4#97#^D7w= zrd4sU-!VbuOuMY;UTph_Aspr|U#IW1Z9N49WKYeT$hlMCteJj(5?b2P*3j(f?|;i_ zAyV>C#R-juG}};JAzJL%cP8tcvK$=$3(gby!G`tqnJBUhtzNfV$UZMCEqMuyK*OYB zZa2^>6ljNnZz3=dqxoMrCu=(Fm(2G{8i5D1xWix>3kLY4Bu4f`(A_@?y#V2>vJDn|XsbITcJ`((XOfUjZe9%y-dn(Ay0Xe;wkLQfj8vT0yZirV%?l1`w#v0K~F)8n4#;Gv8v2ns)7WHnZ>!SXU6mFLWju5M+z8 zVeno}XKE%H=bV8Ab$fFur5AJQiz`QA5$e`Whcu8ZsNY@$D$DR$MZ|SC(dXxNC+M}e zzTG#@fD_8EsJCFoh`w8wVql6dTl56D_BC~eN)TFxo$vV0FTT)lA4>hvRe=9lpdb62 zXu!4CK9vJ8@E4Z7SX{3tvUGrf(&(ViRW}5_D~O06bacG$n-3g}wU(lX$bsHDq7La< z+ZIdUy|pp!xqc;h*hDT0euO%-3xSBxm}b5@kT-DfiBSkqpr^uX?Ka6@SkEpc&oGka za)udHRanZIs?q@#)=*v2Qmh7BNaGaXje;l1`+>_K^o0gPX6YcvmO~?;R7de;tbl3y z$*?&T@h8R{jfuYg&V1#Z#kupA58G;zpz2UR-Eq`*Pan$TXUbLV>E+nVD5r%fzDNA5 z9y>qJ2zl8JtlC4>>-mwiHJS03^+E2a+}G3IUbBzvy`ZxKamaK^4GIk$Q?-}_{-c#Sp?{KVKYya&h zlrX3y{d#!o!Tgwe7P=B_n^yy`;vV5K8brs|_iqvo2n{-Tmu=2C9Hb4q7Z?89F%Z2!=^G~I^G~Kx*}r)6FC)EB1?o2%y)&s;=Y90gq{+{|3s{N z#GL--E9ycQBBL2`u#8;Be^cM>+-iRwGPo!umRoEy0a6o)&Nw`e_^ZVTv`yu5VL>$I zbHeTztED80I4DrLu*8t@ba~K5kxr_^kY>$3rs-5-8fAX%Nh`M*?IoS3KoaQr*i>uR zaia4dS&W#@N<;OjN<$qA>te?emhIm5Xz{kzNc9)HPsb@@j`aVJSdXye-Tn`jD4J1G zCx;O`2mg_?Gb`?(P-h1W6yeMhGR}VG2!#AkZZw5(aG~#5$qOf5z7mTx!M`nO`iXzJ+A=kYDc32 z5>8E%=VRrJ{z;U)1`>&Wp_S#o<5_nO`stTU;Vw!ahtaqYSi8RRO3Y1US+hs8 z8b~QG2(QS9;cMEM0v!e#ZwXM)wLNL)lCt?*B9rFiiByFQ|L}O@b4k7zCFj8Hj+~R4 znNqC>+Ilmm`$(FC#5x|Z>CZR%+M!T6iO|&-fhTN`{|cDh!o?7uT)5-alKmN)at=A5 zMHk%j4*sJNVo>K&_`{S8{4MsJ(jjoK^n_oHJ+N^P&#~1xTL|NZ<)FRG6{5`EB#=V9 z`_PI5z-z$DQ_r`z73JE5F+W3Bih^vNJXF<|NCcZ2;?xo?vuJ{h~>q8h>S(%ZU)VdkEqKEkw^W0#AOdfSc6 z%Y9|>9_Iw#N3t$Dm%HkKpcct{Mrn=OxQTb}DmbDjI9~i#B9C9nfCLdK5ND9n6J6B_ z=|_h|!u&E`zJ3u~)Qu%Lfi_i8Ra;QjWyWQqC$LM|a|!!w4Z=gD5mow_#-2kdrk zZB!N#sRH?YU;;L;-X_bTo8T+^5XYZkX`S^D^052{>B3sh;injbhX-Gt?)#pS0R{gs zc!>EIgNNjkCKM#bEn;Ohui=su(&K0jvr1=*8GALLVEtK44~Or7sq(QNI$;uMOMX@Y zU-m6b;eR6`{`F4Q52bR(whP^yYMcfQMR;0qIPSq52JEXjeQe}4F_E?5Po`o^(UtG*w|2;OO8l+-D z5>anu?QC-t(%bfwRdg%S{QBjd+-Orx8*xL**)x}(p3C_5Iu_E18NYt{&S`TyN7Lnq z1r>7Lt*Hcdy;-HYS{pYzpy%5s@F0;*`$8GOgm$DoW@!#rTg}f9+})f zyg1O(&{UVz?T+6|w*?~5;fMSFlfY}i+J>+)dmaoLtPHO@+<-;wdbA3}V`S{)cNoJC zHSObpOSXuNN{q$Z0LJ32pXdvTF!qB*O;@+HWbxwc(W_N_0~5oCqB>ru63LxllkTD= z1(0)z-F}WT4{uj{I6ZTMeIvNBGq{@RBPsz@93fBZ7T5f*xKQG zF|qu&tCzJ6cfWs+iEiIT>0U5hrVGz1J)X4&S&_xkn%mCQvLy8}-rbGk1PWA-_hExBkS-oMBt_YEf zhWFIy%3*u&$f3J*zs}`zb?lTK@uGfi`~(pXIy9fkx;<~NbE#b)r9qC^>PFMf7`sG- z+DDzNwZc=cbMP+B7{a>riaw+B>gV8j?2jU7TdFcBk{zsz$#qb{{zUP+ey$v1^=DNT znz5^9nB5gEuHeG>Sk=p-_1;)nIxa*N51#A+czy=WJNM;zG+tV}8QVNl>U#+z4VGgy zvr`4=qgv-rR&?$=Iv6!HH-ss2Rm%0P#mN@mztOpt(ISsqSm<@4gTV-E17cp3E+GxkK#r>g%m3 z=SI^>07luQ_s@=`5uO;Wl0Ye<(wSn^yRe^eD&U;N>o>RQP^Y0?s$uw~nK+yr$As5~ zRLM}so14XB z4aXUut2_&`x}CnYNpE|?XEX70Z!Fw-9Seecy;Ws*Y1N~H#Ov@ z?xoAx>5qJ{y8t6)>&C-aCSUgw`KE>r)shYO&J5G{RYN(2fIFri541mPc<1DmN$&vV%uAVk zE>~l?pAHRk-30HAX+nJ65Pl7J{o`{g@MWU`;8II^#mQ^|B5DBwWf;vMsdLlRQQmQp ziQ(pIk8P?N%bb)eIB_z92ID8mCiKV;x!*we!Ik7EyI>L6~MG(G! z%DZG{tP$Oq^$_0CZzHG#MrAcPRiS!Iq4DVOg{~ATCK;!=3TZ_;kS*}nH_{TS8*4{h zq$}r*hdPE>2GXp?fI<{&VP$L=BAm+>0Yi-R>Bo8nrfBK{PnTuu>;mFFw`BpKukoWsKao~^hbNviV+5^q1ubF;3`*Wo+s(6C-Hj+S{ zl=(zlCasF%88qdqFU5q7=&E>{J!f%pxZldfi=Mzfo{s!n67Tywhl^8kVGcnn&8zi} z@0@fheMaU&TteOoa*k^XeRk4>btje2wYauzTraC3RU&btoj(prf}^b^=S^uj=oicK zaNxlI=c(6Xg%pKE$zbnOK@74wH1OHF`z?<1_%Tybq!c%ojR%Z-7fzqdq{lT8*x zs!#HdEy({{AGH6KzR!R6?vZ6ti}Y`fLoY8s24&2243-St;3}PdWi{dU5{{S@I?-gI z%(PtWN>1$;*1e|$YAo~RfeE&^{T+}|^v#q98mGd!ep2B6Bmf4Bun%2bWXNvV-PPNU z25=qB-H-x{#cb7pjRd3VM_*1K6L@#a9E~h#tCO#=+rWdisW}YpL}H1VhM}Nbi5d6v zD)#=0N||836nr9!uQC(3H)F0xm?%U=eHXm0J9dIY$KLeSS3IWG*Y0L}WJ#wvNGLVj zoa}7e=Kc2=aIShy9;-;Y=U4S6+=3f4b9GY&QPqd@UxGAS$s5N8nd`YI882u)&%5m^ zGD>GvE0(&Qi1i)VHr!$``q@5`@f?>tA$EgLbr0?%b^B~rr*5IXyJ&WtDoE@05p{MX zqk~S2du}HGV;av`BtaH1 ze}v4zAajUPiQxU|{DNk~X+FJc>0E}6Cvgzwu;kBJ;~wi%BbVIG7e}Z$Ol(ZCrddU5 z%p&kJEyhCQwV-c^Z&95179Em`MC{ZiFY~T@xxVyi6*Ztujhu2uN*f-AOi3BDzaIx z(uN!)C>Cx)wGjzR8nrWQVAX)d#VycnB-7&6wS@dg+IQ>XS$QtvXf%2=4I|+LdE6T9 zV-FYbZ%8ei=VeuGCJLzx^|kIIqAccfDm64;6rFi#%h*5=r({rYv9sT~UZ`_Cs7r&? ztuy}x=6g!C+SE$SBxqupn4u|+dPNZ_O2&3W@I56dFfj0DjN!77pS-qyT>VPAAl{8g zNKge+tgr7z;AUAnp*#!l=aVx&OLb-{D?y41Y2m&2g)#Vf1wPdSSE|UXtGj2LS=IB@ zZt|(^_lW&)dT(&^T6Y`&o2<#61hHP8G2hDZL1yp?zuAsh`9+>K@`|YuG1ko zNcba}fjC(eYlP8YrYSoVLb2ECh82!NKOkg6TkQP!(QsV4)a%g_*9P)&f#N)?dvnU?l<3fU@WHISV7)>yJlEN z?}AsHKKJjTW0X{$>GLy4dJ*hg<4lR2_7SIMm!i&^AcQT-V|)JT2+(p}Nb7T~X+s(J z2F|1?mawFxJju)$p=-#wATgbs9BLNt5OMZQqQo+pG3-)bdT%dAP|j$A{l2Tka5;m; z(w9_fXJb9RI+dA`q8Pn51V3+*RbIL#D4_Fcp^YR%Sl@w7yxNzF4SL3ysZFi7c-LEd z#|6)L``Z(P!v~+-NfaX1Sd=#DKa*Al%^;3lGAEG3SVY5&%dA+1qgn)<)Z4x{)n85u z0T6(nV@ViBP1kiCLu%XUbd*}04XyO z@@VXw>}{V-D9-;_;FXq}(t3BRCPLYWLIdH%WBGja(H*~JfqO|3^b5eNF3G%?$cQm4 zssM83ndkF8&5F~4MAzrlH4+)26iGD> zfvG^iQN+l>BSRLsWl;{v6M2)TN>_}2ye!FD2p%wITq!U zhPd`q+L`T9c;{TnTRR~o7l_#&34il3k^Rgdb2GR)UvkuxY*xl>$=uU)vZkL(018V^ z6UW(BmW(i<0cqN~pR}F@K~Rsj4Wxj+XX`B|EBb;Ho$i+`;i)u&8m}Mr(!@Fd;7IjZ zXHl_0j!(be!|D=~k&Qb`#5ZOJ3rCiw0kxAw^Un5tLR>J?RjhCMfvqKrsP0v)NeHk7 zUWrj=G8PFr}nA>LDJQ_GeuS5mb1L3uYqUB zKe%{H|6MuI_RohtC%zu^hy(dEFIiAD++D0ShtkN<9ykg&VcDgZb}n(<(Ma7&HqUX_@V*oz;N*xU zGf|pW^vI!&g{T!w2bMMB{rf6%+!*ZPt~xa8)Mm;b5(3m#aA&mB%mtL`wom!rc{~eWcEt zFCE{i$h0TGX|0z0-q-tZ$Y-}X353;cRCe%^KulV}kxU{%d)mdSC9>MUUxBjbWwYFs zPq)gXE1@nfgSWHom_52FvK?sS>I$@*HrcM$JMw0?>Dv7g<@who3|E}I&A;In#k?Q$ z=?DmR;Tm;h0zbKlKk;}(K;UX#^Y(%AmWiPNJ5<_1{fum?h7IQ9WW?<;Kv~eggQGH3 zKwbO$4^HjfF_Yk!nH7;baQ#rKq6331Q-dWvhXt50L-hrzHaP2%hq2===Xx;6o4<+t zkolb#-(XXUn{TS%`|ccUBKA z!}ld&Hwd$DYXtcUZEZq0Pke|_8v1deZ=%IM9Aiw6l5pRH$S8e?x+NUv)zTG4S~sF` zO{S{-^JAuPmN1R^3$h0Aab|NBPx@ucTOH}fqiokC^enEJee7(2+r?9+_&j0Yh{ z8zUUWe_%X}yS=WTbuzT2YR|1__Dqx}Z!J*U!$nsjhQ0L9u-(NuROPPGRS&^_sAM}Y z?&kx9@#2qCV)ke69@yA1+j^z~Z8CwaOZXYI3FCb=PpUYuh-YW2k?mY%tnfDKW>UPT!|z@V;`l0`NxIH%oZUz>rT{|wvO6j}$%GST*ft_r7y8sh_x<4lXT15@tpj<*TCp!nF$i;7j zx#_Ri;4jMNT+fHFy`&}po=z2r3}#(a{bneA-*FpR-hfD-S()R7vfRmHwf<8EA^M)& zyFNIjcdxQ}9{(ceq!Z(Z_nCL6<>^T-a&$f5VZ05hRo2Hwn!SEXrq?M5C+!VdJNneE zXP$PmOjsp`n_@>50uf&Cly|2}F+8i3JcaUo0Uf{khBF9^IICmWr-X#!hZ?DaH&TV0 zjct>SpSd>~HcFoR^!q9VOLR^X^_;lw*JJM(MNHD#04+jpir)f?vix51=2j>MRxxL008A?%A=8g92_nNB zF0D{hO8}Ug%bycqY2rB7U5+#}aXP=(!H}FZWcnIcT4}Yc1Q@Y|?=@zigd^V^eTq$# zv_Aki<1^^AJ;EXvQGbze$C4JsyI&(cQ#2Rp(+0=y-`D6#D5;E{wQLNZ1Eyai7m@Uf zag%OGHJDBUPZBNc7TN61(bXexMVw*vm2e_}ubFFJ-gOy85aru_Vu9>7O%r z?>VP8JZg!m5E_rWcQ=`@i2ZFPsW!w-a@(>3>P9_rg!dc+Ccyoe{z*huR1B7~RB6yN zR!dB!^=LW)4QB~p4^WKA=xdIFw6-B`#;uBpmv5D_Ra7`ZrAy(w1$B0I_B`Z2Y14b2 zD7e6TJ)+`|qizi-HPjF&CTX!CAq{X)1c{xTCj&r4?K4x44pO{pF!4F+KZP0qr%GOh zld})u;OTUuWquAXzKiH$=&jFkQVfVKqbRY6z?*|w-3iM5%vpt?t80eMEqQms+{wRw zo^}}%f36~T0a**w$GfRC*UL>Jmq9+U`bfjG4;cO3)Gi9+)K;0{oi{TP?3!tmQRtcX z<_}(+|6K9j2(?0p)@1q;8vy=M_x|=o{Z>K9h)C_N6m@aBm%cvC0vFwSk(e98rN4$} z%KqxYsDx>$l#xQm-~pjLi$$mTo`Y8lZa3k`$-E%*`nBO(oyCr$ArU~n^7;tY8q3Eg z<6Y&xFiAh98MNs%lb&b3)Q};0>fpDUms?pvio@7GtmLceZF3X4{+HGS#|YoWEFtn|^Mjb4cDB4R-Qz9K#xerx69E1K za@B+yE%Ij_C?=?uo*gmoU0hlh>l};T=Eh3=MfLKRNTI$nJ6`s`TCu+XuJu<4nWz{u zlKHfp-5a1OM8(XMHZZi+3(#!Gr%@v{2l>60d= zV4!~kFyerp^TNyUb1E+M3LXmkk;Tq4u{blkAqEW0HIxC;R){7E>PNlm7op+=fK{;G zpk~U^BS1kp>^-GzD`Rh7KXCxp9rl=WPlzx~(!=6o3D|-;!xveLmX2oJ*uMqD{xGwDE8X$e`MHC zG0`*2zfDaW&8*n|Mh^FWJ|}NmzV7z6p3flj>()8$Z7f{TL8cst_Ivs=L^TJgAUC&9&768 zdpqH?3sJEPSDgv>hm;r5y*+#{PFZ~loW30-m8qb=kN20Wm@jI#Pp5u25^7f3swvvW zymwGy?DSs5N|JRu$tGn^eAr;T+WLGT>qq+d`bE7I^=G|QW;&%;ajqE-(SaYg9-ubA zB+l*HT#Z4^EH|F9z_wh|w^Hg$io>2K^kSA+8-l1StHmek1PuImoMw{E7ozQ366SE( zhEmJuDjV?mF(M+S{Go{iYVj5F4lHn3-M}9h{OJrsVteX#eGmNA?$wZuT%q;-ToJD< zF%hwq3J&;weh6#U<$G5m$8FWp{+fJHTt+84HXY+bYC@Vak4ez7i}RxshdCRR8AtZwj3c=46Qk?G&Al_M;=R8IYmBdn z`2!D17|*o%0)@bD?R%ws(pni7&jg)*o~Hb6%N%)Slk7{ zrWp|lNWlP&Vuhe2O8frwiAW*~bT=wfq=^_S+}WXA+Z~1ya2vPI#B| z&R*nWyTk+Hyokl}2miZyU=xdT!RbcCwx96pm?PqBJVrR%y!y$1#2E%YclX@Z;jUNr zyq+9OhK!JY{NaYJiDCO^p8f)7PbNW=6jIwrUu#(mZ)5n3X|KE8ij(j4gJpfih4nkE z_j}cBzD-Mu%y4kK`7)-LD&!BwyXD}%kIQSjLn28hs+|e_ zkY~hdG&PVNB_O{N7G%M@^epn%$>eji{+;yB&U1FAuuTGHr5*}S&m>8MfPYS6Ye^2M z9FFB*;(XM%i&0{ISaL6giOfz~o^(tlNuD7=o(#TXvA8%l--wlA;Hi2CIIzUs`gW1E z4o9gXB)rTQmuMWj~?a(oDlwzU>EEs zgmM>z+5b-KRp9nN+3dQ34lYw2)EFMb)hKA@q;$%2TCAK2SPYBm*{dtHBNeh2{2(D9 z4k68dUlTfb#lvf6zW5g0xH5V+fogBBj3-@SZ>~7TYc-nt5A~f2K@P_z22N1)^pZNf z{qt=}o?l8$Fb!Q&&;|y6=fFvWix)k&kec6FGVZ=w`)0T^y)l!T>g{e_%em?8 z&W7?bN(H=h$hf2s<~lOton} zAdH9PIFTNvqAZlJWw<5!7vh%pL;ES)wiw~ZusrKZpZhs++E#X~FN5f+LHhZ!f<74d zsXFE+bN9)2A@|XQt=Ar<|v)Mu!}bS@+H4&le& z#HKcFCTkFb9NC!JA4O|x?4Zvko-)m2YIT$fZhJf5QmO4Ma|K7Kz1ouPDc7I7Huti8 zC+b{`rx2m)VkEfUS)BJXWn%J9tz{hQE1A8nRFpEj9QQQ_HCGpB`N_1Kbnp7{4KGQB zovm&&CC&j4`D0QfM~eA5ayoR2E#Ob_mY6Tsu16;x>O};<{dF#0&5Dj)ea8~3h4f!L zKUD~c0K~+e`=f;=XMsoAo(P}{`Dmj;i*Sge55;WIm712g{QNmbC{q^5cKpTKUN zMdzqxhz;TixEqp662&dg#=Q1}v!uNZp<8{oW}Bv<@AgQ_Lzc$e51ArLPw`(zJzkH^ z$b|lAr+l$clTWggeEue&$1@%kXkXqNqO0Bv<7gV$Ss(Q2MF7VqjEZjZ&Gt{QT~$p! z_qoB`vxZjBQJ{q#gYa}B74e%aneu8Y_|rghV?x58?q8~n=-=QV3+DFWvh%EbXg?sy z{(YNgBV!1}#MO#L8)zsEB4uz}27&Vi`zH7HzYi}tEgh}yqZxb;3^e|_o8U+uy~P{T zT57~w;sbd-mee=!2)g>zZ7^@Hvi{!akf zg+Hm*c?Ok&FQH7?Em{a~453gUK=5FFJqPHf$ZEWy4hB3}4iwJT zFe0NR8L`4>eLey+XN(%Zkf1DP!j-@?Y~hI!oA5~6@l2(NKQIs zdB#Vi`kwyO9afY%7mX}}!Lhb2u;)>ZnK8>xJrwVoNn`$RK%}I@`k+uBaJ)f~Pjj+8 z5r{V5g9KPHeJMpPvQ||)jjP%?kOUX%KOuJgQ+lZXc|mWsTez)p+uKP-s?Rj}=U_`} z$AI4)X*#!^r7d(&d0K{kLp!nKROTYj0#W34Dt+}OcQLc z8;#yc?+Qz-J~588dhoW%7OCBdp<4{?Ct1GWdTv%}>P|DhhQr{9hBF~@)XA$Crnz0W z`st@L^_~G)Zy}Tm2Xw9m;>%$wf@u4{iDVUFC*j<>Hb@A1yQ>F1 z#zQ^efCPBnxRp{jpm%Gxqa;J;bxvW{$;N#ew|hr*$Y2Fc?6enVMl)yFX+RkBhyRhq zIcu%mjrt4sArYo8=F(zKD8w0{R<{9~aP+yba%OHUKX=z!ikEU}7>q^DZS$x35Ws{t zNrt4|B<*(+ba>Fvls<93vdEgEeLIbk9y)c7DVxjt#zn|_VB_I{D)${*oSOAj+30Sq zp!sdjIqsl)19lDzrwMn(&|!)w@8VeUL>Bu`2I>o99h3#V>89ToL&K^n%(_eG72OH) zZTr`mZZS(fW48U2RrRv^-s1U+5@Tjzv;cPmSI%mQ-jsXDk{9dI_cn!!__;0qEFQj) zL5M$LMk|{5$;cjLQ~b1mOtjza%|}r4)Xl-JB|CU@k9Ty@4Vp6Tr4qBLT()uUnvhW} z9jO%TyFIrqJc!gVC|peEt%=zj-iz_Uo=2UL%Da>01r-W2o?ya;7|C{m6MpL8qN{8M zKuBckolFE&l_y8ly~M~B)YNRnoC2YFY!gZK%{!*h>X9p7WM>bRxIr8MsMl8hWwJ?1 zvdZhua~?WVrgCY@;Qy8p|9??Ny!>P9n*QG)8^y1wrUI?Rk8f5XfgK>(co z7jKqskF?VCp#d5(g?go_vle47>jA64@SU$A`}|?~P*pn+tEZl!X7SuR-dx|x7khE9 zysV!Ak}Rj5)%W26AM}F*@igm9<`rhR{ESl^_tx;A__<3tFke8ct9B&}4kXCzX~ZKRx4%77du`wiK&8tjs@|I~GC$p8A^#8zd%#K|Yj18RMb;zD|0&3j zK|{l-w4=E32B#9KaF@zEh9;~K;hABU=XtEDpj0&&*gllQoc*M)IDy~*dUKYfxmR1q z^d4m2?n%yz`O;ty&j&Z4p42U^cPy!F&bk~5P7D5WkhdHlv-2nnk7D>KkG*~oTVd}} z2=wpvIaQ*j2<9BrXl}SZC#n`fo8CX-a^oKYL(cyzF#Nv)!=D1f%5=*D+tkNgIu36ugefV&UHo1N&LlB5!a)5jc_`A!TB#O}xh^KISXIJUN(>U9R-eoQ4UA$SRtYUz8 zJScO>_~34K@?$K5DN}JD!J9dqZ_Pghuv&15Z~6&NZtL&9`i*n23R5G!KLWgH)X$&% z+L*yr>GfM5S(RIs6I%|`m$7)`fAJ7HYyJ{>zZ!iwjJ zF-i(SN3Rh{5(3IlX|t6Hq0DP(5dn40oF@bN9!s5)7l9oh+`8pLICm`B3@Ob0@I=am zb6uV%A?@BTV!<*mNFmFm62ehv`(JCJzaYaf9ibr2AR$AF26Ze(%G`dVUJ!lSwX6SC z4rn+E=cdtLMkWY2M=FAUlvHR2tbYx0ahq!uTb-%YRj9(_8rL5h9)J=pjyQ}7_Kc1hv(%?k6^!PU>Z$O2dK<-yo2F#OON@=afAqu|mKk@zUfKZiTpoN+>F=biPRTg7ewrD4p4(+Sd zOOiY$C88t|xU#(qCUOz+^A0YWR57ZNlQbF`s#NM-xLEZGljWM+t%ORqTddAItGk*2 zJYtR40FT(T)C)Qmephi<$IRTMwdce@*3Jhq_ephg5~}{vDZQn5*PZ3U*a~?-6z zweN4nR!5(L&C&OpUp`y;B{yGUSu+j<`jw}L0BM;F;Gp6%VTHgAVxpIwKw>2?4HnuZ zZw}Y5qla^rLD0mxXy!WLTYlQsMeZcA=5ZfzgN7W}S7og36(4U;8Q76&JfS6}`~1oO z?7-7oa(=9CTBa|xgQZ2&iGQ$jEg=_II-cY!bsXS2M0!RUuqBE$yGeM*jFu^o6>FjE zu3yQf0+u0xf2m1k{4Z*fB~w0CL8^EzgD#WaqV}itD{tD3SX&dOZ=`PY zPbMsYG#j2z>YKRq3x7*=C_sq%RgX)jWy~4V_^ony1xw7tUD6q~x{%5+(7$YFA?cjR zg1tidH*CLtTRQIbuh4}J8yfP?Kghd<6*Dwm$9yahI7JrHL-6RpcjJf1tm(*%u+=nV z_=yOj5zmW(XZ`Nqa~K8+2^IpZj%O-L-?q$14 zKl%fs3KNpfD;(6?fzjg0A6Z{BAey`dt|&iNJwWrQ1dZ|yeggXq&-K#75J>&~ETV;u z^{P!5Y$<_Il6kdWekY&OdoF1L1; zb#=cR`s>#Dmv=vKqmJ8H*AP1Q5|!hCCSH|2oz}0NoY>VC$q@B4xi(L&jLSfbs*yD@ zF$$bd{{zEFl*@q(c6EkE?S-DW*L88`)P2s)-?aX&U7+zWj_I~J=5Pf06e~z4+^Vw# zdR9P5ec)2*x?z@{!jpK;f!+bsiSC`HR!Ia=piP`Lu)2=)sA>#^u0J6GxiG{Ii96`i zoQJi9X=BdeS)59OhEWcb)qd@9np!Y9FC zvuG$C6tl@*^iFMj3a%Z5Pd*0bffrNF{jK2W{P6NFXWdp&XDc7_l0v5z_NDuOeHby( z^m4i%f~d>CsYy&nx?NL>(0TYm-`9Sbb4>0c8^cfp_#pn1|GN4w9EZI8mJW{z&vw7> zR?PUuLS(xryzZAOo~*g@yi-xaq4V<@R^^qX{cPfyt(`TA7Ww#MkWe5%grlR^Bw=IT z$Q&1`8kE#zZ5`aVI7epoqLtiQ^;U{zpwWCUV-rRi!*Z1Tw;0>pn>DF+H7}JN@J|Q| z(s@MzZ$+@LMX%7o4Gjo91Ac^FqX7~vZ+jzUecNx^{9+$epX6Jc6vnMt)%W^)Ok|>= z&d~6-cLjX1t7l?FmIHBx^veAp5s8?w={(7NLZ?&f86=f$I>-h~UI3eaqT%3|KuO)@ zwbrZ6g+)B|P6qKjxv-v9ZSwtzQl)!UfKf`by&f^e7|qf~zAr3jOHGEn;TayR*>3f^ zfJTY@DqVpo)w}&;Es@oayDC|!ihr6*^8d|T5=*daQ~5i{3mxl(UDl3KN(XvHk~-)u zzD*wgeke8DB}*gy&p)(zAgm3|aB#Ms9FBmUNr`k1MBMT6C(;z7Pb2@;-I#R`;=|{tjN3T1 zUjhK65&yXFRN2)P)w%ZvhE08R*Id`#NW#e|y58GRmh{3CN!3{?D8Ma?Q*8AU`EcRc z)I*oQDr~Qk@|U5X1TL&Ffqw3o9^7zSe47%G6~5tX`YA?YHY7CDjkq(uTjK7hDFJLy z-F#76*wx*Ye4ja~pX!sEo$`*@4(IFa@?24E3RNPXuW2i6QMw(b6Sey_;QwR%b=KUd ztY+PJnDnf5w?B{lfx%>)ar^KS-`VEZsdU2>qosHLMAue4KF~i!mQB5KJ)jhB%;PZy z_=ldsb0^ZAEvaLLCRq^p^O?Q(A}!BrXs$r-p)oNdk^-t*8r;jxk#|&G2BWod(h_tE z^38AJE5R#J#_vdITp$YMJmGGSJLqRIvxcfDC{8n+$v5@`(lq2!?!CR;Q#BTr`@3%5 z>O}{+`#AhTM zj3gq_Y4b5BNRLLydhw6L;5qcDydmUxkcQ)Y+OC*eRF1C3jS7%&j4YkE#>J>_s)hN z`6emTI@b+Jh&klIkFTy=}b4}apWwz1j2 z^NsQpSm+r|E+<$Jq3&%_i?rz5F@=lTkWFwQ#pAQpY6o}h`yW5O-MX5*6|ifh<2)0J z6nUSHvAG+}G^w=34czz!1>f7_$?m5^ zf$#Cz;!%h7vq@`6>Pufb&NO^#ipR4uZ^F`DGF562_97mdvvzkYNMG`=?p+dp>}i;g z)B`n(xtZ85E#w{T+4S|q6&F&HVj?A`BoJi8dit|c2}zOptm1l$cyA`rmSWQgzn;(~ z`M}89yz15!_eFCPGvc$sY_ZbR9{@Tm&Ay3wbw zvpN?De!VJVT{uG~Cgrx)zqv(tBkG&e7z4Z{F4BNifxi-#bnd(H9!H~NA-7C%KW(RX z`Kh~rTxV;{6zdw} zw?;ReSk`+jAyTA@2WBWp6Xs5$BFgx^^%+w;SK{+`MF`(loDdr2cCZmcdZ?HZgZg8% zB@S7nI>~hSJrYC&^~jGmyp3m zJ}tQk7P2~h+;qt8TAT9S`Pid<=7i5@vplbac5iA(I-(bqhXfTVJNaqDaojjexh=KL zUS7)nH$vjfo-9-sjV&$%+l!9BZ!b(Am9n_ZZyWff6RC?7tOR`clyb*CdBj81?U+7| zzA;04UIAesasoxH9}#gj9e?<6P9_6<1kxjAe>^Pvb8!uC}mPfSKrT+xkeYpVH zIW|>0%)qFnn1CBC*0adDN?D^3*gS<=o z@9HNUTy9>IjEaKAUe}3@B0X^Dr9GU|;DyYLD4mvcB-P^LPx}Xs*tQl;1k}P9MdP9h zIf_07ciobVrv0{(+imkZxF5`^@#Lh@qn8H?L-SUgDAVip&pj5Ct}uO6#$ht%c(SS) zb@T^@7Fa(A@w|xX&V_7tOVH5Q2LTaV=yh@@O+P(wlPd0Pxsu%EFYKT@y~x9)oMdby znoX1P%C*Gs=65DI1y;)Zz@$(%kHTkUs1s>gF$X!%AP#-If=t>!-j`4d{LsEZn?Eqj zWD6^kP(NN7sRGU6-7xxKf!UYkEu>pDP?p*Z3kcD+->%C>wkLzd(p9mA)?Iy`%jFyZ zBSHKdm%Cof;;sVh&+=q)VY*lpQF0%H-wJf+eeJfWHWIa+6~vYjr&jB3t<=Ak#YZooNs zBlvz{ybcPi9^jGwk@c&^evRDejx*=t#~<-SGbU-n)jZsT6seRJh9B}fyuXeuJV~32 z_l5|U45fc6RJIYbV-pi5^9ekT+m^}j_QhjP?Wr4rPSw*D=a+YzXQ^^Xsk6qiW;!+w zo$It|qmz|s>6T0kxt_0<*TnRQ!O(1axlm{gE27Eh`6&HiT++ku?0}|MA3n)WATj3R5+a?eM9jk>rKoNI31G<OMT=?N1h`&tka5*X(`V3y1s)5afidYT$>Q>0n(Zp8bqUl_>Q2qgN#P`Yu^SRvX zuH7-Qb*!u2^NA|{*l@w}e=Y-%YRdr{g8!7i2;#ptlfCWNA>jIb-$ciGRsE%^#&l${ z|6NlQbQ(T_pWFa6_!$7$6K`21DA>ix#og(|kq5D7akp7Ank_}TN&x7R_|-u#KV|DQ zv<1=K<7(2wjz6u;%U6LKy82`uLDRhzQ7fyRRyH2=4 zaf_mVwow#JpU716bXlC>Wl z0S_$gH0>sC8wlNo&zqQ;)Z`#e;+FIHgqXPe7>;W~Vz`BwULFd*qsz;*qvgO#;*R^s zoad0y6nAy8&Ec?mW@;63-RGdLi_UiGBymM%X>A&JHNZ}3l_qzVGf~GyC6vH<=1?ld zgsxoRjL!7c)KzsJBC~ewP{k}@lDMC0sR$5&IfUw?ll$zZdi=U-`H@^lu>XL*G9QOQq_o<&6f zO0L3R$fMkU;pV`MuPyZHJ${>FF)C~X2dUb;STII>eWJ=)v=W~L^AJ-GqirA`@I zsMZd$m;=vo4`taBZy#;TH{LJDH^K?=oi)8(c^N+yVN0)jzi+BuP}QfX?SNdXN14dy zCUcRm9W&>ZHk2221ap)5jq116hATmBi36T;@4O5h6V>7zy8P34#iZS_TsG2Md#Ztb#;wLjlpSD{%#|CIiGvURAR2Kqj>J``DN4w zfX}BX6aDre9V3C>`b>T?pk&Ib3P8xfm<_t}TLfR$x3FLaBO%*-7}Zc@%eq{TECJm9 zCjGqA$3=@BJm-e=qvsE7xvzAv(P2CJ46_buw~OQ>K`c%;K(SsOy|1(rOL%Tfr^zh+ zfkC2O77%)LxcN2v%^WH&)C4?yAMGb1vS=_`|MF+XIq$_vrPALvEKaaJ^+9q`FC&Zl zz852ox4?*_ddZo*VJOwuC^$ju+{%;xQC^!vnQ$(M954GfW~@pBYQ$VY9&%^F4Q+3E zKqIb08A@a|HUl9wCd|yDz6@RGl=UmLuH>S)Yd_MttCjo`7FOa*6K&oJYtyUF>QkV! z3ujO)L~@bDNJM_FD?;W#3VCx+#3HJ*&&R(jqP}OvAG~usblN2!YoN+Kb?{`ujPnfB z1D<+s6_gf^=2H#FdV?*s6t}Zx4C1&D;pX4%&73duq-Nb$-*ed(e0MtvF75_BpNM*R zddI6cHP4Gn;>^e;tubci8Vu1WSrkuMcQa=nsP$oII*|R?=@6dxE7!{x(a7=J;d}{{ zd%~~mNRC79?e`3Qn~qnIt;PKfqsE1)nr1=@Ur!~c;)_pWO&RfrU zKhv@$ZM^a9-hC#HBSZpSD{qi}ZVFzbgqe1}MlJjSe|vUoby!O}!{=VgZl~@Q7u(ln zpwBOczl_)!$5b#|<~CJdw@$g9$Dvgm-EFL?1rms5*l--bEaRTC(P!j**x8^cWS90+ zK$QpZ^QynG*S;!z?;_FNn7@M8d}osB%u9rPxr7-rp;f}Z`G>@ivuLrVNAiP$exaHL zir3QW+C?b_G--38zqoY+S6sxTxNa7Lo%rZA?@F20S8Q%bC%PJtk?v6dTg}Y>3UxTF z69eE*{|%yX0Wp$hGg_*_Rri~LZw;%cW3e$YpwAdkwC1u7cJ4Whdmkv}WhT0m%y!|Q zNjT=AAWvqENoPMD7jFovy@wKB;=hZviDHYhuXJ(prR#F>wQ+Ywg;?CVT9s%{K)9?m zyI?Gr!n2siiy+c_rb70;Qe9OKyu|~(xE{M$b_y+;e(z;YaonLW5UeU1M|Z!E@)e8w z_!fVh?i2qFiEo(3!@ulf06Df)F5}L-fziCJv(w;(*RvZ>%hQsB^qU%E73(MOG=nSd z`1_|_K{lV0jhY}XA2erHT|%JbrtNwCCs!=>pSfZl583k4$Xl=F&++h*&O*nnQ+w?g z?3fxb2+1yA>J_y$H)O7gG~Tw?KO3;)YdsV)p7%G9;JSnhGUM>{yhmqCh3Dor-brX9 z;ezkihKqoU@9Sn8J6U8kEHY{f6F&S-pn{1C^#{iL>NA=uF>+8_E${)w@J!7vl+ysO zDogabFMWF%bUMDhDxd<SW z9aUMvJDh7#b0S+Si$*C*Y}_Gfc5U|^GwLl+PMjGv-B0Q@Ou6WnJ+6`4s1tR##xCc| zuRW9cvd^T|t+2kEoW=Jt<~HG0YBB#ax-woAwgmxy>rBBU+{%e=h(cu77vdek?Aom% z-^Y*;mGSqSG3;l~nD1{n`yjc`snAC%Wt0eRW1^@a#X%} z^a9t%Z+<-d-HnGhwI`KHF66x$;Uj12;6gX>fG2<4PYLX>?j#&%(wF^!F=FX4#c%YYH?KMd$9Xy- zH7X>*U{iH>k2;P#=~XI*!!P>5&SI>SJ_{-|57CQjO7#E;+)jxKv(Z~`bt=Y5)Igoe z#*?wnmS>WFb|Ym~>r5fd&So8Q#*uW@8Ji*@v4m4e~H0sUYg-)cmWS4;doG< z(MbH&Ex~i+fduisV4U7JsWJKGc;$UaWS6;*TyI-V;3;VfVTHxTc~TKRZ3XlR6)(<{ zJ%64jD@9TaHP0+i)k;X3fm?}!+lZNr%S@itJC5no!#5?sBsbd^;u@%E)>Cl0V)tCl@ajggkKaD_}7;ZRW9n!pG z-Th3@S{hY{z!Bdb=5mzWQF9}J2Rpbzpgf2HrUiE*wS5Nf-I!TrSC;pv2NGs2$gidI zN))GW6GYv2OB_Z6G_QI@2Cd1L26Xm9Tezx^IjtnDmntg{Ru zR8SCD%qD#X>6f8*#+=A$yZ4rse%iuN)o(I>-Y@-7**)ZBbwG`)fQsg+w=rKi#l*D; z1?4mOoIY@k9=^@TUFDNMFutV3OAZ3ov_#pVh4Ybln{VTmE%1bhOJ@&u|27BIZ<~^N zZ({>lcRk}2*k8z<6W>nYT=s>jng!b{s_kL(-@M`pK4jfPq2x=P4E>K#-M$iL*-A6| z^RZzmUH;{8CFnlPMcshwA$l!iWevj1wLOJ#l1fY(aoqjbBLijOaz(?xOS& zT2R$HbJYUBbGwkl4n8wNuvIW016N4iY8U5A=NcQ%`@gRL;#rAB$}nu7jT;G8*JJ6t zOigh#xg%|?5;OLmxgo>2IS5OyasKKxO4=(aIHlgkpSy<9EJclDdD+ofVi8dfzZE7a4wmu3IFx|6aVX*#Q6A<8+wkI zt%=r;9~xWhYoAt50c>A?(#igCYU;UovRwJazo};MB)tg6sn>2d9y%i_LFuCJE zibv{agM+F?vH{)>q!XcS3WP_M!$I~wEDhwGyj|Jxqh8V+E#2RCBw~lD_afyIn9q0E z-&Vc9W!$lB1i67yes~lRwz8h4Da*eXWStNlY#a$df{FgCwOGmQ2PVar<9J zFvv_`g@0fujI(9DoNsy-x$9|aG zy3P&Hj;V}grz>V32NJLoM2y6S5a4qX7N!BsNaBbHaMq9rqOOr}qfJjqndlK!-dHg>_cQEGE#5>Tsr6_(>Y2Fy7H%b^Ot{Cme2 zJUZLZ1)+5~Rw1kQM&hGyXMnLqypm&rU76}WHbxTefl`?Z_FFOW4q9De=JX>FsZPr> z%LUt#Qwc3Kc)bR(I%sFsw3{{6_QtlhF0{cUcdPd%E`#^1U%4yWkx)>-RC)1_l}Giw zhHsp+69zUwWKd6cGUWCovlE^fe|#rC`<=&qx8p7Dnv_g}Tbu@+uisO9IZXfc_@wMF z{}ELpY(1PLBdt5n4dt1@uj`TCbKq!ISNv!2URv5I5d#ju)UwC3_~1onzN00PO~t7Y zF!kpltEdFw%q^#>*XE?uv$Td^$PYQeyU~00O4jvoyQAr64^K&yj*FIUsADE3&PN_B zcinbm2rv(wKmG2+YncZ=d&q`}nQy&@&4O{4?G-IGFZtL=5rPbDp(o)!TS(;2kAr!d zN$cQ0Q`qtu3s2R9r%ov!>~N&4W1n*5f?S>X-`$v9sPKJOJrOt(|d$51m_deLce{!PRb4qR#{|77p#0stut`0IR8Jm zd(W_@wszegq*nm}L8^jC7m$vifT4G#2Ben|dKC~55R~3QdY2lIl7u2CNJlz^5(3g| zP^n5=XR_XRpMBO^uCw2BUHkvv`H&mhgPaPG^IKK_Z^dJb&x?%-pSUNv&&MhcBx~0;8d*iI?o0SV8 zC?#-Sq>wO97=)*|&q0n8b{>mxr!p~r30%x8xxE4*%&}zv(KKh6)ee#T^gGdYt5pEP zf;Hjsr*i5DdhDHy)%->az*iVJh?Dv`itp(Ua3=!EZo(LLu_tLHBE%dPH+g5eK+}DN z`|apsEJF{0J5bqQijGeKy6T+-mII_})WD47E!6fFW$$giFKlXlh?pyBcrhE9anzf( zOPV&#RX|(iL29mmHF$YYrL~Y&d}$qpKdJ8b10|-tC!Lp8wgpRoKrx zyOO0#o&)DVw3>dRx3J0-la-MF2|plsJ;l=Vu*>i*dkJWMtEpRyhv(aGI5qL-i)R~G z)CXMao~pWj2>KK|-R(%aQGF*O&|jSgc2l@zNAUXkkn~!8SF>`-(^dUksY%71esWk~>L8R=!HV=CCX(t?@! zOH7zXk{_%n3PobD$O*y4Fl^kZ&smt0dCqL(sRV_bI$5lU1$im$b_xtn=Z4a zV6S+jx0$Ci&QJ$OSF$`0 zVbE}jYvOrOd)aqS`!cS_m9{xF8nqWT!07p$1=ZKXxf1$sff8&DW0I8py*u6JOiboE zd9K}$kfJ7}Jq?+S4v%T(D|}#|ZW1U~g+<*`t1PsfSe6>}EDckza%?x?Ld5YI-3Gr* zEGF`@t_XA_aE^n$aPtfmp@1xiXqK1^uY6*vZx23BMRQGEd z0*8aU3n$XNGaem{K6LL%X(X3@_GQ!!kq!`$ArNzWw4^)HEHSI$tlavC<%hRD#iLrv zZhy?7ff^N9$(i%Gu`R?=Kuu;NxzyDg4-WYoxwF+uSv+JeL`@yJC|cjA>%A^C8xD2P zcH`xp8nF{zV0hm+q#w7`1PnznCFrMnN*eT=@777Ebb{I%2pVXh4omP*{YKRr4}F!S zOHq}mlE3`Y7`~lTdrJ0GdA$BpWnejWXaqkNuj#R*YKU9f+IV>T&HyeM4bkHsHO+_G zES*7tRYLC=Sxs=NWdkY9|1$LQY0NYRphl(dh2Ue#3`4gqU$`GubXp{4pQ6&Dd9$Yf zmo?&1WOi`?dmL0Zje8nTW>U>Wzr{QbO5WB4DpKeQ1o^j z7w{~QaTA~zi0=%iXGo;KM8^QD14M?SvtSxCc?;B?=$#1ZSUis&w|Otft@8D9jE zF9r|U3cCG15kyWh5b1tNDX*5h9NG`Aa1K z`h^?gh-#QA0o@^7Lhzoq4>@%UO`C zr^6j;dutNXgsYO0+q$=>)furbpQ|m_x9l#%|M*>_5_Si){RbgX(rOga&f?1Ph$FS^}ZssIyPZiJCOS*DmCJ9CT~4jXldsdA0;Q zp?8{u)ds`O@A?EYkBxQ7%tfq${nUQa&p%`d@|Zc>oI(aWWvkcQQ5!N2%STmj!)H9R zne`rXtwuv&O9t0wf6i1@}PRtm78% znBuic>)e=bIm-bRG$Ie1lXFu|qSDm;Zbk8!xg~|_te4T^fVEIa;h{JDu?biGGuO5lZHxu5ZNu!&T`$Ay zf=izK^C1OvmD6mAPvQ5;<-(*+hwA9?PnHVdtw!ug^A7kUy^3^mPeNtFbf1C)llIgD zPmh>gy6@@e$keT_?)Xy4)CTJqF()mMo z0apWJPGlyos0dY;FCBZ_7a*ne%HiOdM~^eD!Nn6dnuiOG*$Mv3v)RqL82eMjzKdJW zTj!^@qlSSIH&gl6Z?9dH&p|NXjvzT`jA`PAPu*xqYieD0?S1(HrJ5Eym1Vj21|V7^ z-?6SN^aq62?U{Pw%9!>1E@fvKler#gi#qX#vRk~|bOVOz^vC$3;<<`6>TE)Y;Ev+Z z3(k7_De*!t1O7ZIJ(BIQ!p!mhIz-b#-h<$QxGW&S5!VL-(U}W`2`=j!QGeU{d?jR# z?c-#i!iw3`zFXu(lYLz7K?^S~UIT#!k$XA|V_O0`C(_IsL+sLEa`&SJpze0=)CESMU6Y z+&d1iuI6pcK^tRAI=HQxerhXRC9J&6g01%!YbXdBhOAhubI!_3wDcY^_yLPI*ifd9Q4u|jGgux7iHeO zf6t;htsPinD!laG?E+}Da!+!qE}~>+8AR-9{GB_Q&;4I=jqwF!$xBAdFsM9v;RkfO z17n3ON`+sAfi)#XR|B+hcS&8|+0*e3$f|EYl|8qWSB9_F-go`=F}V=Bg++-M1`wWr zAV3YJwmeSVjj32sIY+<9lsUtx#)@?5kT(hmkJzKGrRYmZ9o}jB{W=^5{=78RIQt$w z6SSIX8G6_>ba|cx7By~3_QX8LvFOJLMDh^w9rb5`2e0>V7;XpPsH`xsZ=pQwnbe{* zRBG2^*mMH)<`#a=t0|Lq;osq7kXmoL{3zg;$^Y|+yq`S^!gZ@0G4D(Zon4#Iit0*U z=arZB*T2C{mgc;Uh<}zlqQEBa8}eVqFq+QX=Jm6F3{rPK3*-$|>OM4WB{)-c5U6&3v{7X&=TUrZ zss!XJ+Yr!s6spt1K|{v}M5?xXU{*Jp;ux%7g2>r-%(~)b{Y25xALM3dS+bso^JTC5 zXaTp6pwGD!3VyS$L9f(H)T-&}OJcC=uhAimV0F!Nxn0{F1ebX`Ovm+HYGWO&{? zq3q5S9bL&cWRwap0YVi>6x_qMt~!~4AZl}~^{1(Io! zv7K?`8VvM3&rc|?t{bQ5S~UJ!TIzqMZbDab^+Y`Kek<#0r~1Xl%5ON~cFT9JG{A%B z;H?Rpt_&0x-+@0=({Dw0? z{O#2_;!Ci}U{RTugzMcIetz>ERH?u4Ck0~WBbEv#lCxOWJ#Ai|2hZ~Oqf@`mR`!%B zINw4xHC%gLU;8p#g(#cZyDVwvcFa+S+-MM`cuJ?w}QjNr70k3WeVxjy9 zJoX^5=k1Q^nl78A0%f;JMB+sQ)~9A`Sr0SEP;_Q6m(T6(TdKp0kN+|m_^*RVXgOmF z!f;gZeM`}xbqt8oeNEC7phXmx7}&V=yY+hz??95gBFLUJLwz-+oaMPyQ~Zh+r&MQ>J@{@BK;@GAatdF;HHpjx-MYKyRwSxAm!sX=}D^P}Z{hM~AEN+T7?+eL- z_-JPZRh=1LY1PkGitey1Fu%AYgTxR2;({CS503K3Ox z;6RRly|Y)4v7D%{5#4B=Y5OA*=2T-HAvtT-KB_#BDwk>^^Fgo!YL>Cj2=?)}o{jwD z<1?izkV1XnNS*BZsaW}ZjN3>kk?bqSjys&0A6N@W8lvXcMzn4Xb<@zXv%0yX%96-v z2#HFFnVPJ%XU>aUIQ4Bra1_a7u0@S#a;&S85S{Z`#^mh&-T4jZV6eC>ZuWx2}SB2iFD77gU>TD1xa?Nqj(`hI0sdcI5dsS6y15QZbwtU zKfxRATh;yCUELHFAuGawm6FW>m`)4Ty|nK;Ga_Yb3(7Lh6okRb-$i9j-8 zd6MtOFFPXycEp{Tf;O@G4K?-HY{@Vz{#8CKo9Dv!^2a8xO<`!G@@BjY{FhhUW0uOm znyxhOa;Jud71!u)CG1ARaqs(5|2%rv@zKW5;Y#D-fmqPqc~VE$#h{~a5wj?J$gt&X zz3W}jXSYwxx412dtakbRw)@ICCT@x+g6C#QI*q406pHbND5XcPa|2o0a%_j+f5UnI zAV)O8C_zY;TWNZ0{*`E-G*jea-qWOhsEUTmDOvc>{kW!zBfwJc>@Gv*o5&?pI?I61 zuoXC{bkb?=5Ky+G?~-+4A{zceR)jzw{$bBLiLualF=5xnN`AOZHkOah+oQXjmd!pw zU%bOZ?ccP8s^;83Y!>8aa%gB{O+`{qVY*mdxGq>YzVPgCw2}vqoeT)@w6K zG`!lVdy$Zq>Z8ro?ze{UK%LDGRxjn>cQRj{w{g!|Fc}O$LDh})ec&zkh=%pF9H)+& zNi_Rsl{e*82+M{8a$`|1SuVSW)TXLxWz zXFa9A1T_;otjyq=$mKaI-3_m`%n4%?5BRQHTT(DUCC`3Hn_B;CcN$z2B`i=K`*sJ^ z)a=e*2Sh@7hBI!DXFqN<`uO)9^S$Gp^d{mZUIN(a;s~$U8#a`UoO?W;S5ww2{HoTG zNM!DlD>5%k?j?BOw!_TKECML*K8cuR;LLlL#A%+8Vj)8Gp~S)QSB3n-rP@Ig zHdVV^=C~q+T(2wWsB(o1vmFx?w?3cxDP0}-k7y&v61409t3bg>R$vf!Gjam^WySXl zN~RFSw0V21G+Z_N8tqnwLpEj8HgW=}y9k$6r#WDq&Xh|x^K4^2x!&Te;k3R-&%y6` zcK;iX)wlJpR@n)v6Zo2xlGI=MS~e3v*C`D=MGY09b@f2s%e5;v{X8o}8 z1c+}~m?IV#5q(MD&hAF2Z8G&sM{V)9z|r_8d-y`{Y<%Yb**8*nLfL;LHTxHgpChzY zwR-lJGyl@wkUKf9L?D1E`5S;=XOh&p$PnM@WGJ)|t5YuLlp~3q&{txx!o|k}!mo$P z=Qy@Tj0YeP(Z_v&SB+em1y`1cPF*`f>basbS-!*UrhZBsF(&45BUn)`3QLD&?jC$= zWP5ne2Ee&vzV{y7KdeAW>$kSH75LB(uEb$s1=s5cVjr#~=a#aR1M_f|8+QInlbOY1 ztrfTh5aauLLi!YxJU?~FNV0Y74Sj2r2#bMNq0;U8#SsIf2C zS=m0s4AQa>FfQ=i3^*YrFJ2|av~`~C2B?M z!grWA4DL%MbZ-jPFbL1~g+Vy|^^AGARWqJ1qNOh9(KT!pT=^!*3F59u4@@X%zw&WDDQt!oQ;@Z#+m_DF;_qaY$j7PM zXHSyRMvN<^>pkPheJmL&mhumYnnd5F#&!Du09{pSs7=8OzNZj zb{S;tG<({^k2dfQ~ z$Ih)#ud!NFvmFz;j)mG3A6c?gjiCpnxvXBXS_4d@5FHdE=QkX73hT|Q7q5(u5(3}u z5#NjdM^=0YkK@xs1*2|2up5foEBdn_V z4Q5E5)pAXk`ZpXmpL5}pHnNrsJ`^)n8*Y>Uv(oi|U-P`Z!YEe_5`1v+m$8nmsP zeIU#vp2ZsTk_tExj_=-te~1W(c6TQgH{lp9BaE7Oa$FB`4-g$uxEVQ@8snwAsiVVw zIV}J|8|T0B#fx1lIBH>Ha^6o-))OkE78+()qXgU zE$C|t5+OWjB6odqViA_8UC8GaxSV}^oIBx2&%+0gvvZRX*{&F8_U;!k%(Xf&}y#R#YG3R zgkQMO!W()=hKS5!r}n;F(58zkd@_uq%akhOhcUmNAqSpW@o@7(wA`g%pmM%B+9!hT4zlA2*33k0n>Rd^luaW<2EOz0z)9s0`OAW6p>XY{+KsYwZ zKS^@h?MZX zWckGE7Dkh7bwED_Lqdt7 zEbqnNN1QP)CwAEY6`9qBwwWpcpf51n)DJBY49~W!R;(sS`|ct@voyB#nD60dCDf1y zgO_aOt;-8(`Lm0BOy1UYQOaP6fnsHkFk~`J6$t4pZ`V#<_ZN?>MkfC<7Dw zeqHYH$z{6My>S%4uu3wt>y+-wDPC}B7{@onVX3mW)A>il)+AKm5m;WJ;hR8LL-9SO ze}I(qNxARLbdI!4T#Oz)TMDs2Af;4NBi8`~&BR1>mGgI^qte7P(0ka$G+=i!_R?V; z5{JLYPI4%WB9qWD2LI5~Z;Zh6yC0J8Z87HDJLG<>OthT|aIRFGFKIVcqN3*teCp6j zKWxnRIf7mqr|$7QMUBSh205_tOlw0~J2E30SV^Ch<>!I|GRGYez8bboW23v^uPVtR zgmNVydD}7=ya7><7U+5(!%)3cEVN5pL927up2_G#a2d$k+1Z%@nMg|zbs11%k?W4t zldI?0s4V?y%|U86d>gmde5jr~UpCt*9pFfn92walGVvP`2@wv{iJ<^T>PFOFfN@k{ z=J?JRdydb7;QfjT9XU`yxY?NHHAgo>l^nV-=>c{Suap#5xvomRqG{5QnceCRwI14y znAQUL2do)`_5l8ADE?R0EN_7)Pd^bf&<{962z?$^fs-ea{4;@?BISt@noyQQF%kdu zF>(W!*mD&$mAn-w%ZmuTb>qFr5Ne4b?)W+psWP&BJp^mq0I2e=Pjbm}^wyvboo@GE z7KX&hm%J{XZL?ZHY+Jy8oNrFAK&EzAYKft@F-t}4chiz zm~FRBI@etT7R%hF9Bbd(;Rh1BI8X18<8B021gaekeGdaemL>=2J=d>e@~p@5biM9w z{mRR)0ray>_nVP`3R*9dYcIXu;sN$=@9CO29(~`ev<|R9x^qUT3};&NYU}ps>hJ9C zSnh*R*@ARKhSz9$u!*SD2A0Dnxp#tJT3%uxN2t$5yfM4k@l{>gp3B3CwT>N!N<)_nv$GVm+~BgHo)|Z z)581qALz7Ig~1NjkKc~X`xT{$najz3!OQ**+C`RZUDx*XERs?CLU?N@@vljjy(bym%Y=%#c-$Fd=IYn5|+Bm)z3woP-G(@Q`x~37YVexoN z^FSiF4qNoyv|iM~YvT3%vcs%32fOv#d)>UUw}u!+2VnX|wfDq&Qg4_EsU*ktTyD0@ z^c5C+78bqO)*^xk+HY4ajjuO{ znd4J9LnuH0hEw*EE2wc>=`y%xOL$%>YL%p4LV>uQEwR)bV>CxV?;kv)0x0a!>*(AR zY`Mf(*TP>iO!L~0m1H0HQgyT^q63u3$a%i7b`)fHRc<7z-G0>iE`GqvGhXE8NW6Qt zRT)J3)sfk^roH!b9D*3Mf2j1AlhLtHttIYA;F_E;&d`5eljn9^E1#kQ7gXTs=+D

    09R*pObP#eNjINr(uX!H~Nhn;I zi$-DxY}bSDJTE#gZab-2GZ+oJ)x5*Q%HcOxU?OvH5qkiKP*Ppt+8#ddPwZEYIC4SRF^uU-n$w5HO700iW+CM z4`6g3cX58{n$E7CT;(ES&FTC_A7i>4C~V;`_ciT&eLkhvb4tYcZ*TK|!%=ZE{0BAh zL_;?2xfS;RdfPalO;G&Kk=IWZ@&y-^gZ^3D_He#EEtU6qU+&USNaJ{~WZ4AaK_deU zV?-5?hr&>94zB!x$Kk_7gd;~zn5Ow*|9D{c{_Mi1;~3r#ZLu-5Xa6|JpA(E7*nWIb z1IX>|AOh$Oq=Akm5)cnG54!XB{%o*#jPWH}`5(+Kgdn_*IC*v`sWX*emU;%G1YQpy zC@M#wtui=w1jCBY6eU^~b##GEk5DwLU$Z7FXofhCY( zqxcHl7tdYi7vWOeiv}Q8;-XBrjm(U3K^&PtamZ@p4kwmXVxwmsh3e!j4z?yTW-)Tu zYo|4l6UH2+&|$vEZv^kz$~4EWJbJgi&;dT~9!6*@oJbB&YJtlNS7K%QUaZ*XbDyY3 z$kc-#rP@|b8{-aRESQjmmb&0(E%wILOvb5}{m9ILWmW4`;m}pp$!oS7P!W)6cYs+j z8Qy%clINa^7t}syY)G{B}$ulJXW2^-qQvIT5Nl* zk_urbdv283=38PFnu3WuqgU~^UI4+Lq>jAI7zw9Q4TLhiBO*X zz$9ClV$Rq;@$-KC^1-9Rt`uRECqye~R@vQ7|8qlwh72@KQh1XhlK}RsuX^*3?6>u* z)qcXT=kghGvBb6=(`?iSE96Z7#E<{XPyC213?OY03@UQ?`V=B?Mdl4@Z1i3aqeIWQzb;(z0Wu)cmqK^gzKD9Yphr;L z5!)9*pJ)`zUPE`CCSik*?1cF|4(Qx#e2r}J>(Lz&fhHf-Lth|n5l)G7T5=n6W844f z1gPdf5!`^SM>+;m?=lsqgzZw8qnLqj^goq?k?gSfwN|wT91$0|8`Muz;&exIQyJd9 zez^_y$|%t@qr^i>@tP@FPpq$<9>`txlB&toBM1W2*=+dO0%hA6k;o%L_KTEx*WM73 zx0y6&duT%bI2Mt5d?eD9s+d{7(N_mVn?*gaKxg9G$!Ns&Z7t011bdL(jkGzst8*ce z{#^^-#VA5dkt-FSG%_zP@I&j3%HeAOPS-l~L6tpF-hYRd8Ixz=E%7n&;t#&dCP{Ph zd)v*wzR5A;|I>nI-LtB-lcyUHPIJ96=ak(tqfE9{Iz=hD2WenLlPzS3b)&go;9Efi z=uYO9?5V$91!mPL2i)IaT33q;$PMGr6W;edopuM6PyZJSUMnw1gzu*M#`334xf~CP zIhall;_gX7I=RBf3zXrJxW%~G7nn{666w~GxfH_h4Pf?RL-fi!lorTk_6TYf6YX=~ zOs1QlYOlyy%Q(~LkE~$o@UYivv|F`$ z{_kXh5@odHr#6r{JSk0sNaEg4J*3OixtFd!qMv8b=Sk5Yn|ys3 zIl5TF11VGYhdBG8wSfk-oj-T@NkP4n_xDTwoLp3W1K7Q8R`cD zDGwwD$IM&od=b$pwS3hB8>7-Q*E0`WC!$W(zGza(o8$o$Ym4Q-tD+-kr+Ck!qs=># zblRmQuFQJ0f7V08Sx^RiS{I_t2vt_@|kAYEd<_ck*-)oR0Hz`cjV2+*tO zog%iQZE-d=?&9W>;Audy7mb;um#m+8eNuUdHBVv{=(O4Ug325+Ghn0jjomoYYu*}| zOA+^%2_d4QMA)Q=FNxWMPRpsj8u9(!@EwW!`~KV1{@=i39IXE#DNi(|qe!I@cfY8u zHej76qr-iKwx9>1+L65oIp{7s5JRB<{)rY>%c;ENdCjN_Cm5Q~&{RxFcz8dY;@X+R zb&35IOskh}c4*W7`&NXar4Oc1c3#kY_U{TorHnazr_c_WsW8e{Bhwk}Qn2gy# zOC5V!!$rVSXN9@pn6Xx1rP|>^PD)`0OP_shdnVF%!b2Nhs!eCKDYvWb{+eDH>*m6rZ$c#U?jpSun&e-TPlwN&t@Pa(Za_bH%_W=%xf;x z8fS^@Ad`{C#$(qwycZR=R@&*W(W|N~;deEe?y^8RMMK%nhe$$`ds``H^-#p_As3)H zNhtd?CPiw)$+Pw$BY8ip@g45>oQk1WCDcO&)pv=ggg)v)U+uuNee(VXLdH@(Pg1Ch z?9h^3JSN6s3ke<>0-SZIC$0fxucjfMrhfb77s}=LFF?CI7&i~<^-S6O=J^qgl=skg zVcZbr*0#C(PKlc{p3!le+UR!p4|+x?4npu25H}CKb<{<+LGO%9{TzgN>5`N1Ir9R# zU4JSz-3_d8x3}O$Gy^6fBZ>ta3Q;YFCCMOXL2JL-$HTg<82_za%cl!=f%srF&Z3HH zuEjZQy5Kp8t%y_4wQaXc#f?X;7%k!OGC6|<(D@TDw{+3|!opRx6JMFz2_5b>S0 zi1-c2qrl$;k^F_G(-wu{!=u9ub_W7&b0mBg#W@I1Nk6vx_;2l)kPvC#fMU>wSWGmX zA%^xhGzDe`h_3Mx45NlDZ3o|=+#uSLK1nuNBY*%866qP5(P{Li`>7?2(-C&~p&1T- z&-CvT&Hfj}dyyq&uc7wMesZQwUun(H&-^M7%T-iqeZ7Xv1aU9dBXJ;wQlngS-G%dU zDHmnz3}kHO*4p2NQli`mPuIUw_L;VHugUDBzaH}ncP{TGCBzJ*nb>solgpZd9k(&P5xl~wq_5c3O-*Jv{b+32@4fJ0A8v|%2i!mEXN}DP#9Wf&f zG6a{V!=?A~%EOzb___Z>daI6yeaIaB5Y;Ltvv+5k^Nj`fHtR!3g1AVkJGTOg1}{cm2itJgc1qMFcYXj;eUa?EtLO3fK%7)~>l0es476pZuGC?|71baBZuR%E~C zs966SZ=xqG2v)$gpFSC?_BDI*tvR--;h$cT=Et)IzT$6qN%?6ki~-3pbre2x!*f3n z)V#;^ao7r;c%1g_0f=@6!@$7A+x-wz!VFRs@Z4KIDBB1}kX(*IavN4*a=8kHHo(zM zx75qy$CE7Q{d~g}wm0yO{bkVwJpcT3?Jg6JT{h|kSSAg=6lOZdTFlNHcV^qyPkva| zAdec@0qNab)GuN0M8H7goF`V>CZ<6U86FsD*f1QGIyz?)YNICf zBJdqlx@b!!hzPoqx}q3Ow$sglxx5!S8r6h42V>XC`6I+Pkn)vpqL_Nkf7n_#7rd5$A_HQO1nUMCt% za!{a2FS*Xf_ZTCR=Hg2G+6{+h;xmRT=|~w=#rZDQO=6*``sVcmFLbGe1Z9+?ezq%yC3z&Xu!CGY_kY{qUsE#-L57^Lbo@vvubraca=#MYTmvUEYRrk5u>=8yYOt<;+;>63U z%DUytgEK)GoZn#s!}?L?v#_P9`>?WuBm5Ntl%#**8!nh6=Cc)s=Kn%e!pffX-?F2K z{ZRb2kK`O?-@eOdzYXSejH_G|xdFf5r}2MZpUbYo13hcT5I^dH$4JIE3?BMof&%$~ z+R~WY%FJ(zk55VNkJ=m0IO?gv(G1d4vB>)a_`g36HbwV|0w`@w&yO zC^8{+&RWK#uMMW)QXv^#-vcoONB4eHz#OKriw5UHJz0Zc@w^4pXBdJp+$z?}!op_d zxJpBMW}DCSJZgEg2DYr;-0{LjFo#O9QQ3bCa`D~wUDy9E`Fyp6ZMo|oj#7L@t@}1~ z|15_6r1>QQ8nv-&>`#>)oCQeUJ>TyM7T_0*%jFwOIt)$a3ouwmmiEE#!RWt1 z2^M3BkVhTMp4c(?}-ja(F6SWtkNw`S6{s4VT!F{&$2%=Nd| zhF<#kt%d=!e?RlAAtjq?336K65j}6yF|VP(V2Ni*DI@3%WeAIN+hZE#XHv2%ZrY}1 zSd*s+kfXowMK=8l;|t()f2A*?E2;!3)B_>v6emW?l0b~16l+K7q9A1ah&PZz!qoGP zuo)sz(#VXLkf{gTn zGFM6{vjLdWs4VC0a}K5#3N?cFD@oW8>9KA6Z7!u_yitIRh6u7bBFN|Fx7MCV_SX+K z10=h#K-z|83&0opNsbgbOM*vpBzm4S_i#95<+9tKT7^o&35>%!!_a@6!P}V=51(5S z>;}q8IM&`th86zuXcDCjsoG(2Nk~JE+Mc&W!xI+4F#V)d@3U>EIZOkHd*Izw(_sDA*wMpbE}!2w(OwzVL1G zdRWQ$;#e*dA;c;JsguRvGEJ(I8_+ZPt&S~u<_m2mrH9aUf1igycshI`{2T&9;sib( z+M}JGBKAcEYDoDk=mA;j3zECBgcxfb>Y9FUr2gNJACwX%j^be?vuPT%(e}I{R=EDK zy89%wT{%ESm+lXcfzN0*~CO#-qdk309SAB z5t?<|*WEv4-rV0nTQfN~^F#&{F2)qKV3G+QbO7!Ax$2Z?peT)~ldGDA&3^Jkm~2rh zr+1Swp|mDaPv#k-lc&5(YBY+i{i(I3K9{F}*l^Ofqw9Zjypl~|>XpVw0(|o2{DF!p zR2W4Cl$yuITx$~~ksB1wP9q{KyG@w)X{b=!ZWPRXu7pO@#9})T+t3}ag)9kThV*6a zsBDxkF+^%s)tj*F(ITCK(%H+y0A$VlgKvR)N%z&`LxP^Dh~#98(;&i*D`_UnBG$qw zf|c2h_QJv|X?a*yW5B+-U}vuEdh$vO+NZECL-=2wx(x`1xnNbPSx}tI;r)hL#Xb#I za_J0eO85Pa(uUe(2fL;4;S8U}?&;=LC{nrZQHMMe5F2?{Y)n_vWy6=ASP*HXRq z@;A%!N3=GlTSO!o@LqL?60jtIxYxSOBK^gq-glZ*I;<|S!z3itO>u#TpA-PY+g6mom*uXq$TJQND`mw0%J(LIY2!P4AUJHt7}s?0qO;>7 z7EyFdNvXgSd)tZNS?%0hrgc|fh~vJab2LJ>IDCw!yy_B*w7B>V-!$SH+BX8`iA0G5 zm@vs8*R+v2nMr!wo$xVoF8EvDEoF(uGWQ)P$fHp{8$8?_2b|zv?p?Qjj!24RBz5Uf z3ygyV+lYtONP#^G$`Mo+oyb8gz-%MO!fsJ5`EKpGsrjqte9cT=Z!B zedrmF>@ljIEmaj(6tb)8b<0EHJXjx8N=lEKT2+WD*k78LS~NB1V|!xGYo|^UvL;kRbhV-S=HT)~?pP&7`b^P?JPN?-Ub3-&cK3S<4lhIUh#2+& zkL-&pZnB&(D7F#oi0npxV7nexXu8=Xp4O>BFNJ}Mg$ht8zyLUKN1g`Gr%p&%dEVIcp~0lsH`Z4brn{ey zhU5f(+ljAhLVRMLA2DM06v1oMTm{bBN*4jsHa*#ywv(XDQAV*!qqEsI_s8V8pI`oE zUM=Xg1pPPt`9Hz?n3-7q!{c_NBN?~bj`)vhbAB%Ny+A`Jigf-=DBv?r*l&Pi0Okm{ z2Sp;mogowWPk|~Sp(i9SPq}1+iVZPkk)lP_Rq7=qhv9=^aQp-egb(B!ufm><9jxVr z!sD+1^k=Ucy}MwOn6FkI_@`ou(x&lmJHwHGDn1*Z_2I+ybM~D@{?Q6 z`)tt3fOg++cS84b3DrjUb~%Cn^ZmR9*la4)bwChLcB)v3xbSx7TO212e-%42~1I1LKd(-$a$nlq@j4M#u8|G z(oJF>D4br%QijdtjWm|ELT*zIF2%S{gwMc=O3Wu-x{73x5OP&sL6=s=hsXK&)S{+p z(U?scDl3bt`Zl#(38l1BNTrI}-_q`&SVi7pjKqjf#p=4eMXy{%oD#{KPgsn9#6sL%b9t{>nD zKGeRT92T~UL6C9$MDUvpe(=E^&l#c|H+NS`$Mq+|%p|;G%<ecji0C z0#0-jf#$T~_Es$)omPL}!nvinA!K@ac?3@oP~l`f3+(nIiHw0;_6Mb&Q4m4}g57g) z>w;uuBJ$~lXqH{ULv`6rFRIk>9cpvq{Up%JNx+gB6v-{M70pbqMSn!KX__$R{2*-F zzp#bb$7jyGKz3N+(w#sXD$e|Ld;OscL`_LemZH&4?9g1QKL!w2`57;hF+Ao0z~S5a z`rQ`{#kBgKj3t!t^CdCWQYm;lGq<1ouA}0rP;dQ{kiuG7#>Ftc?<9x=53GSrCT>?E z7h{zY+r(xPY+-|>yL=IlTtpotl+Z6)j4+S6IeefEBtt(jEMwl>B^#I)wuW)>o{B|y zC^wSqKom56;>pU`DwHvhhb(*8l-b5@OkZqHele7iJ1n$}(!Tpq$h5|G2k^M^)(q=s zYDcOtPEQ5&D;FK|W~b-AaF4Yo9BqTf*ckDXf^6pX_U=0>dqVA@xpRJq@Cev2uG}9)yILvV||T?m>1(#9yz7 z2VUmrm2;d%QS^xqG((i?{I!fJO67b#6zEgXfgIyD3#3>;7;QBQaYDTM9g{*V24g)W zeZvGbbQNvyG0{dwRu>VvSW^%u9w)mlMNpAsNI{$IfOyh`z-o%bUnB!Yv}2mevSb+o zXjP)>=t;=e%4l>AyIxdlPPRvXpSu5Ba0%sqxVunshJ3~i`Pq+eh^&+}}fQ5Ra zv$8z`zN^2?yE>-Wnin=dK-yDTwpbFN~RIK5dnWXhtW1T1d-1hEKjmr9qOkXdSx% zatMWz#Xi_k;Gw59N{v)s*Sdlf?*96@$1Ana(xM1QL=h-47-wSBqAJ!+)Z~-pN9Ggw zh9e|u_7xO;f0W44;=W#-I1D2x{Atse9_FJ2(adU^qmQwb3nad@VqCh5(2>$8@Rf)n z31bm!P0rv9bz8cOkraJM{$u#n*6%tt)%z5NsUJIeC<3v%W zuvpoC&zu8^1#AA+gY-(4lX-I7G(6)=Anq}Of%_vRrO+$VY#a@UqH39`u1Cg2!GbuH zQ_!YiEWN%7)H*x6{#(lk2tz)8Y_OWHv_c~d9lAQ!*&&}=;Yz;2&qhvC0-dli8KYUk z$GDn;XK%uxwcvFEG1PY+&vjD;Q3a&^J_Rfk!%HbkrH|OPoLsO#3uR;351f(Da?*{8 z@~@WkbHj-|2qUkp^Cfy%Bj?*wEVv3SZkqy$q%`1K6_UAn${XVtYYz3TQ+PY&WV9FY zI>KJp$rp0v4Dra78U}6Q*3-v-aln1-3~_Jt76SYp$uYbB)l=uh9)+2wqJ}*1n{*t7 zlIgwQ4WcO({KXvEq>90|7#@~T?s`Nw8u{xR+{$=_cd|xhMlb=R4XzJJ4*x?WEw0iv z>5wdIBgh4zo?PULcD#|YFgNtjMc^`5{ZXTu#$8bJHVqRswrH#n?c?2ttwiZsaJ)&TQu1vvaL&Cz+?p$n-q{WCvr10ZQE5D(u zw?*#6AKj8#f5!&AlyhAWDR|rog5_8cvOgW>b{D9ZBw;-HDPc(`GwCc(uQsg6nxt$c zS@9S5D{nKvl#nYa6+Q??#_||O@{o_Nci_VuiDQE`<45i?cvETbrFtMK0)D5DUno!Y z+FO8$$UDgMJ8!tL?8JE%5m z8HvbVCHG6sEXA!A72fgEaE9~R;XVqTvNx$|faEukQ~o!Pq&0#muWVCkt5__PY3k$b zpCKQolxK+bO2nkrs+512huW%Neqnx@P2SB{4J~b}7`9_N^~Aag;j*$i71cw3#>S{% z+#{@$%Yn?(I>Ztt=f0Hx_3V0kVr`8?4@ub5u~lws21*wIcuOnVr?%s>)EX=qB~4fz zKT@ADA6%a^RjD3`+{vs@JO2ZvKXgp2rc>+R7>Zc=#}%XWptM?aG1&iyv2$t;HQJhW zY}>YN+qUhj*tTsaE4FRhwrx8(>*iGLuWDcHoA(F2HD^`N(WCp}CuP|;P6=w7ik|eI zozAd$Kc9NOpGe^jp$9Id2P)ip5a#%UB;Wape@5xXHy~TUmrJ+L%#0x3Hm2DTF0q0D z9_DE)?KbBctF;!bwJ+V3*l~8_GW{Zk;XgV1ZjKOfEcX28IFkt)C^ur(Ql1&TDFVT z#m`1|ZI`l`UE~b93)6~h=@3i@yztL56s7o^&bfcNLwF}*5t?EOd&KsP3?j}_wcO_*EAP#Zb(VB1P;DAAURr;AUL7z z&)PfTsFu{YUaoS?jAJ>Hc7(kH6LvSa3gN+d(W>hF*A!hn|86_5=X#ka71SFz15KkJ&N$i3z|ZE5lwvg(nGSuag`VsxHX@^m00O50Ufzn}i#E;-Tf~-#^vR6ihsP&>xex9i@U&r$hC)WHu9F|Hst|pnNEQ z9-sk8-&pVBqJHjgpRfgQFb;bJdD@I~#NcAYz`CY!8{+It^l?NSA8&ua$5K8518Y;% z>Qb16|I^T%DOD{&kvfmm>ON!d!$z_C#(Z;^kk8$OApc3m_0b}=6Jx)uDF9*UQXQcH z-g&KRAmi=OUV1T0eeaPXa(hu?5+fDJX3iXiv5r~@oce-2Dahm|(K*d!0vYurVoYI1 ziJmqV>%b5<+(w&Z(&0`Aea8+CyfgWA3c0o%SnZej8-22VD)f8)jDfTNr3(nNm3?fV zJ^Jn|!ZSds*GK*&kYP7~mO?ChqTJ*Dp|NmiSvK==8)1WPI!7O=Cxk>Fb&Ji>(5EVz z&gg}5rVN%1{c3@;7@Mrph-XfS!irJC{`a7mWiwY55Uj0Y5e8~7*WGqMnSE~`jUgMay&5PGY6#OhD4$&UDc{OV7 zczP#>hC2C(j}J>E7qk2dgXDS&&XzLIIlgkfCxU;qj(E(NX}RjV90@K^|Cm9o=n_MK zfsj78WCLgl`Ra<7xLPDQuhclZWkEb%y3b#`?A}yVN4ZzZ{*+8S0@Bwu7HZxVt{<|} z&w7k#J1RaZY--A%4}IYWHkSC<2MrrztwY;dRbx@q9)8<8Q>I@3ej4_c-~nBKOE7`P zai+)%%)x05vCa3L*4e*HH(R-to)@#KSGy-X&sA5j16r3v7T+O_Z>n zGw7vCO^2v8@zV9G>%;TZH z94l0~+>u|C6&@Vahj!E~+72|?@6zF>9nq-PdAu3aj>*F$+H9*&VT|d|+?ukL$yYxG z%4V{@u8|BZp2;FLaki?px&OqJ%}7oYNL-M-0^D{(W8 zEr1YmT)R=8@#SLzyO>j&9z!Mb&!OVafn8v@$m#;%4zHAi?v*99%@xf4Gmc>70=Y3Q zz70kL7ZNrx6czzmN{lk6HzEHqPy5nr4l?yPY{01y0!WTyBI|3q01mhb!0kA!@c}^s zT_r^mH6^G@B4~qZakor1*+m$BCKQ+$kl$l|S7vz%zLqAE_4Fn;XHPC>elPC|jlp#g z;$Mu4{}s6o_B);AT_n)Xdk#YUiU+mY}-(3Cjrbe_n9HxDVV znM&E^rM#V~(mj z0ev@gu+W<v+=?=&~6jus299YL8N80aZsTx8j3C^Y)$W;&$f-q8svpPy`; z->H#rF=mz&+`I+lqepQn^Y3SCq)8i(txnS-y6@2pl5k{5rf8nC%;IqQ7kFterb<=C!QAn_4HgmfUM$4X8I)fF*w1 zqj3+fTODuCd<@jn=HNqt7f1czyzAc)Apa6k_D7&b^3}YNFgb!!=nLArUrv*5d4nV?Uq1UD969EGan43o>K74x{L zK?(dZxk$jy@JyyuYNjB53`1d4je10BkDLa6fSM84K(k8}7faA(afF&{av{VXpvIw3 z+;+!<0T)jpnZ(J8y{h$94#kb2_Hbx(@_s=z!fJ%F_EtkDUT)c9%z~_;MU&U)CDu}P z!lj%m6EvI}_S@~VnzgJ#r&%%7X78W0US3?do?LOTuQfp4RZ7gqYWW-gq4#;kU!~~4 ztf)QJ*u6T!M#fXV7eivDlYiku5=Y~n7=t)CitgauIM~iH93&&q=619t>vup7Rr|2u zGrjMuQ%XPDw48Wm3)$KYUqte-I8iA0SFlm z;S1qh1z_ZMlHVrgt+{wZjZwuaCnO6v_1%Q+5TlQ%Z^tDs$BKcweZkXgO0X~uyzN7d zv3_Ual5d-VjE|)pn=0hhQ-wh-PKJLddDZijp|*q;%K0~q9;(1wFIW@I_2VIwN()80_(g&@B`O%AK3&sUA?=&Nf-jJTw zY5E(fISq`#9zDh0C}gwNpXx7p#VjZSTbpA49|XRMYMbfbxVr7}_q0kttG7&{kqhPY z@^5_fxlBa0zxUFI-C^}HcG57~ZQDC`4V-MzQNohZ5Bn8qmHfJ496wPmYpcB<;2x(T=GXGD#!Az{I|ED*2T1&F_{|C_FB^FH*hDj~>3BZs*IETl!csQH1koFu#!ht#7 zKi?yZmX!D_((Xan!0fd0BTcR=JMy>|Ex(v`^XdLUbuMP|I_!4b^uO0#M#_d{wm-`o zwT90+g5sz+s$h0;o7s4OaDJ4&V`{+*oB1w=B*QG4u&ZBkn-O{P4wYSV!g3+eeGj`@Xp4P*gQhS zN**GO0ojOUK~J0)K*>gcYgySW6IVMLG# z>0EI*>;xHN{!=8BzI=Mg77}pjwOB+~CV`7tEW5uL=0>a7$i%Yy zXskRj&suCV^}(bD&Z*939ii@VRq1UQ758@;Fx8Ow)wt3e2ELBlWbI|%oAL}bL zQRK^fTPpA8spqp?na+Rq7qryyem)Y{s@UPSG&V4-yLfVezq8kE7cH&3Ak+rvcPMln z0M;*wcmH=9cLOiw?}J(wXIDq;aF$p62y)iA2j6p$p!;1?eGzb!@yiui{s@c32CFIf za-h>9d(0a2tnCKIeI|sdK{9x{g(LQ6uq$235z2IG!@^|eNE2s(@?m%zFA$WHz|Xc( zkuq7NG}F{-EP@U*a88y3$j{=t_NkbufEO4CR4pk}IQ8_O<>+qd(w|ovBiEl{%}k++#~5VT#jZ9ni{^QpX@})izUeQHB|a5=_B$&<3p$ zSWVJN);)7mPd6)TF#-P!)}X}e>SDrp0*dr>!j}d*^~q{4bS$AO=tiWM>&nF4PRiIz z`yNhC0*wu-wUAI-14ONJBTr}c3YL3e_sB*dTB50QWXa%U2=be(NH6Uvv2>)5@zOOf zb~wHwf>0_G+im?Uo7EJ6nslgs^`*+qQ0%FZtqJnzp%_w~ zD-&JMfVUn}o4uh%vELpapw5hae76NG`KaTrrZcFq<_b87Nv7JpmXH9Y`y$;`PL;f? z+(|6>9r|!Rht(q65CT*5ufSx+=>sT7aoZ0aTbDb-FnNjY71W6e2#rd5kOMQX_f5GT z+UDhyrCKF_PSG~otbuOo#jXdC@SfI`!BFiHh{Wr_h$G8TIh1Bm5e&24ZeuFTaw%Wl zZryvvEMsPgzbmW`%4ofx9^%UHzA*$YZ^TkaK-;QFZt{`?!gxFYj8ZbAmmPi;Iz8J(d< zc?c4&mE}v=wcz^N7Co+WZESPi*Lm+7e2>juC(v?%rDms+0t*@w~$0xWnRjDbnu40+CI7PK5wz~wS zz6hc>);<@}Zk+3SsV|;jWyFR%N==|7`tyJ8x}+qsb-c$9^e{y>lcd6&MIT3TgW|(RVSq>z<+Me$&pXs5 zz5j)VEU?1YRVV970p;3Hw50(e!h&Nyk?sJF#A5~uU%dM$wl*l_yry?i@R%dPvwH7i zW!vbDkUKd)#E8_4(W{(E5!+l$MK)eZj7Xs)&K0vXpiN3Y21By#*dTC<)4<}6!ohN* zo}W1kYk$*mq|_L#s-@H)&ET2V?aku_Oyd!JgF2p`2n>VRG{CY{RGsw+DB8jT1Am7H z%LG&>iYD=|yb|9aT+!3mR-dWA$Z#J&!W%9)3m5g-D$1@KtEtj)5 z8q1{>yZN&n?Q*uwIGUL9?8sa^oynHv1KLX2nae-(Y9y2bwBIN)M1AXibkr^|MrVz2az{D5x2%ze zg1gT34!0k8WXprdv&~&RG-?uW1bcW|5tybdBaaFFY=go&a2Z%0u#T-|K*@kxfl|{P z9nAtMYmUls;<=R5<>k;*O@_w*^=hY}*`_;pa>jJ2 zJn{m1F~0_+k2vO@3dNjqsC8X|Lf;9}cQF(mewnS?##B3BI2G{t>8o}y-~EM4?ZLu0 zAwIQP@8H|;wKqxNWEazSjx^#BR1qhm_EI*XmF$6vc#Uq(i)m)BS|k|3Xoe1Y0k-x# z0EbN+HMAdeFFC(57?ZQeCTKYFjr)ew!wo4i%)A;m@QFq7 zC<0%BT&?oP2}PNXCY!T2+Lzrwik^y0co5CV;GlI9Dht7}lGWxl64**79)RaI-fY6# zTz~|Gy*S-YZWdU}6Q+Q?iY$Gl zyy<+E)-9e_3CoMu9rq|O>+Imm}uKh7GBO zITcmso}4%YZINtLIKc_rQqxY{_#&qUC-(SUXk3}al9$_I4PK;7DHW=vNWf=yL8>HB z28`goo|4?GqYs+D3k}#8rUFm|R5q*HRo;3M;$gk2;qg+ind_r+)7VfNxGp^% z${yNANyxTUbb8^oZ8_;{$qC7RC?ufGKGVdEtGf}4$Zks2WjZsgAp>tCyACOBUFu8_ zsF&jd`Lokdc0UZcHDcKw#A{sNv*UnJuTFF=7;G!%J7sZAdqKcD@;v>-Ug*}IHS9k% z>#z7iIIRSP6pL`{jNEXQ^T(^(Nk!=~qnDBQ1!D;vcqraIRANZILFyjWs!qH$1&d(R zgUd+N%h?Fln=uhVtll|~^QTTs%p-exp9o_V5XW`6O*RN_E9!A?JvIZxN6a*bvE~Y% zdCrUG<+rX%g`VMOU&q3TVQXfoR;5w5d4+BQ%(1ZbtTF^)X zUd|YyXhf|J>R$L!-!E>`G#!j})4Bfjnu)_G>JV|$9Yf@+dIA1cXbrCMDuiyhH_rBO zY(%|$&77(xuO45&27e;Xem-yJqE?R1qwDAqbJF4Kd5wPe8yW_oN8!KRFxLMt5|mwx zoIUJK=~e!H7=`J@ENz@k9qGkv44q9yOpWbKOzCAz|Cl>l5HK+_GyLZ=zmKYy3bHv` zp8uH2y=dlk(Pg6LwrjK2l@`8qwY8U(^}5=yv2As2e7q!+<(M_u5C{Vy2!swqd@zU* z1mr)p?{)4AH23v-PTS^+bJ(|X!Nd;My8*l)CO7+Nq2nGnw8uZYhui_{GdBV?DQa-p_}omK~%k0 z{jT9;-SQgS4$Cd_4tr5<2@6-XN};->DG4#Hk|LI6y$s#5a&+V-wklVq5}Ne060xu( ztD{tbhSonakw}6RuA+gGlsZBsp6}Z}x1;J!5?A-I1f?p>yjQzoMl6SD9GWRjX3 z79?EcT9*rXea2FvSb6AUg2OxVtfFX9Dp^D^Rp>l_hhy=&&5Wv*Y&%z>qbVYIF(K-d zRIy&tB#uGqF_QdTaB}{$38Hv10X#~AXXt{ZI)dkdhRi%mD;Y(m0#@+`3R595g>AwJ z2|1_xjZVQLQ}9mN;nQ$-D70#RVFs?KnA9u+7qMd9xuuYxrP7L0D8+)MB^_+$zg|q~ z_L?yj8OI;~OAN~5V#rXQz9M@Q62el8ZZWHU`*sCSVwbSMh?1s>--23#=prSnWNV2J zxh)mdHVsFr6tEn}rLR^3rEWdr_QT3f<7a5T;khEQge)r(qGib;Kd$rBkpTw15hR(+ zlKt~VdB-fQ+$i=AsiwqW;DKAD_myL|4=5zk(NYkoW4t_6b zvym^8R3Dsc$^5Uv_5Mw-+DCp-^rSclop;Rc#w;&NddqH z-|wOv2dpY9-kD!DTl+CV@CyI-=|qCrjhO)snUO!b4I#nw@m^I@eQtKKJr0yy_r-1C zM9l?O7Jn{Wy{xo@N#zgu)I>#e=I}ume&T(6FUhz;XtG-uf?E(lK@{#MkyWtHZnw6vKmd z7ijjw@y(GDRlGpD?fA@NGv~+eua1ndmbTWyAN|rhFmHlZ?^aEWI~`5#jwUxr zH=!q0!J(h7hzN&k{%Rcav4s+QJdg`E+UBz!-GI%DmmXUW*QKnJC7m}_I8yFmzryMw z3PU%wZORi$VSKYW4`Rdia%FSCzP%wX(=kd+z;Lsj#iRx?l?6kUm5;vbb6!@qlcsI0 z+Kj6_Y*X&2J{9IqI~*+)*u#jB@6VOcxl(40L*1A=L9KxX1XZKznXAXx<4kvkgHRxU zpI}g$?Bn~g_<%24TJnes%uJl-te0I!y!h|r0jnEp}#A()>-3U zH(>tC&4b-~^ld4ei%P4wyEWE~ZQH8JLASe3lsY!W=ETO&WPt4awvp-Cb^G_YFz??e zcIW3_kFa;n_IY!&037UZp1zwi1gzS>YN|32Mc5^OULDM`n;MP>^QW9Se~4vmudHXX z_IZ_?zafV5M0-IPLocm1@W)WA4H%sPw%!iBVIO$daRln6A)krd+>P@c+WcLk@Oko3 zpmT@+x(X-^fgTxU4<#7=h0}Cu^Kbw9c*UO@aV%Dj$GDz(XeZ*n$!C!$RU ztWAN82I99P?f5LWN zVO<2(ebPFC|I%Ln%Yfd*v9JYqI{d(z3*4^h7l0*H&uEw*jR{-|0%t{?aDg*C=K{hkM9Guxl9l|$S4Izt`< zYQ+*WdT`U5Psc@p*a_8At5|vp+=r#y`!sNzCb+$}RN>9}c~2)0 zg2TZGW47-xH#v)WEVN+z!u`D}cJkm1QP3cxEWyxqh-jEHVOEryQ7LX00ceUN4lS2w z@hqNotI%$MFHeVk6jGvSPsQuOe2#F2`q{+`YARSTnJ?GqtUZ>?VKJTC{ht9tnHZ z0KPtow_c5XNCuJV1vKq({6^0rh3j*6sffZo4DAy=fL~geag?6_JpQ3X0U8C-)Le7H zI~z;A>DK=zUNhNooNv&n4%ECJe&vh!6iS9HbT+4lD;Nz}3!pHz@TFf1-e(e}In))O z9xknQtxL|$)VO>Tjsw_6jn&qI7FILRIbRCnJkNq3PVmf`t#f3;_~V7uo!1&Yx#1i& zBvdyP9cme=FHgPqgK(nj<6M8pfy0FR@1vSWb{{WuYODz)fv7th_GxZSMu=ij=u|f6 z`A>|dn@7XRlKJ#?HZyB$W8r*O3hObv$+YlI=P#`FT2}ji(_8=1$ofC)Ef(hgQ(|Cj zVqj=!U}ACv?dSvv8NKBC^8C!g0uZrg@_X~yd}5A#32zyS&lJsXlHohX_={kk;B_|q zkQXMI8W6BwNf7?k2T!CA=`Z0z(BG!;&;LzGD6A^k?CaB*?du!k?9FeWVCF3p5hT`B z?w?y&&=Kz+>+4hMXJl`tVCH0$?`N+kA!cQ-2N@ezgqV?^k)bVDnX4P8prou@Sf!_@ zUzMJsU74hpYF%7Xq?n}{SAdYBm7}GSmQ}Q$oTXO0lb(`Q^>5RK7velUMN3XCLZf^; zDJ?=f2Fw{Y88iTQ{!0_Y1I$cJEPd91kL%5UT0-+y@5*=BjlY}Wm-%afoWtj^5PV~a zvzS@JGUnp!=DOPawf^c=A|kBqr0wS8_I=c1Y0EDF#H{7n`Pso)BAdY^+vBA*8T=99 zhvs{mAi#X*6>`=DnMCd^f1A6*Q(!od=d)`rwIq9Sb#r!NVPRzzfBPqMj;rx_bYPbk z$8+0SkHL#c`!`W; zb}Av!(z;p&-L};w%2pjk9gk=anC1-zfgmwW5`@SOM8=VvuxoJA9~5Y;GUY=+SHIcH zr$x89w5GVl)w;5!=K3BE_vLD*|AVXf_iB8cm-*G}tLOIBXSbVppsSlPK|&-;12YMl;t|5|r3>Ar)bGZbwXj+%#*h#=tk%DDRv}A@Yl6_*bOyoqF zI`tF;diw&liZP)LQ$>>{{Jc36m}%xf{9iS!(J!bfo~bww66+AXTDG|Li;*V0y-FFe zVlLJ*L)f3*3Iar@UfpyGu`~4RLoW=n%r_i1Waxp@NTGUnnE*;g)RGrAgA5Hev;Ggo zT6aU_x`;Ir8>W-6@w!q*BrmY6z;|Qi`d$O*I#CUh7LceBqdE;6$`%~$2vUQ04Z;(8 z=Tvmh?cfw++BMsG$9cwDkXpZRK9_{cH3=017fz&m6JEg3GP_^Rt@;kEI8-8z#)Z&O@6quC&`T_>Ea4 zr0$4%$|vh|mV>(?+cw0P&dzf)f}e16Qce3k_Pp5=7g5v2_wA&QFbUG;OXx~Ln?LW9 zUie;or9P7gYenRM?~ZP5BMGo3(ayRGy3H*<<6VvBM)33nqNP5Lzk5y?&e}tiBu-_iQ zK@94h&$o$ZJHPYIG){OXaY?L;;(10IXbb>#4e8k?#HTqlG&L~HHUAW_w9%k}aRQWq z(#=76+6LR?&#Zuh*@~d|EYuGuszAdnY_+=QGAlJJFmnf?5o~2`Z#B<;@4fC$WXEid z#{?!}92MacJo*9vL^o<^3&56%>sen_^XRpVjL@$`5gX#TJj$&i+9&wGZRR~R_$$Px zr=-_qyq0nm*L25>er(PFeOx58aXKRWO`nx^#}^{7M94i4NiqN4Yo?A^HEnfsFg0my zdbhB>9qk4O^!ZTmR*|q-5_(5d7&~cfr%^@F*Zk01WHSfPH(l-BLg)V+KD#4$$BJLd zzsJyiY&FMUL06=mSG7ci44dj~h4G)<>*N)md4XfQ1`{^WUjetY4K-6%6=Egq^m#W~ z)w@GBG5;vj&jn$~NMe2cMo`=I>8chF(3u>$F30D>79ls?cUa+%0zHAonv;elS? zaH<=Cx)G?Mdo1CM($VMeLG*)YQSU)BRQh&Ny-tuuN~1)aEDfk*(tCkJW3Tl1?EP!u zSS<;(T`IvR48^=hj}#Egdzbb|^yf?6h~n@^R><*E5&H>Hj@;B1Of~$`Q^C}Z&Pj<- zdv)WW52`K}mXe;jaLvLMcMQOZhMDGat9WTnLHyfSV2cB9#JJ1dfrLJBG$8n->xEUF z!g%M!)CKeT(n9`b=0JCk=B?>*tOq&FEgKjef+E8!BMqzy$nsrU2~wX$e+~u`G5f73 zH>|Y_fBo_TFALZm_q?zd19stvAE$`HJ?g9bT0&@LKLHXyTA_cy@N|D%(BW2=+o=o zx60_%xmn~ZJB>E19;{^;2WUOYNj!D6m^PLM zFk6Fz9D~Zvg_YfUccn4@8Q}H%^v4Qpik3LXKC~NjV;I;i9m=O}$U(lLCU*33vWdex zcLw$2fwbi|Ku=>AiO`JQfM9a5h^a0!TO72X&{Z?xOU0&wq#sg=LyWL#t4d(pIqMdx z(#e9&R@r=u-PP5V#qHA>FW0-BfiFM&q*hBDNTPW0bV>-;yTzb!?CP%D)`QpR7KNTp z^Pk61T07Lap56oF0%h`sEnj}PLS`+F65Q!66-R-OqVPgH4xGLqoL3Kl&g&)xyJ4SE z2HagIv)}tc;M*zay+J(oPJH>@+e42j8-Vj%`?`F|ITylh>A;%{@D9nhIBEGAC<*Wl zBd3`z2C73DrBR}IDCaYmd7}$z9ke@tXZ@R~uyG$|n5ZyQ8gvsvQ^i+A&kMu>4?sdm zfii$n3yiQq2WmbX>%^%d%Kr#SDmoW+NgavInjs364_(m_tTnbe-fBLJ-7Wyd#OFyeXVT)U$-05 zoxIUq$Q*182Vg#UpO>5;Fx|@5w;j5+`a{ z9n&4f25{%Vm&g$;if(~x8~hGnIYb4XOKX-8(y%u2qw;%T*=q|T6?AkGP|Duy*L6*D+#)taahLOzWhHrQ;sxM|QZfX|sQth^6 zGOdxCk7l?^;OqiJ2w(5hfNwzEe9~IRAd3Vw;k*GUr*_OatoVc^OAS21?S9iGVpV?4 z12l(k9g6dQ<0><4xG_L8wh_6rJ3;Hh>I#gbIf7CV{d`3paa0Rv=Lz<=(meuIEjx#T z+1QPX2{G5+oQJ)Dv$|=qUR9gF23yv)bzIWcuoOk}X(Zr9sIsireR`Y67p8zX@VY9O z3OAARJwT* zL^;`8G_q`~Jy<cla|AlviVPO1kI{QCNr2koGvoZYN5qFLTq%-z$b83=AMm&O0u%7t_x+V=M5Fikt zfKuvJWfDha&hkCcW~8Zfs>$R-$?}55jYX4I%dzOrwR^^UYAyzZXWR(NwtZCN2TOQ70qlS)!f1q`sCtD7N+AEeUtKvY?ctYf{A%P zD(&(V(#a+(j|!k(5_*Z0%0hOu@g+yu7NN>v{z()q%}_Eja}_30xe6wF+6%m2 zUfqL7j}jVrX&}|UM+-}*qi@gE{A&1{+qEYS=2UkZewDLqbG z+bOVqGQq7T_x@PS2*zUHvW z3MzjVK~x#r8E<7SvwbbZ2BHo3orucMzGP7ELFV}{&0D8?rdhQ4J~uh1TlqaY-EJz{ z>31CLcQQTjw=*11#UE21>BB#7q`ItS{>Fd3_Ts+HU-NUxtte=h+nvf4E$$C6P~Ao# z?7thylb9N{nmDc;`I%j@piovN@6b)F9&gy~UGln336w+Bg6Jp}hI1 zy6EcvT_!OSnfC6A&xM4MZggFl$m|Cc9Y#xGjv!NAn@VG!ehLNLn=H%Fx$%z!yo7i@ z3Rb}It1U{yM|qH%--4jRRtTO?!CP9d{D*qE`gOk!J7CR|r%B9qWn&bi#-N~ZFj;TR z%Qle=>$i|@S$7)OYgtAOgvt+`8)#zahQTxoJ`liF9)B~08L1H4+`-2E;nWE_B-OnL z-TJud>v(Y5KZ%TG6T0G_-{pX!N9x_+d+}d_G@~#(z*rbF@kfLb7{4)wbWjJP7HNE| zCBYB>v1fW8|5U5?CQyUtyh5yrW^E9Ciy;3&c~2sNplivkc2jLY70|&S({Pxb;PBP6 z3ADHwG7eo#_~pc9ez<=cD^0MHtQ>Qi@$0%6g1+XaYUyLrDADxt&wIXN`(fL|Cw$}8 ze*|h${=n?oe#=9j@RDe}O~8c=J@r4p*Z;uOLWj2Se7&93$H47edcy01EtS%nAh5qV zWALTVNZmhWC<=)fJ(mP$CFy{;&kEDfayeP4A`#WZ zG~r%@w!xrC7KNS^Rh^r1-Ty7>97TP>fsIzqMJv!!X`0S9WIS1?>^4sEVJ{NE>zt8p zGZ#X=GzaFWSX36e#uKWQ8)k)nmjBXtAb$nL=(C{wMHYg2{d+rXpr0!}j3xvn{4kk4 zfRg~Gq{(R(x)bKmgEDLxFp$NeE>lAcjSoyVoFJG4r^-%^Qj5{2Sr(>cVg7+x^`;E7 z+LEE%h{hjGdDkGgvK`cP#4mFOxiqBOh$kPP$*sr^`o4jH)#vY}_(y~YQwX%jH?K9V zFqET4whWb54m|)6u5rl>y&CIV4=cs>c!JU$Ch0;VVgNgG0NWUQy~BdiR}NZRL}!yv z?z-VEKQ|EI^6(-QA^y~%BkWFC1s8@1J>wB3W5QhXWnJZkX~K||^C8=-@I)^%6Wc7V zL9lG30B3am1#aJ>)v3K|-EYvyzw(_#N%-TVJD1N5UfgI*^zX1U3u^swQWF~134 zmi|935&i>Z4l;g-F~R`q=j=ce@bntG&nI!iThCQDxP7sv2KDH1qM$aYE};3|lEv%u zzc7gT-T-()nuJ~6?CPkjrJ==vtIf~k@8FjTTG|h_kx6FIt(qP|^4|g4R2u1mVY!qI z_D%LaK7~f?-o<_L1lNTy#U~?_-73c5>-0THOf&YMtkaUZGK`c)45@&H$b)vGVy=3D z0_kFRGe^!}g`*4jn}rIrQ%dn_Oh-xzV;ec_-$hA!&140gj!C)G#P4)GP4>+xH9^!r z=A#U})Uk%1M>?sMbvQyO$(>ZZ%@%qjF(_Gc1LKtgV)O0%JyAD5D7?>LnQ}QF6g~|j z1xy=AxXFnlI)_stws3|M!s!o%QwB>XkT%RYlY20NGMt;-7?w`UArqUrir<+7BX>jH z%DO{5^@0uAXqlp>5qd!iMY*LLnvDX5kc9wRy98w$B`T>j`yW1`9ph#1_M7eWc)(bIlQ8* zw5I0DpY$s&g;n616@sFC08WjLSZ0PxZpZP_muiVbN$PIuN@Jhxk9ADrS~o&2Zzu1I z#69{iNTrP)1#Ts49Vy54Xu@0x;z4AE8|QjQ2hbf#-`82_izW5WHU^;_UQ6vRNR2)< zt|E-Q&%=(9Z6!pba%OH-y}u}4R)eW`9={{=F2t0d-vv?fCy`xOJHF`f)z@!eJGBDd z&O|mQL}#nJ+1VsTvgYF6gJZwdJs3%2{c?~$LjnJCgju$)X%w?ptM3at&<)F`@(mCnxcvSQyR-GF(BDuLgBUS*he z+JwnK9%e2Umpbg=38Tzx${X!>4+_7s+f)#-tC_wITn>rb>3oTB!RZ!@H-=})$fxM| z9F4jI=^%DP^SaKAY3hPTuh(bTAUFRtM`M;wf0iNpGggv}@$i?nHTe+^^6RUKp%Gi>-+0D(#DoeOrq;(|7-QaytqgiUJ;I+jUca$V8G<%AM zq+mYNM9msbY`Q=}>)OT3(L~ca9^2*N%yK^BW>pZ``W-=I5$1vkIyRQAZE|DF^IZ0< zqiJ+nJ-IkkXP_m6QiiM02u1)?V7R1_l1A?|GiR`A_d>dq@5eRM zUI!%u``zi$e|Pn!#Hz5?01PPJe}EKy!T~4{)f_)1jm)dz+6&bbA92~?niz4US{W~6vx}mql!S@acaD^ z59bZf~T1Nr+DJ%C<+M$k}FTQix(Qg zNW;X6M%z-T8Sba);`+!2qeZaRs6At7h80!}Ph80R_3`-_M*%RrRNC^z5d`=tdS0n!J}_eeag!UZK5t%pm0QQa&AEV z-FiY&P!X$+jb69DUU=uiOm@KQ-2)xR%jkX2#|4=mI07**=*C(*;s+V|qcvTW7x9N5 zLQP6N0~_iuMFy^d7fT%+o~F;8CnZOf4!I%uq^8K^r}ATw2R~fl2gJoP_j!TZrA?X1|;u-6pZu>?K)DP2Z^dSfJj1d z1?FIm9}q3xZ6!_ZW79t$G|dI|z(yfG2RzJ3Xf^&T=lqN-lWrdECgFC~9KeO3^i?%m zsAhTbr;ep(BsmmM2FHXFh$EPfXaFF1X(k7LSS zvqe(T$@Ja*OX|i&EELbr#^sY{eSES9fnXukI0G&dvXdhCt}o+*zPXWtp9qb^>N{4q zDjdG0lhvfm6kmR%$g_pmbC|6Uxjz?F^)R7rd)AXmn%mo8E`sKfvZu?NjIxL}uGV5h zHJ7bkF5_lX;hQFtXl<(%%?r;%$5;b%mb}Di>q&JX%>DWVOkeFA3@j*&%}BiV6rij}kN)BKsCa%isHC_n*{Mw!>(JxB+%Dm>FhZbB zWHt>I8>amt^QVmJ2s+Eefpf8tYCKr^P-ZLKUyQB*i2ujgI|f(QwcWxU+qP}HW7|$T zw(XA9(T;7~PCB-2+jjEheCNlxpQ`)3_0*}k*6&?w&Ux)i;~ImZn;|&sJ*#i1DJni< zah98R0(kRQ0q@9E6GJ?V|5bNx?W)gD;5QVfaL_Z&XWU3500qm%l2eGZLfY4KB|7&` z>A0M4ab;C$OW~8)_ptdpbqc1pu(>$B0S+4NiRWer2W9XYXr3|I2+gTzwL)DV=@{;KveViQTrX!Z z-iL01BMG-C8c5%~L1aq6p`hny1RtnY^rWPtV>BgeZeCGz(PLN9Zrb}YRaCT$bp~)v zl3i@V8cik<=5PN!zNJ1ARf@IPXY5yy@Z@HxYvhG3ER~ayZjdwkq}i4!CV2awj22|F zP$Ac#I`#5Y-=6b&>EDGAw zJ>&VOTf4BRb4wjt_CzQwDtRjCYF9HBkAF3L(6OZ^-%b|f@|2Yg-yO-bW`kBJnnmRw z5?fqjbCOsGnPnYa?W?0G%k&dIRsKR90)}&46*!9f9J87}m-tj8SDdZNi%(&#;+9I|(jc7xYBf09X%S9MeOts6g^_Se zf$@(iVNpkwtl#;yS+!3Z{L^m^Kra$^d3r2PmN%)SNIU`I@j2SPLw?M%_XAn^d4uY` zGb8-nqhx1{oVD{IvA$YKm_jVN324Vjo5?5Dqk}S7U$?>kK4lYj!B5wx^X>GS)8+Af z#3)nXnJ+0%V|td%Lmqtq&6b6RmRg*C--jP)=HX2&LzaL37J+d4)^f12rbjpQaH-pM zcY>w6%hLcfEN3Yon`!!Gu>$mGd_w_`v%9g?r)IE6o<5JB`1A1ErEMSDqIuc8bi6?( zuWvH1a-oFALTHj$p*E0U-lmq~pSk#?W@-b=#^XrZi^$T}kaKq#SCLz?&>exD+=_!W zgbjpuo!!egyesLc-f}t;VGKPiG2-WdXXmR{vw{=Hk(VlA`Jvvv(f0U9%v+}@DZ(Zi zvAj8@<(NxMf)ZGE&-86EH#m|bb1}}_?Xf!&82U&85f(1V9|X>RGlo&@2gyGsIvn43 zw_j{o;i{1Bjzd@&pZQv$Ae5LNTCr|q&Dlp5*0&e2-*If$ECaKCRPkgNf-Z$AU$NR9 z@smufL8G4$G#ABp)p_bK&z^HsdP~-X@q?8_4B1bakihUQ!{1I5tKEuClbKNA; zE<*;6(G|C%9ne>{>YE}jd2mJD97iP2wsc(T97ks^ckvcg96F`A(O3BZhZx}KRRfy5 z9Xf;D!E$RfBG(0xJ(<}MM;+-K$GAD&!^Da1`(#)WP*KIYgs*gX{9x*hTD$y#Ry(ca z(uw!ca0~p>Na?P0sla(4pz4Q>Tg_(#9p{<9TEc4id^A$uQu zh`^t`+yKvp`jxreAlgcP-f#J|hNa9k~a*ajFmF?+DUW942tQ@*ZviPDlGfuP!Q?A zZ?}8&N1m|=Y|9=LCYtQuoqgqQS+0NFyoc*17YMtbW?|y;{d^P~N{6Gc+WZY9^giCY z-UDkvg^8zTbw7WPk&|4Vvr(P9E4_O&9O)POP>gUhmOVN`z=;Es@4rM7oh{^0FwxD- z5UOv!t^`P{PnXgqk{KfkXb}}y`fB|Bt_-AFH-URWI937gWze(t zM5)%N++SzZE+@^Mobj-#Y30qa}UB*-iQ38HkabitCKu+g^wermZ z_LnAP*3oHM$?UYT8K;XdCMu?Dadp|h5C%<6-XSp^*5}u~CMWN%G7+26n9)i5a2-=_ z%jUC}i#+f4r&VKutzSq56Tt<%`U21b7#tNFOrZAgJY6UUWu1y50(tYCktIp7)-2*| z2#Hr8)1nhbl}z&u4(T7b(Z6!ImlL>gpHS1RtXF6aU>kVhB(nav@l~`_k|wtWHv)%c zByT0-{XUW&Ga?*y6V_x{LS8y}RX@%1#jG2HIa$b>KE#tQNCQLS#W!?XmqO*AR1&+l zC1{I^|J0)2!UH7H$d?XT_xh%)`CB4_-kvdrMSL$dRjrt&P8W@4ilUpn>(evJ5yb0y zkAG>9cG`Ge7yD(glwUQunYnpf^H+OK;`VPmbo&(^)BanH|Gy#uWoBjjCw4|xq1HB# z5pm-KjiacH`mfTlAyJ`-1gymza!B;x&#*#6?LpZ4vq-qn>79!9J1_YwU8Th%EgFQ8 z1+1BzP(6zid**r+NIZC{_&)(Ey;sWK1H*KZqcF`^-Xap4RW=VFFWv{;hrJ&kg`KLJ z@W^j)&f2uV8%M9}wDCi=i=9A&kOH@jmU5eP_MDP)JIc#GoZ)gOK=3vz{jx0DZ-FG1 z2vk%Nl*u+#L2;a#^wSP}ga#H`Kg!Dja+1D2j|Y!E9gd=F_Rb;-uqI%7M|tmA`NTG( zA)$#bNGeh?wfXwv`<;8jW}jQq*w||fKY#>-9VGv4>(~hy3GECmp?P^3{_FM#6Fc*N zz>s{Dt?W^j&^8loE*_+RH#r{2)HdhZ5*iqbrN$mIN#<(M%RuHu+W_ZjB&p3R@v*O} zTBFHOci*=FU@_A}rnMLoa#jzKq;MIlQh$)cLFL*ADKp1g@J=$`7?Y2tWvvyREduY#a60OE`mX z*MBs$qyi*1Lza75;@$^Aw53q%Q)X_eKo^r_53*;n&}&0 z@c=`AiPbXzL6)U`8gFuQv%1>U#2(3kSsT>5sf7`Ddxzh;Nb^eP*xoVTTM6K#pa}1f zCPejakHly^qdyY)iHk@Y-r*F`@6k7zQ$!oeNpyTGzRnv*;UG^*=~STRh)+lnG>Q-S z79`U^G@(a5Jh!sW5WluskxO+74nU?7-W9?JoWQpd17&S@^TkVIkjWjcnaCC=9<2wE zzGf=sNtg83F!}|Dk%D%9sp?M#OTP8l@-s}_S?Ut$*b34J&;I_j!`?*|^>fC@Nt=3= z(~l+Fys9<7>$L#6+t^lU*c9VX_RA?MK`4Fg>n#!FOT%1=rfu03J$OF*!ezp53k0qo_6x-mQt+8(&BByEKapCazInxKc_j+N z5bA*ByEal;N-ev(w3W1$OaXy?yH`1M_e2JEHAl{pkR=n$S^<2RG!+wkRwA!BmK?H+ zfZuKpusp8_y4%=&X}I!QO|m=|Ff4$k=Q7w#{TK-7X02T#T-3Hni2^DN5G;y5aUuP2 z7>&!^vo@z(Vn~o;gw=8U)cExq@<*T}Q5;6-Jb=Z&11WK;ZYWm2k`eBTFSZL@KQP(z zR#)8fbyorSESlwd^zx>sb|O`v4NPhp2CtNkN?t0YJAnS#T}&!{WeYszrhldXlK$EF zK!*e*`}|_{RW_>2&WiVupUrmS@v$#G5&Yqsb@INA*=vx~LmaE6uZt<7qKJ>q0%sj| z`4P7Rz$Y4Oha1B2iyjERv*>EQxxU&cr?}dQ&{cqU;}51g4B-xmO2nzchOADAk7`X5 z7E2yYQ$5Qf$`;mHn42r`6H&;tiQV5m>2q%R}NOKK%8C1$ZFS zdprGSXf$DC@Den2B9>^x^1-d4D(bKa{hi(B^o9!8mU4dI3a?pf1^#r-qZF}Jiy^MP zYqEzK>GLo{;j0ui;z5r`3dD-RLaQ4oouBQNMX%HyOgHGWJ^>GAO}?-_Zzb=#4akZ7 z&;E^`csh+1e2YL^^0L}lHFoB||3<>N<`-sn@ZTJrK5d6xGmQV{m`c|edKOn!rx&sZ zM!VlFjH&STRd(T9|0%WqThOPJZs}(cJ?>Z&7R1oJsL?rO-kMDO>&~5M#h%bL!;BlD z0Q@~AlQ^5m08V#Pm)B=Vt7f;4G7``1{SX{PHj%9Tu6USDc}UCt><5dLKl7i-G!6c$ zKIyQ^(pNBR?wSY4S0#^y_npCTv>Nbjgc+APljp-pCx&f?Lp?Tr7mqtScbptmbEq;w zc~z`S^c^sYV8w^Zxi0125+tU2sWIC0jp9qj+Q7Y=aCbk92DQyOrfKX*F61e)ao|4B zDwB{o^$`XhZm_%35mHQ(XX`y%W*(NrQ$ujQb$-S)un0LnW+u!)xNZD!%{|?y&Ue4< z_*8Gth`$iky*u-4aGyGhaUT=E!6~Fz-d-tQf28AG(O{b_=RcBe3N}G+WApJWgWk}r zI%3WHb`WB87MjXEHDayk==qEU2|39=53~}X24gmX%~;&`!RCd_T#|}3QZ>**F~sD6 zg;M;MCqQv+Y_dH&t~A!p+i>Yb5M~rVi&LK&K-dKzP$*b0rohR%IB**_tPklW}*xH%sR1RByr^l$|6aBMWJl86fXQ&+8{xq?(zja!9To13+! zyTaPlPm^oZ_i8KI5Lx!$ywyKs-~MZF#m>U@Prol4tt+8yfH}0~MquW2*=LcT*OZz5 z>oh|diDiEHLH02hSrD|+!!f^Ugx9sGRmMWb<0d6(wcqu=?w%Z8P|$hiNH(^4CfH*} z)MVqQnV0CQNNGC3dp7@648IsQTrXyNv`a(wIj{1Gl~wf(I{X6=^&wydsj?1%>aEsL zoeI9OPTNQv(xeisN*nrJO=;VoSLI1M4&2CR>u<`E_R)=}mCsDpr$!0SaD9~km-gK9 z^0ui}=cd@BL`q~Z@F(Qj;ZdN@^3+kEY!eAKq=PsAnI-hC_f+nwr63~jr+qaA_L(h} zTfI;iLfwT914jFROYKlTQWoDCg46k;=@h!pjJ-U;)WC9+IR-`~VNfHzPI%gki8C30 zJtS5v5|;vsr64z2uS8%~p1MY;{ElsgirB;`J~jP5H|hIPC$`!N9}d#U^IzUZp%b_k zft(0|GZu3&7!866fCKSqv6A5|lZ>TYMzKk9iDg)sB#1>;ZV`vdTb$OSoIJ|Meh}xu z`%%c6$BPr9&Hk7!qf5XeuEr@p9EuNfq7?TCCDz#emuqLfOORs_ewSR#EV7oTS=gUA zMIT3Ewv!a{Eq=e>bxS#EU~HNT>Gd%P^nYQn^rSRqMlB1W!L4ih3s^(|yQDh>(lz4+ zl46JwygNB#&YH<#D1yVW4JfzalCdqMaWboM>OFc`yv)Aol}ctl*9+{;Mk~())_xOQ ziP`sgHf#LH>(4!~m(SzZK@pCVbViKBmhJ+g={j*v)noHrTqSSSbd$|4D@Ztv5Q`ie zXh1bAhh3uI>SJ-KBcdUC=?i>p6{rk9S+Uhg^G6J(StSOEHP#+5u*rx^|LsoyVLRva&|N^uz_}8)m7-R3}Qs=Jfb;lDMZchoehK>5WTLku~<*Kq$AJA(TGRf z>*=lzuM5Rz9+%nl>fn%E$iS}TCC#3M48iv-EbJQ@mm_rMq?Db7EkO3oHbf(7CM}&@ z@47=Gvhb~PdHc}GT(OhVr?<((7c}e^Tpb`bep}C2X7<3v*}$QM29EWvjEHxG!QCu4 zxu*K=>WCEI{|J>H*bSLj+;kAR@CVfWaXdMc#0-x=0LEJa0R+qcwzh2Ys{*ZD6S z$MOG!pl9Uz4^qrZRU2#NC9EzE*9>V$gL1}t3o9IzC}s^t#Kgi;bVRUGb#*b!Si}$% z8SCBU@QeQN_+|2?o29cWyHHRZCBoQfSRKr;Y8NEcfz^stG4)a-G~qmR>)C=*o+U1* zH3uEBVjI7rlhZ7x%hso^E2pd{-l<%wpV@g9ZQf&9ZL*F0I$Z`sRX*kWFR7Ln@vEiX z`_X7lW0aTLtj!%^+C6(+J<01^qhDT{Gm+ns*6V|}mxFJYtZ!7qJcp!m+cno|D{(~8 zp(0Ev**tR@Kuf$+@S+ekA&FVRbX4-C6muf~Xqq^P{3=^PfTf$AY7S}bt(**U{5LF^lc&T_IaQPx|cXr?j&56@<4 zYPNQNs#d6!Z>?$>rDamzTe4^YhaD_fsE(_|LB;C$k_O%C{Q$13{dE3Rmz9rV3|0x{CGN=NFHA*G-FvZeUjeY zY#lsaz+Ps|uYjHKDtAD%LY}!u=_Nc+bM)s6r*4hv_F@SgvWTB*RmU079DQ`Ov1hl>Pk%|-MW{izO!eGRP`!`J662J36cWcJ{gox$ zv94r{4i6{Hy#Z%>au4R;iX&5GfC)&-uQ%Vqxc^{qFF}6^&JRJVT>Ld{DGr;TPePK9 zj7*xg<62f$qeU=C(x|?5;}^!7;Rll> zsCSnL@It;Pl4Y;#Px)meU=t8Ao)JevLT1~^t!c= zP>xKQiVF4-qB8d?+eP?6KGs;tnHCx9FCmM$32|ShJVuG$ z7$53jU>qSSw3WR;_uNTVm%lPG%UK-=%m+0kO4pFSi;b(Jg^I z{(`KTgTGFnY8VNdMBnz1`FDu1Ok3_+cDjp%ccD~%3d-+yO^o4*`5|UuhSeI&R&2~2 z=yVmjl30hnj=3a1>1{ZCqlI0xB!aF0tHfqX6p!>i>mtAgdB75NA_z7P>z7(fWPRg30u)NqO7(uGz2-pp8KR z*h@r5Fa=`7(QJ*~b$=+wlj4>MGUNo8AgdbA?xlIJnx$o)PQZ8>mL(*=r9if;%`6UU z!CMUB3~+?f`YKz2y_}UWFj5wj<+rV__~rt5LP& zuQ7CH+2X0{+8UU8f^G!!_Q~JnP&+F<-$@s5g_Bl$qqc(RksE-i8G^^Gt=Q;19=h4z z_~NYW{(|tD#&i07*xq;B>ho>n2gb)SQrf$Wqr3=9?3U}nY5&kc5gE!FK#DM9OunB7t`T(d zd%P}gD-54Kym;#kj|J%9XOB@hdhu;mA^QGozu&3qUYW(WBVYtUC#hH-8Hg%L}}u_u|Ro=@bREEsmmHcOAu#3;KJ8 zK$d!|BdVNK!*{lPb=^YqWw^W$0J{~J-}X4`wLIcI*Sylw(;=7 z$IL;2*t3E%O@&TcP26yIN9~)N+Ur75<{YHm8lHtVMfO9J2vjhN{d}-Rn2*x5ZOa4Q z->dO>ahH$bP0<0i9&D`*{IJ(_R^?8FBj^G(c*?+W$c$Q$tuYdjjblvM$`K++M2;NA*-bi2CCORX zjT^47Z{ND}t-$rMeQTe2-#YVqUZmQ=@Qn$gMc^C=VtE-2=Ue4+e`bL59mJqcJ4ES5 zf|3(6Mtr*KBr4BdK9=)q+d8hLt<)vY9vXPt$k3YB&wCZm8bR3>b7TQ=&GV-oG1Wn} zZ1MzDa-!$hp{aDeQ~l8B=rA9`hW<6e9p&uMzl9;p|EGfXKg4fb|4}j1jnlX4Wkem= zx}&*Hsd+ZlCLT7b`x1ARRquG$cRXg$Z6Y1J z3_A8%f%IHRe|yR+w~SGSMHmewaBm}zOW_ovNgYb^lgq}8G)=CNk;gbuy)1swZ#h5h zH-PkJsCGIYlQg;w-Q044IGjqBaMKngKbl2YiOMp5m23sgdi@d-Rcd6GE%=dDeb!w<&|% z;IhIy!b^)Tb7t=u#i?MsuJ1bt1m+)tf7|PS6ng*b{Evz2KdY6hDn1Iz>ge4u0=Fv0 z?TfGuM{xy{%d1JE#^sQ8Z7|vtc%l`%uNNsPn0Z9~h>$xZ-V$&gM`Yf@zlkM5i?zDT z@N8;Fm6A2N&oqiRbZuzPtK{68tCY>mbT1=0n7slcp2g)R`L5QtUOp~6j~FT0(V1Z5 zW7Ct{A2~ei4)?n{T`VkF0ea(n4UP`QeV?36VByl3Ol)F0)JP~s@rV(UZx-{DFHnu+ zetLQ6RHX)ecM68Eh6=#1Se|g5!F7uGs{zg>h+K+r$O;JD(LV*V^R14_+X8)mH8Hkh zI+f;*+twUM%A)75{90IrMXxu==36t)xV0fS>bWO=6*Oa>d`8wiv0m*ADfap^U~1z4 zMe~-C4S9xyYT51p)h5JT0$21-a7TIj2{t z$#Kz%n2y9+RP;$q#>PfhJbaq5TA|nR!tIL<_4iBsbvuyF1o z{zl2BO2d+I4bMVkJ#L$L((RBq@a(}z z)Gn;ZbEKge(ftN>)Zq|gAxggf%p0ULE}8m_yEHN~Ys6^#ePQYEx-ee08{!b|oQH+YtIcE6n*7tJ4MM@S5T%nE=Y4b&MShEcDW zw&a7GVs)c#r)<5n^~Q+dMRf~I5ghOx<>STNK71}&4u3;-fT4(1RAnl^VUT>({UcU` zJ9XOcAyiNN9t}H~)BKRXc}4#brvE8z6;CL@@Xd9ATo^CasD{Ynu_C%C4D{w63hPMd zGzQScN_X+1RdwM-IM_ygUhMN*yN_uglzd8@rdv4QW5+VqBiD4l%ZnX@;k!415>f!` z?AU(1B-jB}-E;STp=>GC@k?1v zVg#tSiuelHBPz;x3?BNO>42bc2-H9T4NTG-9(hrQeDn>B=su`!3U{=%?k@W^JzCp7 z6s_I_q~n)rRic*1XHEn$kfwKJM0_s)kQ zIzLeYaXl0Z&9mO!7@c|Ew_PcRb?`7yhR9m{nAI+cY5ufx%qG#?CqDX4FJlMLKL#TE zrRMjmw(L3f5F|Wp&t`5vede+}8`31<_UMUX=(&}Bp_qrFWc^AV@EL|ztspz*p2fH) z=x(@h&SJ*8w@aw5n&be?xfs)5yb;0M!NRZa8OuI_n?JnmxLrzrj_p{!d~<>XPETbu zJS<1rB!X!(ScxZcV)@4C>hHibUq@LzpBcO#)h|1vU-1SXWM(Tm!OIEwY36%%7k7YK zpMQQvOwW8?&h@2F9nh%vk@xP$^TDwNMP8F0-t-3UAEDPd@+)%HyT!q!{tDVfL`aBF z{n;rf=jk2WQy7S>BbDrUj!BA*-Ipe~8`~9IpLu9~)Qht(QjfyJnfiy*)i8>6N0IE} z><7kK(Nip%%?%16goI-T*%vFE@Ons99nArM+xFKUWzcd2Lq7{05pn+>j_x z?`d(h*i zDF|6^u;n3x?2KgOI}!{@(zaLb)%bT{`!_4l&oL;lsuWx<$sxD9zrYT$>52O`H%)?b zC@s+D_G~J$J$wzbK(i7MVaZ+%a&oBsel1DaKtX7z;a;rXz-hVRLb^ML*A7#Hqa-%# zU#^ty76JM4qey1i*7lFv8FzFyH}@RsTs(`KsQfTrKA&Gee^aKcFG){IuK`p&l{Ofw!v+XNs5JaF9P3x1G&Q@5#xOGrNo}v-cNCq_ zd8!gFsv-}-6iY>g6ha^iiTx6o2}BT-_XiW?JGWgEc1w~93xgqe+H{(H%5=IKVqRH+ z9jlvMJPe7fw4vbj?sg0x+IT=_xOYd>yIY_EOpSA9(wYLqt!3)|$R=q6CM%3ZA1j^T zo~lcuGaN%|o$;7&JmbQb;FXM{6^BnLO&w`r#}aC3&dJ|GJ`|`_{KY^;!Q2Cg_2De7 zEG?Ne&MXz?f5L@tV7^m?Z=PugZxV+5L`?8e0BOMqI2^Od!5UTABh%VTjQyb%`@;k; zVAS@{0*kF1C8}e%<8+~Uo_3BB^1V@Wi4^^MWVz0E2fTYuxq?6f@FTRsfJ9umKXl`d zS(i2l35dMGv7&FvWdIn}aiB`p<{KIoh^n$VuK-EHX#R1F>U3;`e;$y$5y~~m$oL@> zBC2Mw(XqhIoJ=+`C`jz$SF{2C2>0I_jC5{2NN)*svaXm@C2CdSRP+FDz<|$#WsYE+ zZwlxGZ1oFu!dU1ylXJbo>jPm&nUqhe7Fqm*IDrA4ly9;H@UONX=W9Pwem*zzeBMH{ zjj6uAQDDK0X(rxP|v)y!ASsx{urqiy6Bn%qM=D*8|#i zkgobC!bpBKx?Rfn{;@%t{4|dAj<}SuFJGkJ3t?iH-Ap1^ub48$$B~D6x^P*da{EYR zRc8_lk>q4&-wz9ox@o=s05bA6rJMnfN0%zL+49HYK2AoB1TW(r{23bYz7HN*F@lu| z#+b|PmmYe2m-4pp@cNh`U)lM|(J8f-8Q7X@&X4s6JTF$D7jMFb;xfeykWYe|XpG&( zvWjxT)V_KExbNU$>ol#9UB@GG(J?Tmaxq}yxXIGUe9^pdYU=Q6dQYkRMderh%ffZi zbS=FePXaU45BN=80rX!ihw~qn^MB7jSpG8x){RrN3nWAw+Im6dbsfnlLAx-N(JBo` zI7?b7nnIU1V~!*AIc_G%Iq8!ieA)Ow_*{Px9iV11KldvQU&?Xd2vh6f-9Urz4)jH& zgmmDs?*#C?EKBTT5Jd5^k^Jg*f2vvt_tCSe&Irol1JCoDYx5!ta!+=`Qdk#iPRJlY zwr_D9I321ms1V`Up%un_+d2%^EQi=UUpoP3V1F#tQV2Z0IaHdbSK2Ck4@L?a1U+bN zr`O=UEe+OW$5kQm6iB@haLhV<4z7X^#G4g*E{q368Ui2|7sHokb!G>775= z%^VB%!q(Ns53&>Y}w4rxmgl*-~2=N-XFr%Q-@eLshH7?}ePU0VIivPn&FtPmS zzgC)bj7~F>*wZUoz07aQHt%?U!r$@&k;{U{eO4axN}w9~J?0(P-3V81+|g#}?1UVX z*NOv%wHgDL>K_;GUQaJKhYQ{KU7KC)yqn(d-cLI_w-;yC2+hgq*$mk~w>lXg5BrYR zhGEw`s|IzJrtX===%CFVCb)9b$mtnJG#u=QEw3zVR6UZ>CW@wYqO(e-N$|L60gtq)BP>`38B5+#m3ET$Y3*!+gNl{5baiB&G##9fd$q{H*<1i5jd)LFFp}1|dO!CET8YrOMd}>WB-7-~+>Dc7Si#Em_(|#<1*I?+ zHR2xc9xxvWAIL1oY+q0kCAi|BJlF#oCNtB?^&EJE zp_GCWB@*V#g$Buk_%4qnM#<5-+_&GW{Gy^M-%NbU|K9&Tyd%6rbS=+@>B!QtvpnYG z(m@?z2v>Y=kw_xQQwj^-Mg)prVW>-&8fHbtfzc2_lS6jFbH;R1$!VG7=#CYh@3%dEmj5Oe8Jh!!P>MzmjI>KuOj+ zQBeddXYmm_6&{N+2|$f11Y^(V&`X67^cKig^xUtn>v3AWhzsam`Vd3SC1s9nRSJQg&n2pB z&|I|+#x7vfe5qrLW*1L4L!Y7*eX|$~z*NUlk5h=7K3+L4^&;fl09nMx2l!OZyk>+e z1T_$sL*i!;w>0W`U3B<^v*tocX2D7PFJUcQJp-0o=39+8Bhe;{iz`N`PpC zJyBYj7_?P8P)IjUF5{(QJ&Q@7rzanZfkxmVe&leDta=rVe7m66!PKFW2%PYc8qF+LS3LI)?U#6dsT zsG-S*G6FXjI9*9T#2pg=pKWmnJ9Hp};VKcV+ukmalcP>`CX8?Dvxq60!;cb~5}6`C zo7~NXvbZqL^{1VO?{LZ5e5G)(EKol9=;`3m`C^hw-Sfyvqjjk5A3?^D&afUZq$!Hq zqoWxj*?=z4c12b}7c>D#&?1LRp4LdBaF;T38&%9dNEn~Lj+Z{{53 zQ1tjBogWEp0y+v$X_ahkuwxH(xZ7^FkLmFiWw}A6)!leo+SD`hT5`yw>iU(^2x6i; zRz#JxyOYo=m95-{vu1{~E*WF}rw1f3++0^0lu_g0d&oh+C?jzB`1leHMU?*d+*mcT z%Z6p8X0-~al8%XM$5xRLR7Ss(BY-Ii3kml!<{5l{tEgD8bOW_chGqj@qh_Jr4AsMG zLM}0YL6ky&m6fovXVfb%{)scv6n?_BBe2Q_Z1(jr6~m>#O|utHvzKRur3KPNqRlwzZDl$g-C!lsw5Jdt9^y)z z!Eo}nHl5vd<4M`NSyF8a0Ip3m`#a~}kYw-yxdq@}2B|)a3U@?|bj3cHi^fT<Q zAPbGa?%6#G9)M>#>)TlHQP!U(R#BQUFsNzmX&RwBB~#@gd8~)5m3Xg5)>+ygtxMKq zCG2|g{dlg13Co+b4=YUhi#yAw7t0n-l3$p}*R4p@t^9f-Z|6{H8Y->Zpv$arJ`Q>j zW+K5Ffc5LAj5wm*q?95pr`(xpdn+h$AQyxxQ&vfqC{?YKs^pzvLE`IFO<4A#hC2HF z-Lx%slgb9DzwUQVia~SZY@Wdu=dhf2zVm~3Tq+rkX=qM8ur#He(fw9GMw4H5Uid*sr7!+IY>Pds=Bv z^p{^{w}Sc!hlORVcFI8weuZ=ObILbd3nR4OUacg;w3I6C0!Dz{%2Vb+^~uAsZOUh1 zA}-A~+J{R(citIWdCB6wa>TTA#MEY{NM#*iBhBJ;@jf3*v3@$JVC8tVc7+c$2Xdx< z&Pij&{(s$WkcNt#Z*YIxIcN0?y`MJ$ti8VXZ@gnS=0LW0s9_s{{5Mi2S=gB$-J;|EY zqhAR&m%WbRsM&4?7NUVog6WegP29?F;{?Qs%snUN!RMLI4nz^XCrCt~4}W?DzyMi- zh33)JqJ3Rta#ETJs2GlFI*^j`kz8jMOa)q^5BCL`Qxa2oq4TjWW`}t^Ec@^eG~b>O z9-w=hV>4Z}bfQy4tKq_P9&eokYGNLaN+g^}U{|N$PtBS`B~%|`s_G_V+h)WrN-2m3 z(4~HxUJfk_EtN$|i=|auc^d3m8px1#V(+LQ;}M>-NpH7ZlLea1KAs*ORSebujSqc;V6BSRu*X?f@Q zOfQJAHB;Y}^B`Is8jpN$kKD=I3hHqiO?rxT;KD@7Pp$YeL!Z(ip*mj#YVsZ201ozE zS{_0f8nS$@8qTO~tW~}Tr6@$qMBKg?gSoEcoU-q^r|6iZC)28kb^#m|6qKZe_K4J) zYgKiy8JWQ7gFH33R4jUOWI;0ViKv}z;pqe&I+u5;<8vmC4(eryHwp)kpZ)g z5X;Um(u`52pYANhovu-ftH{gAv7sy#|4}u@{@0-`%+)Narps{)-t5f&G$SpX5}={v z>81_SrZ1KA=}AX6$VmY^3NjqI{(||{#QUPCbs`n-8D&;wp(XM6?^(b ztGD(qN)owI1qFWw?KlQ;iLA)11z?s84Z7D2cURxz71GWAh<2zLdpjia(6v3gIJt3b z`Mh}d?$D+WMTjX5y}NyVfAQ9VzeYxp^I9l_3I(Vq# z-N^dMOR(A1$&Fj(r%%_RH>nT5RTz5oH1@Q%<;%xUdo(mR)aT}x#Pe}*c{etuKQ`ys zh8t3zj#G+rbMyRO)6um~kbL)Xvgi2V`e4w))p2pwonC%$e?9T!p#S*UcQg0lfkJSk z>Wx|CT0gTddIu>wEPxk5Y?K2H7MGa=t63r-*HDIT0!fEXcUB$Mk$l!3^H@IgCALh; z4)2cN;M#wOL;(jhep4R5#vqRC`hJ@E8AjrYK)BP>;8kO0gV`myR?r~Zr(r9Cu7o+L z=x-3ji<J<%BHzQFW&&=+<9hm&P6$m(Auz(+#h&DatJ#90LB<>lBq)g~ za-4pJkhEBm6=GPxoxOJQcH$S2F(gc`fW#owDI&l!vX!i&{Ff6=;4rdHJz|ziBAS;SNl>s@)gF2ro-0gkged}BiLkEm zh}kCX^30A4JffB(k_RshNsw_cv#%NrwQ-)9BFQ7Qm(h@(kuJq!)4;D{e;1pO>o$*> z7Udw7lLaru^H{?az`5o_DqEi%dR?q@+Kiy2h?(XCfX#SBt|A}4+%``6uu{==!qx|0 z#3W!^X_||tL@1(11tGT{T!M{RR@aOU;GDETuXjOMs%w3Oq~Bn7!FvHfQ|i`uNXFElJg%tm_qUa-t+dhkk^ zHmyoNV?vk_&uOj`$4sIZ&2hPi>T&a#E{EV$%nawG2=omh9g8x3iHH)1-r$avI}p_s zTpbJ6RiIqkR=u}KyHg2%HWS8U+#7O4{jG|(R2K46xl=mz#*($j=K93}#r*)oFl8hc zQntG#747fj41Xc}r&4Xn%7xLS0)_*yNT@L$(EvueC$Xj0n~Fy9$0Z9Nk}#sfRJb6w zlfr-@uIP;LZM}O#iJQ+!>|?uK@bi3jmnw)VB)@d%bp>@`{WBtGOD7P zy!gYmr#;~c$4E_qxWa>09N zz$oYcFz9l(Q&8!0dnK4@4jCRd_~GxnFyI3wClSZn_A5yF?;0$^-;O7x^l?|bHJ4Tr zslS7n%#Eht{DX)@HjVrP!f`!7Ql8lXZJQ>NiLUgL>0mM?9es{6S7eoe?gb{^Qd$M< z;9vAf>{y4&LJ1o*6pWb)K@8<($wy?H#5cA4WfPye)FXfJy0cZ-5D?o!aM>@Lqzi*K zzVa^*RRaF(sk1vZJ3GFNW{%4}iCFu&= zfo3XgJKZIJ|1c5)?ZGr3BG=Pdj?SdLkx{G|IC&)?nsEJm@#oP$6PC753k(KHI zu^C_N?6~1r7YrY4L}MZ)`W)Z^=XbATvHB1%b+vrTF{VFd*N6zn_XjxKQNW4P)T)|J36)0ZS$)6D9v)VhFvmrp? zryP4c!(H2+F@c5aUKBV6k$OcWpZ{8#s(l7kUdoVj!{_VyB z9(6$WN2JT){QC+YyxEJ|WG0b*aQqj}-;2=pUczMAcnT#?o)LJa!PiR*1~+aweuvYW zczqrR@7MC7F30;?k{JA-&i1E&KYij+yq?ZC8=btZosBus@gjoUU~RXW*id1;J#x0T zmcAwHJ6p@^^E++g-BRh6GHNp>jnmQ-4-K3S9GQ(KLD}maxnwcZP{inEtiSbi<>miw zuHcy4)UI9#mK(~uBj@EO7D~|K%VL1`fX)ZB{mQGCKRJg2+h24~!3gO0lb55>XVFjE zMHZ^(kG*aqY$oguKRT+nc|vP0jzr@U^uBSo4mrjpe9|zZG`pXW2R9%UBxBlFI+yx| z>1-w=Quo$3@mJC!dNloS9&fsI2%%_q#rP~L1~lpZwL}eEL-@ADb+KL2JavqvHz$#} z373IArEr(PdRC6XK-%aN_+KMd!>Bd1c3jv=@-40Y1~i^!WQn;yLR0tS?#HQJ3fo%r zI$l%HAnKshtfA=vEG7!*E#S>Y2+7bgqd~MJ^{^)ej4bM)C`-+HcYoI2JfaTlZB#RJ_=}@Z1_04X!1er@GciMjItol4D#J z73XO)X9H_@<$Q-!-p_18>2x< ze(~%m5<@9k)J=vJK;^Xs^%82CNi@TV%|XT04IHdNONU44L=S22W5pc*5h0=7GK7%t zR~D6$mbMp+Qsc_H7RfW1HivUG(O@97RwZ_X)q(B<(|@4)8zNK@&5s%;%|ur8TbM7) z9W=z||6}YOgER?)Ma{NtyL;NUZQHhOOxr!puWj45ZQHhcnmgy*vv=>^IJfu#|UBXb8O1(x&a=DQg*~3I_Ys|P+jI`%>PEcumbCdyiSVW zV#fSl5l&y|&p0%g=)FfMW`n??6*Gp$2TRq918;sK;_vDB(IW2KgW|h zCIVI9W%71#x8=Vyqk_}d$sd*$c5TpvT|?!mj@%Yn?UE*Q@|BF6Y@g5$?e;vDqYXv% zHjI-XwS{G>TLTq>ggWX&5@o=83OhWH2(~V8=_wx4U*)4PIxO_J)OM`fCyVLFI=>HY#&|1>m34guI$Izs>_^Mz56Ft-t4Y5WAJu~npwP`|h|~$qd4@pgX^Kh^=(}@2{9D`8s*@r{= zE6Q|wj(@$Uk0_dwvJ5~C5{S?VmzBI!`b}`4Jmw7R#AE@1F8vzdm?eP*sA?SN z@N={dLk9#2t#n0l3|TuaI!!aWqz0n%kD-?XntmnmUHd}84x4{tdF5)Qvy**w$0>N- zYCp&oc+KR1L}Rm0ES9UKYkLf?3~A1xFIr07*dQP79$f%W}nap0{|8PNJKodR2pEyauYZ&uTG#W3y(&1>bfRYlYls~60Rwpl zW>n3I%qo?t9S*As>Hk6a?+BaiVDX%#ctz84h>*9HN2&8Qoh7^U>)EsmWY4LMjjqlp z7P1uQS-=FD*zxA1@BFO41i;rsh8O@#G5YrsIl-NPo^W6Glb3uHYw2cX99R8iKrI0T zw{s<|Pu(zF*-jV&*MXe8u01d14A!E;;th(~Qqd;^7Q`+NNM~r<3QaY*#Od3Df>~C!7wFts})LB9|G4PTPugVhB zTgJyZ+BtPSM@W%-5bp_b$sJQK%s6!0N@?1e^(0oT1hkTuYJoXHMI{WgoB5$yf7Xv` zF~FOvrGJYMBnr?^wtFd}rPAHG5IIG4a;TL!VrLydSV%|5?xE4j%PClfJ^t$B5U9kg zDZ6jeNa((IV3c-Km8{ZQp0=0BpN!ue%ksvLx&_ zvi1Ers*h;l=b(1SGTrHlyUnFC?-d4T&hxkQ)ei{OjLc5`nEDj0qXiDZyAFY*D*_recf)JLEZ*{45i+!;q4e(mb20LhHE3WsqmL z2aQ|T#Ne163*5}AJrDyOHyZ&57lj_moV5EfQc*?u$G5iGHBY&=*3tJqgaPFmgCoq{ zEOK(fwWETk#o)W?5&fA^!T*Dnt-3sb%-~D2`Y9a7jlv^mhdMjCXuR)w*POSymH&CV zaJFacN0F(h$LzC(7^wHcZ%6^;NK8Q?NzMMUxMSxbEHEeKD;+Q$-THQ%_L*Mw$f0ZZ zRwdNh(7#9&0S^u@EHbO$6SB-l$M>Bx>cf9IpF}`C_QkrcAaGrJ`?7n58TY#A&$-MO zimhcgBzzo};~!nzTPm~yo2>)JZUi`Zrw+TWx!!EO-RuR`@3U=PT0LF1RBbfj$({df z_&g{O4o*nuhAL4Dv~s&J4R74iQ`J2+Z+~d;H7l>LVRvBT#7Kq~0&=?5kip z9ay)eb&2r)Mc1^-O$4tr+nPgR|B$$VmsrG9TQkH$l|GtrLLCM>v|z2px#@e=Nw{GCu3oFwEW-G?y!8k6JYa#( z`!wc8ss4%KJRvrnB8jThww}aP!9vf+?HY4`egBcJltMfwJI9^oR~Z{kNBW5^PHM=N zX6n1tV-22B@wy@Nv6@V7mmAk&WA*iJz^^8$Eyw!d@j{By5nF>il=tTUX zYpQEF@Riqa+LYpyNkFJ9x1Ob$&gT2GjId1KBH*3+ME)gAHR*-E3e9nQd(K1^3eUws zKOy07a=4|JzO)sanRjTYE#LCWhKj+BLp1K^)CCrvJw_LA(4-p&!%e!(Rb@FpQJ2?} zhF9B?Uyl@LeQCbi=$2Bx*PsH~tGt5PID}J;e?g0pu4htCQDwltO4~hTJ#EH<6IgH7)&$C zh5pHlS}P|!<*1bRS(*zxxWa6qE~uO%`Bi1F71p&itU`f7>#U1);T-%U#3YHtnZ`vrWfzSp|l8?F@2g}TAb zc2bI4UNi#x_p!le==);pE+rsIX8EUiUP`x2vCo!zs+`RuU;Y`RXlOCK+M( zwVjQ%JN#gZR*1_X_mmB)mXLY^HwrN>Uo@TZOx$;ve=dWCo0ithL`FuIabo+CO!_~H zk*m6V+_cei_G&tpk*)dhre(FHw9#|t+2fa#QBox&`2=c2B*6<&_5fn>#!`N=zJXy` z8g@f%LH?!IEausx-GJM9sB(QD@zpat{PPU};?qUp<;7g@)d9E2BJ|P)e!xu#=0lC< zy5zKL+2x`%(N$mhwhW!E`-#;~snJiQ-d+=mp`V&s8Ek)z-7j>uw^MM8cXS8wYJg($qcg|?}iV*vLQRgDJqE}C) z_fO80H*cknPJ$&GxfP;;07onboIPhYeo>HYfA z(R0<}#oxI0#@Lj*qWt(!%a${qv?JKTEw2gG+;8F}9O*p8%b1L*+_b z3gKWkhh5EG&DVwf;5h1g*I;k)uaJ{@k&9B+*OmmF5F9I&y|0RnVw%a}uB}hOL`5EW z$$y>WAOWlOI(1`HUMXVOLF=lzM@ky(*rKBcjm-nO>Z;J`nB^65mA4#G&}D+4mypCQ zv@Jrf*_rJY8uH6bJ$O5IdPJ_%=vVt4&Xjuaj~oRa*=1jlYTu zdwA5Q3v)Kq_v5uCei{|Wcj`*n${cWa8Cj8VtD2#($>|mR^JZ-EA^|Czv38TKwExD> zu>ocnXzVVvhfz91SK9G6n84&|W`Zrl4LM3cv>e7xXBJ9>^*f=*?B(=~CjYfb(9^fR z|D542E8GgYIXWl=&R6uF(eBV(<=(Ni$KQoJ?Xbpi1w(Ow(9fjlVrInKc@G|}l~$YF zZo0O>_fj2W987O&>sQ{Vg@+A|IaP#h` z9ne$k1|sLl<#soGt#6;&Uz;m)RQVd8eki`3Uxn~@WE=9`s{Y=>Vpc^4)k0vxAzjWX zu=F!1%-7d!=;_%p(rp-0T3%Z_ux!75>h&3PPUV6dF5uto2Z{Tb$}@rNgwjFx%nn%F zj!d0pBo1ovF1Qva*wy_G@f@f~muqEx5O zJ^Oi-+4=zfY+GQx8RkeU<(~Rs!{E*bDsnGIV0-NRg z;-iqm*Zq1<=l{_tCMG?DF}ojWa_JtI`@pSBdM!t{DJtRqbRn6OoK5T%Q2x!>*|V&o z<2TcDJ!Au(@(VL|fjswbgG}+3+wB~)6!*N>M}WMB8tk@TyTsFWD=xjFbNsC=Sdm>xJlm7wAN-p z-}X6wq6?=I_zjiSlb3E~GI}l=f}u=LK%JyA(~$>xemjNDO5vm8FPplOJI{M24@%Q#NIsS0P_@W(Kah@Ua7Cca(b zzWjI(d6NUOlt$_3z}WVC@&7Y68YuXGe&D6W^2Fkn0?(oZ1Nnd1y@4`?(EoFV2rr)p z`yVma|EcE zXC1)9Uf_&ld9e&zoX^ae#c)J=Y%YgN`XH$N5Tc${wnHLBtd1cBmm=wc=(EUAny!}7LNT=Y`}af zI070=A4WIjIuA<3a;zMazB{E}0NI#Q24W@cdlvRbqrC~+`rf5Vxuqf8t0wrp0IjbL z52%d9_4Yb4sH=50aC)uu8}5JDx9Kc^v}t`8XQ6-dc7OGgXMg0bdI6Rs&0_%dK=S2) zZW-_blaXrjrVtFY-E??K_k58;-!o9Yt4lF*cH70g(zSG74fZmdL~abSz`Igob}pB38XV(icIBh_IL|ArWWI{eu|XEjJ!# zd$fp9S#gW9=9jxMDo4a+ZB=6mhq=fOyc81d5L?~i#!&N}9{8@e6TIe6=16gTsqwEO z_qtVJ_w`o%D}P{jhv4naz8}#1j$NRiS0a<$T|u2@V|Xn{fO<5WhH*=aIL}eJCV35z z!kQ?ucmb#kcUiS?HCGuM@*Vx)?E71qK)CE7tH>m>iS|hL3W4pGUj_#=4 zc1@98vf7$(w6D{t0O34KAr^R{AsU=^AFu^e90Y{iV5)H+GfoCvFhz5sRqvqX6udQ&AV($+ zgcId&6)IGqm?dE9|88%#|A+Vgzf-lW%$!`DZ2v#GTBd(jF#T`X$5oe)r^;wMM~8V+ z6B)xerc{fmP767)Oq60syqOljZoR=C5Q-#RR&j-8f@^ZqiXu#T?4l=)pNTXuldU{3 zW8S#V?`tcvmK@I-NJds{J8`mq=eU+Emy~?6!CIV*vWYV@m1qhl)qCqRZ_mE)dJ0wX{t`x!xUq5J-6VXam>_>ax4s z9U49IPLWaPfA_Q&ed6T*rURGv4;a1(-R9~29LA6G?*t_j=x+G9XS)nSp&R}@gWb~a zuJAc7D3*9(Q<}nH61xxRJ@JWNVcgYnOg7GG6H~fl9X{lPB`A4IjxVwF1$iEPV%Rwm zi9#3b+tW!{)raX(^ZAA}X;YTR@%9aLcMk95NRyM4P@1A}M9&2%TzGoCfgYuTOp#FM zju$7J7Mdg{7ToNN*++Q1*0$+}z6$i&>69}bkKO_;$LyL4guL>3+T;bb4Mpp;-FvX0 z_ct@??ut$yXopZis{OeH(d`u9GRIJhw$Ul)TH@6`9MrhmYwQvE`g(p{-8BdZ_^*>6 zLjBLbXyQD+G-{%DM?JSb_XvuiOC_C!9!xK3?{as*9stjSv2C57Ubm7=?X308=z3w? z*4DI(3}t}7n-N*O|8$}Ge0S09je4*?$6Hdhz|KQqAmPi;pJ{=$r?1#Q4xYAOg_k|8 z?$Z})G#a!9Ew1ASJ!0LkJ{_GHt~0luMcs6Nw}(6q9#6ziMa{!4LbZeG0>f3oZD$7e z>LQ-4yQ4K92bYZ0Lp;Pz!uu%?@zEVa3teO{lwP-r%++bnU#ZwK*o)O!E(nU<{2Sa} z5g4Y_oAzb)8t>ZQP#RCHET-)gD!vBwbjj%>cRiA8YO|{*uVRHAc)4&G_Y0{;>9+2V zjP3$2R&1Nq`J~1EO~yu4;l-!-pg{z+55L`B36oeT!M|p*V%s<(*?OsqN3l83)9?B< za|7%K+f(rF3A`NK8oIko0GZzXa#Yq)SxXn8qoT+$;dg>xdbKgS_@P&L5o-NRS-AKj znlzZ9G*_%<9%mvY%QRPww_?e5(b970p^%cWq7C1JQN$bGycgLXzP%Uebv?cc!$|2z zlEVuE{N(PnfuM2Fab#m1Qkn;vYXR|HXMc~Dq>4$A$k2oDT7vd6j zD()Z|c|)N|;H66Ac-Z9ddo8A#H_o^HeY{rY?St^PP@e6+S(-b{oUtH7gYC4D* z@rYbj<+N2E2IEV4;4JYii*WAOuGsx8pQ7Ly-szAg@m z2VtA}(iFDlkBrR>bU1om%5*mQq;2>n23e2$b@kxxh(5#1BcT77W1J*&m7I}kCXbCR z4-WD_8*xaWSg`QgY$?#rgEoZ4hMhZ*qkUfk%fh3dR*wvA}&JLVf~R^JSh4G#`C zWt0wgUSA(&dHOhOZdG0{8wYi44{m#|Nk0#;A3JUe$MwDWZm~^#GXCTsjF%xvlBu-T zAqL=UsPO4+wk2x!iQ>&Ve9hK*gf8T5T2gg1TbZw1+IcNg+b>EgIK=wt|# zQU2NvXhcq1kc>S|?tF6;@_T_Yjk^Q>hWkU`^W!*vWc1rsFU^`|p^I7i61tY0t#C5A zkY+*Z0+ohUW9MNkKk?=2G3zpotcUrHYF3> zp7lF@5~Wq-le~~~hzs$iB+bNcFsLL_o6@}(Ets(3YesO;ZEiwcxMc6301(yUzn`%P z_yN?mO&&7k|zNOQ`-QzGg3((b zfX^dK8$=PJzKtBp!XRBH^`}ADPVdDNG?nxUHFhMRK}Xc=!Rc!gQMTbEU-Ipd`WS!L zV5-64^V?C`q-Wd+S(t`ow11%UxHP{UPsTgvX;Te$B2-qXB1ufVLg`vd2W{Op{BpUH`2*l z+G8n>;hI}uMLg$Li;<3E!1g}W(;FnZTEBf8&oXh;1_~On{uo3~z1O6Xg`!Pr`05%vtY#d|X$%(RWgWv1( z?@n3oOgAlsR#6ls=Hhbu64t7e*0&@Qv!wJFvH`Oc)ewlv%@_^K)T^ysCd=c5YvCOmow@a(BQdUu$N3iv?o zA=nPoM{#BDe%m4O?Z(TAn4Q#TfP?{31p3{Z-dzqYnW>U1JbX$8mQVG}L~A6B+5#P( z_{y_0x&XZxA}0QF$5!5bM!wTSwTkw8+y(>^2qOOP4eMay(x|y)DUO`!ESMFADz_4| zQpr*FzFuM?ANDPXMB%cdVjZl1NG+wmn&7?WgmL5k<@l9zX32z7(W-Sxb=)$GEfET| zuiqv#B#&lG@bLiB(%iNOcK0 z)iano*Iv(}2aw97*0-I+(j~45e!lj%%psG-7OPsH2=Xqb` z?>j5NrQ8K;<~e#^%a$Gk*1>Lma`FP5vLZ8q0$B5W z)IRqsyJdI_T%)0zHfESE_Irsqr=9->{tx;{E4kRG-*vMa^iqTa`#g=bv+M6AdRLvf z{@Wr`RcN&Xb8=UICY=!MNOH2e{lEJox%j0;iuu*`G7E=piZH-n(%()Zvr!Mpz`N|t zD`~c;*JY5Pw;bCiqxab(?3sWn<~ztc`htS9fmu?b)J}(o8qGVHAFc{K6~bK(g}z)0 z4Jpl@&z_G7YrG{@ZyUB4qD9E4T1W&OsIsGb%znz>s1hd6HIzTCjlQuiDybD3`!{)X zmfex=E5Q&*ulcKiz3xy=!G9LOa#@&n2oO4xny|}%s)82>0>pdefl$vkq293`1E@~m ze-%5O9?vzkRaHpSQqi+Vz^9MLo3hJh(t_^MgV37A2$IgaqTi}>jUjrOU^l68S;Hyw z*wYP@R-OnDTBj*$7t#~nif<2PillJSia0oubK@QA5G9coX&KZ(F^3jJ)3w6JB&=+B zi>Af~Siw@kVna1q@drI5*1SwBZuMtydj#Y*>tgvl3RicL*-piitZzI5y&_6&7r|~_V(<9{{*)>AEZCE(2PG!_saw1!bWBq-}YFggFwrG z=)f6>;G=$%X6f2@Wg^+`?~d>-DK1ha=@6sddxDcl#UH0U5Y3jUD;B35{{@j>88>g7 z=5Xo?@;OgSj{Z0{$(SNeG15<&xcd~$@i%EBI5C0%c6U#JQqC7;G3o(;bK1 z-Kr~A>N&5nFUk)6%nik)gO8&-`eE%cR5GW=!%O1C1;3{k4*SgTRFGzNh9&FU;9!&5v=Q1O;PHXqygvlr1l4ULs==iWGLqz|vO23^ zPhIr@ncBut`cf$4OzH^-R|w;}5>K#8)IqN~y;jCOp)g%wYadFnv~a|Mi}n}&uQ|(~ zeCP5E96E(eWK`yzC&YPuJPu&FSdo4y2<%@+R##iP=w@m$yreYF655M~mB(dHMQZZe zabrxo#2Qdff>89ewEoO(iLb5;CoytO{2UDN-GrJ&!tu#+crwhXiqs(myx zaoCVPioC|l1EzjwYZf?@jlElR!9Qp1vAhQJgt|MX9NVTmTD-Xiy0?rSL96#d6DgGR z;c+ zRpp9;)AZSkBsud$>XpY=9-fUX)N!PU`(aIK+YW6D8HJXKSfWmntV3ysBFduU4H8HW zDGgQDCq-zeP&C+iOBu!1*Oe6Et#G9NWmxup!Qu2le#*szd6EigXLi z{vN-UQA%yXju$nPKs@kQCa8hMlUk71W|UR2!rpV)n}Qj7RN{gub&p;?-^K2qU?8q2 zoPyGQ@2!C?o^hMs{f^_M1Rsf1n#I;IjDJNG*lg?Q-)f4surN{?Ny{a1uX#PIol#HD z3UsL0i(8^vb8Yw=wCZtGZ)btZEb~P*Mau80e}{lt{7|MGb7ROKeq)+44^Pj$hKWL20-K7Z*ab5U&Ma&~+9}YnL$OvPQf(JWTXs^a zq~ljfkwVBi#lB!ZSkj_6Z9mXSG5|{z8BQ55t`Q8aYrwC6hVlw*8X57b+5uG$KEx!4 zra+Uic^1_wrbz!TJc!P*9MT`)jqRQUU${ZOU_F9Unvx;I);@`Q;whYB zY(;fgB(2k>U)soeS2wDnt*@n}r_DDkSX%9RqBrbkB)mz%B~4> z|Mz%fS}qWJVefi|c9^NTd85fK@#t`5Qfw!Ok3rwV^MPKqAvP!#Dghrsd%d!p(tt7C z^>h?S!k8huY+RPfAGn$mg^L)6Rs6(qO#wc0cwbEHOcCT?Z5cQS5V#hPDa5I(NjSiz zI)2kes)&dX+KDYp3VoNMFLU$L)e3gObea-C$?8~8DAo7c@4wTp3D)TS2-atZ<@Ld%szyj%%!&re4nP`J z_ca84f}krz2EKtg3VuVDY@voAa0v6ymb>WXFYH}fW;R~PuNNE3sz1oVpsIbJO9?zN z(*L+08G${=kNnQzAj%&I@*?Vpo5WH#%;9tTDcCKA;(s3`dkeUh!0ana#CPCl+Gcbj zB9_~--nSj%Y>A|}x^GxV?G2@lW&`sJ# z;D9=OJ&98sLMQO%N+T$9zX%byK_jvI%FyBCVZ5P%rF>6s&>Rw~JkB9VC?{%QV)^2j zOzs{3rx)Cb1vBAcVnc9vNCJ*j0-@FZ+UWkOFp|*A_WSK_4h*p#4lSA62Rm z+VZxeq|q4T7dFulqU-x#t{s^0oHUak!| z*?cUjGh37kYE3{$iz?@eXH0TYSXF7qZ8>}9BYkU?_=y={F+egC815ZkcUyWe+mv&( zx{%d-y9X`uuBRxx*nPFhxK+W{N$hNaJ0#!x;K`-WSzuge!4Rd(kg5eYx)IGR9X+A+ zHyDAs8i%rvulm8-%Za-%6;;PQCD|UpO`kBI5MAT$5llK%L+mWw?F_q=VkV+lCgr%G z6q8hU&ekrKv)JL2^KpnxbD2Cg3^i;cS0xCpjCsrNzUEjgVmwJXVs&#@H_+1PTny+o z$yf2q2*V#@B#LMKNtc6~4`@l@bh#7RmCf4ZwoJWk`TcZGyAEa0#b#`~OPg!WeHpk9 zb)ZIvP3#gZ@_%efv7gfH*_aCk!Q*RR12K1`(F$YbBE1OeK!vfpRcK7DB9ZW5AenUa z3oEr3?m=^iHNOFk9wjr1FVsjISp3v2qWXeT%V*+uCw6$i*(Y|>spvBN~s z2j$mQoIoxv{^gk^m4bwg!u%nhgr^O+eW!^OO>fNa>jrRHGtoQfFydISY>=0{wJIw5 zH?xll^ImPWaZUB~3uZAbH4vSvNQhzc#xDbjLE%(~7H^RZ#5t%f^Eewyq~mb9BB<-m zl6U@1zh3z7(RauVZd*rS3~K-65R!$P5ev%5Plnq)(z`w?Y}zC zKz=zrNp{Tf{aFi-L7D>++M)g#)1wz|N4~7HC*sQu5H%knwb7-Obs0}tEdt*Auch85 zaa4UQ&CCkAL|cF)R+tq#NNhcjUKSIbhO7=6`=Yq#0Le0n7fq^C--hsp2 zQ6^m2Cv#5ajG9^sLn2c{7=%yH7jB*%%CjkNlvJa7(sSe@F!UyeG3ro(LYQaW)ykM> zRu5ORDCA|rY=>b!b{Ft4)@CGKq_LB~82w|1l|3*`0!E04#qbDQKem~Xlhh6vN&IaS z1_8*bYgjkit)KcU{TozfH?;A`(($E+&Ah{UoZ^A=)L6@mz(!-&LcGc;H5vw4SU|S7 zaI?D}moMHs(iiy{X#HM^U;kH{!0#7(Vwdm$G#!HT`i2Ew0JYg7lc*GhsMvkv;E)y0 z0gnmAzAovEF=4(z)S?fuXRY zbGdnd4a=|`vsUNSv5b)ZQ{TuScSE&AaY}7A8-}-`!ug{A=xKc+O|&FkH5{iK$YhQc zCAZ>VlhadH=25%=hux87%f&V|l@KcvB4ZMctxjr*Op;hV^&%%BxdgD=o!zQl;Ro@ zgGDjz%!q5G(itqC4%fK^>ar_)$YUU}d-~zSEm;)*}XLLWoA9N zTi($Yo6Sj|^)K5$7awnL0IqqfH`l@uK@A>hOYcE8UG^Z3x2gF;s_ijJ$A7g!<_GB> zKSXPN7M!{HL(>zFE?NYjMeRh>R4q;6W4#PvmAP9M_HpSv@Fniw!{)j0UuK^vY125R zJi+vpicNwc0#DFeW*9s_q6T~csTf@mfA5Wq5oLybb2@-_pYy{f9zwgAXZX6qYwhqX zeUP*!ZV|m4Ni4@gG*a$n%XgQ>gkztgIy~2cDLvyqOAeY^PcHG?-Z~Q>+EKVxm<>&B-7zngl$GSM#jw4) z@cxP*T17uNHzjq?4E-=83-*LbD#ePp8~kwf3ieIL1YNQ!kb>gu*!f-j>KF9i(Pv%Q zdtRLn@4!m{MDj45DYTIfP-swTH-Z}IB{~{Hr@)rT^6Owm$grS-tX!OueiMYIGM`b{Y6Jr|D;6#HD^A@ z$4-EqnXo-B4M|VrUQid&Zz#%;$(>OiVGuMADQ=pjRBzTy9xhm?Dkp=BUSP-}nrg)0 z;0XE6omK`9hS>AK8$wv{YgdbofBHv%NG}u1huXX~h|^&)Yb2~4Rfp6a_7hamkHGpq zrU2J4kYR~kKsDdUT2{OI@A~>SejGtD%7b&Krsf#sUQOHfQo47QF08?q6GqH%N+w- zyRICi{Hpfuv|1-EVv1Y6C68rvUMv7&uGeq;x1YfazC4RcC2ywCwYS{ ztcJ@z!3~)JT{Hmg%3OEtJFyJprOHOy*1TL&Rk>i<ww&qmQ^PUF%)bGOJ za96wQ0J)lpBRhXj(K`qwE#i0G*un6m>x+kC|i9D*;q$V{I{G8_$CWKk(`6 z7yJ!OE;1Bo=_xisnku*NyWUN?@P%qHY~_uj=p1jjkjyw?t@yGZrTF<_u>KeIxhKyL zF2i{L&*5?x`U})#n4Klfw(gI?z(EN`u`v+o)kT^m#;vV&v)`^u&2-+#wk0F6lu8&OBdES2F#C;Ke0m1AuE)D4B(1JC}hQkmh?+e+HX zkKpJ5APdJ=pphOn)#7PcKq#jvx99aXOT}@~gxab1rv`S%=qfp+0V$vzWkRM{vqG*a zD;vbI{S7~*#0jFz*!qG%7a@hFX*uqS+l){1jZnaPX}zOPe5Yj`%;2|f-A#qjJ_zc9 zP)jOCNql=Deh&CB;}wkzEjK&;(vutZ*|!T%)2Lx#aqVh`cP4G7JFbSXNoU9_bAK=| zeVh;S#}G;kP}Q0R(@Y=bpU@Ox-wW0(-UGgH0Jy!Ot7>8N8G`@qcx~!}9F+_R6mW=zvZEQ&jYUn| zb{rQTllMm`@$!(2+a6IHj8t8uTuNb~C1p*+A0QTP59(Fw<6Nn2aa^Hatv#s1x6nJL zU8?lOqZ#zKWm*=$JFcYpB9&m7@gck$_>arnW#2PHvqq)DEGI`~$(M=OK!h`G4f&RM zn#wii-JVXDK310hNYqkVQh{-acq5yJEMTVI((e1M$Q%sc{rT7@t|WFdREs^PXbXLq zlTbr#jExPqZwzypl!kMG^Lk16ToCg+Tl%9xw=$2)6+0$d zs~1SWz6V>||HD{GTCXtjcs=gr=6dU5(S+&%LdYjtawXG*ck*0#lpDm9O)xJuF__M zrn=$ZplE(6M0$3(snL{T&>MGU*}4Uu#cREqdE2FE2#?h{Wk1>h9m0;5(FYEt!jOl6 zf76PdjgDvLs!H69Bcn_n^ZF8%XStB&Xv}bGOPOFFv){HphfQGul1Rk_JP_&0dM?)| z2Ej>Bei`-}1PU`F(-nTe*w%@OXIPc@B|Uc2#4k6Pb%S@d`ENSWZc5gt9i49kHNbor0RTsZo{FvZ=Ha^b5@dc=6&D3@vLxZw#NYvqMWW^vtkMnU@e}FcSgM9cL=n?II>mY4mcv>p0-Z;sG5AH}w zAZe?q&(TDhD6N&(x#cO$jq1Qed7o_sE+KWO!C~7pi{vv#bCBC=3l2UyL4T4od5Bng zc>iO8;|%c$N**ZbUZUzoIlE;c+04vB>l|T_OXz2vCNdO^IHMEj3ACq8gJ7^JW5cb( zy!M{aPmCR*V5&QoAT5#jVxwYXfVag-eb~L{Qp;MszK){>IsA#&m^VejGnFSw@Myj4 zUomq2a&h7*qLWr^9`z!`<%eySRGxvns~M0uop!ecT=MUcyx+o(50j)Gw*-w6L>wFS%$hvc zf{eoo0hh##{)>fzhiXh?%1ODUpT)2X3+Gel5$xRfYdO|F?{yck2MUn4ZIJ#~2NX&V8t8$@3+V$FdL;61 zyeSA#XAEueF4#VyOot57-T?FZfJ<|O=}|=-yOLe433eXYg7yFehp-DG!_dnw!Orz-E%ZdO zERx@IKON@o2Q2t7^2BjY;SXz&T5M-HZ!H#YP5qy6Rqx0`ZQ7#Nd%E)?7RmIv(IAl+ zj6cf?zN-*rwTaxt)6?(gi&cwtb_t-03|&uup&>#YHRBr0Q6Eo zeZRWNufNE(wzR`}+=zTuSq`AyY!M0u&tuKfFvR}uiSJ~JaYydc5nMX4T!#4H%N2jCtJR`87%V0?& z0zy);YjEW?xZR&?UH0!|XQE9_QTpJvn;N1+ZP<3df$^4;nnleeSueB9Wzo{vW?^BW zSziq_)upA)=H`2@@uYKDl@8nzQ>@5FzcO)pVurO+z$Ln*myn2A!44#&C=_It>B0R} zFw}sw-^hG{R=|SX))^ktLp{n4p}DDfxoy+d_#hL`Nxf`w!I%#9dm<4J7~lh#Kd6Tg z^5INJJURYMlgjK9En>GP9ll!_1vZD)z0|yk_uNE0o~K|L$VhCL?73*HpooTfvTeMp zDG~CTG=SxG5Xbt}%^u}yuT-^7Y1#B9aW6y0=0`@xK5@_X2kG^<2Fr@}z`wrKvSMHS zIHsEu`wwTaEaYMD!Nqw!G7HA4_J#&ER8LJ~sQQNe;gSwHE~wOD@0sZD^kQqJW6~N; zNhWiG&%g^CG-_}W3T@id5wr{aE2_0IzjB3Btfx^udIwyB%+TIuP<<@qj7$_A&_A5Q&Lg>Y5sT zPH+{y-q7rYqZi>pw>Y}tU&pSQW34sxzAv{K-v%XYM=reJ_Rp!gfC+{^W;;aaIuslG zziNq<6wumM>2vNuhbpzJrnR*O_Hp8=!7Z^3b)i29)?+@BVSk5YDs% z(AmWlAExX-|BwSYy?w!=toGf{L3`=V$&R z*w^Fj06W*M@h|O%M{mK6A&IwfO`Updq9GD)qzCq0E}M7<=y+t65#+xVG?(kTy3h?4 z{WkSEy3;sYCe5#MiXlS}K@E5*QaV2^Fuk!I!~JqNl$y&6#6D1;AxWl2oCIFNo1%!%kp9dA3&nSzaML`vyE%ZwU2o z96N5EJ;y>HzVx&K>;fxlXUDDRSz>kFY|N6{VvEP^7Q1FymkIXctAUe5hmWStKnKPB zd5;o(BsjyEu)kGFO{apy12E;(~i+`Ca(5R;pX4^hd2@e{e<`X3>N1ZkO_m z9{+gs*bTD_y?rPcT0<{&{n3KnJYoZI+~1%x415H8!TOh#`S*~KtKJ+6d7w# znzn%w#O2=bu@C*RU?0=OVNxq)$9B!=mKK$jK^umoj;boCzRyXzFB|+@_+yG5o*D}z zKm`-6MwZE-Hh{#rAxC*If$j2>t90N>&`x*OH#XLf@3TD@x5A?}QIW{!NVS-{@*oWH zBolw+N#J|`4S#Aa_wPA0E_C@~7JB{JEwYIV?IKY-%g9;G3KP%ULM$7#@RnE*M0Maj zfKUh=BjeOz>^;K8uXOkF#GuozshU|Tm1;CjgWr*6V7V5Y@dl zuw`N7(5o#MRtEdvp;7pLUB!aqOU4;%*k4O;cQl>fcvMYcEbNH^Aav~#1L`0?l(Q(F86@T zWnt2h2F%=2iw(sxnXpXww|yEt@7wH7R-4vviJ0kdlva|- z%gmg>1?Lnt3Dz|#AiX|ZwUc;q%j4ix@U!2dKF->HKs4&@<_4b=E&ByH;ngC?P6beU z>l&M|(ZJf(ml|7EW#brfuYWHlPN+%0|&dIt(S zgfO)XoiS*^Ss0!eA}N{ZW>YZa0(oSgmq=YgjcUwW8gvcY7!y@QRb#4z$?9-L$m5|M zt*x{IBfLC1@4+BsdWBLd`=*N3axUyQ*M~EU*I9)k&nOh^{9-Rqq8S)GxqQH-VDiEy zJl1{d9n5FzVP3vnbwFHRYtpFg`FU_+=WI)5lAh_ena7{E#X%@+5y715+31asiw%Rl z@M`^O?E41;g|%$ii@~w?le&5jef$qk8KH6P{X~hnC{NK~Wf@_i#LqWmRvFH-tg#){ z&#n`R>Slw}QB~>^G^K4$6UKh0vF**HS?cz|EwJZ+w-C??u8>DlA+KM;u|7rb+L-}8l{k420kIH z-oNo*9f+MEGNr}3=@1jbdbyrxWE(8Rinvho&_jjllG<9f@EalQzY!(S-OCUCwnWyf zEa8?~5WTw7UmlEO+p}<|9YQes^&y+Ar2*b@1zdsD2)O9;xG;$DX}oANYM?7rkf4Xhr@-xKF3G9{DlBvCGKNwvb`(ZH$CGe0cV&a$XR7^S((&N5tYlYNd6Sh~ zvJ-|QBw7N}DPofu-Sh4UASrP0 zj`%!{!3k4mVF_#wHofbFNGU96S-}IlRuA|+*IOX7s5|>Q)V7gJ-{VpoZx>SNG2}e*sb1VN0Uyt#O z%!W-~0L1>~3AdaU#EqChgWY!U1(`^wzAx`(KI{s?TbBv98*BHQ(m? z-oE|YFmOWWft74&U1dif2qKIf>+ojGn?1y~EpT^#mkxSN&S}$V$4ryyK{cLEHQ_Y5 zOzQY1Z3za*Qa2sE<5rO#c<2Wb0DWI96RR#f5t)ZUt08?L$o}R_oAWSzO<1 z0WZvt-&i-?-!Qnm$$~B?pD{(+2iGOe`<#XZ)I`k2*j>sJ0zyZX$Fv;9Z9EV6w1UqY z*$4^GgC(H>7M|?_4xl<(ukuO58(PLb3OH;bddGzU)x^{4nwKZ~>*mHg-v{u`-;_&b z0KNm-(~(D64l%u4BJS({w&zY=5DBv8%>OVI4=1XDf*XPH(BLDY8M$H++9v27!TZETzgGd^R+_m_tAooXjyH*(sUX zka9`#M&JUnjn#$8FoBU6=zD8lk^+7oFw_CgJ*IQJ>wXN zLlm+po~mTZ17w+0gsD78RA&|8!b#OBU@V&k&jyBk#q&*AzIVrc;LQ8m6EqEJsWoX~ zpfu(?sFBv51sfv{!fD8Yn~55LH~h@u@Gbzkn7f@(gjzZEEDL zn|L%~)OPR|Cp4n*MXsG^J+IS$vBoD}57~4{p1=F+|1f)`aJEpuNFxqwe2jifWn^dy z)7*y6V1Kxo^Z24v8#bT4Yp=?U#q?GB`_{$?+0)V6^kaEbpU99C zjc8yvkTN+ZTwVhA0AD(?U8Bn=1@gcVZcJyB{sH&=Fj-zwq~Ra8*d)-?x3&zd9P$}K z&G@EiN=*c;kGnUH{1Gg+s?hfHe?c$lEMP5Kv))$dOR&9A*uT~828(&-RrQ9Bt6RWJ zn|-T$!Y`i*s!cLBfOeyIlu>7Xv3KUbz#QacSV3{m$Uzk8^Xf(~n=POKb~U_rw&@pe z!^L&$Ohtp>Ol@Adp^ruT4j#y})+C>L$J?YUX1;W3jC-CN`yen|SP(PPd(Xd8E(T5* zkCvI%-=)MVA+z4s`qF2u=6YqGP^+TFMYV=$5C7A|0Y=Q0oZkKxycjFe933>MI^PIA ze=>AEy*6jUc=0Ni6Vi%xu)!_yII(Vk)>-A{P#+52?}9%NDP``h6O)t+Oia$V&~gx& zNP?zj(htu9qO^9%oCo=O;+30#9TaV>)h=;iB`JjcgKlsE7uBFgn;Po8;0m(0uuxbZ zfLmtOh(wKE^ftH_aQf@e>dRoOzX}Z;;m-RS9n^scyaEp%gBKko(W|S*>BeS9kluWH zw>edlfMST9RzQpDnn1FlS%_6~>r8aO=@X#|wjImPevKZe!Il(uXPO$}1?0S_<-d($ z;1DIiyn9J-oQZMqiu7}GbFt3A$e@~q^Sn;yyoWuWc^E#BB(2958CVNLoR0o?DZC+Q4ocP z(g|SD9$DBwdpkw+fDyfoajA`?WdPH$omxnAFSPZ{Z;Q{KTP&?LnOGZppYF{@Qy*L- zzfXhKOy)|a(Wqf^^^U`+v?il00D15y?b+xsC+kT*yMSY9ny)v4f={;Dm7!UfBKzyh zA>?fM=1+jQJmEBy>#JXSwhvi4x0eSQ`qrL)ldRkZUia4h_zjQ;8nt=or9XU$Zi(sH zJVv(w^`at;1zN%B2|K6<#s$^0E}|PcF$jW#oeiz%FX*Wfca3w1 zqn!q11AcURb(PrxM%URu`66h2so#WHu)Po3&J9%(%w<6z2`(^w7;|Z;IJJ^;xy$C2 z$&S>A%`NJ7<4bvWw~@oVcA+&T4XX8;wjmYv_LsGIU?}KPV}13VTpif zG5g14hspCs|E7u}sR_0O9kwvKoL2yT6}C0G(T}%-LxEy6YrvZNz3yY^i>K}ct+o)D zXm(a4-fr+36BGNIYpuMpr@;-|D-NyG)ZJqmI}ov&WAv3jEmDDcS1`4ysnHRDTYckQ z9&nQ5ZszjpCmyX(#KC5xLR!>;LCO9D#35_<*I18%7g6GN|oQ+;0w3lK5e1abL_>jJ1%#fmmWYU zE=$RIpE=_!$u>N=HkUP$XC2;VL(LO~Y$waZkdi04d>Is;J2&x*4?hG2XU>p$a-sJG zSGYAb@-O%Es3f(9YH@2~5wmvsbf}y*4f?9xcjgJAR`;|7 zEO$Ab<;xc@^v+s1Z(jMbK1)we`2v%vTwPMq*~yl(-*~RlcK?$?lE0N^DoZaqP3Bf- zUG>cJ`GdJJnWu#8lfPNH;px-=@p4bR>Otgmzui<#tkB?U7uW{q5>dzvt}J@azH_Y& zSVAo<>kUtwpdsXK5Bfy>YSWRtlF;-jg&E$#C;b6$V|cT@Qwh|(fr-;&;Xj1$z|k+^ z)|!IVj~y7N!aiS!9=P1EOO@V)%fM6KAiFFPT-xqugDrr#v{u9j1ZrI*f(M7>^PHvhg zZ`$50k+U$-JYz;;T5YY{o#=Ta8clF(f-4d$?wg7G7Y6^v9N04MQdUrGQ~o>Q-rsfD z(&20wCox>=heIx#j1f$+M_R~}re#Q=w*u-Y@h^)#@>y?OoX#psf=-Pv$;@QDU#qZ4cmH2K}Sg6BtX zJ9IaP&i%;ev|=l0W!4m@81jsr>#M?12hx-=fsCr5IcFt>Jwf==p(4?-=h>CfCJ8w`cr#Ip3E_H8~w>|ss5iQ z?rgV^cwi6KVb5T!PgdL7s0Gwwk{z&kZjZZj?j!Ef>C@dsGo1jqSv)PihK9LwtK3z8 zLo)8g2!ZzAGjo&tuaz*4sd>@YR$hEWvV_YV;EOnzDRuS0lE z|7u*yvYj>X-9~IYBc7e?l9@F#liQ6+XtNcpb#C#@iG@eRe-5YmR2H}izB6$G^~UPp z4wCLXs)*6vtg>?F&Vsc513A|qGLgkkmW4}uEc)W0s{yb($ItmS_I3@bI)DD)x-ra{%y3~GMF?fo^we5Ee z*iSK0c~l+o<{VWs>gvpLi3I8@N=od|e&5rP*@(u}yceJc|L6bFV6#Ir*sxkt0$zba zyDs$>dK=wJT`=%^(4Z?4tp^)Xm#Hi6;O(`?THGiKw$;SLjc7ew>4=4z#@=>CA}*e0 z4cuibQKddxY_%b<8!bWCEfd3Fg#2C7rc6A4D=`v@ENsH#G~aay&hRf$ETteh*g1LP zoXH$)Dh*1x|IN7f=!D)3uAV8Uhpe(uWU}x+-T$;3WestE(LkPh<3i*VaHJhzeFCfp#;uY1-=KfziMK^9=)==60d_vOq2tu0h3dLz zkZFf|=P6sV3A+kiD=&bnfeN(jfD`tfg-2bQe$-!Y1AT}_8r$bf zEO|`6VKuWHe9F6_Nk#5hbzmvjx}Dx#G;hGt)bC;G_|j!yX=i@#p?%2!d(a;QyBRI_beY** z=ulS|P7@l1JYJ!sL|md)TMMn(AoZY^0uYO!Z=*U@~=(NYP~CP7mC*J+;Le zZ#TgwrKz)quDA`hgXZ~6dl? zvB$OLt+U+U>7DtUj68TCQq=l7Nftz(?IGj-T|^W5H*f`Yq0eiW7lP044F3b?V-KK9 zf}@O)n`;}MZStIz*R2v4`aK4QkwYs{{JacAYcHXgX@r`+(^!=t}5~kznwruWK z=GRtpA5fi~|Lk@z!bX+`0Snxpczwa9PehAY_%>F}U-|<9|CgY@Db(Wm`noF|0_Wk| zsm9FqerI6L7hhJ?f=2kh1H}GRe+$TY&tf-gS7S2n+4V{w8uz@y6Lzo@E7H+&-m`bH z)It*$DstE;LmD>IT+#_8;RGv&kb9!;b0o0hpwrZ_6IiXH!+ zI2HBWv8em@J1U{YdsJ!as%Wd)?**ZBrFs7$6Ic~{`)uL|=DG{8 zG&;jSN?N%&<(!7txk=OxHe#lvkv4Ue&7{LHwOVR6I2&d=o0_CzQr6T*3R?st9t!A)W(YYbb>3Sl&<84kxd6lXAY!ekR0=Cl*%K^?L!SVSNCpe2^F ze?Y}dnK6nD5z-VGK^wpbID}pSy{PNn?`HxDrS0(1-qg*m500fiVR;jn!5R3^O;iYq7G0B(``T!>>e))<8xbHfc#U+vyk^=D>VrCodcrr}+n7{QK1LnwXTRD1&=fSJamh2#lDj6_FSa4|c{eZdr7ny53iJ-x^4pu_89 zdg|XyF*413N#8x>q}I|^3G_{Lhe1i%O$E}D4T;kmS5wtq5)NKnFe}LTnE`Z}Kg>JI zoTh~={-Tjl3cvq>xpj0Mjz3T-nI#blUEv2@6B4_tb<9eQ^|Blj;Av8vIB)<{VdDpyFe>XRd=p?Q`k)%TJDpY z8aknjG19v;!z{l9$54BTjpRPnrBXUj&AdxoXKa^YvnJK6JaJN;PHDHOx!&+9^c{aM zsl@~s%gw{Fv)BsFlu7_w=9T&+KIUR-W|z-yX>qAs_h4t4WTLZL@+B%fPbZcK-cCd@ zFgI0M!k=NYb3vLKf*kFm3a%PGDb{Truo{J)L%Rb5@F;qg4o8h(pbq^99gZ154I$cC zhC>Jd+xhMm@Jl{ws{{D!_`k z%Av+15tj<9NGz-iw3n8KYQmvO9h;i!;TZKWHt)@eL{^uZw4C4|oWxG5x$(XEGspK> zQX@8t)!`~;LR7wUq*BkrHjCi0w#(wgpLt$YcowJ zD@&lMnc!C`bUlgIuE-*IzG_t*^-CF}EP!9A+lol^^xriZU%~%1c63MV+}L*48}ETr z6m5sa3FZfxBn70vNe`&YC|SxFl#3+NA$Sv+U)+63MfPT2fxV1xU&iFS zn;9QRVj?Em&DB>svHLyRsIR?9=n@o>G<3~vZKdg=I=9{(3>H=S)qa<&sItW8vlLnG z!6Y+d>V5|I04vkHK(YTB5;VajGle0V7ANI?#ImPum8cO~Cyu;2(!Q}9*bnz>rOj@< zC)C;!Mw@}9-REmZ9|4y;=xIUkg0nuqGn5H42eIc_9asfkLW^^c`j&N@BRwky!BK-2 zJ$;c^64>=(=m1&`N}I>dtEU!;=4xGxy=5x;x!?Me|k1iHW$^d|6U z{vEvtmJ+`TEPJNq1va0JGjY>V-Uw!3@}Q#fat%~!CUlKeF)KR)P?{r$nwUA^CQexG zQIW-*{%9G^!;9--#2kZ$fJq2eR=;doP@#lcoA(v_qLg7*LH0!`PRG#kYBw;hdK^OD zhzLTFuVdUQEPPZ28d!J{{I#-VfP!9K8c4da^?wg(7zh45d8HJtzn_rOozab^v@B3x{K9xT}ph2_;}tFzSn= z#;#^ZCr=LxTy5atIF|!lzb#?1`uDMNtQqrRA?$v}doy;FHYHU*F~wEwrdCzJgLwWx}-*(!oO>3`=?CpVc@C!M|49) zSdP49-U~keWhS!1P-$J{HI>q!@HzW__)X@$AYKx&+QOOPn86%U1xc|!jMv3zBPh)L zb4#_dwp`*i76Q1Ukb75NU~kUaJi>RFsxl0+yMWr97IB#Uf4E(Rw^L7Cq_R zr_r|L=09Yr{5S|;+hRGs!W@f^R3SGV{doU?IqcCVUU=j)1NFteVf#|?Sj_H>;;xiU zQb}4N4JKZlOgcfw zV&&?9+-38o=7v~F;9M;yuYft2H$OLOt6*_Rx#Efi+Q9Q0{}v!*_c=Yd#KW8;iYllz zqAx_%ZH-E)wZSByNK`H!Sd6;$Xw?}e_q^tGRCs+qf?qWXq}lhxewui##h^6#$fF+u z6R3jCJ|htGXM>#?fs~Al@Ke&zm4LW=^Rr-*%Y76V<1{wx`h>QD>kPceVKftth1pf}Iin zy3FS)Bl!CN`+wfHq2$9xqK@p_R@L`k}NA4l3d!ej1jN}b4*n*m9q zKk;cM#*<*Pv3Zz-@y$w4Ve|Z;VNQ`iR$ChlMyEjliu2qrtS{k46eX;d$nuBh4uI7J zVJ;^O{vG0|pAttJEFV^jNJt2NS_>C-p#v3tfi{TwVgj~WoPCb19fR|MD_dHJ!3$8( z2O%qu^%0|^#tK_*!{EknVQ(<|hcg$hs>xTGqtoij^f<#oFMc7T zYw?Ah_`cw{KXP_Q^li|I4|xK@lDI6h(I1QqpWbZxH0;z@cCU*#4Tjctvi7(&=!fW~r?tfCp%IN{e{cDZ&NqqwV%sjZ=-3;D zy$Wj+MJsr{SqJ(KT^iRja*Hv!Lph^YW+vu)kYy*CD|c9;!755k@p#E-at0~${9Kk` zo#gf`&a+uqCr6)iAoo(%S!pLb-P3UnS{6ad5|)uuAkvbY8H@F;*}fl*#=Yh=aP2x* zS+QbK?^a-38nxI{UxMnm&744=f`S)Yo;k~;>Q8wGdgc?)vw?sJdX~Aw@@I<}JzFfK z1O^Sth~9S$?gvZQJYiT-;?{`?veM>S4yke@TEpTH;_p8b-M1@qDj8~8hA&3nC-?QJ z2os&imaL@x-SF%5*ii`(rUfG2=bcTa#F(I|q*Py9Xvv+&73d~EK^ieFR|{&#(rkse zv%bRL<`775NnKsH(`@dZ<8a*9eczqrOu0a5{`{G>Qm)p)=@mXbmCsE!=8o=NV->u~ z9yd*DX7*3nPpts^E$CXvW(|YNf`awTPil?2_(U1uqHl<+A9lA?yI?gMRHKxBM{^6r zLU!gPdV`2?dxY&U0nSpKO#{0{$C{UkR6e_+Y9kwWeeem$Fk0nwx!khu&6GQY%)inY z%gs%NMe=?qN9E{r9eI%XS#2J4xjjfxfexSzO3^Z~^L*xf*yV`erj|h9H)F;lrId(f zek+q2{k}aA%HiyGq3`)hvV=oA_plQO?Je>L8bnscC#Gjr%H{W`@4qA6$Xh(-(k<5F zDczjf)Id+xo;4Hb#%Y84byj{3=?JEUqhKaA7W;)=y5_R&m(h*JwpI%eqgQHMAKtzd z9W}SM(eReT>vf>lFa8D|+?tNObu3b2`Io&wZ}$akXcV~ji^AwD^y;G{O~k&uR8Qg# z{Z*93fTOv{R$OevIq&R9vboP&pzx0Ja{v_!3$VBLQ_ET%? z)G11(6BmnN2*>P^xH*fN0|7!lW>{CNk~O=F!4lqCd$fzy)vf3fKg!JyS{Tm}!WOdU zJQeo@nhWKr?hTi!{co>GxK^s|m(U8e^9|rZH(%2? z$PLooyU+Q2|vNzn-_MMxr ztcvVAif$g&^LmbqL9&qQd#1T6f?h!%sq`8!EY$btr-~T$(A2R8q z_?D!O9y5s{uUjM_#VM^ZJ_eW9X=McSpP8$RuV8ZL=gAgKhBabM9Dhv|TZAq1cU!@Mb5kz{V|+=jVN&n0Tj4dzG9Pn(HaTZ65~cEond##0u7xn^5YkzyT2?jB6mNGHKWdFS7FMc(fik~f#w@G;9&bdtO@QO9|7lsu~-lpTLK=my?`ewmHO}#&aSvS z;~lP0%k;VUnyoRW86PLd!mKrMH8A6LA2Gk-J^KH_&K?zc=|X5OU%h9 zc)aP<)|}BUoj|bgOZYRF5=e_xT=G6J04lyP#N!4iphF>g^wxF(>Oo7v7r^k^YT0e9 zp`(31fVgz13=%jvUwm6e5lo2^hPsAHn0!nr=*?84bI@XXVh)G>U51%nGPnXr+Y$}gF? zc(YW-l1PH7fxwxFT+Vy-fy*TN!S66d0ma6Z8%u-~D79H@O1(|z$%W2H>?UyaSe?qhvrg_pJ91kaV#G`(o!aBA{9A? zGwxd?Q?kqP8Aut~IiVmH1QJhI0qhP7pu(8lutk?X44y)dv5ybVEQL|>Xvi8d9V4Xr zXeERow!|oal4ku?ak<5)t{N1OC1@3qeNWR$90&x3!mxdOw5(du!l(9_A0hEHIt=1q zH(R{Qe1sNj?7*IF0y>;MkidFK09Q6SeYHAul(H{H52D#yHJVzRwSZT+0+hp#GEbvk z&BPw2lZ*{?V|wf{Y(LiN(fr)aXq20j07-qld)M>NyE`6y(A^v~2mAWmfAh1=KkM(G z?Vf$lfw1B`-;O37l0tRr|q zq`1GiWmZrsmt{9?%pQ{Kq38{|X&Dq5WNWpFF5Lh{tS=`ZLBWs9x=V^5la;B;l-7BT zZBDb@m=pl;nM&9FRKt>Wo6!Ze0jPI(A=3p-GNr!2&JF2fN%a$3dPu2mot2<Ls!gh?bwlVrvAn4@sV5Fb%zy5dsq_dN40>&O zfl4JKWD`95c`O?fi{yPAd$e##uIe#aa_7KlvN{cW=5q72TLzZ{d3f97HAKdFF@qq2-e@Ush4;4FcEA# z^AZ|$$0~hR#zsN0$9fk3=<)?k_V^=bE4wZ%gXhw_dy{*)>xtjC@dwFPOvO!Ev%SB@ z3b`DHjYe44)&>idN+0yy1LQg#*E*@%xwQy6<(9J7XPqb%=PIWq3hv{{c7s|)YU}fc zH4ggDZ}L_Os`X<0yfe;r?8rhvH2%9{b;A2PDI>=}9vMZ9JDN4WODK$(vWHB@&2ZO+ z_BdgoBS}NRy(zoos5cma197%%UnA#oTusl`ID>eAYn z8r?*{W6s4Wz~;LNbEBnA7x5h!_XLTz&Kco)&i7MJS_HQB3lp*E|P{23OI{^~G;X`G>fDfi9Lo zsmP%-n;k-uEOnI5m=9p$3zjmhIFH_+Q3hvpIM43Q=Ps2~^4x7!bC=3y&2iJSFM&!# zhppxa_&66}A?+F>0aDl9kN@__A*fM4mPzWhg|yYyWZrb`6>Bpj$UaI?SrdYzY!w-t zj5__HF}lUo)V^=Ep7#-wIR`e_X-$?~Qm%w9_kMlZ>t-hCv6!~=Oi@<|gMhf5=Bs!+ zFa#z9W{nCyR-OqleFxNhXRctWL-$+C&;wBh0M%o8I4^ zK_6vqTm@Eqye?R2k1&7s3xfuz{@qc6fXvBIUP>lym;aNXjsJ)YPSRvHwz|-_e{hG0 zp*IwNU@rG#Z`9Y3rP<5%w>NuQ;G6iybKty3Z|q%_eZ`Ybgg|C*zqU^q1IFcLmGadA zbYp1WBC%#@1T|RrG)}UgUK;b(la83YVRnKh}ljYIR`5gDmFe8=Th~F9SW!@Q17;IoO3{;^n!%lM? zlob?is)a=$9yCM7{)!ZpQt42CpHS`d80zDNC4@pH7N9Le_RWCk7s;RM>ceS0p>JE4 z47>3R*qpMNdeM509Q{lr;EEGgMq=Z=HwcWy`7eLqk;O7PJg`Y9qm8m{=rkJo>Tyk} z!z?S1h>K|izW;h%Mu&bmA6E4PleN;^D3*fw9HGVK6yTUZE-18!6TFB=y=L}xXudTQx7 zlSe=@%jEYlD9K)jlJPffAMfl(n8pH^CoCR2tf3kiTA+1@SaWKJ-IpjtLS~co_Cz_@$A;ZFw59g%b#U7nPzDV z3ume8>t}_WP7j>r`3ulDMk{A_IL5agAt@IX2P?W8gvcoDvF5Yp9 zqS+CxW-7aHXjeSuy@;-db`9)Vr+3HgQJyrYM8`k`twL`BA2@Gm89z6y(uk~P5hSY1 zmJ)^^zl-Zs-Mr6REQK)V-}`Qp{9)c(SQ!%mX+6d5MN+5DCRH11m~^{MC~dm~$WxrJ zG_j2Q6Oal{JtTO}_}!6f^QV_cr^o)X+}bE@eP2KADpOD<=C6;MP4Tfa>*FuorPp_X#e`t99+CvehRh_C5-Mdny1zu}3Dl?usx+`T`_G#64s?nT==bzIv;V-W z00WsA%}sEQqGEJqs9z+r-nh&--EL~|;lDAL=pzH$C_0*b{3WxaOd)d8Qi3w*_rYCg z$$s=7rwNj)Ly(MpyTsqY`wT1LW;w%H6zgKAVrL7*_s6OfZcC`8MI3Ey73(#cjt)Ct zY@gO-o*)nzpjAS} zyu_7IAP^e+8q)gLOn?N6eXISxttVVxQA|SW{U9kHu&2O(`$9}Q=|Km1&87>adWCIn zNh5)_2aE8b%tpAw1Eg=Xy|d>$;r!YgrZ{q^oB8fmDz;V4uf3LlvlUVHBBDc~zA{5BdVQ{r!z*1N8auD?VnqLUN&3aU;!htNgPe{z4@SD>O(-;AC{<`eH#N20*_Y}Ray zgy0L{HQ@r`m?;)FjtLhOLe$PeyWU4n2}nWlpEicZ(BVKbSS=w{y@~|r`a#IDwX*g` zgHG`;lIt)Zqbq4_C0oC`uc~J0!iRo>7dN>@O*npk&HdAag6|-+ z!G13J=?IE-Tj?I~Ri^`u^h?CYGGTJsjVYP5nSu(Yk3;JbeH zBidZ#CLuV-M2VmaeS>alHTKB<{jgwxuz#Sm%5BnuB5P924~fcCJ2#cq45ZZBky~m_ zqm?KYkpwloh`kkCOv>yT9NeEAoNw@=b5E7X2&l%dnl<9Ct^InveR)U@es*A_%0j{@ z#_jYp@^|v0SOuec(&MG&{3?o9KCvsFTQxYHvVZ!@M{cXaPLKfqjhz|==`>gaYWuC=G&ly{X5rfLrO1TdK`~o{eGI73yPueN zyV0X40V=s1GG2c&xW%?fR##@fKu@CWNu~WP5TLQI^}I`{ zlF2(C%K4=*`V;>RQq9CWJ{EQCmd=|eY^qcj33DZO?)b3C9gB9pLQdCda)*N86?1h> z_U3W05^j|c+wNpPQs=@&a*85D%CmsyVWFTnR>dgfk@c&rw_ux5T zw@H+?rKYp!7CMHWEw?RO4BB4X_j-^27fg&OQ0$Por9z#5<)SBuMVU{I1NC`a0#G=J zQ!pg8bd?LrV-Ph`s0Up@=cu4YRH`cpzm2}zU~DARn-6Y6n>Q49j(I*qH?)azaYeQD z?W<6Oj*NYotNC8$A0XX~@9AXn5xqwyD^7s>ifdb2ixuJ;F#x7w(_Jxj-g?&O8a+9y zp_*8)G?OTZn2=JgE6&mjP5Noh#d9k;*d{w}%>YF}y1%U|#(UAihx}T%VW^=bVb=r` z;AR49Qd+4_h3gYqI-EI0o8gAm;I7X=H|SUC%&AR*qsMQd%5v?NC&$n4xG=Kb{f~5& ze*T{Q7uIwLAcP0bUg+8B@D9hc{(k%|SkqP2bzzf4Z}2_wJV;)ZiaqIzdl`k-ud0mm8POjwkBko$GL(vmY;Isc{6Opd1`Sk zoL6`3v!matd8?2H4S^m`=DW~Uem7}kV#{jGjdgGsJtqE^CCxwSh?_e*DkvVWV|Hz= z*{tYL+%X+HwL5|_&CJoATzHdT5k{~=VcBh1!1H7~Z6+F=<;pQP0>&&5n%v@*I%_Uh z$x-3#0!P7$E1Sw|7RL3AuUfeOI@k@i>MD>K9YUXEZ^(!Z*`dVOzZ?G{t;Rq2uHlI; zO`;EktJ54F-%a&E0q@)45E{*1M=w&!Rfmt92OnP<*N0cH3MawFXfl$ZU)KZ0k?X7@ zK;K_QUn&f)4PSk=g~m%?Kk_=1QouYCF`EarP(9jST|B9NVK0-6DBPMg8u%h9qIHnA z$sr-p#n3wU+7bVbqo$D&dIuxhtxR6Co@~JcjOTD;0VX<)v0b6gIgwia99Zi$&Y2UG zloiz0x`M75OU0(=+Y|Y*j3djOO7f;Bd+ewmJ_+Sxj0UHj({8}Dy;ECXyRzD5e)>@D zR^IA}+3iC@RyjXqpB!LHIhTcR{1u>M3 zJpJigXsz|xe%~tackZuW^6r9r7_-+V^Z({0JsgIDZVH)NJg!!74$*(mlFyvWv}uIN)idsYdK8PhD9U>vci zf|LV{WOb%U1b7KJj))}aYif&`5L=N#Y2m}>?4UN8)LPrM@wgVgtXd-kd#P^G_$C-( z{KFn}jl9IWg3ZPpn1{Uzg-qte3hD}~tDP>3MX7fxr)Ra3ol>B(?A@PmcO1QxpC5dV(==@5mUD{$-g(+1w+MnH1?uP00$Q^HM?T@tL z&qmuQsx6*bABj?31ccsSL~1nE%3_oehHdEQ@0uKx&sru`?*Q**55DfwJpmipIk(+R z{t|9OS3_Z;Fd6_AE=DL|FBfMI-Inv$^4`Y^7~7)4v|NP{DyypMi)-p9bJm>o$cMmS zg1BiSnb)djaos*ECgZ~)&;?LjNS4^ zIG{1w4j~hS>UHeE4{XcBkCC<~u)3)ge~$%e3#`$ME9iEHDzvRcTV@r$BeO;yf$v8h zmafe22l1B|n~ckUyp%SY($KY3u3>AVx2628yb-JlYrq=WN~f)yY_nQS}OS3E$AK+oUtVag!7O zkfP`|RON0K3L84WA3Jr~3p{;yuC~1A6)7Qv&}Egts>%e9hUf!}ACXZLMcEvXi0Opc zM_@F2G>1R@kbjKvO}Uzy$|JVg4R)D~XvUa>Ln!Y+7_et%#)RJlX=UCR=1AId)C&p= zK6yoa=5lEE?p=WdNBM7H|5PJ_qA<3~9wO_)WU#9>Bm^DT4r^`<#<KUs!nI3?A34!bB zf?Tb5{-lMxy-PQo8mo7(STF)Mz4x`O`H2MoW1r&jR~( zc41{plNb<6ee+sE;1G)lbes)`_HiR}N8@%=x3x9yYV3yTE@d_YIcx$DF|p9Mqzblw&Ti*X5Z2VFc&fV?U-I$LMA`M8#70y1{LbYI6VZ?;t$XTN*Pz1` z@5V1wuM-kp+t*U<-?r+@0&wgjmIq?E*92ZME}^JC6Y4egF*mt_*g>5?=co(!Z=`y4 z;|Eq%X^LHT8DEkl2F9B-k1W>`FDcOl<~#K0pR_APRIdRv8pRxABdgn6Z|nK1cvmqc z=fS*~+f!$q=b2@h$Nb~X2{eEPd$E|}AK?Mm!+VtX*StNvQ#>9IGcH)j=C4c!yO!qW z!HV;p)ak2#CfIQE>!jF{A#diHpLXZ-TkGn!?)nDoI+86~sBcX2Dq>bc3b}kM**kX} zMHF>>P#JUM8+=hATj|S)m@kCzo9Jb&Oaor{(t^(7O2OmkW-cM^-hj+)jh0sMG`hUU zs25ygJa`b5EK}>!-F9aZd~G9<9*ja~Odx%GOY~e0d3s%9Lct6&PwX+k- zKDQkPOjU)2fwq>GxpM<$m6gtrD&V{$T$x<;&FTZ==<-T#nc}3>%B{4Vr6to$pvE|G zcQHxJHPgmOd9@s@!@SAf$svM(?7w34)Z@ClT0k?;^yX{6Rh9)(ohamEF}~iB?zCCaa3WJX+=pe%~s4X6}epT z6{S7>hEnpuiMK_oREjGQ`-4`Q{Qzyd{P~fnH44rv4Zl5~)i0#AkHCM5cOODufny1p z1x;iy7O+ZS*+kO|gZ7QMRIe2*mkJ*F29`9;VLgGnl)eF z+&l}Gm6>Om@1%>JKj|m<_p*t29WKQQ&WiHb`k5+EBa+XqIlSe$s2xas5mrekG|U=A zFnAo6NC+jAo{#vu&_AOeAPFJd8F97*PO`6BjU$1HcbKBW)=WuDIM8mihgzL+{97lH z>Bjf{cmu)jK{MzUJhntoWG1_>uWaOwoXs6;4dJJo=VTM;LnCv&ThUqm0BOx*O4(_C zpUozn+tMPf!7zFaaoizw+_P~%;W=h(5>(};lJ3cvGnGuvkQ6(E&iJ2;sKlF| z=l|fPb$YF-8k}LG0s#a^;{Nt9iouuTflzeJk#PBd$QQ#~f-FUuFyrSu$eS5I{1^7s2NVen=LS_HPSKJzFO zBPU6kyZ1j~{YPwm6La@9b7~wl9UU6x{x@nG?*^0RI1Y0pgi}%AG#%jOTcI2xge;x% zFRYi9bDS8HtBv@%iTwPS*HLr)D|eC`8AS&;1Z@(OMs2_cAubrG!htWXVOzy#bls*w z0&OQmYqz;-3@YQFBX4WHz*>y9vvmjJd&YK#GmVL+V8|covfI1xQ3sXTim$7r9`O5( zJ(1v!gJ#NSAK$d3vRdGvWiUbPUh(Yq*W)^!?io;m{^PgG(o?W&JeaZsBE%LiXKRn& z7W31*QBGd02U^Nj_R^_F9%hL!aZ$+zG;uq7N~&S?Ps!4Vars*6`|YRDj30iMr7 z^y#h*25o6V7p)MX<3Xu{AR#Fd>S}(Xv#Mp^S8E`o_!X5&cp>b!=lt7Vbd$f6RBW5hnEp~_m-);5Qcykm~z9_l)+t~#l;@@dky=D3&Hor=5( zNX-PaZK82KxyL#`6P7C#<;FEv1KrDp&<;MbbY45>KT2GF~VU+l%iOawUxvtV7UK8O5%IJ>S6+9DCC&}!j4 zv$+#?{sr38ySe7nchFAc#azs)_q3&6`M6Rs5q9QQ%}hj{0r218?^cu)fdjC#S(V*~ z-bSBhZ)!mK!$M)i4$5s2jvRjkY#0r?;h})l?#g!A!DxsEJ=U-Y614txiDJIn<%cN7 zyb^zL1C05L#7N#_HGT)4L__EsR>cs%H1sx<;DO)+uH8gMyt!xsz$;3XQ%?}Wa_Pm%0IC(GF3_SClr%1BZPtYJ?W1VEU1 z!lko4QqUuPRQlJ_J<=o6Q&LjO#H4HU{gkzn!~|0q^5UuDXZT4je3<)%s9i;m7Brv~FM{AYx`m8_FCx*|O?{XgQyJC!;k1 z0)JB2m;f&XY#dHgK`rKYfou3C7G{53Mm3v+d{L|$7M3ZHi}~EZ(9zVvfy`*4IS|30 zK(G8sFMSsMirAT;NrG~Q#s~T|nm)a+S7+vF#$P8|ay_Z5w?+I)CWdFvGn<*WW1TF% zBu;q_4i6$6f-}6SM+j?3P-?_ zP@HwNNX|tjU!iZJF*p*9QB)+I9Z7_R?YIK{DH;L8xz}a?%sjP2VH&!_jE9bevgd<| zcvxwOgd_0d?Dwz;KS@ztZgeCTWqw8kBw7fh23ImaP*gmWJr_=PMO6B5G#-TB5Rv^B zO1Zcmjq&ZI36nFCy_fOM9MgmGfv&FFGO@U}Es?0zR9D+Bg4k7?F&vbw;@$^ayN#=q}X4>Xk+P2da7GK#QSUnlgd zn^h7TW=^wp0E&6N?p56)<|I20%;y0Cag{mDhRk-&<4>p~y1wOg`0Hir%qw^=O`SWW z)jKz=qn7B$2NTsQsl7?U6SfkE$161}mT8Iorp(%I16Vt2Ir9_rXq&c|f;yxGpKyJ+ zbNp@0xy&(e|Aga5ZTF}jN~9@mXXm_mRJ+$p)!!eDQZ*06?mNwzw_meQZ?WOxhr%Qkwk5jk#I}EUwwla-WAEy+M^bcm+=-vF6Pew3 zUuG%#kcqH2b1=K-(62$qA?unW*6kP{TZcv&A05VoOiUEOl8ldLH-WTxY8!P+AM7*v zA9R@vsRtfNM;?4Itt=IbO{O%)=WEm28EQK{Rw|xiq@P@ykZaB4shKU-dy%fq&|2B# z{=j%$F+>$w{O{~3#5Im@k6RTB1q9raMov{r~r*@tp*5Dw|&tByeeV7jJ2K`=nkfaJx4d+r&9__V`fU%mD%{JLO|H{5-3=Mznm!!8_fYm_NdFg z)0B$V#8b~}P~7P{XZHz(^E%GQbs`8JL05p9I2K->j;zay!-qFVPv&~iU+`byUEyqp z6H8z#u+=QL`F(C4*4f_L+PSi?uamJNoew_pNGD@II;&~A$=B7{G_yQ#H!d`f44oh! zXQZs2T3$gHTe&YmTsj^0h)b<%jy8xxglclR;2qoL*ku>Y_sXZNOWs)1#k<-XH~M{r zkdfR~HjW8iy%=%stXq}nJt-pR8@7_wb=7}Okth018BmaBJpYZj-q=JR6e!cKZUI{D z^w#vi0&g}Dwyv-+L9f&GsLM%(-sNp)-VbL6>_Ka|+7=Fk@fQQdnWyl_o)HSs-rE>@ z_w?3uuPi6V@ao1q5pDr&8_{m za+7=PR2WCT#DXew;1#?W5P=kNqASFu)?;ku9N`6#nJ^m`cc+!)15T&9T^%IQ>#)gm zO6_{h8-lz;6y=GH{oc{)4p_UKLBEIR^-<$G;$k+koDxGqYGxFfkW|NE292W2{R3N7 z8tj1T9O;R$TPN0U{Ao4{xixVC)6na;`S{8M?$*@-A~zVyw^c zdTJbVwMM+d>y6a1^sJFGfl`3u5rsr33^YapQy}AejR(x1&dmD1^ET%Q1O8HE!|}TH zfQK{2hq^2o^oBJax56LHU0r7MG80TQAED2{9y;dtWR94-Vz@Cf5LnYa-nvxJtD2Vs zemurJ@h*)$#-uYJusqJqIaXH2aWGHzT(QCT>T>(QRwBU!JGQY3Ln^H^nDyO#YTj46 zu_e4CV=*?a`HYEcDtX5-EoNY%KNeqX^pr|EOul*4T%V4L`g|PdI|i!c)_VK`D0B0pov(N#Va6tWU9AVCvGEEp7{BU0y?@@ePqV924jvhfaWZ;>mC@+f6L?8e zjZzZOu30y{v45_78!*sP4Jp!>Qo$Pc)OYQ?=D!FMLs&=`Z9!T_7{*>;; z%R(+sFmvXOJ&eIw@~Iwf1E7#DMfL!7Y#lbimuw;n0Ssj6YW?45@(Wx#Q+QGb= z)keB#>$;%c5C8nIK%@qHn3&Cm*0q7l#)YZ*$kHZLcR9X6R}RUWVD^?tS@z=*Z5EoRne3OV5x zHt6;3h3$855}M#7m?r7^yChwr;OGgM7cjZ&#B`Gtg1g9yEV4XZC;Mi&Z}gbIS(`Y< z7FYJL3l{erT~9)E73~HG!R8Y$#LUHvLt2yl)aBMDpv@Qi9L3>jZ?uFc(LxYL8L`e~ z`GwPN+y$Q!E);^{u4YdZ4YI49x4DDrIz@5cj-vhG6Do29 z>}BbUpa5M!+odFa-o|woF7P_Yy2>2#A-1=H#?#C z$U5WEAYm&mC@UZc^=yyFte#m^pUztJ7j={~aR8gP{VGr7Fd;6pbaKF$6*Bv3&P*mo zM&H*ZIvd_+v~IVdyW6n)(wc(*^Y-ywkOsQ_!_HD0bIzO(7ZFfEkugS60%f>OQ@uw@ zWkZ_=oL!(D>;i@8)~Tm@!JbE6aBdmsm&72uV+TC0kEK-TNp|SO9u2H>hCF_pB^#zR z2J5Cc)%&D1nLT{tgxNv*oF#;45BLJ^zH*=}`|GxE3yO91L!Ay9eDc&2zBSu&wZ8t_ zCdS4|F*PH(IF`oxu?|n261#u-g9|HhTqu)OR4iP(eEC9aOzR42J31CxI*oJY%vv~W zCfIKV+4)2^!Bq>UFF`O{?nSmc3pYO4k?v7=y;CW~m$@XHQ;ed5RQUb*)E-@g*n=>u>yOdDfE zCj(|fgll_zB0-;OT0n*VUxQ3ir-W&i4!MUhiRC?1sWjkUBT#CC1S}D);GJX15SUxB zw$-Y?e=VEYongt3H!`12<(mQf*{46o%=R#-MQ6U#SF8i8aqudeBxX}#JNP!J)%#Fs z7hdIT&fMx%Yow(vS|q^#29C$ryu!`oc_&FHquce^66|N#&#}K{Srk%3i~q(@pHy!M zCzFQ1+OWZ}dSJj%#Fz6SG+5?1=PX{FHl+VY7KLdQT1_#x(dZs0KtXZ?FT6Spqum@( zrygg?;P@p|>vg5MC9>?^r0M%gCc@=hyZ~_(H+p2bM@b`hX28&XI^?q+U`Hm|{nf(< zWD9ZYNw)adIsYwJA*g_>)@|@D8~zZUV@FNF zK5IBaBlgB+L$qgk>w~qL0di;eo)zb5;+t-27(lD7(XG_hu$8#%PIL{NecPbjhpzuy z_xp1J+0tNF)y^({Kdg~1_^1a?6`p7|_p285%7qEbeb@dhby0zC~L1!wmL zy@@SsP58E({~oEIoVr|&J?NRU#te`(>s6tVmSmGk^*)lTlXS?}6Fke`U1zKH?PpFZYAn*1Zb-o*MB=(D+B z0e7QbXSl@!tY_`Pp#3b{B>I9{Ed0IOMz{PPEHsCFHsl4BATnQz;%^}GGqlxc2d%f@ z7uoXM0}Y@9_DI+Xk}QR`!|ieT(T9+XM_V2oe>0kh$DxJ=;{HO|ErN^PfuM8o8n4IY zWlmxt%>I-OlTXkF`Ylk6-aV;Px53nrBVX^^$NWF`GJq_UZ-Y4&v2DneojLBD{5;+{ z{&AAY8<{*l#n?o)vcjCXB@ZsDZ|UxiMCzSAfu4sRsyC+`sf7#cIS*amXn63!&ic-~ z;k@@^J^o+(4m*v-ZXc6QtGL`<>Ef&JNrPMt^DM|*6doM7xI3oT$94-B3wH)|0o~5e zdj#2oNl28#%I?0e<(r*4NYF-H9MjVw^w}+2z#9G`)9iJ+G9cx%8KN0>iCJQVhF_w` z!ISJmw|&_C82W~>e-)SxYv35P zG#-h->aB5E%W7(@g>&ZAS?liFyw2q-)3J1x+~^Y99M^C=l+?9&p3Vg)`HTUR0d<~` zm@!N;E0YF8aI&tU^C7(#P+JDgCDk&Jh&IUtzW?($Fg}0oBcQG08&iuGWUlQwiAKpT zp!qD=qNwTJzQ+L0^fH#FSLBTV`jyx_P`c=sZ*7#zZsL?i*SowM3T&S2Z_qbycxnh< zslf&bVEA&6f^k&ZHF!nqLe!6b02(MC&m>I51%2{93kyd*=0cBsY6E`GXRkr;^S3fF zcy8lFI~K!6Jid8~4qGWOH&Klae|=|TM^{H*$KxGD0CWM^006{*1k?iD-2~iColOgy z@N-R|p~=$}Yr?Tc@Yju?@x<*@p3;KC5atIRpsCUCZ)^e`yxDDav)K~D#30H7J-g6w z?PJ__Lz``KlVOhEd2(;<^gci?c;e;!LmttnB_=l!GxmhX?e(za@j$s7$D#h=r-LnF zCJ+p?;X`o;lf{R#YitkWL^8S!o!gV$-eaxT)uJWnAnHb|m{jp3SoHb3&%RI|2QD}M zd8UGS*a>sQ?GKIbeD!Z4E+e}4E1R0;!r5D2tTRBHge7YYc&%Z0xgZK10Q zw9&@r-ZMD#@Z`uV>yt`d5_cXyj*cFMdKTgE+N(zSQ{Z6Jtnt)4v5mQ0aTFco)1-~D z87(aG+BSPOA*`wS{~3E1xTdajeR!|6cXq-~KrZ2ai7|wjrZI*PLI@#OLI^R$G>vJB zDI$W3H&kjF#$h-N!#LEcwc2W}wT{Q}_~UpS$KzOgZ*8sPcszc7{yhHu9H;H{;ZDeDyEHAvC=WNkw5xU5;8l3RlW3oZ9L znEc+U-wiTueLbXZGnQs5@)>x(&5G`zKcV;HFJ~FR0JwIr!}j#xSBK-o$q&@fYdO5( z;>CCq`V8HW>VO952I@CRG&9)bRgnoh7dE0V(CcV_{7|L>&I9X)$(uotyCrOpD)ZE( zA_na}{JZ^gbkc7&RW&YOz5CkvEvncz-hmZ6K>m22zOVr(HY`);sxsKzU6Cb3{&z0w zO=|j&PjIuiJ?I91CoLgnVT+Vz3kn4!DNUD7(eubBrVnzb-aP~^M)kn4wehDsX0r#b z=L?5sLOvX`I%_@g#cbTW$6Xpfg1+4n->$m|3P>mGWjdaC?tju0O|PKOF!jc%kEBMR zgD=P1(I#zjM)(JsKf-&Kco1V2CFwLJCW?vKvt^lSlx(sdn-p}%H)gheGK5}`bs%V(s*F00iQ|Aa%;{K4+>*2P004LU5E_#Zw9#_Q(l;nisTF?)l9 zGy>OqT*sJAwi^)IREGnM!p)^FHvEjl5217J>z=r@0A6YA@AI`EN8~*A6aD2!{UMQdLm#@0jeQ0EafTo4f&K9)+F1g&>YsV3 z_Fxhl{yP5*ttV=@NlVPxJhPUm=hZ6|xtT@ABAd;SYq(c~BFO@f{2lU>S~v-m3!l!P zqBnuzEs5FGas@Y^%3gxmBu4#Yt~~xMcrFxgb2!bO6HLerHbR&_R7BAbZ1D$~*Nq;V zJ#LzNx^;Z;wJP1VC1~sFs9{q)ss(Gop<>DmzC?--N- zz|QGrZNI#(wJxK;uU7ASbF>#3(YTY>8b1J90TDj{TM>@)Oz1fO0gx2y7JG}wlkR)K58XZC?wx4i~D1wKWE;8QQSiSofss4#<^RZp>@)$Z$R(KBrxHqQF@ zE!(SA8m-qlI#f$K%Rq&mLKoOrdM$pA z_tI)~o_~av5prSTFd6%`+B`k4itvXEy_KN)We=#;JSq~5jdHt28}O-{5YQuAVZnPsjt zcPT)Jd^kPXpRJy;_%D?^~p8XwXu-^#SJ2HcG+yu3mJNKSCF9x@PTo{w=SZPM6RcP2)UM z&{SQW-8hYMXhN$hxzqBJaSc}QOVr8b8fB-y;(Vnx2w!6^#iB?c6Ei zc**fof>P_1xrPjy+@<$;^yuA9&tZkL>i=fLq5q9vDJcZUK>+>v&x@9$()tF66^gqW z(3fJxwVm4r;@1rE&+>J^1`fopT3q#w@ELRv#e_wn7Z1J#wjB#@+44psT&fOOEhv*s z(?--%^ccbfVdw=oAk`Q9vITe(ofuxda@F?!BSEW@I_^}r591d%55{j%LY=C2%mQXB zYGj~;HTYMOxyBrrrD$wt3d{K4a10g2n5TYH&-@=NpEypvnG7PS4 zC=zUd`;vSdkO-hF{C%{BkP$5y;o4xc3H9Z5<;~4P%>xez6AUCJ!jft2f+?q|9NgEF z(_{+km$Z697KG#R<^PjkHUM@5h&t_Ny$77Km;Ok@$YtabhuhT<8wl@b6Y7KeweTYN z7N-BQGk($J^yp#O<=Alo{KVz5deOg7R?|7U(CK(AuNa~XqstCXT!Ogmv~#moE(wL% zXD3iB7VsJ^E;4ZRX|*@xUA>kQdN{Igg!Me}zqb}hC_Gp!&a#0|aCVI~sc!K1vUYlq z@Dc$cjBmh_kn^F+`A%PDa-Ay~9YttGa?Z|5Pj}8GbLC`dsS_Bhs+{k= z^_KJ0fBvVlZ~c1bk$?L)r)_@M{Akp<>1RLNj(76lrOq{|ARLI2L zm;x=7O&j;-oc>g9a9l}B`8!{lJ7vfoLq~DJWK!3dm&+j*Z~?0la7=hpZ))xYWznfT z?KB@uyovqD`MF6MclNnF<#qZa6jYeN&B`W5rFwQoroSWv(RZxR`x^%Ja5ZGG_I5no>xjDyc#ql}hki75Etv z%cbm3DQBNTM`JhBQjMNoDJkdMB?59GIEc2H?LIA7jkaJ?A<%=2xXBE*sUwWHGb!-8 zPXtdgiDA~0jHAw0Gq0t36*{vnn{gU|af3D()H?0I2ndA%5scq1Tnn`Ij^Gvij+58W zNL0JjsgLV67BKuetq87w{i;=PIKVIg;p+ihWMydlmxRXf2R{_@RkVsIBRrV*(qS=c z(;&>tv(dK6qg^?H(w-&)KSjzq1&WQEfw-7ZohqxwOUkO@V%i6-ZW!x*({${adho4% zDJQPiiRYuXzzyFh>eVXc?OSNSO7i&P$@4SRDv7vs#DmUPnXiXV(d=6BM9e^| zZ}mnq;|NvxoBhp$TY^r%$Lc^m==$cZ>?fq@V913wcB&QW0(ypc)IT&Ux3_7qx+D#V zHOI(8o5#$?4n62HzlXLEO2VDM`ev7v%}p<-0hsH3=%Kl~2OqRrE9O@G1C8*z_1dIQ zqjSZW;&BpvPU$fgBb%!hOtIfRQs5v32~3L6Kts^q#DhVP--iO=y_;?eRiiA9gm0ry z06J3`kE-GkPjuZ@>G9BGp4`Bkr!HkFTR^|syWO1Y4-4grQ3}OjnLi{StMES5@ z^+d6}RPg&c7s>2TPlKBH>CZLlL0*rr-{0EV;};J{AXV~fpB2l~ZC>6NvY zn~m*Hu=dh($a6NfFP6z#vI+`XFeQ6SO+$mKMKv8Qn4D_Q&QAqx-0h)fCBWru{FT7( za03vSK@MAR0{N3WWu}5P7k3pv`K8-v*jHZ_CM_Pb(T7ICt&rC2LCn$ryM7K33@F9u zFWdSbI{WygFZw=J>Oa^RkPp;}GSKVxF!jGyHdz$33*h)Du6+;K4-9xluA`WNe4G<- z5J0YIiVQhGAT`v{6kVzSG#WovUf3Hu2*h%g&q2P*I-GEzTjLDvdLig`g~CBl;akz; zA0lYtId*S#(jG!W7zqo<);OOqT1(OiVxF^JTx>JVqCJ{MqPVRX7Hf(%d5!)&u9BCK zXUjI?bH_o@M>oC~3fiE+W4UlhcZ4>df3E6ikLBqB z``Q5`n?|>r&^usg6u2U59;Ic)c`h`98=|Q1hFUoQwqeoU!>rd)I%oB+P_69Mw+l); zvg;Lb6kN9~`V?3j>}`u|fs1^Pb@*0}Ux^<3HVPQrcmKh`U(Wg`EAbey&T4;<&(}ef zgelF-@;Dp|_Q9j@Ye?>6ju46-x8Uf4uNRPz$y%@=i-DBs>qgL6Wwn}X+DvOq zKQ)o2lRv!q+k#TJiO{GPa+cLBOqFSvES4tcA$gOsB-DLmNfJbC_vn(Owpqx3;x-lf zD)J9T)1Zm~x{`3Q_F+S=ua zhBp*#9kOwyqCKK%-Kc=Ek)yT)dupLly_f^uI52Xe8&t6e7eJgumI9 zEnKVj?!VgP@MH>63~M6(A>kl+PYE|T!;!9laG6}ATD3~mJ)&6IE72$uG4xK3E5*c~ z{1rIzx3i0o6!MO>U%CxN@H(_ISVC#uPmx8$l$-H6_67Mb0+y-Ma z%4AvX`%H??nqj3@q%#Umxg1Zmp$nJQvNoQI>G3yqwA&ejQyH+Bg2A?+P9HSdalZ@u z+*j!kkwK_AzdN5;fa7vg$oG(3@0%-6w?cV~)tcMv^W_#~Wy$4^T*tj5&ME&^PMc9l zXpAMzn|GhfeWEHpy+SZSXv*V&!b9|3unnt0&o-C`5ttWqpzS02Z8N($o*bcr> z<>sMzjM}mm46tjaqaZV=3b#Ji1Vvs$An0ke4?SDCVrg~Mh+3T=dEwIFGW7Fc9qOu! zwpELA6_0lq!NIy#AnA+%Ci`}GR&RxmUd43XlQgq zKl(Jh8W>yYT}|#qf4d55JehOQTSF2$O{qDmO#g40mZCI$c7<-n^I%Sc->o$kNT1qN zSfGGU3Kur}+godeLAS>5kJ|g5Y7EWu*EHTaj4pxQwqUaxb%4dDR(}IpYj!GYg-cA= z*#L(M9~6c#-&5yk7f$)gIpVi`_eRteWHT ztWxCXuQCRMt9q6%|H)6DT=nFAXa4U}v);u};!;n_Q|SZ?US2AulJjE;fy+dh8_R}p z1znsSv55;VBULAv$@1??USPJt`z&^9U^AH*=ci!7S)ZB1aj=6c5|gg5zDQSF;4+tZ zBpK!zWwpu1iB<8_*2Fh5C6jvX!=1Afm&%dG(aZ>QDvH-sfB=Kppg#RIBpT1?s9i zwYk(;G{cxtYLqW+d$C?F$@C2$FOX1brZhi;8UJ;9`U#+8a%oEA6h3xDSNgY{JWupw zYdVDK;m_O4G-_DYwMri!kt>+CaJ3*W-6mCM=(h6mn09MpW0#4sS@nMV+>W+2tCiX% z4Z%C#A>$Sr@~uh%l@V5!L@ zoxw0#srFt_TB2tI@d9Swl_7*=Hl{`7xHYHY1@i84k|%4?i5M&jWM?is?b^R@s0b;W z>QoVM%wrAoeZAbj^vp4ItC}2!S!`T-s9m889in>Hbc;&u`hIaKjDHnYYn-0ZEiL`g z*TG&O-fBK|6@bnM-S1cPo5SeUj5wKgn%-R~|268f=`8spl9x_w8x4I~&jm%Ip1@lKM0% zV-Iy_X+T>8nkaRw-fL*ABj3LB3BKIP_aDPmoq3*EW>Z~Fo4M4s)9btn{u;10m^=ZD zHK8`hR8U)&4%0G&;5J5VFa8SGb8fQ_LQ>%IqJSyL(M7-NE;f&NJu!kuM9?{Qk5~vX zQAE@ci&%fd+NN{<;(~>_#)s$6FKsY;%?lTn%8bVJ()9a?3{Aw5?76QtqQm(vt~1F z0_p!+W73Oe1fdhk4U%qzzC_1mT0j7(-L4jQw;$XQ-#6x!E`%qcsDnAMs#wu}fHqcN zDJV87WcD@~cL#K;Yd9W95B?4lM-ef zZK{49jw)x#Fm49|Lv{}Ms9#wy_=X@;J?C5SbVFn*mZ1B2Q;^|9D54a7=)&?3-=`R* z(vLjo9Y|%sSo~}bmG+pBpG z2qmGg7Ru8LW@l4r<~XnkAE9aCgI0 zB^c^xb+=26zD1|eh4}3p1-K0&pf}F+DrIk;kv6XB8w4M4s}Dx)K=4)LWjN9J(wCf5M&ygR*Co@A=jRT&bng?w@D zWX_xoDiR9xyh#+~#L1*5Ad-onWB=EoZk^h-T^-cIONKyL8&|813=`CWH#Ar97fMXgR+5~0qkArvF8b^BuL>fgc!(N4WTtlkaDv_1)>D6qXc=&M7^MDa^1m3`G} z`*QLly;?nD)6dba!ZMPSTQ*BoY0MF$gP#6QZ@YgtpsMn`E6z}76IH?Z(uJ!3s+`X- zEy_PB!@~IG)e04@HDuCE7nDKJkA4rT;d19-xBKVczx@FjV&5Zk{JQ6S6Wf@-d-Ql>$y#KqN7lwLVfh^$6oHdt ziq^1d3DD6IMb^eZ9}MZ7n3;Fzi1q_(UZ2g;hF_+fM#-8gn0@X^~t4g-b0 zl)j|{O6t2f1kWD41_(AA@dtrR7eX>xkKL&2Z53YMwG-HI{xM7KYyS_=X4GZ>m3%0p*&}9hqa@vV@=0TJHGCq=eDeA`BMwI zW_aK5(cwQ0Q~L}@4SzC_9R@JhU^T$GIs0;s=8zpN!-kw3!*ELnued)-M8HoY`yyXQ z$jB^7X}VDW1V1f4S`3Q`%|eddSf|1MX%bnM_y?cSYz!>ZO%CZNPpzSv%$&Ny+!!9v zOWCAeub;)@xg2@jGsF*U#$!F_<}jI_!}D))t0s)#IR@gZ7|>k zJC^+7zs`gG;M4(z3CP=|Elhmm{Eb@@p7U2TcsvN8-x5149AtdzsLanipOLB73V9h4 zZ5yA-%z2UVNjs$>W>C0Tj^6E*NExMxp)>5D=c0(IQ)_nj8BH}+;trnh|DaZtH!K0G z6yVGNKS030A@-ldJf;7K0{#|SOh||zo`temYHKu}*|T|pdGmN_0OaMFdFFdkpvpvL zpFKCpsg#M+H%SkWNG~T|Nm8sxsZ{wgu4aqN+)2J$Iyt?15bE$`3e@AKOwe@ab(;@o zka)B`V!m@zV3as&_k+KKP*syurE3mVM!h$Y?usKQw*dD0DaoC;oNlj`L=C)*Z9wF9 z_)X}$SM>qEVc5j}B!{!r?Px*S?uNG-+zbk~iPBjwt1SF4b;h;AJOQ6iWoM7%XMq;C zp8*CO=W)I3{XZPxFXwrQVvNix6z7Y0WG0b6LqO(py)`b9P53OH^!_u3To@~X>{-^k z-FoVx-tE!FFT?#6;mE9Ut=8+HD3mCt-GNM)ro#-bosIm^#vi0tar#ItR(XqO;R6wg zD-dX?fB0dEUQj0>Ny&rk%7{dg5?Q|Nfd}SE=KWLQo|G95LrQ0Q^2y}W1m}AygXjI9 zOsQJpb>tp_xI{G{yg>;Ve*FEYRN6|;_-2MfX8!{?7%DGn zi~l$y8;X2tttbAmvVv>~$+e!?GyZDg;mIK5PhKlr`-Kp zob9K&K^qfc9$&{klvOs?2_phxW5N6O;(_ux%MO__}o}N*w8G#)h0|TW=+#n!M{ovzkc{_+Itf{C`m(F-V zAdpn5C&`;Dxh!kS=hh|SmGX(tE~TWL(p++o=k8};$}mm!clJS@X3Wyi;NAW$$gFP2 zGU`KaBYO*tp%XVuE((YK)aE-{qt|7j4%m4+n(C0k7+~UW{i0k60DHc4s*f}UmCoE!a1IG z4~cfFPBp(cE(RY<)-kZo_zo?bGgtXQd;A72Y(dr?3s-8vi8!3~*rJ(q^~@z*cm8ZS zbi(m8)sIdv?TYxdRSI>nxk^BbT48&vN7A{xl-wNm%G%rHaJ6C$13ZcI3nGEOXXYK` z)@C*OoZm-#h$hSlrcx+)xuu3ujfN*;gN?zrC4=dNTF;q_*6JVcAB@s@U{h#vd zbK;1T&{Z+arv!`X&B21K>>QfxX{dKvVk_DQ!9hWk0f%j2##nzuQ0VlppivGsg;}_W z=Djr_Z3NGcqs*wPmbxvHa0PBA7C@&algKF$|SCgo?zm=D{szaOiRHsAV$TSNUIuVMoNc_#Tlg; zG`R(bdGRPXD(_}sjrKTQ?5g}Rh4N_r5&;i2uqOJ~LrQQ39K5&~Ky?1SS^p1cx%!)k zziw9tD2b_Wp_kFC$X_T2E5Eq_Fy+s1t4^vaP~~!yW8Y#*&i+toNtUWEJ;3g_#Zjfr z1z$ipvbLxkoZmgD0%4Fb3cE(MLEmWa7GJ5N&DgW{k)IFl9MDPa1TmpCxP{GeO~gZN zcIB{2M3i`WXQw#W`b6uRHRAf_W^qBLfRHFOgu$>*yl&F6T)umc` zXiDqkZk2hG=>5LU{iz%FzLYpAC-yra!`=K1xCaH+;ipQg!{|X*aCskX#(Q%U247tF z8BL=4X5Q9DJ6Ct+D$GxUEqI#fki*SDt?UdV_2~jRVu)mzfXReUcReq2>%7|f=BKtc z)mxjM+7r+lLOYpOVV~Dz^!4>SomIj90e5}1e?ZtOLft}PP4g0u+3DDMAgD9=dm7Ov zCa1&fF)_|Yw56v=nrTp`=VtoB-uM>w1G!-@(gbt{A9$|W%I$YQ`iQ@u4zV%ADq<~W z4RJf2!bP>ATCZ1VT-(the6XZkx4gWkN0`Cq=jOHuTc$R{?#_9odWL)=$!j-R4Cc;4 zB4qwY=@6Ir<|g!Hr<|9h%*9l{sprN$5*Zd7h3EQ#Hbb~f2hXfLtlu-LfwijEux9*M zWR-STC6wSvb*N<@uB#$J?R6%gJrDMjvo6-4{;f!6RzAx^vdm73Mi^kg3}}FVuVy{7 zPZN!j-uQ(cAb`IE^J=y;Azvuafr0+WgN9F^|E!qW;7~1ICU-Q;yV~Y7v0q@{!(4@; z$1BmF9xIb&7`6O)V0}C$?xi$gA^1CbS{G?ofzp{n%vGjezL^6eUc1Jfmsin6zKJ#w zbBHy>dR+B?p%x?-3l#Y;N!DOt*^{s8Fd-eTF)t_7II^qJ9~pQvZL*MXIm zL<2^R56YWiFF1kT@j8XVfE(@(G_qMq1NtktU|Arfbea=XzBZV~ED(bDx42dskFoE( zN=m7#%uv|U*JiuyZwxkE8a!#rk_Mk(qP3bvcgWDYsXo$LXK}{~5j@R=4IABFhVfZ{ z>~k}xnbtZKYbz{EtJ35^z(i-H0TnVw&CNdWE*e!vJVv1Oz+f!K-iMuriR3YRhLr**ovc`uIoHo_wp zhBhokpNqk#Hzd#i`z^}I(=3lW%M|`b~FRL5UQ;YpvCY&OT9iEZ{?`lU$xoo zpbg4_9-MSpg<#{+u~OwQ_`E=o?-1nbl=v7A;X=F^KYKFdgw8NnkB8>JKw|I(k;HPJ z8@_M89gPQ$ZU18A(a>^@ba+7mrizKy^f|&9=Lru;Umieu0S!GhMY z9Nnr1;lm(TdmQajf_`qc(uHpD&(INeZ!9F15Zy!%J{@z`fRzDhG}@JyUYZU-S92gx z*;RS}ZcGvld$*^{hNF49q>gYRI#0@?O$;n0d-hlsO)=TU&Eb0ZcOAwg;Z!w`{ZY7F znJS1xeu=K@UAg6LlJZ)Ao@4}gRr&K3DuEXEgtfX*d>}2OI0Kvu_#QhV%--oZ`dUpk z8oqoB?UX2w3_bnQ=DiJl?bnJuZ3F+gt3JL_81(b9+7^$-= zMFa z`}KRb8DJ(>gC4&EHOd`2^7P5gmGkQiLHL?Cy65$dk9K}wlpxKGOGsL*2J7Hx{95Zf z2jW2-*>bRn%PyPQbD1&vQ7EWqHb*M!fdWe#ztKB<1df2YYwW^>{&@{uK6a<0Ow|3x z>mN!l?>vveLw|j($*!do&c)lumTFW|sQBeeJ4mL^>^Au7Tw$dq=ww&p$RedsSm!%} zz3HV-uz%3~kjcM5O9(r$o7hY2CstakY-XcDJK}iCv2$nFK=aR=U9PT*^z^QW_W1Vv zj1Wl-IrbHxe)MMF)IpQdI|lu~o$;BXGOdY0WeZ{abRi|n_b!Aj#1 zPo3hih)}f%N6)*w^}@Hu<+x>00p>*@&MKI&c8SdTGza~IG>ezXTGhaXbLzmRCaH!ftYY4e{9JIRyo z5F27SV*+NQWdGsQVBKI_v6Rsm4R5H>8z(kX72eC;(-SObJ^2Z6eyY!uuaY{Cnu{XEjLw!4<;5aSVq^3ZOS;~N|4wuV;*04_z%w85jzm%w<6(GOE>Eqps zmtTDwya>*=Z?OLNWrraYzZQ!XmhsZ&f!_ERPKVpV>uz*B5A0%n z7R6sE1bXkXzQ!SJw{_@a{wOUb9v~b<6ULOgD;jwDrmAFlt)k+tWXqK5H{N&H?c`%d zeR2L|dpA)NO-6tUf=s}pE9FxtjHFrRm_Ul+C(JcY?t^TUGdPQ)!8f>ogL3siVOGy6 zD;7!3Wu=~k(oWY(FmO}WwXz8M<*iW}`T_)3P@A_Q>MiQL`I%Lg4yv>6 z$_kA@9c{AtZ8+M8XjMa_yHlGHa;-?GqhKfLVo&Uyfm&!xW;7R7^2fxvHUpjKUbo!6 zfjg6SbeVsamJyZgiClzp-9>^{LxEUqNRvnmI+OijQ&W>+wm@K*t5W$5{^@=8Y1qV+ z$}y++mkXy#v2%V~pEI@K3UpJ24zsy|dQ5_X&5Kpe1o%4)c~V&P-u@lFnBa;nq-r`~J!c+K(6j9(SoqYMO;65%64D(7vWX(;p^zmSa4zT%J3 zD)zk1VSi66d*;||!et|?G!>Z-Vuw{xQ8Pn@$slT^_n{F>PIj_6rmW(hP=aM+0C)n2 z!8PYOfJ>6|g}V)S6R%u5H{{bA-0*t4$`~9x5-{q*M{tes4GO(q?gH)EX9H>jcnsJm zX;@K={v9=B7{ISD_81ix(b+67coeMYu2iEp&{dfptRX2F#r_SqxV0ODqh+VWK`(bPPL?uV2JIkZ#u&6 z2?e3zGOq-a^KxP>@gt0c`O$*j*^A~y7wG3zE|@=WUbj24U_rO}kw>~q2tp?7E>!Y( z-Rjx1yE7hqaC!Igdyp{GCMTyACXyK&F5*o9ttUBK?#9C0l{&%uglkt|5-XGUkFYYc z@EZ~!nEV9VhzGcBsHi5(6VHGc{6}@zenuS>LB?f*IToi-7*eBG{S7M6r3?GavD5k{ z+Y#6u-vPU0zZ&}m>k0qjU>Iuy%u$AfLa*)`RI8rKD6Ui%WV!@@(NnPdK*PXZ+cGIQ z1P0pW$1kHHXl)C2jh>HwaS@aNvaKdM8Y3Mpl~w4~f?|U+9SS{K^s&w(blH{3rp(j3 zcVB+$sqe?z-mRt3HE<{@U!n`J_q5m0rSmgrvA4KLSSL>-DKpp%vd*4GL0fdl{%!<2>=F~zx zKUuTj-({1!yM~pMsJ{shlc-KX(~wyq*Rh9Z;90F3s6GZIFaOw~eeRMG4uCW0veTsV z0+n!y5IB8ilOMebzBPMIzIO(!UaNP2SFgJ)$Tu|<6fB1Ec9T=rxixUd)W`*NBtT~_V|diG#lGuqX@YaET#IqvZi4ol;SIH#Bd_Y=>js+9wnAS(&oG7ndR5a6GL|%&WY2>)Xs50^1h^iSz8;KtZshBH!-`TKCPOw)$;m#cU* z$HcJ>odBK$XQUpz$v;S&xqZBrc%1cA3#|_>D-4M(j}>cqu|5>C zG(Dm7uhY$$V=+0Z9UC?<7Uo{Ig!>^7Qf5hVrtR*@y*)jv)7_ip{e!HIe>a;;EyhmZ zh?7~J9;jblDk%x(<5`j+bq*lj-Ds}g_L>eBg9~Vz#cpze)#!b*z0Lt@&>Ef3s|Q=r z`>LSR1Zr?&DyRuEZPlSrbsN)Cg~q_4s&KFdg<*{*;xonH=NeX8y~hDVU=XW>fsbAR zziObXhLtg`#pN=Sy?xuIFgyT8y`sp)cjU3>ZekECyTdU-_Q&`Lv{_A#nl`4n?kM}p zVS^_f-op12{yubD?+W@Dr_=Fo>;qPYe=S-r2jffWzk8<9q$vUe; zzsO?L>$8-Fa|J>NP*`Kpii3OYiltv1GIoMh$HY6SBm|w7KwVT*3a4 z>wY;@vmSi3AG~Q+85cIiqN+%%lJsmCq6WMo75eH$b6@Prr#P^ViaurkAa7J6rFGRa z1bjbukzEpS&1VFII!v0ZMFWNao6FT)zKlM;dNrnYcCE3mOPnJ%pJ&gJj!i*I2s3+< zp2kyGKeavbaPhW0n`G`>Tm7n4wx9j@$J@5qTHIY-jE%XMU2wAOSedG{o|T-<z zp3r<~`{LE^x;(8F3fd__tAA$hnK!$t4Xa+0LWx(my{1V7m$V6TUt35B!h1gf^Q_9%-fflLYl`an>pQatt1cttE7mi zb=a~J9P=mf!%;j^$^)bhJOP&J3fqppB1KlA z&{u;Fhr1No1N}jR4=lSiu<4ib^yu@xWwRtL;Nfq{4q+&Wy?|jgp@Qm9w&xSyS-mIu7NZsGwtxiUg8~o&qkO3NKDn z*2DdQezj1$rk1C!*6Qmuy}oE@+c^OqNiGz7+ar-ckHQpRXFI#kz6G2Oy?rF~{Phu7 zEL9lMr|4>-T9y0!;wILz0_qL2U|~g5ZM<@WR6+6ijH`oJ-+1gz_c_$&`5GY)s7dVW zz8^~X8(IH?du2L~<%5m?>uMGRbq~&#=Qn4Tl;r1AGYE;Kma3h)qZ6#m37sL1Alj#E%rN? z8?5z>;Inwp+Tehro@3qqaLm8qNBsr^o!)4rj#Zl1g@WH)tqlk`I^d?|hxdCNSun%n zJ#xhRJoWk~&2@FnpJ0MOv?Y;sgC3kI)|k&cYu|&`tp{6Gn!)sveVhJXF8NlFPZkt! z16T;df<9nFUzkPF`2}`L-~}5jm;Y}4GMP)g&3~QN5?Z2$uyHf-CTnqXeqeS>zIC=K zUn;01D`(HnFV4~DWMnktH{8pimKyK#?)Id}s_Dq+R5G>f31U0kvdYU9^Cq3hWdC1B zCu2evI5CEvonKWoAFRuTA4XJq|A+YMvzzOgaC22vGtzGd*Jjou<>qxLUkNvZb?A9; z$L5o)FUcyY)dDOR#{SnHSsjenUp@d8tm$@xGAAZ?{i0Q&_kAI(YCtr;&(wb{Gy^|) z56RJ~O40zO4bJa7$3Ub^;yiUtMCN?|d{bprBfX@#PKEXx-#u=`_nni?yWgTM#0-ux zFH!AShU-89U+3bsN3|+NaXD2#v$)t#O%ypQ6YPHzdoiclK;-1#m0aW9owu8;WF)s@ zBKE_E!dtI0{~lIkeo{3su|o~Xfh2jN-d5qPsn~K??<-lM-aFu4-I8=jm(_Y0wKq zSpvnGrK2N{j^MKKYeDmd(u_b5oNIn=%r<9vCuz&-1X9pxlgB^RC~WV6-=b#ptzJN9 zEK)wvUzFZ>0q^4?Z)mBn_s-#y;|i0X{_Uy);74CGLQJAh0ba%unK4hH6cv`M^LGl^ zb0tb20s2<8*<5EotQ6NBl=(-FyImb^1Wojy@A#W&8~bcF(ZojL53|vS)|zLni!2Dv zpXcF0`P>=#WlX+YUY}i`(u1Aw3`q?#*31TU6>2>jI`i(fmv=R6YR z!3=g zEtkNyov?_t?bxZA9{UPkLTKT;UagJ|Yp$bwbWMS-zSEMMPf?WMKZ*+FnFr)*0R<_6 zH1C;H7LNyRT-9gqUxwDYk2o6#pzY9h`!Q~w>;`{3E#bJzu(x;w*O^@Q@WX)?%>&iB zB_%LjEQTUpdASohr#N4{>r-|-7hxyunLCY9Bgx(-G!I zZU>Tc1ECNVavAKVm>YiTHkw@VLehkpmSXR+RvQezYgl)#v&X7mzFGoZu)TZJfM<+S znKT;JPNgUO`wJrMzsX|Tp3@D3u@~xI-|ujeYu*80)TvK#hP=(Rra|;KoY9-z9uwR% z`i0~UIuUcI{JXc50qOBRS^x#2lX<6G+1YPivnazk&Ub?nnMtJeNlx#Eg;FFvOIa7Q0OXh-Jf=Ssvl0N z-@#N>+bPA+`>NaC*Aa7@nCt|9LAU&RiwiJlf7qq=o(OC#6K2SP7dMO?ZlgMgUIUpy zmEOa~Dcd{-tv_aLuC0kH=S%x!jKu5&>k_W`WUW4^@CBg{XVPDwZ=cL(w!^M`)vUk} zm=~;8E=uT_iShMzjK*;;4R5^w7L}^h?_5qN^S_(*MGVNFf*TsG-wu4fI}(A+Q;e{zo|4LMnFhum;< zvNucKx85<$j9mXV#e@^(sl*=T(WejO8hq@|`HL=e;1W;+fvx}@yZSXcSZoBk!{8ix zT@?xFfE`;ltnqvF=n%N64tVr2LK}3EBk_TOb4TyoWWOwGXV8s)JyWP{kATsT+t;cf zUp)>~I7C1P&R-InD>v>rvCmMgja>&gg?m;Th{~VE_Vp)B%lqoi;g>+x_oMQVS3~!z zBO!EF5)AJAzcQ}^XZfZv)lq`u0*j)r_=niItC)~*+?dTAlLKpEr#1ADf1Y}FZh486 zS5=yui^m}3f>wLybsqb9Tzx^N8C*sFXJhEOb?pvvZ;ZXO1{mtu1gGQ@X zZ3zz!tQ)1-0OO!_!*=r`@L}-yP%ujE6N2LYV4-@~VqvHr?RLA_v*-ey<}_3UJ^{nt zpKrFk@cmydfLR8K2F0E&0lnkPg&8oT?4|rNdFC;NM!<(@**ihDJ?I~6?A+6|DbIEN zob4JLowuTI_y=eQXIB~LS(_&~G7^?$Ju5b9(s*3YW44~>kTZql)-M>F~`=mwjS_B<12&SUY>;+8rADm zY(%$Q8t;=~t_C?ARK`C&eHv=6UPXU8bLP&mejs6$>PBbTxU7M_wZz1O9KU-5$6!>{LMtRrwS^}21U;v` zoX%IP=~}(MiEf%+f0$G)lE!gnFJdD+iZB6Rslfz7a0mq;o}N zLAZ5LnhV@uWsMT;M>me4J(^nZ)PYtfobU8we;HTcY!iCmRp@C%#=52kD>!2tFOJC9 zmZfP8Y7j{HRqCUq`?W9hg3*fH?D!6ws`@SPISQc5a@iQtu-U2!G4_TAyHs7@V6lVo zMu>FaJUE0o6aXCCV!=&w&5+{VUda^Q?4(I~d|@*@<4~ze)$Vd<&}U6 zU0?TT6DCcc=p#%f6RBW|{Cj{C$_RLJLC75}|Mjqib~ag{)*0v*jJdMYYb_)=>S@iNBp> z5-W3_ee~<&L!A;?hSI?2XSMv|QoYAl3r`u|e;<8)<_uVV2sn$?nwxtw$h7bO&Ej#} z=mo||5S=x)y`eq8=|W%RA7}GC9^oZc5swr9g8Q*QEqT00OfDnDl@AynV3?9ck!8zD zB!v})V)2hkel&fd?$%5vRwWVJCX^-;MIJ+PnK-wTtiEx`nW+e-tZKvbx;Mb%mr%ix z@bPk_Q+jc-%tS3b*=kHZK(dI=*WlT)@$ySmO@-1tg*8*4ZkI_roMyUTYkJ>qu6X2H zw%nw^Qc2xS)f#{IhF&(SAXoLWFZ{uu55_{)?E;t&!UvUA@1QdRu-$FYc_Zvs|Eg2( zE57qudqKZkEHQ%83)EazS zF}UgX^6D7{#S(=aJ>^r$LLlgo)S`{5AKiu_@J_$1-KoyABh0HD^ZC>&KY0vAUOoG5 zDLHTn6ci~Vn=X^^`@>Fy&P|5`dOeXw_e$hM#k ze5Yh%7N07P6cNS?{qduTKjZSF#y*3zr;(@5DbikQ?f1L>2jJ-FB}3!tRX>p_DQo4?gm4+wI*5ea{1|%yL`P+NQ$f@|OPHzI9uWtmLuBO2Dn-W`z-$K?c2ck%{O=WAG~K#7~kmyGjz`VkcQNA8O%k@e0FXHgfy+!?3V*r zH#A_~8a5rgU^&m-^D+K&w26IY;54!yO0L{IYk4bPI6my2*U(Tp-|H={_4`X7%uGwu z=}Ko7D%kTFDUD2*0=)0?-DMxB$hj-5o4kZl;j^+-4&a2pOdSVEj0of>`?4JIHZ7+n zvfA8a+M72~3QVkC>(ve2D#_F9RRiD~TdO7N(|`@n7skS-P{;&NZ5q)X?Nxx|K7YXb z`&O+~5d_!$fv{)1&KU|__u9$l>jOfe*BR@!2H8L@{m%bV>5r+jB_TJuf^IpRaZDzt zo9U@Laam(Tg8GqV)(ALNzkkfRY41irSaOta_e!Ya9fA5KoyxcI0LLnwx6^OHp45<~ z)U_vyW-l{LbKN)2Aj1UhH?pP_N4VH~u#@B3+CXe2b`pDthpdW$=YRa%k{v&OddcHIUbkem zZH4g3rAw9wBVAoWe{-`CYbe-k8-*M11u0IENKR!rOGdkR>F^3*lu)8tnLSA;kSIS+ z$%>PzSO!R9^c9ZlChMBjfL+&$siPlUH$A1kL{`Z0&*5snTzbtdw@ycqdGeIi_KoS} zjKNJZIrO@02-3iofWhoRecG;FnjXDsk>B3#lFJJ+flfeCo9gPCz%pO_dm+VlI)TVzWEhhNebZC|y1_A%VxQ4B-f^I3h4!|J z=khqLuV4F(%0N>z>y72o^|#oF%osms*w|nF1T1k6k2Edij2G~=lqq{=GU?v8#xw(s*M2|6fwb4;a%a>&dm6SZu9`ZzI2Y$mo74_jwTpC-4={t4MP zdzGV=3_2V$H06?VlANKemQ`0)&Jc->;@Pu@XAJ-UY1k+C50A)6`ensEvhaB26Dk(f z2KE7~U}7-~F`qWzxr<5KuUYr)0O+g8DSH#}rmk~s zRBLH#OWu+^$nzj%S(b%Rgpg%PmNgHSWg&!66~$4EV;p1V!GLjmT-SA7*P+BAgpd%R zgi^}k;qk-ar-Twh1`;SGgmU@0JY0TCX%0=(3?)sPPzu^Bcdsqk*x=;c3$ZPXrJyx@ zqd+){gu_iTGlNHdED{L1cnoL=<{|I3AP~qQerE zRFt?YjhNR|i7jeEpd2egcoRNni~btOgf5{r28E3#VRx@tCew$6!8-bm(6&)b)F^B6qLSQUVA%v#C=7aZyB|_q`M+O@sdZs;(QJnr4Jo#r zlAmE`XsqaW{9~kr$pq))8fM&-C2qDqE}r3tM#cG58D+OuiyxTVov4h+24vi{^(YuK z9|dfHj5VnW*jA4O?`UekMpczot%BV2OzT95U3G4n4ujoA>uGJNh=i0q4&TTk&5?2* zPXLRWG=>O$t7!Wxan=0l%%!`JZ6Jv{LSt5y6_4FXGttAs!>3N( zl#|!Pt2$>7;5F_-*Z9MXPsXztCRgdq+LCMzXKB5h%7O&3AbSC*T~NCq|IvAi@>4q3 zDQ??Bi@`8XvC7<<)Ebqi2oZDSRooP)b4=)7QYaZW)@&LwPTVtL!Bd*GlUvpJIw>c% zqV9mc>pv@!>U6*W9;**8UN#+kLuLwyVL8kOpBiE@11gh7j_CRfvc-eTx0mFX<;XRb zOsQ^8dF7rLub^8h3(((QQHppsmQ%yW37`E>B58w7sq7@t)t&kqARi6ZH9Co-z7s$7 zzr?)=T9hKQf&0Vdu&|IsFGPWQ)SInrzxXW&*N+Bn0L2IP>|pZPofQ&A!wXtjr2dEb z5g`BXEowz8P%tU&Qq&pqGC@Nlf;|c~Ww1~L(GAY8owMA~1@IUg7>#V(7(9r3QG-m5 zvVruny{Hh2K{mUJE6N|$M!BZyu;0(M&Y8o-jBZ*jv%*tA{U@jpRx##@u~zB45FdBe zA~9|gS2j{h&rdHs&L{zf(xzxy9}I#fl%gnZLLwN}5~K*KeNMZd{!`h|e%r7*=josC zeW8Ug7#rsD_`m%0$j8-oQ1ITCnaSP8sE<3G1L@AM^{@*Wn;al&Z>OlnFgoOKrb6I4 zxKlUqL+fJV<>x_JrqOY{6QkehL+DG83p!OYBU=AHx@O4!SVv;DWU%bwA$?I7CAJJ7zO}%JHR(Ks!M@91XXu4Rx_tTs^wOKgVK}XL25A6>Qa6 zb&sf2b)ouLtgb|(X{u{_i1&Ido@877SQROSaj#;sgVaHvsA(@@5(4HJV@}DOoT+|v zlHMvl<@&GkUGP;qe~AwE61@v+j)k%n-PetG?p|cc9K7g&K|7=p!JMXcg!> zz1MD*AsxDtNBl3;4EEkz*>&zBcnf@OwPa{DUbBY;FxRO-cB)yJ>~Of<4(@g|ZQt>a zDmZ}C{4K$6Bg4%4mAZr_6=}f@(FDPb$xJ5c_V9yDg6VtB0aeX#5%?@Q5HqSF6!$?CrFW}Z_j55e4fyAnbTM?Cd3bMlok9h}jD=v5jZW@o z^yBEJ74LHg`hx!wS;Wz?xrNr1Cw8qRR=ZU57cFw7<%(U3DSZZxHkIF}Reawxv6I0} zURMbqHx1x7o2#SxB)eyxWcN~cs^fW}Vsg5K>TAZC|Ezq;Q&m6P zzd*dP6B_lS0(r>rLlL<40@N|aMAB5SPv4xOkjrI9NTOds5dv`89us7hXjCXy6geAO zu2XO9YkNagR+XD0(%6bM3SUiN_e+=2Ulee_-P6+01p1Ui;2XS_a$TZLjXuO?OcM?C zxohY%Yb#Y54riX`8DRz#G(UlswE+zvz>~Q|_EIi4^I1Th5UB=h0*emm$fD(dr$g7j z<$QKvZoc{CrfVC@Yvmi9ISum@ro}*X<*!nKPDz4ARu7Bo_#wv4kY zAqmPrbjya)fZZ4Y9`vg@wVDK&55>4xwkKk-hfoWeuitl)v09X+5WRU3eTLqa!R}K} z(O0ctkGT`zZ2-oI+0}@!d^`%xwkfBVn-0 z&qSlk7{~Ie6xskqNdNwAwa)y?>;FCI1Dh@Z%SRl7)5_`umNDxeWAV=xS4qVTPdF@2 z#N$q#av{GC-#Y}Sgn*2{IKS2^C?dI{yPpXkTpe~=Hy21X%z zUrT5yD$+oI<_%Ev{w)F+aKC5Bc`FctP^TLt{^BI*6>tmwVEdLKbd@>N*jJ}2!A_S{ zAs2V5nMlGPk9XRdn>$nM+PP@u%C^q7?=C#$q*wO)ZBHJ*g87|Rej(HPwK%%1GLEhA zIQijZA|x{&B4_56{Uf>Gr578@uh2V2KGe$>eXImOS}!vu(Pht%gM`|>767j@4-t_i0ZG3&8i?g!?N$7EGkla3)c}MIk~5Wdft7R6yn+#&jwg z!io_xmmY#W&AQeDeH&Zi2Gv)uK6gQ{Ne*2ZGUE!V&MKvc&cyY#bI&k;+&@l8!v@}K^q1Z5?ckjyNvKX-xLNF1^Ld%OKBQL) zQPZ7OF)LN--n!|Pjc(C`gP;hwk}oGTrnzJmF+h++avk`a@ChLZM9pRhRjBsPg3teZ}Dr>Tj0yttE2b@hZ(offZ8-vgXc zn8*BQeol(ZhMXwpkZS%-RK0{Ky{byvibhgLrnOe&w47f;N}`02D6} zz7V&%w|XL=0(bg=t*H@fmWUu$#}+{t1TAO}XbFK~)B}czBBTohYV5_699U&;P#_nxy*J(PWnihjKPISkzPzLxH zeG;F1=)C_p#T#^%zn3&K>y1|%<9z1K5kFdAFRqKl#H=d0xY}a5?=v56<}0{T?sZq1tG)_RysjImG%m39aH?k=$XCl+J+% zhPd*MTDS${dub(HVPtGb!a{H578|2s3#~CRjy44MgL6d*=EO0Jrc$>gbm$tkB&D&i z$7%@E8`l=e3+z^A4;DhN-%G5;Lp-RRYE`4d`ZkPFVP15Nk{2`}GpYl>V{C6Tb58e@ z7ETS@onf^`!i|plbfB?Jylj$)?o<&SKgYl#qwbsA`zys(-*O1tupFZasZyC#V-+@x z)q&i~TqbKv4K(MmdOPC=hga2n;Jw2hpCfQsxIn;t3X>;4)jgB}D9IiZU#od7Q`e*k zNea(^br#k{9=-G%-7gxbQfJ@3X;2N6}Ma`PlR7wzJ5V!TstGkJ-Gy-+>Ci?Ik`t*Z?VgK7ASOM=OwD$uqlR^nb;xYojpQ zd~ZEWB5}xJ3xRJ??3a%0ERFx5*173Fq3949uq$LSbNg$};AX7W6+v>iH=(r#=>(xu zjS>o-e^mwzy+$pt+vdPz$jkD&p*ZZv2^$lL{dR;KM{)ttq)`KcR zm0WILZ=br+HQ{1mm6=KR=sy40q?j^&>A)ncjl3YuNXA?gC39LbDeOSoNd!ec$K>4eYi_MMk@4y+5! zW3P^jAls-Ae3y3M4;{FDl#&;U%=7<=M!>IouIdX0RbohFhAE;vSKl{yuzT$Z0j9~| z?LdFV*FztTcC66Sy{*NiP#Y-a@t%OrmDQ`vac~>ozH(R4mi)O;=!w#M;{i|OawT)u zp7t;&=?0KD03LVXeD8+LS>?G<)tWdY5G)`e!R4DQDW{OMPB#-K+CTHd z_HR;kD5Y6|OAf3AMCv*6`?A;tslgw{AraUiDRCi)WnH;1miM4KR=UR=-h1?%+oTPi zV>V;NXE1v<3Nm+EMD4v)m$^kCHTv3M*C=#>L7+K%sjc?Ux(00{;H;GoS_%o$p@FV| zuGag!x1~T2aubERZuI}$LOvnz?R=|Ek6tL&s?b-KI zpS=luE__l9K}~&KZ3Fs1_@odyiHy1G<$4d@J}96_ojViqu?$4mzL36C{KcZ^;UTI; z1VP?Dt=gWEw|glRF@HqIHi~4s?D_Rw|M`b539R$AyaEahtx@g{iV5UGL8H&w+7r{d ztjo+G;9lS9e-`I+ZA?sYo{VzpI7^v)o7HzROz$LDf|WX5L4|0!X!YuXpl6=PZZ9a! z&SsAO6uUWD_dZ>zG>q$|k`sW!DaM-#BO82qO5}{4d)kl=f<2ZGG21YHlr3vBSQR#R|(upcl3MUayP6n>dQ0K&C$! z2%xX<6?W;&2BTC+02F&yvOfZ9tO0B~LvicCT2pXsifjTE4tV+%(nRO%P_pIImxxDwcS4(YOY^iPt{ix2&pk&@FAnWmzV32A}f4m9ys~@l9?3 z98)s?lbGhVJ}gYdFJDtpEwXB7$15rlvFYG#MH>Y~NQSn`i5DUlfDF8h4uQzMgD4IT zq;-yO@(+*-X21NL*_;)e|KR+X^E1qJ?)~Y)0dfDrABua3HDbJfL6crDZVHDNE=+Hl zQ|z2N#hgO5?t6BNKgDiUaqlD03&v`*%>83-D;tcAGZ7o6)Lmm+lDHDjJgabm_H=9S zg=30XOmU3ahhbS$LOLAlAYyHVLHjyc5}swwQWNK$#QI+iNIhXWY?m*3_VOK|vgD$_ z-9~rNQI!$6!K!16=qv3g)+C4a7sEfCttd7aitor1cyE&$43w*C1Yd*op5jt_3|*s< z#2N6dZVUq1?8ifDa~}Otyo5>Z2Sx=0#~j!p(~>x zheflWx_nusHLrN<_>vl}1e6}T_*eKGb#>G)wJO8mDk>&jUfSATxN+OGCmyTlkuphh z?@~hIlGXgSF22jW@xqcpWB)1^$9{^xlQb}S?PHu4CI-bj{VZlnh$zI*46Xi2zs(yo zd*{!8X3>*Ru3r62YL}mRy1zg6Ol(S0zHwKX^Zy}RxWCWUh3Pn_3Z(jF6Tp*W&@2V! ziB9`T3^ryJmADfn+$&ULBpPD`O3WV%Cy1rC48MKAuQ$66Fq`spK&SUV-QOtaX&|)9 z!@?3G&!uc5-)qh$23|_K0G1U26)}xDfc}K{Txm6|*2?Mrru>o|hZbgVc_A2#7@R0tJ2%oN!z?$weVzgp z#_D~zVY^puUODp11$Ej2kgzz1-&qco|5{}%wdhBwW{Bs|!7Et(yqOv@t>|(N!)$+I zNqtvJClSx3?%PG)WZOZ)s5>nx)zxWrcDR2FECSjWhxEn%IouML*_UQR#WyVb3>V!|iu>FVJ=y+pdd)w?ws^?UiqAHkV7`R-7)z5N^<$LgnHVW0$E%d66L}R2~X$U z&+T&zRRTjIxGCS(ZV|4V4BXO z9oQO8b@k{J-Vd9_RjX6l>1B)ZN;3@>3X+)_2H4)DYZj~pAL084Fzwg#4RzIXpWhcU z=)?Q4`0nu(N?im3==i-BPZJ!m_(YV=N_WOxj)q))9k__D0|f}7Bp|S_U4f4Bw~!ik zFH`&;KVou|$6Yg4bkq%Ooox zM(!Nk5@?v?+48C{90>dp^9VRYzJ}d<+~KfuFFpwrMFs82YoUNIA_zoc0j|=Lyam>P z-Hf4Vy8noYbDd0%`4*|;=s62GU7Q|HAC8$?D`!L#32|WVTycZTY87{{TQ?Tq_O4$q zUh*IRQ6;XLT2^h6v|9CpzBHxs!`4O>sgix(R92MDVhRc;b7GIr`4_M(6%~9^QJz8p zjdOvR?t|IK=A)eZ-%goRoXKzXS-f>yH?_c_rG!>-hLFyiVa!z%XO@ExlKvs9eCeks zY;#&X++TPsP8(VPbZ(E|jxPRU;Os~x%*g9of&TTwQ)imHWq+cmh!fg9ehhe~&j-YC zKivfPgWt_s3eK?|Tc}{hf#9t@C4|iH2K}ztj9Z*rhX$NM3bSSc)t~>&;c~X1v;3o^ zog?SiI9`lxnJJi7k|%aJY6LZ7mn=2?q=YPDiZjteVFHi)gOMPe4n80hNw66p$(Q6x zc7NGU+tU zB}}Tsg7SaAENN>g0DoQoW!`^?qi|)Jr%&f*34A`T zv#zd~Tl^p@3fHVmlNTXXaZE2lYMBZO@jNpwNOAb8F%qYo@o93Bor#d-nsT{KA8jA9 zOEm$Q2-qC{LGNCW36ypjI)3VNWRsb}0igO#Us3Ti4?%ie$PWgOlYIJrw^|JZ<;8TL zoQ67EJ-8e;3qWC(bXxY%=qq|2iHMJ2%viLfdlb*m#uy81o@Lzr(I=<1#`(YiL}1x0 zjW}DQ6+n8y2hY?R(Zy(U=6u-TvQw}bHG%QRFR0uV~xd3*jCS&5i*6Q7$2I5VR>*C*)W9_O{Jf#!^Eqqs+{ud zrArcw*G#RcB=-^sW2)5>P0(pVfBmH@%AO(tPB^35H_%~K$VXh**C$u51EPlK>k5=s z*sk{lw4L5P*MQb4N1wiT6J4m219kE$xC44fDqqxn@E0l~4C-}}mF3$prVxFEZgyym?_5K-k2y;Nj03(6 zDR4|ws4YTop-+JpY+<=1-sK-*VpJu|COVhZ+iJ(X=V?!cTSfB|k47A`igHvcQA0Rf zA*z^oI8zfK=}eQot!X;339H6`VAU`(l4l-QC&X5hc@AWekyT*Kcrrl?Z>-TYanzpY zIS>(wM5Hq6)I8U;!K%~;VZdxR+ZX8%-UMp97QMUW_O~3p8I*%NDC#==^1VXmbE`e7 zAy*MeR+o&v>>b)YdY6e1GT#3Mx~!ZF`#lD|j~-qX z^RL_wjNrIPS|rLWsJ37bu%3EubSq1la}Hfb7itaWciux^{oJH65J!*}odgvv(dAF} z9#CtXRDP#z}LN$KoiQ zOwMqe#QLq9I6FDN!rh9>azWk7k@z$5pZuh5_Nz0aGu7(4f~?J(>l~(CCcS=X-O{Ni z=N?dDR;CcBlPQr>({d?Vq;V?nG@@`~bjfF9l8Nd6*mF}23S}BAz?&Sd@=_;4nZk0W zY2?#MCyasdrq~FS1xIDR4hqj_iXungYzj69+r)%YU z?mw|>&1OEm)TA^uyhKHJyF!A6BikuV{*oSNRi7REAxe8yGK-&jy)Okg>j%!H{?_3Fyn$iWST>J3jO0ivmiAyZ$(%6 z7f8mha30}UIYCaG<=EHrY8b(ZIoFXn>&{($f~R?r^+Ygm*XTclkW3(``_F# z`v(e1F5_dc6f<*SCEUUkyF9;22^k~Go6r^G^6}(G>Q3`|w)czjB~(Ont*_snuQS6& zAM>kObMO+A6)MmNTTi1tjT`8P2mwiS9Nu0;OZ$RH-r5J5+lSDg;sme_UsyP{LTyi+X}iw;yZ>z8=#zN25p|UKxwIe+P2GCW%^|Urg?YFV#$2`09S_ z$*(bn@~=%g&F@ctc;2EeCJvLi5&_zbMnM+%7`>ylBe|+k6wO?eZs6ob~HP3+6q(IQ00>e&!arA5J-@NFH&h zWJurb)NV=4lS75c$R87!YDW1!7)W(LsWeTMl8o^wVbMzE*ac>pROLoFZjA9Z^z0By z@`UcXXBQ6_4*RoxRp6U|RvV~~ZdiO#+oo52^4p_Hy=Kp*rlbvAv6?Be!_X@#waiQu zNa)XT0H#h{9K<(z5W`deMrNaQgnfpX|sSuL+ zl+HETSp_hDyHj{C_SSy#sZrMJGXra3bJyx$JgI(a&+pNBsRkHNzkVl17U|*umE?z^S zThAGlA(MW8+^DBUJ@(FiX3qjEnng;Lq>H--+hM0Vo=~Eb%)`j&N!THu=J}!*ACBwj zDmt@RUVGtB|2U>sbpY)RFi=t~m1K*;J9=&?q7miYGZ(dm?Lc9Pprhy_P=FiYLvOJr z-m2_(n|`uVzav%e>p*|!?~V_xl{v=y0jlJKz`w=cP5O3H-^spUpRK6L(C<7ZNP^+)JL zWu#F9eE1yX;Uj@Qzo7~Wg+ay5LnHdg3FiCfzERYE9RUjbBb(_rw84;uIH61=l=Nk= zMb^@!L@VG+m5D^<-D!GnBXMvzQdFw4zxqUfZH}Z0e5Qzo)V{er2a~p#LMG!VqpQh4Y?zQ~`W}PVO6j-5>>Gg}4zeyfFr&5~-M|Sth zjCF88Q+Qxx`wjH_*O*_axv#tYUb2jXBfGrcmK9`CRJmf@{j!oE%po^R04I0SK0q*z zE=k3}UEnP+h;AS1w1N=GOx!!5_t`8YNAL6L(c$&z6EFbInx@fTqCYanS|Ed)YDRY1 z*6)vil|Tg+GT{N*4Xof~>U|)H&Y@q&&4$Z>L|0B2$USpf`y#!pHp5N+09nM5rWC?l z#f>5$@|OYTUk(IBv5@&qOs|kAWdw)$_p>Tt7UsHiMV;Fub(- zf|+1rdi?og!bY_Tz~-ou^qA2yK^t}Ns>e;tn2`(0&44^<`5GND0`j8yJm|7>uQ%yc zZAqm}KrJS6>01%C*#jv%k-IE|``h5NBjLm7d}!pw$j)>P_ZWWMB; zA&aY|K1*t`nG7?t#5O?|*T%`hsslFL<5`bS#L11D-!b-y6e$%L=Lf^6xs+5XfAYIC zD|6VrVktdR*~OAG#zIFlJknjjXQLOPuZ)&Q~ zDwA4Wa0#Th+A%9Xu*EOH3J$d0`&=>GuXMSo&$ma{~xT*4+N0>hyUZl31EvDCk9q!GMvm_h?z#@Pm&s=B+|96p1 zHKQ<}eg_p37p(@37Zrd~AgdWh1I$t0eMmFBi*7Y&_8VG{a^>JyxE?-NGcS{9%|ffF zga{(Xi=)w1=TMQ)V(~yxJQQ{{f!!#0^4(9RDL(VF=u7?}bLQ(fF3ucIC!R4_^q4qE zc*PFFDxRU&i`(ZfU!JZkt`@JJy5cZFKP<i1?^jC-(fh(C3JNNM#3gMcYI*eq&714c?bB;b zB`%R}nT8N%-jlAobOl@jS8WzuXM#}Zj3|L?86JMM~u&5_)xdcQV2gP;j@`BqkwQoSE&=--XkR`pSy-qGC~D3GE^ULQ;<| zcD|y9`|R5fJ4VkJAGiXo<})e@)j~15$6~w$M{za#F<RNF>_Eqp%w^}wz(n*Rpl>; zWD;pKzXGBQ!UfbPP$65qYW|w#9i>vjs8*hRx@XzaXLWiQ7ZIwIKeP?B>!>CbYPvKc zXWof3QbZ>}g;3Npl$TCq`+ znItm!0)uV{%JX2#miB!+hu_0{nTaR0t~{HmdRDH8sv?VIps22{hKV3*?kk6mcb}^7 zBdz472SSPQHZKcSOsm)w6vA;9j~qpcVr-Cpv97+p4i4%wk;)tlnhAqB7Bka#3)EbW zB^tHR?|`}bdQIt)#E@KW3=XJYeq{R7b61c!JBDG#@GlQ_J5!0Sd8~~sf1u|&1#vrT58i84feuv% zTi2~-n_+)I)!+}H6k=M`^SWr*aGtQ6u2G6!pCa1|>7=4PteX8ocPzn+Q&iimdp>1L zyG&YBzTk-};_}RF`eRs3@1}nbYe5s(uWWBsqb3ZV{b+Tmf;8Ilc;YaDHKEXm<cbXJvj)`J3M z6BcN~`V_MBBon%c%+g?KWKcPAuxj$!jBzP*nV&;ZC(wGrVlE!CLM`n2>_IJH6?mGY zVs`Mlvza37b?;^uMy=AkI6?nr7D^hyD%e1ug#@(l-l3>DwtIJdExvk7u%#(Ng!S}I zBm*A?z(=U`-my2hJHTn{LZPtPc6ZTQg_^WiXL89^;2)?SpINF-OgnkXt}<;Fr0cG_`cu$6n=KUVLz<6wiTQtsl~IE57&ws6YG=`;+(3HU2iz znZ93*_;wX%WmT9RjtZU0R8dw`R54TK_g6rf%w6H0(xu#=wfTiAg9Q1mFM4zAjCw&)^0dN*cfErSIxq0Ir7WaiypQo{wG(oR!S z_#GO_1xg%+5RAno0OU`}YBtslj)0E7J`0sqcJww~Hf`D30#cxw|D=*uK~lDGfr7q! z4T#Yu6^YQ66N}JBbmrL))gaoY<%XoI8LOjDzBPRr72=*q`nV_ldI@}`mn)SG=!;f3 znzqa=%5+;PB;^JsddUVm(KpBS`(we?YOW3K+WiEHDRhqSG*|NEcqB7r_HIFQXrS@6> zRR(^%^qr-DUdnCFQj{$%oi(d;X_+Fcm2c`_ylF99Ty6rbrroAPrazkq6Gu0{Q#YT< zrFE%a^XI1(6DECO@1J4H`}yX}lpBOR@~Rk?e?>QJUq8xWC{#;~c03R}@P z>}+|xI2#hW@*kC`)uI#nItXP2D_{rmp+P-y4h`+UgoZ%xAtv^SeoOH8Fn$9w9r~FJ za2{ulYsPH9{}DmhZf~e()^wh2mH-IqZMJ#=H*Z$$?%G4O+}d)fr5F?!Es zT>HQFgja*YXf{$u-4xa62OrZ4Z_pj$y&d*?!}J>PTGT0kkcx&-P*x9q2lm!jq^0zK zBQN?Im5QBUyBwC0Oyo`f1+K>I_g`aeag?|E`K|H2TL#ZjjAhnD_2rOodfaZ0HzV(5kJIV-HP5j;Xr80E()o!B zG_+Av*w58BgawREqmoUKyDypG?nAjBwQO-TxP1q*1)F`|2G>?7=uyBuKIWzNiYTCS zdTjOo*bL;2Ip}plO*nSQ8;d6}x2&AkFul6{du4}~Wze-kvy zj{(Dti$`fsCCDX7LZzvk@n~d` zLJErNJ&nF~#7_x$P6K?Jb()`Qxa(&8bjIEpM`rwG#@92*b&Gzw2(ByoY0=k3Tvt(R z5riBMaCurit35yV{KLa@`9LnAnX#zITD)!_H%Bu&&#OmPfe?dZn~TV-`p*2gBjusjx~dX(J1{rKu$N^l7Aj7v26^>Dvge zgnfXphcMUEw;X?j`s0f)e!P44zenwVz5Od((m;1_UNI=luWlF}P=LOD$3MXFcN@A& zw(zbnIZ6db&5^o9IjYi9S-C*a@xS5 zY%1jC27_J!B#jDmS0tBO?Ye#{>B~hgmV%w!4J}JPCj0icnAxvEKdF)>6eZ zr=Br!1kndhqLt{Rj2uBuaFV{GTeam0@GP4%V6ORYvW;V5@+voGuV8Wpp;f07I_v6$ z#SVus#lTc8tp4sHLslv50RfLxd2^fuabnpCXISGUKxI10X#t0Tg1)T{IxJ8XDA`~w zWOD8VuI?u{HpaNwnxK$hQ}HLV%xf$vvx~BlN0@VR<(db&xS`|`ZU}OYzyPy}dwZQ? zt7Zj8o4mAv^42CD-FZ@x+9~9ck}L~Qp<#o^W8i*zXO}*VZUG5sL7#$x)Eu7~eaSz} z`p%0H<;4Y6={aB0^V3~C}*3WAd}JuL2;u^$g8eA zBa(Ve8$@VR;WSm)?6}B{gHOG_Oddf|g@^XQ5`!XBDk~WzC_dx;eh;6t7@9VHc%)u> zY2?iTqyFHDORYiiQv_dVqpe^C+5%Q^FCnY8$zR{g&4g>s7K*ZX?=D_kDle$?2n7A$ zpXl?oyM8GV{f75Xq2F42(AzEGNyFKg{drYvKvPJsrqHu@zgFqgdp7+uq>)wvArOJm z{&Qms$eh!%rws2r^YBj0QrSrxpD?1g42mPeBHL% zqM}*l=JL|gdfjw|qJGK3h4rhJFRup@vABNT%9YM~=XWJ=4`71;h7PifbgbIxxJi3h zj<8?~{&U5ILJ6qk8mBH980Bew!#p;Yc?lJO;UNmr$$-U9EY=4CdbrE(w$oa84TTpg6qUaq-YKqYX>aNR1?^h3TW>B?K*Q?uHgQLsF}Kg zDekVP{)SB0m-n~^lV{t(wR0XOxbm9l=NA=7B^pbHP~aQ5@q!Axa3T)cPMu0P< zEjB9muc+jj1F=E>+L^cfb0Fn&g1E;?5$dutP-)i3@{1sHL3CUL`oK}-2ggx}1czA3 z?|lxp-?DF?&FgmTV?vP(m^_}^olic2**9(kZr!>!6a&G4$noVu&1q- zEQF-hBNTdkcNYy6D@w{3Z$Rc}`@xr}3mue`yHFe0mFxgV-e+>WwM^Wyi;OY5rgUXb zk`I`bH|wGBlWo;LpjJHwmYFC-n-(1dY1K#$s9FoI01Lgz>hoFQX+&E6ek-^OMeP*0 zYK+zUkP7ZK$Ncs5yI(z|v$AV0ZiIJ%EB?R{`B~5rpTt{Ik(vCdx%uqGn?ZsL?wpM^pA5H^f3Un1m~W-osHQ ztwyL;3--am5XeRT$YxIdq zVtIJ?)86hZpoaVKd)QX>yM!;{82K<O@x;b7SJcx{~LA~R2U!OV1EV2L-Lw?~3g z$mfHdumZowu7j%-l5OA>L&V`Xm!S}wt@cGkgrx%ADdL{ia`VHEG zEX@Dr80ZSO`dsmu_ujtu7064rB_eKgK@K3>CxMxs`kLN_~gpV2I&a+#3?#WA#`EAsU!mmHSsy8Z*O#!JAgzVGAd#nFFb#* z(GTp+MX|tzI%rvL3k4m^SpyLokSPRu+(OCDtOIyj14YBvjJeSZEXULp#@0KTIN!+$ z;L2q^*Cljtt-?umzdEn_;j+Vh`|+^-pHi4B1-+~sqa^2y85HFhwmkywfF^n{U@?T@ z5&G?Ljrwuu#wMn{d6p?o?}VpYv~~079UE^Q{hMhT%0}n0!MsY}Re-R6>!LsxcX(N? zrhU1>IO6#&z<~^OFmLx&pIslpewlt3>ngwYvfiZqKj3{@)vEOd;V60v{i}v(uOA+0 z*!p=W-_YALtIVImo}cHRAaxu8leay>c^uzQrN&Z}F^k8s*o{8ptXWY@bP|`;IG1mOQn(dDYb?DyvcGSft4uSAzn0#Av+LYA~wmyZ`;prE|Xv8O(tXFvcNl_XZ9$ zzgYlbrk0HEWWIG_se*S!tI{!%z#sBO2b5N|9$C*=BOhK++d}^dtWVOQ ztNiPvl~c=EfEkcW#8p-GDXdYwh6Er{pO|92cf4C~P8Vnm6H*`^PM4~ zQ19NlwD$dN!LK~_jeUrTjYTR8de>|L&VVL6Gg%CXkq=CbcF!M}^?Xks4tRfixmH;W*Mgw1xw!83um@cA9P75c3M$#BeUjd0(%jqXfK@o(AD zCrsXs^=X_;yv^L_5X`d{O!t-)6u?N}v4GbLGnC3!*gEAPeOgy&a!oviikOTKG2!e* z$x?dbZ%mROkbuhXJkXQQGFJyOpWS3Hr3&kFVe72cEGWtpXx04-ckoJrjauyrxssxC zA>G)~1k63Y^5@oDw*G0}oJ4F#TU=6#3b$$e=9Bk!0QmY}wDacbZedW5ZBEQMGH}Gq zF8%H!25$3Zkn}0+d9y}T)cS>V^u{iWr8qA`>k;!rT4jGPH()K@+tY8Z3!^{3O-VJ` zJt51xr}ch)+`m?~6eLjiBk&hYrWUJ-KB+>ZjC*V8Ix>G?ThfE2-nk#3vnY+ru`}oU zIJ5RjP8j>ChRTxMii&E}O#4i=T3RiAz=EqTO@@!LrF4>LyOQ2`Xa7ZA#BaHR$6WP^nEA}M z18T(p7Gzp|&V2bU{5$wsZ_9v0sl~L2GHQj$gn>v2cQESY?xv0Cw`I`t86J~l&ScyY z3Rw^)lIYxcL}|VhPkQa)u$}wfO?0h{!{cl~NBP@%N0^v_-U7=^Ieq(hl&* z#0ONH2K-gsN>LF#qO?uSHy9rqju~psf^PaPb!$Qg1L(-tHN-D*X(@o1m=Twl{0Omk z)_`s>F`$uC$i(V#j?R^@&5>tNIXP)3V}ThNb1ZYFzOX43#|H&4u>c+uBSL&F$E6Qa zgJt0Rt|oaOd&wQ>(7?}CZ7rJQRc^DoEul|dRWYu3?Tt4tY-}1ju*uMCRkgZ~^*1LD zA9%Zxe)%IsOOyIDX0f{UiDq5*OaDt?4&NADDd z+rLSP7>P2nPd%5Mzunghfa~$bp;)A%--KX&M0$5%Gw4sHJQ++s!c6s#UACo@yP( z?e_EYa=Wc{9NSuJ54Phtj-Qv8m!H4mIM&)uJDpD3=~ztO{%fs-liJz;UmHjOY4aYQ z^L^hX0Xi3L3Je6^30w+rIrP^;pd@4qHHF>@T?%mr0PqJw0E7a5oZMjmhAOSS5?rFQ zY&!{#k$_CLa(n1q80qJ>wDi#b_Vl#$WQ$Cx5*(dvw~UQ#jRljE#{`kw$t;$xe4NHo zrQ)I1)p$TTwk7eWh+OM~%+Leuj%c8&Iq~_>H!uCoCP5`Ess(+42%=Cf`13A6;&Ns4 z@Y>ns<+HiH?&0rAvMzw(J_j9vdwlVDP=fWvhp;{_PR7WcVGR}7Nk2Khc5b1ko1d_{_0r|lPBlYI9bnZ*h zai^S}D45N%jg?ho^U7ehpsdUx&CAYqc&sz5CX+)seR{+Z`3c6Vaf-wvDz4lVLmUfP zl8gvHv&jxN)_E-hbg&AQ-0FYo$@AC@nlTrX;g;)rR_oO-ZlOTCJ@PC>&wFcXyqLDp z>ZnIA<0k68tha03u!jjh6Pp^~Yx@obS6?4i|LM@%POHPlaLT>r@w$Ak4fWLqS2FU? zaK~#!+U(3l;Jq4E2;Dy<-z9?F7%vms%69CST1b3Fzf4J(h#Iy87C-ely5R@v`^RsC zZ;gK*pPrhDr=zC& zO1`ODHc2Tgur@kFPOG(Yno>Eda@IrZJi=DO+F{npjza^|aj96sQtOTByN>FSR;K$- z9c+_2KYQzQxyH5n!~gTVQ9}VZ1Ulqy_D6R zFTWQYPJFc+max>v;f7jW7%?L?rx$H%9_)yB&(djIuzTp%w}p(|_Mn@*cZmoc5!7)O zGQ3Z71G)AROD<>16sJMY)mxGeY4+a8m_3x*oz>B-f3Ujf|f zbbHX@dv?Dud=IP(SZjl5HCstS^Bop*kF&eo+x6g^Z=XN!G1uAeB+q)i$5X%KrbjJR zA7j7J@v#m#!3xF^E~7tm{8yXCz(<=H)4rJEZJXVqO_!>t zJ8Ehg^d<=4RE`v!m4YT|xAYw;rkxI&rgu-@G#!&p*H4|QpDxAA+pPPnf3$vW#jT;j zau)S6YSS5$&YJO&5uK4RWh7V|MZB{$VJt}rlWez49wF~$vbXT2LGaCXo=ySiy-_YP+ zb*M8ugBi6OIwR*^5C}Zp#MQbGd12>~{yC&&MF71UQC9&qT#~?hyRC+6z8K}=ZuF4o zeNc<~(G8$TbOOlgdjq%EAFX9M3YH#R6FATs_xik!Aoe?S<%>ebzU$D3w9Qe|@m?** z&RM`&&cwuxrCEGydU`3xVkvE^kJLLHrOHK%+DhAgVxl*t%lmi|;}kM&d>#kKY?EA{ zE1|#1uJc2z*U6cU@ri|jNi38pbUM9lxCs{c>yiwD3_8^TO4lrIUS!Y$;og3oGQBhn z2Ooz5+b_HedK6N$>-^_vtr$SH2$+;`=z->9Lygsak%@km)O#H>mh4-l%uyd>UN1gY zX}HCWB@j;VasP?E;EcfQK~%`;osnG>u50jvD_)-ftl0I-jcXJ|XTU{`G?-tT#V66n zAH3z$Q7hlM{=5VLNJ&&jP$PH)4l*|(?D6}&blTRE2DdLoK$D=;K&yT(Lf6-S( zqFZn;Ad0-vE-!j$sQwoNbv=7AA1LQ52b$eC4hH9?Zu^1;a6b5 zSc=wPehVQ*8~7UJ!?l#Weml^t3 z$DeJiG0wpL3!S@}N3XvQ-KOt`l2ghlr`NxUGmo>0^MrkJpgj^8AYDv}r3R zmzS@6cGgA(q0eF^!R=uu_WJn3Y1QWMmi(BE$b|!kEPUrro)pVFuiQrz-1kY+?Moc;ILXAd zRlO^N%A2RuZU?$33#pZQ{FW=B0%q_MIthF~oW2UzlYj%n#RX^;FoAi=5mEG8w4IUo zOx!~KN>Gp^+@w$nPl0`nj(`m{+l3j060mMXJLv0X+5@ctt2Kc3(|_;c=7`0&F#cx5 zY7b-UuAu8hw0%^eOT6=hGo=>P##zVojF&tyqeST-8VCTCR{C%$V>4$esg|6ar=M2# zEO>T7M~6}^FRE-S%FFwA<-h;WTyx`MIA$h8F&Zz7jk;6%iIg9*lo;#CCQ{=^;YY{1 z$MN)HBhzwB`9U-q<5fK_uo$aF-bC|#20BGNCK5iV^$P?pL*PV6V|JZjj3m%7L|FGC zdZ+hZv&Bo2Aq)BkLGTH1HLN#;P{Vg%flP_kT-%3yas@aXgzqIR^dk;5=*okk?=~G{ zis2`jU$uqF9k+D;Dp#$c?JwDR#K^!J!)wSoJ6Kv>PhO&5)w@iZV10c^XYkk4FE5b> z587<4Bb7Fvf(b*8+KL)C0yEmb)-zd-9XWRn5NFPKFU7UAFZ`Gd2HlJ$VV&W+xd#iq zq5W}<-(n76cdw!=k|fSBjK1R?CJglL7t&VO#7sy@1$+eor&U+W6r=6R%2AECJP8hx z7Bis5FdO*og(q5w4Xm$OA4i9sL7+GRc1Ef@f<6j2*~_FR63k5%7(@7*V@k zO`OuK2gHjzZ4xn+&4>3Klrx~K@|xoDu0^K(uK|xwdm7!x-}0?_JM_lz-8Im){ukPc zoZ|U2%BLr~1~i7hgDXh!tpPjtI($VfHCVQ3^T^v5gyi76-yD=AYqTo#G4C=FF%QdgXXA8|J-M=Oo)yW5^}Oag5Y*xaAllbD0XF#=Y@Wsk}@+S)P3B zs@pey`Mf`RbcqI;4HlgfY&OS)LgVs*!KnP$(RWSY8a#||)Z+Li5I3libJJ#&tB3*F zj&(Iz8VBrigycnf4^C2wkT((Q-lEor!RfEp*t@?Q228bvG=

    T}LQR)GvVRSLprc%1!61hFDFqg*Nwn8p;BAK#_=&r{NL1pA*vqhk!42 zcgeNa?|1XSkP#Gr+sPW7bKU)0WkU)zwhhst;32wb;boIa;s{Sm|d+kG`etG8Xz^=pWWExkvoc(t`vt|fmhrHl*s zd!!0ENWn~U&54;BE+3^va`WEY2-qt!|j6 zGo-2TMQ77O#d?uIP&n1RG>)Mx%sWnAQ6c44aQs^DM@AQAv}-Zw0;2_c9LH+ku{h}X zBCdi{G?DOxop(lm(ao79E>3PP<`-%A*@-x{q11jBG_!*A0?!uY;$a`_o(5-dj9a2z zsi9wB;MkLwZ6w3tK%QD`&YLe%ElI~axo8X|UDIgW<5&J-Y;}+w6N(UFWlZ1OH{oU7 z-5@+qoq@*7MgaUr;^{-@tLuA+4P>%2S z8fN9MaIGZ1_C(~qRrS(;daittoFXTS?MVfugYLV99lUVMpQypb8!g_@2=+mn@j%#y zN3Bs+NKCw(Po7(fMIY{t@yXWVf_HGx0Vmjr$TP4+tB`@@>vrwAMBIkek-=%X9#!`$ z>{}}jDf_!!S1)A2I~FoJZt9qVZ$Zjh(hL-HnPm<-_GCpuv>S!vY+9w5nZGr9wF%x- zT<)2c%SwVJEd(iZEB|_n+00~btBUx1JT^uK)qieiyiw5kdH28W7qB=NeVT|Q zd=Y3Qtw)so!>`njEBkvP@(B`-U2mr{TKNj_g}9JnyIXHE5U9u|6U0TvpEiWvCqty+ zBG)pEaCd(wb!}Q0O9-&-xej?{f{4LHpLji5=2E0rhIx&*zV^QN?ZH&jP^xnF{=!$2 zax^wm>oLP*c*WUP73p{QVFU4HoH!$G_av}ruVs&-I$dmQ`iyNqA-RUX|H6?i{l0e1 z{sTNer=|65;erkH#IQIDoy7`OsJcEoo3)3U&8c^U3IPoS0>l!OIx%`*t2Fn%Ub#6} zRz}c%8$?TE9euxSh}3Rc0M4}tjgtJ4FtilR3h{{uYZTM#gb!~ehHCfNkj5kuEq|hmTswXC@&9o$#+aY-P1O=@9UM;_-C?kD zUirqmKUdaI2E`Z0LRtd_!+wE77AY$n<46@TiY;&}F(&jG5a61o|=E0s?Y6ON=IEN<|l| zf(COV0u+v)inrh@cTnXSe`rHO6(u}}U7N`Zmlj<#1l+S?DFtJN@u?*( zd?RaFQ~v3!rmgO?!B`6!PzO0gB8E$|4mz2Bi$u@jwnO&?|FR#qokzWuk6Q+j8Gf@r zPCmI%W^LGzb{0w0xb^AePIEi{=hV4g-1hRU7^^MAnFdV@pkpX*Ph;6j(Rw9DvD(%R z^yCT-NoxPGcXFMq+EZH#e8L^4(~(lTECm{ars$Jj9^GW-8HzrRWM%Y$`oM=r|3vaQ zH8?l^E2mTeJP=a-LkLDHlOzBZnIXfOQlqt_puxmd6kr@(aMe7i0lxlAH#`i-|8Dy$ z({Qz!KF)ImX3=|wJ{12#G3P>t_cY-HBCog-q^w5a=Kpvl$FyJd%cnb8*arKhYCVHe zwO=ur%nZj>KpFKmh!;K`ku2&LG3T&bJ{$+L^xH4o@IlMXNpkij888jI_7j7+*Cn8^ zshB(`qp0mZ3q0q2e05@sbBOcQ3*X8cms1g_w3ls_=vB(B+X`Ul(+vbBnST z-4BMJ&6^P_BYjZ#K&EgKJD|}@=rnGM0`F67{$!^4xuPf&CBdn#MeVt02|j=3MN>Av z=v|-x1z03A>7WlhHEA~CA&C|mpt88nPva?`Ky#Nub-Z6&7^}zSSUX!Ji8&fmoSZj- zZ#CGtba%9y9*zhN1z$GOZ&u1Oca}~#-x5X>$(nvN9UqRJ{RQ9XOqu+{;0R|48y*O} zV-c7o>~wNC1#Z+&sA!#)`HxKuTJFa4Mfq(lj}WNzEkJ{%It2`c!wc~|$_S_p-`L!a z^l(LdI&A~MGIW`2`;-TTjV6bD<=bS?EqS7hw-#!x82^7U=0y!;>QZq^h6(8 zO}sVN^eY%KN0ROmce283VS|~*!0zBkw!gXUd}LBtzD56HHKfmXtPKIZsLjP5 zoDv15^$S)9rNG}6t9LyEqN$Lh)|JHcrNDTdDA|;r3(WhYYw>);e zwh>r!x~RxI(d#ZKt&R}1=b6yX{GxRLITzPQ@fV3YrA76`DNxHgfpVj$-usmAe!Awz zw51?kZz;04o!&0VsN*$=MZpDM=n3zl&AO@6e2-EL#mVntdbSwh;s3EShklY>x0+J% zKh)aqPK*Lbv(U(0iyufUt|!KBUrsB^Vx_Tk+-KKdgJ0#g9@Ay%bqs)t2eFCg2>%#f z&S1>8^cQ*rdA9cdsPz2ExgYdcdJs<;n5L;ahb@-(0r#!*nohJHKy7N9P*ahf;ZP>< z2ERSq{1E&sC0^UjTFs*8j_Jowz<|7`AEyd6R!aqSVMa@TpK%i!;w}Sq-l$V>DarVP zO$y}3Tg{Jk1LpF82GfrjaVB)Km#~pAu2SW8$)g>v<`P*Tl8}WWXrssd*zbOB?dQ)< zWN8NEC`-)9p{f*o-b}IPz+~};E{RMZx4ln53a-y_r3T1uv-Qg<)@pA54kCa~ZRngj zI=M%*kQt;FusT`L12~a4x)Km$gll$PMY|1?bDg{Dh*M7t)@~t0l#(G9L`lhjyn`WK z2)hcOdgy2S@Hzl8)kK@QV^WN510q>?-rfo(Z{ZRPU*H;&(~9gWDkBr8dc(URMXuXQ z?fO6!lV=Z`TQ2nup~93f_3$z)N#Rb|HzsXsEMZJFY=UaWM){pi0w@>q^4j&6<7@Nv zuOrl$dR6tIgypo12ed&F$`hbtObW*))&8z~7k+!hwNr1C+UtI6acb~66KV~MWk(JV zlt8i<;Y<~!nHeNAg0i>7)1w#quy86qT`xX6xyhaiO&vaDIxy}w3X&eY zgkE^X`)@ku`XdLn%7R5J@p0kk``MkqV8M45Sa^dX2T9nlQlas`KYu!Qg?6XZyISKo zQaTy(LK?o;s<1Wi@l8X)HL2=DNZIpwUD0{ zt{%k0tt8igBg4B8+5vnty)ib{$GF>~iCT^p)f;Z}-GTd7fI-r7Fa)S^vmM2)!+1rZw&%WV_Us?{m?hT|^}Na(iC zle%(vs3t9+#LeeW)#}0De$4+6(W9c-w`ci2*haa|5C6FIMlTKJ{^exxs4OHLI#1x$ z6@Y@HUkjraaKXeUcO(!2y3RoBjAM$BtWSWo(bEqgH`9lc5ywoF0PvY$)seAm5~r6{ zs~AXwPIOz?>*n=f`O>hUT&Gum!4{FN(TeW*uYz4I$U&{CedOkciuDDI7+UH20$Ikt zM^gw~fzR0748Sygy37WC5!s~20R~32cRrN(q3#_`US>^MrPdv^Qsa}fx?6e?D*V8L zHr)*g!A z;dlPUA${2U;_Z$KGyaQMzgfHi^={Lr;$P@V=j|iLrjXN0jF;2;dAz>u)*6{tOxfvL z_5SZc$Xk1evfnHRK!hpqfZ67MhXFtphd%-OE&Za5w#>vH=g49}1+B21oJ@B^h=}}U zrGeb`3?U~O@MT2N6K^L%Q_lZjefqh&9wXz5jF+YmDSy3XksiRsrhKru>^0w{y|nkc zFdxBB#<~l+_qw}Bmqtn>L4v-K@X@BRh?m zTL$zswziKn?Il}b$JVZzynhzGdK8?8G0ty?>v#Kg6`gLcyG5~2Yklm-z2@>j|2Piy+C4 zD1@JSmx7X`XNnCQd}cDAFMp|Mzt%3|GR6jO(U#XQ+2 z9#ZfN!D_KN3nopqjKOrcv}V8vbr^<3yw~b`{`X$5bCwbk$uD9dF6XKb?->* zKGnhuD^o_!9DNV>h(AZezxBSovkD~sxWJ@0oV&%z7dvY{;}3?RpLm30hpo5J_~S5E z6-Ztk;Uhlz%BknI^{)Gqy^#L|BL^kDqObpT-o6&U8xIl>?}E!#3#Kgp0_SbDD($5p zU;KALQ0QURMW`crPnXB3koAcc4?txWHz#BBb)Sc@o~PDQ-Y~%jVJJ z{AM4@9#H7I;={M=Av0K|7`pUZfYokW&neWeioPU-_$_9TL8*Ac$dE$OP4-la2gu^HtjVT86xRYXe(;R>d4))_XM)`fhNo9?OanlvDn`x1- z8$~xck-WLZd5==TZjn>Y!)dB4aAH;2ks$xFzXD`Q)>R094AbeZchF%2{}%K8N6}gQ zOO(G`O>kYldKz^~LbvMF=lZCPdhC#u5gm1hRfSb^LMtprH$CTAq%J2sQ5n_es|lK{ zx20v0sC6T?6BGdH2@Y3riMQY$_yjp5Rrx^6F~3{jFymQu7<7}z_T};Z#`mKmL8m=X zQC&e(aQNjEKVM3>N5UBW#Nl$82LMVsN|q~iZQ3i30^% z_#?S4<5HAuD}eo!ix8Z-rJ;#9Y1?l9;ng+Uo9@`hwy!UgnKn&H3}^0ERQjIlxs!Za zUeN3vR>INq;X-(2WqKhSsDz#fj{pP$IILRv2(LuqV~>CtIdi&I@!xQ~q}Ml!(sm!b zjO}*Lz6^fpV)JSL#rr9-)Wfu7OBuc+b4y`$%O~f%xOMkNabsI$QDfUGzO(I|^|~AQ z+a~pBq{<&fGK7})(9$gaE$4Zbekas6fc)3K`<|&#bc?xdJE>u%h_at?`F7>=@PeOZ z$e&geV%GMRVjLOvcKUfHU1v0Hb_Hzol5ME|pgIxdLJkMVY!yEW*V-N)M>@RZ<@!$> zc=4n$Nzn4JBLutoe1|FkmQ2nnism~m35GXgeBJ<8zz_BM;o)6oI)l)Yg_5n0f3B|oKt^MIfnzUPJdb*k`ngwWN z#aZROd}q^GFXCajqM<%ISgS}T%7poV@$#39vhlR-9k~e(a=p|eKXHFIVDVrqe~xqO z64JTJcN^|R=XG$m`XV&&K9X`lL&%-vD7U$ey=Q`g{jT(aI6NN(K-&Oc5ODW(oOkA8 z!#RM=zu5{8iu|c3fYKe7$P7xi%UBMyNE6ykT|X=BH{9D09qHpQ{eaR-Fz^1ElfobY2MGt=#{v4NT7Go%^BvsZjULQcQg2wo1;fYw9qs(j>Ur$Y z1(IOts`E*;G2muiENsh2C-Xi8PcTVe?2U2vte#o$=BtnJ+(rO%D^AWJZ$@7w!b$b~ ze{lgA2q;M>?;TD7pg4+_1xq{-%yi?cajQ+Au@1s`l(O*kux`?DY46tqdJtA*xCd&i z7Xj@%lUE54x*$i5%um&wb|QnHCih9dhRFXFWjppEO4a>6PT9EM!UQ%h0E8|_Yu9$x zqTWg~6(+4yQ?Ng{IR*?Vk1WOW5@5mBC$sgCa5d%(l8yJ#VHmGcmBkVi1-+;Tp6GyR zdCj$|#DT+Q`uBK2dY~i{kUY}FBvj=Ybk{OqE!=G}r=}UTqw(iSx$G+v`W!`GKy+5@ z2ERCqG#Umd5kKa$RPL^!Hvb(cEyhTF0<3XIOEINUW$yoapz@2*yty|9t^I~g_Q2u4 zy}ezdWeOzC_R|1#re39B>D&+%?qkiBic@f(47~70()6MnB2QP%opxVkAuyk?Ids`1 z8${Il$?%7oef!AOs&*po?ZNzg3FZw8xD(d-!zfz=kn7}QZA9U1*SW+Y)oGzjU}uNh zA~||stQJ?7Ru-n?Zq3Z98;RumOCwW@w?v7>Vys4FK>Qxkl!52VAwY3i_rcC30 z+3nUgi306)YYUq8)sxu(%}hYunfwGO;a^L>3`WJsK!=2MJ0Dy^IYt$v_aa2xS3%v! z5)^H#$w1u!th{S4@PtOOa31hN=_m=jvYn*>p;Z$fJLsojaO*ot425NBNoalH0J&&d zcdq)Clkj}Jx{+N$^F4(3iE#*0bzfP_$Nu1nc})LVl|Pk?*-hyn%Hx3CJ7rO`!`-3QFW&8C4kVdfw@~1&$@DKKC9GB zzkM`@ip2+@!OW7otK4jlKoRMP1 zJ)VM+d=zMY!Xio)bw6e1)amOmX6^O!v{i9y<+uC!`sgQ(sdXbdBWn;0>ZDGhM4vJD zxKEv3vCPqIZacvrM)qrd&91Q?PGX9Dt^+uUO09}rKyH+74q z!r;@50d>g#j-FQL9SxafCIztd~(jPa-C4Av^jG)z4hU#Y` zPn4}SB}z0wPToK0NI0Ao15VE%ZZiQ^5aq8bEnJ*QBWu#ETV{)3&EmhF^952Rga(et zt26?)uHMxP?Zv;~wK9r-7m^V*X)sH4fS!71?P9Bvm|i?P0@w*V2r_(1UK4NKA#H?t zM6bTDdjXN{K?Nmqs(@;{8?7jr*j;VgM;5XjiH}Jii+@W9Uv0j7NkV&^(Rbnf!q-dK zaBL`t2mDmI-3{Z<9f;m(15$z?P!Xi~=z#O5kkw{T>hc@L+?rmQ(A5KN|0XJ2I(hHk zDs(5h<@sRI=bIv?gPXh+`xO4lpgtt-o6N>{nSIpr1-Rrg^VVoN#k{S$;{@DGBiR9z zOUcv~H$_ZhTUeKwbStz;F&=b$xka$-h!~mhkf0NNQAYs30~(r3!gX?H7B9PnGf`BZ z5=rn7+4|rVbq0J*68m>C=iYw(9T5$wp8cphIJYMRQ9f4AxL>0+IovkbmCCaM)CMLA zheTOSBxUno7G)KPobbTuSXWxGmdAdr`uQrCvSLgyYXR6^#X+9G&X_d_y0;Y)R*;s% zd=mfzCYDZ-cZ-^g|B+HmXgO+L zc00@{NVW0s%+?m|>+Leo@c4uG4qI;MbzRT+us{zP%4{gLB5RkQ&ftF!^BVcW%Ku1) zj@UbSNU3?8mJ$b@NF!~xu2RyZoYKPt1Er#C=iln|x0MppvGxE3Uq#rRR&Aob#N<3c zAWk39X1|`Z4m@Z0Q~P^ib}KPMqOKj#ZC!5yd0cTjiCX`4B#V|@JEiUdUDMFS&}-~z5P zel3E(fQfYkVa0wPMo4h%Uy@_xI^u$+0o|ywLJo|Ho-br+ntpldt7l!sdXdi-T_dU7VofoeHl3L_)cIO(nkEs~cVLO)_r^h-SQJ zFF!yXiRuc7{{Sc&4!UJ-=qDXF{gBOTSB)qn^jMf#KCQd~YQe=q3c?*-sn9A#)gQek zsNfoitIXSoa_C1dB6%T5Wve-51qIiNp79O*Q<6FGTp8@l+v?4+RmpM`Cnk)g*5mbv zTz5-KjXWclc))8yOw_O;p3$=A2xO?Ul8Ike=z0%__rT+))wYKxz}W6OZGecfLm*VJO6_3r5URpo6LOSKXcz@BY)j=+HmXvQNk~cOf z#|8~{Rl5K%Fx?|rSdJ5}ja3)e>c@9%&0y>`?^7aw;u-n1v8*1{g7&u?jnD8~!HXu% z?$kH-fj=BLs4ZRN`{Yh)+GeEQ;oq)WNvAFli9edfF=|~Z>#KrAaDV>0%ntt2{Y|tL zoT}~aupM<<$hL|GA+hg5DtDfJBeXl{ZUB3f=q;{m+TKUL({|H2;MmoStl}L}bEQb~ zjZE~a#L;mE-Sk%z{VLrA-#y^~({(_6^8dN#7y041U9nUtL0w-(^gAp~ofQkfX?TJfe4-#(WBgs9n5T@agu)uky&QMd*vfQL zaq!FV0y^|vNG>~)J2MH${^vG9U~Gkt%-YBf2i+j2))mDRp~%FCb=0EAQ|FCwhYR8^ zP)?l*V{7y{cJ>3#8|-AQJ4KeCSBFRLCu3y%eN7*xi^cJQPLA|q81YHlsAFBo%kvSA z7krt9%qLb$K#)@rGJ4rw*PWK&#eAR^#k^pd-%fHY_b~Ud&LPmpyLVD83Fj4c^9B=; ztM8R10&#C7o76cM6eBDo(_-~I!l>@KJWv_Kj`jM7j!llWd=pGbN zaxJ@|)=5BT!Fy&cU0Z#RJG#W(b=)X?>>xhTO9-6*WowLT8m8~UM?KaN(TytmCPEa_ zfb(==iDs4$_j@EJNCUK*5Q+6zLaY7IP7PIVQQmN!Itig!vS z9)2Gs2T&|Poh&-TajI{XrCssp%4A zmK^3!%mB!S7EjF?>{RpdRM=CI!Nl(bs?ho6Z=P=q1?tDagHmo8mc0!9=3v?$4V z80yr5`^*XuC4(<9oePfF9ZJ+9*-j~CTAu8^;jL(WB!kUM=q7rka4^v`$U(@wZgME6 zKH=irctt6XX|MmVjd;sh50pEA;oA+RnK0E(i^M#1`)5vfv;U)H`?->LPGgA-FqHc- z%i0CzceuTs0cQ>}@y8rB^m@`f4UHE8VSyG551YT~!da=vDa`Ul^=Kf$oOIcOk^%;KW>+G%{mB&tKtH(Vj> zCzuIxw0oF4X{h$ueL!V{qVQjtWp95JCr7@*k9D=yNS;FNr3_v!glW-p-lvg&MVEzK z=&Zqiq0*T;H)Fv<>E9OZCX=*PJso)`%%7RD7+bP+=qOYZ^bVeqAEQD3>>K{Py`xOH zmOH~fc1EtsabEX}|BcG@v!O5rJ=GW>djQ%d>uqTWX(q_of65HddGTf;ic&QCoa^u!(^96-rHat+AMWg8I(hsS+5jl$6*uU zs_eYIDXcWINjn;*g(#^xOOWs|Fh?r0WAYqH1BGFw?P#TeN@(DsBdPGQ z#X`#tssLBl* zF%j7}O4ys`=ch`FoZKStfc+?>dgZ3!Laa@NpjK6gTMh^#0Rw|Oy#nX) z+(g%_(bA(TWVEEL!0pC@0pmeuv`2bXXSZ8BAPiX43QoXMs_NP*>;(A zoAm^nRsk||n<6%UOdIyO?!^)zX^sLJZX@MC(qS`0wl|$z$a|NGUc>3L>V;=-&%_OC ztP)2LJo6r$5;+B58a^x-s=B#1c)MqV8gud}=cL~(Vm*zc{%HD5V?zZgT6RE090LRa z??T)b9bFQjEQQjS@dmL}67>cktLyexs78I?BjmT>wr=pYWDgAZz4sTbavanB;(O!= zqS8e-|1uean! zJcq{f%ZV=`*NGQkRiLq4_R!F3x>J-}MkIUt5%Y`AEDqVwZeQ2(@D{U%as!KY<9BNo zGKG!6|CUF?~Lz~=>A7N5y*m?N6{zv(gDRmcPM-S(195G zx%ynsN^&IsSgRy*Cd_~D&hULYvDt`?F%^+R5*)%esX{{?nasj}r{Tex0?04KJ@THeT$<}LpI>-=s7qWLwTiSFQ zD&5+x*po+c1hmIb91@lY=(K$L!E@zr@X=Io1K^aQ`9P=U7@#Wu6+3OU&ukZaLs;1w z*(X5r8r7-s64LVB334b^&R}wdT{|<;BSvZ~QrcP_NLFNe$)B<8aT-4XkD{U~NyWb* zU%Vp>Y;{H3YCwx$nQguMM_nDgF<2Yw?^EEfe%eh2)?@E7BgY!^ zaS`0`iCu_yDI(rNLw3M=!c}@?%!jIf|7}2jMPRA7@cDt~x64VWXyC3=!3A8p4@Ail z1N0LV>2IFL8n}J@eVJEWGZw=BFES|BWMLXttK=gF<5!P7*dwf}S}slgYTkD;i=>DZ zf$fOcs1Ic1OJ!cQxWbw{2a9}RmeMFX>D5I+Wbzghc$la$x#DBw&{l6C%*<`{X3n%1 z|AhNSp2f3Ltoz$IC8(?pzb3EAj=bVyUf?v06q2{*0Bq22d*@S^3ut2*uQuU>i!Q&!F-Btl1pnT-#jlff^bD5kd}p~J^kF^tsT-Jm*nhsZNzpq!Iv7u6NcRZ90yLyrq;CKv|3JSZ6nn?|B-Qs&0y1|Nj7rF;NfqfA`;5*Tui&N6He%8*HpHt%GbaIQ2K2cYDa%UnD8az6Ur&(h#jdl= zzGol&_cX>*UM47%EdzPZ&u9fy^XzFg{r?7}?p4)!kS1}A@l=-*AkOaw(LL%bvD$_f z+atPy4UlSg*X9UmpC`H<{Dl)Ik42;8GZ`kOQ_puFEHo<0C|k^&I;k{Yl)Fq__E=8L zzxz67@r;)(#NO%3@0p0R89}9snedLZg#1t`OE$$<(lXE6^rCWxP3it3?;TB8Bb3BZ z!R3ba}xcRsVeaBHiw0N;-#JDjyml z)OmHKu-z$<%)q)-lKI05%3;L*1jQcX4JS7^hnEv2uFD~G(E)U&JR#SJtcTM1Y&8_d z4L`G$A8Kzb7bL27_VZ+C4ybI;8D=A7UC1MWP}_-r8AJNe4`R(aod;a_2+7AzCS z;`741p`s#pN|tvVc>TqFVQD~vwcBbrhj-mo-Uj2^ON)gR+1kJPp%NIDLx?vhK` z?w{i3oekf+SB22?XwXZ_jKG&ep<;~BJ8v;FwA`XRG-j9DQ^`%SWEWOnlcLtES9(Hr zDANzVo_>dmpKH0S$Mnq^&;bR~n0;Viz_e!59I!E4wN54~xMBO(e%&RP#n({0Jx$um zD5zS9$Ud_oO|nb7VNL*c4>4+2Pvu7iT=efJA4yT68G`0_r&~{aLt-9Wq8YmxXzrp5 z%QddiVd`y6^jxrCT6bc3*{OlZ|3FUqRJ(cPqU4Q3pH}MP14R~cBgavmdl1J zuFJ8@(gHVw!z0MAuu_Z^DOUqMM<7@CJ;IVfYiN3F1G@Ox&qxJgG`fYCBwPC2zfg?Y z;)>(|Npy72(xU|{nO54t$wBF_>?9BcY3~hPTgY(5J(}s41za_ux*RfqBAcUZDFpXD zX|&svD8*Av15L66+kAH!`e)BgNRS*u9O7sfiC$)!am8DwwbIH0Mu*)`rCG}Pp&hnH zHMf!rwnv1wUKiwLNfUCy*cTjGFizv8jkmIl71BMgeE?O#-h#S`bZhBG>Y?>I=W`1# zYV;&Q!HV`@q9ive9Of^ZoB1tvtSuE)%~Yu$uKqW|23e#RFP=nnP4{$MXFZj}+L7Md z%KU`s{a_Cz<2}Oefq2p$Z=I39i2o+t2~MVY8jt$gVZqT{e7YtvD^K+wA-l; zdU@Rrz4>oVlRlWKQSbl>iSf@_BTS*9Ey@iaj#fP3UKjUpraasKB%y>|pctL%k#T#^ z(kq)g#L3g?;T4z`h*QmYa2YY8!ctvt{d2RM4&dbVEcEr>{`7LE8MX((n|K6Q9qah; zJ)>s|Ij78K@u-5|(IN?B&5NO^ynCu0`_`YyVegJ?*rd3%;8%yXW`+tqge7g8E|2X7 zn9HK|STv@-{P8MR`XD=7*9gc30euy5Jj;|0S2i)xt-P_m;dGJ<$E7%!m3gfIU%-;4 zI~<*)Tjr9PQkp3Js^P+aN=}6p>-^drbn&8@`47998ta4yyXkRMEM+oWe7U7H_56|M z#>;Rv3G1+uw$B9hu#V-(-Iud=3UAXEQIYoHsSX!zpMI)j1E+z0qm5PzC!bVG&onx@ zEPQ04fM@bs0g4_#JS-ckV)g@G4&;s!ksbC##rK13#AjV(Qb|e;Kyw0 ziOW8!uq#eI?W)NYg*G|N18D0Qs+Gc}h34{CVzT3Lz!veJH$qh>CC5$OX`IBb zj^p8yssa;yk>_iF%t!Y6ML@U&oUa;RmiHrz1AU*zQ#!#nma=?m>Ll71v72l|D}n!2 z4&%fL5f(ELX1a*i4w6L5Vt8Hq2L;#HJaKLgD%ZJ%Q-6*GYEzjM`J(OF zfz`u`D#?6`8bi!B4I!(zVhf#IwG!d6>Ch9jrWt6{QYc|sDHtaBIx5lMtv6B(d@wkMp># z;qkzDEiMR?ZQ87Z$p97?hgPQsi|1ft`Im0#gi$sO7G*?bP^k z=Dz3WuXuI-T%lDs%(G;@iD0Edip;U~|9valE79$oB za8W0K>B;|WM1l1&xMd)o5fvO?-7l&GYd>~;kw1)fg%=x<&Y5vEFX+;O85ryrvWXw| z%LcUAIjL3NbgNald9e289wl0aSwtkzFCU?{v?SjBM6x>};ETc|5VJA@xLPlsNRxv2 zXXSMMzyiz;H1416_8!$bc9yasKgh=Pb8x5Bo~7eVs-T=w7B_#LDXM1r8TvYJgtQy@ ztoTW`%w{SXipJV{dVrU(?~2-0e?UL*t?u;zkaS2)_2%)j?1)=!SP586H_yc5h5hHB z0&jd(8C@F*cAbNl&`T6KDr zXntV1k4px+Vg&;<@(Q9(Y0-ju@578&l#}XDJ^n|vJ0~aElsV|RPWXg44rj5!0h?2qo8ZWM zf5=-I{1%UC_WE{kd!^!w*QyW8-t}71I@_yJn3m`RaslzH?jTpA*WuzXUDzbP-rP?y z8I%10e2Hnzh0r-OicZr>Q5z)Wnxt;cQa6fhE&iD%@9qj5+R*xU&=utxbf*ZL)&8g| zX(1D|JPR0-+=V)MzV&2FagmNy>Va^Uajd1nQ=rE-kZ)^TLGjMY6-kj^9!#X~ch?VL zk3`!9EcnR9M*EFQH&1X6)nZb(?QOVDU!g?z0W*MVE$3Y+Ptw#>gG!09%^=_WSodiG zYP>S%-X;7i`_(72DOjmx5u#LN&xvzS#CbR6ZJb+JNCs);vLaq84c>)NuVy>eugbCv z7IUcAmDdJ`qQosr6=Jeh&rnnol#jV4V77Wl{)GjQoLVo5_|5*F>*$kxaf#ygow^-Y z-CEd_GUTY_I9K&nL3#4<#?_B4Grs|qI}*dh;_tkEPA`B>mHPAkrKih`S>l!G>6-n~ zNB?694>^5=%I7{_13XEXPg``%0;ZvpTRNrswn+vYM7_n*NVoTy-n|NQcRN!z#N3Rx z6s)E~fiW;wJ##I&Q161YZ*mp@lXw%_rY{@uRg9B-5b1!ig-}XcPka^E_!1ruE&KBD zflf2NM@eHUNFsnQdix+nR{qLUU~i+^&#$%|*Hycm${YG*{tjG;V_EPZaRo#a)cgwZ+Nz z{dBs^nu~8ANn(FPf%GXJZ!aQ;XTCi`1U8N()m4Ot5Ni|t$ofCE2vgM+uC5^>8?)UD z3JJN-!uCKJe;N2>Ld@Kl$nWUAbi3lslWCHGTfW=oTiT*?Em81i_waEqA7fRiZ3KMb z*f5ZHbiu6U(O;-{-(63&Kt$wXvC(`hSMR9NuvP7IJ-qG#qk&YTBXsFM80Gp&hL*d^X(S|qfq>)(U)PU;k z@whw&9@iiFJ;K{k5t7l2Rpq7QxzI?#BbW(2xSqtd`34_|Kb&>{+g-8+&P9U}v!&3- z6G1a}t|(YPQ+W6kzq(XpN#v>;(_V5EIAv$(&X-^blIZLV3s9m%|1OXn3Bp> zq38X{`v#&8S$=){Gd^%A+xOIhF9rLTLHY~h36MF_2SJ}G3!0mYM~m|&z{09?*j!uG z6BiqL{h=2@4)r4Swsbql+55)1d9upwW>viu)0l_1r7Q_=F9>IDmQ}5n!Rzn6wv1?7 zi89vmP>tp%v4ya3CSE#>zih~NEA^Y_P^DicN3?iw@#*o+u7J(1&dRN|DY$9Z7{<0c za`i?NUna%GHTL(%2g~tx&}RvvRxAzLaseqK>b2IIYI}ZK!fkv3pl#2@yV(|UA5Qg+ zM%PKPD62e)c19VKO+-ny&x=7h77TD?N#-5nV~onI39iQHA=l^J-|~L-iho{+SV0-k zx{TKmT|QuM^YrZn{_0%Z1T*WRm}J+9@yM!U7o>oEUtNL) z7Q7`mv2e`ktkp}kTMFe+B!4qLgvW!BYQdL^CS}4rQE|)FzWrAr7gLOY(%LgBJ4We0 zIrLA;KoLVN5aj4P`}<8~w;`x*knwKu2DJgS4u!+kr9*8&HF_?p&tvpcc_ia>-5sJy zYXEiGKf8cCm|y3~$V(ikZWDokt|B~Bz%+=7)#5Fb~I*Vh!OHB1D@&hsn%%PfYsGBD#ww)LC3xu%IMTtGq<&))FH596qHG>E_j9OpU|f z1lyK?t<~`oAxVBe_u*nZ# zxlOwdD-)g=i??ygUb?&4APbE%1@z%|I+gy^%PfSb12;#t|A zwYlWH?O{(`EwekhFKt5{H?Mc$i4%JYA4}SiO%AFTs9wzUm*kly@kHnLUe@LU^)wHt zYd8)pQ~Z$jyJbq?g1_U=OJx(|oeQmKql=pzajy)qL|PU(b`?4e^!A2Rl<;cf6F^oh zlt6RZN|+Y)DC16%ugk3rVjnrJ^?RI4Te%z*!YSXIg@g(R*7U@~_;qrdR?z{BG=!0N zGA}hFes%<_p4`q(0%ohq9r)#NMMg&`n&6l75x3NlV=JEebIb z4NoMh1M@uuA$(t8k?Jx??m@iiF3`P*G$P3j3c3YUzss;zQ_l%R&}VwvH5CUqH1SRu{wF>3^6Z2J!ZO~sbqkIwjc2R?=8L&q z7t=$`!Q}4}2q_0v0W&!V-@-q};6HaCKN$-$6_ONJfLL3VDOIDEj#G@p?vu+!H7fGD zy`5Xqt!rbLCK8TA{Ld~+*C}!=Ln`m52A^H&xmcZqTGU)cx#W++@qq;M4oUARmSQjh zG97+qXa^BaiYz8lZ@wn3<2GwqPRQLHa_Ja#jFXj#acMcXQ79ei%B{bM==rzYqMGXE z$ytjh&>BmEVjj9uDjaMEM%h|Llfoo9{}V0iyO{;$v0qor8vII3kLS)OG!^3mTI8(SeVx}XV`M8MU9ne~hZ-^IJ;b;#r*|8t?os2N`l3dpJDQTdvrmQgWf7>>g>e zt-VL>7&UG}jfLL|A0DnWqLxP1OV@|78!Hcg&~7A8KN0StleI2eMU%~pr-geH#L4Gu zeftLhzw?+|F?Cc9*QIyCU{y**$3yj)XQ}2X33q!g*>#y&YB=;1{hDr#dgU%V+xbJ?46sU)ZFb(CL$Y4K*yOPsjQ47e2+&2-bk%mn2;z! zm5Y4tw-vW}B>@vm^EtojN2p$`K742-ZVN16o++9WenvIMA+M7hQQ|R;7B;`9kmRCDRb6^IsjiR_bnB0s2YzX;zmmj!NGDo-py7P&LQ%s=mmdywySh50q$F zp$5)s*{MX|+yYy<2>$l&RZ_#CzW~6^CxFq&dXK3b?)=746ocE&7{mII}|0OT-5q4qZ{W+ zzb?i|eKeq9VX)~y`V+Br3odO_T-z%<=2HZ*)z9fM2F?8AV)v7ta0#@cjwj7CQ?1x_ z)NQO1J4{%oYRj>tk&2-ef+Ud7pbG{ZKA?apU86E>7{|CbYad09^g`3Wy#~AIku*@^ zn}dWg!jnG{LeXEk!*-c;v9l4w3`LhgCgQjWzD<|kB?}`V&9uA84|fVRyn)h~_8}1b z5Bm=-%$`2&zm%8QF|^See-w}uiapOZp z_$%$%1WXm5cZyoX^R~+heBx=NvW&KX;JK^lF>Pp1%y^n>++c^G^gD4+*r+MKiR1n{ zj2r3xkox0Pz2Y^xP#$@e&}_I@#eq0@j2XlFacub}PH947TpXab3YJ@^?^5f(0e48v zcIi9F7)U}xQ;-qGcV-$J0GI6m+IaVZ$x>(^eN2fT(I4Qv`~95*J_!_ghS^!;b zKsv(9mBS_LHhEa`p79ArW!!w((591pJ6430lo3C42gyG{aMkS30Zm#8^5pONjduIk zB#3(2p7kDncua^{69|kE|F7kc27^j%n>bgcun?V-YWq$NH2Ofz_-LbtWpa6>%_W@$ zHY1Ug>J3tAT|Saay)fYs_ikcZ!&Z2mndkxxIOB$|qMRzv0f*V$>%E8f+bFcRqu#63 z(biy5;K(Ka)SQDU??3wMFR6KsUWhl9-{1m#H2DU-pm}9^ zU!qhpM*Sj)F02>2^zG~t92f2rIGs6O^tQwV3y3`# z_-~l$%PVo;y?sQ+qy<`n>Nj7xRc`0SDIyT_)a%7aW2SzK<$s)4)n<`v}^Okbm#Qtg(GpdnZ9zQqned4m( z;G2tINIe>7Z1;4Dc8qu#iqGgBl{VAYHo6h~ig`%28l|tNBTdz7PPc~2N3&5Yk9^86 z5&Ngn2Z<|ZaPg~d5VGs)b)+dQzV!%3YmU9>@X8X_?>x-L`XczP3P8lmzl?*1%P^b~ z9(sBMUgY3``Oy-w2iSV@hRvnSbF@-agwki8rUBF<@w=XzRF|)CgcO2@gO>v!ZiW>j z8G)fN()D}Ems}7(F#ZUoX?C_{1BWVVBO=^)ueASk!k=_a`z?m)$>Z|MA2|HT%5N!m zAB2zl>fluOIa%g}6P4_Y#l`Lf@v)9&f_}tjzUOAF(ppMf7WAO-nI1*Zo?u&NBp2gf zjVdr&$Nb(p8Z0sh+RvPNLMLP+!)s>RP7NPV%rA@FsXTyiH>vw5XIfo z0=YPBz?X7mk)|k}JsMYBEHp%=5@egB2)}08>@ffhQMrZd4XL*NM{VVszeHt=T~)J3G0M&Kq;S-_SlZK# z#OMALb!XXqUE)Q|XP4WQaiPX1Z*IK6^x3WurQT5y$_#j|SbIJ{h^c7AOp&{OmX*0x zJug1=TUR9j<*C!G$jMZ%d2|U8^qoQ?^v(Y*hc{=wy=cA&`DY0u0q{n5>IAa#A&2>_ zwnu`^ReG+sjvaFjQvk$*Y?w^L(vy})sk+i#eB6}0?&W@{CQ;Puov9`A$2?T5_*~OA zWV;~Js)Q1 z#kM)L2=Mj{L!ZLT=JLxM(IiAb+rIswJjlgNBbGAH?|$wr|6RocJT#Co5YecY=jihR zpdZECq!B^J+1r#|=s5G}bo;nRSOP`{^O&s0zDh&oKD^`Ry%ai2Wzih0b*=w19z^DT zt*hcINU;}PXYO*4c|ip6xv%aVZ&v`m^c`$hAi>)f%8HqG4TB6^8Uwr3rx|YE&!O#Y zw(BELg=cZ6+H9TnJ-*=Hadjka<`;LYIlz=X<)T#^iurO{yiV(ld+erMPWS*6iPL;7 z2zzND%dz|2tAY~8-)Msf7Rs`ELz-CU+Y}IpIZ%xhnEjlSzkqtZP1(^RkFB^*Mu}Mq zp_?I&^+4=vL<2{k3syx+9t&rZ>g`(yk@O-`MR8ycLT1Cr`@LK*MeG@A4wVd!WU zOT&6zRG|?K7Qwu6-2b2v{@-82jha z2WY7DZi8--qkbyL4P_+c{8XpX2hH+~^r|Pt1bW1*RDg4@li`&TR2#%hdSD&k77q&F z9}I^9UH~#`rXD?3Gpqs)Kpa!8e7c;r?~NnFMf>KLGz@lZHU>>e83rMDyF^m5A&Bg%BGsJQawK46o-h&W3vMa>~a zU?9>N$55;`_37w_7ko_8(X_*&a)db234mbY@zHP1I?g`2ZGSKIk*~AG(TK|(SDQZ; zyojRp)p+KtaitJIR=O(-g%i8dRN9nxMElPU=%2d)FnWFuK>>!D4IZbMH@{V@SlH`% zgM$gDxhW;>;quD8R}PmbbNS^L@C^iu#b|dk6Cq@Lp?zDWI_I(_pLr_#GJw9#?0@J| zhC9&?+HVcQ;c2FK;q4^A>3e#;xkwsm`;Mv)G9Hex*OJ(T-aYvqRIDAr7b&Q4l!y{9 zL<^@>gnnDH{Nl@1v>!35He21wDy6_{Iyg+QtP$JS=&MuNl&Jhuvv2ajIJ9O0@I}4) zp^TEraY4$7>*W;|^$C&4rp<)&Y~wK`3$EMbF%z2FH^A*JFEsfq9k+?h8}fBtc*y7# zv&${(IYWQL2j`!y21DybiKmmsJpxCCXo0JBf_qRQWX~Y)Q0g!0l$$>(i&*1Ar7v<5 z5zr}!7e5uNvWt4uBN5h5i3$G?uF=)5-w?0!2EgQQ5m;=*R`~{!`E*h;gOpK;1Py?=kOsZ=oU8lG$9V#%r?L1~TV#?lp=Qaqmhkk6`Q@>=_G(W+5Z1 z>)}q&NTF+Dhx&wYd`Y`ALxon$S4mUt*i0!tAo>7T?ASc80$3!~lQ2U}xFu^xxR4 zJg2)`d)@U(G%sPR{{Cx>(egtbv5hD|_11Gcj#pq04~6Ll zK0)H)XrcH|(jKMHiM6pJBMC=9CJUqvIKHLWNd>Sl(C*QyXZA#szvn*h%C0K)h{aR+ zcDG3UVun^wl2I>_7^sylta}G)D9(lUuJ(zVYnO{|5klQ26I3OM?Z%=_j$4D8{tIDv z{B01V=qmul#X@Kd5pAC(?Bw}nvF6eIm!r?k2H%DBdpxVu^7ls=JQCylLpyQ-oIUF` za+S22qLw({_=1TLJ@f)ghKlllf(TdMQi&A;j=sPbz%w15OGGe~|eTHKy)x8YD6auyxFO~T~_0r?u(m`*!`kmhJbMh2Kq zn~lS4F&|LoMiUxi8;28DE|w9xQCwj|x|gxFd{sn#QEGGdsu1hjP|%t#sIx(7Opv42 z?v%v#C-**7WH6~hr&`y)%0YcgAW9#PYfRY52AJ?BnpbdDD&+N=bq|PTBu#K6 z#@ZlR?}7Qb^%u@6l*Cou|0Vwc)V}W-B$;N>citY-q?ax7;SGfTw{~8Y7@maiW~Ke6 z;^FU#d9!G6hVi?d}^r|$syZ2a)*bog6nz?4^jZX(!dS!?oPlBdF1Xyv2d zg7+X~4@6Y90*VG8?iNZKfOM?AvV=zT*|FSd=h3h13}c(&;y~HRc_nR>-0mw(yXUG# zuSIC?&S5~cuuXA4$*%xSvKW@=oRDx4$nh9&arxMAS}7Z)4X1^<%N!R_y?{NlZ(r{2 zCxIM?4*k+_Vh_vD!HoCrojBprKZZTa?`pZnB4?$K7eoCH@$S#X z|GaOXD&unv5?4a@r00hRl4t+G0yqygn67zv-dgi(8NT|~xG!@JKdHYxc)JpfGqSHh z-R3e!UAD+(ex;#x^V9Fe%+9!RwO&H8vjtW$L2-f!x8fI8_bY!=SD#av;8kz6k;*6Q zJOu+Q97*5K)|R20WD^?aBVO>le!BkKCEBZ8dEVnD8wytv_FP*y+UHSjcKUJL6yuk@ z?#Ko5Q|ixBBTmRK+vW(8m7#PJ-M>A(u5{oQ_K~u7Ktt;sJ9ljk!(@Ixv+K~!q~P3P z=2f-Sd1gA?<~_yL(Xew?84?6@oeYkJuTEkv#LKSJ<8sjrXfbgmQ?mc%#>YA1H!&Jo zWahHqQ1VSF&Gs5YA1t0&ncc4Nq;-gy8`nCN-@oE5W<=bK92sc+$Q3+e+h=i5PAMSi zuEM1#vS$cUayIQV=SU8&kIDSd<#vL93wpbMVx6 z7oMyPph>&&+f$#HC3I&oR{9~1lK#sVu_45z_IW6JyfkSB5-9th0VIN$}^93nxp5t;Qfy>aLx61C^h z7bRnY(VrI~GqanYoQYCmPig|soa-4|=g@!r5&LsGVw7!ADX9Ns z_7wXq4@k1%4lP+;_XkCp(d8$hWXnEWP7m`3^~T^mOUx<3uB%7*aP6}Ioa}KCb2$YH zE($uSYW0ESrDQ`lY&*;>4@)1}^D$9IkPOJFCIaue@8WHpQAxsUat_XQirHSHrtnvE zFJCWbQguUjmcvX(-y5@}Zi}aaxx?KWI^X1-Jbicb^nMPrs<_G4F7Q+93YYoN@WU$IQL#Ipim~qtCI(R6?k*`KGGoxca30%eD1n@-d%#-9T0!UB2RY#;j~aA;j@F zmajStOdWm9k)dWBSF&H$%t(%XVEWT?{xK<0ZD*mwH37@Q{m>=HoNO4cp;w~Y8mQ8$ zpOKKV-z#IScG4Dq^LMjDxJ2SG5)JNP_g@+Ak?IH$9gRpg6c5?-Q4Tf5<1T3#Onb`s zC+hCTMs%JwRP7)c?hA?NEKY2bd3{hwKQZaFfZiB%(c@1G)+^Ih=TP+bl@QX$qB}$v zgE^iwsyqJH@MXl)pwC#BBY2%puK=C6^V1-GYeGKZM+%(lC0)O@}mS2ez#v+s zt@4WAYuzhPsh0_p#yr6xdKHE#*oZ=1^`MASDf7t}2N(GD*pEd<;JxQ*hMC%Aao?3 zGNtJa$!7^@#1>}Wye4km&oz%J*myl}TX{QGz1prUotQ4vW6>c#llNMJIWoO4=Z*YZ zKb_A?N9=lEFDiY`pEuE&Ivt}QZ@;J(OCZ{kZ(TMOw&)99Kcjv)j)@Z`B?- z*H3VlSC62%S1eY%HOarV{`jB_(s^wE&L?0KD~ADwoblK`hJ7d&Ol1JbLz=AY^!qi} zx|ub>o5BL?OJ}}I2KIWz1yAV8S-Elo8f#{}@s0ZN2Iu*Tw{a^w2fmvK_=j)jmJZza z$B#NcZQS`!_JiT;zg~n^+c&qX^#8x*yJ`LZBX-A0t={n6^_`B6jvK)L$&{W+z7iHC zYNJtG3>khDhN1>|E@fk5HpIHJ4F+BbJK5UVsRX}(%86Swje!R`ZK?J4_O3bj!9C(2 zvrk9zgd&eMF*!3c7|Dq*ve{r!el=^p*{aJC;|V@lR*ln+8uE*u9n7(u@}*Uh#HjPl z@3sbapw;Z9m%7p3(>cVIw#dqwm3cA%^-Nd52dM@O;{kY&E+K@=yvq&fnOquD!#@`e zc=VYKjx4ysFY>DCW#^E3T$Lm*Iz6*+1S-ko;YVDQ={(XbwHY>_f9-N<=R)OjMF?am z9zGQ+eT;C!zdt`%;42{2fgw4%Rv+!IeJZpQbL-BB6Q-XXf zzZ)2&q#w=i~Bfrfo8iXOJjXEFl{UZPYK*as)r&Nk*xfkl(K*X+HP{>Dj zpEchfj7k-ruV`ULi-ASe5&7)nJuoof3nVy8TC=3heL9Kjpus{$Qe9D0(6VgeKa{vq zbLytOJ-RLV3NkOgAkDes`od^^kF51#`LcoV#Aby+cKLG-kM6r5dXfuMvj9-a9f;2t z_EslN#G>Yx!G#w^83nVTKK~E_wxJsZi;|O)?h6)n-)q;9bGA*MW0b`MVk9dBc3m}681U_@5WkJ)r#HeFx4k@1nxn&L688MtRg&BE)QV3@=@=j;1R>_pRGEzojXTL2nI7*_r&qAWMLT zZ^0h0F<-t^kR8$Y4gL}dG_KIYnsT9qg%SY5&US%MJ_jB~+u)$kc*%5b&ZomV$OY<^dsko|R{IRnIG1|ug`J9r_s~m^J}XFF2hi3BPM_(}&AF-R z>Cs;M>@jHVV*~PRad1M?W%n_o_ng=@Y~~3onzl&|NYv4gMpg|p%O&*UX0S4=Q|8+Z20OK0i=6`C;YbziH|;c-<7%93-r>-J|M)@~5}sM#t4y?+OtUalvHY2c$~ zksf%GpEg%X0SauM@F34Big@;fKeUeGPn8Gdgfe0Vcw}7sgH#drFa3Zb9=%U&^tS6FB*$?pduQr4I2-RH~;-%|O(1%;sVj z4GJg=&@C+ggpz4t!{ipUK|HiQ2+_<=udcGe+9(vdlXV-Gsx=ula5NpABciCN5*^fUU6zi>besBF4faR&<2}yZEBVdDUR=S zY2ZuK#bzs5gW)v+78bil_YJri4TK`BwmIBCoj+q*`dI>ce!RT?vVUp`l4sUUQK6eY zU^GWTTVfnkos!bi(=B=#{Jvgb+8zX;{R*@SsE1T#uIv0(k^6);KxU$WYVS5|^BbUyKPL$Q8o>1I zZ~()^M3$A672s4@kA+Nm?#K|C5WMU9Q^CS#WU{O)aHN06P?~Ne1xr|b``YU6;gL(N zT>r^Z@oJlYl+3NkYg78<&k`w{9eq@?Jlydhi-=|BP2XFR3ZQ~Sfu!FT$S%Il%gY<7 zf}KLYVvyg;jPCT@(@>Fhdwqx5a%tB*NHJJ0lwOoyehK>~co)6Y9hgNF*ga(9L3_Ld zqsvvukb724nIesL9CGVVA|aEBTRm@vNr{MY3zQ-ZOWpYZsxxtIISh(%^~;RtJ-_e2(!B_#|Hk&_vQ61cAsLxf7_RFpbHhkC zKQaWsjkAZ~$TGRrp|=4LNUPzG4^2D=ZZ*ZrMj{rUQdG4m2r~j!M%)4RqX}S9+~PC+ zj}0-m`+(0L^*qd>03^ckUlZMd8F9oSl%h}xj5l!eif|83q4g%_zj-IUOR!#45MlUc^!mbP2BpH7BumExFS9MDU9;V z-Nq0D>IAL2x$T9m@o%nskpDf4V*bHAoQdX9#&!9^j+VAvtzO9h<<9Fby#sKp5Q zl4YRZDbW9$rot+ZBA}$w zmQdx~L*a~q1gJPiE^IktTOr6-tDk^FiOjX_)#=!SWHJBvCH{_sMWIfIpnEMrEM8)|n^&qQx8mue3XTR$i zqud9`IRc_odUE;8;Vh!oE?~wmU|B_6M=qY!OlX3d z@26l(1#vmFr)iEyp>a31Hdc{g196$B=9`lSxoz99a--Rt%R>h|hvJcwZ2Da_J<{E> zAGR2x>4jViuA32DTVOJvw1~N>lVfE`>O7qOr({54-INqIrTW z1;Z-O6_@?M+@6l?hMn&b4@n8j`~l2KlzbD?f8g1sodDt@BD3QH zn3)CG0dYVKnS;YBVq|9p+z>g-!498w9+X4g3)@=?(uO@yniEKshi|Hm zodjnT;_N_#hVof*SOWa?3*P{=8nwN1AVaX8Id4z|(tyaRgzVh2z$DX_tIK{0+X5a| z(&;lbb=cI@6j`T;z4ol6#hZYeoD~R(8it$S%(^-|3Cao!hg!g|Q0Vn=YQ}YZKrcgd$`}hR>UIarw?-5)uDjAglQGkKhO_PgFrMoZ?0>Y41D~ zDVy~GZf@SWm;F7&WzkZuCy++mCE)8vpS|%D*V?B?Yd*Bw5fH}c^ZeYu8G|Bx!{!c7 zt=$jPka+@2;mDmE)f-;d)dEHymqGOK4$fXApoIM_0cxmCZ?uxS1GYC;7b2^gzzo8@ zAp4p@%pgG?R?Yjb2^9MOlua@IZO?HeVu00nqbUjn;n3nTg&Ey+Tl3$k9m~33w;fG} zeZt@a3RX%+99DeE@H=FJqR%@Eh!di=zCh%O1b9?x^J(5nNfxx)_7@+WlDS=LzgfaP z-&bi6Kyl6uYI8Q*8pxjPnL}18T@}b1zOPzK(x~x&OLj3ZI5wA!zkGr|jWlc?@WHq% ze}eW^44bHI=gshxk}h7wYHn{7>|mf-RHhX(f1&Ur-&=lkj%s8v?z56zrlb(&>!(+4 zzPGj~7S9xM#=QMjkSk+IGB3B!%nqH=ni7bq>U6Vr^l9C&tz7YD*GMFe9V<8_?zkaW z*eupRIG7O3rr_%(A!qmbjf0e_P5Z9#ASQv8CL4vYU`cx&KW7^h;%^j}V7!&T9gE`;nH5#g%>#CEc(Hz(=KaW^W zLhYCW^l|8!dwhs{M>jyG@>~)3T%x>DsLZk&b{+E$*x$D$X2BXcN*`iB1)CQ3mkW}= z_iN7g_kcUOvlx^Wa$RMo-(8R>ht2Ka1@7&hmq|(7Y(*dTuvu156gz=~(^Kr#gzRsd zYB&uUcOZvnuB&Thx0!yH$-ei{v_3W%(Vog1{(d5AIEt7VSae0&_IiaFmAb!;^MDPs z`-^74nvR5!|FRb~0FI9x$U@C?1;?W$P#9!t9HbTO*qgAbTH?2c_;4s7sB^m=MZ%HI?gr-5zCG!NoO;OSv1$W zp#(cAQLN?MC--mv@D23YGRLZ)HP3AO|& zR||=_ti5mPE{rXHAq8wfc+P!?>N_sm<1!sgp>y`SP%H8(SFj(NcK5i*WX;oBT3Q^u zfZf{TQd*U=RBYG5?+=z`bx>DinTvQ#cTd*@OFr=WfMXy}-c9Wc8C|*0 zZzeaAU$J?Ilh|?l=FQQa6`^}$6BJ$+$t)P9?)|oMPIqdhj7ywn&(OBp)iS{8)UW5tbQ`nM-&ULj9t#4$YNnknrfBNF&W z*~R78)=@&{1?f_Wtb1=OzQJVOX2Dm~4(D@O^a;xN+xlIaQE5K5{rDx$ygyJXz=1Yz zm_p`=WKuL(*DBa7#uhu1sb;q_$T??9B~w;MmfxC%Oa#C%J}v%4y zCs#q*(W)B7652Zt2UB?P)2@84cs2N-Rj(Fyx$E7!Io;}2I3!KuQzkn)dGeI5# z*Pc0OeGoy1#QEo}EPm5}h#phmr5mYSM=~dLM86kV962+@k9rv|(l(EchfKtW%RGM4 z;nf^8gAyb|7RydTXf_t2Hz76z4tS@5=ZczbKlu9gg4_koD_5Nx?mO=IchSIQx8JWc z;Eh72EOfKO|Ko#wJvJcw))Wr=`~fewW*BsXPHUS4c?h`Uh-3T zJ*E)yl&P|_9@+Q`cec?%>op5SapM%t>YUe1kI)gP^#ZlWgeoO0JDVY+!vZITzW62( zRbu^?pfk`ZHk{u45xroh#+6xXUr8CgPSDSI?_eT_n>TIOT{Q(+2?-%R)bW60a-2hk zhg7L?zni^M)$@Fl@e|QANoPz!|08;A8Il_ff$ctpId1Gl$1{azV<7=?nynJLf8`EC z9+U14rbYS`Or}SUUFmQj+fNbe()#r6Wo6F{oX`&>^?9hEfaf5^(P&tYVKsfUq7+#oM*;=#)LsY=mAMy7d=#jh$W5Mk2kp4|0%{0n3( zS_0e4-p@zXs(9VrX8RIZwZVI}$4sYylRv~B&zWYqN8Urm8%w#!Vb#RIJo&j!^)_;B1fsS|7p)N)$x zaK2+sP~3C+tKM{%yT(>xMYGTlIrO;TbBUj?_!`Iw8F-%@an*$Nmi?GUTnfh-`+6$e z=C}CBQ}D>ku>?jU_r@Y?HF%PA8&h&Y_4{?`P0maHu_jdRaQztp@Ob?<3Z!E*9*@-; z`h>r#d2GOlJ`$b8hC(T8XNU_KundulU)!ovTOH)edv5wxtgK`!e#TVXOo^!M6i+*$GRIxg`pIQQ$$A=a+KP8{$gQ5po(&$mbf#;ZpSZ$mMS#G6JsbH@)K+ ziFaQR`Tliz9y+;?NPSw6;>GO}{M%(+QiTfp-fNs`HLfqmT>rRy_ODxJy^x}J`}f^O z=L7!f79`hy`0e+{J#D=Dw+9#V;GTYz)#3c_)V$Hl z>m0jA<9k}R?lkSg`UGDj(m8nfLB-d_0|j)M-Gr^#8!x{WgxpmT5S+b4lgu@-atPUE zA^K;av7(oH+viQPHN9>PWFcE!>Yq%d}mCblKUI!XDq?aYwQv}VEWv0)+x$~9#CYj`*nAN3<+ z_3Yo@@K0a%cvaDV{p)ETjZ}OoO+H*D#k8w8E9lfT_xDleUBS6 zdA)U*7CxMQGDUSi=lso>-fz3YE;BpgUO}#2-MX=*0fYQNp%N}}a=iS_Jx&3mx4$1v zkJP%%)Mt2$zmDnKTFELU*4EjXlr=x^#q3z%mFQ(y#l~^F-|FY5l^;)*SZ___aMRBpo-}koa_}cYVLHSQEyZ=;ehZO4{OHt(x z_w4;BNFX)7u@OgcMx2;Nb#m68MvWv`h+dkyI%S`1KDqV0rohd#f{DwyR%R`ix-j@U z^i4_cpnlDueQjgo(MQ>rdNr+PF%_K!y!2^QErCvY@8q*e;pF+Jj;Dh?Ybsm;2%@5_ ztbA0_50YVtelPyvOO^c1HvVe>Hk1G1wcqXEv&5=D?BAb8^O^E&#J{X7+`$fPY$Re> zNB=epKMZT#zfI_$Zt<@{UN`ukw$K=q!yl@V!tXC^eH8g)1os^JAHzBM*e6+^T}-Kc z#07ga<*^S|sMc05khzOta{lmaF(+iNcA>>>v8TS$evNn``5d?wa@efzh=#b*Ofia!~`|2D>dw^o0#iI4hI z{}|;Thtdz5_>4>OALgZwD)@)#{2yo6s)Ej6&MdHomL9?vLS(HS|9n25GxiLK=vS-C zap)02>MYRfpmTqZQZlD^9P6>j$Uw5WAX+gjMP{+ ze4zx%g)YLiG=tW0`OT-++-bMW4OSaJhj<9^x&${3sqHS-`n;6yR&i;l=<-=3dluW? z*WS9j%ir)Pym*zReAVdIm52<(W`XBMsl%`BRg-UTOm?IrJ{VF1K@)Kiw1XaP6%)|% zDh;vbxPI%9a%ejofS(c6MeccHjAEBu$c4epwygzm^g*OGK}6a}-6bJf67) z3Dq7KK$=haGpgTvL9C^nN_DWfeX^h@>c|tl8J>`*OiM!$SM<2Z1!&hQnE8y9j`*w1 z7(OAt)Mhjg+%T@VEiO6el|)tNP1+7P{(qsDgg+stSl;nC{R;W-m{fGbrOgBBH*aDK zh;Q_#FX+@Ju=NyLkEdU9uGpy^D5*L*5UBsl)QAhq>zOC73u9kDuIRA2E%^4D^OdeW zZsya?HPncM^lu)|r%dT&8p@8YXAc_su-p6}(!M*c$+LUEb-uNV)jE(_#f_sVOAx4~ zfD0)iLsqI0nPCV4ObBSHqR3DY2UA5^0U|;MBcX~Al_DY|kU%1!GD1K`2qXr6cL;$j z-?!h-?~kSk;R(6(oa_CKUb>K>e5F zps^;jurAV)fcl*Sk=M_>ZU+s}C4j;XwquX0kjPpN1T&uADiU(d0#QDr|RqQpUMlPds@InLp8}^T?xQXgr&FG{&Hs zX&JLBlX*1X0m*)n6zr1cN=yO0pI%g0L>yKJ1mE|wFv3F_5%jCb%unSs=*~* zfz!u++$DJP6|WzB^)=-D>{UPMbk&kK#ylTJ^5M(CKs2-5QXW5_0QDE3QSCl;!0l-6 z%Q0uoH#t6iW|!HD>kkiQD^?t@e+O7`k7qsSB%^200qH#O%QdV;r*0<9uZm;ceV}OR zneUMsaD@ab?*|VD`|ci4^NzLa2V*1LD*M>?9^x3$_Ksetv)fz2J_rxM`F2zeCH{3r zPI;k`FIq4$h`w%-C1>qKNdqhK$=LfLr<2Wx`e1n}K)PlT=2DCIU%meD$YJSJW%G}5 znuU}T+y3ohttS3`vezkVEXMA!!7h#1-F@l?aaM^V55ar)wQhQH&-f-9|G;(>t5~px z=%N$Ve7MQ0oUcZ0}B9?D89TDLuL-oLrm;5gdL z)hG{#P8(t$rLFDea-V}uHh?^LgUvDvw`*QI)g_7 zm-nU$mGL29$W=wNEcrUsFej_3;C*y1;25DL`%T$jt2peCh5q;wx;i zN?YmyP}*)Iz;`u)400MXBZIu$1)jz=ysI!ND{2z6Bra`AGzqK@b%XV~UDVD1g zccZaoi(8WJVXaQx?9g!MN$%ztG+VWABChr~-)nJ@=q@%q&qbAKcf4^+NX!xRpsTk@ zV{{bdN0Y&P{V)yMTN|a`EiUYd)w{l%)Vz|oqmPr5-kYkkHxU!(f>E`#G?I@QR& zR=VB0qrYK2oDiA=)WcAu z$DPA&J?=yghRDXz>E4sykn8Pe~XAr1b$ z1hPZ9tcU(+?tRM5lAIy-35rq#a?h*m zGHohPtbyT_{zb|CW9q3S5XW%6f4C!pzJA9u$UWc~>PUU#(mV zGv)4&yY}uHn5XMrxvjva(LJQz|KY*Swweze(S9G#Y81qdwzmCK8cp(}#uvsGAK%dI zR5<2XY~YpG*|>dx-cLeXJaxF{*pLg?eoE|f-kG%f82WM-p=Ip!er+=_aw`vBpu>wk zxU&yt1-j&)K$GAM*y;(a!e-FUKHKo3$Me=tn+`e0Oqvg! zxJBM{(SCoh)@Cp^vv+m6A}bE2Wrm0583=f-+MS0_hOiGp2cxw*irZQiPk;~c&NA}I zMplBT8n|u|o1wqUyf&kF*CG7r@_puAiTihv)SiGYhHGI_?r!!rrA@4xnl$}Tje3OwLGu* z<7JI!yTW5`mI!=)(<~jj2HFN#eJ96Se=WqwMo4)JwWB} zzrOqNX$Qyv74@f+Az<*SZi?%QtMvn0p+~y?Q??Xnl0MxkSPK$hqVrYtuFG$FtenQP1CvTiKVa}L#*`o*uV8o^&pXHbJq3CVHJ7_45;m}VsYM93 zmoJfR_dn>A-pz+CnM#akBI2#S`mg=MQeHKPm0a5qX<7ml6v+)Q~pfZdqHGV;5K1eL=HXke6bDvUF=bfsK&Bk~CmG)0o=FVtN7V72> z!td)2o#^&hx1m2k8MHma^Z#DMp*})30Gr4!NF;L1(KIHId=M=qSQvg7@|~fRoW75l zgR^T^BBfu8b9=eDz*Mb70`xh36UUL(XE_98d305vw!0cZ9Mk1}s+9R|!SS?(6BA-N zA?-~%aF-+NX+n**Ia@1?d=!$)Hw6omYjH;Z@2pBcSS!>`?s0ZsyoBagVWg_6dhdmYz`<*LZQj;R(#;mR^5AuQ zmZ*OtMpcAg%4ZuNcnAJc?kv$jI_q>28s8sqZ-b#IZ%qyFG*jYK+!d zqbhN}A}IA&ZVJec(Z(k^7E&G4$%AV&4r)!_+Q2X?g2UU9_lY#KyH zF#3RICoqrf=sdZ&mtG9aS6Uz}j-FlxO&x-7l3Ch}JbB`6dZ3)|3KMk6m09UPj3!Pm zcopl<@>&41VgwI_g5)wzH_`yf|N8Z1_2=UY!ofiJf6`4DoXneApCb;;swvEGrFDS2 zz}|POVEO0<4eZ0)ZU)tlPUjg_U3pOQpTM1wftpYjdk4l2(!c%JWk!P*PK5viH1dBg zqeTBjhTe>`&F?x<+(w8sGFpSZy_%w)Ws5nwie0CoQFaya<|^VU~&>_7j?G zrJwBDSb0TQL5S~CXHAS(6$`9|`#twQ~9^Js}*Xr=ODSb*Pc$AC8d zlst6(QDtbMS#XG%#)=SZo@4d+L(ir#_6ur5xJQ+@0@JCOxW*_}lVT8FXjGl;Ttwbo zYp)I4YtGTPx>0510s&T+fYP%FbH(f+I6MGY8C!0ZDF87N?BH-~%(gzcY9yqB=b~$= z9i%BugXyj!`aF%EL_|sNbOX}*oSd~2# zj`mjsJz;alev4QeM})V+UXECp3(0c$4$%|D0Qo1YOIsx%LG0H)aQvmw;YcR|4EVI& z2tB&*^KKszm}V}JXieOHvFz8ISKPUyz0AiO3)Xp=MRxfcSqGZsPrml9wcnU$^J^jE zbY1OlZK~doWVWv}$lc3~+3n=??|c>>3qH`oa!90agU8Za{{2kRdz25xt1w5>|G zcKUgKu@%M9F5iO~ZD7i}GW<&{b}jaSBO_}!)ro3Si(AfakEXipZ{BuJk*xDH78~cS z!P7m4=I-0gHFooGicTBz{{vACOvx`7Df?VMc^R5#BpXgfyG&)jsXB}T)MO~|Wfw*i zO=89Lb*F5JxEFc>)>kOVK~p*1YN0#93gdHO+O8l5xHUomab${#_$d9>Wabju3m14~4zwo!Kh_{D`Y8qimyP~n^ zgW+F{YpdZr&G0s-p-xhHBlcatE868ns7o0`OignA7P5RVlq<5G%UXJ{#mdU^UFvbhnREbRyXC^?7Chl-1uhn zEWyw_Zc<|t1 z_?sVr?Mw4a;mwtrd#^ftcZj)lB_XHF#>&t>k$2Efonr?{etqHwB)w#6k~eJz`*`^H z&p-Vr-k7l6t?}v+h@j!uXZCMwI16L?UEjV4MB_5LjT^7Cg^*Btt0Nh#eH(4p?O|L(2+Tm%%$8poevO9 zPCp2|L;0&HOdpf&av=0421No6*W$k))DFVie>M$@1gtS4D8vSzME=Oi^RzEOE~WeR{xrDZ0OM?<8+;l6=bfg|-s4A-IjFrAhg>@i0GI zj=VU51wxe=^_l*%06{7?2BLeps!Y+il1v2KDg^ubK>5A&s{)6^N_Rx8U3FygiZble z-F<{*Snh#%no@?P*5SlXnAWiqjTUgfdgb~t_WEYEZD1L}CJNLY@h1)<1s?33f(o5fK8%M~O>aj^oJ6gjz zaB$DZW8j?aU!2;UR8L{B%eHqG>|kjnt;sOeI(_G%MWIRE!z;+>KiJr9Wh)jrZ6q8G z<`8ybV+IOg)ef%FEmb71jEnnl$&JTsmGjjw9%fECc-1>OF2Qj45ICcnD$LbjAKOw@ z1MQ-v>0s+}tF~5OUcaR6QH_!%5w5~qdj7;oIeci^?YN$?MIIZ%E6Y|p90E+HV$ElRA`bTT}lq+>gZYkm2o z7wPC3;std&FvB=M8dEjFxCLR{BXVFnxW;TH26LaTam8yg*?3G# zu(R4M8l1H7w!df<`k85zLhVh}!lb*>p#ebde3h##Dk%&%UX!3G zw!8Igb4B(i|PA z0rXy#F8xiLV$#9{v3>4+p$)q~~$So2@5HRS~{tUh+!L)JFxuGMV67tQpTR-#&xQ3OIm$wU!UF8V^3 zkyeuG&d%3TUEk|Y_vFExT-HafTOYCdmwWB0`5NEXb*CH%Mf`rzlzl8;h4;VK@+q(bR(hU99=EZx7?@i>?%w2MG&zfJqYa}jcZ>Oc z`EQPe>QI``;~##8IccmcIT(XQ2WIv-+wvau=q$y?JVa4n7H5VDrho`4m6aKaBgdrd z*aEoUPnFwlpOx~#hQmWLr12}bI1w%nW2deBGHWP@RSK-;5inDc;ap5@^Z;F8t7_f< z*1H!-I=AXOUH$gvxtE&9usjJb52|J)ZtHAX%fF||MaR2YL{cpCbz7Zyn0xdkjwJ;f zYLF`FKCjG-)L)<)^4f{?32^(_wsg8C2l*L%xNa&iusys3`suZAWun$1T3*!ZnSOnr zhZHptLe@+9#0U?SgP$**QYU^LJ{V8>#evbjNqzsqTdx z33ay9AX}W|BE6A7{t6&&Yjy|<*LnB-uQ%F>h_YsE%-KvGRNe5$nv+;B+u)Rkp8MpX zQXpPL%)}JE56#|k-uN_?i%*xcw5DpWMDJNV1(dTSIHCymOx0lWo=pwr2>b?QndSj# zvCz{k&r9t35XjdEo0XK;pBiblfiE@Juvn~C!oz4d*E?X{c3&*6@Fe$0sO}FQk9H55 z|2{$qRMRkSOjy~ zeNs!v;oN2?YtF;qglaNQ8Oo|nyLQ$5MSvL%-IGsQcNCQe?&*Sp0?--d1;mlfWmar! zsk{}_LW2GZ{qn}y7By(Ltn?8jfT}^6;uPeNuh@?4wd6^D5wKt6(8A*V1?%Prpz!7T z4BKxl{;FyqutoG%+j%a4EJWtlgtjln$-%q`RO4d`hwrY$X`IW_jWk#^h#-FbT zJi55%^3!;|mBct;BhlbFS~^)NTaVg}5}UnO1`vByV!PeTjdh-?D^Cn~mei6+)`P`3 z0tMWAt~@Gb&zYCkcN|yYZMBjRu!QB){!fy-E@o=peu$ii7S_B|l0~y{v&MCcE_rAv zm*bd*D~JN!zU~h7+MY6d%x>abAw0pH?buEKHLgTbp9fE{KjPzUC&BGERY&JvdL2w> zt4DPA6BBH{KLlO%>&C-Bw=94*wf`&0G1oN^b;Db178@F@ahh%+}LV3JRmIW zA28Er#g*>u*t$&cFsM!Z)w|F7kzIcei5~8E1uP<8lzcb<(b}u8pb{_p z!0mhbEZ}`;iul7@&PnkLPG9=|e3L~9e^W)NWv_-CZ3qwMOY-qO}=C(cbI(?|(Do^<^V+ zIzUT7MDm8&II=`wj#>vMv_FG~fCsfaz}t9v(0UHkU<8KA5VPh`$DE;v6hO zs}(gdMm?pxxy)3ZA^uZ<4t^L0*s(JL#uON(TkiEXp7J^-y3@u8KLvPZ9D_Es`C=y1 zhhTYWmO4?149m^)s?*YKKNCJO+JioZFI{I?3-jX9JPVR4&teh_Yf@H?(wYu)3w|jn zB-31ZZ2tX%==`EoD&J_E3VwilWi8i)!Lj&oOksya03Lu?Ys=g>1|T+^ ziTi_&zMlQuMR!1tW~A&bB`?V0$l#`wdO#T}%6xD7DSRWci%#3M{vLOoZR&RRIJe#dcG1R;v3_3^s1AEsF>9f>hMh6khw= zK4)&t>y-!%Q}tS1nOw;Aej}d5J~BN@%U%t<7d)^HWRsyxE(4*B`al=EgfK1kbbXYG z%IP3AmCUXnP11PgaY1SBCl6SOZlANiPyQnIk5wEapQ8bc;N%#bJ$zWZ+Qurd7^R?r z8#{-oYiJx(L@s50LGW$-cG6V5)p^YqEz=pnsx+1P>V{#^2Gga%^_~$b{f_4u)x1|Y zy2e9-6~#E2G+wp)9GC-gs+<=OCf7wVat;%uS8MsqY7yzXd!Y20w_~dArX#b6)9}R& z#m_8U4TYvATEw@rKqbVmS;(T${*+ow{`EB?q?`SvJG&`kYZKa;tb39i1kb4hzJ$+U zF5jmj&$Ch_;+-9B)nA5|jh+m<+N@j})Jq5D^B9q=iGkt+umG@8v{>;BcYJy6p~UrGm}gQZ}MyaRncy z$}0I;JZJ>iC6V00KSyoDWCPIZk}Mdh%Hbf>yhP@zl+6aaT+3fx+fB;8bHv?#5_?0G z4yDLx3?b`PX0@x8L9@c7QTG-WV$yfSG8wT8_94{AuwcZ6&Wt%isloDo4t6OEkJDR+ zhYUPo=tbD2HW7Hc%-c_ewGlf_J=IbTL*fFv-z>{WWg8YSJ@D(#z#G4SS$FG>*@x!F zo>Er9e<@oQkM?&~g@=PtZ5OKe*>N=#9{q`7WY;%pU^Zq)kbp8oRu#5fE^aEMmB!;~ zVBOY`6O`xKb>NpA1;gE8mA#kko2dyP~-0FDmjF)VqqPPfrKpR() zIcWD6hB&}P`S`2s=nP;Hvo>6SKO$`KWfeA|I>1D9?pr>X4;gZKq|XD_5wJQA9p%-i z#lzJ#50+zS)t?Clj*yD(^4PQX`U7BUtlBaARr&2v2q!4RlyM!mglq)HT2^{ic7crn z%^l^XskHSgz}Q!czpG)&!ZnZ(m^0~l0J|hF;}gs1e%w_GEkEiv?F9vDX+vPt_OdKy zFhVe;4NMR+1b=|LmEms>;^>r0RVs6Vff@(cQkQo(4e_JNMqrN9h01^@p?80;>~an5 zSop_KK-R>q)uSRB6 znxHdUGo|VXM_KF?5EiLAf;SA;7L)}&LKNk-=;LN#MHc!jgQH0CcO@em04l$TAp@w> z#YRt5sMNFdT8Wdq?X_9nQ;;|je7=KA;OESs3l4I;pK1O!ki62Jt(HRgA{lH*2> z@x$!9Nb9^I`3a;pavC#-6>+?m5p>?cYKp-&e)=XnJfEe9E#kgx$s90-^KI%FEp?c& zay51J5ioH1GnmzS4oqeA3*Lemp}IG?oA6Eo$XH}l?$r)Xml^Y?25~k&Hoen+XLkrV zcX<9aC=0%T9>g*50_mcs6sh`SKJ>Zm4d1S3;7H7Ie>#{QZkVFmPK^Fw8I0k4&&>`| zCHrbLe%aD8&~#WgCo%F1z{# z{O?^5GQU}?!$8TIb4Qo%0w3WHrWrW42XVHSQHBN)L{=eQtK%aRmhnL_Nz30A#mJ62 zP!0yQU#J;)l3j+k9w{~In{>2Dp?Q?Q8hFb|{(kMQrQJ>S{kdff5Ji7?o>GLH6hOxX z0}P)K7}~9>Yv3Gv*YgYgTUFl+&L83$FBQyd1$VwTLG|77<&kB;$`#dM8{((IVTU*I zvA*OnrEsu%Q6r$byjJIA7?v6Lw>`1K1*Bt##&#O3$y8VHlXN{;SjFoBb`e<3G2_tQ zcbmXDw7Wmbg8t170XF$hlbQ+!5l%W4w~JPjV0l;4g5V8ywa@HdGJD6$&=(?^fBa$7 z+C@yWuk}tYhxlmFNHk|#48>&8*@A|Xuq@_-%Qvx2uefcEiDt8v%yg|5NutWZ@7{Uh z_YkR&gUz=9jwv%EAP83a*#SMd=Hh(zN(&OXfC%{fAWnn=Lb{3DcfdQNIPmiik4XIZS z$})Ctc8Pe6JY6!sB^QckPA3u(CnBejvk6p;qVqp56<=jxVQmsD`#nE*@$dEV|0LBZ z&2U-N0=mq?*%vr>fXU8+(%OJm5iH7+k8g=p*85gfq2hVKE3&3q53iM3iq@jX;L?w= zwS1qNaLtXreIK@ z;5hL`ZUnoE5NZ%8+-PuI(pC|Gj%uBd;Xd*zyJ-$dVg4*b!MHdr0EH^t2j7VEPE&O! zsZtO8Qx0qkQ9MXO&;dl27~5|VknTQl$4w+PXh#k&M$G-=Az|>89i(QDh?UPl=|8PU`#Nyg4p|*z2U}9Ef18~#>F(JZd|qbm|m+o=hW0irc+RQ z?!a1BkkKPBQ-*%!7BW!)rN$%c6qYYv>_&+D&K}k-SgmRH2-u)+9RSbcxEZE7&C`Ws zog~E&MKw2Slp>u>I0)kAvfU6rn9+kpg1%VrKIDa9<0;Z=<8LbiT=cE&@IFuT;Nq635or1U+?}=15FOAaF8|WP1F>ExSU;R#qwkJ$G7?&+k&jn zXIXR5thHn^IcyNg`2Izp;CAVXH+f^J*RK7OIef}X202ITy_1#eA8YN{NMw6}|#c9x9bz8m$j8>YD@=WGVW!72-SS53&CK*#*Jv|=3Uq+|7 zSXg8Qak#{WIUa{np-D$VNRLL9I9|-@NOH;AY{BLlp}_zBo0+J55T7Utw%I2r@ZsLl z-0*84^J;)MHkj!BxM=G8ts*V>Fpz=^g;H>a<{kD_%bQa=eR*~boQ|m-zT7pxbFNvE z^vdbJE|!=&co_+{fBAvVV2X;d`I|nJR}<>v`1oB4B*N&2I$N)F%k+tV+y$DZt0DYS zuH=URBwY46*%*>@4+v?1LVz6k$Z1A0!G{rHkEt_>MkXc%FEu8kan7&{Q3ezV!_?ni zf+-cDEG%WXRlDfxJ%*nGkZsSNeY<$^;_n%kJQhL@=NEQIBxnsF?v=*3{)d~HF~SZi z8-zx|6@zfSp0(l|@< zyDVSyKm!=Dr|A-&_>fQsJ%hB5YRel*)5Y!H0T}@I5@@h!93Pmzm%#Zsf|GBehC09$ zA-D0=QWs09?h2@iki?xm87%S0GPN(1-yXr>-DLu0)Y?s!4QO_VUr#6|nXIam328y4 z1e!5Yxrx@pe|+SQ;7GMwb(!}Pzgkh61u(h_oJ*d*+v?6w)!k!DCLOIHQQ0o&)DvSQ zx}*C)wD1rg^`{M+%P8qIhyA04V;`ADo^0JrTqPUFaK?ZlRM8txg}dyooAMk5$5{mg z1!>2unEK>5`RQ}f%sZ$o9-x~?O{a8u=~W)~O!t#yTS)+TBs*Lp4kYgyQIqHWWY&7R z4>nqP?r1QCG${U2`bGJ~pdxJyXcEx}0?r{a6cqDmmzrJ{`m8aMmg}I&#TljC~yAjCf!Q|$4XHJSX57*@t)v?&-07Y(-$mWx>64`5V(TD z(BK@iC$AfyY;^cS2Lgk5#6^-;%I346P+9p~n1waRWRZ*k5x<}liHFTy5c)ZFoq6>9=5|rVxtWnD}S!YhY&v6Dia{qo_$v#MB)@_bzN490@>< zN^jCSJ+oFK$okibd%DO_{k%t-B`I)wZ~&u+-*PNxpr{XeLHJ}j`WrV`_5#zI9Jl+T zWR7vB)$InFoWO(luKe{9G5RcrOY_IcCZwC4#!Uv$Z1@fj8yK?bm{V{?v-wHdkqECm zRB9m`7DKRNSp~m*VW3e1HDQbbDF2PeZF91#BTz@|sE(Bh&&)E1AlEHI*)P`;} zPB!M0)CnSSzZLuhF785-q;fOLe=UR&4#MfJ1#JeXq9#lJZ4RGPd_=nMG%5e8_&dil z=DspDQ32duys1o#rGrTlm%ssZTL1Mn18sw2SOYINjVzi9-zeuG$(Qmc)!D+pQk^kM z8sutO#XgCTU55#*Xf8>K-9zE&Ujq^-6N7rB}YD!M!W$g78P=TG5`GHJ{1+bbs+u)m(y&uGXkfl`9S+ap}onYicJLn8cU9B}gC3qS6S#M`Rpo1#bHn4|wN|{st1ZT-` z>_fFxop`>zE~1QR`^^*E`Z8Pp(xN_XlSRQqFNG};CaqP-->wsS0mBxY((@+W#3XNz z?Rd6v)@8{inpEi^UzrxkC-PvX=l3MZkP&btgt$r|q)GDRf$8t@b%5+E(K^oz6zJe< z!68Cny0v+E;*DT2j=gv5L1(HL^!MAg7RP8{tKu^4av$Zczq78AQ&%t2)qNUv{0HCu zJ;6w37-qbM^z<4G_h!Uo>`VSgIgX|eD}Gz5Mxv>3yA(mE<;S4mytIVTj>+NOQ#S!p zeXErV&0F2KN33S@{ZR&TMUjPbg(I zguSjfI9sBv6IVdi0R?0^?Qy3o@UCD7;@~@8n%iOAKBDY7sJ@To0JP!0lyK7P$->(1 z=e~Y@T>QlT{9!w!9j~f@`pKgVW%_puCk0c)NC{F;HW+OYFB&4R|Y2LP707SZxKRFv^?Sx3ugcdaCx2tX=c+_Ey$s>>LX zAy&e_f)OwEax`8ym;f~ajucJ%pCn2!qc1;Zcf1>dy`7U|YmrZNXl^+;scR8wWlHm< z1u1iohku7;!FS=&g}pAYz%qJHH)3@@>in(qdA28b2?J{b^-p^Ox5E3u#aTH|tXSU% z(>=qlRbuG^q4`a8CI6zx3jhK$1~*j&`BEidSa^oFj-^DUHzUSNLbHX3)SDBb{;m*N z=s`sQiFXbRh5fz<3uM;Kl;QrBtC9xA^%+taBYA+XpzM(`>gg&$ap$W2tYqrc0m2#lFMJknoClK4C0#QKR!Xi>sdK$J%U6sW~P7J#VP9zfO-nC4~ zOOdYhF739MTDfl3x}Wl!4w1c>ij9p9d9ex2Lum%V_83a_7+!147#?9|@DxSOc%60C zj-R7^%j#;GuN zU^=hubCE%_ucX@Z_Tny?%I&`T8d+Ieh-=nCvd@lh1&zWmbtO@cv~3t(jA{V&W8GDm zyzq8;h(u#`8rFow>w%*5!i5WtWP1;eAyksO&1CAugslV3y1@@@$!E!=wI#XfuvNIY zpHeRm(+yy$VX5SzS5QV!KLUg!6Zb0IU`(-jMY~?f?m(myoekW4^<#y8UfF}T=z;mn z{*bb8$dze-5CU-DeL#4))sdTT|9D!*6g0FqCA8o1!^AEJafXU%lm;md0x1($GpINs zj*7x~kbBQ6f9mvK8R0}4QxqHAqsIn9uue!0^_O7gk&9j3rUo zBy4TsFtz2w5uy>Y-S?@N!ieKO#)4uRC%kh@_@BV67w}8W zAw(&;a&6FW!Za7j*~_xMqtiJ*<-Ld4>*j7h*O4{=fB>j zU3isNsq`WcbRY|2U%>W^mG^S?WA^$wVM3N9Cn;Ico3{2DK=C#i|CIgNacs%+{P{Vl zw&<92zhNAqH}L5;Mf|G}Y&_O`fv*?vk9r5$Ls?~1b$cScs|)H1&UFN)pd6GgztM`n zs{2i<@(&pXn_XAzkt!wUR$=Zd)%E|DCI|qF@sG|SloA18+_9)donVYga`^6eZWY) z*@M4fblLXSXG_PA;eLCnje^(F;sRlbJi;r^T|ho!_ye1te`)cCrc&w-DVH%adGW+G zG=~oUSSGF zO&H9UUr3^Yc!+*g5fR3J#MB+^rRypibSyCzN$}(v`Zg%zU7S z-D)=XA&(BZ#FpW4`63dPq0(B>5croh$jTbVT2_KLQp^0!c*q7$bnJTG^}n8k9t% z^)$h8dit1G1K$WvbM`Oo9k30K0K4Dp;2bd;Xm!(>50qg26|STKEB1Ns zPGn*`bNx?jVqt7V1vnW^9TCG0L0^Yh;DME-RPaOhqx|4Yx_clNSxhK8V^FZwIck6- zn4Ov8o6)+ttnwjXGELc0OB(rDwbHk^SOHOGK8Qzyn?o?nXL%M4d6>8yzO(|C%;f@} zx}$GEaP;!~r-py$$(X7fPG&#Nod!-kt?B6KxS-fHxEh0|{EM27`x+wymBN;;{VLgFZIV9i z)|Bd5%ag_5X07`Qh?A83Br%7Q`D35kyRfgTD8A!=*n6Ewf&r{m!?&IL9vnkEIPX8_ zsQE=qOa4Hk$DpEz06Ql7vQtqN+?H`>LG@`^afm%0`(6K?NlXz!(+Ctbh{+ZQUmNpw3^b>C3u_O;CMaI+UwZy@Vk z0QJeXtI!1woRU#n*aH3cx?IYH-*W+6??7AN*Zaw-rFU!5^aWdD=o!+7SRx zne42(re3;D(^F zxMql;I|;(ccnlG0UPkAt3LPY+s6~yeAutMVS(ec5rGrVggr(;Xu7zvUKNCtB&IJEc z`moTp)DZf<6#L~>9=rfzJ~&|5Q{Scj+1R9A2Laee+yu|ah&MJ!vFCJwQ+a*#+g&SJ z{W#ssAEQma;lbi?R@+#?W$ZCnp6Zt;*AwEfm~*PF?iiN90}uMy)iunKlaJjRB=mf2YE(CKw8<`(Gs4x-VQ9%k*otB;DwdF@mzm?MQMOB*dIVmZdeui`8&aP#H z*#FiUSr=ec^wRt|>_xCo5O&g6d4-blw>V~YchimM2E!-n@IEOa$r(LRjdv3pE}z$i zzpQRKr5HTfa+h<6OwBqoX6su+nz-Lrult7dnpE)$zxvEAK9I1(Ke-dYP~gk;eU+7y zR*43HTj2u-zglN{dl2j#W=7F`0As;p~m0rnnq7^KL&!C z-%&0|2;g*IkUvNeH%@nYM7TZ-_@r#XJN+A+a{SE>Qgxp`v3CG^I8;?9;?q6%3sr?< zrL~qJmLB3O~o#&oT`26lt{J5oOcQa}Z?7%}M8T|`hzf5qT?i~y%UwKZWFSOju-}rK zz=s(MP7J?T#YsS@_XXDDEZggtdiE#U`Cu5^H_pL}31DkrR0GTj&ne-aOp{9Vt4EE% z;gW%x7mt>4(>~_tf}X?RF+o3*J+*)`0qeT`8$2B^{1vW4SU8idQ@ z&=;JOtiFmA0!QG0@2n$m92@~UaY-u~hQC%n4iBc}3W zd!DLyDkD{i=;E$DnMb~YNj%0~Q(8-TWkA8caX_jbfn~7RpW{k*Te9q-Ch5;!ncI9FCMIz3jbq89GbDtIp8tK z&dLs9Qw9D_$$q4LDIiZ=%IZ@LQND=D;H*yByT1VVM`^iTl>luq7Y#^|6(AD8QoaW% zWAT~}0^-VHR6Z~v(gbeOD}_4y3tTekYjzm>!IlEX?x3RM2QJvvr|7HvV?o_X)n(yd zhR9J3b;i6M?}2~zq5CpH$74-JOgz~SGQ8(63^-*}2E5(e;J z@MmS8Z{IAFJld;2XsUz&3$Ft3=_$fY3h?ZAM|LmE(lltHGq$U6z|qW0LGnS4)>~Yh zLPEHaCgYL+UHgqj0$LU0grOdC<9y_~aZdGxQ?#-SqMNEys;g0;DLfh0V7mP1O_OCq zMlia;L!N=9i#>>#1}OA0e1CE5YDf)~bzb$KGH_t(baN_iLK8CadbZ6u&Dw?3T~7HR zu>SY_EO#3o>yLlcI6$b%2nD7LT|sBo)MVA)(z-%O5ATYkRDh)E?t6DW?IUE{tezyj zAA=O7vyDUI4bVbwA?(X)Gc`!b^z`5oTVFi?7{7gc4K9GV9T6A%Ene#%LNx>fbKD%?wib;0(k>=0yTkPhI?V_#|)ZXFMR-FQb!Oi-LBPw72;h+$K#A%hu`EO zL7;&7vF!&-1hs5CSW$sI{MtY9scenqa#>afk<6aF;g8hWEd#SHlWBQFu$v(R>}HTB zRYrxsyd=3qKr>|{EJ16Su6tPI)tmdsM)}pkRTlKkgv}ey_MQkHjqwJ!3MEMDpD!NA zQiC>mdVLJ=sq*$ptp9~z`%B4~A-b)==o2@>k2ZBR0&rH~4xf63!PgI1@l8sh2UCpF z;0D`P+T+`eF`0F+7LNp;jr})$AC;?5ObwoQxtfPX{o&OV)*lj#T@EXCB-Qaooq6pH zzRR?&Lld^TE*G8oZha^*?zUCT?JfYv_&iN=;l^c{7`DW4c@)S&It2-E2|qGLx`);30utFYOAV| zHt!=&E4s{5+nZq?*}X&~?wLO>y?N-J!D))Qv1YIhr7`2H-E_QAFw zR#C5e#F+a&0sAvza!$!u=@bk;{a!B+T=825J$Ib$$4 zTt*X2CK7}@uv8ZpWIUO3pUGDmMRwGq%mFqsOWb}TkWAcjWTNrya=#fJMI=^=E-@FD z(_hVYT0wucCu*L=z5za4DwY9xR_WbeC&%d#-mx~k#{EAli zrOf9#FrE98>g;Vl``Z6t|DLcMe+^#+%eZXA%V7Ck9%*b~PwZ7x;7&e`=5!dILm>qRiA=`f*w(9cT>#s`>vc-#iH>v->yep zB1JmK0x6jhhWQ_{m{j&6G z8e=oBxrP6fpA$FKTv)PsN93TX4U}nP0&$;GL{4TYT)oQ-FFIu1mgNoHkle;BL3Mkp zV|zA;9m%d9k*+6Ouf_{H71Qx6^}s*>uVN7i4iB2y!aV12m~wh4!%&2qd}uEue?V++ z<_%WVHCUOXN_Qh9ZZm;(?M$@ni$+Wo4vpvr+gfa60;0ap zyY=qyIoOT>-;8IvpdZE=(vJVs`pNrr|Ib&0OXF6=#bSXhP*b5dHi971TGD9yV>-1B zUh!+|&!9sI$^7CA~78&M0Fw!47Ve-|QArVLOI=MO3wW0!zJu7%iL%lhao{ zSSX8nv+FjkDhQVav8QV!CoC4V5^X;RFAQ+!H>#{99_PubQGT5H* zq5nEzUtV?mdOw)X-*#He!MOZTD(q-}+^z4Tr6j~U6K;k{=a-3*O0R|^ zyNTLgjzwaoBW&(L{uG9G*(5CB4+XBGj_F(%Rj#^d-!BWGqzdsK#eX;MIwud03yeV# zd`iT01C|HTo+Zp_l8uUuzVT(?xYpn$aM&K#FePX%{Af#cGnq71(y<-dRl^Lk4=RhZ z#YHGD%j-G|Z);bpYvemV4kl&|rR43M2dglQ(tsZWG}(&w;K%nrwY9Wx8%K0O^UT)5 zmN|}z{Se3)J>MqFs5V|*tirX*sBkY8xvAW0#|YF)0MUAQ7hoP zA*AvPy^qgpV@WWz_-$78LdV7t#bfoXMt{kF2W$k|?v(7rxeX(*$}MNO)mnzsCQRmv7*g31qr zUnm8YTw_^l-7I4fGr{#lxl1sYIRFNhC$Z=Ue;nX2GyOmT0sP`^DDu)L9gyyJ6I?V0 zD8Ir~Jbm(ragJD+ZA6Gyd5JFrUL6K|M2Lelfht(3`HlLIIab?kt*#F7-erO@!|4gZ zMBAqPI(B3Bgahkbavau52L~zEswkv18e<0svCPpx6HZ`TP=jUVK~Gg)9`wc6=--@q zO<>ANlGx7kbAB1V{**8kNCN3xb9)X2XH?d`vI$0VB*rx8iV9;HLkyC3j6JfiHjB?+ z8$7$aDdW!gX_(V#~0h_LiD7PdS<3EFCEgUOa%0 zjEOfP^M;%S_g$=CSfs#wIRA8zK;@{gsW?KF8oz@1TaG;i&XB3vX8gbpWMeggF4Jm} z@5g6o%lz_Ah@Vpqs9m_aQoCHd{o4c?I(@P?U9j_sMX7i)JDld8q(f!nuGEv4^I=dQ z#FDyPsJ*VSE$!2l{8KO}V-pN-I2P&?mfN`t+V#$cV%TJSA%GS-NeeX6X;$EuP^%rv zu$I|AK8$=U`6T%l%?-BdWvag(w^*#VPl<_8y1~c_2hH2`$jHc3{}jh5P*BgMR!`2R zA6X>&Kii2>JlPEqvyMnXZA|hMilok{rEdk)a}EbZ+Ztdh6~`mjINBO}2$vd0^TbdK6k)Kga8!XskXSk`9qFdjdWuASiXs|l1 z53vr;$ZVnKZU|7lk>5F@TY(8n=!PeD*M+-#Z3H{59aKX6qLLd~+RcsRW)+j>IIznT zoWH8NjUyPF1mR&JU7tVu|9+X7eO5Ngj(`s69H9}4UFu!BVA#w#7TZ$}Dw;;b&v8#&V-tvfX(@9Wc?FR;8CU=|?B#Q2! zSjh=WRj4|rkVmbfL%`yBWwg-&raG9@g(&kq42|l&F^LE-Bjqra%9g|P+Rf4S>u$JW zQP|=>-VLQlW!1}*U&Q@%LUzs<$x-471fwbY zp$*2%d}uTHDD`r9PBLQauSr%s_U<0h24l}mft_Xh%8<}dLbKOEfn85t&g$odgQtA& z9u-u^@C;+M`8m2~op!{3T0T}kWwbAdb0Rs@Hbc=Rw6FrqrKVBXbN@xpVNgR%kr&DG zv)_`GWNy1{0UDMbtbGopUu`E-0?*%?89_RoFa`MC!dY>pM zQzbXjf>aZr*XCb&n2{Kk^i!Jw=Rw?}W^26eIep#9(%KAzYK4U!u@?h^9iBD96oFTc z7+jH=)&2bZL9$nhmCh3dxCDJO}pCV&I62a_?N=CGRlo- zxVkvvBo&r7%uVXj|3cz`fzJV82#mn0oDJ7*l!D9n1u}*2VpNdqdid}6{qbKJn@9gI z-akPKBXGe~mN`!s_=-AdFy{An5Kh7~Dot;|=C8@um@luN-yPqcdZNqK{jc_Fn5Fk( z^@x0^DLTa$#%-_JlK9wadl}}OapvJHtYTb^vwxZKD57d;({n!up@5r*w@!nSr>smd_5gwlv?Zt)AsN znwh4uwhpVNw3S++&?2K!#6zHhKu@b|Wzx3H=5d`gB_K0JL9oq|(v(UQ6@iFM<(Mgu z-U9Chc$VJx{qOzf@ek#5d*2uL{k!h#d;PB8@B8~+<3rcu=b;#o6hv%2iDU&86a<;VGmo)j08C_41Pu0r9Y|15ootXKYek zb!$2t?&;aeKV4)feo*~br6^#jd^U=ETYa{K3f|UaI_KqBf{@g_DpB_x`sP=0%~H`8 znZ<1M_VM{7r^lxh85B1mFF$U{Yc0dmIR-k1)nWc`jZ3M6_8j`;!ih-&`wZl~Xk2*+ zE_rPz=kPBqik^NYF^#%nxX{<*U+$fxP-uQ{80#4G<`&%9;ILmK-`+A6Umbj>zQ!-K zgIgEEV-~5zy^shbqMAynVSl(lvs3|wi$xINP*!6pf)Yl?&s5X^Go(($I}_kTf9DrY zwu*dB7>*zp(x>7#6f(A@hNbiNEA?{|hcVCy?mF9>zv5{|o+?%Gxt&QsYo=^pn28ySqFnT+@3YiaLtfRlbXQxqEPgj%+=E=SQ@MWKT>vRNa*L6iY9N*~e_`Xo>U^?+@}oKAn>HJiE$T>bAxF_7Z0?EP+N z`8rO=Yh#*rd=%1h#n$CTH$nWBWNqcC&ZUUd~8%Ko7@U^%-;P^OA8_GhJ> z;o{<4TD6KsV<0Z>9B=iBlqUlI{mxf;Xw4#Q=D(gFOo@d5l?42eYKh9c8!pDCV1Et} z$XTB2V*<(T?kG8+22^!w*nB-hj=@KcUrEqzIao??@{4pR4yi0&g3=g6mF z{}_m3+0x3^;LVU<+}m+y>{o(BhMv5rF8_@cJDn$-Uo4-O6<7k8T{KXFdmLraqhvMl zp)8MQyEfG-y9hAl+~CwJ$({_0t#6$J+~QDLB75yE)*AO1sab(YqUWzUcXwkeaLx~rMId)l=8`X z*);u)41;+)jH_%;Y>?2^NSU(|NMa(Lh1Wy~XL%yv3moYd-m#GdtmLqlsetv=olJe5 zJb@erYT&H=7{sn82!1_18$*BbFr;2pSk5@hD!%DyaJ`4#*|=lT)cZ5z#7_>r{Lm!? zN6HqL1byErJ{%Gqs#*1Q8P-3i_$_&!wrU~$h4|jt%A!2JASv?FWk!~0b{VGzL+_%0 z-_mUOm_#lyvcEjGlw1a64r9T#x4@%vZ^8!&$9Yta7ND|OZ*WmJHH`CpfQ#xcpIBDW zOMXMz15~o+j!D|s^BM4cqeGuSXs3i4&ra)K#Tt|S8lz-vFM9Sjb-`($#h?Su)HF3{ z;`6Ip#pI(Ku2fZT6YN=2^+#}DPA~p!89i*j`ju*+e%H|$F~>Q-+pkL;8PX|PEofyI zX$UKx@xOfEi{Pq>*ZA#I69s%4pC@dL5&FdLbiR5}=YfuH+YEYKlcYk(rrXs$;Fex^ z9O%fO8ei*0C^t%eYK9HsM{-k#Pu7kF5i1Mbn)uOE)@@=>v?8VR)aa~_Jt^cU*y*Uh zsHVB#O_|Ye&Dq-0*dxFC{l~LapO#rw3gfB9_z7?4__(I_>C+=1bg?-+;n0VK$j?i% znU@K8Ad2<6`9jy?1xf~fV{!b|BkbV!8W{l_j0HeO$DGyce?iSpGG~D)z_8|w&Kp${ zcBTY{3vlK9xCy4(3EAnzms#{AIFZXEA|<%h<*cqMQ@w6rR);XxZPw5I9aM9Nd}7g7 z@&tY%aE5xjV<)(n_V}BFSlhQB-5u?^+UxiE0TYjzk)uPQLjrPk6@&2v7Y#35WLX%P zi8onhEFD@(ai)zC5v@51GTh*ON&9^NT2L|V+JAuLI-?N1ZS{|w`fGJ1zAIZxWuD(3cs%e0RFMkGu6P!J}q1R zxO#-?_ecwvesd}FA{Yx8LEBgy2sl`i%D`#K2Umr2Qro3g9(dR4-C?rdRrjKwNHT{R z_XIBfEB0cI-t4Py+GS z?pwpsP6pbBQr+T5nOd{ezv}R8u?vD~woTdjVWU_+>!I^;x~>x3fd5-4=NSdElx_bz z+n&dhqLRArI{Q`kbA#@&aE;PXRj^Jsj%5Wt$9UhW$#pI^3@h)+*PZor`@9o<61j|t_Hs?jRHR7`jr!Fxl z%!a&l@jiS@PQG{iCq_{eI&x(d#lJK|8XTo8+!|I_RPcL&ajCoRC%VxZkOe>T#Me9- z!~yFm3f9#Q_X+kVa2Jt%(P*ivO+awP zc+TMkVy2R?9KXQ0nbgd56x&c?wivYgy_ko>syazmnkQX+xc==gMQ^Pt3yeCo@cnoH z`AuuUC#ydDLz02?D3NWr`->vUh4v^`-M@>uIK3yao~Tq4#b^lZ!$Gcn*+MYRe(Fu? zt26i2qHo+aNyrwHF|qGeyGfBq{iBiZ$-S%Cy=G}wciEiOtwdkuam8=w2*M1(7k=|a zf5&X52}+_vA1h*(xTL!v;t*p`oKK68mt7H9ulFu}UOIGQZ$jwM_4kXe@(KOP8Vja)uA3jx;@dYpS9fZZqO`uKS0R(~(ItJDr@I zmLFN76*gP7kpUH-6V|IH*NZxgOR)578J}AKvq1|(A`aD;o=4JeSu$lE1G1+pWT#Ev z$~;VHY&=!Tc;-grSx)SQb~qPQu$O2dk&%&!BI}=+1oSNxxQ~)V>6!$8Qat!6=W@BM znU>cInh_<|Wd_IN!i`r%5=4t%7v305fTvE!yK@)uw9*>wW)_!ylk`}nWvFvpXx-qS zLO-N-u{r_R83b=a(FwF23mS@c8R(-%LsTm~Oq9`=Iv6cBew*v<9X1 zmU=l*>ofCs$s8^KRW_j zkSMC@Zt2MDd-!+%c5ZN#<>5_8OLo`6%S#}1TFW9_1oeUH^^S1JwBoQq`@vRHOMOcm z9LQ$lev+}#6q8=GITiaydOlVx!NyR_a;au-FE1}6V!4%F4zV<}%83SL=!cA>6Q#L` zcaNC*4G-))_JN1bw_E@6_^z?rebczEA;Xx@o0Sq^xbE2Gp{`rDEdI$zSKHfDbc4N( z(q$b!Sa&XJzM^oj&sCUMYW^252JO0Y9kn_tDk?TEF3zGW4(c(no<_9qp*_C^J}M&U zFEIXx@%^$Jd-WISBAOiSDl7>SN=}Bf} z>2$)jzb`=TiL{nv2+);#S}?g1mRe)1o9<{Vj~{k}qc|#a7zIYg>>xO#LYKx2<)On4 zhNKlOxL*yATA~eBYz;nd4tqETR^k&sR`CxE!-#_$Xu=jJ_g+vW4hXF;){Cu#A6dn}y zlob*2qGC%J+@)4*KuF$9#rrLB3z)C!Tev9P0cT0-g6-i%^K6|H=#X$5;f z{NdsTc-IQ#;+JO`_qa6PlGo%)c7)8C#tLc^&Ue%BlkS-gYr@w;=Wmk{5PwNR5KnZ_ z$hbIA3`!SQg6=c2jeLB9j36Gwpkd6rUxalGjt)opAX<(ewSyo`l#m@Fq#$N2!VY4y z4Y(a+vuxSrQ7zfAldjfgZ`^-#3}TYBIYl8XWIK?xC@(MH{K^o_;%zC%b%ctK8W_i+ z)z?Z(ddcirl|qplk(}hCyV;(Q{esLkqPVF>^7ZB>bMO2gV^I0zbZonGV*Mc@73xv& zvlFpDAQ4ZEjQoLOFzvKSB$AL5MrTl9CjKckKFld{EObNS( z=B-hW{~UO&L-W?CN$Gy~ny9gJo$VSUI%3Sx%8EANybm4n*0P7evr>p}4JK6j0_Pr- zC3)eb75bI$49z@NghWsnaJYhW_(#00pXEAQI`TT5y$`8>M z`q!n1-CwJJgebWy-^V;Lb%&n5!w|wYK4AHYH0~dPa6&|T5+g%HKQ~Z}qV#T3~diwL#RSb0We^9&W+_$O}Cf08l zcev^TlSdYRE0t+t@*+M5tp@6hmz4SVbv|V2d0%x zeTD`tce$a5A;wU@(spnw!j{ogtV(l#E*iEL%XMwlq*a)D*r_ovO^e05>6Es`;?bnn z!f+7yg;pg&#DYAkUb@gLl7c*Q-qaIz18;h9h2UyK6f z<@U*M+F@{P?(HL^y)^sjd%hm+2HTPRKNlVOk0AyZM*mNSuuPpKskoZ5f{+JZT&K+& M0@pLY*>m*20cmUkKmY&$ From 82323ccec6af719c20420bf245c5413f1f4e79ce Mon Sep 17 00:00:00 2001 From: mbinary Date: Tue, 11 Jun 2019 22:08:50 +0800 Subject: [PATCH 29/55] Update readme of image-processing labs --- .../labs/README.md" | 29 ++++++------------- 1 file changed, 9 insertions(+), 20 deletions(-) diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" index 004eaee..a719d12 100644 --- "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" +++ "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/README.md" @@ -1,5 +1,5 @@ #

    z+7zQ_JFwbz~QKiHhc;y3{4)N8a%)P*5Wj`tQ2uD#=nkZzIJ1N^=PdSK>_%@HOjA%aIDF-h& zk%aJ}bF0J5o8jC%c>Vr=+AL#v*Lx^%gmm zuXH&7vh1akVo6S@w5{rbdy(>&>QbNQS=7`m2J8F@hH#Yy*dc;U!2R~y$Z)f5ra$euKk)G<6bT}vej_xlpY!3q zPn6BPLA&gZaR(!hD?hG3Dlg0rFx%yIPSLeo)U%AsUuhz^JBibir=9FrDoJo6b*F(e z$HnqlUpIo~*W?W6AK}V_Q5E7FvPD%@dsbq~FF@h0`onjd>I?hJxr}#SRy~+l!H?7A z3KJ6(t}E@aYcOFY%J{`}9MpL9NVL{XOjZ8K&BX`WI!6RxJh%PEzCh6L0f6L8PylNRver4W_1Z@7>1WA zB&4Z6*C~LZc%EsxnW|0czCJKlB+^OUh8l#dNtB8>Csay=44nTBEN zu|<@TKPurOh>hoW!5>LKvtQW_U5kUx@yFAT^Nv!N5I4+WusIgT=Oyq*a?Z)g=^t2N z&^pn##S6SCm$TTvl<)t~_4tHN8~`I|u)@~oy_(Y%qTl(AJtN+Wvw)t`1O!8F~D$}djMmBx4dXIBo zrBA;e$6b}_d@JSyfVX9vq6-T4-isf_X*#Q)`gKZSdvjY#N*ELDnN1*B$1QRKVW^1| zq}0COEFve~rk>PETN|#mz>G3Eni35&XzLVVBTxCIS`X=jdx8qvMxdG2e9GlqS=px6 zvukGgnS?$&O3D!(v!b1j2>%gpIg*W~Y%EW@7my;x`MFb)k)mv}GBTYVb2U>B4TeKI z#L`V7Muge?TwI=k|J2+DjYdoBye%VBvKOmtJIPd@!`t&wEhfMOCW-+srV_mUo3!vq z#m6+I5v7D&qIdXPjp%7XUCW>>`Tn^uZufx9H}L_8nK4 zG{vLg!&jxxA>Z8Pt&1IY{I1=(TU2atGx415cf9}{EljO~WWPMsnXA`nq-D_=-#KUKsZ@s!ZT%x< zdQI%VvsLs!az6)z6xjS49yaLvybFOqs&2giV*P{lu7X{}_&*4h$dt8vrkY!PSFW_gyF@E^Qamg@FWxep zQR$%|YsLeA#@gE3Uq9P(=#_kotj!*p^_RMv*`Ezr-BxD@fX2a{Ol8o{*HyhY2BOP_ z>1pmGE@;ylezu;QsLdY)=euBPi@2qbDm*K>&m6C0W-Iruxk=$`V=AL{?}P#O_wm&` zPG=h5xp{ec@l{q*tOx``cY)E6DQvAi*JZ6xONEjKQ-Z@2@wFf+e?Q;hthGV%<-kTT znKU>o-w5!33s*Q3ir`Rq>aEY8$*Q$Qo_mvT&9=9eEhOU8w?vJ;9L-^?4WJHFo z&2e`FX;U~aX;}Q}0*w|XG3jq7gR%N?MeAB|bV6$31gu;R!qe$$b=Ke9%=r0tv`TwZ z|FG8)B-XL@>#t+qW!+w4sn{HgL8ZSR#Gwc~I}24MZ9#}nm$}{pb~)tNX0_-FwZq{^ zvP-JO#JR&NjPt?IIc#O)uu8auDhXE_L2vV&(z9D10uodF36#@4lZCxrCbj2KI!fdX zQI4genFwN@&PJtHu~)5#jwjN6Fs_q%I8a>D#Msz!!4f{ciy>k2cM49>FfC8)ci1+{ z#b9g;AKrtxBo66qI;bxHA^aE`(q$DgXKjMA)DfKaP+vC?E|{Oj1?F9T-Ik&Tac<^* zgSR@=2M@rUJl&hBq}&cFo?S>Q?1`rR%*_xOv4$KZBA1Xb zEijygs$?6Yb1zmx8LaT~AT8tN9NY~6)I}cju*C+LY%o3Ot!>wMqIv(u!mHtw0B`lz z8jKm2Wyb@B?O578MqLmb z`!>wkIPOSBvV>)JH-dWe{-0M{|diQZ@VDG!A?!8L9WeXFdyk>Ag)|GIVL0 zU7~f?GVYFB^vIWAbhMi{0rdYGeSkP?`lWG%&-e6)9jEhLkkQO0d2QG8_9m{2ss7Xm zS+p#Bh(Tiem~&J<qo9p_tcVW+8lDz_!ib$<47~>#kj8fxr2nY_#9-jNZE22v|2P zfGyZ?jep?Ia5pk$J0$FNYq=sXtLiy|0tM2iQQZa897kNj6|WvUKesDXiTor?+%=Wx zQc|t)PU2L}u~AQ3X?AHc>o?;u)t)qWh%LOM(4D)r4hd8@whOTxSeZF5xuMMyht~wr zYZ13_?cg;xaUPv_xj!CA7#lM_s+K3x;LyvsR`F1?xUlfkNX3u^GBNBZ+Zx*D<=Nop z(;4%x7^VMHckeRXeYHb>HB#U94eew+MV?YM7MhofuLOix_Ijs2%5xcuH9&;nYoOsq zjrc7DMa9+NF+Xz>qUc6=rjXk_?;=k0!3g}?zFL}VYgH|Dn4K@wvw+$3BH znOF}PNahT)4s zY-MPeT$4s7QB&gFRYSkmkLWBGKVxHXjL?;k8GGTG53tB7fsBMkU+=G^Vzc-kh!cV@`=ZwRLsh*q%~=^O$AH=x6k&g1&E1PxYO z)O4KSn95%F1S=T?V!f3oDUF)#4^KB2m*w%k_a}`^1golkc)PVWiE9e}7fFhLZx+FD z{lv`_k;0abd9t(X82`55lma=GH?B4%X3PwS{vpnOPN6n}67FQWY}z|INw-4!Xf|Z2pgGX;$GE-@9ez0?rdmYkN{}j$%Mh3}>p6q_G|sAG zzn_g@R|1!drS5x?+hHTtzzW=&caXpUb~ofTZm2ayj-iv~iZ7nQF0}A2@JFWnU_qhn zFKH%-O6r{5tF(gk)))f`VHDoe^~iBUe+OshQFrOi*9y*@3!SIiUrQCX$*I5eV!8t{ z1y*4qfdy(`+tjL~&Kh_7`}==Acr%*DBe(euCD>73b0n8VF_c?}G}CV*?qF@rGj)(7 zq9wbde{KMRqCQ`s0*ZKa9Z@Q55nHhgwAgUPR3`V?-@h;Ck^zh7GUJkKmGN1*W^@27 zLeuEMecEbM5;jK|g*sAnnOlCJFVeWS^v&Ym*+3 z1T!S7%gZ0e+qsa-J1z}lRO(-tq}Lg1;P2r4QFEJx3Y+ z-yb#^HESr-#x$MJI5A<0jx8BRU~Kn%lRF(gRc-GzET`b;cD()pQ&UtZB$FYqe_Zir zdM(Rrx|S?HG$(+o%IMgb8U;T#R_s|-^Q25+@s3xx9-`v6f#n3Y&A9rXPsh&pidK%m z*o&XWU&)mUd_ickZQg2Xx$*YKg~Io;ia`YNw{rv6#{$nd^5-#IdqYfOC7oO0_T()$$PHNa5ndrArpf^Vh&=HM9)wu=6_v>ym) zI|QG=+vXsAKI$l*a=P*Lz9QQtNN+V@Jy28o1!uf>e$Gmme-x?xi2G1MD6Ga}yI@m1 zDRpqRC&dLkaAkKkCItx7K%YbjGK*?%)Kpiig;l}NV0#;e_0auG+na8;rKMGZJn{&N zshX?_daTMPHlSjfDD~c@VYUu8$li_HHKTkuMz8THFfr)`ZK7OFJC{srG)?>S7CD=e z7l2o~pE5&^tYVoD4LaG>6o~rGUNYnIY=XtdWo11mqnKIqvcQU&(0mx!xCpL*2qtF4 z7bWDe+8rV9t1GB7sXs|I?cfoTYoCq0QSA}(8Yv_ISZw;`CzTPnI@{DXdp`dQH?_#bWala`>1wXDB=5d7u@ej)i zfN&K1*m>G}OpO+I|NA!6yNg9PCadpY0deqjaruqxX4pC3-4MdKfZmx$ zcXa$CqH7-oxsOSWz$v=G)WIyGk!BLO^7kDeADoVsSpQAeRu23zQ{>m!$IO!JtN2<~ zgTkzcm?JX^BYi0qw$aHoc4tj3@Hy%%n%92i8}2`6AQj!2?egKeU6NN5et9>xgk*!) zaS!K|^Y84{q_Vr^v`C5XjA(|TJqCbX0M?rhb0g-_C23_pL%TIsO^9-TX3Bwl;;4u+ z$oRQTS(aDQ!HE<^;^K~lGxGPZub0B)YGr?)Et5*bn7d}F8H%wSnPoNe;F!*^3;MrS z;ghNe10+rzD{fkq<}QqgNsq888--_~S#B{SrxcznOLhG@fU`%|L_`v3VTLZY-KiCE z!wXK8i>YsuD~I47gZsY2eaQY}04mM4|3i9*K{~^)(NWd8E`TO77(RCAwoTuh4&BOT zvots~D+`~x*dP{;-HaWJk>Ls3qv^{)k5xmghwA3TLN?yal5?S*7}$T(SzPWqCS$2Z zMfDqgPA7)h3U|E^8;eID4_sVabn^5^X+Yv@eF~NwqXqz)6f^G4EcO$2rf1J{8%dd>U9#eQH z0h5MYz?S%0SVKdD<+MElReGQHgeGX$Q^QaPyUfq;QifBK_&?vtYc6v{o5VlLM6Gv6 zSc|BvPER93ao=lelQ#94QKGR1NsYzt_}hQ{c!@$mL?MFqqebQ8xrgEvf~vvg{EnZ; z+E=SjqcwF+ypzbVa8aACF<3$)*RE5mYN=Ie3(y{PYyr2g2nz8R)G%y{nKfx!TO$BY zMqV7=JCy(a354;S!6a@-akpWgmY#PDhl9 z5sG5WXffW&s0#lmD7HX|AR&i>p5(c0FWNX05u-M_UH?Pq%S0XV(?^HWuNmgj39#91 z-=@M>FYEyTm?m?D{fP$$y*bn`sc@t>^@886w5Qai5gTz8?j{&WfcIc<{)@)hvUzX# zT7b@GN(}`^VMcZRY@Y%)XzQ?xPIoKmSq}PQc3FYGHFFyT#6XNsV1BKT5j5U;wro9^P zW&6I{*gcWuTFLN)cAqa{Ek%8LHhz)V7~es)en-}SAQI`0Kd<@&XSIr=bk8UCPPR|X zjCh-BepC@_@7QYpKt7sg^9Bne#k5lXH`-0;*Gffx_m`|uX{#n=YfZxA4rV^zw(XTa zAq;1|{xe{_IQrrsZNFkwnC9e6$sDBlCdvgSia&+hXA}=r@zL#N0KL|yJL3iRZI6~Y zN3N^eR{FnSTUdl`w1bwAw#ghSmypHSa4ELzQJK)Np zx#Ko-2{F#(`GF|4YEzX zS;}N!^)sj;LQr-v3MW2$hvTPUTp=@qn3hjXD^^s~TRn`U1=dP^=QEe@;OaYQmg=!hnB{^ER0DmDL1LB@9de07>&nKaT$P-a^S=(S#>Msn5;!kvN9wwRoK6 zF05k>j^3To9Hx1(3FV$DF+wF1WszNyMgxC z*I}}urJS{*eg02(X~CO=rdARp;{Bw63p|m^MLmzF&yzf_*`LN35t+>7%)x(SZZ9d@ z{+O(m&(|;*TYYi6D?+=U4Z<5eWsU* z3V~y*@n&xL5Gm)TTk5Dc9UX8K`>7vqhnWS&4}Wg5JPjC5nnZ2;Glre+Z%uDC*rpB% z$!UVNW*GQ4aRO7+sbF)#Yg;sATa8Vra4K203qn9(TIWtCV$bw=m`n)|-TMva(dayJ z9MK+W^r=>V^(rOoTlsg`t+r+sn4)>)4SZ4pa!$w{frbibg{UK6m_1fJaA_HD`;jhZ zcn)w(^;5C191|cBL9&R*`4`aB$@~h{tJLi8G~Eb zcw%-oib) z4if1EzZo1qQm)|Ezq7MbWAcEG?ss6fzpS-W&SjvMUUoU{j{8952&-Cji>><`v5b?J zmAxV0rrBRGl0stSo6K>ukcmDb|A|`tN{)F~ZUj%MnC4i?%U#rV$IDsO_#(5YzUC%P zC*E_Us0FGmj!x?zz@OMBO;?x1{QqpscIaIJngvRj*m`PRN3`0||2|UxzkZ{B@6x|AYQ2B7V7qhgJSZzXdQfohY0&=yW8X?s literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab3-blood.png" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/result/lab3-blood.png" new file mode 100644 index 0000000000000000000000000000000000000000..965b766cbf634763dc39e37a5b7e00f75744eac6 GIT binary patch literal 338493 zcmeGEi9ghR^gfP{B~qv;W!FNI$dWZ%3)zdZ8_HH;?E9Y9J4=#%Eg^{sF>DGBI{B z7=yAKOSZA=d#3yS`FtLa-|zb$d_VKhqdVL)uQ{*tJm)&sb)9)}(?E;u0M7vg0>P$z z+dqeYv$)?d_d*~J-KG7Z`=FNp0KTc< zecjC4*v-+~@2;lgTu#f9#Bd%G)1O8%dpOSpMD zNtVw)JB~mIA+)b)-1bkOBU`$pZA{=XBH|*5}kXdmKSE} z3O=|u?4y7Dc=Vp|SL<8*u3Z24_yglFdX_u;3$E;c{P=O@l{bk-)o)B(()1ae-8h0W z`UM0Xe`Ul^RSNu()a>Z!*iKu|;C6~{I~u0e-9qIXUa_AF$eLQ;(Ts_Wjn%mJ-@`Cr z@qruS$bSzh^|Pn`K6nnWcD{K2_wf|L;Qik>-<_;SWc>Hd`};5c?_Z_=zrXr_Bk+GC z@c*?4Jnbgp_I#qEqHOK##(pgBu7~~cgMW>V{Mj85-1hxjP*l$SpQzM-UxG)^@cvV$ zNL^h=EQ+|UygjU`scEn_?2CZnLf(^MTbZ1*|TRS`1wNy)BaYg z)d;(XFP>*6r^&nh^2*xg<9NY%ujB+WWbIDypY0L(4uZnUV(^r*|9m;|qLfs`z<@d4 zE08r1OKwWS?KtQe8lL8f{$-rCb#yq8azR{Ne3TfrOKhVIHD%+LTh9Aa2b`ArEZu(6 ze&b!2sH3Cf?_PB1nzkSlt^B=YOdtZLYrMN)jyjZV=`Hr ztSEBzlaAm?nGZw?gKH0VvF>F@D79?ko6XMkoo>lpj=i6Pdvv&MEbb+*JZco)^U(IN z)8DIEkEByNqH+ZHXB!u5Quu^u6UP7YV{f79(l^P_BO{ybeyz@UJbtOj zE#zP*Zp14fC@5&7M{Udwc9mksBL$ zwV1WFwTPtuZu5KSE${FWNrbl+MZacy3#~gYNJ{3AQFdNlJ(qoFx^wFYHDP~L5u?i! zgcx{tcqutKxlZ4<_I6*N$AY}PjSxuX&h5YbEAtG~^tII=*H6VAvR6Tx2O2p3eT~L& zTKIfZ^q9KtA9cg+`Vzeg3k!d51}CSbDfdcCB5U>|D*YBS$CjQQ5|m~zp!Lc>Xa8PT z`{MdoPu8C7-b~hBvy}ee@UXxh)$I>g*3S6ZxEp5-%3DosLXJ(fXEfUEe6vvy__Lz+ zX9Zu<{I}g2@?$GGyEvB;(82ruY@z@BV;H&|PfJ+$g(1b@d1sreIbHtmtMF&fo?TML zttfBAt8H@b>~#FOthznW@vE=Ma$_oN&*^>7OmWG?-)B^Na3Y)bjIA;qqu7yMi8@-6 z#qLeVcA_v#-MW%L-S#Hk_`I^SngstW3DQo0!)fh(I`Wcd>%xs|tDK*1irTuku-a_4nEnpJtp^zfdHwDG0^E3bQNt*UqQ15M ztlfbuIs`IcBH@s~^M5~G*pV;dVMCOo-&dU}3u`iG^%RaQ%>tJ#$fh)+&V zW>OswAIUIJOG``6$oQXPbM-mh7B^=so5#s84f8NA?TlPr%uJ)Ao2}vl~aRK+Z;z+i+Udx6Fw(R zRfrF81>imroyjF;t(Q@1l2fxgn=9WP%Mjt1kx<@|ok{tn(T2!OlDv}AKQ~3~hr{-E z0-(Yn5F%&KddzeS!)3nfeMpCBnUEGs_-AZ`L0u`scTOwUNJBM?xsye+0)z4RvloI; zuSNQ$zie*SzPvqG=0BO@cnH_e#NSX?!a(Q#BNEQAH)%O#G?0Qglg)6rvugkq2Cky)-u>gMzy)b~1@ThZy!B zW2@PmOxu8B>UO)ZHxqBk%gx=;-=91nxOr~ssw@v1o@!dytF`5#udfg31y#O=R;7PF z0UUi?!m7T#ogFn?^TI8JVu=V}3|R~cL1!#2d8Dg_3BYYeC{2l^=s`FU3RNQaN|MJy zwzk@)R_@EC^^c6mhdGs*;{&SQf6{XuKOUzi(q;#5rGI#s2{BaV#SC`|Vf*pXxR+1r z%928}Z_R4AF70(&FTsWWw#2PjTK{3^gnVRSns$n^?o*YPeiRpXWFuIWGkkkDG+o)x z^8wse#z>8oV9}#j;7fr|&gOCB&x?;5vd@wDxVZz~3;nyeo~AC$#||g*9T}x8u(BC^ zUzR6kp7W=B|Bl3B0gt^SDaL1pIJn6De&-7rg_USTW|B(nEys72)ct~L=P;xd zPxg0RAM64m=OWXCM#pO?>QWppAYW6nKlVQSS-U>$#TSOz^~xK8C%OK>)Tx@%+HlgK1#*Rm^YLs zFIM~Qi4%}X^wW&#T3T8nqO4D@DEKW56+DajUV`Z;3~pbg4&WDVsalps*g-S6_HlT%WhBk+&2BL#H3kqQk||zDjz*?*WUhX@Y9YA<*7=O@b;xw4hO69 z5L1c?BU#kn{N}j2;)&G04qBuKPGo0!%==;~gwUKW^%65#?(@yQI(_+O;-^lqrhZDg zY$B0#3r%eE6l7*$6}EGBij8l!H%-*rOUzpSW)`O58x+lsm?LU4nUAn`5_-_YSOS$w zXk91tn~EJH&NCRG)Dag42ynHzxx(@L)|3PjbiU2yq${(0-U(HE-TdCJwlug_P3fvw z2gAh_7xT=zyBsgB>0QjcapsjyE+5{c9g|VuM|%40+jT@nR8jkoY2h6{0fBc&bXv$4 znd!GTzcYR+owJvI;jQFKb%sjN=Gf!nCXsaY?alhxSuq40US=~(OBuQ#Ne=&(J^VeX z6~%*$^pFBEyu3vw2ctC`(|MbRDPu-OCd^wk+CJX^x`drPdLU)&1pBi%gM2A9wbxhIhkRQR&K;V@C|_dOVDS(L~kM5lndv3e?^nirF8L+=~JJM<{%F7t}7tpwgiO#(+Kv9z$D zRTqbP4!!EQ>^zI2Q&}Bp2&uRmwq9PG2t97EizlurWynokWp=tEP&R;9uTab|IGvz# z_ujpKj|3;_2;&3Kn7x^J-}68Vp&%#s6sl5L5kfBjTi1{iYkl#AY_DKxhwsgE5mmtk z;|k3s%@dv?^>bSE7)auonFpLdX4me=-S~PW3S)7hEV@(;5Qig)iRFj9yu8Qyg0+|a zd!uvW7cQJk(M$E{l1B}xLj;Q~F_)8iP#6z)ciVOh)x&#+lex6g{o{kvF{`|Ho2CqsWn3M!zUUOROJ zZlj)_9s-dWw4lhx$CvCSI^buCCChC~s0rVQ(acd$Rh5$$uqSOh>`Z8qTU%Mf4fZwu z`!78pRNIQ<3eB&bq`9GS!vQH93aq7JRitrYuYlzpLrK-FLn|vQm7%*zh|%s`-AM(K z{Bc@}4*~GIDle{khC}4A4`J`%!d z4nb^R2)g9NFq(QUsotEbn|K~wu1j329@3dw3#uvISx&tzHqmclUTn%l?sJj5C3+O* zmAma)N*S7E1G4K=j(T`^M4NEmL zjt3g%_f&d}Jym2nfBrn+;$iia`{p<+t;ia!_OLS?4G>p!zw+dFJe!E{5BA z>bt!(-~&hNDl2vZfiTHL+SpKFXJ^kednGXy07Z~kY%RmKIroqgJrWv#2*SZJzcY^?2R9VUC|I*3jppOW*OD%a=egHS(rehCrdI~8TAzfjVctLGm z&j)JVzz^Gt_e+OLk!Wd;yG|wK7Q(!yr>|m_L@bf^KP&ns5)1EU5GmvqTe8mJ+TM-C zn>bUQ97&rx7v%95*W6bo+v=jnuH_mvKwSm|su-)RtPDsi&s!lyPf5BpL1*8$dq*Gy zm$(OmRTnGSVT65Ukk3EnJvB9j)fsZ##a5q6xEX0V7|-Ip(qpFG)ToGHlutgUK^NBSz%j`uLhU8Rp78?)0oe3~xVH02^fE6Eul za`DYXW%S*(&EV&nIU+X+_R`6zsh<4Lio*PyKTn!4Nsz1yZu3Qaw$!dhO^a-gRp^m> zhuC-KnC#meISX!oq&$68%Z41As%@&!%IPY$yIEv{rSH3O<{o`9wAB|cSo91GeueE# zg(19o@NAw8!f9=(qoOH#_ne&YjQTP%GBMeuH${b#2zP^mNL?>>H#Yhi^f-m3l%EggQ=?=^G8q=X)g%11mo>Y&&LI3Urfr+NZA3u&l zA#bBn(hindRlWF^!w+(K_8FZLcVNw@c2ONUlSe05g4d4QZd#Vky}h}A#(OaMRz_x~ zW?`?VeHFAypc-18p}yFr=C8~9MsD@+QZ!iI3?cajXJp)fk+?lL<=Cc+_qJa4c=%k+mTOn=~>UuD( z_k6~uliIi=;(6~br?1i>40z7zWV>~!-b51t1}NR^6h}i_%j>D-Q#Q|M+$$?9g9++e zu5Js)QdH$q|DI7zn!z-li?rIyCnG!fH)>59IUE5_aXG$iwgzMC^*F{K$v+L zVG^ayhDVOD(K&WDze%Mm-^<8wfws8NZ}gA2`N`4uQRcu)dAAY8(Om=enmKo+9Uq3Wri`x(fd@}a=P`DN=1nvSyup>0! zDUX^M+1k0$1mGiig%6Ndv%OxxQcKGLIeB?Qa)G7aGdOpXiq=iy4&M=aNhoVoHU*jC zTIm?%Rl%A`b4)&icUq}Id}~oiwDuZpj)K7}$N+t|=cBf-rK93297BXUac5S2%3p`c zT8BLURya^pTRO%2%~y)z2y^d!+18~#1GeutEr zlG4NWvE^my$*HN5FJJ7b_=VhTwP!Stu?uZaCaHvdVfFZ(UEw=>T+}4fq7hl=qb1AGQ2M!mu%&Oum#8GSu_DYcedoD9Z4;jP zJa$7UOdFfvxzm{`DGfV2HC0D0LaiGZ7%1NL#v;crJ-PDM`Nk8K-IN+6^B7fnJ6h1s zx$f+0b$C0+Nk0a~qu%@1C(hsm<-rMp7ZjWfSa9GB4Fw^rA(0!^dA_AnHeyoh1wfwc49 zCNdzYVpv-@dEx`)?=3af&gO(YPdDcK%j*vwA>?Pgc{D^2ILyTH4uF(St2jvoBApK3Lvjk7Cd+7;#%j@Pdv_6@> z)ZP7>JFvF;w4C=%2b1lMq_h`!K~;f$OE>N8K}a z;u`NrY^*FhtJ~gr*slBD`!-)Ua|L22%B3f*oF1%Iy0WlhDk@q-*|)go?;N=)mQd|z z{AxvXJXlxo087(aMfF+~3@46r8+&`IfO*E03W=1wt*Zd9@ulOMZ|x_`23&}3QFbXWzXls}egbvq#{rY&*N>WnNE--MA?^#YxPO)p!?wSApIx!Qc z@{qbyHI>PL2p&Hz*g%lP%_SFNtt9N++zuhE@)7ZKd8D!WnI?DAz#YsS#?s_glL=|j zgR(bo@=Jo^yM1m;pE8jU7w@C%II>Fhnx>~e*iB!#c*5I$L0I>IcZbb_FW-9|b-}YL_%AgTFcnc1lL$TzM&vE}e!SY@ z{mEW}FTe5O^`(FARu4lLN}Y#j#P|TQpf&S_j~Sc9;4Ymn@BVr-!AoSy(5dw0w|A#W zLvA0mYmr(-CX~&Ag4<%&cx|zxt9o>B!*7KmVW{Ze^{fKc?rBlc1(~Exz|{c9^m?QC zm`BGIVCdB93q+yXrJ_?>?Ql4p8x0uW6z6Kgo%CcK4~f=f0E%o<2H_o3X3h2*Fi!}h zQNdBTQ3YJdnVC&b4j$KI!rc^An9NObHYyelI-ml9KgG-7y;Tp~$lo}>l&ss2pm8Y| z6%}Xp2UDq3zA&zLb?Gb0eO98c(7eC^!Ge>H0%6=VNDt6V)HKK7S;X^3)>54;JW`n}>i0YPs z`OS{`DTmZcAqF)%zt)zLJQk7iH)`^Hyh!;yiRW}*6|ZYhjf?H92S;4wtf!=)g2(t! zn7oWMB{~H8`C+VkXH>vCByP}jj}$u)a&GSJiLGib+DK1=X&RuR$c;L`MS%lP=sl~_ z16l=!ZRJysLMwE%2D06{kzsu09O=CAr)H^F6T`qRg|8nLAd8|xLx)%QAq-lkufBa1 z5IeG{1(~rr{TZLJ&ZDFb#n;|0JXEu-qoZ+ZC8Z?GaBzBB6zTtSU(Lp^mm-m>ii$7% zFQe)@aoY=6M+3)tMG+JEDEiU+9QpWz3}+h>+rLoik@UzQt4j7v;m$;dD)Ro%3{ETp z^Fo?`ym`YG`hM%~YNQa(5uRMUNg3 z*+5!aHbS#1sjFkpmI>QwXlOWnXZTZbaq3uUb@gn(RV{iCmIWV~Y5?DZiX)+V6^p=~ zJim6z(Hvq92qGpeq?-+Cvl59kq%|=VFh{r4R%Fy7fwAZn-t}1C4BqH`rplPzqc_u% zaNg146e6#%+}1ZFtGq9ZOecRQiER|IF4<8_uYr-6M=fFq^YiB@L&J7XsQK1h zQXo0+AI{fR7>0crORP+hF`AClz;VTl&0>?n7|(~-Niq1`HMaEr41>ubxW<8E}cu%|JuR7W6zo9*l4GBGhhc;_iu z0-q$j5L@b+s#0#F*8S#icuIqMd%5hAGEB&H8_!f%PsZO(TAOjL^O|Bm75Ch3tL6_V z4PT)_sZvW~=@hE&p2Ux9?~-&NjDP$}wE6zSSzU*1nRcTB*LqElL~BZ2y7VM$8xz*Z z>sGU5mUv!2nTw0-qP+aG^QOg!X}w#r@So5(w75#FB$S(S2K7gWN(oz=jg43FKb(-} z>M1d7?s8r$4<)89^>SY{dr;*bR1~V0I8&jDj;gh`kQDw+ty_P1`D_@r?HEe35gB8R z{6usxl*}dCQSJyMvYj`=NhNca95U?jWU&*gh8Ty+IA`pD%P+u1K&Vj$4@u7Z@&aR{ zg6?rwm=onb-fZ9fNjbh*2w3~KOxOm<&<$xj%HDHMuzZhc_;4BX_D6mAd+ z5Qx0Iyw3o`=wIFzb7=9NAh=XnHG!T*8PKk^D5_3GQ-qN6Kf8xg|9ybe{~?Ph`H5Pb zxwExUv4dnA@y@1{yGn-+pYLZhc%(`08k*0$hLb9VA?nEEnV5xB(55Hx6_{_X>9zbv zN%H)20tnzC)4kBVM|K~1)P~j~V#O4h3+9%n zFvDDnWdq)_5!jGzITKS;y32LT zs35-3A`{;Gd|1}=hXb=#OB7vaLV&bs2rjtoITW?ka40l92^rYjbzM+lGm4-PNb4Mu zteqVnf>mSTG=Po@#BA{1N|t=b9kFAy`-2M;MDX>TqC3k*^!BPS6QA5~F{gf|`qJXu zT(Yf!yf{NOgSfI8F?I%F<%Z8r5mPoxn7H3;UwWQWjA>vOBj-7IQR-0?;>>_EcWsk9 zVR@+OH^qaDf^p+~#_(D|shenJ^jSY%;(Xubj$s_Kr(>p|V`#OdH0TcJcg3EJO$W=l z>^n`r&T_DviPx6t?Q3aisn^Jy!`Sz%dEbptub0XLhWljP4I$vUA3kg;;oD?wp3-Rw zfD04?)-}4;FNgO-bWDs=(|~RVV!J60B_v9sWeB2vo`f;<5wC%+c@0KspQ?St+ApN} z;-~+_DqVU{Dy0)jYoiYzOP^Q?(E39k!rGBB_Qw;LlMnL4?f=WbLtm2-rg`k;bs0Ro zo{MRs)Ctym_wLcF=Z`Iwb*MTk`REc+DXH2>yCfWd!g!d1J)!)@;OC;MR0J%Xs>p=a7M;L(x$Ivaoex}y89lvc4$67^QuaQfuGMUB5Aw=g)aHEwS=U2 z2W62)e5aO>i(Rqnh1U=@$`sO^GkN}!=T7&G?Ce_gm_=4*rrcQl)a0a|`Fi&I9g9PP zhmEK}q#p*g&6r*%_xWF99GEIlR>KV(qDU7R(`XdvEtUR31A~Loi`=rDdzI3YwQ~y#N=@mwwLZ%{&+iN8F5b66 zKz1o%X`O+Wmv{2uZx~e1-C|aAVm{E48F5VVEH!O`w_;Ybv9}VnlArByG?3eQ#rb0~beKU*}(W zL&A4ab^;O#DeBG60!v8Jh%=db+ljijR&B6KohcZvf$$<#R(|xG~{{0T(VT78^no zjMn6jrVUj&FH%1dDI&Vi5&%v6`uVlBz37pB9ADB8iZ@gQkH)i*ouKFyR|Tu8eKAfd zC%Ins|BX<0+CClke3MkiotrOCL(ZO!h~21e{YtoZb~O)9#ewh^!y+=T_|0eZG~H%b z*DcdYgRq7~d|~fL=i^@4${P#EYy&Pa^(@A%^|Ot6kDlCi#5K9Hej!%ZR9s)oVr)mD z>8c~yG5;{_V%WRSyd1nQtH&f0->fjX;Y$+4%)4V1Pf-|#rj8NOK9ux@iW(&06@D&l zAF^%I#dCOmeRlrU?uXlAF)fFh6A5*dagH9+9n^0xk1y@4bc_X!Y!4U6RP;XV?Fu(! zrY!rvz3JX6bI2PNN|%vl`0ZX7BOb!U;MzDh_o+D>nBWfHyIUKxOFz`79P78XwhENX z2`9V;v}!v%TYXk8D+)$N6^2=iC%d`2-gR_r3TB^Rdd-Jl9}Ze9a6Jv}aMynH#Q$-} zv2JnSDY*R`ewxBDXM1s4RBI9MI#<%J*|PYWTY~GQh@~W`MyAZJ)-e)eu0akZYj)H{ zs=udl4yCWbT6z}QgRP2IT)b3AT6kDoHi#mj*NF@Fil%-t8R+~YlcGl~Vd%WG=jxPO zD@~^QuBGPbWnzMur(NUPr;!;yCV0f*0Dok|d}Km%1a21KFHmBpuROK*UJ~zoTaYs- zY)#g4*$-5Pl#!{-p!Un_wTmH#>PPLeNb_7y zB7DIY5;{QZwyO4KVP7jTY%w|(1|AEy9JCFeTT_nT&s&n{ZW_NM}aE7)^2Gc zcugmpiODCV3Z_VnHw1^TV;(){p+fkP=Kx-dj3$hoKPKoI9AS`4->G798T2&|k0YQ~ zM;YRv(Sx8LU2J!8KmTWj*!**;9aD|v&O`*FLv>pm$WbX}<%5X4Kuy!i{scjzjO-w7 z7Z|jEyfV1q{z;}qeX7jKw0PPJNBTHdte0V@Kr5I?eXOI03Vuht;zH zJ{5=>8#679*xLgy+4V3rhYvQSp)Q`KD+%rbdCBL3rB6_6yYB$HJaQaq?5QnJMb~D zG<%fhV;IsK)mINQ&dh~+dp{0V4g09K75{5x(|xRo%?cOFkDy_^Jd>lIc1;woljgyS zec(=63k=DQDjV7l1_3GZ?+Jfq`iNp%vIsh1V$~vF;x4-Pp*6Z!{$6n_pY*NJ-j@9N;%>ZO-04frpiAg}_%pF8ampdn zv6c^Ez2Zx1YjW`osJ4`mN4t8Ej(0hStx4zF-*Jn~!)Wp34A|wZ8NQW4E!M0+bzuKo zTkD$HBTQU2F7;UU3J&Ijx`0qJuK`xJXTLbi$OA5?K#mswQqzHAo$PZr?3wzG8lLDi zYgc8Dw4a~Pa|`zpm?4v`0lRsnzxPP7$M|?%$|%v#HA>FPVs@8iu1t@;apn94sLD1#dJ8GIgdras?PA8;uQEncQw~%}f;V3Wac9{%DK@R2dZ#}%%^P&B!J)d>E2W2)%?C*& zui!rOUo?jo@SSQofaEvn=laAynfkc}NTlfb?(15{SZn@uWQ0VPAtTCn(}}*bM&5{+ zlEvyXwa0_1P`OLH)a%n6&ivj0rLpO5lfX-`&^Pr7VTih zMT2YVjyM-sG4z>8?Wn=%0`GWfmQaV*OQXX+mXgLiE^D*jB{Y)7@tKeGr$;T3ZX=?d zb`|6bL!6+(gGqb0v`?m~U29`<3sz2@_Tp&9MiPls8MH3(cc$ghQ+b#TzBc{eVrsdT zo4`f}OX*@=v$0~j;6ZvKbs zz&_&)0H`#zo^!(nB21&KE!}Dz`Zhz`%k}9gFrJ7@Nc{Ts?PaC+3`cl78_j0Gc>-do zB}tEYa7W29TG54?J(apa}648`%>_q%wtJt-K?vdm`6?7LrnI|aTlnx4Kg zB-3#@5)5Q5--WM!>WC%%W=`Q~i_Q!}nqKM0kGQ6m!wfx*vMKD<%Z+&R%+19mxvEJJ zziL~EiKJc$FnQ8VXfCD(f=hED4YI`7gN>KY#yWgJyO==1dK_71>-y585<_qn{> zL5%*NZ@=of*8oG74FRe9@f1{I37fhokSybClPDGySP&4Ze~_k3hjR#96H1+SUj?7A zMj!EwrBfaYwJ?0gx+gnVa6b1`uIa>KTKY9XH+>z@8Puj9l3GBhN&U3;aBH&s^0rUl zC+wRtiin5r#rtmYwF0$8yG?H?uCJx7_-$9Wx-azPKWV&M)())br%#`f6-!M`O$9Bp z2M7QJXcpajCHHwkjvd%XtK5(l2n23qq_@W4k*xBo?m+9Sh-y^w_aN_^_mhkXW-By9 z9^y9`iSIf%OuUe{D7-CJ2_h1_XFWt3XpL;^@4GUij3pCvMAVbk!HMwW;U$^JXX2Yj zK>>5*+lc0scZUK3W%5%|5#6+GEeQ;3#EPieKD_GRc``Xo432klN{SRo8r&?v1E$jd z1DDQudukj^f3wJ#;`Fe6ADvQj4P_#!VHOILX?p?4r?2$)tk?E7LL`L-kg$~wEFJxdJ_-r zF@Q#ZI0pIntTD_#0z&%tyjvK_g#bdB>e35C1Oi+HhYvi_`xZgGlrxo_B(dYWQU&<2 zboR*=I^eUc@rE6}WEVN`*|v^=fB_Y4NcJ_aI5cAWR>DN7U29dkF?O(_s9VF2O0;WW zh^Vi(YxJp5Il=m_>wfZll7qJGdAlB>7A( zKoT=TH)R%tnHd}R!)&&#Y}Pa$98k`2Xl0o)z83VT1}aEsI3 z#T`dFL-;96Gxgd&&v!Qy#Hpa1j4f*Xp!j^fS5nNr0A1%Y7c0}x^=%JtZ~F>U>4n!X z!gz@PW`o^p|-a zYgT#3vpSqw?vwM1KbjN*0FCkd722=`Clcxw|3tTv?a}IhtdOxQtLGz#u_R-E=yo7T z!gFxeqg-76)_7Yzl*#wK*|g)HpK4N5*_6J5VXWs({@HkKFcIO|m=vE@FQv*d9tBhF zQ234fp1gp!b(?nbEj`3UWN}E1PxuAn`k7Hxa2T#n^9q+*Bd@BCuejJyy3y(=D(e-L zy(~|3@q=Fb%}^Z9Idc_wDtqY%F}ba7YI@^ap1hI6&cie-07xLKGGbuMP@i_6xi_o58AINUp?sD=PD}{Ux8#_mMv4nrdKp^IK3a$)vFNsl>}-^vQywh;;n-Vs=_U{&EVhNA>g0^>AOtIQj9MkvuNXW+TlOKD8h`br{pW->s1icjZiC9Ijyt9OiAG5^}~ zL*Hcw4mpPRIF&N)`1H4u$?FDd&?$CyE`#l>b+13gxY_ei_c80R_V;|&XEy+1c;Xa8hV`Ba;fp3x(46;ClieYDugTr+JZy3PwY~ z#@hP2bjsJ&)h~7Z{M};&^eK8B6f^VP|)v!=|?q0a@E+p>p#~{<#mg2z4Tdo>#xRCAgvhf zuHaG}4RxuBLOCf)n~jjPzL%ocwDg3u_ZqE>nP=~;rhH-iN*iz>UGzD>xX6*@g)rcm zQ9@=BCJw*2_PMSu=HPJ&1mZtYW9r0NG(o#(#@kf=-r^y54k0QJ=j@%=F7ml6Vk=>g zwac3|PIi~xMz(?xy{t${2yAmP(zAf4P!`i7L7rA(2|7gIwFBJ~DgbNqYJ!20&E`F> z6uzEH8eaHSjLn?#RD?}}RdIr^UF_z1pj9kGAO3&>x1>1H182wROR7b|i?c z*8O1W{MdWJbsj^Mq+qzy=ts2<)YKQ#a}`6Po#d^x9ZICq<;&MVp&*vdy-ZI6;a4a3 z*NItrg>9xA+Bm*Hcg1{Subl!NZqo6JSb}s`4~$+=Px7k*AXuxM;Q-}(UF7&9-tyPX z=IL=xaQ<#ABK! zUD)p*i(M>tse19Q<5szakL!sUIXV9Dlo&%Bw@3rQelVZ-h&EK$X$$qJ&N?5TR(^@%+-L581wI$k-hTvmE8^|s)M*Hi+r6>uKbfCz9VG5>Wg)i zo(7|#R3qJEJ{j_uZCKcdR93Jo&%F7^;(?V;LX`(0kg!#g_#kXJSLthL7Rh;S*~!W2 zR>5s|Z*RtIFBij}s|bbWJW9YI-lM!9KK|XlZmk#27ADpa$p{-aM zBK0m54yFgvrru}toXsoYPFi858PJESeF#2-MQ1N$b&QRU0x`Yg`S#{ThvFm&>wiJg zAhI!G=6^)IRPh~j<#WT0UT>iYss`^z^bZVhe~3#!q4EeMVbM5SBlHNYTGW5P;1Aq6 z;E22jStq!;XR7p-L=#*)2}$d-A;iX$tBa}ZpI7_6HWj~bcvYpGqze!|>d815p`KmX zkPPblWdZq~?S1rgGUeHw`Yi^=kHkqeWo40sBuT$$HVkh)UHjg?nxvn3C)KYF{($mcBx-H;%+d4+m-V044AX|5&7r&lZdmPJ_EzFep^9m%k~;jW1(JB zR91coWgCVN1Oiwyy85VI!KSo>v?Q{kRj)wS>fLXq(v#KBL7bF_7F+C7Hc>c-i zDEwHAV*tD*FhO{b4`f6n+VU$D6ua||h?`rZM-5{fp!3t=(m7`aFOXh$OktZo1bG~6 zGPG>4HGKqYG5F~C(3Bwb)Y>ODj($QwMr!K6%gd#TiTQ1LK_$F|XxO;oV^2%7@GQ>M ze5ILqC4)dK*^|!hL2c z#7#^4Bkf;=sAkRVuzE|QjVfGY<15NiOEW>&PjFb<-i6n(H^kdP3;CM*-bj0WU zj54$?IRH2E#(ArDdGT>@JERLNGV!l8j=&~QiG4-xAB4LR+sQ0$%;q=P!pORBVjVLB z+y>0oE+0?B>MYHKwgi@TacAHe-WlHw4{e&6yt$>DyVq?amH@T=LDQW~5d|V$CAqaF z^8nj4Bf|Hy-1j6G?)bX$@+Y9k(&l!x?n-T5`{MhUdM&}T=iG?fU4@ECX|T{svllaz z!)hu(VxEWr{SL&`3GZ5@H5E!+TM&#a58C_OtZEnqs}RE2(Fq*~zFKy%+qw5kC=!?tL#3UHYD z0!z!DRhMs`meijtVlrrordlMCl873(n-qyf^|R`|@`B^KA?US%lxB@bXO)G(QXeDL zz6(kLjQmltu?*9U901KgmEx1cj0wcz^)Iq#pEqN9)@W@v%Ix}8gzop|%G43|XZ#Hh zVa25uxtMwtYkJm$Wyib8c$nEXo1F+lfQUlUgr2@W$WwXeMH5GF$Ui7+PG7!)dOGcb zn={63jyad}fPUcY@HG7@X7eZc(a6K{*%O5eRDs=O70FuC-Yl;~Dyi)~lF&U>2_$Y- zhw?|)p+GgO+Q4G~rV-)t!~P5~;K$w$;e2te)hDId9{lcYffHr6VN5*HPpeQ~ReS(^ z9_Vd|(glIlVQ!Ab__wKM!X_THTNhn%E}3JenXo4!yzN8+AT;LvhGI3Vp|~v?NckXEnF7waosYQhRCRn_3bM~k;s4|e$mw& z2ElV4MEzg%5eOOxcW%Ptp~UgaUrueyQ=Ck-AMh#r7)m-dRlo3Df>0892s6qz-Cjy4 z>7H1iQfh8omBZSPEJ$a;&O_laSV1nfd0RGy!h61Aa+kJ#ORQo{=bv7qtUA?azV^6#w_$0K0LviYD{os$ zN>iz=xP@x{9-Rz|^8SAu?nl<55HV?&0JDP%i3s1_rO`b2n+MTjr}3&>FMk396cbfN z7B!+a1AQ0#kUR;h{`6Vqw68D-&>l38GmE;P2&^}x8U3iORe^zd&H8Cn2jfs#A4>Q` zsYF1mDVKGsI$QTnfl&eY1nz;(qMpxsN4^YvbqVTOjt9P48|rD>2anneBq+TMs2#{`DkY%{B749aw2? zxs}|n|8rELe6k#K%+*NU~sTqYbO4VrnTL+ zxY3N|JDU>ImA9>QLmNv@)A%mc$#!I|%IL@>cEr zddNIuWFa0ASYmb!OxRHxeRO6eX5Mc!8FnLuOR(6NYimA;`tk8I;GM=&Io+9L0|m)V zlh}P$fiBoL8I&qKvqy07T&4C&{a^3rl#U+o**EB$9};AlD{j{QGaE)hurweLF&=fh zI^8~p@A(;Gbg_{QLa>mjXb?Gcw4nFlq)0TQ$Ckwr=|7b&@k6U!l>LnKj=0se=?b-a z+mOTWzgok_6d%Co3{HN9>Ps&es(IySIo#1{+`glS6nNJL8jlUBt|RTnBNkK{mNBXo zR739L5_J9$DNqE#kXDJ-}PZp8UJz!kX_pXCO0i;IZfJ-X-fG?GAI(X@gR3+>kxxG4Nm*i7+hTN$OMJ}E@ z9&tO&qZkU`T>RcSrUwf@fW3FG#$cX-eQ(-mvHVc}MB)V~GYp*%%!tl&C7t@ez&HVA zB}Y4*QE-{3Ih(K)kI<~pKpF!y&KRa!b@65LE={jKT|TlXKk!o{_L=FFTS()tF9}Rw z^y+Eo1O-9U;|?uEOy%eyUl+d>go}2oY-|b z1R$fCg7n`yI(4p5h8&Oo{z$(R7)x4N&LRfE=Rm;DZnHXJgsOVOkw+m#MI+|L&}aC( zhM0~Wp$y6z?v_$c5k^qm)b1J(ih2nz#AU!`rJ4o4dz#U}hVn1_EE%QHFK=Z&R*zNKV?nPrFW!-$BuO>b>cj}A$=!J7s>19E z`IUs+T(5_Qn% z*r|cwDiK(>3it#QRKk)j#&00eTWS7;(l6h3143~)LZC?c8APyMf+qb+c&zgrI&bqO z=n_}_@||-U36k|-K?TlID!+_z*y!VhGauea6)p=~#=c#9c)z!B$eO+i-WRNod=s~G zacLDV8@cU_w7a*q?Vv)H^^xZ95t<-zWD^u9H$}(yKXuTP={z^qODcAI{JnC{sNgXa zTH}_CHb<36=PGtu6xGz+=q+#~u>han=;TIB-XzWm-Ne~cY=5y^d&2k_jD4aBI+Kpk zd~P8I^Qs4_ZD%cES5Tubo2}Mf51ORR_K*9rP&8Fy(@6TqtREKJI5GQES87|x7$aTr)c$&$=~1qK2Lo!&V0hNs&Gi<=mABZ>v>?Kk1kdh8Wklc`2@M<4+~(J!e}Qs z_7RNwz#LVV*8BR6A?-YbKSzP!zd>j4$eG1#sHuvXo({5T#UEyluC6$iEg#N{7>V9` zTeccl$@|#*k>$XdaB7Qfpvt6NMKw6tn?hovqf>-vDktpve4p@S*f$fXQtctosaVJ1 z4tD+@l(piLEnw|yCgE6ufS7(LC?J(FygS(-F<<5%>e6~Dr{!W~5>0I~6-w#XXxbAH z6jW~4h9e*^3St$!F71;T`Xz#*+~Irp9B`M4v5jwN6FuvY`)i^Ht?!o}bNfRYipJ`;&0B#UZgRQJ-%gcNVig3mryOQ zqGSl?VFgS#fmhE#x&d>R07%UK&}TjmwqT?5gX@U-UQfW^BF!A5KvQsqo4TnDR`rmr?#Y{y|#jQgv;2{$jQn1(%gKhucItAB*CCBtR*#* z7UAhf#pTdJaa};d&N{>D6`<<9dU<2^Hw9j%-j6dP?Bhw59DAIfcmI$00mi+fVHr~z zp)oY~=&x>}!o)~I7}jeIMi{{ND%LtO;N%thyGI)xmGw3~>biw}IDxKuWnqCck6e1A zBeJ;{mgs`j(qk#?<7@2(JisH}<-I4nB_$$DpZcHzt#knksa*ZP%Aghlj1+Wkup#Hd zr7aD_^?pi;?mo#A0N|2m&tX7YKIFhqB#i<{X6E#{i$K2R{!xgJ zwr#`5W4TfcCmekFUx^wU8@a(p4}f{WM~H(FD=8eYj9pHki^}~#RvPdJ2ri@H!dIBO zy8B~Oo1e*0L_h))B0xTrX}*8kwAzrKD(~DE^w!_I3V`8mGl3UQnloxi>qXMsgGBf} zAPS0i(BR?vI||}eplRTR2sD%x68V+c^Q~~?-pS`nfV|RX0eA@O2gjsv*;;Vee#OLc zNs;NIHu`hrv%mSed*z1-@@b-ltL0~&v1)I#EY2$0a+Hu1g3Q}$+N zbB;>16!3#GRgyD`cg{XImgXKN0Gl`h(j{AUY5AFvK)hdNFJ1@)hv}OWPL-2??x6F% zQG;2$n5UZ()ty%tCpNY>WK|>fNS#lp%huKwrJL0vjs;*>R$ib`Akq2$-C=R!LJ-7r zFoJpj89Zs5%t#?P+_EM>Se( zell1DKuzi14gH>jfT4baM7u|u?zj}uma8*Sx{Z*hl3!X%G|m(pVY$IGs!JH~*JZ?8 zByU_Qs2|;{l?MKJXa}90|KR7mS=Ox>aWH7Q8gK^CzOuX1j;1oKdEIle18DPfTrFtU z+S~o31FnOcQQyeXpybUr zw46;yx8nmU&OamgTn4(b$ug~ev%=uTjI(w*s5AhqR~(?3H*lyqIa2}6FGzvVC8#Z`X}k30LEK>4NKd!6W5o&3+I+u+ zB6tjv!WQ5aH1aR+++A#U0GYZ$yZy66ouzQL@?7wpV*|PL=?|zqI}excWe7{=K6FJE zXvq|#scps$TKTjmN6nx6Qn~s&Lep~;_XqubXw#kw18W4aJlpL_HT#QMSV#R5PbFWh z5+n+Qm5mM#{%CBJ8B;t8V?h4|=RY(D|7w6@NTgg`M)FGH@s@sEBGRa3Vpvy?7QPHt{?jf#hiHqx?fy56y(E3yl>{^ zS8XDp5>e-G*|#I!h!=58*!|rHR&#T7?!+JC#0D^eA_To{#|`LgP+JcgPljfGxs1)& zdu}0j%$S}*dp%PJHXE!@TITd`PNCJK#%eqk{V#dC zHI|L>ct>;8=o*X}&piJu{k&xXdP%c5?|z;;jwG()HIwp)L2N+BjtKZf0GF6226@jN zF|qRqr-igA?5B%~Rabjj1L8CBEeGccw`w|ZHxv0mG#ah+pwxYOxa~aE(OOvb#M3;q z%1Fg$wM=X-5lB4)8zZasoe}2-unn{w7GMrt=+&+E>ZDSMb{x7*M5yfj4IrxC7VUXG zBreyLUW>d3^Xx8J{fqmrZ|nLK665diOl>%!om5?g2Cpj>Zkv0pGV6x2DQEP~zL&lg z@#BNU;ft*p6Fy(4rm=W!ZDwOS1{8h(Q5rTzae)*FlFP6{Q2`RLRQ?l#;~>Bh7RWit zV>wKkH0&*aqFvFG?hK;CSOoP06@#@T;~#@T9P2w-Kl$T|8M|9BeRvR3RLR%>O3gt% zR9bFeu|VJXj=rl=zT5>pF9dO@gz$Y_d?L!ax*BM zH{vW?dH1T%Jf_#v3wh;`C_Xa1F7#xluY-rlL~D^vf|qxSVy2e|p1tB?{=;KT4I#n} zxL`oh^LfZpeG-{+$nFNMZh2_i&)JPxt01B#v}pS9;wgVP1=%`-gQ_d&LGb5!uZ~UENEH$l zjcaE8ynMr*Gx6oOOjh4yR$p9`^X2fb|9-hqn&2Nr)~6VV4nEF&toT#NH(7CRS|{&= zh~w&W(~8P7@eE@Hf3*ghHX}{=IR6A`r++n4Wv9&fZbL;yb*XrgXK~(_qRoUMKs()I z>#3$4EnO8n{_xY`a4WBjj*RfDuDp4J2Uq^*Kd+Q8cTfGac~#7bwVjH)n46Q>&`yD5 z@2ULp!UCJP`=LpEqQA-Jo`cZA!FR_9MCo@H@9V&rel6zMSpHLZ^Pa0&J ztnY5vh%jg zRXDqIDl!{;?f_s##E|;03DH0R$e>iYKv(#6ryz$Yt;4-NA?S@a7@N!A!!d*&<70BO zTjxLPA^3@BXL#S#A;2m?`+3I~!}Y{&z)7{Y@+rG5p9oDTyRi+~=SQz|yWzG$LxjSPy?4 z#U(y@C!re0buc zN*zJy1|Bsd_8>Yjp2QE3c%c7<&_6Fol&Z{HYU?JI)vozlz6o242L{ma?^%$jUZtbyrJC-zy$DfBtbR&(+0@Ym#Y{c)mVL* zMY(nlA8CmzhcZR`g~){hV@6-QTan+p@aY{6`iIV7u_}c${0jMUuO4>-hx* z-mJcA2j#|PuMS+)ZF>cwl@ax)#}{qh}^tk`ta7LArLVd8@_f2JNAB_vT<=S^Kq*FE#sZgb+yBbD;5O8G5cG5R>ZzBc-)CAa4cpEAj*lz+h>jPxGbzG zeHrn3SH*9**Emd=EclL2{_`TYt3{OB*tH9fO~yTnob)y637Wl5^zGX>Jvyw5O75a4 zYM;-xM!XIQN`0CD5RV5S$m%b=sjHQ$N~LD`VtDl^{^l)WO>4+@lL6-WjG6=$=Tigx z(f(V3{*|6fCbx-inQb&MjsPfB8gmKEaLbT08N1@Ov9p;LKu<>(gL(8b&X_eg$vU2% zrHvnHsqkJ8!F;Znb+S{M#_9>7|GURvznQzzbO%zK*5@Qn{uHGjv_Y7rTp9#x{LvT& z!|#sEwc6i`YuJnAXC;!8kq9^JtP*Z$qo|TW-%KOtTnGAcZ83KwrB|f>rjILUw&A|W zgouDk5TE_D><5SO@`l(o!6K7Bvtl(~eedhsiJw`0FTB6;gPV_pgsHQaa%R_&tS*49 zmv(d^Zb5u}OX?>7cFFAy-P1kS@FC_W+yC69tgXhLGQX_Y>L)J1iY>PUTEotKdDSNiljn~G=3 z$-vld_zHR&cHSz;YwGWR0x5hx;SRStg2{V}=zfQ0JBMSU@a7{%*-PyAaMmr5 z#q*n}*NM6F6{3XYUD`%=xfVE|&czn7N5e+}ChikPEj7+K)Ihq+^lGiL>s(`G{lu>W z?T2F`um{ZYAC5(9I_92Uk{Q^YCy5(>3|z!Okg5&~+>+opYY+M*al8>EpnRKI^}%~P z1iS4WM5mp(yj6yNiDr&shM^wPQ5h8tIAl%(j{MG?*Xg_&k5oO~~)0 zOC#Jx6E-{5UA#6bYg{p%G+Z2?F1-GXQ<{rrN!`vl0nd-|nBSSdTdK%NCj1 ztFKu~{5e0C|~j(KO|wokuXVS9C&T0ZM@=i}caUo;Q~f zf+=g$amw9jKR=R;C4n)zPwi^s{6l;?rZ1bMKT;5LhDosDqq@b9E3@gN@+$l{+?u9J z&Az66DK9Pkwixr~I`=gkL`iUZe(Uo2)<@v;iHxSRuKFZ}UY=?S8W5Wo7O0+|^q$o< zI=_x-?z+~Y5TkK}!)%vfEsn3QeQZhOZu|#P7RiC6t?#cqbxQ#Y%cKnM8E*_d%y)~w zeqSX$3_s6;i_)*uc23!>@mGKUW#Rb1h_cWD-}Uqy+{@U@y$tn#*DYsE@U?L~ePro^ zD9_y2+qc6*o2K?kbvxe@UDGB-zz3^^;=4VcbyI3+gvqS)&&Wu_nma~B)Mc9p0T-O7 zo46ISLA$Dk_{z+b2L20b)~v&;wQqSvRCAu@F3Fi{_d>1RfK9@5h_sDNPoM9o}vDdrWwkVF6x~;GVQL?ZN1Q=LT)z7+!-OSazMBo z^U|G@$EDLe%d6!;nxQMYRxH@;O77t#@oKDev>D0 z;g~u-(2^LV(%WV>rTW}xoCtlOy%ge<_rVwj1b=vQTD!Ft!E-m$Jn*cx_yw&>e>f@x zy3qZjYEx(XOQk08oMRQtO*c1`^Kb+dNJc<=_V@2y_oF3UEsKJ|mA_H}{CXLVA6}ST z`DAlPcCKrvwpf#cN$%y(yYW;jjF1uq<|9>#=yKD+t}0gy!k@rzn5Bb&J|%m|ftdME zxni|)a*y$1gZa`7HFBue9p!x|htioQjK{}3e;oG2EKTMa)cjF0HsT=T|36v)cM`Q4H^UlBIMK8k{aGM0M_;i(q$2_v9>McOfIu8erh$%5dj<2WRUq z*wCgxdj!Zv?e9uU_!so(h(>P^AwM@V;d{y{Tgc$7L9Q~$+!4-xzRaqOUUT^Kor<^A zR3FvOsagp6tN@n}wGPiU*!n{6MVVJzX-Z4OiYG3jEBD@RD%nW)8^)Im>kL#>w9oZ4 zF8=6`Lhh@Tj!tbdjvLwZG(lvYhV~W2iKHxz+l!z^SxFQPAdlSC=M+1H$H?+j5+kz* zQK#z^ZrV*__ix3p|J*zGi>Q235jE4z=xc-x=(?m@2Du1pH7Cc$6?Z(&lF^CQu6dle zB5DQ3-1Mcpa6ZMn)EVkXu|z1w^Te}A+hyh+!pj1ySr6|rmAb2kgTrh)B1uR#6dzoF zMBcUA!B8`h(TihyZiJqzD;xoZbe;HQN3h)ZUa07a>oxO7l_n?WntXeUk#V#LiyTx6 z%8)akBj4gf+d*}knEj_qo;)gd)rK|}wad_V@tF(`7l}a`G84_vM6%< z(a-YSImR)Dy6x7TB-FnD1k$4|f892z%cf)~-l<(HIiz%YX!kEmz=l(%|?H)%1Ufh z4nQ`DT6hUJ)+Zh?I%O&!Z*TV-4CKIL^Zj(PpyGjxz}BP1^qWeh zm6d$6F7e7u7h|CPh?yT+_0zOd|w^pLMTjc zVOmkWTZjA_uB(hh-kFqPvdaz?+FO6ZyZ6|qaqFRdNc>!<7wkNy`qN{ui#TZi_GvX( z`UoEJ31*vGyC44UrJ=G(cc0Y?^4mcapVW73_X;@UWJaPpE&@Vq8RRa)z3ZO(y2+4% z2v`63F*_xBQ~n(-yvEfzxI<23lf&qge^dhJ4tbPXnKoXR-`@Z&RDaCUYwoK0pO(si>g}>*#_J19nqyJ`Nt0 z<}cGqjcfA!QCDz#+>|39G|#hk>5OM?9_p4|ALn_Cx7<$5*-k8cW-JjIeueadGVfiw zs#S*ynf9Ks+;$w!zcb=xdsoLP_g>$)7x<1+e7;a4^YJ4{3WgYGXgm>rv}rlHxHv*c zktD|Spl$~0z3}o7-@-z5I}zGpI9&Pk+HQFF|J2Zz-*m0Z$gX43z$@ubeyHpOVa6_+JOQp|Wjav~Hq{$a|ggtHEVg({ntG6T0zOVo6(-?Lm z?)KMK#w3am7MChIohp}i^zOSjwWZ33e+rG+3U}HzH)j+OQ?`N0L@%gczIcICE4cZ+ zyxdIskGAPT?!MtOgD$F-t(_l{&F)LpE}Nz{{Vf@3Ne5~(8A@-u%;=e$egsWiCCoE- zy!Ihss(XX`97mz>t^6d{p{Pah&~%h$KA{#%cZDqZ)PdJqU+$*mRQMk_J+2yBi9zju zk5Jtut;85*FUeU}$7yjgRd_Y-#*w;XUPR!LBfziF*)+Ad&&ARK;gUybQKb~ba?6z2A*SN1s@crYB zhVOoGeE_GuAaETeiY)-7Ro$=&}dQ^>#JDRF%$KXF#XlnQv42;#&OefPh(VNPouGQT7-O zBj>27sNLg(HS+hL$;pP>0~4t}Nc~n?%ulmE!#&ZnG8Dyy%OTBg!K?IG8wyab{|@#OdR8T}aa^79vw zVybDN;^4Z^wtq+P!YyuKS|qkM%YoC#S{;#wJ`OOR&aN({0mZETA1y6Dwywm) z&YIk*WvNds4{21d2~(|9$2b$C62T)2GG`4EoNDQ>FY^V9A5@(djwMt%_n-Y+F6@on zg$PKxeMT3R>R*&DZC-|VH!t6!WQe)7X@YZcQ!rkc5E@pgHXOm;X~Um`mrc`Rblv0J zYpe$2kJjtSb|FiU*R=$OA;sQNmkLgPX-6v_l+sNEUEZM8Qwo5u#<4e36I+D5)B3pA z<$#%;>}ndzEA~-yv%askK4#vg`84x&wVcJEh%aY#2))R~A#zWgtGC3kD6Z?xZD01@ zA{4YxI)e#8iNY7GB?uCHJ1frr5_58Jcn{kG8Yc?Rk-vV)<(+)#F)5Tz;C>SOYLDaPt8X;C=f5u5mM<;sS{t0kact#8*X#9wRkuN&hQa`(Aq$R zzoGkGA^6Tu(>we7Bc3dE2DKDkbc!uj&X}_BgS+9Z-f~VI?`x+lnc8FJ70f|&?#93K zc#z511<^~S57_Vbq^Dh<DNekg?A;lbm8(iCM9)U|;}IN`CJoVF#In?z8<8b9ZgiuF8{= zS9r`eBY2!PO(&3tHEKR}X10j!l@dxVE=d-DN`k9?UNY1Z1Z=G6d*y(%_;o@6t<8-^$Q;XYCraMBucg zXO`{k_@>W431xZ;ccoxOvOz)P?01hF z5|t}AAa@eNFqla^XFa9vYd#J}POptre1IdZA0xe!ZED|FJ-54+i$-}5JCZe{g{t=r zb(PB7z63n%tLH8{#Gf7xnV;gH-?q}^C|)Y=?NwiMl?_&?qif11e_2GdG23w!G3B~4 z(-tKtDvFP&blEuz_^P0w;3rM%i?CZFo!pKOg+PxP}0m&XXAn)FoZn?A-=l=z8 zUC@t3qjo8UOOvL_9ctrMYqf__%4^8s-h-K!derZYDpR_f4Xz?;!R{$x+UaTDEe2&@ zevm1{HsDCVA!-LVzMO7-O$@#F>vw;{zjBoQzq<6_K^Dj3^M-;WvxO(-&l)B^Bq3Y# zC7oAjCmQazg;g<&0JEp^MZ*IT`^t~T=CQnt$8glBa^MO~7r0OTo~Zs;Dn2CYXBE?0 zk3_{ZMz+l`CohJdQ8>Uz<1Oql$#K&Jw7VjY^3&9-+7-uD&2_hj1fp`AzjsX_S1*+Y z#%ykzJSz${i$Uqzrfn#&wkEt&tWjCQ=^QHF@DQ@0Wen8MID&m5Qx^8u(#c4ge zOSDD~0^971p(;Mfw&FWgAb|M|y*+dw9nZDL%rCZ%ZuA%ZE5a_op%m&lJimTYn{KCU zN!nokSg4vl_s}_UAu209;v@-Dn=|bj5zK=IayLSlh)1hYv25X`H)zvZwj$-Y|6{cJ_EYd$|`lp~oU|i?I1Oxh}B?>KDoiIQe&?too zvKp(Dj^ZNF@wGety~D+UY~E5fR)qjDtVm^O# z5~G~)CPO805@xB?{zhy-X68?*i*fw5-hj&9)~e@do>wci>2Qm5cPSH_K(`uodj?!#_n=)yFL^pFl$k?Wov}jsuEJ_KonrmX990DB>>~2$Bx# zI6UHT!&KFt0d)0~LpK9OUZBI@5w2X_=`p@#GHfmQ6LvDBAOy(8VOlcdXqHdGt=;51Aa!iKOrF)-*nDm^s!~JNU1?@e}n&GRn4X7)=Hl`b68Yk6x`^pda zsr4%zAm78dDr$>a?Kh_{gpWvVHC#4<+AGd={H2QEEOUHoJ? zKaq7OisbtLuCT^lBNtLR?}Qu5%HVQxpoBSY$$Nx-yk4NG({iWVW;)2e8dWpa1e_5J zlQG-Yl&K+ng9Aj1oDFQOfxDYc`_LYcKcNYF#s4s8I^D)TDjgMC)If4fmte$BK+&Z< zK2*^Y>m`S?+!lDfTiRpm0IWE%eb(X!V6ss&E;Ji8sG0PRQw&h(lwX z(#hl3JJF77|_5CB{^z~KPDE$%Jgpkc{*7p=&Wz%0pDViR4rr{-_? zcG$RGYDjheHg~ky$bud42fRyNu2rLNeIkaRrKv@K4BjY9<#Y5+Rc{rM9tYqtey~vmw93WEk=y@~ z4{qhaniDDFOTIx$apukIrpIKpJN!|zcD28`>IhE6Csh}*6yp$hK_T{PTTUeuAErma zL-4c0aSee0Z!f${NIYGYJy<&4YVLFS&_c9t!P@57{f$j8w*9gb!|r_A>HK4;&Yi&n zgxraME10ufJF{I>Y~+bwjN$U^gTSt+_Z&_21neH-au>7mHkHPiH2^0#a`%w@6=Drf zoJ?FV68<#&TKdg-g9D5j=~3l*fx5nm&#rj3;d3g)?T9dD(eE}7eE70GT|5qURoVB~z`y_r{n$m~8n^AYJ8;L$Hy(cFU$ya1c z90x$IbT5E5Jnw8WAIsz2&(*c&q7#`663SjM4kZ zNaBfljQFuT8kc~or`Cziq#D3ea9=A@>>M3n<{c`GaJ*|C(m}Sw6>^O{G>593cl29i z9-7yW1jc!AlHmzO*_~$4X%*;HED2FAHrm)ye)p;={@8yilc8@e77d|@xJMBF^U{X zcNA&y2Qd_(6S#JGNPFZi!MoXvY3qte9z6xho@Z|Ja8!(~Y3&TCQfSzJ0e0`}!e8kn zs59lvE%|6V&8N&Fno6QG05Y>*&2=6_r*TSfp3kidusGui3!=97H*ilb32nzgGm*6>h z{)O#mC}^2ml|mdB3h$ZD!dwa{=%DYj8m+9`*vPj}{Cj{}q6NGFsKC*4suWiq+9R;= zF<_T41rOoR%M67wtn(hbT9^H67b`v*P(j$~?hXpJ`jb-i_9fz>F}?#y|5Ms-Yxyd? zJy2^+`xO23`4;N+=MInQwyD=%t>%N;3mf<jl_r@hUa zpnX*G6^KtXxAE_3g@l_)!SAbimL=v_3Rj@ZfV@DM14hVQE#9kRUVSZExaUYc552L! zW6pT^44lnXRRQ2LyuBiJuD*{4@)abyY_Oql%1813VF0nOucI2ereaObT(Kw5#C7PS2%P)DUhKKT!WCrER4*AQU?bCqX}z4 z_U)gvAAa1&34JykOiP9>xSF8e#|}k9jJJfbe4P5tj*s*A2QEvNx)-0-RniYKAFF|3 z{P@fCh8L;cOLDIVsz_%tV`swQ)VnCK2-(nTk>IOR+&K5Z7MU15ZY>!1{Nv}rzeh($ z#B;kU?{fJOZoBI^pyD*T^I0cv3aVnP&%(wCWQL0^%KDKcTtJ}ibC#Btau0zFIg`LX zvI(a@Y3!$+3ifFUAM*Kc&;8?u{t?jyXS4^D72K2;bN8(VM`!j|0CpR~HQki-WoKinA*bNuQJX|Rs$@Pib$o2xo^I{%+-uLw{jNZaL zkZ4)%v{kj~goi@C4DOE=l!w-Z%>!@0xxpdHs=ge?l%Jf@l_&v&7wTBb0#xu;qG&6e z^Y$JF(cK`zy_A+t#AfwwE{5=#j1T*ZBnp&#PU0DNiMG4Cec}NkG3qjSBhPZ%$=sDb z)ZYO2q-CG)3fw+GkHVD+_lplM1w!;_k7-X4_s0UrwJsVE7n)*E>i zPa7)?40Tx&X}Qc6m2$6b z5npcybo994BdB`?$2Oduz0AAuJ)d}x`b%$;NgR4Y&3ffh!O88G1Gh|9%=^&9MO^0(P z@yJ?+&!ql&8j-S~y%CxeYy{K(6?$v!i`TVkcMeclkT(a&xZPse?#(1ypaXU{n&@Fl zMEj%+90({;u_Mr^dnKRk?-$8fNr1{^S{hUiuvI1>{F5j>f9b^=pcnolsoO+R>Q@@1 zb&!bq&dbl)papx(ezlk)6Y!|o?_c;tKMBgE?R(X7VtkG<=~J&wPKF;To*kF`tan9S z={6}`jWFW^e_WFyqhF~_zgM*4=j)iOHK{O^5H@~SaP3T^9#f}BE;q=v`5F9A;t8%{ zpfr0({T`CR1g6e=*Ot%8era2}$8fDQ_kM;y!NvYwq)39-{e#IzEQptUG|@-$8E$&) zlVxmi?T;rs#-yA=_vmVlr@gywc)=JOtdYd+V5KCn=tBM6J9>R=r09TEsEv)YvnfaC z^lKpiAkaj1h}nG{*~@dH6+fu>=ejC*-tD^YNq+h7y#zdBTdNf~Pya6wKlHh&#{pyi z#N9IR_^^rPNHA^NTsJkyns}k8sn($H>1y84im-a95QyOhf)-&Jh~wD-^2R>q|1@+6 z>XUV41=lnS&+U&#e3J6MldzM{{oLIN$vxyr7GLoux}k7RHd|i36i^35?GifA=Jo5) zTLVVM#yNL2Atx)nI8t$EPBKs=2@n0UlVw^so)kvO)?6pTwWR}U?i>D%;%HlY-r#k$ zGeU&oySP}z@#QjzshySZcBD=^kUT40{RqS@7n<^&;~!4%P5#DCTqVfklVEyir&q}h z)2r4O`)?zr8iIgzB2`Hg?})?m&UMukY61l8j|``!bx$r#nT1sy*wXblDz;zit;y|5 zXs`WrW-mI1nM3FFZ2f;gd@DB5udIyk3&d2xUZ`q6F8r<#3chY;x{!#2a8#;xs`QBA zn1#*~B-t>lmGxplh_W$0j;M#5Y?^XSk*e-r_0x|h#S0p-o+`n>4*L+(CR4E$;%8#z ziq27n_?$tWz~}8mooVF%ZSd$m;C=cMVOcUHC?r&;d$Ruw@ohg=g~aePV#>u$n4X4LujJZvh=b*CL5m;^ zOb5bVS+h1UxA419mX1#6R8jL9r@J_f^eOH-!+eTT-^y7cWbz?P*w$f zh0m!vkLa_CAeq!Bq-DtJLqX`K5EA zJ+Z{mMx0_KtU0kH#?Ry=BekG|5duwGw#z2?N6a}<_CuSt_t6?UTv3I>b-kNq!C*85 zx6y!5D*-(X9{nW_`Sk`LKr-Wl-macxAG8xxWuTWPprq|e5X0kEwn=ob@$0ICW=BfJ z**(y}Zk}dMsRB!p#yXd|VsdS}A0^;9?j}-dc#kiBypxlk{_oYto*xu_x80*1O={W6_7`=nfb3ec9s4+|lg z${8{Mg>Jo<)Nqc*Hl_R9;Ok-#4o!f#DSPRDQ}B@q-v4L;(l8q|W^8UwOe&ma!Mh0{ zk6$AYW=2%H?Ol{O+E!0jrOp$IBG;|wZK3*H6 z5W`5Pl(zA!3>?RAUzh|kuGN?_&L7e=+cK2?wkp-8%?t&;ZWLxsa#cUkfQ-e%N`Tt=2V`|4RDftrU50#r|Ewn`r-LsP$z{u2^GQ|UCv>i;XJ}8Hrw6$G|MpA z4?1C>yUoqb1uV&LmQ1NWT(nwUlvcL?1M&#a##WIk|TMTA(`7*()s2E4m`fzXK`p$44(_-$193OZ{g{EX)~-woy06dkGgd803r1 zL9opZK9{m4@aE5SdcfNNNgg9#AoH`vKTf@mmipl@=RWv`AZfpT?m=6LmoX)9r#g88 zswteG2W)D0swAU{wowfdeiRD{jb8Dyx{=p+#T|>3G=<)tZqu_#xJLt${Pp5a9o8_- zuC>*dJc-E~UcipK;F1NXfC%s663^wno9)v5D#jT_+CeO4!qJ))O)k?IQ6owc9H?sz`j92?=uE2wZlL=?b%5xn_CtrLXEy zex$ie_qtm4he0Q#oDt?i$8^ittem#wZRfL?4||;vfm7xcGILIDZosb_;aku)MViJf z#j)K?>}kFo;Y*%d`@G#~#}rfbl$D|~IY4c9VR*N6S5!*XfDXI@ZLVH{Au}s5J?K$Z zf4k_f!wXoQtB8m#4dH7ic~=VQr_m%UFv%x&Nh{|mq~ZmPH2_XjKEjDjfUUmqS$Sd6 zb8P9JBu1%Zr~%w#ayC&$Za?{|uXu8d?s@wllfu(zda1A8!j>PeD^$I51t$XAV74Fj zW`*Yu2LXCctVj{AGH4UdBY-%-4y2t7caOWo^t*TQm)uV|oQ0u;VAU7Tw!>;1p*636beQQ0Y+4OtuBGZd2AmJy{ia|l_MblUwipwJ z+iJQXqNP5^OnJ{~#HC`STJ=bPgK3>^1*j{h=LmhTP6A7CT8&*RI^V&|K@%4ruQ3-D zc_g_tVp#&p=xp{V=vtWM*xx8POY8>YBG1~M0=nTU(&oSZA#+$NV21Y|<9uu1qttLs zbBkB6o|~=#W|MAN4wZY+6VP{ja9Dk-DC_uISM%;zMq4y;)e&-=VEvv>m%*ed_tD;a z-O|3>YN$d{W{Fj(6w-YqEiEjf?U2IszD)Z)wm210Ww-HgK55u=WI=FvJ?2~fr1gLqQCUgAx{dG_?tJyXXwte)y{vIkW?6(i!!RgYl$7Nl^ecYr}$>|RF5k(cil7K zd{%0R(!t}yhR#cM%;=AP<@ROBdV_y|i~|lj|4}>6rxnxH^s+?fCcOQqsPX0%(@Kq9 zp36x4g~jQA(o?=K_nwLSFv$fo`H&a!yb}x5hwc)IL?XVuE6mdwHl}6P4IA1h--g6h zzNyKzgWU~AaM$_EW}ra^b9^`_f#)eVr}Cy1G2c`}k;?SZZKcKy8-@dllpmm#!b3dQ z)^31nwI750z8q?QSkQ5;ii@Kvespees(p-?mNY4|eckf`8Q-w}&2W8X$?Lh?k zox75wp!NIujh_wIf_825xiD=?Ye>w)Janw}`6v=zw-dFGn_q5cfVLbkc&`~EQhx&a zfE*Zi8K}Q~fKs@pzH*1VdUcqf3ixn_s78)=R04?UYdAg0WpMK_4=TPKxcPf`@0auX z+^(C=XZbwTS$JWua20gwaK0}sEiaeM>W`tuqnfyX;W-Ic(J!f{8>*}O%1I64au@JN zyXZLRK)ne*vqG*>axV|gY5Qun$0}Xt$rmcD1c4m+hnGh~)i)DtJ@JyC2p9X8`erQc z6~3LIH;MYX|527%X(Cqmc_ zhFEv>z&P#>)f{PI13f7)Kz>L|8J_)~$5hKQkeW2LmhsfdlOuZ<7bF5aFWHoeLa1+a zGZK{X4TefSUiILk(a2MO8|~*p7f;Y=%M#xM6AA!9MIb=J_5(h>ZHEZeE@dDXO6=pH zorc7`@%8l!r(%(9X^xny(JwhtD&S&^m9CXA2Fzk$#~HJF4gL@94B!`5UHpN4d|{r& z%%r=GjTe(#wjyy<>GTNQyzbasL`HtEqXLLRTPf$aR?8htal91IW-PoNe?W5%SK!iI zHT$J~QMs*u`zzd3^}n5VN^@eRiu)Wht0NUa0mlpfsPBzHRi$TMyNalE#gGDrNaP}( zDB!VWl9)9oBtd$gTp(&9G)th||6CiI%_5rNJrqA+8T*#};!q5Lze8!Y-!}n3-R-b) zf7291kB0#B+ei3;v8k`y!w}R~{AP0Q9(-3AW^CT+#1vYRxK*4R#y?zZ-@4kRloH9m z<$3LMRETYD;3xI-JY$@J`uO+t(qggn-hB1aD03li%n%$wXzecD&!91@9=lG&Y?ihc z2Oh=ermLP~rT!k4Cdy9cd>S?EjPq4GWLT|5mTOf4e_r^tU?yTfq}7@vv-9#&bn^7g z&D)lr(K+(b2vwcg-D@s*56Jh*2hHsBAL@zmxY6{0HK$u zux3p$v#oB@*&2qg@7w)X72YJdJYNzHio_iwWeQ(XZJf*J04_SE}Xo0q5628M}#tx9VM+}njQbOFhLMMw^ zd0$~-VVnHO$>E!#z-J9=26pv}cSr?dDT7A@_x1X3AN)KgkrK2AJ8E>h3$T)4%|>wa|WAW`gOZ=3pJ8WQN>Q@9Xy8qrWRdirw;CyTHkxy6;Zy zDujr(YbWW!vghl6U2H6BR%4}Tw~tKzv`X^zO2!=Ucp6ThZD($RdgehnA;~+KoD;fp zG%jzONI6I6%{}U${R08CDhKFUiR^w*b)?e|KYk+&&Od&UWcn7MOCUf1 zEca))DTVG|xE?t5I6wO4?~F{X4Emo;s;YA32 z^4_JL^oz@VXR@AVVe3T*zCbjRZ-F9=F?(r880=&&z@~LyrFxCLx3}1thC^F-eg=57 z%lwZ0g)mM%YgRb1>*@y0`_H`IElNN*ypP?sfjJLA=NH*nS{X3HKXcK=%1$zBo+cq0-JJBs%^#MXUe`Y4ls;grNM z_{=|l`kPY>Yx7DH`L>okFh20sX4Xflu5h2rNthLt{F#zZkrPgy4X+bO9>RDaiiv~8 ziZp0bZHeo+l1nW1qzyCU(oswnt=Xm}rMewm;R-31laQDJ6)(aQx|0;p>NSaSP7G{t>g4GkOw%r5H^o&$6ph>P1f+L70P?S$m?BW=Sk=Z9_0$V*2lPXS&Xz5}SL zfjEeLo@Vjqb9k^1WuHIu#-|!x#HCZyzSaii99%Iy?JWzj$*p{xEuj4Maj0-X0pBwY z0>$+6V^q3sUJ!cu$rURs2Yw7trdb_`*_91tDm)(Ir*k3eqHS^MQX;Cq07|3A)0)Ex zbjM&tgu=X|SX@LTRyan{RsaALNcpb5WDsOM32;_x`g(ut)?LTMvuPWUH$dz`P+lW$ zcv9VkNPGYqD2>(Kf2(VX#VN3KWoKs$jl52moM|YgccpJk*IbqcgR%VU&*UnUA;l)CFsm-_61Z|eb#Wt01-Qhi9GyvXG4gP?Yv_GUCW4_1 zylU)o8lzT+F&ErMzce|+3#cmV`>FROxloj?2R=_$%G@+cUi{qf<&m5IFuJv4?uOh& zpxa{TCV*1d&SCK){k>IEQerGjdw6o6_H=a!5xa1Yug`ZgPLaV*>IN%>Wl4^-(D**D zE-MB52M2mL)%Uq<9Lm9Rv>8xRMdOO%y%Q$^U!WVQsRx{UHzPN{GihoqZgY@Q1s8WG z;G{1FX&K}~6K}>ibBK-S>1Vi(&W-$AKS}I9vkohTTDSPCd?>!e@$S^yN1y3`h%zXa zCYwWvHrwP3lkhTnVQBocP_i628z0pqXd9m`5Vt+^#> zcviFk{8PM=?dpkT52|S|1@+mg3xb;x&5VkJld9I@Wq!ZuU1}v=C?Ifc>>&)LrrqYk zblO9whCyuSUp#>!_)t!J*wbx8Wv2s|wbSObk6ic3{!$)9N|MCp(z!tNC7z zLQKW4RjK!>(m>Vz_o;(v4p=xk)md`=LFK@R?=w4pI1Sz^$($IB2pqMIF%ErgF)3T3 zwbCr%YHH!VW^h)x>O0*1JLD=Ak$f4VTAsmdYvH6yLysOu8gs**0-WZPYc>$WY(F8& zirIIGmp2u)_3@7Mz2457au>0}IfSCZcUE8Q1ct3)xZhrMX8kkG0A$F!CLt)eE8&w0 za?+HiSrcG5MtH*gr|0O1P90OfEwSo)PlNVQ7;3EcbN~4Ic4Wou`vP*4+&9;2p_OPi z4ik6GeeC%4e8wC^1Z2C~0AZ7}shRWCOw8>9{?k~%w4bygFL-V^2W#*OSa7jO)a0Vv z%v79D+ZoGKaTMs)qS`*gPjWok8~U_HF<6hmMtKd7`CU$z{cyiPY)$0sxwWf~0}k6M zvG~OCSqinU>xH7!#i(#{=~qpM4jLC0Z4#dPt=fK19N_MJ2zcK@mm7N=?3paCa#6Y~ zjV%d(;6)909kLH*isxYEE@AL%1W=Ok_^W`31YDJfXY3$e z5g@{alVpa0#Fh(9cd&1BSe=U3a4*iMBFoV*)IVQMy zas$g_+rY+PfCm3U4}~RA zJQodBDU^^YaL*bSMJ7OY+7C!q!b(!5xZ(*r#(?~SW99QwU1}fD@4hs8dioSN3tJCu zr#AT!xkuN(%nU&+2RI0@N7xn!u3Z=r2}Av0DiibIt{fyTY)Oq>3a|QQR$Q6x$j62J zf;UAFW?3R)qjYdoJ;R`)%{Yyc^23AT-B}EXn~m79!!roQMnpgbbfAe{TJP=ZC#;io z+-MB4?k{w%w*H*R`&Tul{{IRYFq#7nWFOsU>!b-}<#4!RYlgoyG(1XDLG!-q9gGSTMaeK@*dYxwWRM&Mk8|djni7xr#}U* zyiQC%TK}j=Aw4^&8o-n03W^Lj%!uRYQ^VK()f<;8F*|zP-}aNJ&JwhBSA!#-Ramd& z`IM^G!e-}O`Xkm)k4<9y*d49DzL5ag2Knn4GVvx+c_a+HC@uX6_2}&b&$w2v4P(}& zQD{|xA2;BG%BP{B!Dql7IgfYs3RI3dDV=%u;nfV+DG>jdr3G%SpT~M zcd2IS=fs?Hfz|G(&Z4|uBk{(tG1#%#gjuL1iQ>m3@$% z3X#2|jErNXQ}#Ya=CO`r{9i}k`~Uynzi~f$T#x&4-Cf<6b3UK<`}KNGh)~blYkWWw z2*TQAlcy~2ZY2NXsgv51jPCl`*<5;t2jo469jqxeglSJ$TQ6CsOCH;P>d(-~pU|*q zaN<=-fliCMp+L!l+>%;GuN0d_v7Cv9WS!?vZFvewn_@YWD-vuimxh;J&7PGxyuqp4 z)jzI=$~24{FxTn7hWhG~Xz;M0eG@Bj10(J|BXQ1x`D(wNl^Z|~Xta(?s+>4P5)(Tn zvuP0_lMg;Kz~a?wB#LBNAJ=Xv98P$DhLe+mC8zfd7aQVYNiry|V*hX_OgfEmf}s< z9K(>44bt!)s_TT!mCr1FWC^HSaILXgZCf9{vLvIu#k7(A2Lmb|`0NTH{kb-yr7mD& zV8LAoFYmWhg>-nJx_>BiN8?AO+reGH$oYSeO+iW@Nq-ljxC7pYgyV|<-SPFn{1|Q^ zAs2U!8g?2{9uvk~SFYY-!icQel-hnulb7M^Q!6;)6!E6a)qU*UoH>_ZkM%=ww=u~acs@ni=mj{7T{3aN?g?Cb~x+z}eyl3#n;p>y(vbP4{sPgz?$V?w$m z6`xhrZ|2}o0vL8Z?@dkJ-$r3n)^l#J(#y<0|a2gSX7;uH~s45S2EBX@b@A81lG2S zQgtf}MC>xiJ+&tI9#m;9+fDi1eEX_3!IjQ~`i$N})y_akK>cRpP&exK6D&))!H&2> z#9WM##v7rtW8>(kB$+2~Uhr_q9YjFABrTLUQoBTHX)wqo5EH5{vG;T4JC#MHKDu}~ zP$z_U|1Ro0#v&8)W(#1=>G(Cjmqqx)d~w&P9@=z`)S_&Q5CJz8k=|Nt%PT0$p^NBZ zS_b0`EuxE%c zz5>4>D28meYh6j!d2l0x9wcb`##49#bq4=KG#gzw&l@1(oY;LLMl&UsskP!-T18dg zG;@)iFI)L~IPB8*WSzY%$=93{qMig!VE8tI7g|!lqj(83}1f5xEZ`(E0T_(2X+uVU;avQu=0KognTTN9P3hEt3WXr!!j`>k0|O?F-?bBVDk-yo@( zSt+Ej6lN}0D?1?_sUt~3MI3OP`E3g>o@sL}!gpp9NZ@K@F9SSCk{#|)u!JJ&1I=WH zD6CD+;96-1f;ZdGdl%YN7j?&SXOIl##j2U%i}=DG7w77t?5jcw7|tqRL{WQ@ zdDF8%>>xM_!NUl!{#*ew6VnOh=$p5Z!05pjdihR<-?Xec53M2$?ww)~Eg;E0P9h45 zjf{=8ivNzwVKUAuFe)?Lc2SFo!(GE)7ie=Gj!`=dL?HN60B(U$!hU{-Diu5l6!IB} zaTm50XB#ecNAk#Hw*)R|4_h2F* zLqH0PnBD04`G7d}6W~V$*C$|V^((B~KmMZykPO;|W_!yzP}|1pA)-?7w1-pu51&!| z71ldn?Q6znIC4W`rS#ST96d%_TdV!|IpE64oy~rmGCb=F=}nHHrRM;@cGgB^ID{Ts zX(qbl-GglI1jlJgk=t*yZrOd=AzM<@osusyavME&ZOg@QtJ0>^D0eIWFeP?mje{+T zvBG+ZC;D7-6EnH5*{ccO>qxs~A!dJT8h&fMlJ%17lMm|rD-}=%2t=l?u@ji#0E}uI zORPTHQoR7rj8?%Rzq)SI6P(&`FoFqzTNFNZScO~$Y)Uk2WD63eiQvfN>q~z3a{(Y} z!yy>@+wn7dlQie@ovDX?hD$^gqfiQo4ijQJZ*eNbM9b72WIBe|s0?-r$bbCOqLX(I z!jeo`OOjJk#(~Pq4hN)1Q!pMhRwVSCapPatAE}YE6F&#pQYCV2lO4R3Q&tmvv$M#R z1-6j+s+$MWA!Skm%qslG(3y-tr5mr$ffDE^1qD{#boK@c7@qgnLD_QEEdwq^m;^=E za}k1M6dHTW)0F!8rONEAXIzv~M%-c-y#-A$q7oBJD+!&N3?*70FHt?=(hQ^1>58W3 zaFpZsHJ&l_%)Fw>xH_SBxtZs?^FAPx0EQjGKy(%SOn|aeuy`=eta~%8*8y~KqyUFW z&Ct&qkMiHisKA&XN*=}(NKpHZkcVQveF9*x5PnvMzO0H&!r`WpU(WIXdx$DccH1E1 zgsB|$eg#!8q4>;eH@x#Fmgk}I;nR1r+B1#|R9wuyTj|tC&TnOA2$x^wS+4AJoeCp?u4>_a-li=>bJphWns3ZXk7cmW zB9(-X5*B@G^Bgz>=e-$pM05?L`ZvG~3k<~14;1cUvh_w6dhN?d=kauNU+Jr;&{z2B z3pA=?uZfI3?Q5^kIhCg0`Tq_v$j1bX*W303JSY)g4OoI<7ZEG??i3EM2K0a#M0WzQ zU&{4{|K&T-qUm~E2ufn)Ja<)9wT1JSbwi6q`jlAR>)R?7^0oIz!{(gmM;WAqC#$Uz z3Hn`IyWB%{F zOedy|*Be5x{>Y=WjA-bPhNeSPw^wq@^9^2~`yYr+jqkr-wgV3Z7%(nh`A))J_IEJ* zvSR|t?@RFAfPg~s_Z^^ZcsaM&*RC8{XT3?yX$E06mQ1-hAZofV%BaRG+EQHf> zH4q+|>JvwdIY!&;qbFXmUUt1Sl9|_^P3U*PWUj^tXP$Gp<+Hvp;p9j(Q!r zr+=&Z)pD!o&(+H?88Q}jKYj<`s=~-Al-s&%=gAAe+7J ziSYE6zhaSQW=EQb#=uIb`E3W>A0%%Nz6tX@@@A8BaTL7EE_Eb{Q%BEZ4uQ5nj;bQ@ z^z%i&D9agNQGUwcFY2Bq-#7Yw>DvT)Cw7S_Q)+DGw>o_h9}(y886yBIaK24lAQ7FN`X%Ct82nB zYAQ-ScvlD&I0gP}G+jguBymdY!KayCxAR+8UtM?+Ii@&}lcoBGWr91D_V42zl4vvR z2EZ{Yhj?#3Q_Fe=Olr?yZp~@xpPPdRyYR{Dh z!4q9>i6kBT^n=6VWz`X^)SK|nQ_sRIK$ z&E9T{?3WkSU(oLN^l$$UiT&S<0*n-TewSfYbc9x61hA{@APbEN7VNDOu!~8_NPK); z*)uE6@Sc9I2JeD<6;OFMc6{lbKhW{LFU1~SvJ=d}^~}I@1JNp9MNhrK3G#S~j?c<0 z6*HRHFHVd7&cIJ}_gj9U9+lpP98LMl$3s8XmD%Q73`uK~;V={vEl@oGtxU~eiwB!( zh0zot0us3HLf(sZ_pPEV$UepS3kpimcB?rtFJA1qj0W`=YFOB^Xn{E|6 zB!dd@zjMi$S8WCZRKxHv2VxZb;-2esr%0-o@TFt+4XPxLbH%~V?vaZN12Df5E=4fj z_LY**@~DlrF@XuuiDwfpKj2jhFZQ7~zMJ3%%IALP+W zCj$SKy~9EP!G#lTyLF3Wgm*kkHM2thKy8o%makk6YJmPe#O2`6fu{7XggAk z9@bhnh*=ZMOH_p2_Xp!EH!0=t&!0b+>91OIUpWR&2ABmU7KcHb_H&cm%uLwcrpceZ zian8fxHDYLZt~_Yxwbljd$s-D(sJ^q@dzbN2tNUG1gJ^PLuEtu6rXu!27^GBngMMS z@OtpQW^2AoQtLg$nMOw{s(8!N1Yj>!b)KpZv}R^5mlbW*{Cxg`Ch;^arHTk7KEXTz z3xGjM-_Ew9hf6<|knpm%Ggg6;GiM$RVM6rZ&t0uZBh@Tr>D)XubI#IQ7$Se+>G?!t z{M<1Cv3tCks^5Agvqp1V3?Tvnd}0BGx3ZncCv5SM9s!>wI|3j>c@nWt%AGT3P^n#l zDS;f3BIgqfHbGzU4-aM#Wly_6YnQ~MHL9%w3jg*c{_VIh_X^HE$guFp$G^yt@)RFldZiC^>w6})pe{11!8Z7bDw^HnQs5#Tt{fC-!?S_;~?^N8w3>k zW?eN7MWiSE91eY@HBz5x_T^)3!i_G^i|3u2W1GiwE2@o7h4j1K9$JB>NWbk_evq;z zwD2h3on?m<1rcC%Hm)yxhJ00l@qY^RMu6@DoRo|@$&#r!WjeSs2+~3d33L~f7H;)>2;z+DkecNJe0t}XHAVDxj@s;J2x>jMm=r4Wn-dBL>&o=#Y-CAdMGIs zJvPKxZOU0 z@QFp5?HnY%?X=bH(2yQc(pPs#_u|rKdl#Kd zT6|hwtWUimPCr{3{D%J=aL#LD+c)y7^BSUr^3BHY&nZ;)z!a6%VjJ1gWxCf|mpZ&)M7|(n^jr zR&2x*BR|T=x#d~E_^~@7*#th2TH>M%VxoEnZV#F6Von}y7M9aB%3eLVKvs#>hFfq#aNn78CaFvtv|^M7I0&p)0WG?nSe<+wS( zi>c|e;T?7v(@s5&2}xuMe#8R5xCE(&{(WYozxt8KQ|&%IsoWhHf|mN&9+y>i4$Atq;_HZC92nRnAOxLVa6_dfYx_r5Eh4IcA%r= z8wrye9Ul9;3Ew&{YZw4xaNZiaHsDeZVpa;6jV|i68Lr&&dT*WL^1!(*=VXCAKBg1w zanLlDOUMaY|54<2$A)fQk3-Mf1YD}HMkF5b^56K&y0neBnT$o=tn`9s(&0xV{ zgNLL(nT(4W=_-jdAEpBw7 zhkxusuO8qolf@@pSymbv8t7`Xk)FwL_ZZ!m5iw>VZL9##s~ds)qY+luix1*{Q4KQy z+8Me=^AHVan?t>)Vdc_KIT?`(2_)B*^!(w zu3F`5XP7vDT-g*tI6nazA_!X9n*s*J>bN2!ZaKWT+V8k0 z?uHjr8lJ$X3A^xJM0ss()6ULL;4{fhil!^gG(peisg!3S|MH^{CWv*T!>Ss-9zzoo z8SUja{Mz73JJ6kNeXQHQ_;+!U1OQAugRpAO$-8kJB*~`l#vd!{D+E{zj0Y6v3ooiXZ#plfUiZ@hj2SWSN0TBse*2p~uToIyEWjG_z1qT^*Eu9rIv6+LYy$ zX|8Mg=*Jz6C&3W_nA9=5>f4r1;B z{+HaKWR6=h$-B1#4q+KgW$mSZpR%~+JNa9{-E}Rv>niq?VEb?jJh&MoW^-`TGzK>e3y5? zrVO-hX=p*sc-0Vj_@(wqLtgi%@Rv7NPbYfyvB zAe?GPUben=WapgzMq&0fe`?AC^xlPpoK(Fh1829I62(Dd{h{R zrt}68DSR~`-7sKGe~ebD-CrJSyhA3nA=j~$a`)!YrjhDew;r=xao!U>;p1c;H0Iuy zua{lym3S}n^=&NaD`saucj3a|@G#$E;jN=>g3D|mB!meF{tDQt{u$SUM>*S+a+|_J zlHC-9io1TCu()}>-8$oF^-d?oGZw8Ix)x66;q^Ndiy8 zngH9xSF{oGExgkJK3m<|dK>RjVQBjF+C_WyDVGEy*nD*$0cc1VED%t(Hro`4dY$Q| z{=hUmMfRbfWz&ws>kdmJ88D%CCaHmm4{XbW<4t-dsGypfngr(*MQ})uf%2<+ABwb7 z_jhnxf4^W5;RS!d^=FXzPQo9BLN#o~VD8R>&@d3#~4mVljgMPG+i zw8kZMlU~HQT=g`M@NXcqak(J>2C0%q7$e$c6=}*UVyq|ZdszVrUbJeif_($74Iw^N zMf4U^lEPi`9$DO~Uo4idIKptNcWz14`TM2}*OE4>9dJZA{DEO5iOlHebWg4H(z@;% z^{2OVbVP*hb#-6)H+!+QU6=UJxgipsikQCc{|>%uJ_Ti-UUi*u?KCYKlGSAJ;^2Xn$5epjwRV#cHO3Uxj~L z)G$mQpFfXo_s_cWt@b8py=v;{IM%=5uE6STVZoc`DBS#vqYKh6n@n)QQ7Swn914O- zU@|};^tNw$pFs`dNp|=3c`Ra47B3G{LkZY#T_&JO>_5o@jyOyqWs`BYd)1ltw79fo z!K}j%P1!A6k2HP;$oTX==mow$935_B`(1Bz#$(iePdiMQ+DixU4~DdTT92F%h#lcw ztOB$Qep_c~H@&s7tI8!2(_ju?)-&Arou{onF{!h=*<-f+c?wS#DMmtiY$t71sL1MJ zC$%R6pPlF|;=)g<{|Dm~!qs zpF@96QKRHhXPrKxGi30_blH4}2Zwx~&>!XqU&)b?5f7=ZTB<1^M-U!#Q{vBxR|?@X zY)FG|q)`J&t#HjhQUAE_6Ta_ zC{pBB)1!LQL<%>QOi9XS)OUC+0#?$$S*4<;!^fcUZ!pGp;>z46G5-@s_oJVclg3w* zzC$3N>1peqZTj<-)p5sDr-@))ray_aqqnrT?@Y=t%DXqT4oOG$E7#bueZAzg z#D}4MD^}cV;0@$qBw0j3N-jwQT39%iPaWYR72D&PAz}CttIq4mw#=@W`ifPa&dX*h zJ@Rjo1J{e;2dUTxn=!^NoQCH%YCH-5(E`|%4S+<)-kz57dEjw{Bi7YLwN-{Kz}*bX zx{eTRCuL(MTG?ih-8ZR^xks8j_Nh_jW&SxMhciTi-e7EOOrzmdbxQ3-5sF|yJ-BN; zHxp+44E29~vqxLdkEby`G`WhhCCvuY4K`71WidAMwI^+B(RXrJ^X2f;Wyz}FT}xY0 zAmxPsgz90z1cwWX=Cg8f7ZwDcf4Ae1_X~e=b-t7}LWP=crl;pMjZcomGHDrMXoC?& zZU(N<8CPiroRD12+HXT<+F?!nk0G`e9Qy7dkKUGw4k&;K2_IK+LO*l0=0{GqMtJZ$au?_eZ)Zo~p^4Hkr*>ShLL>i>WtF1tA ziyu~#q|}j0##)N7lovCcowbPL7!Y4tFBVzva^Gy_;g=RqUb0ZDFD~31BWiZ@GEaGg z+jm*?K}MXeojekml1P!#Y7S$+#BH2$q#TcRF~{L0nLL+AUbutLaFAK7DQQ^*7}u=N;XXZ{&}Fn;q^f57|_%{D>XXLKfPmQ;II{GZO@3lp58L zZ*@P>utd=-_Pbe2G=#Vj2s=Bn&J~8-O9sL99@5G0w%*FprK)m9=sx(R$w34!4+^|8 zhWCsAhJ9-D_4Ir(G-R{`7R=L#_9y5zCp6sYEq#LC$OG zci0^Dutq13K8RDvEcj`ne+)Rys4+*$NA)pkyqnURFGM1`A5RMPHcPp{a(3UBjh2$+ zcRpcW<1k_xkEH4(fZDh)J`E%F)_+fNIkbZiI6Ao@jUI~I6fSUs3d&p|#Ivx6iN&HX zMZX8p7**GKp^8<{;wpkF4`$HI7fJIyOax*8i88@!5iPO1P?=*!*7s=Tis$APeR# z91KC~oqbZjiYDwn7FRpJ&~}*N=vHe5_~YrDolI9i6I5~j1>CKW5ISi41VtV$y^mgR z<(1Ym+%WJf*j%~m5x*gGwrnMIL-}^#nB&ZL&tq}OG(+BH)B^9{$rR6A8M1n13^YY} zXr3Q$cIg>M)q8ed$Q!OaIQ4?R!BugbQ?P2tzCk?}gBxlTJ|=1RSHtK1FAZI{Un6af z>*CRsuRPks4lpCeHf<>e5Uc=H2SbgMb~cIQOP#1kNvp9X>I7Jv*%swiA z6E8xe7x{_KkWU1xdZ8pAbNl(D&F+3JUM;&l8=I)O(wbTi)WEimp@QAb%*UL~hOu`u zHhq~eQp`#3KVfX+FeD)fx(pp$A$Sv#_fL*{|E0=MG%r>IHX33_9j|vDtT_}S|F!en zB<(zE6^1IvRBg}rnO0e-O*`V#C-n}NT(r}}zc*Kd^3@blL}x4F?6x-&c+bTe-|;@x zD_bnRpOm7x5z65ntYeFTlUH+1nWl_B@{3@16Fwo92Xo6Hy(YP+nnm7BwP;OvPQ>1O zZ^lg);0joOt5IBQ+(pCgjLM-pb}?!e4KnT3*3-BiAFTp^=x_#QkpL|Ls=vSf`o$Db zxEz;{5^7e)UgWp7*zG}Lxqj8!vG_qTCTUco#DwM^gEkA}0*dk^9$78m9^eU_ye@Vl zxnvMD#Odq?5VVzcOZ7|}WcdSI;?a?Q{%9ws*W#C0Lv?&SvFZArd&@-NYL|dgPSn7x z>mYlE$mFNAh58`}?q(SfXIWcQgDL_Xq6$9+B>pBvo_v%9207s(vqa&5gQt)3A?5b- zAi9M1w6}EVFF()3lH1fL(=&R%KhE0MX*gWBo*4p~m{rI7s zosj23R-r(2*tP!nwW+*$EUipjYODUt>KAbeCy1H=nzAFv7nyn_bR!uHyobULETy2S z2J;Yky+%bR>_^}*g|XgV_B>;&OHQ}!f(Mt7(KUxl&G+tEbkR8XHVl{Cz7*BE63fPD zZ7#~{aK`7M>>dEYT2>0#UuJfEQ$Wvk7-G|I;m0>Ne$l!eEGs&f0Yy?!ywG9B%bEVP zifU2(?h^vywD*gYzQ(3n!rOs$ubBzjkVYjx=Ob**Ygh5?E*0z_`fL;eG-AVhag#o& z9hNn8bBr6@U#2sAj>I+zM@=xS^vn@!55Izwg!Y^GL_CgNnO-eRM?o^IqOv|&zs@jV zW|PPeO(l4pDm)_BP&n?yZ?$=vG9vVxm{#ZJbF9v6Bh{0r#*o-!JEDlXE8?DC%*_?*1J|CGk@M#{1V?}r3<}-)}o=d ztb<|>h~%l_yHmQko`8LA_HEA=Md6K2C{R~0cFl{=%b+&Tuj#C^%KKaV0nyXgw#1T! z7!2~CcQ#qA-1%!IPvUs-@0OO9d@w=H6ML&{#RCi9F7x&%wpY8HtwG0EUlsK*nNDpZ zBwSS~`ouwOcQ-f5$)eqAiY=JB(zTiLQ*YETd_wXz2KB3ju~dCYD&zWncTInvHUJTWW*ynTaPwyQWM#8X6QDUTwr&0X-QDOmy@ztq(;U zC)Dqk8Eq`UlPz-<+|~#YCdd&95kB@bxK>tp-s?*pql8A%i0EI|YYhR17MYGuT0u1` z2s|La64BIT1lK??q(I^2%^VyY#tj3iK7&2=qf);7Kup)nWcpF{e$AwT1Pw|EafF`Q z?b#g9mGQ%%0N^WqgA=k%3sD+3w+Xu1i3;qo_AOX9P5v9^kPm^W5^*;&Zr}2ahW8On z0D+52dG}nf;M(Gy&RpaiF)=ZzGApF39SMGNH4MN^3IAgUAFOZu)4glal+q%(D#(r( zD_on{6te{WGd3639OX5YK8!5+94^V8O6z&Sy_TQ<@>Aevz&w@jPoKpq=&?>#b;=AuF6JJ0pVr;WGfDz z`{&8{^0Ffzy{!G0@^Z<$*BtLT{DnXFAQZOQB(fX!5Qe+z^Qjx*Z^X?8aGI%Cwbw8I zj%>%pUcpKIBKZ2*?0Rty-q`1@B`Ww`m6u=I2CXmz>+^BzOEz0|e?NE|Cmxwe&HTSF zWAF+?z3fb|V#v)LI~+~i@u06iu*j${N*|-=?JRGPP&RS+PDcR)`uzFx++mg6t6Fz0 zy=!M`-c(ejZTDA(KY+oo+0t)LTp0(esvf70nHkURUy&TzUZC!gq)%K3yYq_hW5?JV zl@meV9P(%isf_olivlEb&c%gu_KJmDnNCn{t-w%Q)R!_>ra)2_K~P%$b%~ zk2!R+$!DC#J;GBSB5VHo=kz9=|H9l{X!{X*N8wHbpbN1K6+2*h-I@>f7;gpz1?A!s z@F~$82e|y%Eg~tt8=fTiAC%0G&1Vt85#RYm{$o+~zvc8aX*mTW#?2QxS)r*Gpv*tx zJkt23Q%I90lrE-#oR9N2$|Bx%#GIN(fVOF81#WD$EYX$E5T0vz#Ob_7im7%{RKEz$ zuhOA?EXE=Z&?QJzkcfJ~{ryAHA3@+umevC}^#y@e4fXv4r~hzHkghsUHg;Yrg9v0| z(nUd{Gz)DtUY+JhEZm;6~tZvs^%dpe7<$ZZ`A&2=q4BQ-f!kn`%Z|* zQ=+~uyyhfB<8**6nX!>hH{j2KzXm0xZsLZQ1zDZdkd>h}L%^FekIADMwsxAI%wWr^b+-lyr|;v~ws^No94l>jcve zLe>d(k*k>i45nvSv-8((uFGjA8y@X0G`ZF@`lM`tf!m>Xxx=91nzBRX)!$R&Cy1Sw z&odqcVNZ3G^g?&s zxWFt7vJ>ol1xhl<%P4_U`+0JhN{1x_q{Qc6{OyGiJ3 z=Xh>yMa4})X|*em*_~?slOl-Dr2uXB)dCE@>6V%IC5fw79igRg^*YLU94;il ziYwle2dk(1-GRh`7x zv>A9lVDt{kZFUL=banlFuK@tF48vZ@8B1Xt@yh16USeC9tU~X<8fha2OKqZM_801Q zB}kt!9wXlj+YJIi%3}gE7;P~;x_=QNi0}+bH1T)l^Kyq z^hM`L-|j0)o@2snck}LDjL*RkNGs}(by}ym;JQvXelA7v%)HTFm99+I1^umXQ`2fn z1gJ8huwia`u(g#M@|MRXQ||kY9yHC}`zU4R3(E*8&>CoPnlejOnPdI#Rmn%LVAJLT z)0h6h|Ir1J)-p-waPF%fIkn*{}ojYqvoOPD)w z6+as+eFHW_zXg@9uHHN}66TAn3 zb`n>8Rk7R_wPx0!?fMt-1?n`I=}wvf&OcP4sav6?7%3DX8|xC5ek4JoX#i{86j{sB zb2ct(HlQ$#hv?+yHbpDUYJEbQ?-+((I|a794kO%?t1^$wExtT(5V#~4yxD=<3_YLy z6+d1I4$@oaA6i=ra9M8s{Ua79uSr+U#PPQ4xfV|<4HHxautFSP97qaW@Xc6<8j&>S zD~m4qMVbV?+SuSr8OOFNkflfu6@AqVNqCJCl$=x1ehZp7)6~c#-Ce5K-NQ{`)IcFA z2mU((G#Z_|{ymzclXikXzzc4F&`MnAdvhIZR|QygPZXF0Kw)fXzq+&It6*m|joq#u zQVPkoje-oIT1-XM69evnzKSn@b7*^o;@U|0a{*2)gijno$v$!rN#N;ugWHD$F?OASU%M2GKU*xMfWi~Mt)>kgDI>xsad=3F z%3BiWWSPB1OSqA8LjZkiQFF3{@PMxg;&wXT9<85O%kuEnOsGpKT@pX#K`>T%-r{xP z)XWtf6Rtbo5#?dEpha*`6%!4(eHtd4gvStE3NAXnJNVhlI4}4T;D%-H>8!}Wsq_=x zfLJC^Y;3^fR~21EQg};+L%uhtw`}IC9f}+FQ4K?GEYie#5W1{(5`Gq?sb{dwi8CY0 z*O>4r|39?wKEn>Mt^o1_Mnkm?Bn{w7O27ZYnCsJZob_d`p%XIxhx8Be9Ywk|>tLy< zug;d%b}QHG{z)*ckP2KQg1kkVoJPnE_!M&&IMnmpmZ zhuNn_9Jo25^bAA+ozXbHw%4;x9X?IHodf3FHt&{J#4Onw-K^GBN&+5p@(O&G`G(N+L(k4 zq5CWArI?yzDM>c7cbWH5tV;~<-*(A^;cEg3D^NXPC{R6d87?2Z&2dT!$Q|ynQ*3<1 zL^m#;(XDqws^iF!Tr1*Sk!@!8)=YOsC=&cHq%#;{>Ft^Gbz9N)uKMG1@*tukom8Zb zUCD`R>^!e?bP3hZGL{BIw^9btackL6pFW*)b_c5^EN3cXpKt>B;6G)60^X)d4yN08 zt_3%y^jMK~^B~-8)6;wi=#D})WKd_)pb3XY&CRd(qtRKVZY`sSUIz;huXoFh+8?)3 zcBIZYAt_OQmi|X!Tyx*{2_61tDfX-=?g3SNWyqqJNo|EgxcHzkXH=@L*i&rEX3z9= zc2!h4OUnmnLwE$iEqX_=;wfm1GZh z&Jz0cOvuXd4c!4BAW#jq5LrheEydZP`ms`Li-^oC;K=^hLtFu6di zT^?~U>{2ZxdidE?$wMj;H3akI3;qH#@(MILOQ+RCuLZ=l<7lt4QrB-fvrl@?z92Vg zk%?epy>L7{A|634% zB3+r%Qi>JUjICX3UFfvv#H%K#rd%em-UWR5-z4K(ufvY$R13kQO6#_O+MpItQ)ns> z{K_m^Jhdw;l&lbz8wA|`@cBgRQ&g^}Mc*PpAL+9pIM!kLjT*<&JX^I?OMxgmaRVx2 ztkmRLk1t)2f)uuMOqaBsc+^vPjyZ`A#;L=GIR zP=OM6e0m58+RG7-a;0e#w>GG!lHZUz1SjSPOuVBlY8Ihf20j6hklm{1z;Np!9YjS%uUn$m`|g8BWZ3)1>UDqpS`m?4 z#=MA&MlME+ZMCgtDz^jSbAz!M+$b&UV9@9b^5A4=e+VLwKCS&Ux?y0*qJE4!HK?Z22sugO1bnsPT2_u7p zlGo+_+$eQQ{T@YPqRp{{_$-4hJel6pnNUw-AICFN=M6!DCM_^(UbyGU>YFqlDB z2dN^!^XCrfK;_>(d4EV(5@g;}#C;43Igs_DZ@MbDqviv1BWD z(B$-Kn77Adke>_k^0<;}F6xy)Tr4Ca5a!2kiJ{5;>!R)r#90Ue*F4DI3B)CSl%J+AEbp7U_LgR+c+2& zWuN>Xq`2ewcj}mXu&AV@gO>;fNM?K5!JxGsiibXoDsyTEoFt&G03ub-{pJOgFQkRD|Iaa|vG6<%` zdaMgCIA zEg|<=3+&9G{b;^;GA$}K8(f8IG$z>8Rzr_j>cN{1aw%Xn+B{-Z ziu_JQOaRtO0ooJ9=$y=OMa+M+0JkV^n&|~=Lw3{zSckMSXScW6fUt3YrsX_B4BYy$ zfJ&V$B_wyoz#jlBAWDTIo^I_EeJ6SiK31#AwpBapidGmA0Ez|5H`*3Bpp(4m=L8w} z@UI}5Exmmx<3`l-?&b*pM$#3l`x|AwvydD`b+~Qv_vd0(;uUqdSz-}LgqxD2aP32J zVeE#3qa{bC?uCRmia#yCbs^|dP7-CR_|D}0?QqZJ`9-k?ULamcQmaY9^(lk5)X5CY z7ZfTf)6lLQCh2V-3DdQMmimjeP5c+6>n9Y;f*P|*$`6F_ z0B|t{DvbS2(BO-nRuH0ki00Ed*qL4e$qR5Hsi`5=?%&b= zksF>r+$X(S@HtjGkpmDQ1uci9!ls8{j|1U}utQm&%_9egC5%p?rQH^6m$=LR0B?h6 zd@kJCTLb=lP!SH*_BzL-8q5=|D}MHiYoUiHYK`Bkc9-< zDodad`)n!>mqYyL*s@l=69DlF-;?c@{VcwR0ThC843^4x=!^7^yl>H>yHp1jFIVE@OT6BF_5W94Z^$CVd-Mp`D5nj>}745F|8yzWRx=;Ujv0t zI5SQ`fD)Q2ITxWLhG6jt4QWiP;$#^*+lYPY6j|Ym!6+>KiPX5!<<@}O>=M7?S$a{f zc2%xsxL;AYhHmtWxa9hn9vwrVSAy zA}q8g>kflk!mMULG(i+-N3*o|t|Y~$Hjchi^IIemd_iYBdZYRufeQZ^MZYLbvDANBGJ z*@S+(sJU#US((ULIt?=*iR86_iik?V>rIH@~o@o$UazV7${U5Hx#H>PE%_h|leGKlbr8)IdhVX`j8m zT-q<=z4{MFCcWksraYuI&z(ELU)D`?8cE-B%dcAdg|-Q^$;di6MYB=%pU;nWrSONqA?DPfKiLBpbRE&$?i59K1 z2LuteC`BstGa#dbV;f8D-N77M{8h&||D0gO(ZK$NKzvLMs~B$i?m{Hs(sDao;ShQV6F-k!O35V*+{wQym9iWCdqv*YBR z6$fyQf+`aP9)P766m?DfD)X9@H~FqJB=rE0!4$LurNO7OA*vK+(xnsC9C0g*2i_8F zI3123=IRB;!7P#Z7`+XfAASNoS6i{W-l9P)`YuK7PNBm$dB06>={#YBrp+gnUaWT* z?bL0?=blGtZKb@Rwrp%@ypwswNI?MTTyf8vgBg13`YSuC=*iv;M)jQm{z2AMQ1?6e z&_L(Il0He{^uvS=y$C*o9gY_)k32($P@zfDYrENesb*PUNm?zoNG< zyeAa#^$Q!k8O>W&lq(?o22xje?HbsaZ~edrc7V|ahmo|Gu$<@G8|&GYV}9M8S9Zq| zf5m>hpB9kK_yR7|5J5#c<9EUdl?Nh7Zfp}{b!fL1Jka2gClW0Ho;0v$?QNX_qVKJh za7Znrj)o5Wbu+ffTy>XChns*C-as>J^XN+8(j;i2)vjiLKae}Uzq|GOc(i*sPVhHV ziYm+NkjB2N%9lHfKDa-k)%U8*>QCOF>tlZxL6dl1Uu$nXaai}y#y z&ZNSbP*B_RX{+2*Amu*u^<8J62d10sg8JPbikmfmQ?~5p^D26)@+!!?!@EIpw%4G-19ef1fTau_-sKD+|DoDq${dkq1m7%G1W0UpF3<>N&WEE~-2YV~oVKL#t~_D8WAMn&5hqvpdMZw?Yz zbnuWx4gOYG{qg*PM{&0{7s)kZ>{~lNtnw^KYnbVS6AS-utmfRR7!>{!wF@5b2=_w* zGCRmFw&DskT8(*@U(0n`@!liEj1m z|Lajyd1HIuAu&oM+n4&AWTICULYD_^e0N6d>FT|v~+pK zXZMx2G&zpJIhzjz^$;=GNELBYrtXw&CL(6TE|+s=yuSBBt77Hh_w4>(r9Rel0^M1^ zlDfT-N3D))1>nVsGQZY)Txq?pjiB28glLOSwz` zq))^&**g8r`a{Q~+xuXn&unOwdwUAsAZB23GXdbEL>Q1# zfGFYF3BX%QdrGS0dez|U*S*@6WZm^|?@zjkmT*iMR8B${#`rWx<5s^!->h?LG#r!K z?OD0q5Pc}|R>_#XrmD(uA~UysSA0e3O56PL-pWuAy0~+;=lZhxBKe;m8)X`jJPC9# zWnuaKUOCG>s^oyrt;P_^3kP#=*Zn6AmAJ^yK59Bz5!22l)V+Q%9xCVo2`t3%J%?Tm zVdmfo!WLkl(V&Luka`3W0x(*J5xY7%j(gnGnV+^oj%vJ|@>**BM&O1ZnIRyBeOzxU zzT-Xkk7K`4p}U>irG~AYHWF31_VGts46>Qex6x1_;)hoUa(82VbalUF(xGBvgIuHH zv6ieldVSdCY0FmAZSKV1|JdsOxTtvn_)huk4cra?swwrPe8LWd8mba1JGZM~U?KM? zG&;2Dfwf0wFp!r*ssu9kg{(7*k428Xjd3ljIOPt^#X0aVN6L*`;@ZSrKI-Y|3F7^P z>vkmd_PYvakDIeIa0xIfIzY^(4!^kK3_T?&BaS6p<4e?7RX?;pigmgP-dOv=p5uIi zCLsP0pk$KAguG5L@<8jZZ@fwNfb}xC*cpC0o1RYP?>sWfvFL7sJg^#RNLK|0Ba!Gb z7+SWpwOxj?`x-(AwDLey<|k*fP>@rPdK+!+tZiH(@MOy2%uXnYxa~-w*=vM)>tw+r zhvIv2gVXJpEIfeTK_-frw5C;blu2Q36lIS72^QAceWbnPm5rLW zN&p2fC~i`i%p-N&hGyr6n^;QtU(2dA`#3<+fDbBsHf1mTI)`flhav>7Z*`K{i-ZJ7 z#07l~XaaHIE(UQBD=>)vY}9N{>YR~7|BS+pur6(EY@jB+C|(Z21PnV-s|glb;>Jrw z%J>D;EY=TxhXo7p3fEOGP`R(<#|_{T8RJ#2IkWbSyRXZ2Qxc|6z<^YBGWzfd@Mf?X z{&odZOy_C%2NIH6i=ok%s&mgMtN9k`xHQB;?(?H}Ll>PL#@GMRhX9|`1w&?VS5R0u z?hn`7@98js+nPj8J&M`o6UjP}*4V+#zyF%A{M==GuI$29hSQUSWG9*9XbyDs5Z}!N z6bR96G;iQ-XMPJ!u6hw!UpuTT*&tB}m{Qd;dMfetURT9Jt+_)_Ggp^D4%d;*!@7)R zzMk8w#1C){mMTM+qBQ4XM}+`v=sZx`Jlga>_ePlY9r%Y7pA8RRH)`-(XAp<`9QYJe zT>xIf69bTnu$EYDQmVc2-fca_nkc6&&#z_na$%(>oudVN)oL{?i^g+9B3p~D7~co| zVASLJJ+Q_zuZ(x$bWNE!Uhs=yT=l%*59b&{dYBFaJ^SJQzl)NmTSnM`c4>W`GoUEo zy#RuZ;1CclgjsWxXsORH9LcfHLAxOQLVn)JBmKWgT!cIh)h(2ipw&Q05mi9%{&)(lZry+}xS z?o}KOu^2y1mmW2{oquV@04F%r#!=oAr!J<+cL6=JRx6|2rACiD%K3J0UO)A2N`%l_ znR+4F=%DQO5b1TnrF#mN>0fe*pR=Sl0!AB6NW?;0+>Qg-0TxP8Of-Zvz=uV#@LIrpI%2%O-( z4XU-!AH0Y+o=8x8-(ll1et(*;c}rD23G$ESQ+@ww|29&jfuICWCVT_p#_PhKdY;0# z_U*;Dq2nWka-vA7kJFYhHr$1K8l6%P_qdX1Mw_xjePHfDfjsxmvqbTx*Vn6@L4hj| z^ke{ifo#IXB2h2Z=c$)sSErU3YT#WhmuhHjt2}=KFX} z4*;H!I$9uxz6>pZKMZJX#I#NnvD6x7v6nB^P1388(zy+3rkji8+DbE;E_&lnzW+05 z>P(c%u51m4;Pg@R2{`6e%b;OJ291L>5yZ9(<^lNInm*YB-#$T(OSniB@$^sK7$X;y z|Jbv_@I8Y!0&?6vT}mz;W%y}N>!k_`lT#NUW*LAKuH;kDadA~Y*5XTu)R%cIk2Q-< zdgo-LMuw=J*YB`qrb-C$3usENP3f@;nFggXud#N-H&`|)xa5P&AM4kb5*o9K)73f* z)z16mw;}=;OkZufHw%+x_s~f?xElKmWBS=rzPb$WGDTz_CDj9FHK-!}mrj154w=Z% zSO2?SeqUzGk{UjOu4BUie|g&C3gaibxV8_pbKL>i$l5<^X{-zyT4pk6BMp zx*QXql!l|FB)a_Mvrgkx?`E9m@Ks5 zb2+U0Ha?C1##_=sT3PJwfq?X7VjiLL!Jyd%IZ@o-7rJTUwhAE*7Zkt?Hc#Ga^SIW2n$QEFW0DUW*$DP6X!2CQw{AL@O)|Wmd?n}#~^l}|!vA8NBL;QOZ zQS(7o#))X0tw+H2wK4up@t{BA@fbBKCC;xQEs>TFH$7;Y60v7YAZ%eRmW(LJlr0I@)EG6yaJoq{477!ew| zjBRfQ==l<5Q$dQMX5hW_d1myZAI!0#w#-?V`6h!aIgMc6>5?;AD-Hf=eYCh!Q#!v@ zXn&V0^(b=P`nd!B=}Uprz|sT6xy0g0|MpQ!HH+JAY07*N|Z9 zS%02>-tg8+PTCxBE)fCi>+ABY_E60NE5N)mK&j8D;{_7?Ev{#?Y*w1jpIVo{DobOX zKsqrzFo*iC_U9nR-M*(CfOVyU#|Dro&`<%t&24Q8vfoqN(c8>4xZ>&v(FPw*J}pZg z_Xf+^63afwAH2u``$9`|t?nQ-w^UwyKIRxG0}pagxed}U=SI(q z#NYccJND=IJDY{m;fpdm=Bjesd|_e6rr0XwGX44$Nq^bHhS~!u{1e~b@0}ay<~Tw9 z&7-RAvAcwe_yu#}cxyb$i=_+V!I(nu2x*c(Jpu9*ig`rAE6{6Au(W%WD_HD{k30^X zCM#fXh|5aL4lX648CMG?i4XF50b)7Fil@cret_A^)Hrn(l3`4K-;4J6Xld+h|p z4B>*Tu5~f-F}wgxzSLO2+yF1cYt`n)MC?2ZZt;V&qIwJZ9)xjx4GG5H`X>K$W}p#} zG24rjMLo{XPJe8l%NIZ7tv;7xKqRU{N;BZya^ZZAkS0(9)P zh_R&SjBLo?yh7aV#Y`KT&{EAyy@Dym1rxCfUW$L!xR-+lAD3Uas6rVHj~JP!3cB}6oPPO!uX|EM-0wb&mjS~p-3FRyG7z}`j8KAQZA4O6(l(L zbGc-`$|_u{!%yIc?wE+dxO+hDg#sl~7B27KD~)4Y)Hs(nj<-aDW$BAzZXlxsJ}*9x zs-=aXv{Zc)D*;MW%z{VQ{#m^z?Ms&}ir-#I{YWXbS_kjk%P5gYgjU8$YT2s zkEQ4pS#`?#O_%cyj$0cG;KBk}m__`*wfXq?e^IsZ-=QYud=&rONl|o2RV*K8XMVyq z6xj|YDNUii(;O*kQW5Tkes{AMtBw!0%W|71vJR6oc43%6p51G9a!+``o3c}B1h6jT z6!4KigUV%yAV>b~`nm*g|L?Zl5{Qa9Zfd}J1<5V2USK!b`%ul?k!}(YW7TA{u>P{4 zWt;ZhxM|0<&}r?#`?S%gVAA*sdw7`wD`qL*;#d}ZMzS;wcfG4^gQQWjE zSZ81f`YlC}#%EvlH{L%asO^JGp7K>&cLV0X{%Z(j;j+_Zd`3j)yGIBtw5_>TY;ao> zFZv7)_eOdKL_tb4sH2v=DpymfcDu4LoXt9#aB+S*P7((eF~!d6Jg3%aHbG> z76{OiA4_w#(2$2#9iE(GeKev{@c~B@h}I=4`@UakH6PUG2>|azr;k-n>Eyx#9l`BI ziW8^7eb;vhr=V)fzy`|hf*%XHCSc4K8|Ah0SU%46EM%ZPvTw`W0|J5*U^-f3bB0!!uvqR&_= zN38^&6W%P5r=Gm~IC-A&LQd#)JL*?NU`FCQ(9aziAQdXv^L+2zt`lvK#i3m z9ld@c^(6VEzpcvFJ5gBT{<~gx?qddHm#Z~Ke}}L=GiCxF%%CyelO-}#e;gZz=Sw@( z@q`b!>Z&oj02LcyD_yLHgoFy?KLO=Mukc@PTOcbV0-6P&cU^Uu8xlGtK?zUQKH>O| zY_0^xT5W%gvApLs?Mo|qnm`)9yI6b@wD5=GM3y^Aira)^!_CW!axZdc_rjVBLa<>{ zz?GbEYC*FIB}#bpZu2Y;y#|=%fDJ@|R~??o+DgV^Sk_t3`8IYvAWKXeufU)Z zWC3to?F>O<#gHa}+gmkYMbb@!KV_K_MmRHhkmcDSv_E4#?da*Fq$lFnK~*p$xK~sv zY<#z%oANUE%&Jw4Zu79@<)v?^d7H$BVBDDl;RZNYqI_H6A+Wes1g=4@r&O;LP=o-4$|ocK z9+?B^1$!*UOVeRk#y-o~^Dm-_5_+LyU(lISGt++)2^ z;t%+o{cLbYD^B<(n7ez7&%HBXd^6fYw*6t-bE9kV)+7v5Xjyo^Yj&hG7dQQzd^~1H-LXi|H3P_3M}a`NKuW^q)Bp${2*G>>z&V z?L9T4j<-^rEQWECJHA{EZ)dCMWmAPutMJ|fG>m2LytEJ!l{H~0<(RA41pQTjqx}(Z zNe#$rxr2Tpeb-&YdQW_z;785Fr{RzQrZEvyg-%Y=Y#TI*tpGOuh_UYZrxe>NNjt@9 z9IL5-J7;`9_x*{)%ONM%mF;&%MuxZ4DhPMVyLdd8BDzjtN@egQP_rklqtB(FgsVgW zNW?F!(HqBBdrn|)LLMmNy`625H5-x9WnjFp5NuX^UaHvidWplvi=1(5l};&8P0Fg7nyYNsNsY9OPtFeeHfidr^M;`< zol+~#s3@xoZsrvP04w)P#c}N}bx0T=Q6RLn)`29TBuMKE)x0UVrb7?me7Yzag7X1N ziKnSu)XtP+2%Z5mXu^`763z7rgt+$hX52-|x92kba<1@m;pBFsR}GbxMa z{BvJWx@Y;?U@`Jv`p^G4)2Dc6^~7P>rbn@cvBO$q1e{o zps}l3PF#%~YFh9WU3Y3=eL49DEQmNbIYsLt1Ta$Ob6RO#(-uD52Lln5Ki#E?e;D6| zfamL(DBXguXYC*rqojv*XAga0E=nxdL&vg1KaulC5;tKr_k?O^XMh~)GOS03rbWvV zm3-$UmEw@;6McGmnsCe-7f2o@4zIP?z0sJKr!UD zmE--<7gu0-ZOCO=M7J8zKo3gr*+!;f0f06xgc9Rp^(*nV^wGk;#i~B*=GicJ#l2K zU4pS4heJ&rLqF!qv{n5I>elv*R<`u>_cmUf3{K!P);WW&t=I--h8cB$zu2zn(ffoC z)(j1S8W3_D)q;3xL#*qKy*!fUgrv%M78%qzwlf~eaFdZf`ZKqD!Yp!+MEUxjZo5$* zT|)GiJOm0$hfLbVmv`0Q^;^Mx*n7g+CmPpPWjE;5zY;!bWSq}~H|c3*{v@=Pbddkc z?&`{QIIpJ(&QR_uv-N`?jX+JHTMv}sTSP$`jA5IpBeecALh^UNY?Va~#%D(W+jL3? zgqi;c?QIa#Gq<9uqH_p4Y()l>E?wI_%7?_aFh{On`!(#iraHb+O^?Entk z8zBuC^P!JJ2;u7#j6$E3{0zD+&@;9uB+y2#P>_%%Rppl+=NeY>b1f+BJxS!-qowM< zi2`4JW_a&XkW+mG88SqD`FCAj819;N6laJZnHg0TVWWRE}es%ts2 zdLs3;g{)ixd%QzU`O(!Z^^SVJ!bHIMOs;*a%Aa^$)wK3eAU?~3ym{vgz`19i#xl>fk8&);CTG&Q31q+`E~^@Pfo`-ZYWqO$`i3eBUaF_7#D- z8F2brdaaT%6v-?8;->S8DPMcpYd895!!h?Y+MicGy%Z_s-<)SfAeTs06|!`25Wt(D zftCVJZ1C({^*`nQ+cWd`{%=ndOF;nJg`x}q!a-EVBVa(Nb4%rd)&~Pnm^+OU7Ys)N z!LI2cXszL60lUk04*;POSw7v740@<^Diu__B1TpXR2wI=tiVzzf`A$TC5q3T!~@}4Q=)JA_|muWtlIX|7*o^8GY!y$BX<3p{y#r&VWt?0M@ zmP|o?1@{h)!O=vcC~`M@)w!w<8MJ$Ygog0t@0c{+;($?G9&(g>9?S$#%NVfzd|di( zvvlR@;wk}3K=!iLbn6%#3?aQo)KT{T5+_jhxHG34?$CRptbl>F=T&FKK$1+$XJQ*O z`c&}nnIEu>fE#1^31Mb#cSLYstz0W$v~cKZu!b^s$}}^h#$^?+r6Gwkfw?lWXj4Z< zAnINQem7_$DAa&h@gI)F*_W?}y3n_v27(vzq=w_+!i)Cs%NGQBL@tyL0!ckV?GK6g zE|-09ON{%$GS*sN))?=wtw6Ql_4uw9dHR)8;5@^)OjY{)sLxVkC?}&uROSVLDJx=< zq7_UuPz1KUaQ~l17y5p49v)J$!({DCDG$!HNMlDR1KaT?|4 z{t*dKU*}l@Q6i>ukjF@)2EE{zbvtiZHfKobT^f*8dQUQ)?Lk8XAs#3Zt+v)nm^*$h z4Iq*HG$fnpTh-8gI+8|c%vwguHq_ofr@80z`|FIgXAhrd(&K%gpKP2!v#XcCv@!6+G{5nr_gCEPRvr4X13B`>FwM5+*1z4f*HA)ja;$UPPv=*Q(~CZYe>bR*U|yfUiJX z^XtV&wO0nWZlKKlfdwY{6&RP`#V;n8l2Pq*V+q40@w52M>XwV0K+a-*D9#7#djs`C zuJ$!Tv{uPO%jSOU6J7^v33>E=JWHq~2H%sBU^+msW~cEt4R|uPd-~^57Hx(f!Ad*> zn4K}xaA1SxlBeI7zL_3PBrUO0LOYF+mU2_zrfp&)is2PvQZuDW?EpywP^iN^0X-l= zb}CSOw(@~7pnPD{PfWH@RK?S{7X#1wMuIM>>C-Ywu-3b<-Bz8vKhl5sO@tPS_`JbK zMXKFT4W|eCC}TcIH#<=$dv5i`A2uGMEn^DwoEL7iqsAeXT_+d;!jcS`r@!wQePd(uY-4k)!vCaV19FvbF_AKl z<-Duj>JQSE{3b znxz&}>Ezz+;NzPO_!OUZ$OnIM#C3)9nULgs;MKnH6kowffo>592*Twkx4033N&W;J zXxoVGV8@||rFF(&9sopXqN)M-2W&17KqXJ|+`&NB)N$PVCs-KbIAh&pa(VJXEn0?7 zIFoGayvTjyn`6rUk-uA3ops?GZ8GK9bseX#$s%s1S2-6(NUyPRmXh!VvTJnxm^6_YPsx_4UcQ_ww#-JkMsNN8_|y!Y z_>A_Z=b@yfc9h&DFDZW7&Bf&1dZgs0ZVK{r+GUU9_*f85S#lbhN4zV1cg1HxqQSY| z>Dc24b^Fy|+23Pt@(tghqpx#j!?kmAu-?42#S;=5iYAc1=f&*dYoCzN1;VbvwmBf{ zwXdX-$C3v|6vaj;{jcG^k)~oTm4;YP*e!W@c5C=qh~>fh-qhTRO4q#UHQICn5Cu zzcbN~C>4#QNcmF2uuia`?m!RG!_f!kKf2F`R$L+khe|&Igj|$8KG)bfm}`l9Wo@KX zmG8T^?$Bac&n*B`X|xU?FV1|Cq|1|$NWJJ-+r9XpQ3ISRPHqVuoy85w)?rc zzddYYy*jZn+U&+zTl{Qwo_+pF#6zJ&Vw!)}^v&I2IfD?V(_|(wQkjGk4 z`@1`bPDtS`)2B~69q)H8@-Z_r>n$aij4)qWJAB{GGK4$bGhSa>n#uPwA}*ZvG8&?! zq(sE~;LCBH6IG7tTtAelpK7%kg#$efGKZ}DW&}_R9pm;O-9i1s0IQGGOh`*GF5wjL zRWW~kxhW|k@`=`jI6Ua3jqp_bex}+nM!v+J1s}zGMH^SwGA2AjHQS{Ff=w+JU9!!k zdX2(~)yneCJ&zO6J-CRynw~a7tSw=PEDm{e5-VKPH6XU z-teut?Mh02QE6SCf!88a@Cr1TmGPPS+wZr#U<(KPiH~~nt2AQQ*-1`QSiNLo!(c|f z?ONRWGap@%GM_Su;iVWx`@Emcufdp!Y@);x9~OlAF$!vYI&e3v)%2Doy48|sd}^xJ z_STz)wJf6N#Sd--HP6Nt+Z;GpFrCn^_01lFCf2I%X!PWKGw-?^-p#VlA5REPE^uzYcXd4V-3oOb8D%C>l#FE8LfgADM?m2=#cDmsfzj3_Py( zqSj;f_T}HdHB60INUn)WVC|hP^KQ!e`!|?DHTLoepY?ro7T>oVd&j1MR~7gt>Zb8a zXhcJ_sjF=B=!PbzIeAkksJM*K`Ro}ijE_3C(XEV>zkg_WyQ>j(xxa114j7Ojy*`N5Nk06FUClJ9!ogyy z0gV%It)hrrWt{)ItR69?s$(`P4J<*VV>ug9iodtu}z5&`R~b))5@qJ=`+lLSy^6a92U$#|b>lxtl#} zdjS>N!P9EKXYsp+q-foqW!2T6{f2B8F}X37m@B;x?T15DSxK6fmp{$(r}f>{c1Jyw zE;w&n2><&rSixGPgEKWX1*Ec0Q+D}}>fqyHeoE|uT|;HcCg%57L_tB}9d^ye2xlyp zBz^o@N-Y>vITU#*6R{56%CGsnnzmY4$AK*0v~}&jKdL{q!@j+pEm*1NI^s4O&5oo; zVt#4Qh{*d=nH^CkNfa*fW&WE90Sv-e-{0%hh`;DqG7U=hx8IbvP~E1}S9*FyfOBoz zgBk+^<2QeS=hu8pM+3vxC{r zP;ry;mDs)d^{E;%SY1u~KieLYUOg&>SP2!TZ14bzz-JhOO!c;JKIP@?vrzG6BwAUf zJp^|5=gQ5D4Enou9tf(=6_d7%r1+z&0j|nNlat9e*^(!G##vu0UTQe0X~^5SlW^B5 zisv#Lz5!RoD}C^{Z^rlA!f;KN zX9%2~eH^M>(IQ;a8rUUQNFi+Z(wTb}x3ulUD%YmaH-`yrGTdza8qN@{nHhGfs+O;v zFY1kkCSq}Et&GG8-DMs!CQEvcyvD5u)A0wapLU5d2(DhDHhn~8Plh;Ylv?KlelQWIkJxy$ePIRR&rgG>%yh!wewlFthEXJApqw zIeG0OXxa=hOQ7;{D6BNk|Ma+HtKaaKG(y+9{K8(Xxp^PbIZXE zqmcnQv+h2pDr&w290-#~xRn1g>H1Z`t%q2Rcn8?4j5DEnv}oKN?yABkuYu``2jJD4mkpWq2QFb$8)@C+e$1#<1Py=Rm6?PD3Z@{1yLSmATKgv^ zRz;%N3c97PWwTb)jSNV~J#i_wtDAdlH^vuRLyt^Q&7nCRRW3a)hj+q*4*O7K@%Sp(2 zwAmi~a^7N^c3b^|+^~;u8V{eF@PvUjThI;8IuYOFQpySy=AnVHqtmn4)|`O> z^|kRbY{awH>)Iwz7L3*V);uK(cxnlQt)I3d>RR{duH2R&RZW$^O1Hj!_|o#=u-_tdA(NizNsm2JnF(>i}b z89_KzR9>zSsEVqN)W~04{Ek{}TXd`2ES=A} zNQKg^%Z3df?vwAp9){`$-j|w<5ilXDoRJ6w6cJ!mj~Dl}ks`^yE$8=$kg#%1ResM0 zJG~i~JVO>O=ZSiYlO4UyoF}HjHVsMk<6hSMNm&p0Y9d@gEjy2@B#J-R_lu3qVFJ7i zsdev8?7;&XT;9RQ1GO}WfREYY{ZFqCbEaEJc(RPB6s|4{DU^HtZmiC^tF0a9v`I@z zDeK@+Sj-h28EG8D?aR?u#%ES+hPq`y`XhCWm_#+=;a>)QQiPlscPybp}0_$_;X z!J^(Hv74!<0Vf?T$7zNR7xi+pcD%bMKW#~Y>ToYcdjH2mZk>1D^t&cbPDBth9-o-# z#3()#Y{f%v%XZx|kE}2{Kg``1uZjtc`0=d|lXj|O(^p>H?(XjXbcFo{dw6@>5%J)> zOX{D(EDDqG$jCvP+d1xDRzDbs7stHsL%y#}OG|TKxJ01BON$r!$hc|ttCK@q@zeDJ zPmnrgJdhEg*0LW7mq&*|T~s~by~)!4Ms+a#?OZg9au$8su!^L@3k6`85HEDtv!g0; zl;RmT9qWYh8>MvD3>#0+s`a^~FlXP#P9GKV&~(4>&acjISL)pWxi*y%0V5pC9V0K$ zeIqoWxGpcxrtNT5e#l648-6N&2P`vF1A5}fH<=p<6GNU#tSg=}kc57Zqzj{{#XPZT zihU)KT8^x}y5sez#T=`?f_u{Y@+pkc9z6~yaLs)&6N_f=Mo~LTl!7KO^tzpk%MV8> z4Z50~oV#W4)*W{ilq6t@5s9RGUG%A9=1xu;J;b@goNHr67_Svu2No|mkCyQJWrjq2MMadvj>QmOsq zz&(*5IM{<(;Z*fygY&3vyNP5;Dh(g@dZ$?ZYY`t``X+R2eoO2_n#aGGtx{B!CTs{aXeWz-attxe2aS+E7*vWBDokrp_^JSi&=U*v%+)kAa0 zX>swMz25cvT@wm(9d@MSZWD^vBWJt6@Mo|H?}XfM8<(7t*_$A(MXrc9k>qhxT?rpBJ%Ay$ru|DUul~;H@3ZI zraBL?EIh^Zy}c3yggpEh<@S`DgQ+Dq%%MaiScE7wKm>{q*_8+VdHa~> z?E5LB%@Vt`;o;$OGkk&kB|amsc%1$OOi5}yeMym)H{52dx_cBC$LPNv2vrwUSHJ73 zVk>BdhrkT~5;|Umk>hBldIs zlWJsQL{wiYm==c^qpEZ`^lbQ&;<>)gQF5<`-AGDJy^Nq1cf)}*n2Ko0DC?t((5-{j zeKELMsW*nangn!P23pIK*ejw$4~)=z*TpMp(aMi9u=}81oh#}YbhB5SlM)RnMKWKT za$*^CqKl7saC~6D9ASHGfS$NL4(+fVF|;4+ic$e^=iYrT?3=o@>-p#O47U#+_Ccah zd*$C2#Pjyw&-d0B;_YYgQcSp174?mj=JzmFXu^x)TCo~eesgCL{o7LMGBcn)yAGQO zoHS!Y`$1$4yRk){FF_v8ajzKMOX#rK0XMkUvKpRd3oCAJ{mw)CyW1q!(q9dt@|D7= zxt@JHTfxM|$NEM|cHhqcm%{8XnnZ8RQigkH|^$qm)7NBB76? z5he;RWxT;poR&~jp7OE~ngy_(cIB$RUIe?%(9m!sn5>SjT4z|=J?}*UOET0Jc1Ksc zgAqx0bjdn@+G=4rODb1O3A?b@a$=>1{}kp=$ncyle#NEG`m_$ROh9K5d+M?U2_2WsNRJv&S@X(604N--MUAab;qEZ?BYd)Izz~f*9%Kvl8(R_s5AP^Q%1Qom!rX zmA`RHF*z6|3FTvcttd}3bN^W)#rNH#+Qd+}igGpY85)aP(kM-`plf#yeXk`MwJoA1 zi)bx31rC_VhAa1|+wSzc7$RTlS_z&@6gir=hKu?~rsnn+;^B}Se$Ex4zaEv_04KNI z;Z4*@_4tj*#c>5+o)F7mACt0B<3ZxH2*({bYuS`M@7vL1_8z6!?(JK?FXS!d-^csZ&mO%)BNc4g5&CP}fKI7pkJ zsk&+K3z~BgpFdxV+YS#7#7s4T9G~iYpbNde0h5KZTt(u`Ma@E`0%KH*0%_6v4`O)Z z=IvT=D;}`^0xx9S@7g!ouOye%Vd^_Ixjn`~=yg6yaL!1%8@ytOiY@ctj`h|*@jBwx zmkNGbHa@qp@t*3N54RECl28gG`&*lGFbo0e$?{!>*D}m+)twnBU)^%JwoC02jqQB) z=+^ai&x(?zoFVJI!$a{}(e4?-3ekS9$X2(_-)1bx(GA)+&X|c+^yq;B_sGd3TH!#K zXg7W{{avSeQ5(wc0>{;hqhAb4m1KBCX=N7Cq^R+3zE)&C)Knrhug+FeQ_I^NH&?Nb zlfbr;+FISuaU~p84Z|{$o$i^^<-vs4^&m}&p%e=8WHDE;#Q^7#JRRFp^1WLZ{7g{RQ-N`BO+DEOJJw4o6LHTF}|1UMUy- z$U;!cG%?g?JyC84g>1kIHA(<;^==5zBGA*SVSgL9>}Ok+)g? zQ*X!$p_M{M{dxG-U%!T7!yn!CoUxKc)8Xb?(Z=?ccGs2PO-JdpwQ5+D^j1}suW}X5 z^*-G|UVpK1-OSd;_)AauX*ZvFb(sVd{&P)P263JR8i|~5zn7L3-}yK|+Et+h4>9P< zoJOyc8BBfVT5JY)$;rX;O5TbHwYVzBjY(1Hsc`V|Kf>i8GvxF+x++1C=Bs`|-Ioog zsO&qs{tgy_4Vt#^FS)ox<5q}xZTEg{HK^#yC5Ubx{u`T&Zv-(5i$&-n{6`q86w|=v zdPFz4QaVrjSt~Cd0D)j^GK7HPG^ptkx$Si)p#gAP8$(OCR#M9uit@a1ShG?*-5YGU znUu6|FvIfxUhV;!Lm$Se#9j;?BI-ot*xHLKVfp05ryke*;9|)#V6QFgdcLn!Qd`Po zA?G*iyR){qdlW?n%11_`@JsN+Uq;6+SY=1lL?s3AVrq+z8VjpunZ@&4{L|TVTPVquG4CED5uQ3E?Yx(P_&V2Dae`elO6Qrhb)Hi#k`z`^{=i4N zYUVgAXqMi}Ikq&oO6tx5G1GG}0DzM99(982KpjzlXZRyw-f6DhQI4OBOn>dZPd*W^ zAi&yno^YHr{bGk?A60AvuB_UtMPL(8aD@!7y0-tp=n-WwGYR=(Q0qYmg-sNn1Klo}s97 zz2$i+VRSp;)k_ks2~=JjMQmSHX3g4OPmDVfyHp1ozwuf505vWHIgY7|i>9v@R~)aP zV3rXi7|z0-fJk)wTAa4$Y+}+lko}}A_KFubc5X}A%K%bd=tTgrfrxbdf)rfI`D%Oh zIOqO$aL>O9)rkjIC3HKGwG;rykzfvE`IJGJV^{j-L4wbQLQSTv(Fsx|v)+m{+d z)4E%NvMa(kwVzY)8*|0ckj_D84lqMxbTkG6OwhzSRSNp_i?VB8z3N7q7JVxJ{)7*v z-A|<~N`6Kae}`*9Q0>LH8?qS>x@41+u0;H-aNBK`kDkT9{9>%!E=jBcCX40hz7RqS z*LF%teIq6SmC!wLkUDz^EFV^o#k~o5jcN__@wrz1$6Td?^_xlmtW&OjX3LZw6yf3q zslrcjQ6nO9aw|48^N)sWMcSfVr{2O5DLu!`Blo`_*jYr8#T}aPeEMPuX?<`_gM*Xy z1nL8h(~;b*)ah+a%xy0R&~>;V?!5*FGF-likc$21MC&k(OqWQ+b%TmtuvS6W!PkvB z6smBb;Z}YE?WIuCqs0;1vALLixBXG0X`(@4-w9ZWUOD$iZY5)h6yr>H1LAT*?Iq*- z_TwGu?n|~AN8eV<(in06Q75#=aq1)~p1;m{`r~|b`{Xprjp>r?mnqnXfYRD6hxNh~ ztDxb`i(1U(DuPEuBn)1CuPC$}K}9Y^8aE(6;5geo!ZQ`XPH2v9g@6`@9H8U;>! zpLP3oFdWjzeK)RPgt6+_zJ%c+mQsH0@o60w9#gLlkXGP$ei+-O%3&|`n!Ei6W+kmY z*K-DVI$LtP+$j~XN8GmacTfOkRis|DJ*xsbhfiEvu~P-FfAHW|H)p$=}W!v*X}VUvllu?x?lp`*NyA~{Xtik zE-xs5K=?K;L>q19>8ZPZldDfd1K+Cmty{t*bho$inKoTlvy)%Ys+uULXPUa;dG}_vG1fKRq=}w`7Kf4LPz*)!!DI588{Rxakb-Z892Z87NN?1+RGMYS}Rr z?0J|BeOSGoXa7O}c%e+Ux?7&(nGsf_%WAqO4h5N#6`0n)V|plUiRi3`Y^fL(=HW3n zS69&kw)giwx1^RLwGMUfcDAj|14>3yv=S_v(fVj=N%ZlY`A?yWfvMJ>puqCl%VHL( z==xOIS))r7vlgxuWp)QB68CGx8${u(+@UZM55pZyAOawHoyl5G3wA}DMYyU%Fjiq_P7X-jVdIf_7A$ctZ6~*&OK@g4+6;K zZr|GadhBOmaTzqXGR|nKX%G3zEuPB=?}==xlD5@0k$3;b0@x$iThSw2-yW0VVZ#iB zSja6E%w82e)u{HC2PJORuP_9>;h zohmKGc6vWt<)4LH8SCjiC6r>o*^@V`Zk=dTn2ViQzuCkD9aYh<7%XP7LH8SM2caM-lSDoaUQ*IO|k zT5x_Sl87x`ZKB5f7Aho8Z0gx(m95H+5@1DWn@V-$W7L`s2rdpD-rV&<4@|_bm+S?N zV9fA{DR90$x`Fliz~-SD+%hnxKm^ozAI`lm^kKSu<;o+5awtb&DtLW@lWDjclY=IS zCBiNPTc@I6727@FWHV&t8w#P_90sC6Ugvd)>7$l$cFdTX8_IeYe`%z>5ZZ=LzKe%0 zp67DPKRgkp-k18Hs|rQxyZ|x?ub9|1#8{aP8FYd_PUJ%IG8!7_rlxw>+yHPipSrHB z4ZMoQPXVrRI{HciLqz#CwG)$BLo21lXTKK*U2I3}xL50XOfA_DGyS<8*Rut++de#C z6)~8e(9PMeUtY{>A>tnU?H3{V@R)^bLx}rQdP1=N(=6yitqgr;mDp#EU z4}0(7j`jb)jlWO|p(JEyl**{cN=S;3A|zz*z4xqyge2J`qU;ctJ+hL$$7S!m_q-o3 zpZk0KzW4Wc-2cJ-J~}$eTU=h(^Z6L(<2=uY#u_I;$pEOcg*im!9mr=)1AnBtx0ebO z&M zU4MT4Zp`~q^Dyr$qf>8K?`tE~x(3!#I`c)`d8WpzwT;5Q&(Ggx%H9J&!`d!4boKK) ztv9hevejF_-v=U6*S^AyNwzHf^tv}u=DpVG&)GWVnTS>>P#%OI!)sl@O6=6IyM+YG z9h+(l9#NlAkY~;8&BC?9(vF^jlDv1ejML%Ho7 zAp*N=XBHO~Iakn87|dgV(O?NkInuP+Jzkka9$p} z2tGbOAQYeKbvp&X56iBlzxjbhomskBA--Tu{$Cx=oWBK6#!3OyRNL@*{~iUl?wSL_ z1h`$yTle8Y4)?#|b3`*Cn?J;juHR)Dg<1q0c@=zih!9iq(ewKY&J)wbIX>Uut z=YcSvcPpL(mD2i8HsVv>Oq(d-)VDj?A-XH`CITot~QX13Uz^&MjeK z5=5zC7wo^`D+gY@CW{#Ai+6Kq!J-CGQfq}iy&B^CI5CyZ@50^J*)LMQ}3fNH` zsc6R?wpZ<&y}E-RG7ap)@{{76-*3U-J5vt4SY6ME>V0<5sSBi&Op^b%1|_n0zEX>Q zca7{=tyy?dhpCQ9kyun_PjcvRnu6*zw;Z>gW;69q^Bka?l^C{tX{%Z4jxo>c;g})& z%y_rQE19Uc&z>`^sg{quQV@)TWq&C4 z@e>fE)T6p!0k&;u<#~ECYfBp48lXAihj(;fi%aZ>iSOHIRFtNkD z81O8g#+Z8!(6*8n+b>$1UQ3`wfSco0$KatB>L}>2L2BpF8GM$&+c zSF)-ZKkZ{6xsg^peE1Lm`Z*kds3yVB&z$`Do%nm^Z%5^2oW5|HYr;$A8PgAlFg%o(Iw;p&mvh+jrMKycmw2@ z4NUgVKkt5K(dx|rSvbpp;vA&Nfa9Fo- z%YL|Y(<+a|rwZN?UzlJO5;jJYiopxkNg?tWe54`uGeUdd=JjYzb=A`Jn4`iH-g1nV zjXz(upNCDHGJA6Kt7>PU)OqUfpyflI<6O#*)}EdpY9n4#_j!edmn40EPTQTue>*1# z_n9YIH~A0|^w>XWkVE1^%fzsPh67UgMF7qHvIjq&ll87hU&;D~sO_${un zPecX;2BxZ=-V>N7qSL1{`4+<#a{EqhO0koOBuz51P_6n$HxcV=*>yUdW2xWdf@F{d z??w7KzZH;X!PfF$^gqlApZO^|TwJnV#%*#cC@AQ?qfy#i7*b$~=`r8_w!vVSfv?#x zG;Howw-8k3tIa7NPDCXCP3&0#7{~=T>8}>`ls30fy0yJnvw*fOf14K_XPgDRB?;Vj zmC~+n%M5aGQ}|yYC%pc^VXE;mct=GG*x-wHxE+Ei-U(+cM({Kerq-PTRIaw$0s<-h zXCmLY>FS<>@TEZ7|M|5F{U`8s0iuTr`=~l@>s4~WJX07){PbA{V%uN~`Ifq0g$$7{ zbOa##QLrwRg?1G9m?WcJe(?as2Fi@T`Iw~q9CVV{HF>6g;CrMP{ziEM91aqRqw-2Z zj+|Lf{WiNc6EWCi*3TN0J385%sWC0i)TY$yOd!wqz@(Ygjh4kL`kl~>Tkw2#Ny!46 z1sjv%a++_C?A~mb2X^es%K}gL;i8z_##em7Ssk4IB}R&Tbx-^8x=YzMPX7VS1`^z6 z6yrC3rfRcGY$zrrR-*YohZvJUQn<0zY3tuSy&kw%i>VHJR4jtRQ`wJ z!0)uif{ZNmE>RT|3;9OmKG?5?Ah}=7|up5 zM$Tm}C-aB4nCXnN{v9NXFD%@3;W%5cIZTmeL0?7DU_sv??Ta^`Ije74dRbrVV)hw(up|l<3xuQ*i59uWdy!X z>!KxM;l%-GMWf5S-XAk;V|;D0&MoB6(*XCCpw@J~`eQKf>D1V5QH$aj?`{eYi>sx% zi{-()MoU;({)X(PYF~9+%_v_*Nd8(50x~f^;fwDFn z=+CTcQblEpHmWCofuWz^gA?$t25yGu=4Ydm`Ea3P*L!6ZjlZ{T!A1&*XR>j^#1*gT zNjyLN;M2)4Q!+ztsH>?(+szlKzPG>szKX-gCX|&-E;^&T}c! z)DN-3W5lD|`&_$4zS8^u8>TUqz?)Yx;v-5jnx#m~6BwFuv3kolSX`R^nb$wLFvw`P zd;j~k>ahB;hWfl&#I#{i383X2)TaK|`S$e!AKWv^;&`lDE;69D_tfG-?(YZ=`r&Si z%5&16K3nR4biMcN)OtAYG6DC|;No8RVP{KQV++pjqx|scxv3|j=#Sn`@E=A|*gH$8 z#Q5zOs1UWUr!1KoYhwD9jxp+48lNTAz>M(4v-_u!RPyM+FBme6gVRpWpvj*g6} zw|j?P1L<#R+&un042JZ0k?=QZUB47Y{hat4qYA)aBS3wpgke~Wb-BS2_uoVLg0 zs|$yh>);Vsu8cfDDuB*9g~3I! z^5zwnGo=>1yKn(-QQht9eXWz*9+=nmIrN%vX2w7f;^&{s&&N;OhwRc|3h2*Q4ltAlUWl zYaUjrJd?Zz>TZY-(m6Q|`7lrbYFFwaAfyn<<=^(}H+9u`M}^9Ha8Nwr4i0}RSudR2 zirGA^tRens-B+9`b!iO{gMUk$`z=jPPNc1Rk86$5<6iDjo)fWr$+S*? z9US5{SHIoOBx@Hu_tlNTkn?-^o}ghaiYCASY&}s zj(O>YT^-2J&eKVYA?eNiH(%iA#?{DgXR}(x(c)$HKwN|Yb2`+1M#(;Vq&Pcx{G^Zm z{}s-`>`Q2K!lho_*-0MjvQ!wjb;$(8axXIbc!?5TaVZ~bSo&`+q5ds0va&aNR(0?A zS@I9a|9i6c^VlNSaE^14S30FngKIuZH99jwPJONk@9FaC%b_v;<^RP6xF_HP`3k@e zDAe$fe2d}0AF<9-p;|844MW7Fq~nn$nK-S#+YFOJ=Yz`PrE886TwsMrYQl)>_Dk%MIF`R9I+F1sUne_s{j;KV-*6Mi!+ft*}g> zRa{k76%_-+OU^}*w>-QND)076UA-23dC54u#U6Cs?J@Dks?}~%*{*Z1-$f>`a%uPT z4(Yoil}&a-O)+$aG1z36-+o6CUr0@SIF*}fqGcb&MEMu1dSF?<;B}h>%>U_AvKgAE znsOPuG-&J7v#e+{Ft9g28M{RBUUN-sU|_Jn3)}zKg5)Fyih7ml!LSD?G*IdQt?v)W z2eC_uJ)ME#OdM}cDN{~*iB_!sqC0!)%X#h$o8%<L-)8T!g*|n$ELZ@-f&!wuJ$V){p$HoJm@-XQTX?n6R7y1*Y5{QYC<~{ z9v+S$z)+@uXOHGxF@cbJSo46%%Y^;8cZZ-_n6*_Q7(oXUfq~jUf`>xRHabZ85ayuF z^g~x8OFz%d4rgU%=2vfH#Y^ZL%;|?eKEM!Ia>*@))|AJvb(thaeSP^NzK}p4^W7xo z_hPqtu}*(ZM1#4TT*@u}>A`Fe&yiqgj2@7u%n zrlqgBHk@*RUa>=WD{IkzaTHA*pW@h2s2l{GV4qY4ywkPagZJsv0O=_C7d|~3F7}9W zPV~k1;#ZR>Pjl9p*HKkt@`@v1h`_Zqx=`TRQN&8RqHPr_Gp0}Q+g?dPwfx?0Ge=_K zQNP)+B+DE41%(*$RO`O5a3C1B%Us2azupQ46kmK{YerzrS>#`UdM$6L)RhPsZCzb) zItNS3^Q(?(W*|!W1-hG4(bp$T|0w-SjQHC-hn?43HXS0+j}XKv&y7#%%kgdJm2OKZ z8(d}Vc*KUJZI;9&6~DM*Ky><6ZUVOd7po#(q(4V;{H1|YnOL`x$yet|e1Ky?0^F*+ z_@1t=)0%Et&z8%FVq+J$$oxRRoH9PA#a(pm!=jMsc5dO{)+hST7bd{Er;X1h`=Q1; zb_)OQ)QczSR#1ja;(uq}6SDAd0?eRKy?p=$|JQ0WbKgr{`m7g;!j&6=Yym`*#0?dg z)hH9(4E<0=te*bN`ka*srt7&wB8))*L;;WFuGg=%zdx0k7Z~|X-oG`^V-%s>R=uXL z5|Ctu3Eu+ZQ)Y;A*=GN2iUJ|*kWC$U1VqT9c$RD>x92J8m!1moFXFz%MZ5a2?Ya77_Qsml&{2B{Q0ttAuyaw8}y!JW59b-loI zpXnjgeXttYWGdkrtIb-}5HmU>xpVV+fO>*v63~~(0gkPOz?5VSV zJut9UI+oOAHemdwIM3i}W3HZk&vY{9dz>G=W<3%Qg5vB|O=l)@f$0u?xiWuy8)bm5 zob1$ZVai4m*s<5v(J?N`VsQ28mq$pS#8e8_4&$bOk584t;Ud<3$}VJQU;0V)6Z9Tt zpaXeUm=8BHRtwgweY%-#YP=R*6Z9Et-Lt#ME0dTQRW$) zr{&>Gv4_uKB?p{grw4>=!DSd5p3iyH6lo?sHkBVx9X20+nB!-)zN0UUx7)0?a!V(_ z^mBEgpDN$CbNt-VnB33BubKxT)N&B~-JmkyOUqx}fmJW1yt=m~#D5hU8eGKa|KW=- zd>-Edbn~gdF9E|uVa!7{nAep*0P^glbs=k?2H<2}m;XcKeedOk)0KSC;gM*%-m{@= zrFt&c?T3!}xcy+$;t7kg|AEK7|6cL#QRu-A7}$INkixc7|H|halcFR!OuFCAV&yik z zFJ$WY4|nI9&ewGX5yaQD&rL3!``umiwI~1Mth&x*UQFO+St|#Iu1dr%S+OQO8*;i}5cWh|;Gq|Hk_JJ35^CPKP#xqKuv(`2|s4V8cDXd4blCb|l_n{}vUr zn>VXvc`0@2oPJ%xI6kpV))8*aOi%y4XaJj%Kr>)clErbo2WH~qqiWinn{KAK1Ja-7 zdbM+s=v38;>4Qvd2zu(2wz8Dcq^fP_^yk0cdba$duk6)_MkO5;1}xR`nod>vUcVFy{Nn~Sq^ z`g*f1w&(Rw(<#R>rOe{rTtJGuEo3)G4%YENXeB}*t=2R)%3z0S{5raqH-W_pO4Chu zAn`*diy$&GGMb>1hD2;xT|RF$=X^(Mw%kvRbT&>^UKG@8*5~N5$m~yIH!qs;v>z-3ixK%llv!wruYSct1ejPC`tnc>gCv~Hnkwc}7Av_77|HOHBc5c-*2B`mL=TSX?v&yR zro1)Jt;Mrc;5%>2MbdpTQjxs(KjbaL3BLX=*Rp?+G0aWn6UEouVKJ}LcIp`X^>kxz zE=m5$tNe|2L$SoRnZHf1B`_P(3e||{WYU?Ji~BiW6wSt_7n@@D zEbcL=Hd%Mzn%#_>nxx25EzzT~%Gmm+wyYF3q|dsntHEb_``EnB4*U2I*}$IZz4x=H zwM=>%E)~6Yv#2*T&uxU6CW!F5&P3Rluh+jfZ|VuoF{*&+4`gfv0qq6}W}_kCfCT1o zVEG+dg=-iHg6dz)6OWJY2jB6InZj+I<@t_d*{pR^*PtB41h@nFq?67$SD)aX>1CMO z+_CAawVkBkd*S3u@zUNZ7&c>?-^_;@1W@e^?vFx|4*^KxI_qGK;;v2{mAriJGh@SKo72|D$&6(Yq+ShDKyab|mtU?84$z%hIuk zO|y;Dc%@l;R%TY6f-%aXW)wCU_l9Zxfzk{PzWMwpPu`1b^(O25`k%Q6bH$F;C|^69 zW z``19Z)>R6GcIb7i4tA_A=^Rxt9G!A4SDO1Lnq=zY1AV?1NCp%yhEFbhEy6%oyj# zj|VXR1Iu=(1?zV~R4SL%L${giwK?GyD)lJudYuY5y2E$_+q-9?!dmnB=Qd1gu8{em z#!ptXgU%`2(hM|*d0|l{83S=@G~B!Kv(y$_uog1SenZ#=&?`C}P}%_%QK9@sDB;{@ z(VV|P=APAtNeaV@TDh_wOb4cCUwcbhxTCI2KIQkv^2Rd})6h2tKNL$Zg!^S>I-BUu z-Uq1~h4P6uVH~}3u--Bbvd|Ocwb;QQzz2$HN1@EXA8{V+6uCpvcZNV_JhQX}N%oHC z=I+&Ug1v)aVHou#!b8csNaAywhvz%{HfWZB!fc-H2#2mNFZ7g;;1sWOoD49o0lEVh zBtg>Lmj5&#W%zOA`Q+T(++aT8mQwrx$cSHOXN?roPR29hK7a$jV*>@JkE77g=Ys%H zQ}|1OOAdU0;2dC`yZ-|piv36Uh{j7(+=6${{=bHXt@WhbLJQNU$m##Cg%bS_R}WqX zFAn+4$;*M?fJcP;-}nvB|GVJ?;eW>{|ND3Rf8XQ;w&*+c{~i~51W~0OoUW4Z10KhR zE4!|+OpVL#C3*xI2wuE+0Uz0EG!R~wckn)+Q;&Y8>2={%zh^66zHt7M$Acy4D`Cy% z@S0P$ay9%R$iibEE3zhhLCk#veZcwTC&yLY*nY9!TR!ETL&-SZT&@JY(DLAr!^$hg%CX<%Lh+t1o(h@Fu6M!ctQK;tLhF#rtg;6lLOE|Y-ncf{Z z0swm!I8o~kA58ICjR){CQ3o)EN{v3XaJ~p*eDnLVpz<}t z3<41AWrockb#R|{NGE*~cer3+wX>oHY`~4p&CxBaMIC62bfQ$v3s0j~El118N2Gj% zwiiLav4Z*-f((!^)@kZ8@HvLPTBhH&OjmJW25=FWht}Yc3ZZN!CR!355F(&2XJsZa zNPP$g^g?S1%pOrd6TE+%zvC)A03!IEfoWl%w9Fzxa5zQ%E)brYJ&hySoRXTBDaKD* zJ2Jw!R5Z_tYE=%0_lLH%;cJ5F_FS&Zaw#S71{n5x>pBglTjG4+HB^y`oQuDUe;Bju zdQJFfH7HHEzC0!6`U!kW?>K_9S=e+b_WWANgKg z1-gu4zFt_`K)sKU03>``&(4>9tTz> zTX|V-t7ksJIn?_RQqF8svct~lERHl(0G*xR=SO5!MY-0r!hf^m`ohhaCzquuG% z9*@Hw$1%TaD}&4KlwP6;-;g7-@LpTcScE}rB})86_^l_-f<7&fR^Sb+>v-mdkHCu$ z$%}Isw4(&BJNkx>F&vFDbWX!O-En8sXLZS;oThy3X89Yw^4%uH(!y83=!{_;&%>!!5HIYqR)3Agy}W#N9`<>2?3b?wSlBKc z$8MMWz=>a-2zka!4Q)0|!`eXo>9|sPh7NllbiM)5Y1U2i4ZGUliH?WF9q3AXWEIV& z);94C53KE0zCA-@;aEi@C}IUSJnF0LaXw-Q3d&mU7NPOpC77}jBLOXLOE!Tm)6FOI9ma=?d|ea%iDZId)*jb;DB_wga4IAVpdibWH*>yC$2)~jA>CURD=<%ih0O_1$dZp_G)tj8>i zgICht0buu)CK~%HLTi7SIrhOhZ!mCsd&q?g`Du2%sd0P>wwGfD?P+rQ()ajyc;2D( zK-J;BuR6ydZM(NrkT`w>J%P}ABR9X*n0VbjB-Xxx-}_ix1fB`^*7ERj?~=d90TT?- z)c5;)1dpa@gMrP??3IA9DQD>-4M>3YR5nQ(=hQ3LGggtni1uFwYuE&_LG zWP2af8K)6=X{X@bYp@q%Ja1V71n391s1^#2(S_q5_)2G=7OefdX$4kx1#S{CxRQDJ2f1$~?o3=0@w>NNVl#dXhJCsnTDh9oBXzKPfKxkS z6Sp6|$^W^47%?vx#Ye3piJ@HzKwniYjm0|%L&SUop72*t%2C1F2)Ao|^4E1m z(f;0^WgVTvNZ`FC(DGNHuYP~IJPxgY+-2aA)dT=3!1ZumqYidr&*c-9F_nNlzT5%4 zvs_0jq{rt)ZAQ08eHdy%NCCV%5(eP~Ct6JTGh6>_x+aw{(S_6l;}m||ne*V$c0>X` zLUZe=o>v17&DNBqrm}|%?6e;qx5{>{;jkHxsyXP zg9cpU`0E4DKz7IFHY>f861R8V*1-i^qdVGvK-1OHp>r4wft-K?o+zca$nm;JT?S_8 zL)_79T&=~?ltt%fY@wUe}SSGU%!Me!t6X8(zM^d+$ zhdYTPd&~fGjD~sahJg+Vz2M`pVBn7XJjR`j;RKjU8!6e52djY9rj6muU4 zmgkL-NuDgu@;ICicnRK^0g^23ApZ%_*h|td1_D)M(J|B#)D}(+s?@8FC2e5uSO5k- z)IhVC3_o7uI&Mc3I(3`j?+XEsY2dh+3_$1#fDM~UW;W|he0-Tjb2m&prl+S*0x;c`dB{S8zoC)eHBBMLh#8of9pxp>mc{*sO7h0*n13o3( zMlL%CKs0s!57_Ge+A{nP!-oG$FXFirR)jYpAq{B3zyR&oDnHnxNe|M&jkp7Ia_Crf zAXK=E^winyVEoRDsZ;M@jMh=i097u|%*;p+62s@_K<9>XAi3=LcrP7b#m`>h;yA$m zb^^pnyL#MevwwOWrddqngx}f>M?mz1ctVMXn)wtL3*3inj`EFDRX|JveCP9^U0nr^ z*2;&MCAe|N2h%tRP!4(xk1ACyN-qIt6}}H%t6JMVa1w)0hfxoL0M7^JbFg7iZa*VE zoiRcN7lHZ8pV3>;EeAJDA_#Qsh^Hn0LT|DfXPORhFA-73^9TY(6pUE_V?#t89Qfd? z!P<>ZD;Q9Ls6`O^ZCqqC;$vKMe}ClMO$1g~*g80**`t1>`T0}5*TBJQC`^hKsQ_Lo zG6Md7RF^M9$%z^!;UG|tJ%);wIY=m>wjETLjKPvWO=i}20l`t+2lqp$3+o{Df-h5- zaHZz+CU3x~fp`j{v>`rf;*G7X)a;O5Za%&<@lK`SUsse2GcG`<&@wjUnQ%(KIS+N9 zJGkJ1k14F+dSb?X>y{@FkAAMgu-BM9DX8eK>@r_#iBg`*(-_!C@P2q%0;U?!?jo8n zs)SA81(u_4jKK^iRYV&KT;R^4$$c{X#Z_3^^twTr9Y3z+40zD(k)6W_?IUek4>)R1 z@y{|CU|bYG?PxFEXj z@Jo$ze4;*t-{VLKoRz^!W!xd0C-^q#_@IA++4i5E9e!vYI!j-IFv=nuc4BoMJYgZD zqNlF~hB2tCPa_5E&G%N%c&wb6TmI)Vv`+6jPBT0+vmA0@?>d6VP~jtTpoLZ&;C{R8 zGF*jba&0RsxlI7_6Xa7g-QCp}VJQ`DxdfzKc+`NiiLP%a%clVA49D<@v)+AeTl|RR zi3bSI!Q-gYLKF4TkOj{Y^yyaR znE*3^!InM5+>!O&&QjRX`Jt;zaTD|82p@*K2la6W1jtBc@zNhSbSq`srKHG8$}=~q zn5d82Gc#;^`};tI$4Ahh4u9@)D+NOVAVH6{NiZmu69Lf|$`#`SSc41|RM%!omoeZh zk2EwGz-cDA)?QEVQBx?x(TWfHl%c++Mk*{0YAr^flmz*34RDV2kcx6JR8PcUUT)Sb zZv)Ij1J=k;WFVd|OXm~|Ccmm$HgI4NL>*$LrlsZc0FRRq%pEW>e+AVnKTf#n++q_*(Lu(+d*AFG)Tr9{ilJy6M@S?dG1il8ly2OI~S zcmao|!ezLG{xzL;!`V7#AkK6Sz>fxR%3L(4qu*3A!V~PIRRy1BV9VWtF-!bzdh#({ z-XK&CF4?M=GwTS?bxN**xA`WmVm9-UpcTjlWvu~E~YxUY#D>cUq{FN-6w?= zQ`?zR`JR;Y zXbAx5Rj0!>pU=Q(Ksy%1Gsty<8ReUy{WokBI5-26eJJJzgrYc^jHqWUZjHXzyAv@H z*JldobXd9E1C^QLgL}uT71=5o{rKj0BGfTn=DB^k9cLKLv}v3)@|RtgliUry#m()r zZ_sVNn!A^V-=S@$ys*9QX7O#hyxWap?jrqFo-M~kF`df!-r=hu1Qh;{%1rW9rkhUN zEp!ccmG{is-LBmMZa`FzdY3ZrRMw|P#PIjT#uLl9hiN>w)N6&gZ^Rr#FQ)g*_q%;u z{z)Cl8})R4zNWf2sMcLGE(Jb!TOn)ozJ^Ig%KMx_b8x^5ap#VZ$=Na_SI+2OFAFL< za$-n)_yNDDKj<-DOq|>(rq8tf%-m3d`j5cd%ip%r_SQWfc9tcJnGNJdo?qI{X{DE) zW}T*(_P5fAU)djM@O*Ej1s8sGV!-`Aeo@ElJK&mn14X7e>PPLS$(@MbZqE)2d;6-( ztrl0fH)8@^GK-GmmdobuQ|@P4#l6_3&T}IQ=n^YWb9=}EYb2=A8)Lpu}AG4hbq5#{QZPn)gL>O}D{*+4@|t0`a%b$~sw0m?zMKIbHOcj$y2Q<-s?kr=YcBLb z67xRZ=WKO`)7fh} zTgz>wWz`I&nqY{%5P0?I;guE)nmd-N&)!S!|3zxpdM`#cf@naMjXvlMn#M}TV`r=jf9LbGB>PK5;_uNY7#=`Q7Z94geTw>m@MG}0`5}JE>%Gt2 zkopREDq3O|jOF8&{1gFYmmh8ZQ@U}fsiEE%_QQ8)%JDr-T#28Wk|w=bj#`bSC`>Gi z>b%e<4;!-;%#-x#GKMwNkN9cvTUXAnyQETiOn(!-ogX20CVz0zzQsjj(_u?M?i9RC z7zn^*At3^+G$F+$Gyn{-zIQ#YMaSM=={yct&wyk#$BUBiG{8)Lnz+Q?tW@Vd3?bcLjTb6dI0RRG#6@EwG*9f#*Ua`R>87sF=nrAOsiU5zfsn7A z&E^{nJS#^bdI3sKg-#b33&Y9axM5&heM>Nt34G6@^=FVzGXma*7lc8(c7?*fUNCX= z-{!Z+f`!lU_M&06<}a0ub|t}CFne6cj*xkLo?cYP0!)^zEgnlLUMp(S2Pe$0dG!LB zgo)l@NAYFabfDk@fEC9i2x>;Qz-`K~jYItdSn-Ay7L?=HX-OK6c01yZ6fy^YY2k5} zZpb46g|Mh0$&8tq85|KjYqW#!f7rhj%o}YXlc)K;M2)jyADnfh?|If1VDj@5z#F61 z8K4YQ1q~J%I0%a8K?Z(LOvC#(9Mqxk0_3*V;0++gc|0lv=b~a{gc0O&x*Ge<4rOoA z6)Zc879K>1caTkz z@4v?YrV3C?*Aj7+csi7=$D9Co_+Uh_#hlhfSU${UFb|t8eNl`9z_T2O)3`W*hU~gQ z(b<3GL!R6BF+&wIcEU#u-v^2S)aVa{=rt4^4F4U+@(^$E6~OEq8UiSi1Z>@e)RT{O zULVr8J`bl_W&Jp?XkRsJOPHSeobB(oOex4R_I28~;er_vLiW07NZ0xt0n1pO38^He zMIfrS(_R35s+XwfTY@$Bxq>Nc0k6xjZRCR(2-m)Izzg1EJ%6@h*7n0&7WyFRavE+Z(?oNxs@WQS7@QIdn=sFr~XqhHiaxaRKQt>j$wj z6m+a`lcAyOcYIf+1-=!M`=-1!Fs7@~WT2+rdwYYC)^-bW8OFEUwms0L6<26%2$Ni26l7HL|dDgnNiWwmc-{OV))WkUH$Xv`pu14z#zwaTVrr zVYb7^U^!-$(Afn%6ckfODyD_E1r7jYPWzsM1Xwlc=Z;x|<1{2>ZCAMV@WMv_-OT!O z4(rNa`}A1+D=N*0`f1tWjvH=Ct{VIR!e z0?7V=<1NA9Mvkn&HsOmXqy@mkHjW|xCD>PU-cMXNi0~AyW%1zHNVYONfDP7H=|Qpv zX!sv=fMC=BT1|+8LfbhFoDTscj-}7H-@^1E*4T2phNO%y^@|)*_7p zCcxGDc&|Jyff^{H2OLpN|1MWdbNoI-3gmF?@DXg+Mr-3T07Z46&_q1Z=Ah25=d}-) z$8LkR@31}AtYEope}xk2cI*2s`0-Zn(MSM=k#rd6=~hcoXvL+m{aEZ>g)?~gLOsaH z#%u>_sr$VawP6960Br$um(*b{@JpF}2XI{Q0D#GY0%Gp>+1c4seYO{*Iz&C* z5(U`&De$Zvg;GQ}ac%#Q`b(ZNJ3RhBi}nqp2DyEfplC~fT}&bR(5T?~=+#K@Bjn~z zoQ&vOvsNGCJZEMx zwhf6}9nsI+7ke*MKEA&DTS$bOIknP!y>Xha3u36N54o22Qw@x$d801>@eimifWLXB@92t8pOm2g?#pP(fd*2*)7O8I41yZtIHef`z|`~_bl)JBhsCyr-3K6SSpGW5X4k zB^U>SA@1ZE#uARJAx1;)Kh~aoO2ju@aDgFXOiYa9R0J%_7(PDQMzLWOhC@72{1GKz zz^HA5tF>37mkU1pcF;Tw5mi;C>F@8a7N`@xZ#DLAB^+R_rt5R7j%fSMNF5;Mvc4;{ zwp$`(08eSYCyR0-qG$dQ@Pv1E3dBtnr?0ez+d#2X4VC3YBP<99@XSgKHgHixZbjEe zAP89aDi_&a|H`3yb=vIZOG;DTsKy3}@?b|L9fw*>f_MUbf`jner}GPMC0UrpUBO`m z9T`QglZC&XwNX<%>k7xJvGE)fkd2kFW82t}OhL76tzt&vXDMGA{%AFoZKn1Ik2Y!c8eqDsA zSycQbbaDx}HHpjiQmE60^Tt;4!Dy0EJl`XsIH3XHGmAkHG`i}j`;d#AkZf{fD!N`5 zozJPc?@l7rLq(O9m8Xft6yb)k-Gs`L`a5ciuEtpf+3NB9`tFp|8<4C%mVfA5e7n8r z?OVB*)U9)FY%C(&k6RV1+Kuy=f+c;uzzq&iq6y*DZ<+bt&owtA4pMHA5as!AGtv?n zvNcC>t9yRWo8xLL9iY+Ox z(XNEa{05FqX{UQkY%GAEp)J79gE|}kI4UNli@Xxba&e|BKI~diEdO$`i}1x%I2q{} zO=+0NU1g!f;qf(&Pn$VEWoD&DA$ApMwJtt8e>EM}LK|HtU${12s^7s8mZz zC&>dZ!jhjBotPm!(b6JN5m)~#gi!@*cd>xQkRm*Z&qJX%5@;z==R&KTzcN=tK4(_u zJxTO6vk{ExcX}-TF_O7y^XU&Y=)kvR)$4ru5Ua>FhpZld5RgPfL^uho+mh$y6iAG5IwNeLzI+$G>S#?O9k#Il|>j1dkoL_jBy(7fXKHL~h>KHzbUfIEzBh_v61^8*?N&)N(H28^&^+K~+# zEv!VJD@?rWnU9euQoa!iscxbOSfG$W+qMgkf1|Vs{NjBRfr*9!a&NedwCAJ)I zSsY)zFbnp4O!j*PuF25@DY0fMxRKtT~Nz$qLa0xvZ;&t=zAuwpTX(Pd^JT)W-hp0(kqi0u_ zHwxxl{#f&3Vo~P9Z;%KdM#Dm+ivY8u&i{ETX4Es35j=x?PmQErM7DoP=1IE#Uw>3P z)&3Ep%9=UVQ+)+b^)&$CGuTgkt7R!hrkHRxKAgeDK`Te*jfC@8C+&-~P5bT;8~=P9 zb(v$+QD{s{@08r(k=Sm(vH2H58l{@E_oolp#L1ph-G8|r{wmqO2R1o4891B3DuJ&) zXGI(CDP383$IXc!pdr(_#(oeZ;4P{n19M@t#~&Jedxn(L*K*_@gMjrZATGgczZgz! zGuY?;$9J}mmB$rY_=HdZOaRVT*(HMnE(aZ9ARHfJT=T{%0~VD>(ukmyYPK@@Gj7D| za9vO{Q1p2Wm$7cHQreSYj;}uenqo0N9UbQ>qgQKJsCI`Q=-N^YDa&v&Ca51*b!}Y` zYbdM`$Q0Y)diTy$Q&W_&9PIlbdBOnTvxC;}53{&{Cd1^z8t-ypL}aA%;3-qiFzME_ zP;G{~K8wG-4Q@nG`LjkcfH$Un@ua|}^Xn)q1R6lO0Dk#&q^a;BI0*#&fLZu;hHQLp ztQTdDr0}m%fB7W=kQbmk=4=7P0%h^_Zp&x~yq9(_((*|QZShNinE zyU$e2T0*Wvcc)`|{fT(+Plm5Xr>IT(^mC0|z)lAKyqb)qln^pRX;T{v$00Ia7_e3<~?Hl`FjI@O6AfB=) zO6jq`ys|WKT!?|59aT8Ex~larTNi>UOy=qkxSjPK1LDlCb}kiA009~p<$)hZBk>%g z_^*}y8}eX!QeUtp{O)7gbsw3~v3qH=r-+_Fr2!G}=I)fohJ?qdum*2*22G}TT+3JH zg|;IFyHim(Jnd)D0dNEj8Zdz;VL8`%{Z-aS;dLRrsr6KZm@bIT2V8Zf3x(o?Z!SSO z1)xd^{CJ4x8G1(q*a#N7(TQzr&CvpHp=ZKF&@~?Lt)N^Shn9@nvzFgki2i{yY^?&{ zRKkfv=(M7KG{9e>otH}e;~gyr3_8aK)uA`Q{+^F3^?+>zSVf9JlZS{>wJI{-At$WI!R4WP0Uxo1;ErQRE6mfc zP=LA3_d-!S;)TS|d#%quetd4LSU;i_Pp$Qs?VbmCh^+|_KMW0^2ygk7MvdS2;3YP5 zDtT3ag=);9bACqv`{1!XGy704ewXq!Q}qneVW=8lv=OH6OdwjN*#}rxcls;lGgzny z(IEIFuuJTHH)xX~bh5n!KvX&3G=i-d5R?Y9I4B&Lf?I_fCas}{Ora_PR1b7-L+JE4 zSkf>(+uyJ4!nZ(f@A6#UUpF52-o3;m$l4ob90!JIpf!vD(*+~BB|OD&=L-8MSk*53 zlSPG{!s^baPm*Rd-h`KJ4iuOKiU%{h?kmMG`1?ghK9Kw_WvO^06vXN`mT3vSc_=1B zZ@vUL0QR3rb-OYJhhunObB3us+;Qij4t2j`fYa02+4*I{#+tK3K(u~(o!?<8`tiBG zzCJ(5XHWRzANr0P@#^4R8yLg@j=S9xl)M*mBldvx3sp!Evn+(u#>?%$_6_b(c3P@wg1$E0QxG=_dmRk)E;4(asv zz;%}Di9Ap*?;(cKPoZqBF%a2*g%Cd+h2+R+Ub?A-G87O`U|LY1Jjw6fn?Pn`j%GrB zSquxq9UbY-*7^J%O`>Y{eR{YAq$hIZwFS8I(0ii9rIa7BxQNj1LEU2ko^j1nn+J}Ud z!XHRg;2-4AS^MSs-A8}tH$SZQ4G))VrUpypOWpUkf&T|hn$axDyLlV6*>D&DP;1fo zsXA#uNOWQCi0kC}={>PBTGdtj`snK%7k3roC{o6 zchq4#}wm?MBK8KAa3jm&zLj}h;Gkq`d z>|i;JO;>>5s7i~PUjbb6*>xBAcxVp-`^q2+6X9n^u9e?>ig!rN$zms;7!Q~r_phJ6 zKX(E5l_I}_$jiQ07ybHIj*py7d{ua0!D$8Dm+BAov97`lkcW75Y79;Bif-#3h6TxOUc~-BaV^g<-=H zIQ8{)Q{hx5e*|es;P{=r@bShC6Bjn}_S%PZ4mmM^s@{GRQC_#r_(n%x|M8a@W%jGV z_wv14UhJ>ro!bD2GVAzVMTESkmx&_YHf&m^)_%&G|s20LgCS;^m(L?*X}jb}gp|?LvoL%84J3IxLw5eS$CNxV+Hfo+9Ig!eHOy7S)%#50p$ozi?3p{3`+# zuXbamS|OPz^Pk!SoA~UOMW_!xT4AsOaE#z*z#m}^Q^O6aW48GyfKdaP&uPFq%+R`rJb?5*s%-dJeG624C~J`dFkssFzE94NmqakFx~vkWG=4Q@|9)EL;9 zrBVfqfVBHcb*HbOP03|9165#s@;8QYzWyMe!XmI~EFcy-0rXkImqgMRQwK!gvcQ|s z{&lh;3^4b9uad}CtOMHw{<)9EHtjx2P@a45|3rvB%u2scNzns5#86;S$N~Vf;oWA3 zm9LnR)1p;I&P{iF7(?nM^WKApF=T0De1Ncvsb=7Y!h=^Xv`#v87feU#Vesi^8wa=t zSNXvmdf+`?Zh#a+`0tGyt)KYBq3>UnGS@;z1P%){^~o;)!5?tnUqq&)8n-q!47cT4 zlz@g4tfQa%3f?GNdwZ$s;~|&j8pW5dS@*h*4)<39a7VfRIIX>u@X%mUna8!GP!v^& zcsulev;-&J!M{h0O=q27AdQoM*N&lzUAOLEv|s%Kq0zb0rZ9S{ZGG33S_sVY38k-k z|1YZEJDkh@{~LeXE0Us;QC1~nW>az@6_HVrkz_T@vPlskQpn0mnOUiny|PDEB$Aa; z$O_5*IQ!n;mP=_rk}O_Aw76B3~vPDR3ZFa45lo)?L3GE#cR z9wR-jv!JMVSZ3H^(HYAJ9z0^S>5}4L0(hZ8xq;E3w!J;vHJ65(TJ*?~BZt}TE>Cgo z+0$v83~W;9;yb+*17Trd4BRZ12k~b%q+H5J)Hc)``xfpMf1TN{7Tgu@lbWZ!Yn%Sb zp515Z57=@S4Ar-1KX}n-aa3*DUxutpL1*w@o28O)EvRoejpCwhpZRt?Hp+Qk+0pHR z7XaUt4TE`qaQ;|km9?9;c39V4eudsk`SnJmy{nO@?$J_`6Y|AhV@+co5F224F}ilX zNR^{Jb=(@NXFS#?pQXZm1r7zVW2dQfoS^MWSCtU;C!`Dv?fP(lt%lH^GOwa51S=l-XzgsiU`Uw@t{YHH}il>fec70r4n z{T_UCXbjvJ-Sp2X;!B;niJzQO{O7`|sG_2<&MIWm=KsUL1wWZsaC5Wbq3_a-KUJ&S zR2i|G)AzenrU|a2(SZ>sSDy#+4^P|bQ#^m{&8PYDG za-jGFU`MPxSf>nnh--T7+Ic878K)+9S#=(vA=rr#xBiyr-k!=3vVGd z&?4NRBmPVLj!*)e+{K<>E6D!fmu4voR9-Jst|s6_Xoa-Ce!=SW*p{1P;^^MJ(?1px zHx*`X$|Hhdu@9-Pb0A09l>ZEGC6ioy0+lWe4%@l_T55v>;QDZ<7yJZ5MAR{2jyvJe zk9dAPe-gWuKG3#T!EheLFevOi6iJV|)o1$ys?E;{u}ymPc$P2qTu7sqZ#y`+GWjC0 zuFZQ{qR#_ORLB^h_xJlAcDnfOGn=IHO8>ej+mJ%NsMxctVIQO-c0{F$bB#}}?A*>i zitR(im7=*aP49^tniK>hKh{@2>7+BOEU~n(5gvD$=R~v!4b!HY#*~WXC*OcywN~4y z+wQpMznk!VYWGrw|ALW`NT7mdOVR$t^ZqKG!$~R=Czh+cd3HS6*r?|X1N7Xuj{{o6 zEimTxba(sXdBr1iyHPCce&~HJr9T`+IlOA%|w* zZHWEcMF-dcArW}vnEiccv)My3N4EGrpUdCCX%qXXadyRy>258PN!6JXe@AY<=jZp& zQ>i)x<|BMp{s#V2BK#?P0-68E*kk0gqWa8*ty!rDzI+jO^AUJ-qCYjN3c?8XkR#De z4YSCgm zC$JK44KTt(b-JjUjo?3Nb|fyXX9?6H;YJy`cEe+jFSXle8l^e143`Z;#*Wsuh$t#a zjz6|R$6v>+!^Bz{D`l7Gwm`@}*pF5_AnRlF3$ZerZm#u`=P09x6QW*#h#|m5p&{#C zzBHbcCAU3j&9}I~WJ+OlqGanrwrs$urD0ECt`VE98~2#qq!N)^ZtWCNRrt7sM(}oa z|8*9zOqVKT`T}U32_5*13>xD-L8_?Yuxv})*R(;LnRwpe1TQds-Z@FtwqGi@^vr>Z z>7_<54yF$Z*B@C+3R2I$P~Na1sKnnhR?5LA50~yZ%Dd~8=c?nuhTe`2GN4!!PD+W< z5gxf^YniWbiF}0{h|gCorhw4(gVsy2Ks^)(=n`@Vt2Md_bf{EVThCI|u-Buo+oFgbv@rQk!P#68jIzF5=GZGS*wW7c9JhaAI zO~7en<92cU2@`ex|M+)0%5cDd5KR%uw0(THL={u>Zgz;XG3|O=sRmk9<)7WHp{}m( zu(oL9&=2NGc>lwDBP#TJS)ula_W3~ZM^eqm>3z|D_ti*wd(V~%W)43auUOawXy`|d zwaGG{R!W(7&wE?rEFIULI)mNu=ih&_4&O7q1>3$D>Ac0$jiLU2!7U4!DR0853+ z)KSkAP)vdoic zPiCJR&O@%^-yW*Lq-IiFH@&o`uwz@O^D=9~ceNC0`UGRu?Bi)rjn^{E3^_z8vM86mcbgy9|f6W<2apQZK-EDab=1g&l2 ziLj`#&YqvqZL5VZ2M+Q{J*;6kx}5H3>f8j7lXH5x6L`#bazr~OOuQoPwWu#0v@Oz9 z#`;)&^@ttoO$_C=6>!E8fFw+?hdoxtTjGd*Dw_)c{xEUutpc)W|HY+u7`C(&$^#G? z&6>f>sp1Ub1VO#wgfwq}@`R91Q#yzt4;w5?JM|iZM}AHiPI|6e%YahryO-{9k+6DGNDS|B(ArT^)zL#nF^1VQ$Amx4mXhO~2P zPxV}=x{2@yzd4ut`7eK~3?++RA|3rTpnsqgBdD3O;JV~&lNC8*SQ@l3vqu)%x&vdk zIi5b8mmk7xmrSv+@H4b|w8Wq#!?3jJXzJ#9k&s?l4yKkYqFD)=uO-#<TsykF37o3csP_!o*$TDnxbqZZAe@)hoF+yD3SX_JEe6v684 z`VPg$2)gM`dShz}kWaY3uAV=6bSBZgRAjCRCl>@#C%pIfuAmaFLU*^=H!r7R4q(=p zrwo*h5)MPJ-1+GzCld{l`V0zVSOq?9`m2x;^s^Ao6!MdL+qT%!pS*A(uI}mSzZ7Z@ zeAT)R#kFWIR{ywZXMfBf9DrDG%8uBSCh&pgZ$9&H)n`q4-)eZW@7%kEqE{Wd9HL8;*9Jk`P1goq2la*xRKFLpzk}v@Zk-qxxG^u#!GR^l< zPF6Muy&MII=|8Uo@tMDc#4GL+qiB^En2Gyyt^W1HDUe zpArlH-?MF_n{nF~gSG$3kl}~JlN`mcaF4mlMf%2I2ytN>WHkgR;%9--NbK*xb!mt* zb~*BugFt4#YV04|`TI!Juu=U1!`WlUP5jdepT7|tScHJNV!GIdeKvPInS7xscm9P@ zYP9V4%-c^-33Pn?m{Qr(<>_j2GWDp`U}!)|{uP;p_}kPa=lBmEif&@(uB@q{#88GT zpIzoSG;ITP_4r>w?E6=KcoH3I1W(>^=^&R>d5p4tdQ!g+rrArUvQ%9K|6K6W{>y1S z>X;esY0>Cw)S5#)TEE=E%0b0ezTL#DOfpO*nS>#Sp0Vjkx>rkalFBKYtGekS4xD1@ zk2ka_3|qVq5Q7;i_x#f^3tOD=2X;tS2QZ(fZ+O?0|LsreM;q}NAZ(O8Z!gu-LzzJ#w2DhAZrD_lJQ%&QT8zN-C7^K`=p2oLxCYMT$&|0qQWq;?y<|6O@=JZG3-ejoG=7*18ukD;$C zO|T1WlyCoZ0ILa-RC(HQ2X=@G$!4bxkaox5P?C9FA)m z`$w()v%yJ*xJB)>u<1P=cf=ec#Wz)C+reyQwAPFfyKHk~mVwU=;$TU^sc@>BwH_sVn7_XgO^m2+qXuWAw0<0D2JsTh36LuHG9g^5#+GD$}_2j(r10};;mpldP*Z@UH=zXdpSpeWma6~jIzWLm}n zT!o@tW8~fB^SHa|xF3P-pLnW3ikER9Pfbnz4M#Rz(l%$jXAw7W&cXohkCq#|cowLL zHH<-0=UFzfg?hks#0=mLrkCx$b;V$gRkgy_u*mJ$ljL9~YQ^@1_kzwsYX-B^+2rJ_&5AF;q(Hs!gWcUdRA{EI zyQr13M8u8ev(oG}lHa@S^mS5;rkuLk($5L22^O9CDgXIWc5)`mb24`mAKVF; zGhQh;@yeRvD~SqY3;R&ipUD?Gayzp}7}fm26}E<4iMVy2{czTviapIR%7s+zU|tT%XPmv@_-~ zH{2G2k?qix*N=g75w zKFZ4oK;R~rSquWhxOgc@ggdVS9EyIBnwtU_hPR-{D3os~eV$)a>Q60_6+RaN%@kBQ z-vXbfr!#i1HrMBV{-IW66e+8ZBkGDra4n>|xv~!6{a&|XMGW|Argb>WYfcuQl2Zg! zo5c^LI`m(p8~Jcq&y0=k8kWM+jgf-mRb5e|6Dr#p{&wPl29dz@8u%on!VXE`_CQs0 zKr|S=A^orWDtKFGPtWrNMvwtm*kzo?Lqbl#ws^gGjIB=_#wiG=UJtE$DxJ$Q=v%^DF-B~~nBc`jXXDQo{nn6ZFsja*r`9G3> z6W3wF+Oj(us^iZ$$}es?=NOpYIx;wDf&iBjKDl5paInYz#VCv(hl8!L2yOAHS!h!} zJpD2?l>&Iz;0*wJu&Q983a5?_Q@CX;vJ)e^>IeFJ)w(+V3*|;RmwI1b{Ee6hZW80` zb>L`TH;Rm4j2`H{+6IxLaUm^K2b$TBAaX z-L4IT;rozU2M=V`am^k-ZkPOe;a1TzrsU6Rv~P`AwEfTMXq+RLJaUy)?o|DA!Mb^Z z#lBKfV2W+)`CE8UPH!8Xu>IVnB+W7}YFo%$nK*U#OsbmT=aP>3l;tLsb~icq-zWt- z&r1g?_tK~Nc4Lk7n*3AyJ|CyeVvpI^S(Yy>XwKUk*yuOySge|i;g~@@@G#$9-G~PL zYYe>hY9CdlQmP81^k@lf^efr4^phtnj~||7yst0muxS>f3ZJ7GBNcUTp35 zW)Fw=STE+lxC|O@Gy$k_2e#vFkI^G%6Uo_pWkEsR&XBvIQ+d|9!b31f?}px5s192|TZX=gF?t29AtLZP6lw)H?k&OMcV(03?o zEOxRN?8VZ-ooAZ96$_V`f^6%pLAU*cM4xW>0$?Gca9m;Sdn$>2%LEbPXpb*(r13uaW-o)0>Xq)plBix7@1cQVoM)w_#H2)-H2jA^0cEnIz={E5 zghKk{-n%QFlWEZ;NsccxTe)_W)=n(IJjjc@n9#*wy;wen{}q${?;;9`**{%b`LQuX zIQW0L{-G!5g2U#>ms!Q16Ja;u>4%|KlIICV=lN6G5MP5u z!+A&kA0*env%j5KbX71?{c@#{u7lm5|4B%B*5Eajetq-v%zu5v#8eXWcNNp~zu483 zzl|+x@P=6WyZ-39GR4F^h3Ne3xOsWo&uoqNtVWOfXJ>uH$=9)4YVD=kawzj4##Egx zQo7n7rM+Lkt*Y>$dGc)H@vV}xZA0CEM_0O)u*oj)EN0>cl>Y0L+%{>c^`aBXyvLpm z3;(sR#se7@-k)GiBQ>j(*oGC$me1wSo?KWw*ss1$OS9y9xZR1TjV9M1cVEzMQFI&} z*fBnq7ooAu+I2N1tKOS5uHopbMwi+v=x|GExbV}B5EaqHO;48KTFELk9?9R{*$F>j z7xYSOrjmU1r<#&vc=uC!a9-r#v zQSG~wPx~yoO5)zO3$5xeO;sXx-ajKQIAJd%=_vjDQ~Ci(-n!iX)dC!htl9EpL@Akh z>G<6pz942gokRFiV9*P0egpZfGgzYS_+NyFwoWh4bW4_xRgm=5-s}ynUB$iA-OH8u z2H@C(JVfI{-G>kSADNmf8@rtal+FsTW;_oohEvp7t+_eV_P-Io4@? zE7ndl)_I6`hsgFl))VA^sQ=SaH8}fx;gw2SIZ@OWRI)!L#}OWW&hu7O`)FAm`=p>b z=X%J22av?wtKL`KJGuzUcVcX8uQXG;j1z=^IIu>`IJlL<7NQow93r+1V%1@!-H=Fs z=D9b;u49jwY$ANph_^AM`lci)>3pnS=zi>;mk$=eCc)p>>YAD|^GUQy)ob8wx<_>N$;jD755f?)=PtdKK}-&!POmyPOHIteszanwtSwDT zrCQ=qVR8`M5R4}@mlCd_)cYA7BSla9bCyM=Z+SMAY$(JAE3#zNOl^qMl0>AUN50(m zx)PTq54|KdzgK5N@|?QUFMFInbiqGoUTNZv$6*1%j%fNH(&JrOZr^O*v+-D-o&LDJ z>U#5G!_OK5S0jbgSc?W<xHR!I7!MIoUo;e+g=cBNj+nrN>%4{?^vQ+icu82J# zAYk+h1dZTbpS@m&GEG!Mg7>}_TCI5=hTkVY{d}O8jXjo#z2b8JzK;;{sd&D!=@dW% zis_ayqO~9_%#K?4tnOPij8YG{6b04(z!zi>DLSEJBKdOv`AYM4eV>Tee>Q2UC$$_lrZ8mqA_5~1}sNcdpuPxsVDB$@%!kx}TiZk^K_1s%KV zI9$y{q~jaqI(@np8b{`>sl9UyVC3jc8fe~W==3yn6@2W)_%n$4;AnJy0uL4q)d1)T0!iZh$`UE z2tYWIPY`nGJdce$YJ)6Jmx{vrXm^ZBdXJ)E7Ne+?R*M5S7nd5K=BZr-(~HmQ?)O{> zVPTiX*0iO`e|ZRhI@6h_)j*L8UZ4~=s4)14DWri%-{AW~|9UpU9f@nl1CRU>#>L=M z|9~9?MgYF-7#a#gz(_Fr?V##m-=;B^G;>I~t*>3{-oZeM{qPpq9$aBf0ge#45~$OW zeoVjS2lN$lZ2uN?d?g=Gp~)1p3K7jzJ6wL_>Y=TkOR{zKC1Sr`y4$#!jx2P)&S*3G z&dIjD+f{#|`(TJMd&97v#W~?3YDuO?SlkZ2yqXpJ*|ltW7v0!z=_R*1j_Yc9-EC}* z^9BiYSK}U*oMs)5&uA`C`z9WB;OsW?tGQxRFAF9`F4rSQwdH$J-&LbxuBa3;cDqUB z7{cpw;1S43tO2`B+)RriNI_%Z_C3{J>~tcNl}-;lJ1lED+K<%@hOaf>hx`@~UW*+L z<*z+}`R+IrF9(vbWMhA6rrKR<>umg=`o9_tQU;&j}P&%XzDb({% zF1Kz4&x_%r0f^V&Zsj9x)N{Gf>zes1%q>V#qL6W!dYs3D`(dQtE;q82NUg$lzr6|_ zV|AMH6R$0e4*?uRxb;PP@dqx4pb~M4K4mrcS|pU8x9h{RLgR5 ztoq`gh}Dm_ux|^5J2}TJ`03Sl$liiv0xMiy*zpLZsgt+|p8Q_D8j-r2b6n6t*y+pe z7=UH{CiPSM`1&r7ZHa7tSg*RHi!gof%@d4Fj&H&$`^XjPX{GEE_M*?m{*z;*fWF8e2p9Knp? z_!65X=1;Nq;94H+_}`!6Ya}9hzuX!My>O#czia_~2sxtoHt9&19NSVxAK5y`Kl9*7 zM9?1&iOH=0F-z_mlQ}{(|@Y498T*?&3)yCR=z*heM#)bboYl@KDsI?Czv4pCDoWre%;3S>gUaFhU zIAWm)p_5o7=*y#b%F*uuWqS~xi?cJYkA-=rb_Ccd6<3SGK{e^_KUa!+#Q$sY zw{Uw_dsk@McE=Z7yJz3PpYq181bDq8 zEi6X;xK4|&vnPMIrR+m^jj>4Z8&2OkrWt3sv4pOP-RIl76Bj>at@iGa`q(&|soY&3 zJbxqm(O)BG52^btN3uF@SlqogVtzSG`nA91N7vBC*9^^R*V9cLS%t-AWC8)zYhE6Y zI4Odxz^_!t-tDFmjX8xD39w7O=iCvUt(sUrh}xvuU}DP+Qhjw`o83W*@U@BDNS{^> z+Ru_G>dEcR+Q$#bpeGv3Bac=H4;J-4X;}Y7WZYZJTp$b9;x{j{T@1@!&E4AYRTz zvoY}^lH355J=&{@aTMA$6c6&PaR%4o1`!IWhoY~B5VPvkAy~!>^a9@N9VT8&`lQf! zEvf&4J&y23L)wjZl)!d3A2*HhU8+qN?3H%g4;~<@v5Vj~LRd*%ZkI5P)G+ZD8rx<6 zu9O=)N@0VJTFQtLW_Ljd!ln9RZl;dcv3HmaAQ)Z8@+TAZ9rj0Z=ez8RVyTm_U%M8$ zQEZe0^$xTjEqCg&)`Q-0=bLsp-Oc_I^6b~(l2%MnHw|r&^i1nFIl>~uSvCW^gOoOLy*b| z`sHmgwL66FqreRbh}2190a(=|qBo(@ICHA0-vV?rktw zI~{Xd25O%*rs=P;0pMqh3rHJgixg3C4Ip8u4wwD@M#b4$5$^{kEv1r6|J?os$(UK{ zW`}+DTrh67oEOJT*jRn3zWS>ipyd)WMecQKz$F*ZY`=9!D+tw1(B6e^3 zgFD+r@sNj%MtCEX!|%h$!{SQMZ&+WauT%#xo-6nMmp@9f&IT_jU}uktF*p^GGZiI! z>Oj5`1*9#3`4cza`_K9%ig72b=&z_G<=+5O2bivf_BHPMAYmNv0V0VgyBt%3z46wSJ(rVGDIY8w+M3Uy6e-Kf9xL3 z>`tb8QYM^5l6y{$Y%^e#To#Qo7?JOU_ms+)I`~B)t9A3)=%zG>{5j(ZW2|1#5;(R3 z9D&>j!Ivk7um!dRD!9(=UH~Em&^yH6C|kW^?9#1|`;aa0Z*`#1fZY!#gFRp;R3z9oJ&Z<*0P_TJTzo&hM+^ z%vnRJy4A;{8y~ob3flUl&rH_c620DT%NPH{p!D~ji!J-Dw0Ke@v1?l}SYEp#MZZOo zi6K&_>q+M|N$b$n5r&Hh1C%o8R|`zU zSi5!I<>t*QBqyC)US4jEa{_1H|CWN;lEr#gR{W5l$Mp<7mfEoF;+gHFh+CepJh@Hu zvb0`%fY^zi`p+PEV1$6r?B)FNC#M8#cpDN4+f&juVdI&;kSO7c?{;8BdmMs`2w)Yk zhK5o;Y0C9jCi=*IJ(tDJA&w0cFg;mc_i}t7s>$-&AoMu9Z@v64;z%i%N}_+w)gx`Z zZ*=Ws%DJfgk+jn&ovMwCr)zn4*oQmFJ2?>IUi#Og`;HH$^B#9IN$;TMq!! zA6UrFk8lrha5m;f9Me+BRsKcfrURmO=At-KW8!ygc z`9|jSz%24r4j1^Y}mIOxXJ9wu6{W~VYrz>IATJQRM!yED|F@^J~CN4Gm|49HlzCbE7PxOf> zBcPm&#J%xd{a=`#Da3bUCGJSWZo7~}WVRz}1B+GFKGpry>p?ox1hl`rOl}?iX1-J= zVAazk@B?9A0Y0go)plHXKgja~TMIx;SmBbD45)q^LK8fT|$XQ72T0v0JtNt?o`!lat+!%s7+fZ#KYiEH`wu-8QZ(kSBHf-HH6f z`ca1F?uYyrULc+R!^mwU#%MU~We(?1BpI0`iCG@IwmG||>~hdUOOxZ)JnO91uA%2|aayYigQhf437tD(f>0)@z>Kzu z&XReg))-{5MjJZ@HE%0AHGD~h&G-SrlY^hd<%ONs3bMGSdik>W?uG{WL{auOZ{6ap zkVL3PcZu8n)7n4}A4Gx|2T&BFRN<5Kxe++FH26{+G{YtQysG-!r(Du>Cs!JW1cdbc z=iVEO29kNsyd!S@R^Vnt3C_ zb~-eV8)WI9mOj%)Quzbp%;Is75{<7iuaS>`YD8>QfXrpd$To9#Qmz$WRGJ;dZQr@S zq)-Xo#5RWJ1SfOI$yoA3YcD~B7BNKut1*VXJ?c@G7N0>6{4;P&C~wO8QlDKxX7N2j z_x(SVzpGf_TccB$4sO<=%si>6NWbTlmDPSI3SM&|J_sHq$(q_vpPnQ&B37cnEY!Yy zC~VL5w`8P$I0jO0SuB0N-u~Cgi-q94;Z(ob1q3A>xjsOfbo+|a`!9rE?_UuxgW8YV z#l>2jA0e8Hr&gsP4_#{3q>kT&oFA4S1Ohm=OtVJa7~#SzIi8jd>qglXFBkd=%j7cv zKq6M1;D??0lz+Ue7xGj{6o!!*Q8002>|4b1+kdH9qcnArJu*Ae1W9U7R#l44>q;)& zkCoTZDA62LOYzo*HsX^d^Q)Mwy`7rE91vl=>2PwQ=(XO&08_e0#oCiOD5Ca z#W$SuN0BS6?T{Ok5t2N7>^08sM6d0O)NF79dI+O_+Uyf6^)zP`b(#eb0r$StG2Q-; zP0lv>os&D^Z=NNa=cyjG_1jV|ztF|wmIy6uJzD`J1V!fGYs@n#7@Z+XfqRs}FJZw; zBQR}z36p0-8>HR*Tw!)@Q$q4f>nd|yi(wcD?Gm(#aSbyBbnG#oy80QZ!#J=l2lMZ4 zJL~yZd3jJlv$dd+ds9#UmVU2E>GKsWIR-kGy?o|$)S`hryj$+Op3&ow?%J)cuJ|C; zH9l9Ki<8yz%!h}vQwR3OQ4|QSGttq7-*t}AdiQAX{k!F&tV|!Dk_o4Er5m^Qo9isd zXLvB}cfQsAUSW1YX{C6@`{#tky$XYCFVPEqJGx-A|EH6)=^K+yS_u)hVDTP4?GXEWdavn@}$IeTmTPi=9^rnpT4?k;m%_R+NJbmXI%`!#w5425i- zkWO&OVU?A~;^CJh_g9*H_9zeHtm41WA^>T@DcavPhx(q$R-Lvsb;cgsXsB7|_ zVVa3WtXD`WdpHgso~97;nOt&btWr?vbmMsaOG;Y$WO!|H4JkZF!`PHibKx9B zoI=b!oUgF1q{P2?@qz}gh|19z4L(BViL3Q|;Y@)YUUX>giCB37i}?@Af49LCeX#7$ z*kKA15eoj5cccaDt3Z>Hs8NXzW-Ezd zxy0_A>;(0Ph=Npi?uMI+W$Jhx;GS}#XU*+;`-UugMFG-onN>ferIAUn}T z7A3L)pMP5SWoV8Fc!)r5lV01ZN9$88S`0Wxo}#(9ob>7MtuIc^K0gwbjf>)IuEw4> zxY6tqVC18nc#>wY;_8{>&u^}m{xUVtaG~;2^4sdcT~;~~e@xy?czMC5;PVG9n$hMp zr?Zb+u5>^K0^4-pc57>E(ae#V#Als0SFhH9Uk%qx84(qM46yUCS^mUqt@l z9>_X;5ogg@mx0e0WdgJ}e_xe}0)(9zSs}E0$2#v;7e)?jQ+JQ_|nl|vqglgX=itDZ_}+^2W+ZpAu7R2dcHT^qoqaU#Eu_y75Mvp3+J3^ z@9N_7N>g|PT)K1eaZ$Yfr`T9_7_rztjF<4KQ?w7wmPCh59 zD|GB9*1AFalaZS(MU{irKUdGniH`GaLCvnb=?YbrXBK=HaM&dJqwomR)&1aJ&AV= z)JT=}-vH?nGSCKCgFh8mGLQ~^zpu(8u7!s=JvTR6_BYBASb}#Rt|O!eh*zK>y)5~q zY3%W%sNm+=M+4X0!ycXz2wm@3`zpyJ@;k(tr}26`H`>bul@^n?4s*`B(va+Ooj_@i zfOQup1vjG$@T4cntTY!!MvZWo=QYXSA<+XeVi-Dq?c4sc-xL$6q_lME%a>ZMXOZ!5 z|1n&eSYE;@G2#3^x9HV!OXQ~*F6*!MGULoWHs9(Ij{vnFZFv*?F3_DGESoxW`4+>* zHON|ASI43qFl$rfEz7mEwBUD=uD3;1+c~MD%4)(KOnWG$?qxpf53SrTx+e+gpbS~U zr)hkQ(_tJub|5v3>L_0nscO5Pffpm^pq_8G;6N^h-X^Gk^iDB#TDsFj`#4-R8B&+2x8ZA0ADx2UB>anckkrj*WUHf!<~!yThGwY z;nrN_gmeF{pqYb1j6?z&_REl;1_sr0MYfT~!5EPzl%Pz;Zf|kjQRLH*7j^GL4a!Qh zc8z)+rAhnV%Ju8luSc!64n34PS$Lq(FRkG~*xlNT$CXzL{|fE%skkanJeUJ;5On$N z$>uGB?+Pp6tjM7hL_S`B^WG>;hMf11IK>V5HMJ(oz77+t8jOsL@a`0}igmom8p3oc zcpaxKcE2O73N&UaIa0;vWH^u&cL?+Nu^u*B%8+Nquo4&f3A6E8Q(W<)_Tr(?FJ(LH z9WsIE31L)fx`+x)$;deIK%H-An}mYGRlNp{9%KpfeIneZGc%Gb<88{DC6qnjxx|!y zJq-Iw1cpF&K~wST5RogRg-Z+b7<-_w0KIEG(ThT)6%wsdq@J~6+=0g)k@dvNgQp`i zNYj02N4Dz1*wfjp11{kdT2 z5x;Om37$j>Qmfpw5Qop7`2vxdf#U7hquY6WBb)aiEC2XbMaqgyE@h}x-XbuKjAWG| zDmeeYT7Y;5YAxBZ9mId?_f#Gs^Y|)xCUP<|2!Uxusl2@W{J&tzi!Q863o&gZ5}{5Y z-r3)|n`?(j6;Q$`5~UpXwygc$;#}eVc63ICjo#nB-{!^t71?i3{Czh`k`TlEL19S+ za$8Q4qQX#&6wS9oH13I&A5nV%qYCv$R4)<{RSYVhS7n7)WZ@zeS|vU6_nY9C%PT80 z89&I%D07ox)iw0@*Rm&N0d)JykRQkm{m}OxKO#p?BLeo1chPHz$O#Z}7CmERM+F!0 zCoiO!W-Y&YBY48NJJX;jQ$NR6Kg;NU|55$6{-N(5(!o{SQJslYNgz9vS}!D?bw8Vu zS@R2e*GanbIrv$#&5j?ZfWwnfSEy>RXmW~f-%PXGl*zUAqHQW4>|PxYe4tvWYbZlo z*i&ameI-jc_EdnXRBWKS=?!cJP|owMPp_>1r*Z`yU?d^G@N+@}BXxh(g;%$4p+-v; z|6C0iX(qd#?1%Xt^R+wkt=hQ20J*8*3kP-Mc#WgUU+{~9wCMUNdVg}%+gI?da<&Lp zWWL{!?eq93r3p3^p_Y3bF9C4?%@UapMILpHCdc%whphZ6Y{OTjA=*rQmN zFpX_tWHg5=xvr$^vJ5QHxF`j+q5mN!30O8Rf8NR=meVW=;%zivkv21z9v2X_~Lz|2CZ|9&I5Rhd))#k~4}W0Np&Z7BOx&Sjc#r!agKcs4jSi|Ef zIWTU|_(R#QL1iZyJ%KloXRWFr~(&q1k%1V9g-x77{Z-hLuQLs zu%Rt9rx=$X9s$VV2afakbAf6a!yn)CZGF8%*kb~urx4Q0$s!Z$V1hZ&VYWOwUEZcn|AzV2?LoaZ z8n<4uEq!@?hOcoC5MHUa9cHqZT3FsAnkV(kG37&Fls|#V*z*LS_C9yA?4xpsK7!6Z z&=%fsVxoJHS9Cj0#&!!<>U|Daogi|El+6c_67Rh>DZUJ&z6=UOOrw3^gyGy?etW~rX|zi`^Pg-vCt zHJ|^pT;ewUqt0=61zLIM)7vH_`a2;g;|o_Lwn3sC&$ajcpg$3yOk+k>yz`>-L(g$o zlMmvR7WjL+e>&FlB~}$2`jvQzm@1~^%Mdv@hpw?LzTE;#;68>?6S&=V4U1i#c{O#s z`&0(W7o7ArAUG-yUPDKSkJcP@1E#;Lc9KX$9$gtK%ZP46KGN6qp-m-1Mng1alCsN| zAVAoP`gs|lnGJi~(9eEM6Vr-F@hFS2bH{&#V3lMBZ6ndlNL9B9i|CH(>S`He8jk%7 zg~0~u(KRq2Bz)et`%0w&kC}Hj*L!5T+b~k@b)V?xK6}x({G`AmFv`S&@-QAvJx=!} z7j{pKdEVz+UM3R=lPa(B^qS7CfmRvv_L*aFUNVP$fYdxlH$%_=Ew{e`V|$p6g}zv% zW=kL6XUlHVt{7D#rNo^qyO)ua>nL(yX7l6SOE+1BguAKCOk{bkckLQDdnsUIxGtzU z>raA!_dd4^m>TmNhEsB8wI$v-W?O?ds+yu}7HM6Rz}&odzz#?8fgR%R94oU!hf8&H zk680g3nt7ct_<8Eg2RuS;AaOxvRH;Gf_LuH*XI=46{?1Fn?IatwEC^u7N?4<&5UV& zX8X+#sG5p~|3nrDRKQ=q?j_>DD*7oy!@{_AzSfDgj5*@ObtNRc=Z;;*eF-6(fCY{- zEE=N&;PdMg!Dz#hl*$f3RScufo>^3W62+OjTz4Ke3Bk>K>@ktbg{`^@$IXA#{xur+ zBmkp!ucM9$<{IN83%Gglw;b3(U-b$h;Dl(uS1pLQY-{$<{_PT%1IBMjW_*JT+MMD- z*awRe1nL;=nqPgYQ>MAV^SzNfcUB{W<=WardDNsWl6J*^{EB6uycShmUR0 zyl(qju670MEJz@_r!t2RI#8c`rW0!>v}+6dY)tj=Q75Oua22vBWqj*uNzb!>jsDu} zLt#b*JhfwP_hm&Ip`Z7#P_3=GAE#5LvB7LoY|I3w#ZF1RBXLrJV+YPRikU_5Fw@q{ zpY}5lK-41%0BZgNgwO~Xz0&yUhOLXZitXXUCXxO{c;4Nv#caAxbcw>_P^0{(T3KUh zUE^6I6g-rRq`AH}Z#KMW+lU%Y^1O)q|5|F1pZxWC+;wu2vDZ?!D>gX(x6^1~fT(Qr z>Aipe2mwP^5|m673UP67p62q7kLd`fUAY^kLHn60I^6B>Uw)tC4u8 z)`yfQEG&3|^ai3IN%tps`s+vK5~5VmU$zs5&=9M}r!Gn_h~8H4;zW@m{>ZQJc|TqB zQbvs_(XB^BLepwjz%LEcv*#z07&EQLLo*!mGV3#i4)}X0NW>@bjVKxh#aT0_%vbKy3N zI(5cy7XGu3lZ)|+Nnh|CC385*oR<&?+j%L`hyVF%3O@d%-v>-%VI2_{*FDXJm$~-y z|2t^^o4If9I9KF{JA2>Ht}5zg!Sqs=vNLT*B$nC9TYRLdMfS~sq%U|c=Rw!3(&on1 zC~gz@{$hFj^DMT@e|kifm+vf?ypm)uSKl}h8_;0)FV0{8m4M;WWxha&RNHT&jv&ZJXsNHb3J&-}5sK>kHYnSCp3&MN(r zbikNAXY@!;YoB(C8*u*Zf4=^yfvwe}C$Gs_ZX@=bYZz;)5c1)n9*mljI-TnDDf8Lt zf3(|s75Kr?dD0Gl%uZ2H)q!+-*Qwbw-3IF~`1YN`RgE6d`8p_gB`DnBW4S#vE1l`J zXqBBErOA(MF`JR$d6)Hb5a7ZM84~tDtCfr8UmJX6VXN_ zd!m;aSfeW{w3tZ$Qap*4Spvmq&VfmalgJ01gI|-{m&$?Aye5_3L?<^f-+|a&%+;2g zBSyDzVm{$g9Iez(x2Ir=lB}nurbfL@gSM?woLi?!K?`TyQ#Z4liM1|w z>UlKV3_32VClWw$7gCrxu9=jswmTZVo48Td_RExWV19+K8|nwBc@bzFpd}>Aknut< zZqV0(BK6KC51-;A_o~e)T}aQOKX~J&JO47QH#PaQoCa3aZ{e@5XDhx%i62Wyp|&VX zP!fvpe5np2Go3tt5IGIgEY>2I2PCf}Z4a0+N`{ITDRJJvDk^GN?Q2S-m^dY1L8-Bz zD3K7@!6&mOfAcAYhbe@i>XPiN-;YqRUvK_USC@BdcCh@3-tG@((4Z2zU(nG1=W_&q z8{irlGKhcBSi&ft4u%2iQ1M^T3;_Yuw=WlMY4R>YnFoa2NlYdh(ib? zB>E&@qIp*x;~)1&giayB*8*ro!E2&-0*l?#1)`ILNCN-Z|E~dx3%5CM^0#?KYyI?#&Vvgl-iY>F_Ao`O{=L8-21>aE zR#^(X2>m8+)z&oOZHf;ocTz4tB3fVzWa zqIJH#5_Vp3a>uFY!_Q7;pH|-5;-@KIh8JTp49TyEF^j15DW&axPy+!z;U+Y{X5f6Z5c?r;-{yN?S9|gab!$&@i zcvNAunI)jdyAq(1UXzE12Rw|7#5ih*_2S!;-L6hA@k-F~?K+kB?RmpD?4Jq~2(ILH z&vm|(1sFhs!%cZ6a;%iatCCSNH?f4JV!Ha(U-ww7-464v3IXHSlFx)s1qmc(_E**2 zx_8(9U07VmZ=>0`?0OR4oIozQSx%mj zv1jF#M`wxm1%f3i((m`>>+(cu?z`!ia67McCe|TLbpxiAHG%g&AIpL^e;ILuRD?r? zXq3+8V;~C5ze0z5m@q1l3|yAs|0>G&Y1K$HBDFN~X z0t%fVQsuy6dQ(Kmt&Z6q(0bA;xk+fdUpf4^kA7d;E9*gW^E?Q!+VgKY;vWrZ`FnTe zUE91;m62WmLqxDW;oKMjelD`zSZ*fxJQ1+Z?7JM0AcD&1!MZTXrCwJu-IzZxa9q7@ zSrgE}T0Q#&ouRc71=lCE37<{W&tR1_L~Zi5$SxWDSCNska0^^~qyn+Uc8060+C#tg*JoR~4DtR%@ zfn0Zx@#j{|9U|LhAD=t3K!nDyG>V638vYf8zy@$JOFve#@lU*> z?ud*k8o<^z#y`q+^%Rl^Xw5I5-OIXzB(K|FYEh7%=#zfhG;mLkSI>3ro6I5cxN*FRpFiaAP|;2oyAseJvLKIxkLWwnW;RqG=b5$dXiaBeI=2b1&sM zS(oxB8S7L^Mv!bZ5LBD_z3{ZY&IsnuXV<6-+N|`+9S{WeZ~pwhKqAGhmXpXv`risz zY*6(}e0#+FwXwZC6TY9UXRDT}7eGi8SDmb#*G4M|XytIssv}B>po)l*;%G zOMn?o7hWft<_Y+G^s>gNQmOU$i98N20hw(OZz0R|*T@q`wy$e|TTgk9pEa(9YlgWx zs$ds8JB!L9hTjJU>Bs26MNL(9Pwe=+qx$y_U#xd{CA}5(_d&xM7Pd>G$+C7}Izjf! z^z`u?Q+-G76<~jb(1+H0gV3N82oD4U4~Bb+Et#42oH$5BWP(D3{O#tOT1IBemcmGDqjc;Ua+C%qm$u)P4XXa`+@I+itN~kF-m7m3;~bUtGx_<65%wW!=-aQQq<6i< zcA+YcdstHZ%#c&@DW66>f-6irRiw8ZNv4RC{p@+k4z`*n6^{(58RvEfoSf(v;^tLj zx&BruB~m`>=AZ9kqrHoBMOF97=+<0H{bogatG5Iw_)Gk*Y`R0vAzcJH2_45SlQ4UP zxFZ^h8lcS9qc;6t$BgDyc*`aSpC?m~(&YyPKSo5AM+-F*S2a}mqm0d?0q4n!H=4_m zMV@@(lzz?7<-s7EN3REAzv@p?v5RD2|J+79*^%S)6&-bqw}9v%?-0K(q9lQX`bR|W z+Pa&Q`t8%Gb& z)s=9`U@fjZWxGBY{iT@Tlea9%|~IN)?c7?(cT>`QeFFyS)j_w4NaTlvvewZG^|}=L_cadN=-B^mH?9TMA8&RCz<$ z$oq)uo&hadtjA$0mMx(|JS<=7vNdSv_mQ(d4TdUT#+YQ zq(-@jp;(v+Sy*s#)Z)fwtfo`eJ2>#Y`|HBw|@59$w=zk1cht1rSl_=JzeViX7MG_#5=6(XnC* z)AL2{UnK<#&ycEtaTqm7Mms%TcE7{yqj2!brAzylB;ap&-!SY_iW%~MV9~+b%KLWh zei=EV?HGv?g7zoxlEn4*J*cj(_Op9P2(O3*%Jfs1hq`*$)@qdfG>rtlmvOs+s}|n? zZjhp7mqLr`xHIVtD%MnNH*QC+WDQGC7xs8-Ev=}Yo-|it3Nq8UhFjsR$*nh=dYE!| z2|JjGj$g5Dag*utbqXNeQCk{ChpyFxkJCz zTfKwn*Vu7BbMR~5w1O-Ie4>iIeJ?go>iyuJMq@O&x93J3Er55H*X-3yO6895aTqD!=V1DD5Nd#MB_x&X;0Ys2OME?SqQ8qJsQz7c}zgmE_oKoPk zGw$BuY$v+eiqpB57Dl2y$f?tWG5d!%Hwcbx=!uJjNsWt@eStr(UlcKBa zxme5N%b%OXxR_hEV8UnFxX|b)ml&g0@%PWyLW(NTD$d9Rq9~y0Bfw8${US~O3ONQ= ziv(Fvtg<(r-3QfwAbcgHY+e@7<`O&3<;z4c#x@N}g~gl7f2>b2<^ACLhnx(&%#FIR z3WX55C&b_^dmK3QvbC%A-kQSUxoM8A3ym`&^@Bx@Y6qgB<{&IGyv!r?ao710p50$v z#ihrqMP~a0Dn4)@`Ms`6N&)^3lVHD*JlPyvRT6O~qr)Zli&J;gse~YUzSykA*Chns zsGoWHHU>@%dO5FS1=>R_@N_Fgsbs#yV5wIP!9*d58Cp|<=X981KanS%+{)jAgkZX6 zngX^*_-Ihf_w69|S!P^5k#op`PIEaQCCvKaYwM|Ekt03XcNYEbKH1F}V(L_@ZVrn) z=F+cK0X5usHKZI1GQ;S3MczOzS@b79;hx#q+FjM(8(2A;3$yd{PlMXv`r+NO53Qch zbD+=xl}648AWSjyvaom)F?O52lhauL;r*N^y-@d@coBmG@^8$$c5)u``tTMh%m?m0 zp*pfwE0w*CPFn4~a`OO}wfK_{xJSu!Bg+VrIl6Ej-Dm3ONN&B^U=pfjFMT5kb$y&q zq*KY=_uf&gd2!eFqTt%hABEQy(hm88$%R0%zP@lGPR%_z=FA7x z`3LG(bdHMF`cFhb6Gs_SKPbe)hm9Aun|ykXh>BCcIysZQfRclLew(zLcgL;A8< z2f#B%8f!c*%@F{wA?i%)G=%}K$fEPh7f2!S^PdT6B6LxR)gbmTDw9UjM9^m!uYrr- z(IBmtP4*KZMajd`sAs}CLAi?<1c(+8VwplTBPFT#X1@=SOVgku22vZ)Ix8;{s|fM3 z=0R1bI<>Om?nvqej`4Vfr@X#T#+kU~S6?x7Zh5IQv+7bzU0D{sWIh}?} z5OP+8rv3zpAJau8t8v4evh~#LS;NEB?!oNjHu zve0<>|0C%6fAb9bAiT}Jkzomb!knA1o~4qpeQARKM_VMi(F8aNf!H%#yL4$A9;smw z%mC1IC~i7KDvT|?m43!TJSOl!P7YC}5fjd_hDjfP{VX3rMJ5FE@qlw#2^W7$8H;GvpvPC>{s<p5NgTFA*rWTMqbLwnn#0p)jhdxExdbj&xP9?0kX zd)n&t9ty8xrMo?GK*zt|8ea#UD{(YXMQ~)mUDI+7;cYJSI3`+K;%6{h6JOBCv>$l|5y23%QoSc zL_rCRV%t4l0;SzaD5Nn^4v0YTbh!%0SR43fN?kZt-r&>+mPM(DX42N5sP)@9TM)Fz zlZK${mqlDWtyW%n%Q08=J60EnJZ6CV+COh#f#fPB*I<}(;lQ4V`Z!0_zJNY;@9F8I zsGJmxcgmc;$uOmy{t_P|acU*5;(1t2VZk(nvfU~--B8y=ky>VBe9%4{!) zL+9zAHBwshkew4y8KB~;++>6giK{vyPb?MN&*8DiM zkOU1HHg|oZ3mOPQL{o=eC3@0w|G$lXsZQ7Mo%Q{Q(9)-FekEX?oRQr|dZra2cds?_ z-tne^meX8I)fCJm(%(0OOi*PIx_Xje*xZMZosiHq^`iGq9{J{nj|J0}yaaSEp?~0@ zS)Gp#cJYZW*4IG87LV8+`q_KneI+J*_bmG zHdUshyFGKAR-oCzJXg9`yA91dcy})xeRQWa#pZO-fAj;8km}_4&-o+-` z9)0TW1+V;~yDc(Y;U0}8?mk477Ll&22mkSHVzwj~+q=JH_iSE(%qyO0xcb`1w(`B5 zPGPT6D}aD6jFcF<=r{Z#ez2dWb7Tzx(=Gw6fh zVhjsY;by5`US3X+_mpdRBD?%*Y035lPwQ37&Gb+8RVKbwjkMLRot>R2rKNhFRSTsH z&yRrNWj0$c@^f}rf)cJ(Dkb&98qW6WFW;L3OEIfSLg`T zfK*M`V-eD)+L!&rp^WzYi5bfOBJHaoDG4fWk){WP>&o3{@Uf?-hfp37#-=F_*{oZk(U_+7 zTc~t3Gc-1KB}@o!+r;il1au@QQ<*rwB2`b_T9P4JLZcGC6Wx0d zih&W)jZ#0yJh@+aW0kqAs8r!aA2L1yFegYIr)fD!3J#sFiqi*xO>-DiEls+^X zv|1F`83}BsQzKW1eqZ{-Va5_C6iNo~l(!P`4xnK*WEU>4uaBOjHW6xeJvu&-a?)Zp z4b)f?&$qu)$$-Bix#nbd?b@XT{6KO0Hf^p6dG2Wm{?I|)S0yDWg@qRyn25e8B*dQ) zFzb}q?L$a&oIA*3WE(yYS;nj0*RTU+%{2oxqtNl=PRe8}1EKZUSK)rIBP!;?J-!uP zQ~7}W848VzOTxa*(zd3@tG_>O*3s*%dEjF(KUJbkpXh})97!&=(>rM>88Rkk-0=w< zpQ=0kF}L8bGQ{mz^L|mDNoQ>up1rL#aV8zgKi*E&YL{?jxv?p(!`t3M^iGs8u+0ON z$YdIKE?g3~eG+jmbaK72?4{hIVOJGU)a04LEDn&ubcRPk}W58eyL(ESjhs3vtK$Z(P4T~xip5|_*CoO3pv z73D9yS4gV;(p8xE7;h|BUH8k|dIIV>0uQ#)II-t6?4~Q4nU@3A-rCIdfH9d)19XS1 z1q$by-@M14tna5AL#b3fsI&V-llVT`m~#hap!702+(W4G3lK+xsw0YOo>GS8*945R zus~~EZ+P>^ohuHdTZry2kkZhK5vV+-tpT)#QkmHM;id~D-f%y@G@{ckwY2n`s%BVt zc+;x#A;nM8HRi<{BpWG}YW*b$RLl*&ww^N<#bE)EQB63vuy898G79TiE5{C>>PaaC z<`i{-uvYpT{trbf<4fI?_N2hzez?_3X8K;PszHa3{zfI6rk^8?{K@YjV;O#fS`Uy$ z@3Hv}6+hfeeJ~a~L8Vu^Ak%vNu2&+=(FAbyUeKtV9g(ix2CiXFY|WOJ6MwEC3--bV z{=E^E#}?&X?U5LH_nbicj&magoXy;Z5!?R#KnXl?XmMl^2NvO&o`Db$(>Mf1?0*;L z+IVZuu?UscywA_8gq8hqw&w{kYg_DGi>|!apRH`%!i0-ltB^f8z0?5;rE)Dw+H;Qu zEh5)(q!HB<1n>Bti15FJd2Y6lPilE>n5e;_A$cV*GOk8C!2V1D9;Sx1i3Lw$vK;gn z`xU7oRe7;vZoYFh6Dg?&b+Wc#$pJuh{gjR^5cnEcmzrc!$gNE(-1OuDB zEcIGYQnZfWpvS>R;kU7e1enI%Jefp@e&lT_D#l8)@q@Y&bWQ2HW{xj{WtE+#-!$dmUzlNZVM{-}f zYd2e`o_AOil;7ss)J}^TwB4r>1c38@a-W~=(9w~HZ92ih58vjQ7R7j(zItK>klD+_|Zo-TU`WT9m+`9x5EvnJE1k{dF_}i!vDwq0@`rS zb@;Sl$N-q!a@P8M-s>-+UAd}&=OV3;tW|sF{6oJV|NcUV4y;UA4zqGCMeRfBEvF=w{$C%Q!y)MH&c0dbi7@63#m`LT(aeP(jWJ78XK#j%8MOE?yv zCUhXFugG<6)dbn1Cm`;F-0zpflP6E^Jif)lkqoHcF84-3r^6;|3X0u8=I$M zM@f`?=H)0$ys|+58Mi}=C<<64<-VfZ?M3JB$y;kw==mkm+g&#+>1pwRC$LsQiiA{x$Uv`~v4}GJ1kX{Pc8F`gDuUZaNq9uSs*AXGL*D^lhX?J_@X0n z1L?d~6c#*x%I_j~Q;j`7b~5eT6?I8Dx8=pK?2hq-IR>^UhL^7ojG2E?T&G`LX>qo( zwk8m42KO}3i^y^u5;>Q(yM@M!+G5~0h+fv0u9xaplFph-Y>qZxtlfyQXBX)VR%R@k`Tqblb`X9Q{tz z{zwio=y?&x*V-C{fK@-&uR8TX(zQYSh;@?AjNR|w)|jw>uU*u_f5VB!+H$Vk$^Pn8 zl}22TS_A%MlJBO+iOuCfQclGc@Kv#bdbf##qT22XclTc?XW_eLD|mA4TPq6@(&2+q z?9Ke=vmbon`raaS$!(V+u*;SALmGH3q&kr2B^TGTvVMSn+@M3pI;4|E`>b=J3yt^9|p2ao1r8 zl>7BFK|wBnw?g#+pSE$w13}ZWhz{uU5ViA2kpEjViX?gExiiC=yxH~z$UGEkYuBR7 z-I?FYY@*@V@2zsfdr!x>+nM}h5_9oxL8EO$BIP@O7-y&j`soE$573;&(pHe`BJ%vP zldX+SD0e{4SQ)=G3k_lg*k#wC^Jt@7SXijrcz7mVsVIXY@>4j1AWvTevEna8YA5fS z>}Pl=X~Zk`+3cMVwaMiJlN*uWVG5ikDhmw6brK$-O%}|$_(cE&5JtP+cHH=PV`To$ z>dK#r!MRLks}`Ed)-szK+o3A@!sZFHOBMe8nYkq|V@f%!!7afLl;jps@7fUdXW-lf z@5D;2(M1O;P~zMI^D<`rUn`78=2j?El-!u|V?qaS7<%U3zfhvIYeUh{a5qNt8X?U~ zmjd8+ta$eE(Xo0Y2L}`NPNW>aC0sJ~Xx>%NG&r_B(59a@D3%?-`=fKXv>n(P*fOYZwn6G>g1f;uE19x;m<^2zgXAO+)N{E%CkRZ zXMOU7J)R=h=NfqPHT_yM4^k<2>*2VIhF4km98u^N`y=6$TuFeGtX3ht*uL zlFEMd+aW2Enb%DS17_4GZM&b@&baqZ&&_FB*4Nh;q9BO zD6H16L*bvGw5>t?{P~Ybk@tmQS(^<7g%&z-ZAvo0RK2-r=0>T3;%cLF2N&OoB#pSa z(lK5ypw<(PR#x(by6>SI<9D@zZjcap^0q=I>9n!XJqU3Gslcg3pI>L;ZLO3!KSlHC z+*e$?+{Xb~-0}6FE6_Yh!#b=vdP@xM31$DEsUb&eKc}A!TX~5+B=hMp)LlT@!W8t5 zn=$8myIJR+`TxslNC1=J<5eIlX^tIIsj>6LmZu;a z7&RYb)+qtNs|X;cuM8|?4QdiAEBhKW%YHaCnpg-wR%$Y|?%1KE%^{_ME4prjRP57Q zEiiH29IuZz7dkH>(s18XR@7v7q_}5J%Z!%HBKC3HUnMPaE6$>SX9Rpv1oX2ZXBigh zG`c2_YFj8d=U$O(>NCc5o&*#V)tHx^9RT>z*>oYnm4Hj((?qTUe#mOOVZY8HBHh2Y z{MPrBtnC;^4!a^y0rD3WcmkeMqAdk|k?FU^lG#?g4XEgOWZVsJ#H}h$-|*UXJL#;x zj-heT#wM96U$EAWTaNg`kUW8q5cS8{id}q2D%pFsk?4KA`{!NZ{4sN7k?B6Y3j-wu zp6Pl~dOHTCzB$b5XHic25J`er`?>}4ka$B271~7wb6dcvMjJWb4IqU^tZkp_j|{?M z+u2Pt0TLHIQOQC<`Y)9aL{<$-+nrUHU#WazVbb`fLUQ$tDSb8VGh$G*mVrA+tBjBV z<0*5WEUYJy(Ecdba$@crVVV;*{#*6$Rs!Zt-Ewy!lC5EI{h+I8+w~B-9op_jsrQi% zQ+)*|6o#JD;B#_e$PKWUSd&RDJ^wZ9y;QT@wLdpUq8;$NzuSBuyWVejFF;+z zls29#^7CTbuA@JWara--Ldp8qbGL|JYM2TnJ?~H7ym13Ns_NPkOgG@Nmj{7`D9~D^ zz2r1m*`5{5vTA_q7AsS`>}W}J@_tyy+}ys%FXE+11El0_WNSOY<*R&s>yL{jOXuXDO(&_)b5%bHEY!bCaNiS_Asq@1n*B)}FH3I(`k*_@8}4`D<+!aP;<(>oz_ z6l_OZ;5q}lG@H8D+UYMuOVxfGCI&CX$Y`+zi}~L(^!4I_MU32X$+LpI@cI6qwI2sB zSa$9C%GEUgT!~svTAqJ^J^!Dorzef^sBW9H4K-G%`*=(=Knp=7*Z9?C4(`Eg4|21! zMV`&7{!FE{m>hAFZb$fo@2CZR9N%LQVy>d!$CXQ<@7N#rr9iyeQ=^yHIZe2K;dl+k zDc2h9*MG|YLG$g|{FCkp!{aPT zQ^tymVy~;c&8(BX;-^3LU8!VI?vrD>u|Kd~u3l{Gj(X+-PYD7*Z)@ZBg((~uBsBoZ zE!E$*e`;?22~!QpM2%$MU%7G5LtwemWiGp<4%2w`kwZca3k-30Op5*;g_juGHStsV zye6Z|fQhc+NkJA!WVJ6|UqGUM^AJf%F<%iGIoo#G{+1azb3xttcNUpJ=f<4CuW&I1 z$$RFPj&QF3)~S-Vb86W%CZ)d138v+kcQPD_&k5*!r-!Cq#82hyhPsd$9WsF+(A1TM^7fi{_!e@EUT`87n0 zbK4_IowdVn;pkmOo&Zse{Hu0%cxKp1{)4v0ABH+{w6=z}2CYk-Q+7Imi;LF@9#q0u zkR;6Ut^&O)j9?veY#H3%yn;g28?9mqI`=iU-NY9|{ssNZ$I?^1UWC^1YlYWq-usO^ z(^j@)^?tBD3&{}r%$e$WJ^2ldsRP{*ZPKtV{pkq;>+g2+=0_P3`~|E=nwpWO4Pc>-fz9e;{R=>wkoKxKaZdGf_~xgzHbk^l)2Jjq>PtvW--mom36 zPW4A1X?&HQs33~*x^yCPU#OmpdGEOXX%;V}6DCfgp2}l()=U_C zS91HDuE2JyjLu{CX~1se1zGT;t6%KA z0+B2sP%0&LS-4b+DMT}0=rKCUY#4ksf4@Y3x$!2ouB#a=DlQwKtnked(KeUgT+IOR z8!!mFYg$!RzsV|gf0Zd22}>=n>veQxDrDnL30T}P!jtJLt3ti?KYkj8X~kW3EtmH3 zNJ;=I4>UNp#_(fe7u}to7)UStaw&cGxnbe7PNy}T_!kR*dY*W!3x=RI!pF@B1xj1*dVuPhS$47WlJ#YI83Yl7f> z@QANBzfV7qcug_7doKeOpNYXe-lO>ar0g-#@^9RzUi;-)V-^{pxTIWcY_xXl)4lV& zTedRbOffObfKy<Xr9h<<)cBm-edb z;+FO`o|a2*oqafwcLRE4VQbu}?Xw*C5D`UPYRSeSnl0h=P;B)<*;KjFVcV~bLy}!( zbSG{}?f&={Cz0_>HJ#SkkyA6(fz+-7>zpFIZ@byoVUZUubsC zF3qIK8qhm;dZFXNWe|v_mt{sojCM)9!wckUZY^pZ=`$(jc?Tk-%QGWN_UQ0p-?5>* zPsnmz>}+Lh+dzp`M~ry|8MMXXea6Cl6vZ`JNym^uhd*AVfh3!1Y?qp7oFt^~I8kZE zidbDS;2QGF92`xHrj`~`Im90&HnVy?J# z2M^n(M+&$94#Ok_32py3^>5=OOuhR(%=oD~DZRaK=)3GF=o0IjYl;yF<5dvlOq3W% zTiTnmZ({T^lq_gwJX?Snx&G?-=X6#lM)0ui?(BrLD8SLd;Vy(b6RqaLa8n6a@-ATR ziJk){;jzJ=%#mFHB&vuF7u&Oohi0~(vvWC_^5AaSkub{ZehUeD&Yd>myq_p7MnjS; zVRImc30J_8V6;7|G7qLkAH{VB4lnXNQqo;nTgt0o%KdzYL8UuKF93(nKU6Y9rvo}} z`D?x0UHB6)|1Cym=atbB`U1q6(p1wqNoiNx-98<`x9z6BntXILRCdIthj;F_wQ$uO zJ1k3t-*X04gdEj5fo8_W$t)VPn+xTg&mu3UuD}K#_@7abU{>%48(iW40XHs66D(zP z?xOX0Mb^fj+%v2?|97m6R`&M`CY^MvLN;F_Es~1>K)fgf$j;09pc&ZROI4At>PxBC zk_Mujcfd*&wJ%m`1H6GiFwJxrXy?AIXtr6Kt9dh04DXu1KN%*DU7lZo9bYuGhJ@*0 z@d2Zb3fHoIb;&DxMc(7XxZ8UPAZmEZg4RyqS{mzzy8(tqt#q>pnZ&xEQThXJ`a+7m zwY35yVnsQNo6c_$Sr$Td%;eg%-W{VC_sgq3f6 z>B5A3{h%|B`OG}>;R>eicCXsBzDqx6K zwous*P^DlI>b#crSbJZH1bV;DIAb^n*Gfb561wM9iKdvX%t2)(2swT1b_v|q0m^0)Le8!n^<*Z6&-_#8codk?v#t>kPS9RW=SHHSd( z2uWGM@vw%}JD%~uEc8?XRCanFJ)I4CJg=t2ihVj?y`Yz$iS>pUNB#4VgmZg29&S9| zAcvi8vb}Z{KNq$s<~1^u?m1$f^UC(&o*r^hwP5jNFIAZ3Ss{JY;b& zSJqF#=|!l!TA`0qaH${7?5_vTs>Bxl*(81^02h^en^;xhTt#XQ+g)q`ST>0GJ?-+TR4L0>Df+@nANF+}E7cpm>`^D-J-Wqi4P-NDx z=n1lAvn-{xWs%{<3p`-Gs8X4Ox;LCnzs!;NQ1x>pDnweX{7szm+(-4OzaAZ$c)bM3 zj`B>o$?b`-46mDbFn=>5BEk%t4!caL--Nno{sVfhDJ|+p-=!vg-cL@6HZx62Pv1gF zJZ?QuH8-*FIkA9>D6Pv<~G1Fs*=F9@VS7|S=ppqub1 z#79uAE~7sB2vz)&iQM1`!a0LbLZ!p$jnQ#m3tM?)YM%UH|2i%ux>qP+!%kf)@+wKXy8^K&dr-l*4tRs8Ga+e5v;X#$y6d;l zEc(UU#pSc^Hr44)bUyDop8Jxd`=kt&+BE9~T!V>Hg%wT4!E!U+&$}rTA5xtAK-J>g zcOKwpU>)w`t%x4@h$v{}U6?Z>Hk7<#-m?N4+(Y0iNxEdK0nBpuATMZ{Zy+ITK$`e#!=pqy=FsEe zIS=dl!Rwc{{8EkKR^^Io9cAoKi;kS1^PEx{bR97YYK_djYEDj_v&Ry}71IS)8cKY5G55w4HnljFKfxC9Kk|Z)g6aKYsIv&anbw@yPqP*UNDapQYAFgm0E-W<|DV z|L4TNu%h2&Q)H{HqDJ$#PPH#0B2vb`rGmDVz5VSoJ*466dKbi;mXUG#FUXmmNK`PW*zNV}o7QEAOC11h>7nDbJI8A(GY*5L*(q-VIg5)!Tv2+T=2ZPqg*) z4z;^+?@Z@=5P9HhF|LtkH$6S2r|Mcb-(dO26eM*Vdi<*?UCOGbMI7?XzmJH-#>Sr6 z8||llHt~pgS&KWcTaXub&BmA3u9=y=H*l24&vZA93modw+Av3=23FYv<_sO| z=*5s2Ny#n2teRjRQ&}uMv?AQU>KM-u6nJyTjvaM1oxjf&FYY-$nk%O}v|sj1UD>(p zOD!`a0|wFN9>|{QBN8eQkS9!y^BkUfqX+9L`=5%mb;%)&jEasdF1v8}du`bzsvCz7 zAJ#N947-1yE`a7-w=nCG_iIed%=8LeA`9?+w_DA}ncuHAAo)@1t;%PPO4AnRkVV`+ zVrNjyuG^_s2Yn92CbSvv;RBm~5aG>x?4!8IX8X}`-QVZq)JZQy~8D#ff#yV6n9sJ$= zXtUymwp72=KMH>mKx9oF9f?+j?$Aj$w|U&RgB5B+A4c21wLmeRwwP#-^QZ{+L?$dQ zO2T1v^37Xz8u)2tYpY#(4W|%>UIHoYa`+q2^)%;zQ1K?3FjLCP^uM3I>$Z{8Rp!aL zM3k;W63rt)=`x`Nh>PgvArZ&Z43yU)0Y;ZE2O=Sj{rZO-dy9Sy!AiAAnT9rfUvwk; z_4bt(m{jaZE?$?hE<)z&l%?B!Ycb@8yV{8ck@&n^OXEk69_?of;kXwLa5q5R2g>NY z8hNIE8Sb5ubd=(g$i6=>sOQI%WRJ*F5P|3d7PlWx$5!Mo$BQe_drM=ys{#ZH7E^#}S^t*&< z#g@!PAs9S=rVkMlH$8sdmlHcJF*rltxBQ;}I0B5A%3@9ipAd=P20RAakxgH3mw!4q z0);w7s*U%>ij1B$blL^CcjTCC5zzhL|H<#SLm2OA+6@WY>;49@@RO@`ner@$#4ui& z2qrIW$O)Ib^jxVsoj+PFc&yCeC_bz-@%sxe?I?Jf^riWXDTzw4eZ9~sVAHrrpZ)Dc zg!ad?l6#NuRCuNM;h-4#P|LTOC%zp!Y|PXZo?m4G+fbl9XJBjDVP8=v-TLOa<&UKm>2 z^tJhBEt+F3iq#gMmX>lLPYC~vx)-lscWa(E*<0wdVxH-?7}DSVtyiA=ctm%qNxkJ4 zf26BDs?5*L{eX3l&-OdOLa0YS^-$z+=-aL^5AXArn%~}iVe_O&2#Mh@Jt@@)?)i~J zYRA1$eU1wEYcIoc{ss=UwzLr0spj$b`r7YP4mf?)J$uig!-jb%YQQ^GC9U>5!;pF7 z;^epeQD;d7(2f$^YmrM?n4PbON2$L-N~Lr+8Qw2EK=%8$iLO0+_KY$;JslFU?a#VE zyA`5tf9W-UZNW+{fG9T7*twB%l+@)HhL2%c7o-=898#KhLjM(aQsjA|p<+Rc4K{=sl<1Pei3#So_6xplSu|F_n!{ z_aRg;?6+lXPT^n41AXWJmBQ6htSQub@D{uRVM`@1UZ`q1CiI|l71V@F%dBSwTuDWH z^K}c!F-ySlw%qz}{XD5U=;ZoUE;(=ANC{jKFjFjp9NogL6R?R8UUu6hkiZCF2$MVD z>2C>aTjIR-AIR#XWu5Wl5Th5N2Rrx}_fR>kSdT0*LN2d%R~=n*2kwr?r~t`>lYJGt zL6NO3v>*|xDXr@m;q8C{VXTY>95B&`(74aKH9e`}V4 z{hbn9>cpVyK8~A7{Q?34(VLVm*R24G0yERoObsuwa}!i0M<2Cq;=Y#^0TW zN?9M3&zw0E`tJULpkGV5;YmB>5?g~`OV6&#NFPkELQPEAPwa_o)ZRC4uvsQ~93T?j zHa76+F(HcEB@A&9M6RB&BkjM=&+28~cVZjOL*H@Ra*W$uzI<7=k?LPd@=B7BRcdlQ z4!wTih79Io1UH3SNp5S|3+AKrVf>>zncY`6e$MT%X^Uvp?RqBGfVeW!5K}*4m!nuu zQ3?u`I^Cfh8TjM#A#R?7-PY>jA69ZFvdCzXgOccZZ+-r3=N&}WOi%t9BKcEu?uZJ+ zl|uxG4e5boCtND74Tm4m#(lQowY>I2PMtaeH92j_zVg`-rb1+051ut%jgq%jE67O9 z!~Pv`DgDhGb|Oj7i~&YhHfEw13uC*tiAIZ!5p__A>5PX{?bBT0^7 zIDe6sSBKr3f#eQ#c-z;nRyl=OJjUI={}gTK{8MdU5@)7lb-a7anPF!Q&G;FslGVrO zRGL=C{9xB4!r&6>kbHkgVrAJ*~?g;5rZM} zC|!bj0Y<-%*J@fo;xtyb^_warluwj&!+gepeKC! zR}2go&{no&Q5SvEmOnm3XlMN$rjy^9iX`SO3|K}8lky+{gcH?ep<-aAtUiez|r zxQGXHsdW{T93L)v#7dEmB>XbdgEe#hq^%4lU-M>cbnVCVxBC0kl{Qmwj_S%$JMdV> zFML`pbCb&{rxPt2|LnH=S>Mm=$5BH_qvwW8qu<|c?OpRBAb;pK~nTZp@ z8p2l^mdbE-;$m_tHE{VS&+Ug9UP-`!`U^@ptxgpmt1vnSYL=3o=zuH-Yj~H!?sbnd z!Lt9Dx+OvSIS{m$h`S{OIV7pi`Ggr6LX89_Dw0&5w}h01_oEWm-wU2Zgrz-!NzrA) z*AJWTULZq-#BOem+;YIstkx%t5sUa=Xuzsh$8&X}3&`h7e1VB6A55;2!Yipu$rw*K zUNC-=Y;7I;e#1m=FXdFDSj;nb&#GritvBUZgV|dSswsLf$)KU#;q4#sUiZN;*5jo_ z8X_$G^!nx?*NE^U2UN?EN=uUO9agu?xjqss+@>In4j2pu&JBdY1s9jc(b-(Ux2odn zh_pb|)$zqiT&Dw!#4Al$QwSaM8czOlm@Xd&Wf+^{zz>l{^XP7({Tpg{p}A^O-BwH{}a?JqQo9Jdjg6#LiDt? zfuK%O8?^V+m$k4))g<=Mcvet(TomO*dTNx^*xU-?jDnpiO~v)lH&e!g(~q&d*@-`~ z8!~SjvGib!N-O9WV+dvF1xBW@cmsnRc0iAluwfR=bj%i}UJ>~s`ia~=hF1UHpC7*q zCaSE*c}TUI6)b9x9iA*Cb_-5O2YhX7^MiL5Wc}Lr+hZ);qtCYO%PQ95rEAJaBE>yE z)}-Madgkm|NMg3+$)MQXfYpM&aIb4`+<4l$I@U43V+=vxe?N=%4u5`jJKf@v9@Ib# zMxvY_CMR>YisAwj-dQ<)=h)Dxa;xXps+(U#vuIeB>Fs$}5~*z0yNysI_cF>4sg*8X z*o^AGDj%};*y#M2;Dy7X{myTH%(je)X+1I}mEP2+&cynxiPgWm{k<)T()v9^FJUDn z8gE>h4iR1BB$8wK%w6*r3%b*Zv_bC_D;p{WjvbTW?RcZ&>bq}SB~(^R&4V-OrVg2V zi4Hc8VI3kC7}acHpfT9xk5(7Bj%qk76B8V-59dgBPn`*Ey)0)~?cfZ1`f=zLk@&xg zg!KVDm$&J#t=EDwBBHtvX?>LFZ^&s~a4|I{_g(pDOr#N#sv$YU9emLCBgD)A#26O| z3nS1#=OWd-dJm<`4^&ik3I4AZpwBVa;&l&^bVADj2!l(?g_6+dPs+Btd*)vIN9Gz> zq<;jqYtAM?fC0EESRekn-tfEiRe_`AU%|8vvu8^Q;A;S#cuDumgq8hT;P`@k`WHDl z51)R-5aWb-`)AR|qwk11M^ZJBu*$(28pOP}&e3=6%Hx~(@pKHFw*WabX9!kpzegqg zr#AxJ=3P`(|Cem-LiQdjGRG34GzX!VtigNt?7a*A)whC)p{X>zyqo}4h&X0G<(Gkt zb6jfXb@+&E=fz0=n=)KX3dZEFYYAHVVN=cRy!+@Eea*H+z4^aZRbp$^APJba^*?(q zcJXnp$!-pweC_dg@4B&6Y_2_S_qw&$win;Jk`QFarBD-Bz&y#qc zRBEzqX}I>PDf%_DD+&{RP=A8+x(0Z(~^?-dpa$hmIW_ z8WxXB#u=VNP`3%g>zy&ChEFjNZ9Hg$*7ss|ep;{g{>O~PVwjCox@5Q0!G@|@HSLPC zJr&wpPAx4hVTl(3*~KJnQ~vBDh?eq;1UCongY$yb|6~DeJn!EUul3Kc+koOU|Bfs7 zB*Whe#W&R95ukKlHJYR_6o_l3@fwnR{qp70H*a)#zpx3j`jAPnif2FEHIUx?t3bOC zX(RtM4@CILpm!Xvi^8e(v3iu*?vA})C)I;Y` zY2B+>jH9AYRG;9Ix(Z7-IrY@vzp<8lmzM|k{*!bl8$ZC);|b5iG|F)j%ncMQN-;5w=Y!ttV zow&zqQATB`RHA38JQ^OUYe+vlI*apW2%Y?J_u2wP>SS=&pfsLKVb`iYg(9cBXqI0R7(s#Zb z>a?52TSv}~B+LMaq<<@_9eh4<0TbSQN#4nqoV)w5_;42V;3FgaW<*75-rb1d2_^O0 z&qrP4tjafcGt+q9(odP7SNJxkFuL&X(Zh%A*SG_x$z3CjrI8m$O`O)%y^1%W?>y5Z z<+xCxx9{q;huz^7dF|9LV&3%>*8jZ1rrMDoX5_nZozRt$xSek9u_N6(DwG^Hk$6WtDpd_UZe&)uKzG0xq)Ka7pNkQ4+0PL=Wl&<);mA{*Uy?dMN!Xv+8sauetNlhpgQdXI=mjwZNL z9$qIhevLPN>+rSxSz1GStPoTgW|y_J?trOWVx}YqA~U;$E3A2ZH6gY22)?+a(@(!% zi03MZyp5KV|Gd{%T79V^uk6fW(!rqQ>svLMh^M?-&oYs3scWI$dj!c*NGaxE8N%A? z@6cl>PACf2IiOUM6m9!qW2E?hJ~|Z6^(ONpR#uY0l#1pA$#y+B@jJ;}1e5+rFiK;&?$O2LHkw@skkYk4L}3&bF>eigq!_3@Yu8_xZE(lqKHKO;#2w$2iS*GX*sN>IqO5i;?uSji%$L^-RyU(+20x zQo{5v1Wasq(UJ4we=d*fFo~_lH}$-WU>%Vv&}3E!r5KW2R^7@yLZaBrhP#sB+AD%K zc!*$T!z|PcwpCXu%@RRPxPl|X>rPglGF4sg8k%NJZ$ilx2J~|W7s`GQgUS2+Y#2^E z6{J2P*wlCwd4W3}I(k%S znKe#`EoVtvRm2i6`q6<$=dFL*xA#2Jv!Wmod5hRNxZIJ`LrEeuZXjsxG$ZBN8nx9* zVvqSu$ms`{?|snK*F#61mX;PzAGC3rT3TL&Xig!s>L?0hKx9FFLGrv3JdM^=iL-Lp zYSkFmaikGuY^Z0bYQiIYD-1U{V}GlE_~~_K<`IrL%nrbh+4=51^8PXib8Z!@DXE4= zw+ov$ebF$gsx}cW0dQBfUE3IFVRbhcaxuic@@^gn`~)kcwPU*TxT1<|1KJ8bs;HpC6pA?eEcLN znp}dMun!FK4WqNyArxq4d{KX~bd2^)d(g;oi_o3aWdY<99An8VLu{jwGE^?a_tmB$ z!>FLK8^rC9hwy{iQ+DvY;f%S1-?r9!^FLkLw=nazri%J}GI?MkFE-}li+JJTposHL z@wVwNU)D5tbL`rM#+^fU*DHD^4;iu!svJm0&ygZG9#k7;|3ydF%HM zZQ*Jr20U%w3mzHwb@IDL_bK%^W}QYxW>Ao=o*p~SNNCshO`Jlc*0*m_A4W1${nN)* zX=L6#j*P6Z%1?g%dL!-?KNG2e*{D|supmaYnq6iIPL*YQb`FpIBpD^lUMn`GqSFnF zVxpp+c%)bzF|}IM#nuVmZoQv$Lv_Td^W>3aNBPPP{&m#UJg=c{e9^msgG}>Of zzrqQ{YJ^L0*&GUY?8rnmhXK+$NH!B4pg_6rM-eGJ7%D@*JkS%bTDjD|XKS#%tcuP$ zLc#qBhx0uO8pM>B${5yCPtE<3^O4 z^8mofo5X8iuJA6mr|LWp00lS@KHI;?UfK) z`|_8ir!xTPBASObaQm;+MILLnd8^%_2^9O0FEh=l{(#+EEn$!)YIZ34k20dgL{^cK zRJgc?Yj)Q#HNMS0bv{)?59vD@|0Y`hEoW{$ACLpKqLmf_I-A)#VtCScs5iMb0c;gc zV&Mz2Go!yqhM!lMHVfMw&e)`_rPbmccIh4Qqu?gaw!U@7j&UMyE#1&RH(BxGqU7B6 zfoHgGIcxya_Bg!f|7G3dyE6%b|B)9V+E3kOtA<`94hgFl&GjLhLZYJw$DPqWS=nLd z%LXBy0ql-gc5glOTGZgF_G|}bH643u4pDoG*mzxsK%PR1u~_oG-_n@v+NJTW6NXpe9n6ICyWC^qlH8Umt~ygf(C*Xqt%Cp2i?^@Zt0jjC_P* zWMJ}>qi%lmRM3k4i4!!4{r&qVI4FqYtJI4djdw`glWNk7vYj^LEzTchHAVRTRy!3z z|6pflSKjxx#>M2ZGM~}IabxCCkNv}mTt8-FZKdOOv9mwS3ixzgW$^;uoLCLhE~PB@ z>J7nkgQMayaT;KCxH*{WOm)Z==Gfbla9q)|LA?&dPB({sexMUG3UWA|d^}v|A?N{V zYjmIxfa^4Ea9*a2`QyU;b1^N*eCo7$|8x4NG9E96UZPF57(xAnrfvi2pRx{-#u}6s z*A_otnylbj(@gw{ID4sm0Yh0qtF4`#LAd-ba&*m?t*;&(P0t~gmJT`(sOWwnXZT6! zd-S4&pW;gqz6Elx>ai`)?of7#cVGO5qP>hS#K?vk%h-Je^GrUg2=t`m@5nZT5GIF&vEeni7)<`V0OX5xLD9R5l{MUxZqz%E zNphmXJ{@;7*YdaO$=b8-EF=s%go3^2Un{} zRH)k+_vfAy2Xs$H=>Or55j<7rI8uJ(q4bJ|u5L7iEuD?I2&ZF+`JFpi3Z01Dk|*-F zPy`BDf*b{R{xvWOL=y*T(5_M*b$KMx8iH}q*2W0y>bb2P%_tK-3M0fsbmt7mSxvg(>=bnFHx!k8^eL_)?M;q)EoDUUHD z8(pt&wz9BZ5Gy9!2gPi`@V!!yXw9-xcp!B>_qYK9O zx~%d8`;yLZ#okpI8q9T>w*t#uyA1-dy5%qdZhE!*0axT*>c1emtN)OUKsh-vA)8->$dQG@99VCt%q@f&Q`3z+%9J4pFwVGqk_;yy+Yv+=V?BcsUW+L85-I=YIjzu zq=+lE!%BK5d?iF{#gier-FHbqFQ+M=7G-t<1Dg(5x5+$v=1jni>caBG$Bk=QOglYY z<0m9YJa77Bnz+LRT9aB{A?*gB+YZwhja-NND8r%e>W8Dq_HV%daEQ?MEO74s9MmZe zDNWc?2jI*f1ROk>73#)7nk46!ZYP)$RIXBz3g)|9{({FR8ciZnWp-bE1ZDxDzJ`d~ zC)u!0ZxSgW5i~Q2$k9U2$0vj)O#brOOj$!i2Su%`z~j?~Xs%>=%Lsh=LG{|;(kI6| zCIT;*E8MS}#At|x>D{im_|Y9R$ks+5h>3}~JlG-5nR);f=p`!SN+BAmwV#su?FqV9 zw~JNSuJDT392~#6MEsoVj*oT$2qE>;x5%yi4edjS4h;$Yb3I7Qth`h@wVhNbk&h@K zT-069Fo#Y}*>sq`6iwPHm_9HRo78x;>89**RwIXJ;&}SaljbF5S6?{E$7!|4O7`nD z->kl{&p1&edi!&qQjc|acxt*4a3|~Ou{PvEOS65T+RxMq8yR~Vf z#PI(kVEXTWj3a5+5CGJafe~kW%jM6FqDlE6j1Xhp_}(w%4-4%Z@e`1UjI5C8-{)tJ zitODRUV}W8+?%G9g+Uz8+{o(rNR~F*%*_iE@@SkoF6mz_E;X=7pzM6w0N&~zU%9|5S*v+)<)Ucf6VtOk^ zCG{n=QGLoK_5}b|MJQy^b@wkOOv>)#zwm#e-<8y9UBOr|_uc&Oht2NH3QW?)(7Idv zcz0&x3v#Q<2u3Bd%O9X>nyPvife4!vm|BSb$OQkRw(!;x2IIB^uh1{9;|r z>G;pi<}L;L^3HUFBvs9anhE5@5-kVQ+>PI{))>hyFD)6Z_LkJzl{Gv0J>VxKnnV*e ziS)0uoj{m`f$%MzCj{8x600yis=!Y-Ir+9e3!V?8A+z#MeXwrm?Fb!ECk06{DfH9i zu~AA)IC1^Cy%GX||LX3zi|xAlAY$=pQ~lh|5giantcN+RXpc0|a*7c#lAO=&1rAT$(TrWEm!5NyT`m2I?PeFt=* zj{;}kFWxC*zcF-aG z?L(6Ftr|&|k{3-vG%{HP5M3LraRQ`4IrWC+>kaD2_2{&kFTQ-cB$|1x(l>IpZz)W% zM2+!%TVM_z+e}Pk@cH{11wHS1K(2W34rX~$p|8J@#)@3rak+;Vo%eBda*9fn=ZU8_ zj2NH<>;aXWjLiO4MG_%g!%ld|2Ia-R3-<$UF+*L?`X>7_g&dfGxEttgsYOoyyk_-; zPdhp~I{c2nou>}hGa}5$LtmUfF0n4^Hb^BdD=Ou~iGo$4o(lbvbT?_egREl zNcf(VZs^EtDSBh~bC2b-3XwS5IST$%Ah^LXw)&A4<9eCLv@;w_$o-obfW{}4m2o{i zVj1E7^5skBlOOjzu5P8|Ox*XaC0NXy;}U+EiObNo;Yc)UxR!6lDThp)2N!l#%Ra2F zDMAB0gT|IjE!n%%OPyOiJC8b-T#b(8yU=PA;iE)0~-5| zgrg>GyBkZ-^0ClT$M!uloJ8cv;DL!}{B53{GL;HCsC3Jg4WUzIapEd9b#31jw5OhE zIGal%+N4Q?Dg6bsU%q}d#Ibk!tB$!GF#H?J5e4`*z`DN!Sc0cUtQ56mDx{D`sU{a; z!!NVT{daqd`&ol*BaA6Az)vA}I=FSS!k?11`Ct^Xf@y<}{Q%P<2yp0X`926lu#MQV z*2aSK^x_(z&Lwc_Je>Z~uZ_eu5O2d1oKcwOUrl2Jgv`U~D)}6nr1Y7?A0xR$%?s?G zT;jSs+so9y`9ql+n53x`F0<;ksilq?glAGwNN}-uY9MLSBbFUZ(jlf1A~L?JUrxaIa2fB874Ny zB7zdL(|62>dGCDuipcwO*UBTld-T9ZV4J`Lh$R9dnD7$sTzK{p4GxY4`p6_iyn& z3JAuDzDG*#$i)~G2tYGHQegTLa~DZB+-RhAyL)C;F6U>lWc$q|*WpKIXJCgnLwZBt z$SLH}lQZ-L|0&|HIR8%lmvh^HtNLKc%(+;n!RT{C&R}f%@CHY^5fMzXM7x)+;4W7b zbHDiHx{!JJlnVXkw?~g=1m@Vdtvxy?sn}{eO|91Q^h5)jE2S@)NmnC{9QJjCfR(4PshJ?Gy2;e)S>Mk-g33X>1K|!M`wXVWn9PJ zpP||&HnbFXZ>pu98=jbuOf>qBq;?102pTjnQbmjng!7&h#Sz(*u9`$oK_qcQHu>Qt zW|(~m{D9=2IJ;) z!4kV@>p7V+x?8AiwcEtbFCo~6mS_{pgbDl4GdtA=w&$CIh4sp9@9EQaKAm`N}})UAw6O!%X#a{iuXm9IA= z&C}aO#Si?QO|poFI>?hXVpB2w|7&t46k4PjQlQXA+X<2_`$Ty&9F%7((` zJx*Su1tN#)(3X9KjID(wp_sNhjGpU&2W@}Y#S6+(#28z)>QGziP6SgCZ(yv$ce8@= zcRxt|r`{8t_J+-WMn(vt(8wwxtaaR>F1{TBM1TnuFU2A<>nH;8`4zw_y-5VxhV;(} zR&>a}{|hbWrR?XR=#(+4%W z_#bdb5&0Lgyj0uAen4)zx1?9*gE-~HxJ@BEY{qB5G<|l*S`9o^TvO21%B>p^vC_sS z0I$*ou8TH^v`{sOa#)x&G;|0>y*Y?cFmY<6Lfe%1c9F^`}>lkAZmYUPRSj9)*!R% zeM(9t_fU)IxGU=n0EOIl@7Of|0Q=)@YhW|ne?h0tk$JAt0j2?fZeHKNlF4kk<4{~C z3mn=JXb7DhJmWQ|&8Ngn+E~427hTVtKaoQoId5{W;~uW~+O=sHNPlaXG|rxF;yuHw z8__tWV;-*?sM^MQYAvm2bY&gk9B0kDHWrc*NJG5R^rWuy4}WXT-Di!&IcMkKz{V^S zcgT1K=rX&JA)8p;@>HRppC6H6&UacNbIicOK|2#%$YA(E3W3hf%lkEYMdc)i;w5q{ z$6ew!G?~IlQx!GRG&1}?emQ7KkLM4Yws{=gipPGVD`ju)9UgJMwCa92oOLD6?-hJ& z3$CTbr*?Gdnd*>sMJuhJGa~Iz|#hkM0cVsaSe=SvVfMnq`5V;Etpdn_?VoI z*|}_VSW$^S=3l`xb@$3nA`zo{w~PAy214$WuA8GW6ZF2Qi0Ei;dMdwU`oxg=M4$Ch zJYjH?Stjmz!r3;EL_1ln4XjxK!O8co|+WL&W^)Jh2Gj5+SHs*(d{@%7fIB5NTj6az*Lxu*pTcT9; z`YAcd`5PbICAP(l9$WUxb{G@<_-2vwb3E*1PV%}BUZ~ayov)pMVzN@WY1X)2DQd#QK=!Me{Q!A}XjQb|Bpf*b zUpg_ROd>>+D25JOn#aE+0?-Y2F7CDyh~>AtlJn}&n|AA9 zZ_2?5r?Imp4-2i#_p13jG$FgB>GKGzKpqxAr z(~a9ltn6?PN6S&zVO}B;i+=NFE;(Ua-~0EC#0m{w5t=Q6;i^4T@u6Vv-_!xhkDs1O z6kr{Uu8uL!gQR(w^Sn!N_k3SKQuTX(@t!HP=B_KjXg`Z&_jg7U` zM@z3lZcm4h8iCgxN)qX@lSO!Ke+wS3$;*5%K#9p6*&+(C=;cc84!r?1`_~!lcHFJk z4ScK(Nj9Euk9SJQrh~YEigGE``gV!#tWFil-GvMjb4#`qpfX}=EQmPs)U6@G-V=S!*Nu!SU#_>#_ES+&p$7z? zLFC$XRzJTdmpAWs6-kpT{NwVoeaU4hN87)1qeQ;DzrW+VU}JXjp<232`7yioM%|`p zE)Jh+Zb6eOtzGHs*PpF}fEppD82VlYjSXog3-Z^CMOgEtcHS*-yRz}#4x8BD%(wLD z2OBGPaJpV?ADwL+y|$`h<~Hg*kPog{SMSxRfZs>6f5uK8mX_o#Gau3frVZDA>v5jb(vQ6yoms%cLhIrsJHH zHwFXKfRl-dO=T()u{1rK^B~9hXgz|p3E3$OA`f7z5h}IjY_-gZh{aLMK2b8eDo8O3 zYLMn?{jsXqkHX0(fjv*^WL~Ik|t47zY|8|*GEqhVix$&Q?_9~yU(&IF8Qo$ z?^c4&$}rdB<$&@&1 z_4p?6RMQPf*KU@WiB;6vZ?>mh#ywuytvH1I+SH(Xqv4yEFT>uw9SiKMn|*BSLy-?# zu~ZY0Joe%!;34Iu(%f94H8+Xv!w|IRy$cU5?wXjWP;NY28b~(q|Kk#O_p^~0i)^3n zV-LZt?$EiH@_vaoJri2(gNaLO)1jAepWT!1`SrmkX%BV3x5M`>2lwvXa`QdL>?2Q) zS@nMOUY*eSpy=`NvZ`vWtPQ>ST#&s>`p@3LQtXI$vmu%hzUxMgwe7hsAiE<_wg1W3 zlU(F79UUuXN`6fW8HuGThh3ELNZ?Ld7qck@;N?pKMiG9~D1)#DPPcZQLxo#ry2=}e*-MbgzqmL?XBcf+9 zu1QMoX8n}ZH2H6hq)E}{=)aRQPS9=HMqbaC{vD&PR+e1eX?UqgRjjHF zP6Wo|Z_D`mEaLV{hbi}_`!X|@$6k17#U-_Kfy3zGJGKOZZB?X&^0eQOlp>IDL-Xad zjC)_t9S9%D5h(9ThD_Z9g_#tjvc-nmZ;k7AT)FdUJIV8(<^p69q=o!6d+i173;?OgO)+2 zI01YzJr=lxWG*CCWdF%Paf@1{h;P%-!(+Xe=&@o%+J}6gI^aPDgoXSJxN|xFDT(h@ z7-9*gs@XCHg2?P zM#iv6X})ybFe1aJWJi}iJ3e(ey2XW0fyySNtfEIWyBsM1t?fofagVcHFy;1AHXs0O zaof!Vr?90oS=$5S4YxH<`Carx^{K|U??_j>$ zV|s2^Z*n)mAZ;^p#7=(w-(Exyj=)w8= z7^lX^$vhqDRqr%;6p|HC)A=Dvqsle&7yx5$>YkiKPaB)QeEE`y=P|pdXh)n7V`+8q zZF~E?nqS$L(gE7vo@&VcuTrf%temymep_&a1s;C*l_RQc%{avp@!mHP5(Fpv{WEeo z$EA{6UQ+x{(oXYB(Pfo>b;CI~9kawV7Jo7Pl*FB6aL-tU!_z1|!~Pw_#NVP2DFH1L zzs=dgC|EpSd0mCL7P=t5({*E6jeC%m2BkRAL}1AXvgQA%fByUpqs{amu*FOpdFJXS z7oM!^oH7;b)iV#Z*VZznvJWmT%`D9~VQhacp33&%`Hz$`rGTxY_EC8xo3|pzsQ^s5 zsH8ot<%3x{l{QeK262n`z0IY{@SG)IwLrSef6~(TboTFmE0^EMkkaQN1QX&^v0s4u~d(1z8CS)or8g@L57IurLn@q`Zt@;mq3s8wePPG92K zdRp;BvR19=`3aqNZF46f6oo=KfQRgq*Ff7bQ@sD z$26$)vK(*S%>oaQJ@BZtRecdj2|||pMMV4>Odggz9*zBJ6~238q+ zp#?SQ5H{@-r=_GInniIlQiVNzfp0Ir+g-+!gN-oJ^0g7|o#@S@s*(pqNW^c!&e%!^ zM!XyriVX%L0@i^m~KRdFjW^+U%v}++QxFgf?H7E0VtuGKCAiKu&4DQvi%reQtvSr7ndxkl*WF(->G2LGPmeTsRTa% zp;Ej+YqpiB_KT_!JKdf(yXFjP0dkD#xTuX%-pAyO_OHz5`s`Kc$6{uqqB%VBX{*?a z1U02mC(Z+og9w>)htvVjHUK5k;Qb8}7eez4WXm--hS~bg^E&Mj&3Dq{yt@BT^!5i< z83Rp@jH{BP>qE999o0+U7c*0Rs7}7mUyIL7>vPS1=dXR?0yUOwtb={gyy5pL?&OpE zw4}qUmXxk4O$+M;kCJ@&Wxrr;#g6|B0+Xuf)^KexDgBC#<;`aX#V3ojPYoY8IhKot zGAY??eVSK!eUh0^?-@|q^4&i2lo2Z%%QjB9%Msb_rFXkzJLxTKsm0jRJ`oj1x7|kd zNH+Grfx|{<4JNH8OgIVe*joJpsV%oO&G<;vgB&s$F7dY$E|^chKV0yi_WnQt0)bKGmyBC3px1?#bhlcj zi>LLLg4IN;`TD{_!K1bXjo?Id5Z%Rzt*X2Q)M|MX{Yio zj04O$lzA`B_P`gHeEa$t$C(NGL+v*z9jqC!kcC$oN}YxhD|QtkyW$*$KlL9xv;O!1 zEyvvcc^XnUHq)>hQo|N6o+R?-GbPlSO8gfrwwZm0=(l4_~$9t2@u?^M{+a^m;1}h4{yShQf%QjC)j!74Bl3CmwA) zhr>^;RNPY~RvVac`ojoSF$YQm&{20TK}j$n@M*}l%V_ue#cfENOonWNh{Pa3hC_0I z-4JPfP(pr;i=`9_pl9Hg2*r{iD8Y1{tm8~Zofb|FurT7|N% zBJBiSN=}9>>F0~}F&lY=$l>)im@~&%W^q?jA(m~^P+dzc(%yr2ICBr=AgmLWfDs+scbH;j)K2Z+Y!+-av zde0cfFBLB4c4a&dI569@Q?&XuUb|gkn;cxL>IJE4zGpG`9w#yh^EF9Mc(@lJy$eA+ zEfq{`%DyswP^JH3Wry zf2+Bw0_sC&MNwspP>A?n~wwZbI7@`0d zklwlXo;8F3EHg&hF}kDVYJT`N6#_5^zd%~fq}f$pB!S(%(>>6e^Z4N*ebH=>n3Qs^;tJ>RCl; zf>(qnh@^msd6cTu1l?TRkx|ibQzj!NMcGK#Q)(}}iW-#{iGTw!@R6k4z5@k7Aj4)@ zx%PQ-6bo}vdP)R9CF4~$a2#ogMm&Jr3G;Db69R6Ks32tD1_3pueL&4D1M)Wg_qUkQ zO)0fWCbTV+37wRH{nVr+3lJ$#!gIR z;2u9{9Uru9JV}1Q;=T^3F&QzQiNny6@*)71s62umiBTcs=NeQ!57TczVMEzXYtu1_ z+lSyjkM;$L|EDE`P!6;l?Jl$xBwCJK=ihhJkcik6Lg$Op1Z8rFIX3SRJ$x&`o?QRsAx&Hpk>62UTk90zK;YlH zCzaC8KqSpEywg(KXyg7iVbY0SnX>Ko5YSUf$%nqQoOOfO4lg??K z2i|;W(wX0$q(9S{SVXV&NSXER8w}6S@-^lhMx8YFZ(z2%eImguP$k*VBD120S&pYc zx4bNCb!n_hiUk>ti^+MvIwTj>ZD$}VPz*sBH8)%E>B0C3Jvs7z`C_Zi82lmbrdz00@y#8?>EX*8iOG|XZwvzCc-Cl@6m7Q zrw~L3hu&3kY4raHkipI_VwZ9 z&?MMhMwS3F;>{I~K~~r)^KVZOfI(Gdl5v~doaQ@Xpy~HN9RWepius`zpQb;X(Kh|E z#JcJj!uaeqpL1(t{&t&&iQNsXgO2nQytlne9ifF%pq#Fa`s;Kw6WbnlQk$~!ch&YQ z8;ZFkkAyTF&+8|LI#MD4@$Dc|opR})8tO0K@K_=Ca{%L4F)Wm%kT z+Vu6DAxEoR%bXbZkA*Njv5L%Vyb^j=Ec!6_$|hD-yP0F@VLAbeV#KP(g&WG=H>D!Rq!cD=XTtO*`Of@hupP zF(>uszC}hgZ>6RDV(nRCM8&ky2b;|!b1(tQbC0pUv^*HJ-@k8P*eSky69WkAJ4oEJ zS5V$S@|-UoIyMZFZ@zT?nC^6DLGMPWNJSQ|pa@M2qO{#^Gg@e`V<1^W_SXwq;M2b< zwZiO7cB=vkqK2BHziI$gb)En(Ch&E$wkBgv)OmALTH(}C>_mY;SDT{pICtx-q3;kh zQU9s4kFvI!qsSYPI0?sSkYdqiH{VeX9~$YmC#_gBw?fa^|NYyJF8^_)e1nXOa1W^N zJB3EtB^}tmp^o7#Pn(ba{P}b;SBB9e1*?+Wh@H2F!dc8A_Q|Y!mrc~oW|2qpF4;N* zJi2k#zVHe$Q=d$T(7*S$2^Lk)i1u0M5)~4>iAlM+U+8xrx=Z&gD<2~@rAqVGs2>T1 z&$Eddy8_w*rWb8y_(PQT>(f!f^$OKnu;+0|SGQOju;bI*(SQ1xL!sZN^HagbTj2_& zmExY~bFW5o5)s@;UB9LHw>TBdpel077$mUe?Ty}r$gh5WQ&Uq&_j%pIYmGKa4+}e3rDe%GU_GTTG}nT-gPf-JJx?1s?lPV+rq=gvF7FQ2~}kNy32+E6%4Z zcjPNhWxra_0ASnP-F-0dFJS1m0B-_j@G29wK}hR?L_XxU+-Gdr!LMX^}=!e=W|N=J|CaoW0_8Lt#SN~v(G z0zQ+$uVY*dSZ{(a&$(JQ%&$nNA;%wS13^L5vj82Ex{P#XP9`&DNNhs)%%?>MSmG7` z-W^TOxOcnwU8B*c$1A0DD(>Pk0jAcba)Xl>FK)*)y_s8nQCr~Qp}|Oa+HWxtomSzM z+js6{kA4n(FFJkkqN}sck$a>Vs-073lQ4+=t#fFdbdB}O5+KchUUT;C!ZjdF) z*7fd!B_KjIwM|F_eS4=*g(OA0Nc75R8sW+yMEAb6%dgWiKVog4jGr$)DYi!BPQcsn zX)!G$M8&&gJ*PmJ}t-Zro}i0U2x#-T(@ z%VNO2m1UQSnChX2ulOWX2h~1?+1%phNy!$|rPt;5qCQ^EGZvV|$zIG`Y@U-h-<4tY zO0P`5sPU`Y)jW%bw@bSV9+X~uWcF&dv}vt#pwspmKp$At6DUZoYtsqU^xcPZOwA7{R4Oc=0{!Zx|fsa3k>!{s^U(%*CEpd~iQ|6v@@>f0^> zpT>K|m9Kb|TeQn%{ckq2tiD_x15W2*_xz?Qa%PE;r~WT=loSC=BTIeCv?TtL7H7fi zDY_=LQlGx6JJ+GLY3>pMu=Yl>-De43@l3t89MuG3)vH z0_083TnS!EL`Y4K7;IET7UMN0^-G7E8#f0#b7#E-O#H5Sc&LCmKwIO@uWme86@7o{ zi|nkeHR)%L(ptQCP`}V$8UDa)ZTEK07Ln?08S=0;8J5vLKUQ_`Fa`7NW5=q(PgQCT z^M=#ojScqMAf%rwtK-Cd>+DMrUFt{MJYgpe+=H^;d+^~(iJpJ;=t|=EL^AbNlCt9U z!@um z##|#KmG1NhY|%Qij&?F8=d)*)XXc|mCO%6DTF_DJ(5Z84*+BV-Llq!k_5{6D%DD~d z5A(KcsW@g9oTaTb@2)Pp*u2p(Q(sXPST;PsFi;d0^1^LMGwf$zB)@mB32pHB~Ey(FaM{C^kC^i(k@<) zjBSA@kfbTI09=QjguU}%DF~Wg4Aj)5EAEQ}L^Yf!x@c~=AiDv6)%!hFulc{<#6fxd z7wseZ)|sCv$#JrQg_oq>fMNdD=4CdV#8r>{FD?UmwR0@UWvIr zpDoUfpdS%`YML#_Rd>;(MShciMU{)LRTJbste?cg){h)HvT<4FN2QJF7bzeZp8}ZF zM{SEZ+QGnS>FMqFO9fX7|C8q9B~}ZnfHBY3VyN(lUT~rDSIT=RBJ227Cg2O+@<=uH zoS*nTm3^GAw}FVRhanW?K^uFb|Bm6Nkl{^J#~mRdK1bh<(aj;r7Yh0y0; zUvoJMfBBAQRC`hI{pmrhLSYkHo8T+ybmH6pm0^+Z{8uJ4JMgB@6t~r#D0X@h#-|~p znLfb$+pc-9;C87yDaTnZg+I8EvS<6NQz!PF`>%hj*}(mn-uVRSQIpcU;{kgit6p^7 zjV5<)57Zt*tj987ytw0uA&T`PPH{gw9HS7BkjLyT4j$Y75+N~YzLdC4F;>Pg^DbB4 z--7b;!|57ISzygkJ%F+HE}x9FH1#by67FP{fv7V&Y%237H>u_zWnas@ungaFui{m> zQo(?{g&67g5U_U`T`HgM4pw1Ip-A3B7Skm=cc7d|$m6+fd*1NN+xV!HI0tN6G8Ws( zLpo(Q+@5SNdb3`|mM212-9CC@s5|^_UM*Y#aw)uLfbqHp{nSWn*U z)A!#A2z@rmAq=MWm27{UIiSVgGJx1&@R^kUmZ%#US`MS+1@%{Rr-_A&gu5Fv^3up3xu02bPmb+ z|AS~I2}~wZ-ywQsji`quJYNCAl*~y-R(IQEX%hZW!<#oxwWbj=HA0mdkpoeH=?xrd z1p`m?NRR%M6Is2mTY1yh3{6Lgn}&EDPRq z8A*2s9$zvE;^7VL3>4OTQ606I*ZFWvhWBsT($_c5sPD-$Z>J=!&0bsIjxAQ4C}fmU zBe;@S$1W$Gw+0lRt#Usg6&^Endm{F+_5w@rbvR~JR1bw#i&OPxwy5w0wK3n4I~%z* zCn*^lE=);dXOqhA`eS5gAKJf#w6q9#-1oR*SDjO<>An`OqA`vpzIXCyR73-i5aX-l zNr{wwIV3YXa2N=`+SVD2!ZsdPShP*q`=7M#O)xLRj zbQB^jWx;e1sOIKrv3)3FY}OR^{roalMdM~y2>wIXOZwRtlDD8v>rSYcwAF#3SJPD) zH))@o_-f<~cnlnDD;Y~K903Mh#ZJc~7Kq?pYisMO)ygKEc1gp07no>$sxI?fix3Fs zjZkS4{6VjNvLoaEF_O1{B}6f($XXQe_f#2p?Oni*f|t)ugx(0@zn1f##tf)Ax8ts%jPc^y!q;N?H$c%=&64wYXr;! z^KVd5R#8`WX?jK#=Z}cfsmitHW@Z$cMVgoh`ePmK?H_YWp3xaX^;qvl^@r+aEKIxI z*>0IXM&S+E>)Pp^2d@SJaE(qlp!W{diW`(4Y?ME^oXlFIedC+7fQ2N<@b{qU?qO}A zc=w&b^83x=cBJtp#`3rbe?0t?Yrpz6_RmC6tyo)OD!QL|Vw&4KB+gPs%5@IOWsa3RxrELvo-D#e0*NNY$jcmo^5!)eJsitZ#%rtCxuo1lMseAjx z-UI32xt4ssW@M$`ImOmr^m5{1C?M5Nk+suxyl~(eDy|QCteK`?ExF9lMAVxS^`w{e z^!QKQUu?J%d9TG5-J!eS(*VxOU;mqklwIrLT2l-PBOKy{M}L=rok-d0+>dN>L@i&Z zmE>T3G*x0{F=$e3AEAU=l0=Z_*B7S-TC2HMhfn@X4{l7n`LPIE#`QmQRmqp?< zP?^F(;3QGCMkYeTdihqLi2apR4itG)Cpvc*mdn;%T&JIQQ)?EzN6L%v;;QfQ58Qv- zoVsJhwJ)?Nd&ZuO*Ro)rWgZj|KN&Z9>kMt3>1a-LEXNA_J1^R88#tvz>=thJ;TUD3 z)e+i;_D0D@o#$VMUDVnAAw)a%g+#Ab=CCJwbz4ZB+*z|`TlvYWt*=Pm_a2vH`S^|E zevSv;5Yp+8WT1|Rnjsy;?oD*b91=lvC0^N-UbkT-uH(Q9o=JkzNBD;!tT!Z2qH&Fw z5AR%pA8Md35))&MvpgsRq=KYa;%b7@%}*mq)zGW;GV!kIn1yU_(0Ics0G;aKSM0Pp z!fbmP5qE{FE1N4yzH#yQ=x7C$esJa)a}b~$ktljA=pwyiH=;Llea+%xs(Aq6boI%l zZe@)kv%l(Y9Mr)dO>o2%3WJfOrjYvmn&viN?Wyo*bj#i&7;=GOX8xsU>zNi>se@z?Q?v$VeMa7tmoRVgS_Rj666;M3bS-=}<3{xfDNt@Co;KhXvz5IUxPVvk^NO z?<5vo`Rms&1{*jf69!^E&viLlS{}f#dxD2Jtgl_`)=D0PZBj@g0$rrmM^i#^HQVHP zww{obl9J**`!d$Mv8>hkwNTE_}N;|SILpXEb zba2a!+ZVmlRo=VDOE~L>=>J@b@7ObU(p=uQ+g^^n%rv-lT1Hs0s_y*$`#C4Nqp32d z<1!kb19x}YA!?au`;$y26ODCI@*bt>iqHcZh~8B0$mra_NBJey9EcZobDVF5tvF$p-+S+E(_-l`9f%k>lCB@QJU?_-5cxuyyM~OEA zL*`g~Q#L%dbg8(MB@Jmo)f$trPL-_z8Bb0*lr*zrM;@jH~yTp;hLWqd%>_|f6W|rKbxbED| zlE;jIKi6As7Y9dWdAX-y3a| zx>tdBOO(k7IaNt_DQNojejZYo*n{uUDisN)kD!(v<`LB|IWc`g^mtmeN={==?5_E} zY9(eV9Guq9md)WQ;o?Qx*r$8)L=tUB_7+tNHVNrx2c9~he@nf4GoREz)2VkgvUZ7f z^TRa`pj99@moXv)cX_f9|IvAk4-x!o{Ux9T2RYLs z47@sYBw|FLY*yOb1Jrtw!fPZQFz=5hJpmPku1NJ~pUqmogMSA{z(~$TK z70aI%#gC=t`q4Kx&4tguoRuT&rE>q$Cw99p7~+edAQYFLt?uZOdnBPcv#({J@_~z3 zJx?<^|KCaYe}-Z~&kK8oC$s=UL~zy8hlcq5j?fP(ICMHiHzynlarGi8~ab2!S;YtMHt8+-B|0SwbPrQ;+{pFDV5 zIxe2#sYwFT<*6O2O|$zqluQ&^s7OKe`3-M!e-%|~@eGQxGzanSy^8*P$iOAN+5EH= zy|a|g%EH1zP)(s9i3PPVrnm!mYwhi=*V?HDgHzGfGFboIT>`dJlc6;IG;2*NT^ zUXhUZYNVl4chE7vT6A?DR-E_`huZjCL2?KPJg?h1IPC<2T=f;+YB@kJTKdNtViRhO zU`{sS7KP_{i?QKln(39O+towauD{^Py{G?Vw{znLp7w#HLblJZMw4tU4!_TmaMnn< z=NF+@chT&qV72xee;r|3`1uNF74{Y#y4@wKDkG&WCoLMcv3%&s{uh<>v0dy)_U8)k zopmNcPjn-JQ+r-QaRYWgs-DF2oDV+rGW4sRP&eee7^&SS3->A8{Ybp8R8q<*$M-#s zxc~Lbmu)NVWhnyKPC-D_@_v=@WL@q1S?vS?GSubXe~K1*1Mi@0Ud>F|-4V0$Y2oqi zGA+@s=uSX=LDdtS2HIB{3)psCxNbLm@d>DvUg9A~t5EWDzU@dw%f04h$Q zD(1hxcd|X}`FqzSu?m7t271}(uZu3hq^uF;7&=AylacamNeNm)+pr2-C_Hmo(`+|` zFbyR=L9;}HI9NeK7*4VV_1FW+eg%X~drb8Z2~Gq`uygPYwA$mI$+GS_&KgMcs(PPx z#fSBmcx$0|FW-7rmLjpTEm13=@Cp+io5WcpC1~DZn|*E{2bg5rMw;jZ%#N^o5e^cF zmAR0#8)S?N4&RQHIv+WtmzSH~rcQ(x0A)x~$`nzf}H zE6yHkEbMoIwZV&p-{v@PfamXh$MBaTUGUJ{MbTZA$QTkb<{BLtnH))bbDBR1$HwCH zP+iW0PkZo$euIfg<4ZU0un?Zebg{j9-;Wz0TmKgN0Q=7~X5k48Vje(E& zV}YsT-_>0lV#+yyqdSS3)HW-*SQx7WHmNrwzl((>8FB^RO*KALRqg#JS-=ncCavrN4yH}9 zB^*3#%db67-i_N{YUxYXtNR=td;0(Ib>87z_kZ92qG-r!*&`z%*;!d32~iTVGLw;v zip)aG9vRs}GD;#uN!fcuR7MD?gfg=3=cnIwUHARZb^ng@IFIxE9p_Q{em|f0`!$}= z$CKqFTB3&NukESf#BUO%Z)&;&knd~q!Zry+|Ay8j0jx~wqA`*ozb^20yVJ;S1piX{@x8;6_t&T!!r(?$ z84+8KGR7?(K{n7Ti3y{gs@LaxXw8A&S{t6gt0+i6tpcx=^Wo>Dsg z=D&<|WIMohwDcAqy5DqgpTgBMU68NX?oB@(A(xKt1`xL~J#@(wHRB z0t>cD_f#7P(wB&ic#hrTuQP@THMp^mPPMXt6sN^y@VX%tEj1eCAf@qOrLato6T zku9FbIz-8qkTRvJJK!&8rGgK`eS z*XliW!n}qErXf`$Gs+*FB&?myT=P;rzcL+A+Y+NOScjPrDkPsk`)I23DA%NCD(8uS zH!^-1H!Rr{Y-8ZoL}y9Qwt%6=x3{V)Lt6cSnWm_L${Drf6h z2whAF^uweqcXI93rsCsNl>)Ao$7_}R!tY7lZCaz@cN&BCkkP95LV@I=MD<5kNQRle zWh*wfwEWo2*~ySh>)0xn68ig|BIM8*>!_z#0)B`G`+3}$i?O?NOk4ECd3)aO%&zvM zJ=1^o!P#}o5~}KJT4aphGmQyv29Zs6Jz)o^nL@uKurmh_0wH+#ch)L}=XB*VnI(m)mZ-KYa!3V!5k7V;cJL79+cw z`sHU~dU~K#q07EgsfK;~n(tf2^L`)JHF(Hj{8tUYZ1qiq)=0&^2l9Qq^wi@?hrOsv z$eX0_Wi=&X?4D^TboUDtYieZM?3dRq=StRpUduvBNeptKeN$yZCDPQZ`NGvQf-x`Z zrmthChEQv1>(JENzlo$3lMLUDAj=mYYw55}tTVP7l$6=R|JRY{@zG=DSUwO5Hj#f3 zmkOEuTMI7kBO*UEcoX)Cuzj8|*2?M5xKP}-%pER&Bi!e^6{X%jdJEE1Tsp+6l)5#9 z^V^Dlr-sEqfSS7NLYy6w?!XL6fe-s3tp8{EzzUd&M9@(zAhnCiTYb0lWEu*p5Z)(! z_n={IGQ?Ar%unTetX*{CvZZCkf`@RNc*IGbP^-ZBHHz#|CT-GIr4UDagia&4P}fxc-8%hB#zESczO@J|`d0{Rqh8@bW||mF~VnmGG#( z`v4C_ymAW09}m4qy2f$P%O5GMoYN##OZJ)EyeC|-<;CYKEJP>>sT#i&#lM9UCJdX> z#(o(+v%W*$uW;=U+bVEsoTTHb%KE=J;n}y*fdp`*=P_PDLP(N+w0=k5eKeKAaXWp4 zS!r;x+$kiSqZQ0-&y%cP9cH8kF5eOo%rD<*f>aDq@eurJ5--&V0bKD zmv@sGPcn zNawZg5b6y7Be8#cnGmkpKGvz}Zxl)P{p``B6!^%|Ty6e(hGy{R?6r^!G9o|vCtga1 zM0j5QUB*zTUdBQSn3|Pi56=;*d}JuJ&3z6$fW4GD7P5zRk7l4yfFXr!>b=ZuFX4Qb zYl75f;aR)WmA0g_Pw4VXjLzg|3@IuoC{SqY3GK0a-pTyu#n(6IxTktAkIghr7Wml) zopU%@QnDv6cy*o$9BtorF|WiwFQFKppJ4r3t5g26%#TBf5qL_ zWd?gaZNCMF@x$<*{_54B#fP6*hikkk`tZ4=^5dg&;k;`|i~-&v11_!)x#hwhE6qRb zGMw`Ds_wQ3WAN?j;zhLQYKd_0!^Q@)F+0ju|>Ot}wtt!-^#FazRjO@WUXA}lf zO5MBu_@2x2fRlw^K1AZlHF*VY$>3jZ8AMt!*-5*g?LN+Khb|u!vC)Y$=y~)Ey?n0E z-+D?L7!4RQD1BYVf>LuK$!F5$Mfkv6s`L<7M{leX}9Nqd|D+ zvmZF$`0QMdQ?w|n26{ktjFjtJL3IGKOwU_se@ z#wc&5!@;ky$uY$X%nOi%PbDJademT_8j(-@wMh2D0t?fJ}1Sbsc)R@0JcEK7;x1T4Ewsu1*{>^b5w}16_#?`<<|;Hh zD4n({vn}r}+hcEWG1H&7mcKZ5v(TPgivWA7gMHh&J7EEeeCxz}6?6=giZ$Azz~dn=K_eBo&04OS#mVJOnqhmQwvh8y z*+7c@D5&*i)8n&6;TYgU$)v(O@ZrN2lrxaAHZOaw{CF|S&9Qvjg_RifEY%+J4fyE% z686x!=0n?K*8EhK@{e)&?1Dn|4Z%D2?8D1-4_Hghqv=S#c6& z9`WNT{zUF{%b#oVnD|Io%#PjcoVd|yEAF1{t#D{+D`vYXsg^e+s2AI=t=2cCwtxzf0YB%j}RWdAc4|@9S;{6!^E_2J!^M zy0you5OhCkS(0DL<+dwT9~&+KKy_#Xqj)HcZ4@!+r^Q$yX3<3W7L0KCNR*=t*{tx4 zsGHeBu&Yb88fNmu2(Co?Ch4Y|%!(WJ*u&Q#H zdS@DcbAg5(D%HCfBpF|M3#?SbA3;g|_}_E$f*I@8DA7fb)#P1~*7 zrE)n1Yqpz=;m-)&cJ*kuBJu7tq%4PTEYT`oBFk#9M&~EACwewV{KiarH!y@B8blYb z$p=TDVX=Y#qR7xuUBOQ_`Tq5&j0xhncx*%Je&;G*3?V7Xq|F6yi+Y2L>qjF~$+G0Q z;lRh}Df&BgmA*8@!C+1v4u{#SlJ{J60L^?2gnoRrz9=kx6IpOvF@&!e5z=szstKzh*K!_KYu(X(2!G5~B-) zH<#n|orx9K@+4K=M^E84#rM(0`7AxMtvw{su(`}d2fzr z*l>&{IxBL2Ft9quqG7P~VnN#BcA2DQ;SMu}v-dF5)@a1VDe|41x8@M8?TFN(7MiLy zxb$(aqE_p=k0Bvm82dvbg$8w&4%h!Ma~C}@_|+V1)V*fu_n{Pz9$*c3o|1SEZNQz= zl&xc^mMMX)+xewE_teh+u)AK||NZ4YjgJU|0OJ&_V)C?yj0j@9+yjwRw~~a55RURt zS3d*p&jLJ?R_Bg?&FpIf02YaI{0*PvOze`bLe{bpVtvLDZ*^^@=lnw_{jV%6EaT;9 z+~zn|tk}c_?A@PZJDZ-H+i1uFiu-*#_@MDR?r;;FQ!xf)A%)k#F(I{TM%SMcpC z*Cr(=XRk%HPlP_Qg1UI$SN#uvm>fM~VqV_Dfu6)mbBh9_H%fs(%Cakr7e>mhJlu)x zZWiHUAOCJx>d1sc7!n0R8Y$T>{t7JykY!g(=RUUccu%ElcIV7ALjK&xbsp5j0=krZAt-PU2@OJN(Io zf(X+rUSP-b1!@+e#?!jI)2ls;f}n$1I7P60d~##aCiqs#hJ8i!UCulRPI^ zK(G5__onQT6Mt6{HG=!q}>UfRDze;Mc8bRbXcdrMSW(X0_sj;)Vl`~W{TbEGP~S` zuVW^EL0>FERUtLb;}bF)9)>5afK_2#Y~*bCeqZSC6i)iRi% zz1obJjW5>?S(x~f)$qpFEx((&d<3(Vkz*vyY13n$G0)s|z^1@d+r1g*2L8kZPw$iW zd@D)B%7;F^ru5ls2yG}UU%h%|_OlFJNPrEeqax2^gmc{)06Dy3ZF^krU+~?WhgX+` z;V_YFL5f)t#@wD~pv7xk_I;3xHQRdx?oKexX!*jR(WZ2GR=8WH6rICQ&V-nw?~<8!jL=aOV8mbUeVEEei2oX_PNvxw`VThVfT1*$-s>y%2?<96s*cKf6VJD-m{))iU zLm*3dQQYtuxgZ&tB{!(WrZ4aoTGO3TFToKegN^C+Snw0$l5Nd#hlo#xfPXi?T%U`3 zt&y_!we>*}P~jA{eMmikjP5{e1w3L1x;r%h^4BbC^%DZsSp5>MCQh{`Z{3rb3L4V?UZQ8P^RVQ5CiTqlU7$j>kr#(+N{NjnJ23yD#H{rR-gq+{+5DUorJOmH1G`#4f&%-`Csz2a!&83m1m9^kk-yRWqB>C7^5sY13^$ z9^gH_QheW{O#${faG!__Oar)v+^5qP+1}2VE*-*KP@85+!yT&8YxCak=u(l{xeCSc zS8t0Z++gy7Y>=NCYk9PG$i(D!w!8s)s~l+cG<5DosC04%)mWuy2zGh!Lg>QL7^8DX;cNn zFP`>0b}3lM<|JBSWP`tWKHr;f_>EbZ;)8iCTG2?;Q&ZY(7`N{dOh^Pg2bVNtJmX1N zEl&RU5$pDG`p*~4`RXSW7G+4h27ggsf}!;0!pC>-0x#b`d*;k}yhLqlXx}Wrr&P&) zfjtU%ZkiFqFqxRFfb2I`$;!cT3oIIiIh}_zH7m+F9XLF(9WFlyRc7bs&j(jG6MzLE zdu3M<3=+vYNg%%!|8|DkGJdU41grpGfvXt~U5tZo<^`2}E(yyyO$x|lnTi1fb{G@v za__+shrRy*DqNTN%?_awm*jKq66_ zgWvQ%Pezwz^653RSLb&AjS#uD2HPu;h4`9-x$;t*+f7bjk}`XZ6@4!yeHXkVfuBOl zA4t5)rJmMcQk*Wb@l*_Zi}{$SnApC~F!b%jV6;vVN%QYiSOxRYY-H6|{FHb?W@K&T zE68xCdS)>*FK;^D+U0vH!hlcdI?^WGl@yn3uClk=*<4M=ySV^eUT^y>U8d;XsBLeP zWZWQ#2!`^c$#_`K<9WPLBc_~ta9JOO5C*2ET8ziYNE69d*`es;jy!>-pDw?(>f3~9 z?W`M3;IQs~`(|{uddmIospR&F9Z|{P5)jT#^fzMr#{|=!)n4uvgF6~|(NdgCyq+rLj&Wk2lWR%n=#IPkc?{q{cZGL#Y&{E?TE z!S7=DoS&C>vwrjqk?rbqK0*FSFDQ3V>V!YHfk%>PD+%5pIms6~#$mYCUT{8#k)@4oS^0MM^LrEEfmL$vwsF2cK2iFh{bPzD|VbjJ|rtoxZ=8WZ=vbEaPKkhxk4iM z{obB~QAaqoY|;gnu((ZjyHewUcbbCU&cvnxv3=l-(kk8YRAdg_c1kUp?cAU92fb(x zFw^Ts?mQtNGxVV1Eq10W3w!SCT)>q*S3~Gr~87V9`YGg*8}Mt$}Yn| z)}%!DRqg?p>-a0PDnH?P_(jyQIQgaQ#1fw6w;5y9gyN<)uSG+2WPUY4GV$#q86Dq6 zm95K%We?pRyyPG;IIyR>>5wb^x$&UQ06! z345YvKmwkVAloAMvhXT(OfM_9IO?toPEN5zQY4fEl+D+1)Y8Bcp?4pET4dVQ`EyJk z$!2;g>8*%w`=MFf&&~`%)tEd_XH&$|4_MGWY3K~nbrKVuE)I*`@w@CK`b(Kfg9bM= zz=kPgjFF&u2B_{kug?n%o%*|^{LZA;7#=~eRqn@cQ1(Q9aBSXFS?@xR794lD8OdUL zrwEvdPwBQ9>S^PBJ;|eKr_Y1P04D~g?F3R^Z+7=i0^dVMp5i7L1OK zp~Kd5Gv#g}G3IJ9->KgsU4QQFEUMY^VsQPGl1+v8V%3;*3>tWda^Ap`>9W2&K|vxO zSO|Hx%SpMlG9`S%9fyhulMd_Wtxatl)RaWFsR=m})@yNQyL4+GCLR`m6W^!!Amee4I4E%!ClMjJnjTX>uE_nv@@l3Y z^lB^V#uN_n;?eK6GN*mpY>O#XDEQxG{Ym7x5i$R^XLt5`Y&4GSFDSDm2?#2wnh;L> za}Z&lMaUD${L@xH?71&ICjX+OWB8{5^CxV+MA7!N@ep!t(8Ck{ zDAaCLB-gWgCy(CcJ@)xpt)8;2S2ANd8|g<-6ZM%)XqWc zcl}yZITm;G zNQlgrB?o?wa*xOL zg{h=$jLdT$w-7CBEDYMreE6W5yafEC^RKxai-&0o9TQMO{MTQa=!%u4O+^8!3Z!Lf}lSj-E_IB#qVqE>-<=Chy6b`dJ z`>q)35mr)I-=a`-h{wgsn6`a?R2xi434XrE`-{$ll}w1ai~KZ5WSSufd^g_e9be?8KW)0HOq9Q>v+zsm|3qYADhs7L z2Z22#@iwdw0W`3`Z8wpn+d{DBWhSr$<18ws6wMEOg({8^SYHt`t=NTOHnFyuC0sO8 zMg5LZ7yKf z>7Z{S{LRE^Lh>gTR9A<5UObEkj`S$i2vZ*nxOV#3ra?LQ8}kRC*8C_@aa_IpUT=zZkz zAN20%TghgP^fNs540kw1iOxP7X@A}Y#&@_T9hKJgNzX|@m$6dh#E`pqN_(8^xC&b- z?l4V_CigHyZSB^}6NTh-6sP+ZOQRNDK1rS~V&Bi~RTq zDkH9*qOY|@l;3BW?Tfc=_@aU#QoB^2ef;<_E0ILHlk$6Uu{mpOewoLPBc9J$;1|S!yzbA zQJoj!zK-5rK1rve>2z?DVYXo_V&c~J;fhaK#P8cfHmWtu37&_SzmB&(hm`u^sod9h z=f6}Dg#kLx+x4TCw=WNtU3z|0_3U%b>&3A>VyW%T^2GzBApQc&BL3i`oOE=-*GR4@ zvpTHo>?uWlo>%5BL8-3yAc_81ij2~6roOdKp|8bTXZReRpP0zn$y-+}0v}hCydHYb zGwRNgi}b1KY<|TuB?(8jD}4*;))-FCbhyy1J6sG$%)6y8OX9Bii+P0~h{i=@U#kko zwxEZps`mDF-wEa#{6HG7E@7jIhmDZFlJhgUJ~({o{`~5%k+;WqU9y0R#k~IXZNbC9 z`(QX^^q~qQjGMTHz96mg>FO&(p)bgcjbvO0!09MrEsBQ!b}z41OHA?9zsSm}ZKx-_ zmpTf|)}*ZBIS7`Zv-%`@Q&DOA@ez{7!7HJB8QdXOjYo3YRRtg43_D(UoMCPD=jNv9 zh8@(@!!R`+Ar0eg-$^)mvE0}SK^dqsh^(o{ixP5iD~w)qo|sP)5v}8K=3xX{m84nz z-7eLqRQf%_0u)C(k8#uzY-AGQKMXwzflQwXMYqfl#(E!~+efQ@*;pIeVB2fr5+H4> zbL5XcNP%CliHfQUZ7%-J%!`ysN}u1+|8?js)`-{$xd@Ak?Lj(G{Hd zCI0&8#O_6oKc}O18c_eMmMp<=pchWBf(~O`_=N+QqwU(6J*UL@JYd!?b zg$(0F_CJ$zDwk>5jM?~7!S<%xLB4*Fouyr>@a}O`VN6-jJ;nH$^KEHi_~(xwmBfAODUxu$&7?(xh8+QJJAH+WV_mrQkWsAboRVKI16K%=& z2uH0f9b$cZx5w;@#Y68JOut-X;#GQfh6)>^Kg|LC+>-{rVXm(y)_EMu_7!jEeqp_o z3IydO2fcn$Jzldddr38#&c*#aiN|Mpj1h?O%MLdkFEUice8JV=2zz)@X@hgSXvg;+C40Z8J()T$I~xw0 zexa_$gx;dUgnlLKT(&0-R3zsevA`2WKl%xap$23-+`2G=G z?FRboGS^TTUrX~uRk_ngDI#c&LD_FOfC|nTZxXT@cYatQ3^xdU8U2kc+RW^1_1||e zqkeCC?UvZ*P(PT!16B8NU4I5|k5El5NQ2V1p077m9g&dGA2}vNmPHs_gKWg&U#izq z#S5$1NG{}OIX*AaE@JjGe$z+kBU5z$%;@U@rI7m3;MscDGv0;4jniI*&;Vp;hz>=( zlPSK#$Bpdx`_HswX-y|ei-n@w$jGzj$)Nk<(O0$Q&gkkwXd-^VTL;`?erILV&bzAI zU@{$g#VX2dQ6q>YCOx3?1Bt}b5(9-1UD$i%gQT{PLbNZ|FfdpU!`&)(KR^+G5bWyJ z|6<|_nkXh2T;=Y8%HIb1{+hQPPSz*!)Ti8qdrd4!y?A_AF)OWzwznGBcSIUCeb}j0 zZ{Y{n2Qk0<+mg6yFXgHvyXiw*X6R>#vo1rZciSpx<~+LyFIqs;e(VsGI7yff5$a}M zjhB4>547_~zqppGb~cQ=e$kcQ)5#XwMIaYLLq{G)>xj+yc^t9L&U9%5zjr)&;8*Xj z6Za*bF@H74XvmDLkx6bdI3nSBs zM1X4V5DF;#&rB~Jt#uCrkw`)la6=r4Y4_udf0xLH_hfu2%k_ux1T+0PGgk`H@lEkxg@#Rm$HXw&wr7xUgG$6{*tkB-0dMS|4>*(8XE`?L z3HiD|gYp1C*gUkA@JlRvn{DYK4i3VJOT4>|i7wZ6bU-MB%C|57gl0(Q z?W9G|8{z66zvFFrJKPGWqInYkD%UD0&i>OH+2B)vUhGs3Y_ZHKzQjX)A!M$Tt~2<# zRJBK&j9*=|AFw5q=>TOv(2hT6CHei2U3k5f$ylxBWp!UEH_w15JturTe@Bb&v~6|A z&MYr4nj%TDyQLf2qDS+Zr6#^Py8MiugDvUuiRkJHHOekt^$2$knf=9T^>#;$VZ%Y_m)JR#R(3YMvd9OLos*O}u)65mbg;7IGW&j_l-<4@vM zd!3z4i3;M>O&>gKb-XRxwI)X5xMP5BpcD-^XbIdCL%$UeYe#-altHv(xn*4z5^cIP}z z%&x-%6NsS(H^6dNN8}sv3#Fp(eYoxdZm;2N4^J-C6VhRWo)gJT6S2+XvX76x(WE?< zo>8}O?)BU9jv*>ey^*`DrWdwM@;^BvI$q)E^wiBxy*{A9vCrY|0&;luQsC9 z)`ksB6sE8fPC*Y#(=3553~^LX1`(>E^x%UQl|x^7in! zqh>OHDdNb3q08ka*jq#hP{EFaxicYF)7wZaUnKFUcs;*8x4H~6O>Z#FFeeAj2<^#g z2T?nzMxNt>>V+dp$LeKhyf`A4Q`(_GaJ?cvH$8ie*bvxnAo%Mk)_Eq^f0U5?iRfb- z0EC6p1=KpI32KR`hxiMD?4f&27e9V`)Dc|xKD}{v268fNGr0cNy`@zQfmw!$Xs-T+ z9}URiM1?f7$$RpfxRU)2n2opv9?Lj`3xbX)ZHvZzPl@xsGVKTO{=-BDjscB;!B|J^ zWk&lx>n8&j9)@KsbC;=moT0>j0C^NC-gD*>nAZr32>keCl*pJfz9hFZMeph+YeHrYVzLzU|=Ik`)b(4PJ_)JwR1i{OBo9GB;nwmm%1 z>+Y(GzrZ4R^_bfF)qi)~IO=ieBq6!I1#ov1FA8jiLkCoBk8gS#C5c(F`T<5k6I1i1 z#7Zsm%5l!026t5V1$U(#x@y5^B~N<$=)j(P5Z$Y9O58hJ6u4I%lX|c>p-~W}aeT4I zr<^049?pR#=9BV2$6-&FTSFak4Cbb{jwGB0LU6AUy`Y|K_sphDxvgch`zBh-l4Sfa{_Oy=7X85<7 zG7#c`Lx%ecWs8ts)*?mnNdu}~6P~3qz3R4S&cxC;+8*Dz!!E0+P>>z}S->*6$i9hc z2}|XjZ|L5qjoeOTEJ|`kK0Bs(yk=|QpJM}-h9gm_k!1*&?z=!v?B=9tke zfOeZAQYBtA`J$4igc{+1?MflETM!1aiifCnet_U9!)5AqpI*aj^@g58?#bPrS5+8< z1YUwr*A|DS4hsgBvD?tAxboq~#LNcFebrt+3{ZES`Kj#FX4D)Et@)@K*MM3yUDuYc zZVh!UkJz~9Rv(~h7z;F+mp^ww8nReo!Wa*Vjr6?6KHJ?31YhBfDmh*s)-3$3VP+`> zznP38w1!0B5=G$TrdL-%_g4xx>`o#P>qKITsj9t#`6qh0m9Q|EAC7M2CJX^K=g|3% zlx1?qFOs#ZR6QwEm%h)l^P%!LY)x%jnJ=?rYe*y$5*X2cehj+NqzUGakN<3c&>9Rg z7ZcA_*@{Nb-sz(`5~8*Kkt;A?5~W%h;cji@jU(}^-6H}lwk_LW>5r{XlBqmxdGOMP zY}1K}s<8aFD~d%=dx z{_x;Ee^OAO{b4Df>u)DbI<`e{W)mqZrq8V#8RW5{A&lPsQQ`~GnKMwVVf$Z?8KbcF**uI-S&@AE7{F2N2-F_1YD zlE3qD`o|DHI`w$4eKEQ2>Jk%t{y2$%=Gi4}Qmkk-V02PQ-Meq^!QYk)vZg=R0=EXM za6wSNCB_knB3trFjH+C{S6n_xs19tq*%!&<6&}!4CZ1^7IyGi|!QNhUxCgW2E}d-- zgBNKF%-|*|`Ldk#V&Lv=i?EEJPfEt(J*Z*BdU|O{fncI{g@f=4iFfOxRal2Gdy7F{A1yuY)o(5r&`4VwG=5q^belh&>nQR!j zHN?cW#RwdB8dhmeKcoi{zGpZ5YFoz`rC&X}sC78rseshIaw@Jczv25Fc4VM;W0aL(^&EVvPfJgnIbYfhy;cUv_xUPoZX3I1ODoy|T6aVL^c{@h}=2yM1zJ z+45Ea_KaWkitF^4i=exatlJ`6uM*cpVG&_C2kM4Ha7wjXfL)+EyT~7n#RK4`Aj|YW zurUIyM3?<%adC9;`b;hKEjIWNSg_`xk1WmReA;rL-MM#5-@^jc)(dUS_n9cNoA1n4 z5lELkLWabtHVkGGhyN+3-zZkNG|nN#18(Z9c3euQq<^n9NbslWPn*Wkmt=pu(Ahwt zZ3eT0h_v)=Lm|Ej2r2fcvWbLBgImj>y#KG}P&alYy9aM#qHQg9M_=HKl#jCFS-4LK zFZDz_K10wwQ;Kk05X{o3QMOR^e=)+Z*QZ|-y7QI+zhrggF%1}YHL5^i#RDK&S0#sR zi^YR|dBUa!I+$AhqJ^&MJPKDrD@h_Ejo$z$7I@EJL$=C1_qwauz1lDNHnazNVjCL~ zkc~rp$6EN5PheSlUC!zMwpSZfd6kUKSC|OHMU=UI$N2R_%C1&URLl5)? zY@Sk4bbDAx%Io;`jymraxFGQpQRk!>VFjlpD0SL2Xc6{AR4vkb!A{iDV$_UkGosR@ zl1^sv`u@DDk@W`xeNLRiJTTCD0$g?Rx~RR$>-h=Oc%{aY4OEz>VON<+iH{dCZ2$8T z&pRG#;sGspuQEGCnENTEryJeA8)h2zt-`27u16Kto#DLdU!T!0Ilynlinu3Y>C z&r&q34Y5Iq6LsT~y!R@Y`q>pgEg}}OyQearsmz;JTnb!!Rs~zwF`O1QSx_02#ZMb8 zGVvE!F$>971$-i3rRm$29;NR+v}45+Q*-!K1lw^yyn%<$JNu1@tgOJVPuO}bt*tZB z@a5rl5Urkye=hJY(WQ9bjE*l*lrX#?W%}qfx@+n*w6vorKFBZmfEhwS4)uhP35cO6 z0tzWJpS?q6H@0r6P#)~nHT#$?cfch_Ik#OsWouwUHj)kG+4~<2spqLOoH z##@`+2&uFH(S#%vF8jbxEDtO5Kj8pOGpr;R00KweP;tZ*5n2IdkrTbn7)n_k%gOnA zyNHsaY08MYjnu7kG1;p9@gb9!@7RTU-1GtK!3DW>6OP5GzqmW4&Zt3rxfE%tB zJ3+nV{fMQ?y;l6=jO+;E_jLSJruVlTBDDUUkPYJ-K`nxr?oOpU4QSh%SVKcXVkA4j zy#8*k5WeB+G*`uwr%FJa+4fzKCD+ZH9fakF<GZ8SzdXKDJX<1wkok-h(0fPLxp(jd<_Av$cW z(tQslyw>KaJFJv8pXO%99te)Xkm9$nrC-HML!I@AbyRM?Q6?KlvKnA{s%~Y2Sf4XG zyQ{M0wpt{D1vU~9_T_;2t{Ea5u&qe zSdzTp^&rxz6b*sz*uy*VoMp4aKTa}R79OC3FjLi_ukp1g63my758Nfkb= z`w2qgeu0fWTBndUAQnOQjG8M#go%-SlS}pNu0XmQqKp($rA0;K+7C)fyv(zH_AVal zE3atJyRZHAuz6_Sq7!v8w+QQV$OENpDaSFX)~hznTIW)cBx2Hc6~<}0Y~T2d9wQxbIS z5s#!-dS>w`mKxxFOj7+Cq-LDAvwdNopY#{oaVKasEx(aH{Qb(y7|!ksE$AJIGI}oMq|ErPN=R`@0_5HV;XOp) z2k9Yes!o!KZp&g5iDKwH0*0KJeXewViNrM`jZ>kp8DJ9Y^lLHDaT4W1Btxw*Mya|ZW|{E0>lg&Dh6zUlmu!u{qeQL}} z_lSAi?i>OPC9H43TFu;2z3Yaun*bT(+OBOu5slW_x$E7ShC;&~A|nBT0HzeoB5vrl zKoc;iq7>Oo`(9q=h@zpV(zFEbDYU~=sSwA{YsPsqac~?SEEYC3Y=vnCy7zoVp|y9O z5n6m%yI90i9_CM0ym;SoSE=JRlVH`?D%$}EDVrx%3(P1hacA(j)eCSEQW#t&Jk4du z%qGGl)IX2lQ20W4G}Cwuo|uJVA9_6dnxi8%w2pJaXg7&p-kn~TNwN1LSGB%+jO5FL z3-_@$nLdZb$F3Huq9nSP%m1wfV8ltKpE`UMrKrssE2NZ>s~mskJo)!vF*IY-S9z7%N@Y`7n{5d^)K2RY?Ghu zEut+i{q^$Uu)f?!j5V+yKf!Vm3rixVLyU$XBM+lIP(vGbxe!0Z4S9=?`L;NB+9&<` z%|aDIYc26^-w1xx-)JLAwdQj%@ZlNpnrKgcd>blIZ&y2%86pdQWNu+`(aOT2diZsO z)%JLrjM%)k+OP;^C-+y9T1kCW0{4sa%B&8pykcV6QdQ@rum$#=B9Sr%dSIb$PISOw_#0$JlS{ybKbS7z6g zX!=ZatL`T zbrVT`u7R820`}+;r~^DsE$JANq{~iPgyP5Wv?+Ld1g>bzHX)??MI0|G>6~t{-j~`0 zkroxBOfH~v9S~aS5+c){;BVsx@J5{PCS94Q`x)+xQbr=NF^o;lc8eG$6y=9+?l7)) zz?z*Qi6Xlx_LF(E!D z*!`dlqe`AX*8QEtNVY{b^Y1DFlr}0Rj4ZGq*s;kLB+ko=iUwGV9ayVVu#lVF_01=$ zg6z}&S{iMu zQC|q}&P7s!jY2@v-zSSl@TbSn3Mc91eFqepiarkv+=ZG7Qr;=5u8rr}+eAtfPTN&C zrS}={@8xtwbDS<87WC@Xzd?wY-Kwu5_DESAd96EpODBv^4p0w;(|ny%pnfztrYpjT zd9c(CV<1`zUxF$Ft>D&o7Y6o&TWSg4Ya0(%AH}wUftu_ z>Ee^U%rBI9&%FsP)aB=7xWn3)ZVIf+tQL;YQ#aY-8N99h#BMw}%uwL^q*Z|0&civM z)m`?AN!eSlxJ1Y!sP9O%?zMJu$XoDtJ_l8bwZE@==_QyO)@{$I+nIMQTWz?l8q@9{ zdqI9WOl;@?1!DzA{2$Ah)C~2%{!)JHtE0AYM)pc>-_bm!tF{zb9|`%^PM4eUk(d#T z3dS`9^VP3JLiFcouMF>u#I9{j+va4u52M%PKh^!bmqbA(A#mJ*-4-xs6ceoX;W@{s zF(k*eT&Qi+3^eVXfB;ebB`#CtCkd?X+y0Vbi_+B|8NQ4+QdP+O_(LI`qCjTSSW9z5 ztJ^9!`^);^_w-xriL;kl+(oTQuzdKpD?=#-8GXuiVI9RacOr@*a(EV_nb}`&X-A9d z*(r2Kt#&bM{5-xyEJ8eK^anu%k6J{v@~(5Z3`;7kQN_*@GglYgqR(idyltey z{vINzSFK>(Sd-e?sK@pZeTJxEL>8vOQ5w!NlXRjKwj=6+4A9me1`S)O9rb9!w@ z{9~WCiC&L9duG!ZExt&doFKo?Lqp+~MYrLp-mbKHnfL=Nhk;**Zvr0hKT*cQCRh2T zA5nA>E=x#XvSkXto15LV`Y7;=O6hUvFC!lX4qU~zJLlF)Uc6{#bO44Lj=wt2ygHFc zi}x>!3d1q?|H?M;Wq6pA7)DIi|NZOrFFD7&jjW&xxEDMu(47>YImI7=c&>SCr#z%odNp}Sfv%|Z-E?>9RVz{#@@xg=fOTDiC zsQ>X?i|h(CIuw&|14eEz2DW=`dKAO<_`dSQOTN`JX9YL|^%miXiJp|#+iaW_cZMYK z&UC(&jpi1ArbC05SVEF$cZ=h7{0BnGB@gxaqI2&GcQQ%$+S~M`UVK|2EYUnYH5Uw} zT~xrZ^sqQ7qRY+O_I`tqGl@1vOWi%Ku<~Ftg=GBaO z!nR9ZQ(ak01QZTk^jR~{%}Qzq)=rrJjk5OV0O2+FQO3b!oqKnLSCpn0UAiOg$lg!nsQyn6oy`Urxg1nDB&IS#$oH{3(I3?DUDTqMZfD6V*z-iOHXy zy#&K3g|0GPfk`<7&`JxnNEgz58P-j43OGt5GD6R~dd1&SWSa)@U;saAFGh_*(h+edBcAuaGv` z8Rz!?zE{?$t|7Cs_i61;hES2slnPSE4BM^t4UpZKAMe033i%fKQ+77CLw7Ale_b%y zFqGBYxU`Y6(q-5QJUv9zi^GS7AB3tVC6~_|?9l6)ER3?j3w#gT+YRqA|K~t9+nIM) z<24lsJ?V4kdpOU1*!vmi@A8lL#6y3^;1tzWGXdV+00|!$o@e^%SJ;@PQgvkFgSvBT z`FpV!GCSu;}jv(UTqUG_nNvGj`K^NmC~0Bv^6w-AX9k=Kc!LwUF!4^BHjos$g#zmlXB!F zsfo<|f0<^@UhCrCbtNmcnw~sUH$a|08})Sal~&hL_X$aoPK+aGO=3hcYjrz^ZkTv$ zjy37t4>K^ec|xcOwlYx$le~LxmU80yVZ!$N%>e8c^MM*NTK!{SO)N!nIDOOmb8hSx zBmfYFYxZWmt@c8=dr$|YDyk>P#>#Qg%pmZTEn4!%6HhYrAXWf5MiZe$e^G_Ozi1UMF(%q)$w}Zc(kyD# zgate8-dcu&5uPSvt*FCady7woSZ)te;Uld_HoL2kGbvpCHaR)@{MMy5Lkh$}3EWg$ ze9Nl-LO)jS=D0XbeL23+^$p?+U~1R}s5WsOs3z+%`@-(5GG?eaO?K*!+LZXqrs>#5 z-E^I{(YGm9K9|qdTx(}d{e6Z%rGsN`p~$XPFQZv4<(_IxXOJ5<;;g<96C-QyqU6a3 z<%jAT4D(T)zIC@3u6ojFp3-rKN_|~yNB6*tior>PE!qhBAxJ5EEa1+GICAs3^*sbp zI0Gw{N2DNE|a&bOqVryOKJf_J_td)Olc1ex9Bx) zBgCEy4JS4;9&gx3LUlUvVRoS-v2yUNgREWP7)6k$1q)BB#L)f&FRkv*7I#jxyryRI z8g|$gR7SDa_dsJ~BS8_qe-xuL4!yTU6AeY{k^U}doUtG!AWYB}KX$X+TYP7&DpLXU z_a!(BEEGH=EhrprU(LGFoEsjl$L6n9_-PN+mmgA)>Ph-#qAXC2;GJypglf-(!{i zsaozYz#MVwbNo0iwHM4)-WZ8I9Jt1*qmk04@M`P+1nmQkEshF#I7;fK5!$s6v29Y|LrtVEYdsnebFYb5GYgNIiPw&$^p8uy)x zJ_(L{<&M%txS)=Fn4vei)qRz4tUvc$zD_D5%n>;9Xlz^i2c`HE6^?eXl(cT=fAA@B z=8_X@*cxQ%9|K<2Nr_5~5Lh*M=`8L;N9+FE>azG_638r&NDRwXGxnmYWH_+(Try`5 z)D`j$!k_OX!P1GBmPfG{x=MU%sJ}>|c8L29QBC?N4SEnvzC7M9T zJyS@BCyq)i5vb4QtfZt(c)VgdU}`5$jJF`|&$R0xLF$ro|E7V9%wsP(kD{xVy3O+3 zR33CXY(IXEetyx)N>wV6eh9PMqnLClV&sh5a?T5j7%ZcvrwiI>@ioE8A)X>^phyR{ z-X%!aZK?B3+L)EJDo0hH`2guV+s~`#T`O`=;hC!%|kc+EyZ==@B*Xh z$6ki+8qwe5Ak#&+3Qnd7sqFKMlkhJ9O?;iQAtSAjyIDXrwZO{d4inLP#<%Gakl*}Yl4Q6GmRUF$o8?39EKM= zuUxr8KIUl1Dy>58H29S7>$MJS8dkOUJ>53q8z&8V2Y5sZPw`cAZ~Zou-Z|M^4<8T=OjYomtt5>m{eW3d|6&zOWOO|spMN4 zC``9eQ=09?mD;A8;v)K!%zU4PN@cDbHDZa|yceOYGot|s~R%`c;THQH4$)IJbefpQ>l2A^PM z-FaX6OQ+^t>62y@6GVf~hBc3XEjh@U zJN|${!HR{lHympKHUn+tEkR6IKT#5ZCnBlGBeK>k@RyjcE93}bl}Tr5U@NKoGgMEe zOUX#&wGdc7g-?gRK^;WU@QW63c|w3qpMq(=n*4hlf^Yx!SlMAEHsBDq!r@(Ka3=dW zK57B}Dg~D`U9r01yw{vs-M8;M4|3Sa!~%%KyeOlV`q}5^_3I&P4?T)N8T(g6TwpzT z3AyQIo8`RWC1J%B<=&Ue7)<=qgr^K=;lk_OR|}DtX0;0#9}sU6zmH9T$FsNu@%j%o z1nAFB>DQ=(z(&VP0qgco{P0OPAruLKP+aJjL zx|w-S-LGlU6A7ok_PTi_T5p{`LUE_)UaSd&0)tuIt{BMwbwXsjT--@$V+Fhlez{0- zRN)K=^lU)IE|DU|*_*hZXW0eoiH1EDMKqdOVRrltaRbECobM8Ht_V!iS;N6qhczI4 z0F$Irl8kHC^c_8G>bv#~3#&s00xXnFb+`Xq+C76>JkB|6FU_{0DLX?6pi5a^Hr%>IJqRl*g*UDG(O8X2^un@6 zXZK@d{?j8Os6!&vCv8bh#-Gc9<95_$T7^_&h>k7O;*g+7@g6EX}x#J5P1hkiVZI>8xxMJ*NR#^y#o~&}vwj zNSFplypnfkGzt&6-!j3aCibWJuKfhvB(#?Vda)6ZBcJ$u@46L$Anx3$AF@{r_`&u9 z{Yw?KR{#2&!a~(vm#QAvoWUiBF>5w%BM^f0Gv805;e@J>a&iqhN(3t&6X`<&Tu4gJ zJET%Kl$SY$CTaP8w;kd9ndsIWJd?ixBo?%EJOXzx0mdjkQb+bS4kVh^UmfQ!e?m@0 z(68H|I1-T*ASo`@YW}>lMWhT(3jf3$5joRNR(OOxNTc^Aw#A3M=GS*oQ(}kr@^`z` z=bz~@58b)Z+WuKpGQ3x5GLT6;u^#Nk53XEK+TJ3NnZAt=-s5{a)1L3G77w!-a`N7v z`}Nvk%a~B<=T>g5wO0T3EbRNNoCX)g`CTQW{Tj`g{e6E5>1|f3d`!(aq8J4#4dj40 z!Kj1prIP7v-9w^s*E(@-p6A3K0Z5_K8*U6m{%MK9sd(lH1`vy?|H1G+`iO+868j|c z=I6rEmk+Zm3$Y$es05RgPb(LjKZsWAt1to~$mtwopt!&3rAJW}hgA)g?h{#QD|y2e zvWQnWX`@!b3cF$zhe^2Y_eC~W)WnW}1HHe+v4>lb{JYJODog<7gnSAZ1 zI8#(o{{&_xJLLyM?VbW#i=9%HKJc^l+<%gN%i|TAP!-@r9`Y6y6T5?Vmx2LdHi$*$ z8Q_a+K7Y<>#DgZcjeU!wlH<2?pyx_?_iXE$Ix)MJcIp`Ok=~J66ZF@A-0%Jxqu>n< z-e8jYJ2=Q|E!k@)u`hG{4+}^89J^xJ(pNg_nfHdF*Db`N8}}{nTvdECuXM#$yc-H9 z4x23heT^qaEas!m9i@x?BA|gJHp=0qL(19*8qW}+0(v_G+oqtJA3&4%4wIn74 zMU;8FFg9*n#3~P1hCl+wyjjaYJ`P1Mjp$d`iL8H8Auylo#NV$kPwWJ?)yZw2|FNyq zr?h5>WG4a`@YS}Go^-&e2qvqC7vJ3i!T^8Ved0o&BB)f@lk%?-AEUb$m4ss`4R}e^ z*F)>CVl+VUQ|;t^59J#SP2H%Kv4lI}#fuf$l_$Wne2GR`b@gVyhxEqb&;wkL>YZpV zj`S#eaKzAVLG|QG!R2P)QIThHSa%c&3_M!1sGIk09h-69O6v#5?68=h(@=?ik?6O68TkR3eSE>@EScV{^R1E=D~-vn|6uLbt0d9fs(3!k@L?I zMkBfhK0jmmwpm@&E)$xf^WXfl7>bkoyR8eaFN?(Nl_@&cc=%H?3V$4}!)z3r4843t zMj{^gv?zEBju}cqe$Jmqg z$6v_rBhm3)54Tc1?!K>Fd}3;T%A6_Kl=UE1jN>WD*!}Rr&zJOr5ORGja_Gk~vMwS0 zNa5;nSO)ELX#Erk8M+9Ag4Acny8_gTWX}*kL&zcQZ3jFue|P!N|NixdGq*3Rw2OAJ z^TWv0oD&EDFgjSbqX4cbr%09*cu%AK_~9<`Bbx7vTDpgi&2O~5K2e$a0ZZg%Wd{cM z$MAo*{oxq)VC@^$jQF&#XJg1O#UZJ58?bMT%lL}rFz;@NJ$jx2AwC}0P#E~O1IdYh z6@vcsC~z?qxqEC|I{sG+U|OAgHQT8L1{QT4@xRWpDzyq158Ug^x9@T6B&6#t_gktK zK47a4OMl&aEQX{;i&tCRa$&~Uoa8Qd)B4Y#kfq6NtNzrJkj(*@EHtxG!k5?z>zSW5a8(w=9J*1X>y# z#d(Y&vuX9NMBLxszp7Lo_}t)0NYo%O)y8efXK)=6(*`@n-~b~L3yy~Uhm%9h8Uh`J zMjw!s>H1L|({nK%j#3#~Kw)Q!zA+&qLye>2UrZv_v;Xl$hz{;2Vb!N#l=UW@BdNBJ z7J%VcnJM?(ybVAZkEHwEu*oPt`-NT3T|m!2GA-2r-M=xXfK*Yb9fcZr_u+jocbq?;1{-}AQ&ek zx3I`z>d4E|Y4Oz&SlN&EUO_vIX{I-fI#lZXnl~@?oc8C)2vomu()!-S_3o zHQOdO=g+m&%fm(G6;RU)K)w3^-(4szQN7TsupXA%AS5Sy6FuJ+9A!LGY07lUyrC=2% z{Wxt0k1RH)wWFT5lUvUfH_t81H5<}LtbIzA(q_<;i*AZx`dc$&cJ3$4WNq;a1c#-T z)i}L9WVmu7sz5h_Kkn(Ya-(L3-nvkD{W;--ilhDLmWX<2D)OqIR44wzL!f>U)GWO1 zpRT9G-hB$!~3npBWHwnt!Y~>tWZNasKMuEcEVUf#1-4W^?0$4X2kv(iG0hs{*SI za^#@}7T`d)7dgGtG?O{eB(?BF979#Y8P*s@ zsxRlEEXFWN@isoQYnGNwm)ua^;-$8mZ9Jl8&(Xt*P z%8C-S$N$Fj@rhL%L|vkfA5EN)r8;(@{I@GKaXb9G9@hSqq;qXkKinQw58!DKyLMfH z&kZD!a0=2tN9vJpj!$22AU3UxuzHukDRjpM-YSf^#UC3I+ul9B@q4D)lUqhrQLyN0 z?HSi>6Nf+eHG!$}+xCI^?~bk@#ROxs7XeXuiRPGVP=?mz&c2`WU@T*Ke-jVRU%>;F zRv#55OqIFU<>TnRGtaN0-Q7~~5hbF%ecrfqlKIB%fBPSZfA&nvC>j;CeL&EkkJ9#s z%7`_o9cF&`X)vdW;(dX}GJZ05?o@?w)c6XaKKnm!HIx|Xag7-2o14noRrG;X>znjd z8U`-?FOoJ=30gI_>`;H*n*17oJzTvCs##^~O{rydKmb@*3?4VnH?Sk&#UgN}-J@_L zUwd`{q+Be8&eCc`-%Fm5OJ&W8ue?A{m3`)DU56Z;b>IRg#W@zqkSbmj%ACSJ@Q=EX zY2_^@v6}UvgkV|6HBR<~3|xYem2je9=jZcU+*V270)T|&(jM(L+e3}>?OvOc??z)O zf+4MvZWadZ4A+0<e0`sR_$n(_Zk@4k66m z_6sn32KnYDC@WOP-l9!uzm50;@h}z2-4f^LWfDhNM7PJ7Y+#4DtHg0!qviDGnn>?>@}7&h!X-U`12F)D?$ z`ALKJgDATjEsw29XXTAbZ&JAwFhj_BaN_U=L&TM2WSrT`?w3uP=SES}@Iv_^s|g-s zSj)LdKf*59l%wI#!RDQyRFV$N*PqWaLjP>b!6>bc!f4QUBz{L7WS6%qi}iLYa|^$eP*rOVyPlOZNcHjxgxz=noxfva{+| z61-uC7xqpy2mn70R1etsj@17hJ66_jdw;g}_Nm__8MPuK2E6v<+WUSB0|;A_4j>_* zZ+>#N!;uyhP=!YkkOyMM2Dz6?j>6Ongb>5!L(YIz=+os1gzG^sw#+X#LqnjHNC%ET z!||nmN1Mom{4f)A^9PD>0`^Kq3cuvK-{x&U)hZ|rp@r+H3RDPx6CsTvpn=~V;Ljqm*v~aFv*&@h z3H0fY?)(`3>I5hsUIuouJWzS@NBk36(VJ;EnIE93hSU$ym7lWGdWW$ldiUd~Fud#B zCV&6_ge-Up;|KNGPC5b{EBXalx|ttmHt&e|xo&XIG;4#gOv;!d^i!-;;dN{Z(8ay2+04K}1f^RHCN>87x_?FR zmhCr*xI%2n-~RGW^KPOrk&w6*YuD+&W5Qu9E{oyA{FL5HpJD!7?wZ(PvIHX$VwCZi z>agGu13_VN!R#qDGES50SM9K1C`PH&J8DKG{=I5GL$ zx<7v=BF(#*)smiscwCWGeN>N_zia!i@zRL;slt$a&o@1T-8MUa++x(O`^%U6IGav$ z10I1}jt(QNk6`)iC2b#ZMV=S|qV}$1FOx1W+$yc)^9CE`*)Jt7`IIM!xlSccrtoFF zJXJ=Uk~cdS-Mp?u^LcNNHayUO-V^YLul7M#j@|rAvCU0J@YHdkzw(0Tr@sTJiQzJH zf+3ZSlgiWbE8pMf9@rCdszxHd33Vd^xBn4HQ+cBP!c>) zpHQX`8cATf0zJ9v<5URl36Q6_Nr8e?Gd+hd_BIC&<($Eu5jB1g%dNXS-TVx zPG#j+IFWhJY{a-_oX@U8g(FP;9Na!o^cZiJt`)kA#5EHxmG627LN#iNy4JQR9AM8` zV`1;4_JO#x$))kQ{8Hv{e5qzo$N&VdM5H>^oX&6KYI6%8OwP!3#$|O! zTYB7}r^4!dZ~ZBW3tUR6Eg36eanbwTG^-6Nh0%fb3%I?%bRy)L|Gv0p{t!nln^C%4 z$K8EwoP1#q+NM-XAqn2sTupI-mnrhz_m=5w=<){&@f)r+V?u$5M{F~Rn%vJIj884h z7=tSNQ(sHHeHaN2d=pFQ~4xq$lM`&J8duW2M9EOj1w#Xz!3RP&;z}mAMMJ|f6$|SA7cB%aS;8x>;7Z{`f`2DNPt!OjQ1M|& zlMlsarN<0qhfUPWlzy&i25HDu=Qh3KX7td?-@o_Mc*$%N0l>}mSh=K0<@9;#|$Y^>0!J9)^x5BCyo7-s$v&_AjHmi0k=+QTa@@b(i!a4VpN zq+2GO?lp<;-y>Us><%doh9x8D8`ivMg+f(Ndq1fX;>f-r5*}3c1!{bZdCY`R%r-mglp zD{Fu9Mc!Bc)qZ(4JP7(9Dc8AFfuw^5d6f8tw}xZ8^$~+$W$E{?UNJzI-f!z%HOpB4 zATJKPR3nicKWE-%R^k&Tq__oZzu)v#5rDz3_VvP~1Jv&cStR}tfdV8W5gvM*(zRQ} z8~mRt#cOqd+YYo(^3-R1U$O5le)n$U`~tro-CN|@DF2vli(5a82jnj8G~f?ER{A#N zCf_eyav4Sm#Bt13w}}IDFT&YR`5TrBJER|~8B`!0te(ScC7`CoQ7G}8_x{1BpdF86 zAE^iinAUlvfa~LGkoh0)rA%(3#mk31^t)!62_eGQ&Gf?sWx5?&099LCH1<5Nsx6W$ zR^7VezDUZNPId1@gR#{W6VrH0XrRR98P&|rAl8|tPx z4+&?(Kl##7CjbIi*8v3_|iJ^M-G2_h7XHsRaK(UP?-}_hmTiu`B5J zIRe8nbaC*2lGm=p-S@Y%o-M{{3LLRYu0Dq{|P(6GdRQG)VA+s5ywWCJB;PLQ(E zmX;Er)njB4dMCnfe6}Jf=Uu~AOeuUZ`1Mu}(Q`7{x(C=oyw;z0p*Q`uqv0b6q9+cT z5J-nSEh8;2mGI%H+RQ{-_DFK|tLxX;YZV=jXLbIX37Seqx)dn5#}TtBWrKGp`Ajr# zTVt-uM2x>bMSzvUHIC(8$3Ls@KAVN+0o8n?C-LqwfDBHU{8 zP#^h(lIuvkcIw_2c4WxaGRYxlmA{upw`v2}qSb~&A7}btHYq4^x!BY}e2vM<5mK^h zP~q#>r;y)QvB2|Z)ij8Zwy;xnh(W1Wv_NQ znNWo7pT%QaslZdbchEek5n{~ghnH8$6_n|0o1Y)ULao)4c59wjs?%{^@-FtXN#3+~ zS_WonWVzYc2u}}@DY0UVP6j?BvzE+U=7BNNyP(t)iDz|%06u6&!Kq@SP9_TrRBnLC|y(1V6V8aN3pN|t=M_NSd?B|M(}kGMlX5!+Ag%a@5nChPAdOUJzAu?67S!xGct-;6P< z0(siWnZ4r(9m$88k;8Den}|K@9|$uScnwDBe&4qVcQilt%3w7<;aL&alf4| z2R*1eU(N3YqO_K5kA(F)AqW-IkOek$^F0!cs+%7b?YK67 z^FhT}9Tj9j)YQ;9COjmCN>{kxmCs|K1p0VxZmy<;?0_kHvg1|zlxH)w9Kh~XS6ccK zZaDLGYT;qTt`$t4*c}v(=8^6eDkVr<@)xyZ9|?SZIdhUs!FXPVObaUvSrbE?Fd*2% zP7Bh-4_hh9;x*9u6gUho`A?9%G?LE#vGIBEomzU{T_l+KRW{*|q$Een;zRj-iyt{Y zead?<5FA{q%`Geb>dpvx1o~z%R)Cn$)65}_?{N#;+rHO@g-{sR7ej`9m*9w!Z$gx3 zRnf@vhls)Mm*1F`C(|802k;By2T;ifoZjKK>1-Jp2aIb3^YBWvjw}GZCL>1 z&qGc7=%Pk*K`R+5#w7#P2Q#gy3}&h})fhPtVpsB1sZmHM#59Py;03%)f3E^U;+7}( z@EWbLM4OL7ycqZd-CRC1IW6{%??_!Z(PmyH^3mo43UQ3{+Z~kZcrguBHQzf&+UYB{8{M-4;O-zNW&m9?=g2IHJq4?bH8~e^V z|9*Wo^UOhOT`Q_&Dbqna3Y`$GjMjXcjm5>q!=AFDpYoAWLnw!IC?dL#u4nY7`(=KS z`&K%5_47`5_+Rt1MWZXNozXa+pwrrax)4;8>pWJ#&M|B+cIVE9lSTQk@*C~&N>hc? z4w;UpnXOy|zx(eJls7&065E#nW98rykvI#d7T9F0D^OcOY)7PF8q?Q@ZyW6~d`lRvOaTN;lt zW-o7l+}XnGY}6>-H9YSM_L;d9EgmvRMyXPc#x-v_FAXBpP+jOEc(}X$2XX1W0BU$R zzHh3U*hYIwdlo#cUuc-RHp1b3UvBJ=hMyuCU?x=#s4r5^gwM8LW0}-398s8ZQ@Hve z7z%mzdXH06Tkz0gxGUC47Q{a5`o4+_sFg{i%O+?ReMLXwkD<~ zvtGjQ;HgtEpTv^v*UkD|55ww*KJJ%uUlc_lZwEvLS?Y8&kg`^(!lOQHjTd zlJybi(R({CyKhrazD$%)Xf*X_l(`X&MTSA4JNr+*|@98Hsqsh|CEh z(G*GM+@#cogzw)kb?Y3yQyE3wZ;+jlu>~S4VqH!m!GFPr)`>_(w*FSUoit6jv*Omr z;-FM<>Np2d%bgZiT*<^r7)^;ZH>ei4Q3KAd5oklaL!T*&0Y%I~Cxl56TldW%Yq%Li zUuz&;FmTs;6L8QtHJhk#X+5@ev}Y^fzeD^OP|sJbzs3xC6(g?#U44}0doZ)6lqWD6 zFuNCNLMD~(`&=B@A43JDT@-h$cTt#rtu!EyXgDTe{f9lP-Sv&y!d#OeAdccHjPUsyoR0GN|7w0GFqGV z#yrC*IdjsV&gHLsRLr?c4DJO9+brNqwzTrKi z1>dIR9NmB>OT!MW$cq-{zA=?(T8dcZP5mZkM?e=vpH0*;7Vk<+xiMxEbI!psnkhjJ z>i2f>VT&5Ifz{o&^VzT5ZN?~`=;_xS;gtbjn*wba!3gqBW4a&s@=;JwIZTvO78p!Z zX@+0*TO>9s_(*H7K*oX?oh`_Ad=;g<*;v7TX%-a+#HX^RIGTR(lJ=i~bejI~$po#4 z&W#ck*qCjnq`lyGAgEG(m%*Nf@gZSS?eP7{Hp|)L7YfFyNr4jT*?XUqHCcOa3G%7|qTWd2abRcmQx!$dBX3~A!<6`l}pV307T zn?ZQn&0Ry&r*vAj^FZXP%@7ph5KItb6gmt{BTZwvIz;XDLRwOvVA2AOM#9Z&-zeAB zYu*lrkG~I*sFW^*bhriMFIa*GLR(y$@*yVcE?wd*jQpK+_HYO}%pd}0B&8Wc!7faf zP5S_FgaNVbu_|PZsZ2T|;a86#`6b+1z8C5w!fUX);I(nYo0i1`s1QQQOhzKI8a z+l2E*-9?t`#G$z5{W88yhXiFUPW`VIfLyoA6;8aMdxQiX8;MU6T}HlYB1M!WyzHw4 zpZoE#n-I7Fxy`)Z&%I7YvhBVa-v2=GRT)ui6Z<%YO>DQZr#}@IF0kc{1Ml+bu82oU zJWuF12zgjFmm8h@#!~a9AlpWXt{*_KhR2~hn8rVBS@6I;{hHgk8ILEVzbL?WDI_VD z=Qqne)btofVl1(9CWhtcDM~EDq}o@aRgf&bAKs0?pSc~dM?b~mmgoo}>{vK{JQ|sP0Fk6nt6b&EJA55}tlUP2#P9hT=k^+h za>~7U<%)HWA9?ld>ehnJS%pRo24b+Svx|d+MLP+eg4HoiFPX`aci7{2kKrppXrY3G zCFo4JMdH|*`&<8L3Gn(0aS1G56kKg~rS9zdYg ztLIqeLaV5W;oj*GK z55)g8vJ$u=%TW0oUOhT!>V!j$2vzc>) zl%!S8b03c2fn zxp)}n>O_+-WsQ(blzZyTF1)cy8`ku9ukYnO_weMn7?_OCUlMnor|((+9;E9HtQ|pw zL?Ab?^fLOTDgF#weEPN#FWHKxCOVobV3<|Bp%eaiuLi#nlDcAN$dkj z26K7po*6mAt%p$$XtJd??Eg<~=kO@T=@ma6Q%Dnq`b@3M#lnYPDT^3Rlwf1+&W}-8 zLPm>o!glnkOH(ikM^M5Atih`y2MXu)P?$!j9MXlAi zuVL5ODzE@#&OPkC0`}fUBm1+k*QOG*d=HurKs&Xi`ZAOW$g_csWh?cPm$O%%IC~*7 z^h^IZ@5jQ~xTW(dUiHVB+g9oNj%7oP&j<><&ne74^^N@oV;BsxQ58SyN`}xd@=iEs$yVfC&M6)b3&KYvH?O(f276p|Mpmj!`Wn0 zGNVE$)Wyyd;k3RP&0>|E$C6P|S6xIF5nLixW!+;n4mZG^pyIouoBuEQays{s2OV+T z5j>Bj2J|(4@1{Onh~L1#TLmIx<1g!LD=>xxdY^-n@ha%+j~t}W1+Y}&$lKj+hoTyIAQU(9=mjqx7CL3L8{8=jt-nHe_5 z4nvlETd2ehqa3aV9e8@QCg{<8eNm*=HRWBgJ2Q-s{*X%K9tqvD0D~ z+5Nmpb)&_|z>tep?+QdiKX6ZJ2W4LtHZGrtIpyFTxy|FEy^G5+V8S4ylwPIsJ&wZ- zw*N~E-iQT_3%feh|6oItj5*ehDp_|qZT+GUYtY}Wjt(|=jjXL2ZM#z8k%I3#@WRBt z>PkOAbpE1v-0KP#Z_vp8@OtR_h`hzWl1Na-=4)AGCtaP-L;2bGy1qlZ6MB_=c)myb zyK_dgcIV*&MAb~pytw5s%)5KWb=x14OpipG9JDEZ4yP=NN=q-l^~O?#;ibaW#@2-&Utj4lt{s$sR6t6{ z@CK4u$996>Em%HnOLf2!BEuzg(MZG zYbLUVwNWNrS1j5aa>nmm6Oq`)&%XcUNSp`3oxnBTbc>g%98~SAj0V{3{fh>VTE#^* zkoZuf0y-)u_mQK0WTaIzrIq+a4ShiMgL*$t?vM^NmIunC5p^^_;ghB=ND-^RH|jtn zDlTUEt-aOI8RJOJG=)MzK?}cxB@H~o%U?JguzKDqX=P(0`P_oIV&B^BB`VhAOqn2? zUtayWW0OQJiJxK@D)vLfTLowfTO5GQRGHW9LbSTb0jPQ*xnB@j^x(a2{!=M@i; z!ft`~)0^Y)?;p)F@VDCx90T+>{mby}LUA|59}_AE`&sv`Q}`#Bx9F zdf!sh?dhKn4{BsQ(l90Mj%!x)_I7s>wy#@IIr-HUc~18U1UpP>DP+3iCGvAECs5Zo zV<%1`ZTva7afkStcb&l4q}21?e_ZN4cepCwiR`qV$UH3pf&>2jSVqt2%u8+CuhFVW zt%rq^qGCDp2I9N^A7a)2wN6OZ*^ zDcCskIp@69u6c=!P)Sqs7wj7yJ(7awjV}AgU1d4vz~Hn0rSH7-Quch*-8nQmx5bY{YbP00L#gl;Qscjs2?zszxJ;mY9R-Q#(OHs*_L{(e}+jZ<)H zN{$y_$ltI}ZqM=(4P#XM>X@`md=X+K%9n%Yy8U{}N@6FzI zhbysle8rot?;XjK5j|QH` zt@0LHck`^A!vT*=H6)~UHgLu7l|q>{^N4Tr$O)8ZtFc|J%iA+~$|a!sB?^Kb)BNt_ zJ?HLQ=Z4)iHQy4UXf|e-7ILD&+n}f){_$mRTZ4=PheeZ4HRq|xm}b{Ugb2pL#$maK z{Zmz(3w*M8X;ucoS#0Ye{RpajCmM%NzMRy#Y?Gm=TRUj|L^0kaUImi(%2X-0 z*TQWte`3@`WVU*%nlEDN%kuV+T8>?8;uQ}Ra(x7n7MqjBZp=NfqGwt1p*`Sxb-_FB zPYAmU0*v@MjN|ieVT&-9f|>PaC9(t5KG5T)xiPBGBonM|L2% z6^mjaf^Kl~5f>mNVSXJ4{;IUa{Df?H7p-V)<(WOZG6cd{muM-;?=hQyV-dKk(?~Ot zSXIj1Ow+kAQraQ8Td@!UK3>3KEo%}l_Q5&l=NjZi5GI#Y;$tQBO&lv&hYaBlM(lkSbHk)8 z;0Aw+`lCY_^ZLu$e5rOAtvPA2@sv9&;YNuZV`JxxZV*?36FZ6eb*P6uW|c(b+?zKK z2V}k0-C;4;XSj@e{|G~&SK3TYJFXf?WM~gRnq#S;^Y{0M*#lRVSp%7l=q2OyraVs# zE|I01=xKylqxb#MYjo!PD-m=f-_o@G*ZW@Vk)U5Evh|FS!m{{tN2yfMQ#_T z&Byub1Ku@OGO-$VtS&b@eY(m=*Vot6lTJEqRpcj8XIYF1_F!KA@-lR9IWX~Vdx$;v z(HTN1NXUpcHF;nV^pmI@i2w{z84?2AAZTdzR^)$r8bfkpB#V)D-$~|Rd`W(7)Il!p zNKBKvoP1E{C)Wu_V*}rdRv-0!neci+TR>G^0+0-$d?DQz6-Ybf_V-sg_G<+GS-W!x zB_9z-zPlgkUVu}P|Axu_9^Mw5w1eL<-<)1qW7-Kt9q|1z!|klJo4WVv*FB0E`7aNM zfL|;^04M%84#QM7GDn#vx}9yP!smK1nG}Wc-lZ8}*Dhk{CA&2ElyHZUegq$#EQ3X5 z_ryosmA+U-t9|6(X#H_0&V|^Byt|e4b%Y?=;ziuOEI{PLLSXJ+y0KDPjqL-j)p(4q zYrBm>`y)dBTG&KiYCCJUDW$VH(c!6Vn;tO3Ffx8;*oR&sT4Je^loes34$h}weY4T9{@@` z(XA}AP);FFPSVQ;rUlnA8NmecalL^)C`1Cetu|&w8z|^Ul#7hQDD*|bqpLo3-MJMb z4-eg+Ky-7k@TM+(|MEpj_$XLa*9DgI_1=0?P3(LftkW2qR2CI_{suiQS;XJ(S-~>C z)MYIE&jwQP8<;AYWx#OImchU(cIrJ;Iw)uJCh;GOrTk*=zKN{KW3Gguq4pW`->&Ol zdwaJ)F^K_1Br}c_ENVQ>=|?RYis!}oWl~4WkZmLBxGmViRj$+8`~q^DY_;Rytii0z zw8sK~76y_&EJj!y5dM6M)OLmMh%Os7gfq{d)p`~@-TTQep1|*3o+x+s)|2_-@~Ezc zm_KsfY}21PY-G4xvrR~+kCrH0T^n$cGM`)RxM8yUOhz41P@Rb#c-EDUYOcsg1(3ON zuPzHj+1|XLqb+q19f9lkt#=S91zPT6IY*)Xy~M@~1@bSp!Alf6!Tu8Q7&OyO7O*}U zdY|P3X%7*3Ed7Q<)_E&|3iz<_eySgm%1v7R7y96F;t~BzY{$?ze)@+|%_|hGtUY!G z;4EZQ&|we{#k4(SK=Z~{E)fY z;7kKMQ=SCP9_y>uyH)G=T(93#wm?d1X=x2pxR!vrM{~CV1@ET3Cm;9GG>!9JstSJ7 zefZtW&vJ&t3;sBugW>I(GNyzqdw_tgV~_^w z3buG!2SRfoGb425!bV1)wHyWgW792~IAOVvH_@Ugv& z_uKX_j%AO(@q4GoCQa!Gu+Z6e7MJ{aIT>H7>POclTV`2H7_mvXg9z*?#WX%gWrRDZ zT!?q|PC;&J&?(#kyOX0Ls8SDbsLc5IsprUPk(di9+HC@o=~GZ_Q?c~jbV|><_vdW6 zkD-#os(iJjM2zL}vH9ESq4Oz^9Vds(%9gmqetgi)xkFdM!cMK1?9sGKyu|wCC%5YY zJoNijAq>ETT&dnCof*N^yhphZq<~gs0ja3u5}m;gvRpeTIz$jU7Cn z$+`V)*kWuZSxs@t>&J7J7&aj$JSX?|e(Q`*1Q9>w*R1md`$Nj*4=+Y6L*36*`5c{` z*ce>Z)E@r2Jf094$+o|PhRPSask~uA!X+e`^H_Nx3TbmHtPwYI-%8dLHvJJZ_7MoR zU=2}hP^Z|^6eBTRpF1|u7P9Ax!qc?zOpa#5Ghb^0Q@2}YP`Gkz$@j7rR!Q-GZ2o&t zl$25ZDwgq<^yk5Fz4k}<=5&CS0^O8%_o*)uBSCB?GNJY12dmR`QvOLTu->I2xg$I7 z(}lieJ&=euk*0yCp=y@jkmW*-2kG3EqOq~-81o;emH031OL1HR1qc2|G^jKxC@ntM zmnp{Ik=c)Mj=sYW-B4Qxig)eqx`oE`wf>7Ha8?w<>MY)ZK@nMvSOb4<&z(?H{oIN_ zx<`0Z`f^ABW0UmL-R?Kc&8Yxc_`5lBAi#BS8PB{5>0)?wi0D@DhKpb|S@STJ|5<^X z4B)owmDNRH{Mn0$>NLC1`d7lzP{}X9beiVt8ge3!5&2>NvZB39m!eCL<6A17>dxN` zMR6Hu7n`ozt}fcalmB_Y(iwuj{N;D{yGa?@wYjzmRrsBz5r6v=?>8CAeSJ3WBtRk2 z-{W`$Z1-+O2fqdMF?!kE#0~3inXtWPdKEDWOJlF z{n(Kt;*_R#ar|s}ar^)L;~(0`u2gI1M53%uJbV?D_aPgjvfWw@iM_vX?R|RsEWb)q zQf%)bN{>+Y^7EftF$i&6J7#(zmGTkvEV*nPiXu`Mz*`&@XIyjStB zeKa4WM2yKQ3UsSlA275Gv~_pEwb4FkknoguvDFlyEb{sc2}YR*^g`_`XqDP{NF<^3 z9bFzS7|x3xJt{~Yh%Fz+sH$e+gK`auq%GYXDy@|CJ+%#4Gr-aPx1V;epeMpuI2Z)2!Sb2E%`e7*-iCeDL%*( zl75c+l3>QAjC(Opnn!}1oMc{pI%{z0VlS1@FX=Ns7Gb(GfkI!40vZB<%&0ydiEDn5 zpRbb_jzO+Um4Ssp#GYF7e5efXB*XEFI`GLEJR+^C!4|Cf9x`evBc5q__rs%yIwcC1 z9lmnA-gJ3?$)$?7JH5VDQQ#LIcx0-4Q1)6_SvxC#Nr1%`OD=*ULrOPjgCwW+7Wq~# z+O>xBnfiO;V6KO)@GZ=4w&U&lT^bFGU-p_gIXa%ozCu59RWC|WN+d_?`6pL1(pk4U zC#6L=BgJY)<_t7b1?4M`z4SA1@wgZpV%m4x;{*Cf;O_PgR83I|y$+yIx7W>L?&;}a z4c#HS%SzdB%(D+Rcp^7EC1A33>uvKMmv013PNnG&ThL!}RhDqV%S=Kg7X6Y{SLAL0^+s?f$6ucNMHS+NzjK6V^Y{IsJ|2$J_#o zVq#-~Y}j$%4d@1#UL*i*7KP_7{_|nosOCotZMRs|suquUOd{MEf8mQMSvBeV&m!=L zK;&3`bz%K_#JfulyrwTN5;}7H&I#Bq+=-<0?rE>pYOjyl>$w@ZF zV+1cYM%Lx5wJ#1%Vz~JSqF~5dC@^L$hwF@y^mg!x8sx~l%%xUcBE-9ZS!W<@jhu!j9LD&j|B5JwwI>}rv1zZJpzjzNo!jVs@4zlOYZn2DkyZr z&j+`2&Ye#KV+6yCn8NS(Br32y7YJ3gx1BLY3hb5pRaXw-b1k|Anh?5!)mRBLy;D

    0}HDvjHP&c{VW_1<V;Do8zs8CilLJ%B)gMt3RL2eIo zEE26Q8M28SNd6BTU^XH^0t?v>n^D>}Js=dxg~zQW9ur(|K>B}^oQsIG z#CXMcLV>nobZ6a{?H z^Tdeppg~ z0R{nAY>UG-F9$vlGeV6GSWW~qQ+N4EJCGOf<`*G@F%RWNWMNCj+w}#lSs^ zQT*z0Fq<9?2gVaPA_%xTpt{&tK@l7?PM-?}n}@o#wqYnnf=>EZIDa|urKJ`hHFSN| zdPg%@5tLLg@ayUs7|UMQbiMIFtcPst_<8%0mD$*s9t-=SVIc}zIP&y@RKD9X*zg=6 zI*&TA`^s7m5ABU@DDpe@UHjzpQQj!8App{e%pY&3m;mmPQujmcZ~cd?Kj&BJ<0Ms7 z@9s&d{^0(7?#g1vWhP9>eN@A>eD#0~8|M$!d3OT_#{JQOZiNyCcbiIo$4;V)5r)!V za;QS#XEs)hEA(MyaX^q~<)8}EVxV|?Y4H?bj0f0~29pU7{4oR-g8^_B$J6Hn4oUlb z&#DFV3|}D7_p|4OW^t77*cJ4fu4$?R%m=z;f)KS7B63p5fLGU5o{KVUo~v^L7>5gLA_T20A3|R zkP8&|sE2h5P6H~T{+u1(2b^4-Z>7^bYZ zqQeFxk`d_q`Nlp#64YRK52tQ>rNMNARP)9d40FuzNq;{O1>L*P8$J}I2-NNs!a01$ z+K6KX5WJi+;OpH42?)6y1P8KwnK8&3Xn~?H0l?aag~C1yI}@;2HJjS*`sw9e3ws)k zEe}<8fGkK)ZxVwzdJ$@5Eky_odSX;C<}1UWTM!T($cYUR$SM>d4De6bi8I)z7A_-4@(-7b?@A z>e~SQ(2L9Rpj^QURA9A&J%7XnHjTLuErbLEJv@uhN@GCLPYD+iS4OO)ScDAWKhI8* ze>xx-VE-y&dAAEr&BS-sgY;)l*-1nq@)V@qN~{XfYNZ^}SPgAya#x;weg{sTVt zJLq%&!8V;A`EMvl0|=xFq5?s=zdE4q&}8@H-4^P|>eA`vAV56S{z_FaO2IG5f?mq^ z`CExneIQru8qQ25%q_!|uZt?tOL%X}PR!UG@=m)egtPuAZ|4$12;53I!2I1c1w*h_DHLFsqrRhR_-cBb7$f;{ zUn-!;eICE!?xE--+M54&SZW5kM>> z?laaD24XOI0Cgm&q&ll_us35!nm8NzTDFBvq!!G9l6v^{|-4qVvbAQ|%2>|?8t}Tdt8H2?|iD4@i9JntGO?d4t+0O=Q zivuMeqEZ4ve(3G80BlcwuP6xc5*Qdtkp<+WN`l8(FyEE&AU_5PsGi|q7o-F6SOlJq zaIpE=e<6@g;p1xL^b02ajK#ip0*Gz)uT09svdgX&VfsxIR8WgY00+in07rCIje;bd zXaGV{xvq{Cj$1Phe4sjQAPSz4e0c=$e`$lo!5gCFEA1q*dhWcqD0@Q#70tE~UV+O?J&c2nG@Duq=SU$4by8xV3vG^zsVIOR#K^oQTsIw8H z@{4aEC6omZMB?)t)}BeJuC~<2t|@f8X@03DF&L*u+AY54!O?Stv>#F%!vLJzbv01* gznd^1+w+xLC+Y|KUA2BIX9AX%{{Q*^-9G=n0k1$nhX4Qo literal 0 HcmV?d00001 diff --git "a/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/lena.bmp" "b/\350\256\241\347\256\227\346\234\272\345\233\276\345\203\217\345\255\246/labs/images/lena.bmp" new file mode 100644 index 0000000000000000000000000000000000000000..68dc9b268eb835060b9c256fb9c1d0fbe9d658a7 GIT binary patch literal 66616 zcmeFZb$i?AvNilW&)MBg6N8wUWl5F{vSmq@EVe9}nOR_gnVBJ`*s&e6!wnSzZPGN% z4mi-JdmmI-@&yd514u~-C$!y$M)9w872 z2$4ucNF)+MCX*2gg@RD2RD?#OA#^$&VK5j7lgUI_EEd9Mvk?x5gK)WAgva9{d_Er$ z2n2{wC`3de5h50gk*KIBL?V$OQmGV?$z(`$bTlHD%Mpb_fyBhbAhEHrNL*YT5+5Ir zD3wYiAt3=tOiV;nDixBHl!U0&YDA;aAX=>!Nls2ibUGcP*Xxm#loZ5ZFd#;w5iyxe zh}mpLEEWr5wOSFI&4#3=rXqH`9Z5?|LmUnV;&eKZ^z?KjBO?RJ%*;fxva*ou>}(__ zCkM&R%|-I^@{s)ee59bD04XdiM2d=vkmBNEq@<(-DJ?BU%F4=+^73+|qM`z+tgJ+; zs;ZFc>T0B>rUt34twrkU>X7>Sdc@^&A#S%D@pwFl*Xu4pnnwpxB=H_OkrKJUFZEZ!`+S-uz_I9MBqXX&e>_ob{x{&VfZltHD2kGtYMf&>s zkpBLDWME(b85|r$hK7ca;o)IqWMl*x9UVo+#>SBG@o{8gVgi|*oJ6LkrjY6BX=G+* z20424C^9=ci_Fcgp=8wzh_x zIB^15UtdQyHa3vW%}r!$YYW-l-bQwIc97lOU1V=>4>@`ABy#H1DdhC&)5w`KXOOdJ z&m!l}okPx_KaYI=`RB-m3m1@!7cU~0E?q({U%rf7xpD>h;)^ekFTeZ}`Rc2$kgvb~ z8o7G)Dst`GHRSsB>&T58H;`|>`3Cv++i#JZH*X@hZrwt@`|dmB_U+rq_uqey+_`fH zxqJ66a_`*X$AA3C$e;YlpCEtwr+fC@ZHs^oRYxs{MOFysom3OH>_in8L;@~X<}@~WEZ(h7H7gR8El%H?fv1sdGmK(Mj7t+}j$fjho-!eem$aR>zgEX-^+s!Y zW=?5oc}aP7b!lE+PElb#be4RFRi`(ZHQEG~R;^aXYHWqo0iU}p-=YyS**GeV!51lY z8XApGV>1LbXTE>%_|f-YjbxPu2In@nw)S>TpWayv*2Xai6gr7X;>h@P7F#S~6VsS@ zSxXF&K*_GB$L9^jqcKrs5&@g2j?SQqrFpjeO8SvQVUYw*v@*$Tvt^`Z<>uyR7Zg`P z>w^=huB@!Cs;I22tFCj^*SP!*9*@uE^ECy7Esag>&26pC9UZOhT`etbtsULn1AVYszqxhy-lNCQp1*kU^38H|e6lS&Ju5xGtfH#Cth}@+KR+`w zC%dq;vMAH4Pcf%k4JjH;Vw^@6lVmHX^|)&Ca!i^i7MVa{h#66G6^TZt@)_c)l{=3f zKe+pOV@`3y$o$66=E;+1&g?AvGX+c{LqI0t2^DuF`Bb;ataGd zs;aB1it+$@Wo6sbOxEnY?9>!>LbBPOYEVm}B+;UTI89b*Rcl2_rbQnk;xQQ|Y2%#6IE;$nE9O|IqN*%+a}H zE9)osPG9=stDE26zW?yqix*E{y?Xs+oLpIEhEEj zRL8`r^wzY5gcylJ78@O_&nT;^uFTD|CPeOrwc}#c48a4Mx-venx{Vj1sGN z@+3J$HSxJ74jRkBg-1kSs5F5*F~O8-b!O$}7Zes1mz0#%RF#$&Lju&f>*{N3T#Z4m z*B|is{LPR6&B4~jrpAt7u+i`LH8(c30f_A$92uCHT0FM2xq14`#V^0ScJtP~2af@b zy?piN&2oI4-e^zD$jvV;EGR6gD1-FRO?RYO4LW_QH8okSj7dl|X4z9!3Ch?gDPL_b zDyeoAG^qug;_bdCFR8h zxjC7+dFe*AR--m*k`km*(VEn(Y;&?ATEgSX4cP?+l@&#K_9VH8!{IWi3<`r4qe)3? zTs-~x`P~_x)lv`~oPI?rYXQ?dC z6S66^JQ115t4@qz>uM^RyDdyU28BiANHjDyG7OC;h(yo`wAQS=g7T73m98kQs;;fA z^1AB1o_be<*VEwjw+4dH_gWiastGj0Z!`w|0ceQr&;Z9KCMIW(9pBkKdH%wstJiOR zfA8Uw7yJ9KUcWhbkeaATNp+;d>{XEM$jr_z%+GN;^8Jlc!gA|GCQ(! z&02{_#1_Uma*E0;O42j*a((l%GzmHa zN5xUmSf~L694R7_!j@<=C zW;?U9oYpjGHR(26?flkympxIHq>#v?W93ouL~C|wx*;hhUMQ5Qo!J#7rI{I)1QA~< z7O`m_cSy$wzqe64M6pqoLV}*w!R7Z zfAz+#@9#c-@@)U*i~ZMc-b}`+ZFZ*<3T$eo!)Y;@tu~V`we$SFdl!dO;2qZEon;dT|D99}z-8%dE`JJ(Ht*xked}RX; zVDH@dt>w|A!eS!^N5HX3d<-87CSO2C;Ru36gOVaJnB(y{EXyR3awI8C30tkmn{>wG z3<5j`OCm*tW5UA1X^I%F!JuSfA~C!qV_J4@X;C?(KU99Vx8Cg!`aKYAAQ)^7Ha7+W zjga@y4ZU8U2OdOcTSr&l;Kbz2(WS+u&Fxbczxeu_TX*h1fcW9{9~|tLCm3wNMP%fr zrKZ_Zby|%fB{?x|=&R?i9-QgUj2CgJ41SbMAe1Cqvoq2xN?DXtsWE1j6sKofEeSC! zzFfiO=OIjxuH@0_n&YVBDxjdXulc&aE=_DF~ieu98R2~IS zA&`af(J^>-vVnxBMheY3I>Sg2DAI(-XP#2e^XOSduRK=K>y^-%)-+0 z($@C5D_?#6-R--8e4o8|1=#QHU1O37vOhD^nQBcpSv9J}*tmo^Tko}JubzLq+goFd z;t;6iveG7z`>LgQH3%aYk!)L57)n7{f`h6chnWFRypi!83P5oNkZH-_+>y z1)BmsU%=~j`8~mg#s)utAh`bC!QrWy#p(IAt=&_fe|7D~?Yj>iKZfe}^7*Sb2PYFX zkWu-0Ss9j8vtFMV6Dwi!gbAHrKYaA?))%LjTGPZ7GL<6|vw5*PcqfMBgjl&!sdwg= zW?E8{<7GUyT&77eJ8~;JrgtxX^~K5IJcYTaZDM(IdvAN^?D@UbZf8biN)+H9JeEwx z6VMDEi%P_kaZFh>n=TMzNH~&!gXT&23{EsxE>o3sxvFDnL;?XzLKDzO4x>moR#aTP zExjZ!+rd3@n5jxDD=UZI=c;jg;GKJXfPaEPe<*$c0UoFe&e-%?a ze0Agdn`e9VbTW~|i<0t03aum4ZitfuO{lV@7ZqmO)JZBabZ50eXG+Ttj_zFg^3qPP zJ_WbrzPoA^hC`F<1Tm}>Jl|*`GzA7du6mEhAL;;2?Jb>s{lkMJQ`5^U%j?@`uY7g=)_0-spFDdGMAX5%XU;@Z zW?oiKrqg268j@mT_#8YMh1M*6_vp^%+SU6{?_cRwQy~Ea3cf%bXUfQN=l~EY6ZN+I zvh=i+1f`h65*p1qoyA@^w)@4^i|Z|VnZB%Ta(QcecVqk9x%K0HPIHr$L%`5T^jJQD zgr&0SbSjrFVL~YtGRY(Y9*@Rx=m|_B2CE7*5BKI2>9Q;F1RC%O=m<)2v8)hG`r_tXCh_5l=fq}>!DhN>jnp)agI){cvA^zFL zwG-=S&Rl}xe;bC0bU-1NkW z;Qq&!PV8>4Z=XK3wJ@5lZ%yXXQFJtc!e!t{R4#@{<>Q4CKAXj6Gw5hsI8I6;3J7?D zwrYN`$*;<&3aZhO6jB5h6^@OJKt(|AM8)Y-Gct0siku7-PLT#62-w|vPaWK~$L;eq zL+pMC8W54Y))NFs6l`c}Z|myo8y+1Vhw2A(#QBR~T)%niHq8Ccovvzje|3AMRLP<-`Eq3}U!+dW$*?4=RN8n$ zYHp6xq!I}M&yl4vsVJ`O*vU(mPObSQ0)18IB;enz&F!f5|=~8Vz?YKoe<8j7W9vmbd*{R^4xe-B!P~`ljt-oF5(C(9M6|& z%#O^g{M>v4F^sIqsi>-Rx$9gIJ5;}hhM=$68w`Z{0sJn!2p8a?_MYzELAZawesjmy zcX!WTxO@%L|G^{Z{xA2T`MrHzt~94Y^j3pj6DJi3nG^yV7fEI&`Oe(Af5 zeR{FS5iO)KMG9q1l+s|!&9WpXtCDp_JM;`~EQd)X5JP`YVg1t1#f#f>UOrb_(K&r= z4Z`0#d2-{}SZPePE=d|eqo8pp6g7dx!Vu%=M23Vd6$%(M43ofN<1th+!R~Z7H;&}G zQ;oSWAfgFWIu=X7MTVgwA|tRYp;~JLT%Mbs7atxWF%?wTxZHsMA^8FQHu&N3dwniX zgUeM1zvpuU5Nhe_?Cu>HhS7I!d1-U^%%v~B{N~Q>dygMId-~#4DE<%MLE74^CZkcU zN|ed?EGiw4IZL9;EEv6V{lfIzm8b7My!>{)S}*62n6b)Osa$Q!&a$K=Yjr95RA+|W zoXBNS$*73K5n&w9!ruAwo0HWXzOJleYH4j_Yj*?UKRWEwRjUoL;aD1sv=}^HCLmGe z3@S||lCVVp@X1s#eAQ<$*wYxpFRnQj$@F1EyJG*;&hsGyn=9Z2hU)zK9 zzxwU3n%}cf_j~p3?S~as|Iwif**U~$?xiUI->e0K8Z|`li z<)~#ay2PkrDTBt8ibM=55jZe-bOLjPp~UR*&g=L>_;X?` zV?<6I6-PjaF|imt4ugthQROjOXLey;PHusNj8d2is_H7;)iw2ieSD36x3|#?wXojp zs)q{TYYYGp($U`2H#jmedGy%vwY3wcE?@fk+RZ!A{GUMluU;O!efPduu1?g&D&u05 z@|gJ8csLA;J+su+(my@jJ2E-cJNMn&k3T&BYOF3z6C;+!8FWc9i8|HpFdD2WI;%6= zo|>YFB2f<=Ivj@PHmsdKcXqYg#%3i~bxa;#+gjg-5#Yr9pszA3P7{m55cxzjz#6J3 ziV`KD(}jX)IvIyWQP5;6MXD%FPj4F6kQE9dmrdZ;M5om-(PUyco=hM?_>p*uM4DjD z%E`$rD9Beu^3^==sUf^9x`Lond?dtfAhvf%eN0T0&R-Q7DpJUTHocWilO zee28@SH8aXZ7BSwFJ8WQ`SR`C4=?g1@d~vlN+MS!rI<|4wCvLIN>_7R-{ivVP)EJj zH+kdbk3YS+x7}83RmV$W6AdX!z9QL{VKkUb2BSGM(`r^p>9ivehYn*UuGzhFr&gNO zT%o?McY19V2+*CAyDKvTuIhrgWKjebPh*qmG{|c{Um&E>rSTF94#lKkA_+-omV_>W zafTzJqi8W&F0G){T4o3%&@n_3hD<>n3BynXB1tlIfZUR@l1x5Ho>Jnft*Lc+0QSHL z(CBYy2)Nzw_G8bD^m~@7WOp7I1$!8rod^ilN@y(ssTAvJPxdKC7@6nab?M(ncn=3PYb!`m6KmqRG0*hEUOAeKrgU=ZqPCt8-M|UQ5fV-p9eta~OT$0lZuDIrMR<8gp7kaaEny-_h3H)z#DA*WJ-OHrC(O z(bU+saOcfWKfL;WEs*0dLzCAUO^Fhj%IZurnN3M47KhzrNs`bbA`V4hEnN#+8|zbk z4NYLI@10rQ*xcIL-rZW6>vc_33w2B!9tt{@MkiruI2KzXVKSv$CWp-uQ&6Y`D=Ctw zs4~ilbSgcyzlcIr7=vfmcvu3A%WO80OhRD^7?LPD*_oMDR8d@HXEKt_B^7mmfZfpa z8e2m%AYfk?Kth+#?{94B=!C()cW7jM5}N-85I>)PdHv?i5bgO4Xm3y;UmonYc6c+* zd6~s!E_bjAdd2Y2P+ur~0F6U^oo%hYj`43_{qp0%qjSBb>FGAJCQ)xS#Kb7HCZ|2s zlA=v9rJBvgBw<9@VJz0rd2Hv@=5$ca;9Ixr13}4GrolWz_d?Ot?D>G5UWs=DR zELFym(db;UR7@dCxm0vi4uweL6~_~p27QgEE|JPebIo?l*GCd*I1GbIAOU?u#^9M! zoh1_pkm91eSVFupzZ~d)xcmk`%sqhlJnq{1I$#9-jlQN%{vpskrWaPWwt@Hg z>iW00zrXV!^!)cj)Yri`g&m!B#kKXoo40fg3=9wT4-bU2g0}9KuCDHm)@EU4EhMw-r;q=o4?PNLK~vQmvH$;l}et2tdSM}>!dMl`h_KY40ntWH4^TfKdY z>!J3)vkUZ3XUAj#J(*05#G)yJWHk#%z*0m)F@;LzbGbyG3dbOeWHEeRQZ|;*v|7_w zSK*A7)YS(nt$kqx7M;psv58b7jR@zbP-+}m1*K&r1!kJm;4H0h!TWFU_?x^gXnihE zEx!Z%bt~NKw1U`Jm5Z@7N|Z<_U}4Avh6aZs&^ZyY>UbS3#i(=I-Bm^Q zlGdSALNSJnA_$l~qL|4fN}+zD(7c!gV_HE;X?10uoRs9u28j+356t^O|Aihu`~&ka zFu_f&9bNqcgCmni=NFDGt?!)u{PK-Z`rm!{=*bg!{;yxYIk?9%)&n;-K0MeDH$Twb z+XF%gXhy&wHnl(v8UOt5{d;#Gz5e*&&BM=o3xOg?bC^?L($&Pul2X&0HoMViwHQ+@ zso~*AB6+1_8>i1~j^)!L_zvIT(uwWuoxQzNyQ@cg8e1(?C}S8va#RwD$`(s(ypF2D~pWA0&i7(9+h{*$Y;biKFw!mN(Y7LxcZ~o8R5J z54McZ=nL2Xe(y6~4Ui*XTIufV8R!W;c@WFGI+}w)pWj#CxOVIL>!1js0DJ8|4`stz1(7ej2^|Pn8#&YnH>45If;6H^OtL!DGj%3QvcX{d z?9)T2n7a9$GbdLD@*yQ{ z%O~(iG!g|Trqhfmbm9?wylbq!rK!nkKYT>4pmFuq>_&fSYh`gVgGC@Pa0HqtJ|(lP zu(Z6;#%Jhld7uT=R#(G|2NKX-R|Co51u7^I?CgPp-aj@mK6`8#;y-`k%2(HJ-Uiwq zxQ~4RKL_vLzfAtQ*wfnAJ2)LG`u+W#T^(JWT|Hf`ZNQ?yqYCUjK6v;1(c>5Ie|Y!$ z;n}Y8+(L)lVA7fGnHgrCR%J-ba9Yh4ZL-<$>CX?LlNuJc&+HxV&c}tb(t{BH*6P}c z{+#l1RNygJKVz!6`X-!h#$r1yPh-aw1Lrr!4{`_Qh zc5C(gT4zU&&dO&oS&AeEiNVC-@FKC&UQ$wASe!w{10zycQe9nH2g+}Qr@o=4sscGZ5`cxLnD(g`^+C-UOjo?(zUDCK=Xv^_vFQ^mv3IbdH=(=lusC*)}Fqx={_LI zAp5)9;qtrNTiby~OD|L%tm2TyOzdWs93z!913*37I-lTNKmb*5%n z(u`VN!l9oZLg`!QcFyiDcG*!!xS363%ew%7cDA>-j!pJAl{kca4hDxO!#GPMiesX2 ztEU2**$yZYhZqE z-GBTr)cs$+e)I0b$AwQm!FhuK^+w_H`+Ixf06M!n0rj*r1snYJHQuHB2k%}#ee&|{ zk00K@dT_G4Iw#FyO)(j5SvgsDlMX~fr_&AvK&AZop--{qj)ha7pIq!T968L%ZX7kXNvwDQni(016LLQj$(ZWel2T`$ ztE;!i(b+vbccqVxiQuzEOfrYg#k1%{E}#I0NM-^VyfD|nkJRYWii*nM(p}L0K?8RK z|KkA;yt%2pvts}%``Gl+*<%}9C(oP(?0XYbuO|ThL$VKC|3UH3KEe6?-QClJeclV^4p+LAsy!YODRU)tN-**0{mIX64k1zqta7cj3wx z*T1=W_wG}uelMZ*z5U@Ak42w;5?&eX?3(Et9vp-h(ANe1ue}TO;}DvxtFGw3_4>yj z-t51G!}{><<-OgG46{C2ooFy;fIbZ9PiM^l*)+>xSATZs5H6+d`0nZ5#TLb(Fn&Sv z#POZIo!uR<|13>+dHPe)csd2@HwuHs67WY3i@RO*3N#AKBuJ@@ND_`iBuJpLH5Iei zf}*LO(M5x^Kh<}pv+rwKBwflBvYAv4gFvK^=pdiU;n^3Jc!-sEctc z2eT2E42ckL0c%QbVmMo$mI-F4s!AAy-SuDw0qVmC-Y0Or0HPfknVeaiTRyS1y$3k% zt8ZZTd-UYR^XIQ$zdQKx>upy>uu}l1l-#a1QEsWtMcyL zd3o^i@uTN&;r8obJHINRd=^envxG_GWEI#Q|;^rzu&ZGufP}ru3}CXuPm!a`W`5 z?S*F1k;v%6wz1irlRMj+d%GLQr+aH1`7Ky1P|HLigF+;cDMUO@JD`rFX-VNYI*Ffb zQB#z%RBLHYT9QebH#yfoJ4VN=2;-mMK!f}n&EThi6COtFfp6cq>`IA>~e*fgv`w#Ely?Orh+H|@a zsLy1BHPxA$nPy7X>CFzi)sYbvPLNd{1t<3ERHN$f5lLzD=mPNV>+67kmnOSvvzB5h zSWxoWYyohZbTS%?m->w;fr=O&j-r}1x@dAtiZ;8fJWXL%*LRP!E!I-SD8c-(M=Ug$ z!r0(2BZGa43DA*1#*(ONlRd{ELKD@N+?=w?qVoFMN+5mxK7g(MHb61$Jp%)y6Vo$` zfWJ1ugaqaP+AVPWf$;HsAA0|fzgWRUV2?z8M(LgkbdCTW6-ONHb)ltf07f`dV88P&GG4Hcx7OA=hUg= zp>732#AkbV|M2Aa{M_8J6M#X^pT2w*+CQk?AbjkuM>hpCFxyu707y6T!TAcEog{ecGfp&gpv@Yv+^G(g`I z>$@k<0`>FtH#ffr`1|B>DE)u&@fa=~9}~$&p&Nz+o&6(F{Q7$V1a$Sm33PO{x3xDl z0jCPxB-q~GdHVj_4*(fn?LWVLJTF?NOx7n^EGBzyj@_uy8Ey9Tv@lXqaSQxe>qooF zqQmG(MNK1%+nXC91$=#Buw#CsGL{20J{meES zoAh^d4Gj#!6X+cT5+pPTfNQw3B?zL5y8#RoP2S}@Z+>|9=I!f)=l4zplO@p_6ZAl% zH8a1!0n0B&XPOfyOmlV4Z=P5gYszFrGSmgFV+&hbAp-E^+Tw8YkXb!Y>b@xw9j!!QfTi@Q;+BtXb z{N?Mw{DSWH4kVtru?(-WiNTEU)XD}rt>kSq_K~A$i$&l(0tIL}DXVzzDhFvMlNLET= zaA*ah&~<~YJ`zR1QK&dt3{OHQv9Uz8P6W~pR>{V&j0JpJlsF;USZ>t@ z23lLIyj|(VIb$mN>39-oi}6Aj9*8t7nZuPb7-S;EpWt*R;!(nc;-bv-+)5zsL*c`X zdBFP942GZ4>4}-yMd*FopunCx|HX}O!SnPGJZ~>x@_Ro?$A@F+GB%HmPFV)E0~F#x zX#c%kJ-xr0fWSW+2sQ*lgkrP5wzT8RCkL>p^7i1>lN+1OW|lC?s8azBO3lhmw;7Z5 zHhWr*ziVV>X{58YP|J+qBo;J|&aZE6oLF7oUYQ+i+S)G)c7>tBNh}V7D~jXbPLvQf65cB7+epV{utrE(?4q(QFQn zt(0>Ttf>krPNpgbIjk_F&{bXA0Ha?3Og~;E=?%-c{~TUqDz*5y^~ zJOIoDR=) zwG$_{SLX+U%Ll^$m?rA6;D8f{t|V61d%OK>FW->kpY84lb&h@vtZ-RK`ffxafH(c|#+k{R91d z!`(psc0v7b4fqI9|H?npIgleHFq zTmR_X^i-fSQzIgUGn0#h!^c+FS64v;JTX7i(EDU1IVFaJN1-F3?uglZO@UJ(OJoZb zDfPJoOl-G|Edvi(h9;*b05j;|tU1hh(ni*Ah^P!a4lkF`!C_4&g9I<2(Wroe1X8t< zfhVbwvNE#@D~d~UOJD-7^8jt=FRtvJ`usdNl0fymbrXso@V+q2T{h#G zYC4~j zMvPcAI|;lZs@Np6)o#)!DfPjQ!O5A?zA}e75qK`9wxDrvcJVk6FKg?^=Z6B7lV?oj z(O5hI4?+piUfWnx==Z8*Qdtrvs>;9?Rd_V<+*rL@U*)YI9~fxq5YSvzS*4geOY&Kqok7Fb*F3PMQ(-h27P>CdlR zx^ngFtJiLV+aF9XPoM9VJKGUC{qwccKwWe@$go zabb=vv&`F2WQ;98^#}w5U`<{=e|m4Dj7vtb)#?OTn$+k`APK~%I@|h2#=8BE6g~7g zlrT9bFmiNpX=!t-RYI zic!Y6TotK}v!2!>XJap!=md11F6lQ|amXn>6 z4|GrkKwytIMBBO>>ijLOT|<*g8++$2eGRw&?Kj|fgJqWx^|8OMCR2$q4pD5pNTCu+ z$^4%2&Sp?P1_1oP!-vI@pce+IiV`^2)Rc@uUwy7gVqLxSVjo1)H!oj2dvtLupNGP5 zfvwPd}(z@UUqM8w5+DIq*y=cb$3*ICS|0YjzSVuBqE~2qpM5WMJe5sH{1@g!Qj-c$~BG?G3sHg_C0-hhR{{>o_I{HUv*TC%f<=0**Z)pY#jjOu6xG>Xc zwHx%7+}f%_qau0k&hzI_p6tJR@$A`?o9C9?DiW3_jRPNGe48=}qcVjZ=G1h$V8@`a ziIbo2%yYP0<;B&mC6iii?&DC2LOBT+5hcYD30NYA#-vk-I4X0>}-X-^K=CV{?1w5CGRpUxMI&>1LF7TvkL_rOc;hQ(`HH&G7hm55 z();ec`_TLzf8C)ZMxc&h7%}QBp;{FqQALS)+`^^4HeZPJXa^m!zOJIEFb8%Y>}kMq zr#aG{c}3YabNXvNel^eL-?OliLggUA+R~H^9}nXQ7TZwiwaAD4lk~# z0WT~l;DA?s&G2J$@6gif$;;q&ymR-?{d@QCUG3E~NkkMTg22|QGNY3cqocKPf;evW z#z3pf-wXOEi}I`X?!SEb{H&^5e{s~h$Tr(0)Zz7vkBSq_n(}^2*}UvMN_~of~Yhfu?qlt($-YYacwizH{N)ci+MFKfHIL z-7I0#87wlDN*95FTA8es$0o-|B}#2O106Lr0Z&6|bxBb{eqL^9(XKGJyrQC}23+M0 zAgWf>?LByN@B$vd^L=nmytse!%kwKe4n`yjM`uYCiOM)hl!#9Umr59gC6~+7YW+Rq z^Q$W>YpWaEt1~?f-b-H@6#{077=y;E4B-6`vzTT!i$;v|1&X`+EdmUupuTG^%al_; znCG#wDwbD96cieT!Ii0j^^BGQ=R>5>K|25r4ED!pbPf&3Ls@K+C99wkR#7Ues)73S zHiW|O1`G>BTldi9@!ij_1Ma=^{iz1ML*tO)o0+%> zU~t7T@p1--%ckJ)=t!CmXi}FR@T-}%=fyp^LOvsGWhjQ789*eMF|A4 zR66WFlCZjtde2aXDw@Q%rj*Pz>9VJmI#bvQ$4`waKqn!QX|hBx{YME2U=0nyN8kQ1_h7jO5>CUM`j5W|H@jw7m#mbL!hmve;6jYp^=fXspZXcQ2ehflqJEE4wpuz zu_%y4M4>3nnrKzWD%C2fgs(n6I9{J!RtyV2#U-#*1g=7`Q?!P(kRD(|TESITRkwEU z?GNu>Jcos;*Fc~?d;IYBmCflo6#;B{BrGR7iprwXv5}}q6iuepB-yK)`X?7w*H<>y zH%_d9{VzMwaN=$nFQ`YZz)G;cxTqKdiuTD+{3Ttv; zwG_N`L3gkf_>X@0FarR@&=Wxm@KjY!e}C}9j|TvOUcLhF#Pes*9(;Rgd%Rdq!az^M zQ)xH?34EGpESf1Z8dCD=n}#Nit)5t0Ti@C}F*_Q})3OqWzjEfc!J>ysP8V=k(PSEt zLWqo??H@{W=y?h5PP?WSriP{N=85&idPr6VJarl?Rv{wc=rR(>=1g#A67hfp_-rnp z&!Vsd2{JZ=Bac=plWnO^M;6$kDr;-o+xmKjk4}%kU%$!Y_2U z5>J|8(3-UI@hb4LiKFJ{r@LKM#bveLfWNJ|t+RiicVJ`;B#}XILxjXYZ*67Q)fYeh z^!@;-5-A(pWDFXI#SzFgDe1YzrGSAuyIT9f@;2Jr z-P_yL7Db}m5zOEkYQIo#}V`C2;KdItN3#zsdc zN5@CN2?ef*eo#bO1GQC+pFjQK7eB%QfN%<lZf$^5sMv9#++0TLFWj zl3}E?<<{0V_f8yJ0|Vg3?)JvgM0KHhkwpP1g299J zTBS{~7vuvA?(#M^cl7s7%^p2AF+MRq+SAXkut$Y-|)vlb{Je^xZw}9qmC+ZT*QyAAb4Mk8fcN2GjK0 zx36Er0X%+q_x6{YGJC% zuv{v5jaW=JUxo+xOd?4NlI13uC_%1Z$B}6hE0YVrfQ(O1PK^&wj*X2^jEzo=kA)r( zjKiIsEdlVAOy7O?o4@hHTaeB|uG#l*VG@81qDK$!+`4{oYr;)JQ>dWh6S+#Q!I)a+ z_Vyo6#wExONAwhElsp#%ArjNd{JyJl^hpCU=yX~-XZ~usVr%4EpBZo^aScV zZ5DfqLY%;$)1c@v$S|jq2~+{>i-7h|Ah8%U3JqM*93e#{1>Y!+Ba^GZ5eM5qVEhTd zvUB&q+_AaYg_*gTV{6C9!2q9z#sOSlGwDoVT!>f}tRQjov`TAClu9Av%Q@8Xt;zYW zq3NSXXJ;lyMj&q{#z!Vb;S*ejffDcT>gi|>)Yc5%eEZAa{P_Mg6act?_^6WCFZaPn z^B6wtF#QDh>SSD=qIDMgCtD4vW!om!um=^mY!pPii<8yz2qz(*kmM&J?jf+?UULjB4%ff6 zvjy{Si7}cHmFP^htD==^TP%l)!RQkRWR5tqIm=jFTi)vNSLP-uyK|gP368AxTS-h- z2=S097_N{;#*o=KA|3EH5hxHA9X>Mx5CAQjjbX@Q)hV#PkzZL=RSm8;*Z^ql8XO-Q z9GyRYY;Iv2WeynodQ>qp)f<7NRKZD$c9S zZSF6t$k3<_jhPmoy4b$*Yz7_(mCk0;$P^ZfiYIZ%6gnP@h3seZi8vaMB_-3Nm~4dx z%t-chKdJQ;*;O^y9}5Z=wD{ z2EZ5mbO2x=ga@BI1q%-dbVMvR-tMrbm3SIEMi$q$p#1IZZY)l=RhlF$p4DSZH7Ny& zaV7w7=y)v^9MHby=FC9)Qd41dNsOf?U=~zoyXx=k-)ZCG@l+;U8Wory43PyNv_T*< zI1DEEMe$G*B{ZIZAFni+EOwXxzy@3E1>Og&FW>|YG+XPI%;EGi*Vrl*U@)WOxBCXK7NF)!EWF!;PCS)i_}VE5*^d)ZLv zsGyUw=}Z=h!luxvR1SQ63X3CzPQZ*4&?OROg4SdP<~hH#xU9Oe7UmS#djK7z2G%}d z>A9;NJOBfo?xvtULIRT+9uHgUOfG2ec$_&-k(eOnu+_Z(OVV3+x0PqxqJP|RI+fV6 zWXTqzL1ckt%eHJWTDD9w$jr>l%*+e{hdEB{IEjN*DM(dybraii$imRz!t?^Z{_G5Hfhj!x3@V^;yg}T7eVvUE8Sj4j z^FROnZ;S!|`s>fX{`@Cu!Cwdp^>1L(p(Nr4voN3oy-Q@U8I8WWj=@Q2O|M@&zIhw- zul7Q#j3>$Kwz#};Ony|C5kxqNTg(d<*W4RT)J~MQB zu+gvp@)Yueg3;`VxM=wWd~6J!A}k>^Gy)=Ai#^eqmY$slH;A%2P~d=Fz!e0Hz{(Qv zql(MxApdBsYi$(DadU!t$K}Yw1RUs$5~GxHdMPj5zz<H-M{ol6x3|`n)^33d^shfL2mRysKk*81{QdIf3z$@YCy2zqLd}aB7e#66(c_NT$f(eAXT5ZTB@9 zBSJGq+f!Rjy(I%VtKUuR-o7KlQ7?q8h7c=X$YSF3;!8vlF_#$@6%i|dM#hlnuwf4G z%`PY`g5(D>Akd%7N()O0^0K|DsowOIjO^Nx{?_*P6woYbWGVn8eh7ob3u4-%B4eVJ zf)E3Qox0IAkmQFZ=N4vB0N~5d%}g)M;trUY96<$$iAZ;AU0K7<```ZcFD!kOKY#x9 zuYdi8QUG8We*BEL@C$eyu=nAx7#y|EVaadqpPb*g3i2n+HV)S(y7Dd35Q%=E*5QV3 zf!$RMCj_R`!HU=E59%EK4tr&^+|``g+nQayI@Xpv^0uyb?bU#SD}pR4ge%AITsq1Z zVR)z_0=7Bo=%|ngrQT+@d(tzr^YY3t{>Ato+=t4F5`Y1@aFT;QxT?6&ms8c<*V$Qb z4<$iw3yzI|1=|HWU8|Gm)DShgm@K+}dIi&h(aHIRg}HgG|Ajf+fs@md7>SHx{eu$Q z)z(zmxb^0jKd}3;>3{zxT*^=a{r($)hM@@f^23kxU>F);J_$On&TPjlY3=ut86(1g_kx009D9nwsb0Z@&gX4Je z`PnJr>rdl&r4>bU$f;}BARn6Y5(6gD(>5B0UQ4fK{aw)b^4=i>IH1@oY_3T8;CvOZ(`+&Vs5n{4yd4mo8CwWr&c zo*XX=$&w1mK|waTD4CvnR3(=tDYvZww=_g@yTrsX072F2JeDiP1^$ zhsQ@@oixzaQq!{XfIs^CZ@&-^&liOXxS|N7)mzm$Jh!@k zh!=44=JEdOc)QPDJ7mM$yu3Z%8wb@JlNSUXM7UJP_HNfkIz5oJy4+C^6nRRAo6CGF z|IfSDL}%{C-B1P>%PKH9L=YInMc*5u;ZlR`(pVVMm{ZfU^0Np-ppx?H8o2(nG}jdP zd^q_$Sp}u}O}$VsZQQtht+%wIy{oyc6zpRSbqSub?%}yLcx@aVf_iqiI?+;SQx~<{lu;?|%_Ry>6y`_aiinu7NEvXS#uRsQ zTDC)!vx|N926KT45G2v0**u*92n@5!-^`_lA4)a z=)>Jt4E}d@XIooKp)WVnWrPz!3e2L?J*jD)oKjGteMJp5_3bTo;AtQp1=HDFOw(f2 z@;DJqr2%G;V3Oa}IuB4g86U`1jxcf$|K?KRisxg;9gOv~6^86~iCw zKsZ2bOm-AHWTM#myjY#Pyd#=P)e2owakx?@;)yJc@YFCx%OeZ*G3lP#w49Q;#=4v5 zUw$~vO0n4o?=Y$4Up3OpK7AZl?42QCy8et_u$i=lS{3>z4ByTKjv4 zdS>Q`s(%`4kg<`;v2n0MM=%ryEx5nCqp@z{`pNqrzI?6se*N|5FNAf>Z}{|hb{vI& z5>OQs@)&*y6;aNz*5Ubub@-R=9o)Qegf5);{xWMvQi3t5$t0kJp%4Z61t-P1Rng{T zz_l1nzyppD0E741P>Clmt*U9MZv_rqS6xFpeLn^15Y7N%C=NncM^oL%!O5F%zyE@g z7b5_O=ZNwboEW@t;AHvg$!{Z~I@fNKrCeCGHD;g_0ra*~Smv!uHk8Gd5zG_Uim)tTl0?APc zY$lma=Sbp0$RwJ}l%mx+lhb{9`Nf4rHALwHswUK%jnxe;wY9AsZ4E8`qtj^MHuq1@ z4j1PR)-l0os&{~F#1?~b!jZ|eI&q{TL>hSI8)?r*RYpBv&w(kT{GT2t`o7VLX~2J@ zeP3k(=qEdy8wQS^T!26M%Rlh-3H98sXnubqZbV2)e)|ntPGP7_40A(qM69c*d2n)J zZF3VxASmFQQ(YyA5g|&AzP2vWRpl09YF8v-aYLh|QF2vWtQ4@O4EMgV_-6G*K8L45z##(}+O7Z>lphiw8e0wR9@@-uNC5Czdc zev^fZ!vIQ)Lqfuo+T`N4L9k&qG5p#E{;@XQRb*F%E47ifro!a%G=a#J)1;?z6)|$D zl4n(@RH~BD=%S|bib~f!i|rp8VMtA?np}JQroxe6n;U14v1d8K44NQ90+5~INzBkB zCZ)rz4RinEYA|1_sv9f8e1rQL8o!~A-u7-t-^Q2k{`lR!&1aupKi%wa2BW>oj1C=Y1rv~;@f!wVfBzj90)Yv`7EBV3`dk2z$(UNvHZ%?H-TM0O{*CL0>r?GzE={;x z8>7$Y%gyl$LL&5y`GIsRSHx9GBc<^wYq>1Gyn3w864qiA@&f&Y+Vp|Z*&DB(B)Rn& zw~Aqb0^a~i5L3wyW?U9{JuZU_yiZ?VNl|WDWkp?e9rW&vnAw21)7L-R-_=0~83yNW z{qX7D{>8_aPqrsJI$G=M@-aTZDgvAuu8xEBUcw6Y_czWCH5q*sJp(->D1GN}{EuVh z!!K-nbYN(N*a5=>Uho?qYC+}H;Gy}Lf%UgU_DtD|BJ`huQ<47FIMNo+}%NdU%1s^J}^@?|E)Hf2mz zMn@%^4RPpFDDsv0$?Y4@#*8LQ!$mv=ni&8rJZTu_E&Tj6kZwI$S$Vm6xy7Yb==(rZ zs|D+=2khm6{*i%hoLF7m{i6pTF0Spqe*5}#bE2=cqqe#%E|?7&BAqD>jYtU701}0_ zkY#(bBbc_thILfba3tKcnb}h7j8T z-~MY!C{yA2C32~l$Ca5e{u-QGfba+qID!9+b(Fbapa$l+J*lccSHTinW!Azbl>jGt zbcD`p&Z~B0_T~@fE9KgFmtGmEN-LG={E$It{)L6$l{RcCR- z0|BsCadFvK;(KF53kG&T>ru3g4D{p1?rLirTz-DGx_9>K?D@`gcY7z84cX|=SZo#t z2bD#v2@!ih> zdJcR4M=SxHfVdC;`73I|=tzlNrIO(V;MFFUbd2EqTie{(1qODoI^J69&?q8f5@27K zTGtj95N-@tgw&Ksg83Sa##8PsD2uLc>Fd`8^6B z)45zGKa>XvB~4S7s5U01z^pVcD<39MHFYpE$CcLu;r`eJD%YXWzV7a}#`@-=qiaKJ zr{||n4`zn(2jvwd@#v#K!sLmCuJD8~mXzvGs^4qqHcG7R81+pq;{2aQ?}Pf6I0K0Z z2tNNHDj-N|rmvpB0sP|KcRykS0Q~>zAo3Oe!VXYHD^<~v;FAI1*4m0%@cq}pf7&?! z|8s4mwa}&!BQKpa~Mhy|>8p<(?JtfEcA-12$Wu}2Y%mBo ze4R!L!$Haw{^V}sU?L;54APfDG{7i;AsWW^pZGcf9Ks8Lj&`uCt)+kK$?J=^pp!rl z3gicu04hKN00ad73-)njgfv1DF2y|CFgpxtPgiiRB~RiW&K3KN>BsnjI8yiJD!(CkdeY505Enn_Aw#yDYo% z4P1uER0>s9kRUVL(dFf27Zem%z_A0ikKHYV$L#=m*2$snp4MV`xx&i1Fx}(w<_|u& zwl<09udgX7bun?3()e)rQHm9mVEQ+T+0E7wOF(FPFV^|w(&7S;Pn3WY<5QEcLjVLq zOaTci1dL|yyn_Ax#l?ruIR8)s{rm+BANU`!8q_f=WrPxDn4(ZVPn}xPh57&X-tGY= zfLm)L%|$Na{!cJk9VuS3CMMG6Zgpz{DMiiVD2v4HwKnXhg--#a)rLvASN#1c%E+E7 zKr<}bY#M$GoB@ z!&j6Mr?T1NViUcEJvSe(%|jE@0C>oU3L+RB0Q{QDUcZ|3a;%5x&CM?Paj{+rhjwkG9{SgAK}qTpRgZRkAbE)wJK*`v8w+51YK(wC zrza;dNkb_-G6YYAwx+J_hp*nfd36r=Oi)RG1n!5?&zCRQ06+g^jE;;|N697Od=|xz zX~=6BSlrmzJAnTY`0pdlKD|QWs;Tlg-RW8BaS>vRzM>#X9}y+!N}$QxbcsvljC=_P z*B&kGa-bl#!4n*A&Jt1muowQrv$Z-u(>r|c$aCdyFwpQPoc$laep6=$ls-pZ{8w<;d&I*-9h#7uV zTGQ6_P(>)&kDSrb*WWpV1u#1|L+pS2f+}PZ&Z$5^h6Xx18(Qa%U%tfxc=h`A`_BaI z{RJk1U{u3P>Bm@IlqOOM>qHKdawRAxw{B=*ZRcQj9}Zx6{-#`uTm(uN^R1p!(Oa7dmjp?Z(7L`PC)erW=?gR(GG~Ob*AZQ}SXNXE@ zWMpaxwCJYhf!!Bx-e0`J^S}M{8C<9@U%)8-f?e?Ci&mpiflH<&z-m91F0Wy5Zf$$- zaPQz6?0<%veHMjS0q^{xthCbVJh9vy9q)6)Nlg{i9wy0)u30xmW{`ro5H>NXq!85R zu3mSvAl=3dpwTaJtySLqiI4l!^h^FE(iK@Upme<>Ei;$s{z|GEG3&zj9~hsQoS&SX z7zJagG!u44l|{L}?BdFfg{!~*@4t3Y9QU`>l^5n`CxC;;q>5xp3HuUBIkYr1?q$I6 zP*aLSZeVo@BfuGA_%(t<0Q(6i>Igo6Ur$$SbLaB?SMM%BrGNeE{dYg21^oF(I4Kbm z&@WLM6!Pjwg&1^wN^pE$kD9nDn*`M#3Moa~&mf{MQR&_hgpjtA&=jbX~GOTNs^Wsgr_qI;ZalrLjap8lF(VlY32UjkCmc^Yk$w^6pU_WmgX_PUzq4`t*t0Q zh=C8Rm^=XC5B~UHzs=1I4|cZKRh1THyOe|qD>O_QL;4SjV_|WmM}CD%rPCeFU9DY{ z1Pfw%aC#Oqa8P0hBm_^78E|($Tw&Vh@10#-yv7bVfAjV;Q3Ig%!#VipwUsG`^s9V0HuS&+Yxg!}Zzz=Dc{ROl<1S$eQWMtgH!VD9W2`S*DN>fyG@Nliilr zYHAM&m9d3XKazhS*HDlkrp3A2Zr=6<#We{2_CH8<^?6lKzy0wR{fgfek(wpcz^x3+ zzo59XvIz#K0|aepWqEC7bs6Q$V0V2L+_4MuvY`y9AKpFtM|eeXae-US z!K@A$4Elg?$Z0F%Q*GgY!|awEQ{B_vHo3I4JU2T%IW;?tMKF#L7}h^Qg6Qe)ZEtNH z+B3mhz-N*F?g&tq_4SUd>dN^S1y zbeyPcQhMp~-{Sgma`sVpPm=wwaOFX~C<`F-;=;1hipJ*7UL4aCv&&nHFgjVsfBk4* zZFzY`SxI4LW^Pf%#L>5Z{rlD8(%4{oTRr|XFH6gZr+yeust1-_y4g8ZE4jkrG05`# z?vB>MmF1O{Ss>xSfl&ZW3}XOB=wi@VclUHOv@YC*hs?z*ya34QK&S@{_VW+le)nw* zToYlBDv?TrOs3G3-!?K0=^^O9M^{&2|B`P~h?U887E_`tt9_<7MaDO_XKQMcSnNba zq#%DFqfH_dg|Hy9UwkGD!?Ja7O8b` z`_Ze5H?Lm4e);M(yoAsS{etiR`8%ab36Fe@1k8K}QgRp~eD} zToGkVG=r^@Ce;Xa}pKBX@JbaIkp~qHcu?zFhhee7p2ldGiVecm2As5W$gB8 zsQzR>lA|NJ;P%P;Umo)OnLK)EoHH#u7Z&um{Rp3*(b>h-ot^#t-L;LirP;B*4#K{s z9MhnpvX1e?FaQ19?d7?d!R~?Pn$nVj9EX@eVbeI$IPw)r-$Cz`kL?#2%H`5@_0Yad z?QhQ!^oJ>Mz_9oMfB^v;>?fLF)WA(GGsh=r0?%K)eEAx12of|f{rutcXQe^~n|P%} z5+>l%!Srbzp4;3xypE`@{jK@o#zKoi8Kr|-%H>K;O_v)ho6FP&uU4CvA!YHE4dot_ zSb_;UkIQ3#-yq-xDHvCTj*{g0-Z&DObj9xyx346-_w@ALPpu4~Mj`Qu+3-LwD+bZ9 zr4t@^^NV2H?H})L?P3E=4mLN}wbfM@fe~5NIrrqZ|N7f)BD* zkVr?l96WK@vt*)N4wMNvLGm)>X3XwwF3ru(%z{HahWiiW9|!}Xi-$Ib96zMsP^)z(M%SZ65vnu`zEzB zqv+(>%d?Li2(txw*DDH`3PuP@teFKUu@U7@QdzPr1w;+iYJFQ-XrTY&wOT-hvG&6bBp$IrXe~GsMIOOezPd|MA;d7KalGp)ixm3jA3N07_ ztn7gNb^SK<%oMvIwEA~I#F(w#;(++!nUT!GS^D~xUIDVIfRV0VqL62xcF zRq=ut+=PzmcCQD-(%=9l)jxc=I(zKJ$;roK28AxtB_gZAms?ub*x5HQG7G9Ty!MWd zKsevtTA7=~T?N{DMVYUptY`K8zyIs=jdeUzZ+l}ICT4l5Iu4h{V}!-i{e|OOtGEyy7DM9V$vLyDR&XMJ9)V@dX1Kn91YtA*Q6_K&=j`F%9Z*Emtl_xe#mJk~% z7D{9QJPY!=n=qZ>1qU&~A>yda0)?ICAFOX_NteSg3H;(9a&XE(R?*etvx{H*0|I#Q z4&-3uWB<3c_6<)iu59fb9qsR<+&nzmUYQ*o?uL@7rntB;x3qWfX$}lG0EhZDv-qn=2y@K&wvF579`OJpcO_5Fg`@A z0HOxy+kANX3Sa)>9aaEt0thTVeu~v5#HbZ9xB)`B42ip_ZFFG^+W#9jk8kW_|L0pG zFmQ}BInwH;21a2|(*{cuBRJ9GFma+yUt+FqPLeTMFh`~`x{ z69(7dtqzx`58ZqE>f4_ZNi3BiB|SU0pt8QLr)OkpX>)V?+U^>(&WGr@w{hWg0`99U zDak9W=sEcAU;lV}V`FiCyt}>vp%sPMUbBEl6~MKgPEpJsE*FJ>yc-CrWuUAO3%q9< zJ>VR8An1UAfQ`;gKpHxNNf?MwxB>tKj_*H4fW+Ik7gzx2XQwY;oxi?_OR&aiBh}GL z1>w$N%5NE4hUMh78@F!U*jpNH$~H$vMClVuCY#$^)Y1oY-HrZ|95aNl3ZXtVwlvq8 zSK&m&M*xe(x2NS5r5J~n3ROk!_-HbN6$sDZASyL;$eDZR+UeQ%XDWsy-jfEldwE@J zf8XfT@;b1Vt)so&&DHh2eXO_M4um086qM!Uln)($`p@5AAMfwZ_O>=uSC!_$#m^qf z4rU5O3i_4!`Kt>q5ju7K!KjXHHd*Ix&SByL53#0q1rp< z4xgOAd;k93o7Z^!7pLdY)+ZPo32`wo80CcUIC67w)6fFqKVZ9cd~*-|Z@xVe$ytP3 zs3$os)t8Y1u3OJscQWQBYfR;nR{5l9oVlzcz)^6goJSU}-3s2nDhVXodYeaHkxtSB=4J8G# z1s01y*fCwEuvnLLR7^csL`wwHHzU|N;K)6?@%Y7uUq(eLbBfnjQd8fJ;%|0&W^rq4 zX<=z`dv|kvabsb5sJ^aQX)zb8PhYj_;vRaPd+h9%tO!$q7c9|5EwE1k=j~2maabn6maq8 z<=IOtfYaxv4nw@o5Tl9KsAV`=9cAq!3mf|c`wP#%i0^MwsSqG%b$}i2N=j>3?9aklu7}&k`?IBYOQvTz{l|H z^AC05@rj%<@!Yab-g#;IVvkEI$Y0d}f8YsDRo*g(c>osQ$+%Q3YZiJT{IU z05&{c08~+s$hOZNK0*cf`t|wCmxv5KJ$2a31|ty<6{8C0DV-(lV=Ktoxe5B`wIi(m z0xNVz2E?ej6QL_D9qV0PZp)000#{B#4L~p$Cw8c(wl-75qQE9OJTaM?F*l`2H_WpTDpt+U;g2;$b5$3y(-H zZy#ORhWQOX|E>L%p@v+$68j&X>7I;aS5kj@Ye!Y*e6cP<>x$x_@26-78}m9mo)7`a zF45#g#HMAyfO1e4fKADQV>oa@2IcbKC^c=a@%^n^_bxuaOpCN+!1W1*PG=wFo;{5X zwWXEtKIny#zq`LD-&a-vkKEF}h3EhL?+-_F!!1=Ml|>~PCauY0R0p$26dGG83G%Jn zZq}H?KwYQMq#Rn1sR0#E-vUq|kl?2<14Sn|HAY+n#2Ww|a$p1=k=-3#t!*RA2ME(R zJv%!+J3V>s`0Amr*C8%Q5~@zD=p0|(Lq&4u-mN>ktHZUqHg#mI$?kBbBqzIa>zEN0 zP0KK=uN=t|D}@XuzosODpOZw`;bn%|k{MBX#RZ*?oDL=lW>*x*3Xz;a_P=~t)|;I7 zbnWQgi}&9?PckGGR8%#?wz&vJ-*y*imVHac2{P&pDy5G!T+wvH-QiE?l&*%Us61-fE*A9PPGql+Sh5O{hxgJC0p z4ME%kgh4LmWLN-@3lGe$U%m6_G4?;gbM1Dw+vd>6>ojU*SX4?mbWGbs{MqqM*xwE| z<@dN|y;e;; zEr$``` z&AGmu0??ux`*+X(^`9rZD`RavRmIJ1N!oA@SEppMAX;Qch6WdHZTh0^5n+&5(}P3V zOueU}tGRUu^k?({AbxT$1yMkNCe<2u7O_UNp&JvqpM?ZW|OehefIR^Gzm7? zNlqi;vXF=sm0sC73j6cx$Ebf{|2RmX!Lu83jdoEhGDH{`KdBhBQxJXXn8D`gB{O zoE$1bVjnYvt&y`TudccDZg@%{^8^x^Ku%l@{ND!VmSCPUgAxGaBpB#!0tiBW8qg5T zgHQ%w4RrPN;i&8!B0MG#DEGqQa9OQ3bG$xYBM*&DDeD?p+P-$}#`Wu0uW!%xRe4QF z7tp~g)`f(rM5j4g%Fu)vO83UMUjP69t3wz`2E{>}tdEh<5O$ehS6AdjcINT;h)ARb zPze$w=wH+T|8Lm#UfcM+iEF?iKfZmK@AYN+>gp@AVxr96>av3Fd#^rx|M6N=XJJ}t zMjB}0rS&6w@Bj7ZlXOF2Td6y>>G;M*Z9Fve6kI2~U_}J8^44UW$*4yd8C)iST~nn{ zVzqa|%7owoK?sEVe`*b-&?M%a#IjrBjavW$_&2?90nWG}2V-qY^hYN}gvnwfIBjC}v%N2VMzWnhdn~9Jo ze}+Cm5W-c>z;j40e8wdw+iO#sC6Je#eEaSD=d&eNpC{RezgOEjzIp!lKi+1hHs)%rHdX%ht#&<^u+qoq zgDlg~yKf9eT4MA99&P|e7>4Vt)DB2KN9UKI1Dc-2z6To+rO@Qq*Ioc~;YoCZ;6)FP zz$Lc}CP7Ud{gVru*IYK61uwv$ONdg4wP_U{d~jO>!iwuz00 zpa1bgo53q~7-KA99ozj@VE`IxDs;QN=)j@lz9^Tw0_efe5lx^f1Thr6Aebd}_jMy=1LN2J@g=L(Wwaa3dN_C~B)W{6j)|4S zt5@NEhX|mlzN$>%pX#UtD@>zoW|I}wLVSF*sNnd{{fVBgzP`r7K^>D$7C7xRYE-0% z5)@yhFEnyNe5C{hX!1m~E95{Poy>tvq%^JDaqIEU&BxC^eD~?&BbPNs6if>UO^Q^T z*iyUX$%pqR&Ec?N@p`fg;D#}EpvDRK^q?S7MhEC#qYKai;SIn;kI;eOBE%60VmM@> zlc|{F2EQNn={HghH?KN9Ke0-V0EgmI#VYVOEt0a z2_~b-YA~1*jPW|PYU=gbML|n@&**4*Yl%|94Y)$eDUq=9WgLSwrlg7E$BPUK6PJiU zdLsvFeTng$fGd8N6DQn@FSZYlAH4tc_Vn!<5EqPeaYY7_nH<;7PF@(vpCrqx$S10U z?&104=byg&;aLU)p%bDgUxOJpDx1wB(-AqPVoV>GTE#+@QiS3cyeJ-m$r2i{tOw^; z<`(CPE(l}LiAfBWCTACB@aWT108#)A5gZv}F4W%z$JoxUHj@!HQ04@K!Jv(d)TLK- zjV;6f9Qv<={pHEt=1jdhTp1M`t2G-FZ5ET!VlwMvw9fJU9|xMcdkBtkjg6~U`dx#-$u(sKg_RA+{#v^E?Csl+Z>|?ec`QC%B4Oe?3)vjJu7F?}cYd!h zQ4t~&Bf%5-r0^GFkV8xQJ6bxYmx)Q}%mn1Xs03%{31U2cU@R~LaR`P(#E^L8t2m$! ztg{Z2!)9_=40a=Y(IZX1n(iUAq*o8GA06#&%=Fb}>Z9Sd6O9hoVZ%jXHJGpr5{%x# z<;C`1h|UK``%<%;4U~Xy7`nKG%m#62qP8rQ%+P9B!KqY|Um(9Ug$u5FFx)c#7B!pS zbh>->){BqtUp#sEZWQx&X`hK6%!phmL!EPr-(S7%dW{~RF(0Uc<10<3scLoic> zLU0NUL12T}2>7GvDZm0ihvA*mX+iyCwOg!+M~K##bE~??76D1^ApqxKWp=pPi`-Oo zbhHJ>pUr7CTdfvzg2`rarslV_b%JO;II;uSDOVLLq-#Q?3B^WkY;>Xcn?QX$g>A*f z&#`8p1)@-cC?q=Va(-X(+Jl|L2N$0%9v(P2y$AVr6|Yi&9Cml zKY8Wavu7`#KYe_6rnxLvD+S2R7l_2dh#;z8aAf%ITCR&jj|!0>hyYR;sI19+cN+$U z-P6mY@ zVur<*MT*tAns4X@;WUO3Ip9*S5<>ub0GTX|zWj}7xG?|j^_?5fFW%qX+I zD6s}HR6?l~{?l|wZaKli=!%Z^+QzX(q6UH*6rwo5;TQ=mf*dqAM@)yI4_;V=SQZuX z%=9RzCEYDnCrUe4q7!R7CeE5&-ZKdEk*xzl06PW0n79zOGBuXYw4^ekYcZ>qaw$O?PYCG>=0G8_`N6Vp zE}5n(8XxWM-hTe!bZO?=+55*OaE@)LZ*ccabQP4DkYTBga@EbxFD|cc-@5i2WyQm27rix&kxOP?`&)sURZ`87}Ick zbwU)1b@287%@QjgFJgWHR8icIW5Ynj+goh5L?lSNoDKw|>8x3`-J{Ffd)vefxW7Kx zU6&cJh}6Wz!!**K?8Hk#t>m;iliaCZ*teB6*Edb&3TS?TDJ_Kwc43^HXR;yr%9@ap zc$v)8g^OZThzB5%uLQ=Lyn#CAH`IzT&*;ZmDce`^OT8++SGi!oTaWca6x2LKR!=+J*Bf_{r zgn}=S9S##_A#}_wt_l}O>fx0#`SeqKFjEIcYS`B6=M4R@cM7t9KVlGd1hBp)TO%=Ch zV!1(h{_(BF%m`%!S7s}rkVEXPF_!{Kq9_?#h456I{fb1UK@u(_1<3o$5*Ls5Z$5bQ zWOjP{>FLG!WI+{D-|LDq45H9bt+Re&XK(*1F@JyX?D>oPkDfexfuYUo$E)qR$$BY= z362?!8*02WpU+{0NMxas5a>)%{)GT)imwD$tZ8g%V|9KBO4x<3kGr_Aw7h~T8r}pt z141SauLK}s1H-)-47Xab`JMRbFzca7&#UQ~SY8A91^kDd%_-O)$Ey`lu~G3RtHtHQ zyRxGUw!0ERV#$P&c2@rTIfKBT<7tw-nLxm|r z7%BrVlHup)7)nVyy0LTohSs?-FP4EVpnf{*TWpjfhF!yt4yBRv~tQ<>hGr&HzRAf_b0p{mlC;*F=P z5|S^IM3uVB@H`d6_YxVni2sr>uc*>#;c;Ps)WRW0@w0=Cn-6!V#t%==-<+Oa&yXb~ zXOz}9bRe{7=Lm)O{@(ua@x!z8vu96;=o7@Ae)rw`(}Ny6FDS^*pUjoi+!=}z3dJGP zFj-`zhyhV9Jf;|;G%z9RI;NLbm*Jnjh=KUR>e|}+#>N_+e1RaDOrrwCkKsXr8j7)4 zR}U7n1Do1xu;_IOE?-m6IKsBSD&Ua**jtkpuSR%Y0+zqc>U2A?1(2GPn25~jEZ8pP z_|U6v7Z%hX)%Z$k%d?AeLV^@|lq-RG$>abkCBkVyPe7&ef~1-&K{>&JE*hNC+DrAl z4-ss#zchdKIS{Ca4{i-*m$mnf%q%XiZDSa84KM%r*8Qizr%nm)_xB$@eGd<}kB=wP z6dZ~_namUQ-D-m7W_fdK?+6QEcVn`%!lPG7U{i#@ z?R244b~+s{huxhFmo;xzPF8MaPG(_`&NsE1f{9&oQFf7w&&?8EA?50LpsL71Qw?&K zA5{>*vXD5*e40^Bq54I&dz@p(ORJmnOGhux9-Z7fesFzra(HGQ=hgDc*3mvjf_vBQ zKRS7ZAqA#%?~r$o(AOWny+4qQ1w;y@$y9^asv^Qcg@dD#SQ?I_593$x!y^j1Z~_j@ zt>MAfmRDESS2nkZ(JE0Bf*lQ3#LzITLNO3P!qzbOf#Xwlx6^4i+fm;c;u4(sIR94> zH+u;8-QBgRuIjV|!YMEoX<@jS-8cbUR-4nENMz1urKcl))RWQd)1_v+D)XzVOKOVU zDvCzt@8^}U6%-DIDoA$m$pL&4%^4s7VUk`Ie(7&yM{8Er(lw}-R}N2}KYn^^=HC6S zt=W<3rJ3nPkPo+a53V2Gdh+b_{N>sCIci{d|3j+%?Ylc&iSgnfe+pHpSv<@ShjRg( zrbJR{m=F^X2J8!|yi_nsTae(ezCqAI@crRqxw*BxFpG|G6hJ6oFpz>lI2{^-QW`(T z>_`D~*&V3q46*Tv`A8L7B-Br^|Jqra9;i*z5&DOCi_wWg!s$%H2EYl3+}%uXT81~> zn+XrEih_2K`pX+2;xEnAv3W|8zf(j~(u4eXQOtxWAoPp?9Yaf}1_|AqZ~lXuQ#p}e zu)MxFzkcV%b^eH+I2S8v>X3g=rK{BPgChx;i(R{QBe|N2Du&9dYb#5*`leus zLa<_x6wr^c;1r@&=KvFq!iWLqpADHPfB;+t)j0n_e*^z*e`jT~vo1|9S1AqgAi#dD z{%{C7aa$xNm89lmWani2kZ78bHFTVx4N z17+e+DqTg7VP3xM&1AQ9=Tz-3u3bHT@#NY4nWpPcUOj{Z_43g8%=9X>7yJ9icb`8; z_5bSAdn8`}@Zl@QNmWO`$cM9w#t@(P3v2p2-ea$7qN*B<5CijxS*- ze~4YU3;Tl_uU@Uz!ZKKo3&4TkL_45xo6DJ6l$x56mz9-`Oiz#R{o}mcw8ScyIUohc zV3M-gYC~WknH;N=g|I?JK`dS{FOpAUc$7*Rl{X~6B<^X?uD-Fed+X%Mox2l*o7Y~x zySufvxjryDKEDe4x5K*+U!0zuzq$DE{^O_b;Qfss-##A8)`tb5`r|1YcBWEbr^4b3 zc*0QlQj3r@2bD2wsccOH-OcS&Tbs}@t>f5-F9hIlqT0jq8XO_I!TEUzrKi6(M3@k} zlih9yzOK!h00XStx~}o1t*w19pbidJC%S91O&Vo%be!3WHDY&JftFY-D3~%b(lXPt zy*bzhp2Wfbd6=D*=JFL4mE_cx>D zGcaUjpsq$O415S{f@p@p5W!f<yX(UPBa3Tz{%230 zo`J6Q;r-hW2tNAZ=bt{lJZyF-S(HnDbgg1>bHc(SytP;muL?uup$O=p(Xk7tYnxSWKwDt!nc!Mj_&{YS95w=Uar^T z0ZXkm+hx;eVG$+@WMqW}1#)COkd_3&f^aU^W>4k>`8)yOMFpfHmU8m=U~_$QVCnAe z{qxhiyF}A{INd+Dys>-z(cR}SUY)-MTLI?R-~IUgmyai#<<!QO|=nuBzXsEWRhrq+p-<#`~WUq^tW*y)R?2GIp9udJeusm=f$>*nS2GU( zv~*9B$@J*oe~o8A)dwd8Pi=W_c2;a;d}NpkwJLH>0?EQ)Q7|)DstO5_7(x}Cux=IE z-~aL@a=}Vg*4(45)s>#fgYCn!+xI~^+u1t2Ge0o50{`Rt&tF`ezkT=aEwsBxe){Rd zqv;%jJkXEKQi-b<4hKTmYzB)X;=*cFC<`O(LE#dDhEw9}8fdN>oLl+7Mqq%ukfXS` zxVE~4G{x2RC6vaivoK7+m|&V1j5yscw>uHb-)W9BCKc58O)PKhZEwKB1*{tYqhMfyxf|ZmXTa)=LBioHN2DxaF!{=*;O5b+?72J3OHC7xZXLaR_V{=YCl-u<_a{M% zx_%$%&)J*z@4-^~_|uQye}8^sFgY%q6c`kyjvATSX%|qD$q^KU&Yvd`NW?5)ED#4! z7$R$ZUwcC<)UXpUL?ns~T!W|u@!)G~8>>spSPBFrw73LBU}^&QUy{>}gWZA{^#nYB zFZw@tAH)B7YjLu(I$aNasD}9dmamP1#bP1~VOKINT+&jLlP$WAfB*j5n_P#}V#m6KZ! zPS0Q6Kipbh+Pr%I;nmgU?cKZgPtISQzrXnEg8T8~`;)CEYaFKEw0Nm&a(t~j9HAT( zD2?cF8VL&_$jd<#8Y`I1))WENXz0fUH!-uY3N8QE*818y${`qwp(VX%j0Xnr1KK~RN9JRS!;qmc-OQ~*Ot0rC7>d)p}g_I8)YyK2(4(r|?~ z&ZGkZWVQqQGMSL0YIUIkPRT%qqA_~?Uw{Ao%l%AOUI|#MMY+zjGPHt~|K9wXJk^;LiP9_aB}-e{gem4c;4f@7-A4 zINZJcIgB5@SU}e0sA~jkfRx31ygaq9DHsD`4{1G>vh~%hL#0NPNqPG9~?aRBDGr5rT zXJgrCXJ?i*)s`0(LNEklAS5KygCn#mX*A%$XqqlNB`g4DtFWA+!4M_Zo06bw9og7B zym{;HvnO|s_IF^sbNBAi!L1v+H=dllyZ}cFr1jSq&#(2Q8dM^()}^wxwvJD?redt< zPoh!z0^$S=4?{LN1rRZV!Ih`gw$+z6jLc&nZ*6RCEi6LF0Z&a*^yZWp-z^!rlz9M<*qGqASD`>{~Al2Tm+vnWvJFU0Sv9D$gW04Xt>ff*-zV6yJC#>L+M?{Ck}E_%C*^0RW>Dfw`4OYD`wRlpL(yd~oOXc!2|6pp4#NxWc`}ke878s-zj?Rtq{(EMSOk0qYIb{jZJ~q>~R6pGCNFq za}w-k=GM1&5dU#-xV1diRhAqplPDs!amEC@1zX>2flDeT0k8^ogAwD5)299O;nnHM zc};C=c1Dpe4UvA;#UQH{SC!;=OT9Ktc&s8J!QobLIKctz)SO^4%L!&6PZV!78pHD9 zWSJQyO~qwL*G`^2eFm)a!M(fpZr?iw{q*|d$B!RAe(>bsv$HpEp5AD8<9urJnB&{p z+ZqP9@2w@VFjWYmL43u7nJ}LN8&f0{u=tD+nW?I+zOrGIP_b{WB14&2{b+{?Sd1uu z7Zx!R03tp;3!R`RImP1wuw%Cwjb=|#LqA+dcM0?F-OZJ;j*66MDe9k?1Vfz7WHn=0 zX2mu@0YqTtcxiDl+kbq1{_5eAVPk)BPI*NEl+9JeEw!a(zQPh{Hgar6y;h}6NHPoQ zWDo^v`2jSROsRt3ECW`OE2 zAKtwKmdc~`VpCLfMP^D?=|D|Y!x$v}=iTz4AVe%tLB7YT=W=*-u!CSU$_dlv!uP7O zhbZK?vF-u?pc!7r^AkpqP#gdbhE!q-Ly_63G(-kConUt0^V&0tn+K;rN#DW?*x3Z} zwk#f1o@`O=0sHFoid}{RG3Yo_cRu{liypAx(Mq z^zp-cw;w)yd~5gM-a{n7yf}IB@XoX6H^;4t_zb<>W$&yhM@0Ad*7YYBx8exjN)kk& zaK_*wWswRx2A9VQlP1=-HrBV!>>$PgAAfCi1(zRwU&85+Dj0|`3Lx~wGnk0GlaU4N zPQ>}|v^X+~n}D9~Y(fEspL64FKo&Iv3b&XEG6*8oqpjzEefQ(N zslDq*)wU9Z7#A0$Wh2r9YR$reqNd8?9Gq7nB5kb1l%N(1$n;D@Kmb{qs6nA7h7GVX zJ%~D5enmZ3Qq*({016M)d_8d3bA z@|!{Vi~1KB0B8{iOz^l9-BugEsWZK}1@f=0eH0B_JKM_>?KuC!!xZXxogOd100IDl z15IX=2?qc$qxhJf|N8c~v+HwLZ>*NarBziGmQ{Q06$rKR??jOcn8qv^w2c9JxxP0_R&f8n}hi^d#~QTK0SN(@a}^Lw;w(L|M)hj z0%vD$hzj6-jgrlD<;Ho23!4jT#|P(dLti_7@xDDg*e`%W{XZnV_e0d#w(a}Vz31HB z-6}x@1nsu%HYY@poHG0O;AL#KDV@~gK;Dd4)Ykbc?Qv${RfYn79Jfz6Mv#V|D+HA1qluC zJQljT`Rw8P&E}gu?b+%;XiMptMp-;UmU@NUpiIKoD_t4o9V!Xk_rnqIV+Z%_cHDb( z+gDo;M20&7*oTQvs?|Sk2@Cokrz$R%YK{GKv^wq&-5wqp9lJX^G<^5k-G|V$AL0Qt zH(TerJI4P|aaNKszJQ;ejeY*o(8J}C16yqcjTPbhfiGe?g_xt$&cMvl()^-2Vjt-r z2{2d?6K2BJr|=UPGTeSJ0^kEgfq#H$@kD`PQHfd$MAP)F&2LySaJYxB{Jh?i)c%k`XUZ*-htTSe%W~D@iNtka@>NFB*wlO9! zOlQdq2|RdwuSejX@9k{&?7>0BJL{;slg+o1)DZs!+pX^Z=_-wG?@3APy+6Nre{|^f z$oSa3;h~YCyJKVHxc><)fQ5y@NFOKfY!D}1MN@a1P|Wq5zwu~ea6e-%jFgo0Jad>X@z`FM6S1eUf!O5;VM8j7b7Q3>s)OGCE?+s= zD%^ZJ&t+>{A1%&|KN!9<`rzK!y^)7wqYow^s*?ZBErJAf`TB(WB#SaiYUpBek9XkF zGO|7hEoz&+ptkG?=ayFZE($}}xLk(+@@sl~yLfejROHB>qC)(+nq4n3Vw? ziV^H`LfHJ2^)V7tL3u+bF{8Jiys@<^Paop}{(a(gm?=<{(yzlfPucAM?6-a zE@btm>N8)Hpdi{eXpsnEK$+!-wPJG(lz`O@jnJezLOk zxGgMDDk&(*)}`lEQ`_}*cU~G=J`2uH9t0K6b%)dTE!$kb!(r&8v822_ue77Lr?0z@ z3WyrGlL1*0Ux5M9B+QA?4TL0vSrYp{oYkkSmFul#O`ndn7eZNj-KHgNRN+~X?HYq~HA`Ko)*?PHLr`AAZmnWMG)3Xe^ z(;1m*Ng*Ele+2(K_N{}1-R>BJEZ)t|abM!8QxU#xy6l)YoOK|kpI zOikf`21R|Ev0dbA78X}mAC!yYi?Wh~g8ZWNS+%Y0{pYWbt@M5WHT)2JRCk?G+uP=} z#}PG?gnam(C5@E)sHsDV5bl03AT9u&pGFvO0Liqv>hQ?ukT4&pJy6`EB*y&GCP4GP zbC>9wwAWg6p{GwAI)wfO?!jaTK>;BFRKh{fh5V6n?0G)FI{S3EQKKu)snF!B!y;l6 zQ;ga8`HIzAg`n%LRhY9fv-DvqV``>8E;{`9QMcnqU0wIM9!iSL4f5UN6&NQw?&E70 z7(hLI$UNSpFx{Mce1BwYY-Vnnr5Bn&lhc#)b3FdS(lUNPqcs*IL_9BVpTOAk!sgbC zcc)gb?&J!z$6y6@cG$4rGLq=xor3yBL2V~6a5pzU5D?sGa6bYdsh^-1#q-xU)kH>y zheibYV#^#H8Y?qle}eo2qkL&_}KL8 z708^oYt2#e*6ebLMkWeQlBc5Mmz^qCY4pbQbVivnaxyZNkxCrC6GbL%QuL7@uw2=> z`$TMOHEFR=Nii22jA(+{U7=VoVw^?$suxbkFe zd3kelDwA%FuP>a0@MN{Q>FUJNMgc8$TL#c}*w{F2#cu?|jUN&VD&W&MQ2Vm}%`82j z#}}1oA@cF){0#)$7!(p378XKqi;4(~mKd!Stpn%KzdL{K{6Jext~TuCk^Lv!eEdRu zAxgoNA_wF>c=P-x4|%>^+gO^JzjIa{A8E}>Nx;i5Ha0=th)~k)li3y^l zlu+s!?1%B+Ox7T9mSW7%rR3yg=cGg^a?QGUd73!Nl&SGJ4&NwU?Rm<5&vyy3!v_x? zJGH}h+rN-EOOwVKfC=9DIv%WaLw6wImv^u-|952MB0ab{%x3{nk z!HFrYBhxF3aa--Tfd-l6o3#oP2==BGi0B(N7l~W)#~WGGM)b z2xwkFZ~*;X?*H_>s>WW{{^Ggw7tVH6S=2EnkNj{1&02_mfz&=hq1*$0K^%XtlLt#b z&n-_ZOkQfnX|Fn66d4__R4Ic*@>FYDT549dB1M;$l9gptWLgT$S?YL9E|h^HVpp8l zD@bj~&Mr<9A3wNv-x*`Jdj05L8#~Nrn8U_##=(Azb7XE6G}j8?UlJeg zet{Fy)YMv22P6IqN1T5))q`fj03rPg4-1czv;K|U9DWRdF7&ll=c*z+4(vUI{vB11 zUs$NGe;CCN06>5bypW4eUp-iQFnX;$UtgTvr;mn<&F{u$MrUs=HmZ)JI7bK@x;!DpKfdU8cxr#;U2geBJA-~7~L^Ys>cC$|4K zI~&Llc00Var8PJr*R=w8bhfYq(8XXrOhEmJ{tU{~8f&Zr(hl^Gr2j<^EHWu2x19KY z?%dUL=g#-G)mT&!Cx1M2T$lhM^X19e|3Lu(B!k}WM-E;2Ftau?eeF_xVWp+flAb75 zCW)0MU94J`jTK{x*`$zS$A!k3E~l`xxI8_*&Z%&o*VGM4gG! z8FD2tLEoR=8yfbFdt=Mccvoxh@bp9czfdax0-i^;VsUwSWo31Bb!~GKLrCn9-)xR_ z=ES>s`3D86nx@}>irMy!v#o&wbDVnwo2tx=m*BB?SnHzmI}u)ERIQm61b5fD*$^WEVr=m+;`O78`5u3AlAVg6TTh@*vISWk$X>3PMq<%ZIdqU1wW0uswnY-{Uo z>FlY8kwAB*zO%NZzIE)$^{b3a%`q-Q4mkaYn_vM$Kw)Hk9odqN_03Hr%$_!rrIjbe z`pL?sf4yh7W>kseEt*1b($myOUM??Jrz~LK9dh=Yezpcf125ll3{}@SgCf;z><-fq=<>~4>oD>vPjFwmn9~v3kvcJi_O_ZNWGL+)>zUi zYCs&yi`&muR@D^d*SB=__Ow)2b$6n-${2KSS8IQ3Usr#VkgNB>*{$vzo4tSS=J3o4 zDbVc0d-rChW*|c?ED!={2@?m<^L+iZvti&uVe|Qx!s^N23hcKqaqZ~fZ1+93)AqX$ zd8D8KQBYX@g#+BoV3@#$=Bb4w7=kcwp#?18=M2m1?d#(oB2LMnxCZ*^12*b!Da}!Z zdmldLb{hRZ_PkI6^Afl&{X+tM&YU>AF*J%kB<$C2#m-;Z!z6u%1({b z8xd}+%F3{mre~niUTI0MZ0)Wh@v3P6fJ3CIv;FM(uG-S#p37al?(XLE{a1SXItJQX z&R#y(KG0j;R@*-{ef!49{kfHm)hEoX&rUuj3ZUY*vbMImN)!~7JZBo4u3jy!?mpK# z_-YOtAH0^F9e&(u>xgZ{_lIJ0>#B-NN^6^2DSiaVkMl2}A25Lh6o62`&i4V+0@m^O z4@uOS%bU7-@V^8AI?MT&hn+rn_&AQ~0z42vzD4to?iV-^ROPa_<2Np~*5%<+VNphf z2h&Lm4N1YpG((RbueAC&RH!Q_X7q3r$3_^v99uVy{iXjI(m!lq<@`lpFV!-`-)=Q}IgxpD{kuU}&f{^q^GYke0HU%E7K>rz*J-^1n6J7c2{ zNqg5tnNH z&xuHLSv3mj^{v1k-GWV4i;xHs1)8whYhzY2Ai&$t*UOur<`)9?pmWjLL47gM)P1?9y{~6rptFZr#dFNuFm*J3 z5A6TewJYcP2k%|K*x1r`YkukO2wb2in@?9ris^=t3#?!YO*M$G>*lk;_WIu2gPCR5 zCfBBSZ2uaIJ-EQwdpd7(`1a@-S$=s%QBjS+`|oJwqG9a`hC=v5bBs6`5a=61Nl$`L zax2oA%Nje*Q2<=%J9oCJJVO@dcJ$y$^p80m5kW!R6`%kxp%{b+JSF)wJ#?X^4VO9O z3gaT(0%L-ca2^je=I3Xd%sJJ0anigZ#5d}SD)K5C+Vaf!NmZV`cD1()Z|%O`wsY6d z5$c4OapinhXWxyBS8iV)zdw3&c=*Q6tLKL94&OOkptO1UE9f5F|c|8|i-zTtosB`7=2A9*4R9y?nhW{TUGm z3ihSy0R4^#4mhp)dF}2%f0f0mS1ZCJqR1cP!-qSU(u<>|wbmr0g%G0OoZ2d*hz?J2tWnuslK2IlL(q5jOo>+MKb^u!l zJ8Z(JglLZ0JGeN07iF$y^u4-;&M(xbW>5g)o^TsB3k;AZeAxWC{)lRz`?0E=;>HgA zKk0y;>uoIpxWH-q=t&&5j=06i@w=}D1p`wkpEb%ydcz?Yau^auNe0UQ<> zb~@(W)8U&HmJG~mL{+rjYk57ya4h>`cK0Z4|wlgwv^U96OcNqbp8G5e! z;>7yey*oqmi;EjCUq9QJ9c0rS4W zZU`d>VsjA!E-bBSZ36)#`JxUKA^^isM3=^}K)+yGyWk&KJjAQBO4$Dc1DDUAKi}I@ zo*5r`>d?VsLi^X(CqNhgB>ClKg+y>0c!a%qHh#UNRH=$djt&kDj*5Kc&@#8{cLTKCnpBL4a3~~>HGv1 zFE8Kz{OhlmwtfW=X5+ZS&Soo&$Xz?P@AXm^RHKAm$vwdaKqi@8&wQMa3s3=v0cYaY zKpEuE^Xsz9Yuky)D6*Y9+gz3Ps}~u*qobw zJiD;=f`=9Y0eC1O@afvd!u&ebz%Rf3wXqW`V|&{j-y@8(1#!e(-|syUky?gCGR9_L zpu~Rx0Okb<$v>_EO#TIjQUNjBnE{RT(Yt?blnZ+fQb=I_k28+I+s;!~6 z??Qb|XW#kj7tRe`s&8qzedFSd!CQkDuU)$|e3h2>aEFVi{~Fpm`oRCG z0GrD)q(N>+34h)`KA}Pe1pJEFDgd%Y`by=4;!XP%U{IQC$6Y96t=x#=n7nx-G|Hr6)kg^`u+ zM=#z?Pfm}_Vqf-bgEO$Qy0NjzCcxG5^RK`CQE2b<9gpwq=l}uV)*kO2r(Js^a>{EM zdglaygtGg=!2!V#jBl)=@5{wTLL*c*aVp%m;Le^UJ?ZPKDM^)v9zTNq+n4S~0K+d_ zeSC391X>``;XX017hBT|lCX%tut>k~K<_wFa#DmCYX>RTp19&=)NCH*N5S|PqUrxUAluZ%j3l-i;IhM z%gp{fSz4N2TwHqo@(E5)C54uvYwI62;f9W_zk2)X`Q|#M0A9WuFW|I@F({(iyU zb~~LwbTORl?d-PjI|n=aqw><)nzEuw+5lgeFO>gaLEymbf7tv`dIkK?J5Z#`DynH} z>+0!;F3?d|l93$ghWp!TckcjDQUZlv1YZ{z!SrWLTx3AN@{4P!i3y=T!LZ1gVhfC7 zB0`F@mtHSR)<{IL8ReazjRls1i>29Qd6zHWxO3&!^^13IUb>4mdN=bw<0FHklapg( z(~lm^KA2kp;+pH~d&t>eS{0xUmUnrH*5BIm=WlKnpyXCiT9{Kc_WZ-j!>Q4Q7kF8| zSYLa}G1%C6@%F>V&%gaSXK(Mk6Sp)d0k&K0Z14<{?!r|e;WQuW-(V}Rv zI3(!ai-(p35$z!4VPYeq#evakQKVWWqxq>wkZWVZQp@W)x=21sI?k4N-nfRy-SEA^ zM>noq8@zXWc&NAg*65uprPZ*Z_5L&70Zuw=rc;EW{1#fABueX&%gilli)XFNJi5~~y?=@Y^gQn^N#W>l!P z5#A}S?KSO}D~oF{-e_pKaP`u?n^*4NzkdA=P42t5CeHU?8k(FQA0C^Trol&(ck#*W z+SA7aeN(He%TJ!JtP3y)^zV1?KE3UvqAEvlptLAQ85vT#_-SM2?&umWPRKZKtS_&v zKY#b}r{Dkjd2;MLL5M|a2W z4L%rpbnE=Z!MXbnr{~e6o0{av&pcUQU6`C7o_RvK!@@piU7x-A@Nu~rXs*0MP_-;B z(2Gy~5PA8GnScaI0kBfP>xB4WZL{K7@aGF<%<>4Pe?+BBoukfBWom(zK;dZoXw zwc+xuj`MehMs8ldKKf{4bm-yTsqx7>H?H2Fot&e$H8r#RL^uMgOUr97Hl8d$ULx1u zc>e6gi`O52o=KA$3QDnts-~F9Pmd2evieYIsB2J>t%+n<5Ov!OE$yMzauMb~ zdkZ~?C(E?>mZruR)>ogf<-zt)rzXRBidoO=_rJU~1_%v4A;l1@$iz(Qzgxy_^*p~g;K;GVM-QCxg#1JA7vP^(Asu59AaqPa z!yj&5H%Isep$;4ogA!P@GAdaE|4*iqiyf!ODz$ z^c>M~s;Vi=HpKckfAjUWeXUPFY+Sy)`stUC*qeTQv-$py|M#b0NI=Yj?AYmoqJ%vZ zVHf)0+z3C!=hp!S7uSJ>L4gxSqp60P{XBhqJQ4Wx_wYL9CsJlmDRcjIb>S#mX)(n6 zxc#tiKL!9SGD#B1C(qCLAL9;jKs`atZ$=8ExIRK+LLw7nQ6vC~aXM9Mx-8i!j!j9= zN;T?L;uvu`rSF|vm(RBMT$;Suf9KBKOT$b&+@G18y+1ua|M=m=0m zy~q2^2_LaGv#^FSWPu&t)=**5CI=n;;m3o=-Mu`0f`EX?{}~h!TBT9ZBKXh#rZ*#< zvf!X_+L~c;33wz)6BY4#of<>ebc0BnlaZyDrzgb3n)@zaz5U>P&(&L32k-UvT^S#H zKx%(~Zgy&6cK*@)?p~4Fbh2atCITI6D$7kt494^9 zsGFMyj28j=Bo9C^PnZ~jH_rOUF3eWMLx>2Eh>nd(h!ZmujjyZ9XwpK!%~7eb_e@i& zrQwm89cKsb-McYy<>ugx+qW*#^Q1u&Wz+^;S#w;B_I_B+~a+w#>Go0At`{q}r(=EaBK{{H8G|3Cl! zD;+iCIgcR21wnA{0gpcCy-7blx--^Ul!R2CH!R5im3gb9pgP)2F?C z&$zk!#wyJ~g$RfU4Wq`=Tw`L8=iYt9|I=r@ykWp{OVTSR+=s_Ri(*8PfkA!G+r@sM z0n7s>Bu0tEvFw02z22B+&Pq+m&s3yl=4L6Al+r|1+2xxT@6S)%y?Ev3#O&y`;Yo@b zcsl;g)|7XwEUp`wR+k5fm875V4-hcf2k3Xth4*Dk;vx}J7#@LSoU@;~~ z9@}AO3-j-5`(5SFfBLZUXyL`%&%gZkapTZ8T>Fg1?s0KJ(cPKQw~OGnXWvd68{1Rb z;woN1er@a7fiHX@j(~?Z+E1uIxt~1g<`<_imsFGgaxSyPIy85<(h>4 z5k#sdiinPk;jG6Z+o0XJCijCs9v;p>Y+OuKxJa6)RB255^wgA`qTK9^l`PoB)qpl|T(88IIS z@9FCF3hC}!+_~SpefRG3pa1+b@!hw_!lcG5M0`uon_>&(>SUn@cXGeme6#KA|7CkH z>Bh?2pFV8d7+>$tIm5lrydi4rsKR~w9i!2X&i2~@f_6A;-}YUkxw5J#*HY9V%)yWd zwRON`VQa(hIPGydP^7aI*EZ5C=xlCoZ>h*LNkZKZvHeea`v?pHaNkhj`e*blN{k+S zk~lItXQ7bvIXs#<0zR1#CuameAva?0XSNjS(=#&C3o@bZWaE#2HuR&4kY*r6%@ zzqUD$1T7Fxyi(Bbvt1|zbog=mA^zv#CCDEoYR#o}O^~I43EP^>EkZLCSZvamw2~6#5XuPLKGhp9}^cVj={xUqSeR@$ok}#rRlP=GYT@z z*~V&Da}?(NsiT;lCCyn=?un!hr_qVm}{M!e>gWaF+Vxio4uG zl67eU-6A6^%bHhImhEeY>K^?cr=3nNX!>&>68(i8u-(ai=MR2{(%N#S1FG7A`TF{L zPGRnbpqZby_bFfGpK=T88U>t`CTU%nMV}DpP5KM^=O0Ls8$kykD3o0g866qRz_&!2 z93Q7@OAHqf!Ptak5`eg*ByoZ)S*A_br{-l86q(T@p+QuTN*rK)vP>Bjm+nlH>OUE$ zsXa3{IX^!&LtEp?<_mHj40)ctTz~rF^~>jPKfe3$_LpDZChz#}fV;1V=PyOa9wFoM z%Ho`qq@W}ASPE|WX8R#Oi7M5MkWp4vW@dr4psdumAJ4_@c9_OHxjH)Uaoldd^V{t< z+jcna+{ZIluZYZ#XAk-!ff*VV6C*)@eh>i=5L7zH76wl~yQgXahhQUXgIy*PJ zI4`{{GsldHb9S1+nu{NIUe4Kv!1yx@OONK}@giAVSzVl7T7SN{{`%Q7Kzyu;Flc^? zJM-I5|9r0a;k$iDu#DHH=9UO{7O0ohlw=zc0`_g&0n}}Kz$Z+Xl3R$BVRm+w*;-Ig zT9GeCY;EgSSmsc|84j|=JlpkK1QMAHaM`*0WO8W=2k&Q(|+n^$i>eER(RUq5$*eJ{ul1jfqJtz}hJ6R@G;x@cj1detTE< zFo`ZrIA`YUENdu^oBO?<3FhLGlG?J8 zRz~A`JRvuEczTcmxVwkMsk4Rq53W3RdJUzSn#5p_gNF_hA_T&J2;EO$0CN9oO1(^k@=7NkubG|vKR{DLtvSk~Wf8ercZcRT4BBvPg47NOmP zbYod%sWm0p_XnuH_Vy?Ilay(>MFn|Q7*5s{p>){O>+N>xvf#O>yy3i9)8-DKjAQBeB)@+Q3J>}I+WL#7jTI)GpRcaJ+*o}IlDGNd#oPDq zKmGRhy3X&=(c^BO?q0zOXd)JW5w9s15*VZ02jc^$Z{30-Rfg<>0^A?yxo78Et=4=& z=Un8x{a;^gwLyM(kMj;l@mp+M7{A=k+xYh2k9$r=q~w)XRTpD**>yJ9)9utLPcP3i zo+sP`;*Hi4ENRXPW5Uh#6=t0X`TrB{WIjQHN-`H9eXgL$@bIYEcs4*hR<_A_xhm92 zNl_7^L@vL0Atpp6Mu?N-5|benlfR6-w1UFC;_@|aZJX={^m|5Ihd->th+ZV5&zy9#kU%&s}7;ya1$&(&uJWl)3n9IO0f&GtFWOzl9b5waqTF03sqH zia)xai8J82Syo{wZ|_M6KH+xS*N^J=%xS+QO-24d%Jbj{4BH;}n1rW^z7#Ay*N|5kQ7R4s10Rph{E6%~wqu5+r zRE*4gKC=&(=3+Z@s+(!s1mKRvx{glK)j;h^q+te%sa^heD!d_$U?U=jQY1xjA{cRt`d8VP&=A zTQoHpu%;F6v>kz5djQez?Hv(Hcie+>yH}JR*U8$7-0F5kyzhxqXZ%ljoj&az8n3gK z)V4AtEa<1zmF8&ULfrNrb|*ok?Hdvv%*ZDV5YYcf;NQeVaU#cDDpp9O8d+3qSaclT z-myv*J#d9YES75&DMo2(R#BR{05U*fk+q_%0v2FX_wA9ZcgAisbPmlud-n1be9mVt zRd)kBXn9* zXU(ZKsl!gYojT)j#{GmxXp$+v9E1?Hh_0Tt+LBy-eDJBm@c-e*z1-$ zVU|8QV2`cC_ulc!bQX3|@L1J1Nd6tF0}Do|T&9=XS#5l$Ymm?;wHy+`_0FoRqG%y0RR7V(6*EZYRCY zKmZi}$|(Vo70JmOeQK7$T#%ld zotu$YW-iLAX{v$sc5C#~{n5J*X2;-t%szkh>D6;2j$Xamd=AdP`SRmWfBgPSpXU)b zZ$B`{P{_uZhAU0Ez@Ln?R8*8%vJ7Gm7YAqeXjy7@A^R#fHxG})d|pEymb$nKP$wDp z+Wc$FH{URB{NLrj?blAuuG|<7F2~*Woj!iREjp{BmWsO2s*CnGa@yV9{gg*YBJ*zz zox-fJ0RNWe=wf0{9fbaV26`wcN3eeka(RK_K~bVOaUu$s$!v8Fyh5UuD|O9KYXoGU^^($~* zYn2-KmM5taytz#OQ0G+xblk@t4%q@HPwP@V>zx7T9M}ud-ew;Vk05g<67~E zCHCX)!8@?z7hro{RTSg84Y8zcOb4_4J9q3L4I(4v7ToE)=fvs#drydRC?y*!O0yNA z$aK1&Jnqi=TcL{sev|(-asKsje#Z|U6!?#RK0^PC<|j@l42r~}{gx0f=DI@xP)f@a zPeZ0)Vq#-r6O$Fvc!gS~#Kc9*JV2T;&yZ~}r)T9^ax<#RS_Zl=+#A33aB}3{sPPdzkKuY{cnH&y`ettfzYfll@8m|Hz+zzmyuTpla1@YqAWjK zEAlvT;#8y}-JD-U>XTP!wd9&vd$vCDKc78ORg>c*sElmciZ7oNq+s9@8+(!g3=_7y z?mu$;kmHXDmMW$Is|$?r{zs3vopg5#MgFUz8O=l?0<<-hTlDe%rw;yj;-oPC%hYoy z^6&gip~<^QpnSkXko>&H4-6%O4Vvi?lCzwDl<~D(ySTj>3MZo z`m6$6$cyxDY=H4(6FoskQVZKZ}dG+q~>rZdre)#nB-~apf>J#orQlU#4hU6B2 zvq-E<#Vr#2vy%G9nx=@NUWrULWai@;&4w?q3U^y)c46EgL^jg6%_u$)-#L z3U>Dh35yOFIOnG=wk%X`Qz z$ScHByt1ZT@}nbQ;8#xD>>LqJcfdQq!Fe||5n6%YAMx0|#crRhigR97lp*my<>_|F zJviBDDMvmR{2PXFLwUYF+5hmt11CQQ$0N6cNRiCZ9c>Che*a$R^yHvmrsDS1c z7UyQ>=k84`J$?JrZ@>Stq&?*w5);975`xqyTObt2pq#vNB;0B!fJ)3MDhW&*t;vFr zza=j}4;@c4anB-L|5gqIcWnt$a>db(w)WJ*6avVDx-uAI@8G)Im6x$&=XY)>Np3lw z(c07ARB6@4gd9J7@MNIGm{Z+K^AC8qy|uo?YLEmUK5)?O6ywh*iv3!*4aGJ({=sc zjk^~Axg~rv?!U{T9^Ncqx%}gzAKCH@5@D2zL7e#ZSMumq)1#|C2i!|mUz&}K* zF$^}F3`(_JWiaRA?#{k1C@3i=_cxn~|5QQ+Wq5or{9Ij~<*@^~baYnioOWYxi*)ce zwv0!++9MgW_t1AX|M87i!9Z_oT}hTC$?M4elYwHrr5tb=WJp;5vfR|9pu>mvojB!% z{BcNNG}HgIKT!gXj)~?`lgI$5^@TcEE|n)s5_64=Kqn^%|4E`z88o^Kby`klc8)p4 zlxMDB0gF2t2hR80x^U(G#K_$#>i@@6GyopYFXKqXbko|}#vOw&Xd4_66CX|ENWfW` z7YU6_)MQu-Is26*I0WXTnNp1gLwYXm_Ia#3F94HwOD@--H6MeUB1>U0^0rk~^|@}4 z9~`z}N8tn%j$oMWHplM~%h+!3N(|g(^PgKnaUN@|$}`BrjvP1+%8Y107izhJN<>{* zwkbLE*#3QPXHJrW0{I7p2NRPcf}^7%0so@V0A=xo=SS^9PRXONh+-3>lcf@FKaC0x zOU&F%nVGq{8Tzb(%*--KAWZEK-n=+==lb0TgF|yO51AikhH!pid1+yh4ETmTNMKj+ z*Z>K!u`$tPny^cv666`?Vi+u?jP&L4N;5OlvdqQ8^0Vf-`S}I8!uID5u;Or($K*j# zL0Lul*~u>N?Y2N6P(q1&POeTnY#kZE#=DF}aQ|V~fBlcGFkRZ~OR|kg-UkkP#~X4h zIy%lmk^ug#ugEbZ1-tJT><@hWeS!q$0}KfAzldnMKXD?|KaqeX*_X>n02B(TQd*D@ z8AsY9k!ejznUdM}tkhIm0M^VbOK}O*l9t}y+czQnU_S&B{9yF))Pu>n$8(FTi!+N) zR+k2(A+a!vV&cKk1a;Lo5%OFVoFW=iBzl5qF&-C~Smof~VJ$Di_A@7!$XZxH!;+_^Vh@SHroWHB}RfA5J_P$klw(~9bn|PyZ3x+_n-f>51os~$^xr4 z%JYzKyeS`C3|z1WXt=R7FI5uehW;T0XaWDH2Tbx8!ZrvEru!?72LMbW*HbE3eV$(? zmR&J>iBt-mOfA(Zl~N>8vrObaIa&GUlDx9UI@~#KUqkEZ&cyiO)YRRHx$zP7E!h5i zJf0sfhz;fSp{&TpLS76&8CV(CMVLs!7;yoE48{3{*8Bo1R~?7G3}fIdGsm9w7q$UA zfIUF|!(Z0I{QUYSAOH9;8UzqxXK%B^-t}8&)_y0z&<+=eZT5DK^hUP+2ijBpZFR*( z8Sz1GXW|X{)lF3Y)IR_Km4&I2;FI9r$4`2a05SnZ0)zl6@DI(tcublJ0j#=QD%B_y zWPvhC>ZMptzE&$!sW5pp0DNVnq+8gf1r?PAjoh{^=Q@WjUmCjq@X-TgUC?-)xDTD3 z{@2pXIb$>cQldO5DKRNAAu%yA9*#v^STuiPbfPvjC%>o^oGYIzp23=eLcDEDENp{Z zOtgxG(4UuU&a&iWSqk&=i3e75>Ft-l{PXXh?i~1<$w(U^3xF2l>L~Cd!2uoE6AqY@ zb@p98*VBNT|Nvj2aZcRNAzh?3`3ndQo~_NmWZjbLT*3*M+|8mxso#JbEC@VsFks&Bs{xW@BjL*PZe8`$+D#>?(E`1CWvO7jpMgW z%GlZ4@7V3y3f;Z8t*)jtLmCwlr?XZ7jCarA;j zM08YaOcH@!D*UJtl}s*CD&;DLTBA&sC7Ldk)>Ian4F;uBYs|_vBLGoqEvzj^YpSuY zyZ74V{tGv6GvqOKe{6PQVix>&etBvBN?N=OL_xy$k`Yp5q!fezaJ86d#1=*I+7!ZC zA$h)qr_U=CysRoqfqShMA^Ry3P6XROn+?Hzz*)%7teklI@z=lp{eS*mI{LqCwtxg| zcW`xac5>Ox(ckU_E(9@v#Go0OG^mdCrBs)^Q67c`-^hRUM!t=5|;c61n<6_pj2 z7nYXQ)s@uNHug04_Vr&MynAct*2vY-@!@;0Tjy66X0Bx*CodCR(KWo5Zk!>*vb*vo2WFf3^u@#j71ds}sW1tBc4$H)1*587mlNlpP;TkZT^Rfy@H{SpH z$DjZF^*k3dnZ%#dm}mk7bXsJOVI3>|N15Ri+@sfSC-gd!M=Zw#%dfb;YDrC?y; z<;P$D{QIBJtDQvWa97)JzOlEl$1Bg(l?^~HhzKQ~{ePQw)&-*pL|5*fS5@*o@@=Kx-|v1(ie%PY*A$ zy{*17&!mp~h??ZQBlrV({Qv8qkUAX=y?szO=Lg{#Qq9 zBlAJ^RSYkOd!2NH9L4oV(etJC0sBe7ev+gTl0G5!@$~$~?WboqXtY#jsx0Q4LZ?cmg)^DKg zcnYkT=!n>)ByB3sPu^2fUWTi=;AHS62*8Krr)IjL5Pp9lnNOjmFyCVBoPPG{mp}ga zuTu#Ga$iu zd}BqSK@#G7>?G5#g5DX4C=QT>!0?#JIFdi%XXnyWe+hdWb`9%oU^8$W3>*amv5Z|| zFeo%Kxst$6c9fFdT-a1vn31WVZ6;iF8kIsRQ)~E8Yjk>@ifE#vxaEVLB_{<;ki;Ri z9G9p`r;l7f(o<1UQ!AXNYW)0=@5jkWu(T^H!^?_sTM`2HK>5hix1WFi{myBL`aJ{zi7tU*x)U2z65dPmS!eon32abv!$gD{$p~W*D*J5&p==p zSS~<6p#mZmM*OGBW%DbgY6;gp%J*zodVT}~mJ;<-BAHHj8rD|04m1QcnUcl;$3}>o zEWebur8nsfD1~V>3Z7UCah~5Ip}{@M4Z;^w1<+H9#t~GXnwwY5IEmov+K8#CU~$cM z#l)kok>6wH#U6m%NAY8>y8rCM&%gii*DudUQsHUF#3v*vQ~;6e04P&vW;;QMKx@U; z0SW~~rzW^Qtu(y^p{!PTkh83OXKDxO-Q_!8FncO?aq#=slkWeF!h zr&FkPY<*Ur2KK%o&7jq?oq0MQmXjhw5RZRR(H@s2tL3~Py)H#>qD#n&;PG{wdUic&1kj0E zE5z4$Sz=OLWK?Xj(vX=`0H#^T^JD0Ucnub2HO=+a4M@0=TM-hmc_$^J6so=dlu4-H z|M>j$nkIxom6g({^;(n3B=K^^YS5nBA6A%<2D)x1|3e*ujSuUsvzNz5DjH$X2KGb~ z_g`{^FWql6FW~%RltBMWU_r$2P7^^szN{jLSHsF{SiUcpIGb5((DDhbj;+8wfFv>{ zvP>a^6+wohmnnt(MxkZlS$tD!s$Rp_e+hnH0v~nLm(xJ7NMM*YJ_^Hlxjx-mTv3Ui zGop?B$Y_RO?af9{XJ_?D#K#=?w;!UT@N|s#>Fgq(H|b(~X?i2uL_-2Cq>gf}mj9$t3gJ^puuO=Li3JZrR5!y?Tv0<@=3IXH=}>TKXh6Ri zi`!a+tOR>ksG&$L)ZO2B^NHvGdGnGIPA0%SX3J~JhH4OIx4!s0)Hc*q*7FE;^z)f> zFD@v*v-0x8=ih$&<>hcnBn=9ZC=IcM6RFf0(o*F<+ZhkCbFg)?=ke`bcQFDc90BCu zsDwIu&p5U$0bDoVV zgC6oPkDkH>KqS|gQq$9zhu}^l_DOg!4mafzZ;OjhuQM2kO9q3A;(-7FawHsmg+i|( zj;Og}n5Coy7E5&|OHox_J%SZqrk9#q>srw6!O{r@Lqsg=Yv2XL4Wb_Go_qG5IjCRX z&(%^l3(Z*}C80m5QX4cTQ>NmK)7CBc2G}ALgkau}yhcF{3X52FLMzx8x}uy0;iIx3 zEiugF%yER~c>ZvlLLy0ig8`+nKLYTE_aW13xW9zlh0C9q$8~1ZasRO;_`Ej7XiQ7x z9FPEU2Ye}Tc`zX)8WcLUKGk4EGue>J_7|=_-XkxPN9QLMVj*f$39%ynR0xHsW`?)8 ztI1Y5oyZvR^VJCsxAlUtk1!TOa~wdp`rg{>k6ivgtzA(<(o9a|K9Z|>>k>Vu%8-(l zuJLuT+lf1~9oL_;i=7ZU7z0BIi|^LdicaQP1j5_uo0)&ePs5<#^eI0dpP;BnY~q1F z=>L%ji(}#i=7U1U7ANi*jO=$Z8DSCG`Z~4Il)~{Q2pUq;QV8rk9{I7bv&jbd|L}-p zyD2FI2ZPa=LZl=v>bOY%J7MB#6kd};n;ah-i_CtKT%TD`Ud6b2JIFk#8J8AupoRlc zi?{-5Pz9Yq0KwwYbB~|B|LNyne}4I(I4UYGQAUDF%;gs8UX-qj^QZU8d{kIG#%DN%g2oUD#j%2L7neD) znpHPwjas8{o_Q#pF@^YN)blwug^^dT)*E^AEG+?F#fH}z*($7kib+_1D4}}c3e*X; zlS)HG6niyH2& zzyA2s=U+Z7b|giL#bo{xNwSjnN}0i3lfs{wo~`oRwQIYJ)3-pPJ6y;iu!ttBsAssU zt`Q5m4pic?5N)liu;OME&a;YBrDDxF@;cOBiDvo~ ze$U^mD$#%~!9Ps~PPU1wj!$PAI1=m?j)^H1QY803pG_re;tJI12&cRN9q&h@A(u2s z#ECHwYvLp+xus<+4nlSeFSWLGauB%xSTQy)N57g~05zz*ilqn@WBTMD~JvzLu4n#mlwtr219qCRJ$we&@0M#An57;Qzm02@2 z;(#-#pD-ZH%wJ>}DF9Soh)&5Yzf7uD%7h=57{E?8;QOKf^87rx$!JU^6dDN#8bc;m zuTgj}+;s+RYMP1VH^Pg^NKG-Nn2d(hRGysTmy3`SMEKMz`JQNrCqpq3#WGmW@h_>W zYiJS7&C$#PoNXukHIWALME<7l2fGgdYYD(drNkR8epJJk@*9pIvQcY>l;kXI4 zPy!6Xm!XShVyl>h82BasAx?0b_(s&h92FhaLVS`uHZCe6L6cj=_pn64WJ;ze@;NvohLBpe*XM%?W%zmwa}ZF%ei_u0K`{f3OkVlNK94*d`tD~ z=(xp^j8$j`^y+fR^tN8SRBr-`jghBLOG$$wYtZp|{wtY- zj-OB`ya^#K=0>1A24xe`Yh?Qu;a$eK7K6*e(eI!{spm(ot>Q^M%&4=I!DNIbs01)(ApGHoJHrXuu*?Fi-Ubgst*j-$j!qwoT1>)Y|a z={rjr)LvytPmc2U@bpFV0A2$O_psndY_OsLW(g~>zJ%Kvv2%WYR+ZDGH>3!8KM%+5 zXSsP9><&^O&K)m+FC;k<_BmT5EiJ`lNa1S@diI4u!~dT=n46CyrAra&D!BxfkvK9u zL7QbQs;uV96LNnc{ImR2fW$2!{8I%|0M=DhHr!o)`To=ApFb>gOQU1yqzJ?`a!nWu&?F9~U5+0p*NeMv`!FQ{zXa2Vr_oJS!N^>ge?XVvM0?|HU z=nelfLSQ5mmv|xi3E-E3z(w#DcC`rvPsf}G<|nK+pMd=(-wCdogmlQg_uTXUpZ`7g9KbK;Qs@{E>kXDSw`7p4A8xPPnGDylN(>w< z(NUOmC=i6@pzn|3v(%9*N-(rE4(^iVL#r0MEzK)9;XY;I(a4z^Zub{t(GDwn*{~-z#Gxr1sD8U0Q`$nSBpb zlL8CZgY<4FA7O8QDE15gAI4YTz`oV`{`&{DE2r=^aK&p6$A4FoX zoYKzr<1|erj)Yr_tSI|nN&y(k-!0xIhx>X?svaZ#PYz$#_9zTR zz7u<1uKdWQN78cgL_YiP`9MD9zt1Q? zA)oQl(RVM!X!RJ5t`!k>UjPgT2^h3%I|L_khKk_3#qWtKO{;2X}KlWqFkN^0O zD?jlQKcW2OPyVFxQ$O`n%1{6FPb)w3Ge4tDnlwrI*`NJc<>!9x=airS`JY#Q;TL{E z`Nd!SMdg=%>6esW{^eg*e&ttwMfufV{Z-}He(l$kU;p)ASAOF+ena`q-~3JGw|?ul zl;8gC-&TI-cYa6t-QWFP<@bK?_mtoN{ohyq;1B*l`NKc_L*o zMETP{{Zr-7{_M|`KmYSTSN`HJ{zCc7zx+$(um0+7SH;{^x&I{^ei(MfvP!KdXH1bDvZG^y;aBxIww` z#v7HJZn{ai`R1FIFMs*V$}P9tqTG7xt;$!v@)hN)U;V0b+ikZgU;EnEl-qB=UAg0q zJCv_~{p-p%zVQv^o8SDV@~v-uOZoP?<&j4oQ67EtQRTbe{jM^3@?_<)#~xE2 zfBbRfi6@>=o_z92<*BEhQl5VLY2}$`o>8VunW8-V?6b;q&poG1ojO&SHf@^n{PWK% z)2B~YUU=aJ<;53YR9<@NCFOhH`=0Xh%P%Xhyz+`NW5x{S)mL9tX3m_cy!P5_%ImMc zuDtQa8_Jt+zNx(R)?3P~S+kV4-+o(}J$tq?XU-gD?%cV`JMX-s%$qk)nLmHN^6tCu zD(}7bp7Q?t?<)%yEKvUIzy3>ExNxDeXwf2N@#4kGk|j%&rAwD8%a$!umM>qftXQ!^ zS-EnhvTD^TW%cUS%9=H6l(lQuD(lv*Q`WCvuWZ<`LD{%*qq1q!CS~*H&B_NKe4uRE zvPIdtb*r*%+cstU_U+1!9Xpf{Km1VHxpSxT(MKOCAAkI@vTN5aW%usg$|s+EqU_nT zN7=h~ud;97K4t&@{mOv@2b6;c4=RTa9a0V-KCB!$azr_L^r&*|*fHh!@#D&g6DO3D zCr>J;PMuOtpFXXeIdeuid-kky?%X-${Q2|Bg$oyyix)2{mo8mWE?>T^3=9k?SFT)9 z1_uX~p`jsVcz9SD85vO`k%$tFMwM7Bro`iMC6P!ds;VlQrYX9vD~4exrfDjcWhu68 zD~{tRuInnE=PADLE6HS134%Zg!%#`3Qc60VRx+84lFeq7TrQ{N^LeFEC@95ZQ7M&5 zO1WHCDwT>-tyYydB%t5>fo*REYtKK=Al<@?|NzVhGy{ol&}{LlX=|NFoHtNh>p{U7fk9cD6NDwod} zbCq%_lS*aNVdw{`FqaDQ*)Ww(<+JG^mEQA}W0{nj%7%W1XQWbIGDxNvMyila=kmo= zDVJj~nN%j7O{IL>3(~1fF3}Og0nnm>e&dO&7BH0v{F2r7BM?6#4nN zOgWP-B5|0lbojfb%hVIEZ)1IFE`sPI7ZJhk>Yp?859Y1+_ z>*D2?_s-n>`m}dXCXUT~`0>XUy!gzcyFB;8PSX!;+w)k0tZN#E?b@d8`KE5zHlMpr z$Y7Jc@3~bA?nPohs(a};&-RKa@q1-OZ7aCp zo3)owgmr6@!*o7Z$maOT=8l;UKKIJ}xeJp) zCKDv}qbn=zey`W5l#=Hjo4na@9M>>R$5J)VGF8K|blr7qQ}>dtX7Db3i}jZ<$oe7M z)6M5tb>3alae4C$J;{eo(jWfn;#4|Q%9e@}xnU*@f-vy2VK&8z6B5~6K9$WCiR*kO z%a{Bx$MRV{7YEip2j%@&LKQYIZ1(u5Fek!Q;=lM;c4K1rrL-p$C8?e)fJZ>-a3b*cl4 z6Z2mh@=QxJ)Zr876SnK$P)os2FHF#vruW?Die^GO_0r1 zQbCSy78o*vND~r-lFVtTSS*)I%~G{c$`$z`=}f2>I@NkfyXV#wmSyN8ArQghrvo-e zCc~_y%K!%fQ7m{X-{0`jq0Sza$J&&_^zk>)ya zg5K5RmhD)EW&7!d1Z!w}{KZ6i0RRQ~1!>vTnF3#RJc$Y>*FAXSC$1L|#hFSbUz8ur zcLFa{By@-jFn}3IrSq9|KESR zsoClGd;MOw-|hE`LmOj8{OEH>MwUEu+%lqXeW;nbs_9-#)3unPs+Lm#r`R+p@ZJwo z$-s9^&m#^329__RlR4gZC~=Vr+>u+S*~|ueFI!9(3gJWmXBjk0o%D%ic0smGXtD!R zxpEFzFXr>$AW@a%b(q{RnMtS1`C__Q25cqjQ<+>|c0eIl&I5qiD)YmyY@t*rWSlt5 z|MuSK^rsSzVU5^nzMSC+`H)=%Rx=63ycc-s)F;{QXtURAb;p|hv36^`J$kj@E)K6@ z!0}7ZKD=a5(_=>Dxi~05iuPjRayE}j@6c7k`xQsEDM_U*&nI2 zqt3iNVfa269On53z&`PmY$TN_Wc_TRnB+OBFen0f#5ysaujKMUn9nnC-!A|e=_C^a z2xN<;T%}m5SBvFhN%l!DFC)trvP@1X#TMej*z-Y69q zH!nlpV3F7<$?f&dSa)=+KRVj)emdT~+G&oDwZhAL4BfPhL@ZA3(IfjBJ?$DiHJvK({wfuSMKS9G2orlm@G!@INb~;l`En_ruQ3R63cHx3C@aq|ep=Rd z-kGvus#n~T|}lgcO0dF`t`B7f}aX#Z-r-ydzU z2fU-F8MbQb4zcc9dUU?3jpz;^sg50uo3>-5Qf}aSNnRtBF6G&TEO^ojk`C!FVA*~4 zdq7%b`IE_^Z%@%3#z@L%sKhmJH*li_U(^aDCSu^n(2_pS^VF=BOHv4QevwAnC+*12PK5 zN-1A1z#I$fs_cD&pYeD4?e^GMzuhvovFQ^DOG_j)TZ`M#mxgpWzyt(paWihH5t6>= zLmUK~(-1k5V3^CMe3A@b2s~dBIB&#b$vx-tP0Nxp*-#z{4WB$AdO-*vhy*Yfve4in zQ$YF*{Zu9kTMh$a768Z<93DX8B=?8>T(AuC0!PYa!+Z_~P$D4`52?@@oc+)aKb5xK zFz)B_=6f^0{!VmwBz|RBup{mJ%o{5p3=@?Ab?{1sBk|FzjJenBgMj0W?pUMUwAO1z zRJAO}h?}0FC)AhYanptOo3^FJHQPuGvp{U-&}RL?78aC+%TFviS)73Ig^Oj zosq9S=ciJ@4+|{2+4o)Ygi8Q1RuGUkQ!G_0sF-9TV8`&H>@8A)q()c)ugQJ|XTV$j z%K#L-KC?+wK&c_aL2UDa*-t*PQzFk!xxQ+Hp~Z`LO+FM?jaZZ^=EoqWWQS%`#G)vy zYPnb+Tm|^G`=g!FYhz=dA_KdvrZXp@!FyHB_Dmum9$ygE5*i|a?C0pFZkiTvhmFlR zlfq#`qz?Omsenqer*kMgc0!Vswn>9dR5ZUTeTNQb=xuZnB_ab zzNvpWCvFc9#dOm(k%3ywwu^#8ynhd|#&VGRSm1yR$m->Y3_Fvnu>&TQLExCLe%*i% z!x)Jh$#@K!@Sn&N1ds2s*6BbX3`8J7^8ipF?ZLU(Um}NibtoV6P%0H91Q;{mOLPUk z6;aR5dm!RNB?9JNR8Z25M9ym>3qqD0!r#iu^J!UH0WUCfC|u#yh~g# zM~oJVR3LhU1@YaaaG`Vtmdg{#jW7x~^ieKJzQfdsu)lNm12YyLP~&mlIe3RcSy0QXq3I9C%j|=697p{L|@-bvmQ|)(Zy44|pHAn#S`rGnusXgkzd|G;wj$ z>_?|<27g)PWJZuQ4Q_it6I7UpW_2bgj-V~822jFaPTzeY6}rgYB%DF)FF->!4vR>V zM=SvzfxUmfVO#M%AMc7g9-{=X;fKs>bmz918=$FR{1XycND(^>E}ZzL#byB&;5U3J zoiT+fWRVorPQ9MmeCvSb`WoSya?hN#!%RN16k?fyUgI|~gQ?V()>yxHwcoqeM*wxl zm;i6JiNrMnppLz3+DT8dT+h;yw(qH`X~r*~-vlg)QzPk#*OBBW#2&?$l06R%MH>Kb z=_DJK{d?~EgF#m8FrJkW=Int&NpTO-;i1FwRZrV?=PT3idG7xEXT0_H{G<`7Tr+;0FP5!R){{ zEn?R;;qf8M%LCO^g%#x-9V3~v^l$dv!VdyDI36LamLvZ=V?JPR)qZsqwkJg8y&sY?S9&>s_%hSj+$WXy6w9@h6nQAwp=smBsGjM z#4yZ+QHF#B$seZ^@bWVO8Guz_Hw*V?nc2*KkP9s5^3C%ANxm3_#y~@J_VU!H_2b|1h96u#;$>arv&Zh?w~ck=UR=50fGbc5X5`JmweQ*fnLB5`@|fyo z!o=)5@3`Zxr_K;{%zU**KEVgdlwc3pT#(nTTCv`!too+N)wqT{L`VtyG5rr;f9C1O zAA4!lv9(j5et*d^Xdg>I-a!QSuJ&5}cFA3)TE1;sjv2Tn!>~*zbS%eB86I|?Wuk;N zNPjv9)0M0fgmxh22gBoiiW5g>Cd&cYq;ni!&x+nV1&=L+51ya)!YOF8KC*23%abmz zeBq5@Ek1Mlw6`4;qvu0;$&X}2Krmo~@RFDmP-je`y#4OOGw&Tp`X9bwXRYarR894g z2pXto7W1p@Rx4G;iGB!Dc|9!Gaf#l#SGQa|FtVno9k?Qi#w4%!BQqX+Y4-9JbLygh z#<9Kntv*6-decNSW9ZU0lJK@@(fFHPc3Kk3;08BD!+)i|nkAM|$ z!xtnm0Odh8%Qz6V;)*-gJKw^I3LI$HsW&C3wdCa2u%`?f5rn`a1qkwQhN$4?puvV&-!BcibK@X~k ziPYS|!v+HU*I8kdR{ICJcT<+{K|2&EYk z;sF5nKk8Tm_nmhsJ9+#UcD%16GM&Pf}r`MdX5n>^c@6BcHIZu;x+(f=6TQ z2;CCrm3)A_b8_8AzqI>ngTh>*C=MRSbF&}--eRR(*c}1zKmD}-{qgZ@fS)(B%|!5( zv6{cdgsOsS6#N3m)}u+=G?F1&h-ITFn6w;EcY$&?zDKcV`;<3lxKdUi!z1Tm>!JmG z$YJ1v7`%1n!i(HD`OMuHNr|pJRceF!(jwWW-KjQOwRV$awdIv!GPZ}1N%>xA>u=3> zq5U{ZEVR5P;ZzW0kzFWjO)0cmt`*97`=V>I89%uZaqJ=;vMDFuZ1sw(?~Wx*Q@fJJ z9Hw3-R-1g6VpBO>*%%%Fl#n02b`{~IxUKhwMI#u@z@Lbg0^WXH!?pWugD)2?kO~KIa<6O0AWf_qe8Nk=;kpJ48w)T}4|8?V-LaYm-*G(dp#RA_i+a;LWa?@s`6J zvCc_?3?WazP7pz4k%YVJI(Sps3t!lP0w71o1hKOA;$pqkXm+a2R=wo!e_eZW@9xmu z3NHpqLgV~L7`K3Q11zIBK@05gb>Wk)hr9}$Ma_)vtBat)i+=-z+aLoRZ_OR_;^@+Se;NE z&vtl@Z^vJ_eV7rzSilJ0yS+VI{=xX$6z_sJK3FvP(#GU|o64D#ksQZ!&2x*VPMtMx z$&!V$o}DuF^&=FVCMFmQm6%|M;lW^OVH7YQ3!ulWx&F zlt73cA;QI6DH~Mm_w!fbJlDqhSI4M&b+EpZZ^VLwdvN(o6U5V5ZEW8#p#uepnCVjx z;9bS3gE9=_6zCP%eh9)qD?mUH;822AMe)Iqy+RIfMEI3<9cSPxPdj7<*&5OY;qS|| z+Vw`S+Uzyk-RkbUue=efrp}BM*y5rb(vS^i&*c?dY#`qqcxT!LL?H7ADT8gg@J-l^ z6gEh0@PZ<1K4B!M^svg7xN_^qT40B|m(NU~K3*wsnvr}dI2pz_ja_YzT^sL@cThgv zUa#Hvr@i>-b|}7Sxq&GK?xbe>RQySR7F3ejmmOe(+Bud2x01Y!_(72P0HG6jE)s`a z=%R#(<1{5u;s-V1O7+~_c;hD|5t=~|jZDNzzebs|U8~kR0Kn1tQ?8UM8S^YNB;1d> zADsof97Kxg0FDCx(kpYsA1C*+k09CjAOTrWxCjAGC737>U`m_$M!i@$@s(2s3M9dl z(Ix-~u3{&k$H+*Sh=l6q1XU0IjE#54I=yapwAZwpx87K;TMX7zDSy-QpkagAs%}dO z5HC>IT*HVt`7G-qQ;9c49UM#};wb}C=w;QJ8+b_`SBp3A`7nvVbzF7EopGC7F478+-p@E#a&+>Vfy_D4l*bT>f^bkbCl01MH4#NoU^ny+Jp0>0Q%kT zRb1d!UE2_Oc9cJhkVepr%57cwDin1T_2hpwR@9lD}%B*A5pjb5jjTmJ1hZ!4LgeS#1P zcr$>1xmhL`N~C1M{8sP3asI~J?0<@1W9)&#;lui|_ci3XYvBSxgOl{Tpa2pHV4sSR z>!=3HE!HEPm~dhv5wa9^1n59Epe;bL!+~LI#Ce(!girHIR^s7@O)t*aQjBrd$gkR4AY)TR5!r4|T<#{{ws9sJ|VPAVtFQj`t*9O;if3n@FX4SfD(FNki$ z3}r1zc*q$^gRVB`>nI97fXN2Q=<0f-+-Y^j>Xk-yreCjM*;nmN;w9LmO^81cMmacC zrD{f-4H4(MAJI&~)`M&LF0%{H2ndpB#oVCH3Xd%n3j|c%yPuE5<7zxA#x%CSNF$Wr z;Nn$V9CnOERdQtq3iRnU{I7ne+vv91>CNiMu}QFh3&(@vDNZ=8U(BLG@zZDj+n!AW z1SrOa7G&q$PSDddgjc99ERo(Rhw={M(Z?{L_)HLF+%V~?8*U!-@P`9~@Cc1Hjas=| ztu|`acKX>`gOAE(Z?_J(vw4zkK=ly7#$YDfGGK5d#m{*0)lXE}36_0f%eoH^onjYI z_W;j@ZRA~$H;e|=TCE$uWmzN|(=R7@5h=ehWcHCdU$=%gE|X3rLUl#6-y6O5KM5P|P+nubb5XgUUkM@&O#CI-puQ}xIO1%?GH zMk*x{3rvn+AMyX6dlRjN zlMdy9?_l}KdzTthV4r>Gaq_Wy=8cUf1{OX2)j45F=v|R9!W5aPAeG2Kr|W|^9ioX# z8%8=Hqu`<}dCt8O>9yMK$h+qQ7(r@X@&_4!zehXGX1C@nFzk_+qHI@_VLj05d)8MIUyJf-L%7& zf(U*AnQ=$1*{C)eZE9oG6(d&&hQ~vgO zh*)oNW0bN-2NKvF9q*R9HFHVqin{oyhU8Z-8t4EFKU#lmU5}6yHFd)MGVQ#892=hY zCPY$_6wfj{06PT;aXte-?b|f>u!Y$GR61cRM8?Q1oAF9WiG-f(97xofz<-Y%SejO- zwpx&uKwSrXu>DB`Xk8p&F-(XT$_beg!GLpQ#=kpgZ3xO0=dt0M|KWX`1L-Xk{#TOx zo=w?RG+z4MCv-x|QlX`^jNtkgNW1E+MyqkP-)y;OH@>&$lbxN>Pw{-3_3?Il90E}F zCz%Oz@a0(CH&hMb&(8PkxaB~Ju>YuvQUJvXCG!c?0&)`Ln54h~3Q?G=AYFcf9U~Dj zfPp*qWX9JCi^!Lk0+Ja1g4@ZFfoiK+Z`bO06g5ixu#1aNK%iH z6l@Sc*JC4~Eu=@}9~(i8K{6$r89cC~Lj6g8Xbzz)9?>topaKO>{F}4DZP7^(&|qX^ zi7H*2ZP#w(rn#(siQd(K=KE!eoHYFOt~R?gJ<ZOZQ?RvGop!&|ZWs0+CzQ4L;1n$pwUY+yS2tpD+ey#@=NOR)Updbk zBo8rImWP@yz7#w}a<*)E_64O@;fO-n0x5a|ee96H@%1MkfkX&5Vi$z|hxJ;ck?u8W zoqCy3AmnI>_m}b92~I(o7~rOmCe|ulwm4@PEhz0U6}^Aqm1eWvEZ1AzW;r$cg&jvD zC+5AiV8NtShqPF_T5Si@zCNs~vEhg#1e$F@!85eB*89yae$&<7=+!nmq1PV!l)?|8 zfc;PPzn50mNxQF_IIzQl?^~W8qw6<8H;f)rp+86)Q`P$qNALu>}A{)u^3I8T+n(FOoZkn;M0XJF~&k~46DqBGea zMuUf3DA5@~zYbB%Oizd*$cMSISgW_|)jH_WZa2%pz9nxw{`?1Q#Y(MUSL)?b;?4)p zbJ}9Sm|$P*#0rj)wWx{X(UESS_Sh(@7t-4rrSysY-R(Cp0lJBU>vWF@7<@KD;mq_M zO+&ldU?HVHY#deBlkqr`6wliO@mOY-D?rqGX`FwGfT$q?6_M^pT})ORu}5D3`PZI$ zKTVWLI>exGu0M1R`BQ85JC#PI+DN~*3Mx}{<4c%TY+YIRA2^;F%sV<;P?ln=NsrIq z)<(J3uC}}7TCdI+AQIK0H+Laox=w$5sZtrZW%dEs>wt#_VKYhZKsI%9*C_3tG<=RS z0mT0(jDM^r^)KmrZnGbH9d++^X?lr&G>c&)du<)&Z$%RpmJl`$`5@<6FbyC;TnPsl zga^rjf%*_#-n*B^G>|VzwHri$cmTpuCY*jR5CW}{E#Rfp4{Mcrz1eQG+6_GE_+!YE zO2$|m5xOsd1M}fsOZRdSk`J|DUVXl4pF7=XR2md;=yGV+*}RQTt6IyiTGgu7b*f>7 z!ns>F9|f%V;FE&E%;D!X) zpO|-o5a)1?t&f{cmKY0(dG=$3;gDAYxWd};U-;@}*Mi~)Y^ z^3e`!&X|$^G{4~g5Cvm&K(Z6AwOi?ntJyZr#TTMb9#ta(9N4aANFi{dF-$i_PSF1c z83S@DkqG}~#f1Q1)3RIX_y-#qC}KzeoQGj3n3LW%>E*yC7uo1|i_1W`oI0s@sn2B& z?+9~sIO<%R>K@yM*Ccg92POZpw^6VKhL(1%UD*b}-)lD-^+u=L!AEO$T8&=Qo|?-g zD&2Y|wdM9>CoO#_j*Mi^m^bh8y1gwFzI1pI?sPhQO6wQfzu)ZB_CymH5ivG8*6Y=r z!MUdrzP5Q@!lVPvAf4&MrlUpCg!o{d9*_9=4)(;G5*q*wASoYAV^L)j5O9oeVg@YC z5NU!G8_Y2_er1Tysg$#Uc=*v|y$$Q_v|%2#YDs@InJzbq#<}w(K2Q#{r+43`u1?@H zvs6@QfQ1g(n+Tk4qupcN(!P#yRO>YAqwVVXMQOd*Y*fOf_n$d#7?*5vaLUn7Z+QRx zb(wy1l&p`?Vd=-md!LT>uhI3|>3+Z4#s(uDbg2Y(M>}n6@cs1(Lwosxil-6NjLVdN zr0j2`Y;0kOE?b%LVtf#s5IZ(Gd4PlTL zsMqqXO1oJh6xz*J^~jn;u~KPy-+S`X)cDk+`b*)G z-Q^-jm;9{*oQHwVVt@+21PF;BKBBgOp-5xZ>Snbu+JZLL(Ck-cn{0oz1rzs>$f=n)9F%{ ztM&2&OE;(k&%d&8SdR@#?`KRk60-dX1B+vNfF9C^0vX$rRS_!$p-(Q99RP46jrkWk z!(-)ClV{+UnDK8vLOz8PlMX!8@}6v|UTd>)>z#5gvwLQct~8s~AhAYxBlR7s){L2! z8ev?t0f1(x054pQbde`~WYcQ;hC@S2eDzoH2LamLXNA7|yvr z&Ol&`(Hul+z@+-ez8A{N0)zNc1Cz{#;KKBil@E9v1qo3CG6>Sij9Z5hR1jw=thuQH zy@dHyWeuCv^#12*nM6n@A96DMt??Ckriid9bRv^l~lz72JJ zF)!Mb5-Uw(WU^f>M<0DR0s9%OpfE`c!f+e?);QF^%hDtCYPi0nJa)erztsK5NegWt zqy;CSF4=3>^usIZ_KwF#cD}ra>V1OKAe^R%nyyBLj8X{Pha@Ny5TI?vwn}D+f2mDS z`(c66B93RV-7#>aEh==4k8k>jnzAMASZreTV2um_m#dbW{v);=YoMz?pEcg+%qBsC zPb`N_k$ob9MGw#wz<%12Z$aXKO{M`UBVFr^y$T4H!rbY{-7xydGEFxkMjeZxDyYW? zBnWb!NX`aYyVYe@>O7rilNF@ras|k7}#YHL$Co-+kR(G`4>NgvmW@nTa zVK)%yt!ANFJpK5^frl4HOf8XavnLu&hSw(1)^cZ-EL*a1>7*r#HXS#sWiXS?&-a)B z+F#ibT@av4H{58i)hjm|mo}*|8$+{<&F>89zA6Mr+TfvjEFrcys?d}QkDLsmE=+@z zhyf~mLh^tEY!i6_JBW$Lf(GQrUq#|!TDCB#{t%Xh&~(mX!0(fQ1iaBVDWH@6 zakY}(*)P39i{FG_CZC@>N%^bMZuP3Qy}MErG3%VBuGSjKvv2A!1cVfNSI9dMKy8Op zKXNK0buvTFY<27PcAJ_tOb8t)RHD_cCJBh5^Xe_H#Z^lWBuDl-{aUR)ID4In-N-&6 zYcfQVUcH__vS`VX3+v}hdTX_ZA{g&A$M~mSryZs{)~<#dY+4^dJuMM2Vh1L@emGA^8M8>0kw*bgSEy)3+#K6pNOf0WOpnabif?c{3o`P)Y@LqSUFg+bam z!^*Szm0EHA1)@J&rjwH+FFF0vBAxxuMA1USjzSUxBeYdeNTBaB3mIRBCpb?draFb_6xz1Fb&_P3UmahkxYRnSFb$a=xv- zvKus@Y}D;n$reb9ZaZ^nolo6Twb}WmscP{n?;VaLY)9o}G^vqK?0MKA<6vFEy#mH-9xkSQweMXYg*i^Cu9JA7E6mS3R`P^%

    gqaA|JR?c?(X*yAI=~~>VELi z=-ogQ_Y!q%vI;2tAS2eN>Re2g<09B!nJv)427-uu7){l?8hue*Zt zSN2EB_azW-SuXL9i9L;c6X}gNZ2}xra)n}clW@8NuP`*sRBaD)NY^X6T zC!Jj?_2ql0$K#{KNA1$0i24_)p`A!t*p}b5kG`N`c7Ko*z~Su>BU8aT6}i&@Axq%E?cBWT z<>KpA`!0-^1IW}r_rW+ohC>z#S&jShm{XCjEE3u@H zeH*q4omEX>9Hn3G4SB{QK+fMNrUHSqY)``QXOOZO3JWrS&>XBuK0VM@-M>+7njtfj>7$8X{PKxNXBHoO*MF*t3qH*ELw{QC@*HA7C^MfRt=^4Y1)p#iTLt z7n0!*BB-5US7Iz1gw}Wp^&)<);7eim30|v3JhDYt3rJ+emIp%$Vt&uY>bS6`AB%8q zsm>NRWYGg5p&~9?h}ZEG{^3?-{Z79bE$9e#bQ6IK%6`mWMMu{l#+gNKntK6Ssl0j4 ziy?Yic6cxQ7=gA}5;e;;60`qeK_v>%#BCzz(%OBh&*0>!@l|01K(RN_@wBg53~C&3 zbWUMJmvgP#K^CcQ@C|%zg2KHp_0&#+sQc=w#^8?3;)+Z}>eu^2bK*$@(UNhf0X*}& zDN}`|6B0MDW_Lzab91M8Ziuz%1kg_73k1*KdYlnAo)Y&bsy*|9!9&2Dq`&<@Fa`t( zK64G@P0s2Ip9vYF6Pf$bQ|UWiJV}hWuH0|$ z_r!(OP-(AvIZ^0#R;%2tU`M)+HZVX?G&n?J@MF75z2!)A?;tyQQ0|Q!<^!mm35awu0#tD1;6iOXh15}tOL=hJJ}01_CY1!pP)N`lXmO$`4uu*5E*VR9 zhTwokhEq9j~~Cvh*MH)MWeJK*;ig3Z0A4cgYvX(_!4zv$A6t#BEOIxXxjMM9ePnt^VwC zFfm71n0~QbR0M!*DyA$`GjFXC3*p7TEFb4pAWLCvZiSGe7c#43oquf?n?LbE)-mQa z(m3uXZZ>6xMI5b6H*zwarJT*o6R zSh=;b)RD52FVs3!k~dZy8xy(E5`Y1;d}!p^yT`yuNb?INp5u{hFG1gi@lbY#xv+G) zRwI7dYkv__A~ zy>9ZZX*f);Hdc0#^i$>A7a^>K->^Yb2|fYHYC3#jotmUn#?liS@pFn@;g-T>ES6o# zt$)W`^v0^7dk78|riZ~5hQrv7EZ4d9qm4JMnWIG_#r++_MIGI9{2h|SJWxj?$|wZ^6S(9)f>X9ia(uk^;n<> zalb^~$4ri#V?ZohC~(#=Y5yD=VP`{Fv_N8o%VHCKjq~aRho7TxSrl{Di56KXJ%ln3 zBA7k~QRA(QzI8NxmVTg}ou|k6ZFME)j$*({XEH*fu%AH)IEJGy67^?2lx$cP9*bg$ zH8l*;!30I6YXIHsh;jQS;Sm@XC;OF@f!oFI+EMDc^N9XWUXOCU7(9cvGt>twZjQbd z)dbO!d8yQeb&o$9p4u-)f@i_!AU@*Tm`OzsOGnV7i|;FttJRw`jjW>$`9#5ifCnz4 zzg%02*2_3~2?k# zGLXJ@aXG7|eU;F^a8BGi( z)CFlxk{Yw$N>YxWym(`n@=vA+Z^x1%EVMt`E^Y@@EVuw{7^ZC(0RqAL{Aj~=d~V}r zJGXE*%J%hnesilxUiTQpySjP~<-fYhhJW0wUMw;%H>|hqehKh?e8hfQ{JfmpYz|1e z@bUH}RtNi|pLi={VREZc^FF+u5oLXI+`gU>dXOSqmcXSmQXq{^R;rkUGtXl_7aS`Y zd5?`z_tnZ@6s+}<8bM?*?(~D?9Sv_u7T-=V`>XfLx1;kFOx^xs1lpxwq@_{G1ux!p z^!ib06R){Kmz83s{UKngM$7n{+qFr9nijmR_}SetH(d<6Fl48s3&lZr)7R8-pux9I zR$sAm77R;MiI(5jS_Y_09Er&rlzW^)BPROvXdVbbOxB6 z8ipRKNVK=mSl>btdO3S@>%+yfzw7lC*3h*(PyWxxQE0V>%d^s=jk;oUr0-|e)Q37_ zu6xlpyQ^)d@IkwJ_El~t42=g}H^bW^zG;os{FE%KmmYIsRv)^4F|Jn`7)sUyZ2hde zXAu6qD`eP0+bZ50iqQ{P(~sF1eBGZ7Ls$?8pp!Rf`mCXRceY#lwPxQZlDS5Py!{N* zrC$z^yz*wIG>d;O%uSLwg$p5Bt2*FMkzy|-Z5CvQB&Jm6ZMae$xEQ$fh-J_5x z9d%PN$+-t$j@vT%twW>ysAKVkqca$n3{nBGp*7GX+sQK!vXNrj)&MyVM=Q=PhK@a(9KWV~BPX?zzkK&(IVf`L5AofTBbEF3cIOp0!)i{BFMBfl+DRn~5_o6a#j5 zYMNdMu@@L1S|1a@18@hr3lDJ;CUDe&(If;u zrzw09%PnxB1>se>QeSG~Pl$llfrX8FDkDJeP(lfA&i(-k;&=5>q8T29vg8``^XWod zQ)E|Dj}fatn+{Q=Vq!ULyLz-DZAcWw>BiCtfv<%?A29&)cSG>(}5S(6*0fL7)Xl9)g$>12TjtRLdov%JKVrl7I z*^?bgtm=2D;B8w1G+@#H6BS$x2a1(CUTHgLH8+|1L*@{T&mdMQ7d*s%akg{~G%X6K z1_V?fgWZs+VvQnMs+^N0iaGwELz;%9`2;ZlfDZpIr{5mwS7JBF=%}Y0?jw>O7do(P zOAlvT1jrEVUKQo>F4EqYX32co?rWN74qWXq3=!pXMOFsXvc|qxc9mtcfKB12Wn6qLimf+S9qxFK=T|ax4da%HoDN@e&tr%_b^fwH5n?3vJ zI*z&o15SO}aZSMR*;kr>1ey=hJSmU{@h%u?`d#brllmm5_L#8d@cUrD{eX7s+KyQ! zB@7mc+oTpj!}MrW8Ud7A3?^#z?gLD26JHLd6VZ^nLjXe$bCTmpZ7wkgHiOkc6+sSC zPCho7!gLX3qSj7|wif0y*FDY1RK9Q)Jz?Ewn_0%2od(7`OfB;+l8#dfYz*aqbT?-h@PJvWod*nV4(>ukOY7JQ*L=d=Fdy zTim{eMfnz*umrL)O&Upcsxi151$22iu7fQwtPd_0of`HRJuG7Umd$9ZSz`N#szn*V z4b%%id7BS67-tkYqM)Qvp8?5f`AcU+jk>6u#N1--3}?F@-#{K?x)_PNZt1rt9U^o! z8ogVgVB++Ry7veXv6bbR4cy>i<{|_dq#3|DH4%bB0T%E-5MTyWACkh*<0dm zXCuA*6_jeRdH!EB&i$<)+37k;APRA zsI^Od@@L$^jYh#A`k05?CVwf*sz_;IaGQ35*2r`X(&wA(RF!6PP%S1>w`%8(SY9 zp8Y;=5B|07dIY3H($x$6qzM700H%_+&~JprGmy}}%Ms)iE2;I(ARS*AxT&;-t85M-05-I6 z!r|PHf!wkpPo=7)o4%@>uf+zbd9HLnz2(9^3Uq^l;GbRi&NVww10o_s-6%UWBnLMn zDrtHwNBlmP8+HFpI(w=>>yLuAeo46``Eb=iS+?eq6FcQkZ-FB&OYa2_Tpp`?nyAYp zkKiQS84yw?=`VIC69baWx^f5fhgyNRKC}jyuTN=K1CJJKKuEF)D7nd#BZ;16VJ5W+ zyiNn)>8u5Ux}=&Xe8NbZ8#KdEN38}T7wC?b3IT}zzyfUI9i-KdqC@DV;rb^?P;}Pi z5C1Ay;Q*JNt9-gvcGA)Y_owgP?zzNm9<+Yzr02K zoCLc*sE$2bg$i;$6)tEf?Be}{DO%!z!xQI&##X#u{WehJ`9^;eq4JD}%pu2Pt9r!0 z5bWP=L~YnfzSF28MHGA5y^8ldeK3Z^0m*64`_C5$Hx?3vvWE``#D2CXzX)K88zkfD zpUsaJW;wKiua+Y<7qU;|DZr|RaMazvx95x|EL1Q}Ml$9|5zz+F^MKq^RT-=5Na%9B z@;z7&O%@(5M~6Ke{vO_F$87;Vv$F#S>w4<%RI`4K9j#~9>F6bS&u8 zC@Z!K>Mc6;r`m~5T#t%^s4M;eI>#exy$|vb3FmgP-GljBN49TA1}h>AH9H6l4y@?Ntf7Ih3LX*A)=`rZlEntq zVM;Ln1BHS(*nRi!39r%+xl)XC8^BR~!*On|x7RRiL&j9t@VZsP8Y|wnXbR03cb0-x zqkubIS!SCK6Fgq#xVD=b%09pne7r-Q8;91u<{rBJD`fGt&Ph64Si1hlx80Ke97q-4qf|+VA86@(M$03 z65-+1{=;!VuBp(x+RdP>H!0zDt6i*6!gGmr+SJBbGlOQY*P1L6K!AVxz%8TZXqjwV zSt9GZTuUcgxMYG|{^lo5G`r=W2kgxSDH_5SfE=Tjpi`CN*<)Bd7F7CDIf$VLyCJz# zFdA6>I)}x|PS-X4cb^(e*h5}}tn;@E)ioB_>yqCP8eEx25sAlxGjpM4-Za+3C7oax zb)iT*m({HhW9O8LQq{8INSX%|w(`$wJ*3>j5$ZTqR%zr=dN;kuj7sN*W6_+V2Cere z0zbU2jft@@r^+uXq+c1Hz4V&6rB_rHBFwmikUs&z?@yr}f?#?b>b7wE><%|? z-Rn=ZRT2$43pRtL#BmE_yY?K(BuY{!O=EviYnr@`+6*b(M3ZE8)r>n_z==hKSZ7bj zGc8kClGJsZT2QZ#vmSUcQLBCS=33Gq?^ywXbx41Xj`LvaFz?$!_&TQoSXq)Pfc4V}Pr>3teg(R^qYp()z$+iupXm#otd z1i|W_i2C*eD-IIgy_q^^A+k% z0&E%cE=m_k;AdDY7zrk60qR|IFCfihZV;|0I(GG1eLZoZxHslX@~)1NJn)GzH8J(! z!|VxPEuZOGp^E$d^&YycqgL7UnBM8D{w*l)Wy#Hw-(Hwn*QgTLKnz)yF5 zjrT>RKAIf!>>6Nv1E0l|B{>Q8(PY&c%F5r3oNT@J-u~@n+dqD9e;%zKRwj3DiyfuZ zNiC34nJaYP`^(D~xR32-bg8snxJ18E+(%}WL}%q-wo`u7FRndPia?&O_qQY|3Tr$=mn2`uZu-dZp=0c2T~(0S{GWcv;$}wvRt)W^3)Ne+7)083owsDUCdIr$1SeECG{&bYgQQiKlGF(Z z2xvZ^J~Gm*g@quG1f?OGbVDF#lKzDqs1jY3F71r1CQ?-aJQL#*S0}Fr^FXwUJqkJe zuw0Nkgd+$J-k`@KWCCo04JNCpR;P2);kmyIEij6e|gBsbG zD&h*rJD{x#Fi(ybgn+cKFpjr<;&n7*qX9ct@IO%9HaOwPE; z)ON@-VjSncybuLz-w{!_vdv};Bf8QZU`ez%BoDO48ap5gY`|x;eOCJ_Hj>Q;OSJ%8 zqr5=FX;UW9ymR!2zVS17RuJOJ*~FNsu^;G_T)H$ANNg2SNoS=~t2b8-%0MAy83Uvf z7PatZGK3;Qm) zSn2r+c3d~X2^4$_Z;PFVPj|s^AXZ;xxh-$V}KNgfG?BL2Q z8izY4us0s3|Hwj2c%fB}F4QIQNHiZH34o>mj8xx?Ac7H=!G_6pLVTGAcDeFaVbzZf zeNgL4og<@?x>?NzWpq$V5OL;p2`t7tqf>`IOssa8!nK2NqJZ!QB-nI7yEgNMEYUNAEJIRnDEv(yXH#xy#Ujch;bB0NE0s8 z@*)0m6y4nJ3Y!7ARROIQ|BzX-lEv>k)eRV$>cS_6T;>KidHCz2smoV^6SZn9z+eB6 zI;zO=^d<=;x=h&hHGwFD_a&!wtT!E$(DfnVIA`z<10u*DeRAza zHh{T72O8J$thJ%*EDKaDmVX>LMJ(Mbor2PK^f)(DCYPgJ_#d5eomv|?zQN%ul#pu~@k%O`FDucHT%~xIDs-wlN>ot*fnc9Dcw{mOivnzuZp@wwD(=JIKTFKI zr%;M}J{bNYV5iEJ;W<&r{g&!tz*G1Iv9BZ32#7U2m2e`6w(6k8MLjpTd}Psm`51S} zstyAwAfP~f8J}`V>@jsnHICRp)FUc`Ej(P&!bX(lZtNsiyojb~Pg$2P_RU9q^rNT` z^xQTB2Xyf8EeaQnb|%i#h_QV@#Su^g0NR)ds;6Fy`$Cfe!VxuvSZotOldf7YLP7n0 z{F0aGrfRSb7?>^;g8NzJ+Bqe5da#M!%F*|+6JX-wNzQ2EMY7Lt4gq^|t2xDR$dD)-8 zW=cTcOb^JI5+oUw96^e-S_fW((93LGR*dp3Zd0P+H({tc@pD?<{TLKbwDh>KZMWTS zijOtXe~BI0|I?`g^kS?8?0-?}6#t*7Yq0-ElLr1tA^&gF|NmH#k(2$uB@JkNCxIgP z=;{9f_U}>gtFv5{eBO37kr<12r9Ewgs6(sX z{k=V5_w{C1ffq3t*XhOS>&=4}>D+4ceBZwLRsFiT-}SqjUR6a0r(Ear4At}gQtRpH z>dEA*(}=U(v!yeRCd8K^LbL*A{ARhX{qj2JPS=rbhY5_i&o+#ppr z(vFD5pN3S?l{0E^B(*5vogK#=&-gb8h{KAcR$%z83z*V(A{e6;KZueq6t=d!KGGMr zvMWG6c1+k1mzanv6)=I7sMlU@9xo@Wo7pegU2QQly2Z17o}Y$oHF*BCmZ(LgJYGD* z|Co+pO%NM6($Hg5JvhUx%AQGCKG9Q5OdIjC+j%fR?efWI_%SQvNJxl6R>;hP~!3fs5c?h`-%l4*7C#SmNXv0$%)~3ybh2F8mgCS?1db{QF|64 z$~>orUj!kBJ8W^0P|D0WlKkTi@n{JYhtGv9`~YtHlDaK_6XyOL2_4M2nCy(Mu~edi z@gp;2IgH2{R?kTX*tM9mf?^b(Cr0DSCHV$C)MgOq$uE!1fQ@|D<+8*N9|5S5tdqts z0DxEUer}bd-XAAcs->qiYP*uU8nVSkpww;_J$1MdSmw{AF~&@CRv9gX-DTtBDWdF8 zm~->D&1nk^dO(m+T&q{<%ToTrR>lL zRun5$mPW;9IirtEyBS4-QU(buQ)2JB4+Y2694p}F*H>_y8@!1c`ZQ}*o|2)Dmg&gn}%+5d;4j=-fu1R`1vl~2*Zc}+ScoZb& zicLb#hW$1N=lMI7WyI7UYVu`2)pMm3O0rO%`niKAmR}Jgk9!Qo=4p)_?aL)g*#9%Y z#f-n;aME1EUnLXD?$->e(Xd8}#omBcYYNnw27Ia8(<(r?7QtE$_#BS5+E*l~fuq$x zj@(@-*K93=Af?%}hd;Y9V=3;Uql2)B{~j|`)OqP8NdvH8-gFc8#@z|Q<{*J_tcq+n zOA_7L>AJC09jiA@C)chKz6jT<;7>>%<)7M>22+D+NKDtzK0Q(yb(7F3SmCDN7Q!jA zv}Q6{hJZ(O=Tu7}2YyhsblFF><6P+{H4p?K*mLs>dxHh41A_9!hK;4sG2hkO8IP@$ zaqPuB-N?UytR!$<{{F&7{51b@3w*er`0k9R_QMDHacXmJd><|zq$I)e+_ zK^S|OI;ZJCz!^7FWcY&2Y^L`K&c>_Z^=%5C%=1eSQ;FzwsJx8t#W3}Z%rlnr<+VZmL^YtTDV z=vR!GczVyp5e!r)S-618Dgh*TcWEF@fBwoOxL8ZVuNrtEBp zH5Vb$k9ZsA$v+=b4(NRDi5}C2wz{bH^?CHMOK(-($RkHKd%9PHG1&!s0Hfy~_s9n= zEp^<0u$nCDSdYlaBv|qtN0ucWOVsdW?v}M!_ydniE!1n~s#7%gN#RzYMDN-_@W3MW z*R7AdMMw8ASYu9xgVxdm-U&2C3-tMiR#LyB%w<+0NQwgqW>+Vs*Pdpq5_}x~AbQEUzfU#~ zA|HPUMHhHE4g%s-RfDnKOf!_!kddB8HqpCcQI&AQAU|(gvZ5e5G?y#&xI_JI=5Nmv zgKKTR+Dz4G@&}?2VG9I9f~Y^0o=n(CUMTM}@dm8(h+YHA9w?_Br3I5fs`=g$g57~s z*fa@hhwOqEQ^+`M6f?KN#TF&k`H!R`{RsT|i(PZ!v2D}SHDOB7h9$r=mN=u;+Lmii zv-Gw4^ z?`rVU%#VkZ%S6H1)N_6S@Rh(zx$4_ttZ#cYGWm9e4A^6Q!16|K@SovZPX8JX{kLQQ zF#))$Qf80eI+)uz**Xxg|AW#mX71qVBy48jK)}pI zFJth(?-`kxzQ-FmnK=?LF|afK6}AT z3``yWno!coz{=cE(8kotn1F#^(9zJ?#)*KDft7>)ua*AQpk-oZrWZD_lQ1?nHFF~1 zU}T~fbTR#VZ3L_g9Q3jVZvSX7vaoReJ+0b5da^Sx(5wINQB151^h!>~)~eq_{_Z0r zWb39yK+DL;{PzR|jLghzf7#X{`1`S#xs@@~-*5hRK=_~D{C@;#H2!EUY zKcD{lhjKD@p#T1!PR1g}-+w$~dKqIIQztV5Mplmh#vHV^Mdn2K!lV4tYo~V+!Qr#{ zxFtxcITntrPz7S|DjO`+CQIDQRzL}X`@C&y;)>jn+nD+8A~ea>KDB>a&pbVmy1Rd9 z;lr3#HPRp2KU}_OpI2E(F@KoF01^ zdQtNenQOZv-KWI>l$UpB`E&~SlWIGr*#ZonbcCOF(^G+q-oRz-WOXgS!)(FpWu&qc`Z3#jJ=6pZ~E@dRx zLpl?D`!3A|`)o^c?B`wdBqNq0@j419Su^W_z+$*NLFC>$X@eXK6{(T@s*Z_2lAnbE zHaph*doOFAKNa&h^P-Ls4_r~BS;-hqLQ{#xPUF5_FZ;%p zTELtuER$I}c!}e&f@_FX1Xz1=yk+M+U;d9OLi@;{p807x&%+lf1Wqh2jUhsG+b*>T zMiw{e6NGoZkD93P2Sb*h#>wh|Nz@e+$toCpc++WHA^0GO`MWf^W>*A4om3TL7LRe? zMMGl@$Q69JPJZcv*()Je%woE>OV&mY+Y%4*xftH_3vQUfG$~%}4nb`#Agw_t)Z&_l z9Zx5N!M9KDp%&dPlKt}3eDw1R@K*=z6dR_#>ZoezEHso6?XNSIIyPC}s6=+C=xv%G zwn@D^UwUTG*gJjzX!vT9>z&JIc0)0t$C{B^Y`aK9Hl)WIvV4j<8oL_Oh3TH@{yhUaIqk7kYG(ur39(`O zybxnmF9vi9()EaKE9$_R_2iQ2PSMJOkVL1;GN7(BI5sA8CVky4X?`IP&n7Blfl7Q0 zrfQe0xD!*8PWOdEi+P{^xgT>Hos5;`lKKN5%}xWjO)Fd4mYX!1`i8Y-qugbouSP)FLhse?mQa6p zuok)MzeY;`X%^Z4Ysb-ll269`PvZRSf0_O_wqcfkX!`#bKAHNu&AJ$ZH+qimN&$Vl zV8a@9tJNmJZKZwOf_WZ+0Bt^kkrdgELYW9TY{ZwRsav=t=Ux>abpPJx#Ki7Inntvq zO@tL=2KvE?*+(6P$^H66i1sh?^2bNFJLk;k?Wtr9KI%G^uRTysK3>A%gk60vI!Ph2 z$Xx*>KwmqO7KM<37H6Y7a}ETfaQaJ2`ANf_BxiCI`|4KBlUG@3k2Y)Mf^p6(#w zy3aP+)N-4Tfr5OaDY57(hn0cFQ`W5-<7K0}b2~e*D&?zh8TLdJp6K-}?O96iY#+J% zz2pLROfVK>ZjQ-$O$r;=tnUpN!w31(ca_$+3qPkedEJP;2dyV-I4>x&b$KSom@CZch;e_(7e~fF7Nq4E!mu%L0883(5*<4 zF0#tiWbb>^dkoB|cLs6c5_9VXIW}GxKXy9 zs$gx>b)45WEk@~P${MCZEq#hAInNV&-HaT>BI|i!c_b-#iDbSCjOXM}I)3;W&zII! zG(6pc?gzt}zGPZ>`Z=u?SVkz4Lx!InsJi3I&}~FypV;j#y+3zEK#U?EQrO27xgqdr zx85quYawfX5Pz*lp3p1w8_tSeJkM&S{)!9mq!#bI#ZWYAZ|mp0?i#^}ww-}sQQ_YUTV5Z7yO^Joyi#5+3{AWD$#}%N zN28Hp^XI-4RVfg={Pj^1g~xmX!Bq`S(vjxTBEj*V;I%#NfkMgc+6 zpVDW`Sn?&%fs~W8E?#HZ$RDc!%g}dMf;=J{aWA9%D~OXV$AN?=&y-kDiZd`C?$ufI&I)$}+MSIs{DE8WrvlS4il;^rDFr;KrI@jnOkZG6v z$O_EeD(gLqwi%oWb`glqR9wZe1e4$*6ffOPJlR3;8%J20zJC2=w<(l=Q(Q7#*{J0t3HBGMS?<*3AzJnH*5M_Iv;Lt zZ`CJkx4>p}J0@Q_8~W>7g)vg$>y^Auj~oN5aQJXCW%xu%Hx#hb>_N+bwb){OdcyT> zK+$57?vZSE^DMnSyfae(-mRZ%Tt}+-2$7JqE}+sr$lu*ZEIrm@$*T!08T?~&ZQDG% z)pvLjY}6ae3e|>tmiSn^LM%H$uxw?^)g3YMk*rT32y}2tc!u~p)IeMa>Olp|#uhms zXcw>{tTPSe{mQd{WU=0XC~DTPma7hR6QLg#=K&Kz>m*2H8~72%ehs?Gs&W)`cQtx| zyk^D_H=X*+^8-AuV@{NeKMWrgPVBy=3*7B3?2er-Dz5T8*dcM{oH;L-Lt%-zVU%!R z$rX3v8+O#?B=ta@>K{!|oqbO2+b)7>HuCKRug<8_8Z@5w5qwHNa#i*bej4i(t6EW}ZonB`lY?Tn-m80k1~E!PZd8*h!0CK}3vR+1SmAUeemY)L7^r7vX*n2{`_f>>1{N(i{KV^uMvOaWMSfdH@=hw(D#t zpEf;z{HbRGXsk57BG%G@+&MJB*iSW!H__1j(YuXV#+Xvon@;c*#I z8qL$Vx~3gtQn#M0_&PDNr$-~vJ1<|oynI++CFDH&&okgVcbhXLuOD9=(qoS2&p!^O z>*|}OT|zEZZS`WKVCdIq1(9CBvyrkSWEH(!jb2(erAA1*a2m9VA6`PtxeRn9O)D%< zQYpDiljStjB+~aErC%g$x;LlNsM&o?`hGY}wMFY5-y|oe*xL2ez6*qOH)we?6taj{ zr4ftv%{wjrR^rODCD$W+{fLm^lbg*&)auvR_^ui}xwaV;P7=H<^Qo6SIKB^OVc0Ud zgsTm>VwW-L_OrZsl*C@!`hQXP4#1Uu+uCnzbZpzU&Fa9ox2TCmq{1JG|-M zXYaH3Ir~G^{eNHGTa~I+S!-pybIq)J=QE%2n`1Qh5c^>@y>mUfe*{2nOAzK0XsH?s z7W5kieHTbi;zlrJHEY`7BWtN5I|P6q9mlx9p6RtsMHFwKX4U4lZt}8h691T|CFodG zovU(!e-xj^inx^$By)Y-qbd7YLnwGF%%?uKaxKlPe-G?Y1L^Hc9sn?`)Ze?=ulBTm ztKjCS3Qp&LC4XJ7Pp>Jumd+onR&j*dSDtjbj)64&)gVa#3F>If({%E<2$E@xhKMuh zYnZl|o?wDXVonhd*OgGwEA_De-t$v2ymOcD0Kw}fk3Z`myr;#jrRrG?a}Lfz!##o` z0U8pH9oK>;Jx!MTIR+XpF6@dPLtsze^V{6QB~V)qCR z-j1^SVk*bgF)WyprwLHWaXPP^&#Nm7$*R$l+P3CqYN}RLR%L5|siDe4dqUn659Lwq zB!FE3w?&4;E%FQRTLA`@%-VP@X-$t5Mu=vsvW+U?E$L=Tpi)m)tct^5csEd zID>7{ww{~9_gaTvb@icAH4+S^>lVMz8#{*HIah28{7_J~BftzGOj0WUsVPhV=BkIV zX4;R>K)qrGdTku!n{hy2?%PXHjb>EINQ56O<3#Yj%iSekxD3ZKu6ti=wcOEgb?7+=UN20Zu2 zWIBdKmc#VoGdIZc=wjFi@f7z{PyUzM7@wXeR0p#fO;Kr~ZVR<1B2Ilka|8h^1dQf= zO0b`;#0D(`st9cThSW{{L_rN-)!xfO>I56cFO6MG%!w1((aig({5A2jUCJ$W-D03o zx0N6lZDaHpudcNMUyD!~1XK=x?)%ZbB|*{4h7O{m3nX= zWL&RnlzT|E^JUa7cJTYoPv+3zF*4Ul=9zt99=}uAQpL1c5Ryl@$ZhEmrAsX*R zC!o`=MxA9lD2Ej&=UtSM@KABM9uYXAZXM6ItN}2%eo@7wB~&SB|MHU-ISwxhc&|hr68dn1^Of-%!q&_`)LQ?K&=?iP__MOLp9KYJX}c@ zH4R_IMGf1!9nvlkzQzI4`E%YY$%Qn1%jXA31$DD&t#l2~Zhd}YG}*?~OaY?|S4|tM zi0g_JQ5@YONCJSHKkUBWq&Kx|XFhI)R!U++K!{w@(%Nu;GagqvQOjM5(8+aK8X{0N zMZ^yE-pbv)P6^GPy6J1T|3J!SV?Kh_T}7aBGQ7h`IAlr!(}aI`C;ag#&24yw>bl!; z**zvH!kF5juB3pvA)leFe!@8**`84}$1AL1W;9=H)f3MH)jLhsYg=}Jw&sk}y9YZgHe8WF zsVwEd;b>Umy*@ka$1eayee=>3jylK?J)m00ZLZ1E>+|-M?`6T8od^DsPPUkr))@gq6G0QhxhS=>H9!!TjI5+nvj-ilTpf`q+I9bNOwhEo)_-7o5b|(+qNn ziP@4;pH+8}sD0yu_=5}IF5Pj5Xc=PK#)cqCYNj48Gn+PV^`G0qjchqGsYhNO-`4l- zxLZc)hO7BfCZ=BegIzcHFl55*+Nq8AC*hV~s%0L)*1vto#znx;w&6&Cy!NDS31YV1>N1IWBjPg%!OX4a~NmVstrIbCqtpJ^@J+gd)RgwSvwiTBE=y= zTCjIe?v-g(&)kJ=I!lM~x^#l$Pe^1x31ydr$}BwHfjJJzJa}|%X(#+8;tLhIgp__@ z_UU2E{N6$%cQU#EC2Se9~667d|;zVFwi7k7)Szk-THu)#jV!oJaI4Izz})^ zV@Bg}u?^*m`i+yYB+}(H#cPM#GODb_)rNk1*n0orVM{_bt1Gc-cOE;vA2|*86nP3h zlcCRLJw)?4tRuH_cb#@w6w=EB4)=WV&SZ2>GLCg0FqGQ`;3z3)fSp$*-MQCsf zda8n#3r0Z7v?9ob1Y|W%px6=xSW%^_1awpS*6V|+6oo<``IFrivWdZmR({v9`|Z1U zCX;wk6NkqeSeC7;ZALso2PftBY{=WrDkHRIN&Vm&T800bcx92YZ+c$d7lY&as3V54 zLoQO1pIF7XfV;!^=Lr(c)fz{L`C0}zM$Hj|FgKMolbAbx62)C(Z6YJ|ZT=)6r)DQ? zqAAf5qw$8&GyVbPk$Ox6b?8B3^dzt{B3LtY1~KuG5up)dn2h2rU~5&1REuEvtJE9E(u4gE3 zKZ2q2;@29wgYPaHea>WSBfe2NcA}CL281s=|4cs1#CCmzu~QXlN-a3&c{A@vm5FDk z{gLtn8LE*Gf66tzM@V+r)pWPDf#YwX%x_S!=v?l`xQ%$VVJKeZ)cz!3MU_`mr7?tt zQoSi(BeKZCruYscAA;EwxdiZc8OUxU|&KiHvARQ%SJQK zFG+Q?6uA?e!4oX2uPddX2z^n^=79_sn?9usf|`oE&L+miy-ycb1(TCxJ!zt>5)SoR zT`S|qaCwpcnl(PbtYTkZt|nsj60EufjE61NZse7qJ)<@~$&rKKpj)`8=p0VgRskT0 ztkG&u^5BI5zJ`))(W9Zu1}0(F7fwW&ArbuSn=mYCG)(n);_u;Hn63R7|b zZdk#AW?I3C4&)9nhW*kVP-QN|^cAPo>cJkoGs9cL2EDh9_Utnj1Rm7(1yV$}ZIMP+ z(6d~m%ORndn*kOCh88qQtO9BV_ zO+FF(Hqf&gm=C9q9F{7|QL2ixec=$gM_f0zo7%^I?TgckK-(I#zo>j+5q10#^fJx$ zDk%ll_5C>UZcq3KY#bs8!W7nS0Osc!+$0R(VR)_Wr2NeCGL?2Ze)Kssi8A8<$$is_GU5N;n14RQ zjL-0U5&b_y8nXYDb@u+Ya2Z({{#oVzg#KTzcO3Np_55*?l=Uk67oSV8S3DPFf0O}& zU^?b6TkWV?%;I4#HGpxr5kDnh>m|+0pzo?bJcH#mH7aRphI*^TCDW~g_Qq|(MryUW zCvnZ4JT#?G+#asX-ecEM<_?qL2ku_R+M6?ZXiC*OTq;N7GSV|@v^OYwj(cjkx2^D0ttoqc3X9(4v;p4=FWn)(n{{>wc_S^w`U)p=|Qa#8h-v znP04i>aagW@La8#Orfw;dcGl&l|O;AzJ2HBBvVkg{~5&rm2YFUn%;KC+m`ylRdpg` z@otNN<}l^V{@siwk)CTd!r$Qz=Gpm}HTn%&*dPG14SEd-`%9gG_$rEmyju=D1Uh8z zlNL`}i_VBpKteXmSP2q8m$4rL#CRSe;*uRN?wfsosfzbarpuo32E-+XAKEAbr}@0J z2RCN)Mzq-3>rFz<4(IdT{_!dkX}ELUNvP2+<;P2q^Q$ToKY?u(T^^didCfB!FZNDV zC;_7TXFE^U$t9cifsbD$x-?!o7b{5)NChc_tUw|NL#E8+C~C1N^v zx>Kk<>Ubwip~D8reo%w)Ey*8unmMIFn`aY*q_?aPG6&02ZV0dAX?suDjML%z4Gi9x zOje#hdC6j!Nl7*9_+ipmQD3i7wFVM=i8^1R$##}eS>4u#!+oppTze(}r7aR@qPY&# z4+o`#6`qtICu8cZmp0#+-++MTSjZfTSshARu+$&J20WCYpOOTWLsh&yb^$sy2s>hf zMP9-0n^55bB6(!B+3-*Hc{qh=6MEJ~@t=Yu>tmD+4%7S%-!bi)T})#~rMBl{N_z6> zA<}}RBXLDQIY9a&&&p0_YRKv0ET7!YWMH& zY=1R}LMQr3!2HL}?(a19|LMEkUrDL_t^MFX?smUJ|F7?MjEo%r)kRd7ie42(?1ZQP z@D*bWQGdHQ=%wFUvaJ?~U_XU?_+8(Morsn>fm-r10XOMAFKJu7myuFJZx zvOc&}Q#N*nZ(^g)yTVJC6KolWd0#oZIDQB+j($2!UQK&>eY7OFZB4oMP#IU$Mj_lp z*&2013d-In8t#fT8H)h?ezcP5;r{SGO@iubq+Squ7k-8q+CL7z1 zKr{#%YnkFZ%sS~hb?O>DG4coVr2V#cXAM0X%IV5Qh0b!-g#1Ald@A#v53PBg3jL|U=Tn$ zwUkzS`EyI#H$)1?5*&Ise0gvs(HMLwDP(0~;&Qr=pzale?Icuv=-S&qThYJYhwI!+ z?*$O3qDUWHns+x?)%2CY=6`!Hn1qVj0+Vg%O|u!jCDB#Bz4Dn>xr73fUFfX<BT1=y0PO@Yhh;gR>+yw!`_4CpAENqtUWys}0=Bu}ooje$* zQrq~nz$vV$xxkEpq6p%b6zb3#A{5&qVgz3Ss|;~$calCn9IGp!$~IG-&l+Yg;<;h* zx;+g&Yq*qAFMOe>9Um;vS6q9fw#y2>LIn8tOYdM$UspZ*^1+-Zh{rwN9q0F(icKM`Dn7 zLw5&pQgWx}jd#0=^%C$iED1c&s?$9UV0TpaeJR>kjh{o@+&7G}D}^*M2=<-?q~7#; zMarqo??%>jcF*q5T0bPLyw}H^+8kplguGB)8$p$$U{`+%vwMd75V_sg|B>}r=)(wz zy(Q@!X809V{Y$~%#o4sUq-_)zN78(bb;U5AhcjeM+cgZ4BDtygyFbW@)FDJ-+jMttL$Q=4M}4WN@7HqA^B~q25qh^-PB5a8I}`dv7}L#UNnVO z1PbPw4m$d?H^R@ObHJXHa&npYvk>4Ra+&0jtFgk(8*ruc4}~Gp_R+G)Md1J;`vu87HkS?6#JuI?Ll?Q4aDsCP0~Aj8RHF(r)@=Ux9vX z`5oD{YhKn>k6QL%C#FDR<)SC62l^Cs%fnf+uW(J5c&$9=5HuN%Tzr%GW zuMcA)O~ge6RHBsmIY=WBSG|GA&&pzkST;*M&QwSxtPQe3Zh%QC&PeKL84npTqG==? z5SL*jJ&rgw1m(3_|7CMVB3Du$Ux6JAXC!igJn=y52clQ)PYPlQonN1IbNo`MG%Mp> zM=1W}$Gl)xcKbfRfYSP~DmTml@~n)ruzK|=(sOr-%wNU7^$&w9 znEv?YjRfSIi~ZuH=6=J1&BR6{&$f7=09zdqUu#?gwa$&)VnCL0c5?qyjv@Fgjz>61 zoBQQPo)DhBTIXEL*H%iH5*bs=(FtlRXMM2&=6gkzKzMTPqGM(HK=2{w2zcL;Vf}lv zRADWj@kFfAT41yW(|7w<@n&E*YMi+k(gch428*!dn|Z90?~m8O=)5J|HN84R7~4xr zGb;6|6yW1Mkk4)a6unX$r2%0hTM{T69HXXAv}o6Pq@#ywi!@-toe6h4apY;2al?k}KV> za2MWywko5S;9jL&3N717T>Vuh_iSA)E?$PUZ)nQLTS9{D9z4wM;~g8e1nS(!^1Rd% zh@#^^ebILj!yR-o-kjG{w(h2($-6iZus1XBRI^@i@WQyg2G98<{rglU2xQ*GXEStF zU_%2s;OYp^@c8SF@oY2}9WOdL;?&#{HiO984oH$ueS?g>ksAeZH)$8Ge2$EIjjIUM zOF_LP0}l`g2|8^RuJKX=g77XQ==nq=G3T3sw=IrlAg%euBB-1TdA3;!`b@NkDbNn* z?Jp%NJV?sAyHk^WWZEHvpxVd z*M;N%y~_M=z||k{{2yrgCzekC-+1&-@Z97#fo@O4NYD76Ji3J8=bqcl!Ieh*Kj3ad zJu8Rb;Xc#Jf8(SSt(C0IenZ56(Ca_@?SDqE|GevurqjS@|MRLA{-06*ZGZdEiSz%8 z$p7n*{yuym3E|(@|IM#6(*1*Cr~7?xrGFyjpD!x7+JCa- z5?036_#A)Bga3~5PiQ^IAA^8S<@d*6{13C>KL`5fZ~iV#W$X-%>^|p;{O=c{z^9Wp zGBNw)wOq*sK6ma$pHC<_+SphaS^l;x{)GYibDh6O`p5YHb0B~0jDM!^|8*Mv=M+9W zGt)mHd`5bfe`4_2*ckqk!DnZp|0f2Yfq~)AMgN1rXa2VgK0DK&_xz2)r~jNJmQPFN z(^UD4`(OEc#y|7>&tC$L-=@vKeCGdeJ31VHj-}Fx*;zZN4|j z;FWbVL1`nY&AdkUl5eg0(K#9IHrmhV%*xZ106iGb<2poY0@0)J?Be>yuMYP8?e=Cz z6YlaHtAWr zL_RyRAIyOd9(L`|2Z4&PygZX&|L)H&rrC8e#u>?YoZm^e(8h^OO0J4wyw$N4P7R`> z-LpyLm1rphyfr)zx0WG}3*}OV!O0V)^n`H_b0w&Fom8A*;(v0q?76*!F2*r1Q$`g> z&Jmo$8LyW<7;7u*(|14EAPCAot#g8n6vAfxQF%ewJ${^4xf zhd+1Z#dbt4un&1c(W0703==rastDecx0r0aK=%wnBD{TGhPa;ske(?0z5?p$`(Y~F zKbU}2TcC$B3_==SdIB=TosXIWFPV~!tfWj4MBoybtjYc>*S zXREMtO+~gau@$Tf*!%9hA0$?z{_}d_c2&c`%$M6V9&2cK#qdfgO9;IOc$UK4o!wj~ zk03HOG)?cOP)}#CgpHfoUI#LWynw$PUt1H%*P2C0bJo>_O)!)R76^$qZ#zM1OzS+_ zJW2P7gTSpM`&Ro(s~hD@FD?1+1ISXW;qggvetZs{ILYvG}$PsumT6#jwpzGX8s|Jq-!m|#D~oKqm3_S8$o0U zR0(=;J%Pk@=X&ZyaPtA{&H={h1rBIX4V9ZAij+{-&ggL^Y^JZl0~0|(G%aRki&QWD zU@K5?=U3j1VXZ`Op%@U)64i%@e+n17`Lo36LUHoi>5_MHJdGWEg{9jRsMtdDaLY3JMhpwB%P0sc_rY+u3nz z_)1)u91`(s(hwX;a_jXQR}Zg%=>s1IFbG31`THT&P+HrM8X7p>x0q?!BMoT#r{m*+ z^!pe8Xbh@jr|xKKaZ%ej;9B;UGorJkCgg9=ETv-GzU zqti!g`3ia141`wAFdVOUk{3J0Zx^1&#=M2uq#rtzrQ&0gibEjn6L8@chv`gx2@P#u zZ_>rzZ5@#Zg%cbn1xbQH-Li=quO^zAW|ZLLwR9K0f(XRMn?y?7G7;>eBe)-c4}q9K zF^yhq0>5mNd+GwGI3_CqWQiCzzhAr;uO?E+7&ak$GpNE)&0@^FC}K+0nP&4=cfF}- zT@gJ@n^*@BSPnoFej_qOBkbXHPc-#a5D^QeYK*HJld(E1+BNtaz+nC9lP9qdONGC4 zBlkjQfB5=h8xZ>2QEsP-y1Mhjcv82>L$eQyz%Qa5jW23Ix-L+sy;|$r?%$;om9O3^ z36e=nG8pGtASF&rPwSG+RI_jz$yjT&GrBJy-ZqKw$QN4RUJDLn>RmJ#WDr%A z`-^KZC!GVwAhWCnCYY^jq7`pk8&-4RcQ5MNnlKr>tU#t@jQes1e)BGVZe}4<$ZjeW zC$fBR8kEgQ%K#ClnJ_hh&|+x_(h5#k$tye30x0*}UGu|0P!@@ERRv|@`%>=r=(wFh z4$o12RISB<>mLLDJ^|RF*Cl4{h=Sx+RwS+s|BD!x8N%SfmFfE=-$~OYNMPu;S%u?3 z!F2j*fW7?$hf4Szl=i$n>9rXuCf>53jfeKCUN&QgTF3BK4ii_&Ca{!{FyXOW0sEeF z9!k*}F|9U)zTb^ulJM8d<}W*?tBDXym`3@SoNVmc)d^b_6g5zZDG^bzM?R)}cwVkKuqf~$qxGZjFsHaqeF z0&~~67$(BOnoO%`t6Y++FFSWq`2hm$uhIo{H!nDGU92Hc!=m9A|Df$VotOd#EB@!t|EZiD$KO(cf02Uwm!iObLjQfj{wE5Kp5wn( z|4@^%-)%Cw%3UP_uO3I_0I&-Nj@sxIIPwX4VH4SZ4jirbbU%u{=aR$y7 zUM5UjlUG2MsGc$Pc)JHqKfZ6QxCnP0tE?q{${Pix__r|Ejurw zQE|E)(ebej?C$OE%Fuy8ZPN6ZjOIu3Stst3be&*qS9f}WVC3^cP2yorMuT+E9^$@_ z=F`^p2=!X+=cL>XjcB~?7ZxecW&M^%nF)b0&;AY&UG8eOvc%alq`DL`u^Sq>z@o{> z)-K>n`X0aj{&urNlyWGYAVw+~dqvH~d@Xgy@~p|5=-6hspWFM@D>C{nV3{&@4ST}4 zMx60!V*X={=B>R$z!HY-*EJYCa(YVA0k_1SPRH6)D8_kBgffykxYqT{=AU zG%vx-kDKixJXOZGmSQ|r#f5l2-XR_dyR_GZq{CT_H*8>qF-vNnU>MWy$S}vn z=E>06^-*DmrBQ(ZxWM19J)vO0Ix7W=X}1qS#Tp_nw2&cSs{$MZy##$3wyZAoAvsUI zbIgCFhzxjiZsatw2X8G;<)ZkFYXc7;g2AHiqb={gLlM|QG|+V$@LU#_C4> zBve;~2KQx_P|UNnwCJ_3TlKk5W8o*A+h8t&@io698}|P-ni{Q9wrU;~!i=4B%g86k znCdX4qJ`)Cp^46-xpVGs3_F!U;OHon5yHw2O9SPaAZ?Bz zEm2W52hI`~gn$bTq@?8v%6j@-Ly~OdcwE1?+CJ#$M&AGFj1>z!I(xQic1tFKoGJ?^ z39i5)Yc?OiFE!?wGc^WFoNr_IS!7*bJ{z4(bY@f=>eg1x;IW2Axh$C-Yj7kpG=79+ zisxI@>82BkCD0|7wb4cqFn8BZ-$LXZZ)vL|T0R^xUw>9g2+9y5{&3wn(Sxj&HXZVI z>O)tlBwqFTJM6D=(-||xZh-D}k7H%QL$_(L>XE4dQWPs%r6;WG_5*A1SBXUB&KAAcAKwPjIqtvD*d>{PcgOCiDV{H{Z!@5ZvvM zJm@L%?!?s4uF;b^mjx#0N>;ns&c_v^Jf>uhqOkf_vh;3yqImRz86k|~b)J?MaZ+ar zx#Lwl>Rp!XODw&V2x8AB!y-5>?QS3Ge`RC6b0!$k<%!U0eF%m1Lv399#W0OEND)8N z;T8#6GNoM*E&w5V+CGRBcp^lPp~#^g#Da|wrG)5tT6l_HN_M6fPPjd6iW24QTtgom9L_z|H*is{o2@EP@fGNsBPfM)i(^)q z%05@tlE8`)f*XWKZ~xXYpok^t3C>5b54)OA5!>^f+*h)oBHW}hVg@HtX3cx|=BX|C z%4g%kXl)YnAn=wlVJMz4+b>2{Z_t*q*cZgwk!cxu-PCPR@pJypn8ij5QOpu(s}9K`-XWBy#F0m)1;>U!Zh0Tu=+4~ zgNXT!T3O-_r7WkHxRCKkh4niW_bcx`TKYXp5E|pN##xjiEpI0MT{m2s=;aoRX*mUL zA^=fm4`&-xn^0gDv@Yc0DK_#3LCB;fe}b}RkPzM=>(4#?D4zY!bYBvd^f05Hc+kT8 z+{~eb;cU^TGg!xxGJs0>)mdUmG8z*1?b!0m#T37&6V4234BDA9Su8bx1{KQ1gxRuI z2qc2-6a?f+o?I%x`D`IQ-wjYagTlc8sB=+M$}v_Q6m|(|iP68_dtG9}o?&C=@A@W7Dk=A&<>z8*G zsyS56gW7z@VHoWGrOT&CN=G=dy#uBp<_S%5b(($Mb=Fsgs4crnnCpsn9ad=Rtg(Yt zkq(J2Mc0QJNJT46YI)Dzh;h%4Gt@qN`BAYU*P`#e>s)P2MrRb~uU~ zi54AwUQWPWnfY3#vvX6l4mc~Mtx;l~8pAWUbqR=%KkE!!z8~Up<>SwgzX3@{SMa1*yL*dCla}6QEUYi+f9i3JV?IV$2H_7tE~B?HZ?F z$^$&@nM9G0bN)8p|12dZ6Gz*rlrD{R2S9Z z#nW-xYS&nPaJGBrRrhA;=Dnmmmo+M~o}krXw$ZM)+2ua=*`>52wNs^B_c6MOEllFc zpN=BGk-E=h@?x=xEso}Dlh4YU_vXU_X{^jJ_ZMv{yEbW%v&87BVJ@?EwI^U!IZyp( zmp9*2;r;Z9IEhI%SZ>D6_5nc48?3gE#q$Yx{4g{zoshglWHl$+NwIgT4_O|iuT6&Y z?V^ERUuhSPO|VJ=+fpVFNtm8=Qo2T|-rThXPSb4$3j9o<+v#a&0;GfI>JwV%>7;Gs zA(M43>@i;;xrN0`>J5-wC=y0esM4#$_LZSamMU92e(hr|fOwDEt9}}B&V*B`N-fhE z{&@?`SJ!E)X&)OK)p%YWV@r2rcgJ{}@3%PdbKc1le%Ga`udf6cPc?d@P-a>8Mrq-K z;5vg3>$%=9c&-f+q(RU_TYy%tQu0?usfJt2TSY&~U0bBG%lzoH_Bu@jNxxZ($5xG6PyX!*fWO+RgrrWF;wkgR%lW6&akKuj2xTu(`)rQsl}d<&vFJnOTDg#cG)Hp(xX9u&-9p|3We))gU^TZ63AE0Bq> ztRX9$9SaKp5~S3sP%!9azk>V4y;S{we&=3+>hV^YqDQm|U?`HEQNFI_@!=}>eD1yu zg_`Uv%P~L|1PGpN&!WUWem(L8raEjtqZgUSG8H9*-Nkc@y})<=Z0zd)4hwnkOyQG( z>@4SQxVR#uk>lphe6$m#;!Qkyb6!e%{5=9RA&jqk>>c5Ze+Jx3O?P@Ae^@Mf*;LLD zm?GC4MWu8fN|lXTT-`^DiQS|dyt@${y#r!LY;iGg`dAm7K5ZW!ob{6fBn5w^MDUnS z4{Ab3hutY_+97a3j6tTizlR7?Om)sRRu&1w3Y0Wpl56(0u|4ZR&C@K6+Tubx^Ze|N zq%n~f9=#iNC13O^(9r+$Q;|+U#3whi}C8iGFT`*rkGZFr&H7u)_ z-K8Ma=0K*HB}|)>iO>}*wMUVn0wI=-Vwk8&5pm$}CW49{|TL1W-RZ7G_~j?nXKLPPGU(TZ<@ltH3x zw~E$4^nM)Gf}T{;eitb?IaKs}vHj&@GkMN1LVDR8DW!`do~9U~Cf$%Xkoim6mdI$I8=j0AS9e#3cu!ThF0qHw^TKp{ z)Rd6)fd?r0&3bTDT(dY|qRp zva8#q}jlBk=1C3cn`{T`Yjr!G!M;*V+s2xroLohxTNn3^FiVq3#W(_We-s4hAy z<$MBTt@t(CY)mVc=6K~PPZRJ9j`puD^dPtm7F$r8mq{@pSD4!KLS7UGkGbpsz|HDT z#_e@*$Y=AIavpo6)(zK}p-5gy8UGnaT9J*m!ERuSSiBtI41|~-8{gW%Z~3esBd*^V zw(?<=%|>|IF{^hr`?3MqKyLftotU{WfJ1B0&A*T|86*FS3|!5^q;2_%Kc8S3jy4{D zbDs0HS5NVK`Lw*d8zoO5QGOe;?QV+h;zn!(O^k;Qs%d7-?l{#$HOGp_$n)xT5QGAM zc9O5p^2~iUpb2*MhQ;(|;$uZnCBB2403WZ^#*BIx#)Qn^=NUA(yO6X4^@R#0T4@~_ z*N{3DtdeNnG3~^D#w*=$F7`Xm;Gs6PWEZ8=Z$IaTY8$_xDJov0aGevQGGn_aPO1Py zX}A}bI`CZ*P`;C2oc3nt1N%NkN8>8UcWJe2>)(@uvqrIB{UXi7UJ`YAu*Y7?FBuM# z8q<-BxnU`PGazU6-$3sovNf#|`I=}_6!5Hs-AE9qE~C`Y@QUOH?RVVC=_p^(tTBrg zm&bu(CuG@Pa-2+1`izp^oiIF*nmbb_Yuk=obXj;)BNo6;CfiRYy=e-xW3i zGoKwABWRryVY*?rV7Q;xFhSQtAdPDtq&VGgk0HD{tz76l&_wSJZ0-;WoeG&L?~))GkQ82-Uev-3`(jbHbAs5C^S?#wgyTO97=M6|fA0bM_g>&Xr{n(` zFYy18Q2b2u|Mn99s+8@&l>7Z#?Eej^$ntNbqWvm6;%~>#SImym?|RRGEU{ENZh7Ko zzLV2#1qZu*Hu30zXfCo`?e4Gp)pY=J!6fksTPhSaAYZWO`}^R7iPiH;?Swk5yXX6f z)%D7B9>v?Eivy>QU%Lknt_tg~${UMcTgnzE(%5EXE;mK^*0pukU#W8mTeUQGLPPra zo(3?IIY+Ooan~y=t6UtS@w~e_Tf;wEBpftJkT9&{Lqo@e2ik}uPYnqT*S4N`UL#fx zos##Qb|?{Cu|M@9ZWY#3{PH&JH`92&+*O^*D&B6nGuyox+x#u+vFD+d@UH%>vZ2yt z9^v1Dv6EQL?$vyNgh{L{PxuOk7yAC=CXx3WRdJ+VA-i%l+17Y%y)HLwvc#l);UqP^ zCVf2KrG3f^EMdTB;x?4@s^AM{xU^mhs$3<>F5!yuqDt4t7Z%#g8|*!Sl>MN6*L;yl zLsZ`~lCPStHlFuYr)5WdMb2^#w^)OBMb3DeVy_2_wJp&*pMvqw9ivFo|9+MDFP_^LNK0&&E=Nd`)K?oFYRhU0wmmGxl>*f?LBJ+Xp7@;=VRZX`Z z=9d_Ns|@8rgh~e_yfW zXh8^vF!mNuu{Gmr`mH+M7~=8f8f=defbQZLO=(b5X3j%HYMOlz+&)9Pc`W5_gqC_Y zwQD)4rA%Cd! z&@$V0livvD<-3kXFiD07TOZ6PMfqe>XN^34L7E%Qa%=^;66A`B?Ie}=h1UCKo>#1` z%$|kHv&}GuIayvow=GQWch*^GBULedgAiL=F6YEC@Jp(QD8!5{G@x#LIEghvx86#Y z(6i$w`H6fUKrOjRg7u3zd}S%Rnm!?Z(gz?}lP>_4vW=acZRTK=4N$*xqq`9%h^puM?gQisH7TLn%R z3;>|npdyCg;OMH47N(~b7T-q{lEOC@ocoeS>FN_Gh{x=TU{ond0*(EHX++QaIe;a6 zE#kN$+JI3;(u>9Yuul{CsppmR+F-vA?^5uIg05z^JO*c&8CkO!1muBLVmhrgEuykG}-WTZ6yk$>HuFCl%sHb8`i(+e+ip z)z7!`?2~J$M|6c*Mny5493`pxlXVgVdI?7mnVT%1CRV&CfE+H$Z<)KEECPUI ziQ)0QLddbaQAr_(1ucQ#J}Ssjyx%5MA+~XL$V9M@x?JyU#=)i5jo6Jg&{hjs+^9mU zd}wq}7E(@RjKoOijg`=Et2jQCO@kQ+Y?G(Zwnd$Qh+%KVamUZ!{1SDKK#L}iLs9iB zQ{z1{6ZmqxQ8I$Cfp00i8N0fq0~BScY#(Zf8YbHeX3Lm=vGvG8xJve`hiOWpQJGU)tkXbQ zqrba3BDzO(jQHB0?^?gKw(T^$kAgKTPJ}oSr93MHET$3^&~1PBbxN!_e>>aU4l=vBmxIF9mYpRRTx-~Lp1JN4s>PB{fV1HQdg?KrMS!eIgNZ~bJSYMJ zLJ~KkH+Tc}6IiDp48{8=>&|y+8s6z8=MSK%v+~5O_ znYs)p^-swWrKs;-f**i4j>i-uQ2VlUFVe_kCHxlqa~)w?*D^HH?O75BD?`2l!NvsQ z*$>qwVA7+_-)k9&28M5$T9|Hvn=Uw!3~qM7bob!Plq#nOQul+TK8=tl#(>lo$!NibZ?FntlJMY*SUr7A-b49VA=f{8#XV$dqZvo6A5kSO1X zD=Sv@K&)bxoFL3~R9IJg2bvYoL66;IlQmWG6Rvo@ZtFaBwRTaRi|BfqvCg%mA&)bd zLYQ4tBBQfj5wawfnGRx=nhei%wLudMeU&|mrwT^S%Dd}u2TVHtfynbdN2s*?rb55iZX^m{>ninT&yi2axB*EIe^sa{J;|-WJ-O_P-uSp8aPxL_W%wY^@|X_?b$GoQ@*%M66|t|G27YWO-cFcOY!f%8DHDQidKIYh}yUy zN0k8UYI$yhIX-j*Y+zX1djW!jz+V2HDj!6dTL&RcW467XHWu!!qb~(3&>^_rZfW1h@DOv zm9a2`CK`tse3$n{ghQleSJjZ4D=?cgR@Yp?%7c0L&T2mLUC6d#Csm(&U@0Nnh+Q)M6w^^<3{uHX0JG>>34WVeVu}VP-(C|5QToF=$3Z` z;cGHde1|WuUf=$9xL90DVyL37&HMpTll85pDxw#L$&jx&+Je!vyU8A_01@UAGWQpC znU#=(CHdVDixBzlH(5Ako^6LeYZ2_-QaQ@ftqNk2mISJPa`A2#Gds#NiM7hl=)m(u9RpA8}0 zkTNIFN*GM#XOdInrCq$f{6G$KGwJ0NfBgs%WI`m+bd!M+WK#YtQ3Sy`p-Ty8E`}R9 zpi&=ibZ92_Ex14_KN@gAEoO(<=?g1SGHx79Yb`e$R8O9QYY=Qv9=%M<=lBk&v2bAr zg|H-3?ad}@whc8U3u;z5`a;BrZUXc7OVHyJ1ma8vbAE=czB&+=wTt^(E9?)7O5;MkH4U zC&2lCsC%dA%-%g)IJRxut|S#!Y}>YNvw}CaZQFKIv2EK)<*U8B_c`5t&hF6{|2@Wc zE}mzscU?Sdtab6uALeh)kGH_8OZbim3!5{2GS^1x#%lYm;XIkOqhBkYGO=wzAwgZ}JbO*Y$+%t75j+z=tF3^-$+Jv@vzk?D)vY$~%jJJDWaz{|OM4wMk}6 z9+4&W|FT0?O2~5sI%mB=65b|WnI_3gC}AZnv`dSTgIHd;lMh;u^bT=o(oF!_xm}v- zraFa&T&&kt)Cw$LGAuN|j zhO&Y08J(Dp7#VQc?!Eq+BCg>g_DQO-(pzlXp2x)+ua?j{uo?FIEa6FtcKNLrx6`}d zw3ZA7X(zTdQoTcDIV9^wdIXlUmcae(q!BPJdwK0_=)QJ7`8?CiZ7&8z?cBehR152-~Z;4rioL_CZjZB+Wqj$&JWc6Exvv!Aij7 zja;t>l51S#b|n|-Rd)`F2-^6t|FIIQj9n5u5RF~DDe8o~E&+J^z03#sMrA(t`J3~k z&uuWsewwSjX_M2s18I4hqQ)JH!a7VMb6MEf+2$!QO#TG}Yv`C6MLn-l#6qnJaNduG zAuY`U9Z6fr4AJfY)B{|Sgh~d;CpZUwcr?1iC+|rgP>OWwW3$nfT=6++Pb%4KkH%h| zEuNRXiBjI1@C%0NMesUDVFxSA1>fEzaN}i^c96~3WNf^&y{F9 z8=+h9$8rKLF-ww>*1|j-aY;!W`-DA;T*j7@Y*s2IF7*t{5K2#CM%6adfkr{J{a4HY zv~|JX!}sk&92kDtEi~4QfV%0ZUutt<1;!cW5*M~8IruMd$$jtYO22?gNXwHV5ynMV zm9DcoXb{VgY%^p*f<;^F$`4d;lGmcsLKf@@qaPV{4r{+SqkM+*iBy@s8Alo45%QVRm)c( zwb8y2A1uu*!mp;@tCVwC;}ou$Ph7mJ=OVi&i<*5I6O1-NXjbs7AGfkW6pzPI13zMl z9}GfXjt`do-jr_$x^=wgV+_kH=_VESvj zFfVcYl$s zb};Rlz*&{q)x=ib1U{bp#?EiUu$)PTlP3Wee!z`)Q8ZsYi?4yi5{JR*=|$FpcyXj$OBH1r#Yf_w<>a>% zszD9b+RDLi+g`&d`rnPGtt(WC(7b%!rysu`Pu6;OR!mI2RZ_$f&=W2{zFpLFQkG04 zoL`mmjLjR}9T@Xz@nH@oBusfzK!y3)|0#E-uh_dD99()2 zkBM-4f6K|5%V|z47HI}Jr_jUcm_;sW$WB-jlU4P9!nTrqBeXr6Y+~%53NARH)8h2h z=^JZqY1s|QxM1gcHQ@7tC~rI)jo?YgSJvUC0jp!A!|bTF=k{0H{9eph~4QRm}Y z3o|xG60qr8SrW29${9x~))~J;hMWVga#kG#Gsl+HbD4~ykZVi$`rSthcY_VdfCw85 z2ze(HN+Lc?ctz&8+dla{FM0(|<6@(?crIo2uK7vEQ7bSh9{u)UbnmL2%xF>GWGgyV znHQ~NoTz2oxMcz7yz5>njTI|^;3+@{bluYa7du*6J+=Oi`Jc}v*ipnFS3RO9l=3lB zWvMMjK6@1TiC5AKTVbXtAZe18S>K1}i5!w)fK!b|4}n*M1;E^{dmnqku!6yK)RrGj z;-CfHjA3;OeGch(7;JZz;kndB*GVNEPRSB{5n;)<2EUh-Wwx=2CoXo)hXUlip(aOU zNa2MEyzD|;pd#jR;2azYzbkrFwHbKe7}L}xEk+2(yMNhq@dna;BdZiw-EpPLKkhq? z?a#9sJ}}bbCQ2bT;Mx>yzvG~oO0n5X=kWOQFOipwyuUHM$BrMNdPQGAEqZbgqIpeH zm8O`2VoCZq1;CKk%HJUv3qh7*=AD8gsu>`+Sy%wmip9;}8$ucc^NSG1L(bF$hzIq9 zh8?FZIqT>Oh8v#c4H3~H3u%X*RjDdSH{wvKOZ1I?@2_MbJp~QEhdJyF^d-CC1C~7O zL_sR~^Mdz2I7LExnb&jXP_GszF@pOAt_ZL*%y%S_;VeoA9;K6{;&HV!@|=QMYcmbp zF5$@t?GiX-eC#c^go3}(L!?h?Ap#r2;;E86JDSWqaL6Q!wyubc9ng<*^Yyg0pQuwU z-l2Dq)@1K%tyDi--|N1Bd1>jU87F98dPB#l51i*DFO+e}YhcbjCAw5VtUbr3*HN%EF%x1DwyUX^sVg6 z5Ky)b^W%)k$(wz0_^1BJMV=0`DPzAPaQ4qq^+tIb2Any#p<%A<0uf1vi9~!O3J0E% zWyZO%#|g4G=FtUuNyH&gSv`~yr^W*pJi^l$rw=NcKLQ^Jv}tNeVM9c>nkJX{-w&L* zqa=F2adsJDD81RucWPVw6D<3y6=(iMSoT+n%CP+>@*6YL|4dbenU&?g@bxz}Z2o9d zBmMrHHZ_#IfV5$H4N622_*x?_N1;|&`$||VVWzMITw#nE?0Tf(mycLiVZ7q~i8b(E zpTG6kUO|VK`wV{B?Su+*PiEFXoEMIlU%|QXrIrMlpxj#~6>c@~AI&*$U88CLcsmY1|>iN?Z@kJYJfE{@|JRb{|G> zs6m+#&m}@ardBZr+@IE+(fiD+=k+y{5Z3Vz9F{;r+0rO1vp;xd`3KJ`yg6TNa_G)p zjp=v#*cw7Lq#A3bO~Fc&52I7w2l{FTfIaS?QUSN$`AfD5zFyLAZpW-0MB=@f-)^#9 zkN${LvsU+Tr8JqyZfWqwn;47sw=FI2>s@5}z;E(W4pC5EJN|H>5LvEDllz{dHe;ds zx#L_IfBge7{v=3oBKT~C(Z7^wFcdki&e{xWwl27_liSL?bzdmg8!HoWB=Jmy5hzu3 zE^aL`Eh~@oXJNtRB8s~D6sEi*%*;>`zjOlcSveaQ*^QsXuidRg2#NZY`6PSY>vm>% zpmz0mfwRSF02zm*O9uIsr)>z5f{rx^IXh|xDwTG~xj@qf&G9vTqap3ydM!1Ze&F+i zsEX&jb`EMOlGu$BC2T9rSp_8IFP=rxZm>(?CLNRbA`Qk5Vqs-9s~^z`lf3jlgfN8| z_EGF|4@~h>cvqVZU!nvCJ~M%CSsi!SCsNXKwV@j!sESfix`@J%6h3XtrG}Jf?+}aN z(LY0*lP6rps7u>uzs)cp_#9p0zrog8atm2T3s?n7=J~01$%kq3DJSFJ2{=EH)?wBo zqI<*%W-t;OZu>E#l2tD~N}I2{^>FdSemg2%l1yQteN%uE9FDAVon{>Rjc7q@wAj3V z|2f@7-)pdCUw7D>aSq7^hF#H&vt;=Zaf@*Wo3c>;^ZMn{4GBd>s;qHa`YeQ|F*`a* zs>*+PGom|k&B(vF;aCj{MP-;mdE@KBy04A89p62UBeFMM_bSlZZkh$Z zEjPSjK6h!W>5?-u=7PAnis{ZkUz(m2(Ntvr(2Q~S{Dq7^l5yn=wK)tyg_ei@twL+B z!>QXccQYio>@Eo8A-T(^eQvp2U%zpD+DsAPd58JyWFZ_5ur zomYmW`0zf_tTyJ47t+EOtNxgKjMhu zixkN3VE-9A+fqx}-R;#*Dr~mPuFO4dMmrXCrRDpKpBq8j2c0-19~10yH!`~N zUz$~`i-N5pCWC4|$IapC5lP5p_)_~s4l1Q>(P4usc}LCgr>pnY(QL{$Is5`IaiKr>sXy034^qlAYrG@P&AI8Xc9q3?lNl_0QVTRLKmX@o)4{4!?TuW_N6u~ zo?}+=aGR)@%>_(~$L5jF2|=YwXd7G>b@KUeG*-Ix;n5Wlf50#MVeE)y9rcA<0^^nO z6>Z1JqMIh*JHiq2g_VDTK7Tdw_+NxRes&lRG23aH3PZ!ds=&Q$)9ii=@VE zfA%4Z2s%wCMl2BQ22u_fv5*2;*NCM9I~JsVFz0!Hmg}?8G~LviR4wNXm`)_7LpxH3rgK?tXN;d*wJ-Fv0!OArec0P{2t=Ihn7Zllyvlj8M8{%kw~a1 z&_6OuOU!b{s1uys8qIDc9OdX4RO6%|#PlSd<7mNG+(F`U#HqAVs_)|q&uT9akb!{e zZ*U;|8A3!Dy&*+em~$6)6#kUB6hho1>>g>%LV9b<CXq9Iy0ZF+o9)gTmP^2pI zQd%pJtL#yU>AqvILnJh_^{z4bVc{-;sIh|7wqhY&*ZKZB!Pc0EA{I_?2RPCM`U@%2 z7ev>@VU_yxHlX&9&##XzU0VkDT)(&t@EqsWO9U>7N&-bG>QJgIT~w%u;sThLR(T+^ z7zLC4x7H&fi(Mg75mIW>m^ex~EDBUiOTcA_i1!?W#f;S4^@pwYE2VJxiz;+4s{9_q{q?Y&1J@XW2j4H9kj z*{WDJK=dFWh6_~rt>~bb8c(J`TZg0!*0w@zj7u?0L9LoYe1QDpMJ^{7EEsqfv=yCZ zQ>}EvnqZe+^hE&n_^$djm@@LtboIxe@0tr>1`<=%k4tMNa{%~y46v)Y=>;7O(z_14 z%?J2oBJns)h$ZN$X}}3h?dr2l=xNPX#y2n#f7D`>$sknmNDdZcGowxRTYEMdF$@^wXM&^rk@!Lie=>8W)5$J)5?~aO_aE~2mhA7b_ypAbt5BL809(mnQL*v z3wk6~-kCUuE~q-I6Iw77IM(ZXlB_u6wF#C(8qWa1+=K)+bhQ`Y5T?~vhmyAbyeCg) z^}NSMvn<1>1V|UAg6c>?&VLm8l)O4nm6dshdH&b5Oc>xmYxLB)W z2LKPJqAKhC-2x7>m+b25T6JtR+}pydUf=1_HT#*XvKfMRufv1;^Jdo{^s zGAm-?-CairScO!BU4}@gjPXh)Z&rk+hXh??ukhyT5PfUP;1Apn>A8Rpdnkn$NX{F% z7k;6@DcQIH_nXg`Z1GksJoqdvuQ3LpXp1N8H8|dJDqx*srqR5QB<9343>HQj!;WwE z_UV|IjaN%?&?-K33GN8NtdEW{p`H=b+w8v0+tpqpnA8+(lOGf7PE6-&bFWM<>e~F* zdC5X~r{U+#z4BX9SvR#IA0vN0n@he2+5^$1A_936TJ9H-_$7H05(rZV;I+sFua!p- z6O^`h=3Y71>fos`P%*C6V}Fg3+3^3tLvzte7 zB%Z_hquB$pY0@VbtZh>>1kIkRvCLrd^_0`F&ndQP(MlDbJbqA_=2VpSgE> zUG3iTc-O0=k0hK%@_4d&*K5iYm%K*d!HeDhd>)uB`RVP>#6>+mR-T#?OPEkH<2p7! z`?PcuLKG7f)6xPav4f}yEmuf^y+x0mWoJiz0LIAJ(h_r$0b6V_4H3_<()$Zq$$3ao zvo-+GIQSdYJpQocFmly$hYL0NkA<%~H{))y$|feK{@7N+EaSS?j9`)^{;GmlWU$$4 zJGYp#G~#`%M;kZ!Yh^EZw1$}MeUl{Fx2zgyR0#;RlHZK6zw15zlw@tS-2$vYY+vYH zcqGnclH*W$Yz`iwqpLtyA0Vd{=2xc<|Li~FU+xoX07F7`A^=ZitQ9@&XOcMaf z)DWumCx_KG1=FVg?A;Gr*Xpsgi#vYr2!=yMnt$)8$&NE_{YEVZH8s)KA+*&0cYh_j z!TRQnF?dZsV!aKrp142$N^v$3O2PN1!6wdv#ZKYjPNqAA+?3?b(=ktJNDt7RFZhAS zfBtohK}E#uc2ChCx_w`NmjD!5iWB>jI<)ANhR8TVQL$&n(+?0EoxAl(3Y?gpeGx7WbXd*$ol=(`=2Jn?^SB?vOzMP^ z!3PmOFM2Xr%TAfQag4b z`uZCAVEAe)S*B;E2I^nnM3wWIN!0B${E^Y&HD`tv04(=QR`eWbs}g$m67c686|cgG z5VucS8HdeSUA?zM2=U{hsCsB!f;67?KljT{)XB-}7+bI?+s?`B7~4{nAB<=XJm)^z z2M>oxs92-Nl|e8%8S1Ix%vDcH&QDTRvzIq@j3KvpdkvB?2;C7te+z;g9C*1id({TL z&%p5Y$-x4^;wfRlevOTghI0hudhnYv3mDg#oCq5Y&u*_Y*SstDyYRJE;RB3NLP{EpI$Ek4bS0UZ&gC}ec7aCbl(g-0H>HhMmfHe!TU9aX%Y7vak5b%c84 z4R-*EE2HkWe{$~jYh!)AEW=$�eLHws`1x*$JS^pYtt%tAnS)Cv1~^lFI_?`!fo? z@ZBMc7<#|d^@O!%(T3^y=@CR=Z`rAa!rl|JsX^-?^e<|t>!r@!BH_~-4M{_H96_m4 z)OC-M49w;#A#@*oeM0|!6FnMxE&OlJsyyEENi;mz<};1x=t!J30r%tB!S#To zpHg`BmUy~}C0jK=4cP;JBbpf?R#1VGKDx>vH{0q#sA>|oZ2t_~ztHw_*9r3v6LJzc zm8YPl_|)sz+VV<>yephkq?2o6D+hez244Z*KoU;C4utoTqeMT5w*zjYI<_onYtZou z5&lB~49EoVv{8zKIV!Lr7(%(HCH} z+sv_Sx7JUNAv)fxE3VPm+>T6gf+pxWuBvhC*V(qm=8yiTOSe>5x{Lz?ZU#Vqg^{!F zo(sCRk#ol{=*)BxuV{V3!8E5r(ce5SOI~QVcK*^%3D1>Y4lYGkp?-^A2=z z&g@guBSf?NQ$6ckc=#Zm>06pz#paN($IA>v*o;lJX5+V`0ebx39p3K#NNVBs3Pq$g z-seo6GKonlmaQz8i{QI9dygXvIX^W?QUv1^>IdNPNQ#4k0HaiHWs|psq>gPM^)(gz zG*zy@%lF*&c$tHAfcF#&*>X5SI@(tz=IHSFDW=&N_K!HMF1-9PDTd}wx`O4lLA|9Q zzcS#l7F4{iCf}w^ak;M@2XuVZrFeSxvTGWWPxifc-{&jttUkwxd1BO)U#+j`6A(0~ zA$Ncc`@SBY_hh2NWVYYR06M3mK=QBR}dfh@Onc~F&l5jlv zS=;_?_HoP^KW)pa4EJXK{QU2ROO7mtdTg9$v5H3*zXy-3$FNf##yOjAnruFi89lgP z_X;iZeq#W^f(+ZRJgDD0YQm%p3WhT{(Y75~P*az?DlM$TcFV$hQ4gF95^}qVP@Uw= z(-N@`+AHr)FA*F$-?Z}216qPDqKXSkZp;0Z6t{KPfpHz9MSEPoo9#$mBG|PJXb2}9 ztYk4~?@U|>saj)FWgNQxK%MJf?V%@;RXMj2R!{7m4gZ=jad~+ID^gTWdjg9EB5$~VS)y~31!S<2Hj&)o%P86>FkTD#8Rf;f&C?;QP}sA=HHe@pB_MsN=;%e_ZxUfeie5 zSOYE<8UTtU-Y~G=bavP8REn=t$udG*k{2bLhLxwyMY%a0CZI@NptyAlinrfUwXBfl z0Lz!?tUst4O|kJ$!F2|ml)g9NXrL;EcroB0w*A3ZN)9PXfy`dKdu6;A{E)yCVUdpm z09Rm|qJ&)5Gf|NVsaQq|Mtcz5Yp7RDMb}P7-NCHKVI$_}%DO!Z3f!aepe5E0*QH-6 z!AcPb`63xg)#D`^Nwsa2Czlb$su=KuG@yrV!LBK08YoxhW0`j%d3zinlNrgw5se%% zXTvu-(zUEfwWqp_F!xt$y`l=1jAY477o(-UKNlsh8d3f2fFa7*;I_8*upV+k{=|pE z3`?uY)96Wytnm7BHwAj`HXz-j2R)gjQq0x@qD(!%*(G@_Wqv}gdoY2mx!e&@1MTz# zE&J-ak7jsv*ti3|Sd0&YX>2Y>6kX?YTu^|!g3dOnjZ95k`Aa}G&$}%Up+5Lb)g{-1 zSuapIqI})^%Zy`_<9wI91hxZapdfcbXmYn}3YFw6&xOIzBa*kjyG zWy3*5Z+e4t`HcG0lRs`+KTLa5dqp_bO zEKVXj2Ijbq~m(ih-ltqn<#&7%h$86qL%R!|2v;9-}WU3CSh~Rg>_qdn@^9n zPunKLwrzEVXG4YUnc2+B&yL(Cs)Wa^W7YGA zDX$lmFQ3Wlh7*|Wt^2TQ)~(d88<^;NR5}Z%uk0TaS3Mio?&du&aTW5lu-#BYGVp{Z z?=KuayV*Tv6?(@FYD`J4+@5UsRfSX&zn!NeU2bjPEwrxrYA;QaFdC0Yr+?d!HrW@n zV5`*!Td{qmtRLOofK)m458Z-z`HSh^D~R)Z%Sd4ddmMmMCfOVCOg~@$1|=XVR9>qC z-w-yDJjzE^t(muix%82SLl)M*!nx6H;^){yx>Wd_5(|2WGz0{i!GgAecY+Bk&o zZi+;)G&k!&OA)EeMk0%u%c@i;aWvfA$jgey>b!fwQF2c#s;yDzG~cW8e=!@emtJxI z>?rL^qZZb7uNpcP*0%WDu52})#(ew403K2vY7FCoaIvA%UilMrMS_b9hejBFm7}%K z{QS|FMzE-a7}S$pU}@uY4=BPCCn=YMZ&AV6$VxfT3-dlQRonsEl|hsuzkjVmJ(e>v z2`$=6dml%ouvvjKp^j5I?j4>*#kd93nXG!u7WPD(2h^42nzY0P;oWV}9eUQY ze53ym8kjqxl>esj0A4Uv5sTG0p3P1^#Ii(5GcRK+7v<7>dN{S*2L@%?{M-p!yz|W3 z$JT$cEDkOkzDtf>akx<7@>1qJ2*5^G-8J3#=Q)3N&4J4vQ-X{ zY_y;um*Pe!8ne9xICJ7A2%PwI5LUnfUTVPLJixeYHqN*#E-r?yiLZXWHIY$BAxrKmS;(ZA1dl*yy0DCewTQf!Sxm-g z7$?sn8o?50LO^j|9Rg)Ws|pkjurOEFHc*Zd7AdF2sGQb7V;MUn5T3$D)->@$%v0NY z({(Pcb*=sKf;3~Z16X9+g)+m^5w3F)r4p)u+0s6GSY?e>`7ZNmbYak^mDy%zo4*F@ zdB_2VbrbuXy270b0TEYj{~dMNSm8)P1y>vy$(aRC+9v#rXkH;Fu&`P9&ubQ&L!j_O zH)kgW3@VX<-7q`mxb$L&A~Z_y|0v2B_)IvRJh*j@wn?{sKu;>of8GK%mJ`~Gyy^^! z83f<(fW(hTDa&&E73co@qO%~@n~n7|F@5$yP;UMh9+^)k@9ap#LtMCK_z&} zOo?uJmOXocxOnX2Wto9%6xd$`C)2(+iKC8`u4N53fI+(n&E zA+Mt(6cK|Zzcn#{OVHyNAQSLWiCdng1{5a8VE?(`6d+0Sb`((7l&F%wL4?S(oe=BP zTre3212tVw=3gsJnBW4#E!>eu`4R+8 z_CMq=asHp4w>p38Ap893Aoq%u`CHsd@5dWpa7tV9+p#_4F;V!*v$ zcch@9PDqHqIY8}**Sov(yU*|zj@WV7_^@X+9UM;FW;AC_S66Ux5z75(A@7fEw&VC^ zGn|>OEma&nTHQTuLVJAwLtP@oq8>Z27hu-*d-!`{dh6qy${79i_uRHD2uP>F3kkhh2Z5 zP5a=+>x5LQUMfE<9+bq0iFrvps@Xh**|X& z9`MJ8dt@@eCCW`7Ml{y6Mgo~6SYHn`lhczwpeqP>8;)=ANsQo#Vg(Kah5HDJf1eAX z84PA(h^0~q`7=aS0&PGEpyLkl3e-$^V9DoA)Ingx5gc=u&7? z{T5jm_ic7YKyE9n-1qVB)M%|>ECvmCCPOKYI}8{OFygD;@zgCb`Qrp0|9$82s)9ph z%mp03pu-QcFj0D)#^gD+`Gx%fg*t(d`T<}GDsa_d72F+ynI0DA5=68)73Nttunte6 zdIL!EFe47iBcHsky=htuqNab!wervE_c|Kh-TzsIeFAF+pl-J>kDsrX`qinqbQ+ZN z3%Z32on%$I&u@R<+r=oK5^E(Azv&JKnGAEQCbNR?YP5jJ!#@m9`+oev-e zY{s10GaOgFc^-p(rKyT&8%)p>g{wds-@p-&Bg{~CRl_p?F+aRcA4Es)FEPQgrAR=h ztYE2G3n~?d*GZcOX(jh#u8^~TPXAJ(@W?mW)ch176?8esqz@ul=sb%`J-B{{3UOZs zaVdu`SMZz<7i8Y0%ipsv2)sm~^=Kp&cl`@JF$5LOPQRi-ySlTrkK2f@MEcp@t}Fb{ zCEjT0Gg4RgPyG;PefPx{=6nKSb?zYLxDj=nY1B|eq_wGZ`gYM*^y_HO6P^}e0F-9fc2u3WO{Uy>`TC>2Ajv5*f;XOU%kR7;t6S;dKfTD3KMr!prPJzje_YS}xY-chlK?KgxLtuIv4=T6xL$)UygYN7Vn z-$h{X{7{V5%GUQ$zfQpJysVe2VfDsEMCo?T;EX++}TL8AGqjSDZ7wSdKc@1}h?x7pI^+^>=4W zRDtty{?EVdyl3c`ND-Fkr?omrTSH%z&{PG;37!d%r`30ZaP)=+L)}buLi365!>h3U zz&s*x47!9cLMBagjtJPU;01kj$ZF&h*gFnc7HIB>DsJ*~xxNoK5V1Sonze@)F7i~0 zSbxO&!zl`3ei0mKg&$v?!B_zYgVXA7--6SYrcW!FudKCI?v@kRWFAD13jtJxRd-IW zkO?3xh7N%ToiHBv6$t25Q^MRw?e(tjY7sq5O+>`LZ!03;CM*s4bp+n^PCrj&Jy6!* ziKS};k-jA$)4B1qhFXTZHH4!>tPHx~8DB6Ips|JdF#~q#L#D2g0mwc2cVYnin!HH2 z9;VmtKFADa9a^_qzX>I0*d_l-;PL+kb&dHirLO%aflTJVpZz~bnc12DFGE28PF?GL zjG!}3SBvOh1L;D*)@;;bxgfp@N}-D35PQNa(qg*kxyIAc9FrK_53|9F97hrI;SM|Y zCPW;2z1LCErkkO8IvD%#P@$h;xOZ~p=3lkupO&+2dHoo0R7spDUrC(FuEVU+t;eLC zANzDmp-y4uZXwOtJ@a(ax~56J21LAo0mXnu?N&LM>5J<{*5w%i`@#cW5ZyiQT7EHZxr zk2`SwY5qeKm$AEh5PZ#W-pnhTil_%KJJviEnB~dedbZ~ip9tL7MKe$M7r;C>n(-1z zaC)qg;1-1LeYEsGD__$o-93V#;zfw1@puj`d|cLRGiRqX5XKG>#nR8q z(zw|%bP%yR#V)$tJPN(w=P%(9Aquc52wNctH7W?(T@&fr1^*ea?9jXI-#Mj5WgVHo zgZljbF;Z;A+~5t0Xd`D7P@xGrwCm7EXtC4W$49M8R*0qcm=!Nz8r>4xJ-h^FhfcI) zgTdH48|BA(l=_p)v_YQoyGN-vT%8nB)_5XFs{DNrOse915DZr7>MSUoU#+E>B1-DM6<(k`zY!=M~Z6+oL($%cG*{r%2V|3Ab6=7m% zmpUbJTz0THIw&`Z3fxJ!GV=-PZ@60sQEsR$r2r?ejf~0~Fqr{ZsHdru<^8CTnPShI zN7%`fw|Jo?0cQK(LABU3DaWt2v)FkugLX6xsHucD?}r>7BdnNF(B^QejQVl`O(D@H z4KZhdz*8`u`qQmJ3I->5Y_gUphRWEQ!a3M!aYzMZw$MLB*%VM(!173kB{q->V^>VW zjyv+T+2NSIbp&iF_E}RSP&Ncwm0kTm6$0H9q}XJrWD*;77DmGrSX>oINr#VDIV^dF z6RRf-qXjkXo6SLv(su-__T`@sWU;~?eAhpakIO>zk@joOY;|fUG9KHDKTDGoVl<&x zM+rCWSj4L0V<03bsIlkbH6+8x<`WVA3>Yi9LEfojO*!1AvnBMSn+6T;y%zW5xOEKV zw^7xNRvpK>_-L8ol1U?A?+>&0i(=atJmfxb^)6Bstv5Pogig@G7-!DQtlL++?KydbsQ3Z6!3q<{KLe^zy$;%ZQE}7>*a~|b< z#$o0GVwvs|g@Xh<|0FIRKuC8b=^gij&4)5k43YY9Y1>(;5$GZl&-*EtJ1lvO=#V(6 zcWCk>i0nQE?sV+qQYc0;FLow38f`AR@NVYE*#kv+TG=-OGwumb{x5<3)JuhYT$93P zp>+op9QOcJ&o=EEI|t%PF_RgwRlCmNKtH>vVWKiAE%jch{jX1hZ7V#U=vQ<-QlUPh zhhH_W+jDMS3TEe1?AZeTzgkzyH%1OcXt6(ji^m8m9u8UVT>BwqGOrhKFFEAfy_cSl zDd4lx#7Q*s;~4=I@U6sFg1KGMMqeazk#B$xTK9v0^argG=#w>teq%_0o*He9=S(Z- z9d)2BAiJtu0H(BsA#*8_3Trf?9%!HlGXpX!@#Z58iM0!_@GQsgUGBBxa4BMKkm$m8 zzBl>4i*s#He)2-OQ8DRet+V4`O0M_<=tbX)j5z9&@oKH#?8T+9D7Uh1Jq8HJw4-dZ zJwq&W@v0e)ATH=QU83R@K6UO`uH&Iu%;V;G8vAPaqEPHhX&fba!_n?3gvjn!Kpft{ zIo!ND#Fnc3?jqM%Iwbp(Gn-X!DBr~l*6YbL4WW7y?$G6UR?Mm$oVfI zYyB^k>pyO6VoHC2=^wcFFSZe3{x>hXzerZ_-{b-RS7QJ7v;PP9%Ek0w`mmen|6Y;? zJ@6yC6GmX2+Rm^2li3$*gt=YN=d!y=IODXdalK1Ba%4wP7M%oK}PE7 ze1z|=yhccQeSN#C8H>%V?heLYUOjnvJ1VEg#$Md(c<>3g87gkyPb+PU&pl^(yhL?7 zHz*nZsPYfjkWr7_4qe5Nf&AV{*!h9280;n&Q?rvkKzcf6-PA!kO6Np){A~UB?tiD~RD$S0u({N77aac5)0mq$oZGOVOFET5B zKgZP#A=kqObuoy7hT2`h`rB==?1{o-k>yfKA$N@sCFKRMnNtf!VHb>g;1#2hET%j+FtZKyIj>^?zi7eQ-_ zwzxsup%Aj=#jEL&Yw-l039p@ibB~EGN>R^h7?5Js+y0-|u5P}oo5YZI&(PRCh`zsF zzaFJXhV6={qJEWQ`*0fKZ!ZbsFid{?TzA(kUpPCQD%tV9e~Sva4H#&6!5;o8t44cg zi5%10p#oeDzr9f#&w<|hiHvKZ+4oI!juGwyKjvC&r=od$WXomZk3L>FUcd9%$2A2fZ?qw_wiFSpE1I+p0!dZY=m*@*+BId29#H#8lrQJ)clNczt+gPrf=u!TzQUn%0K-(26)ETGdKYM*yCOwK@1 zf-iVF-%oNXI0yr{`RS`!_mnhlu~TtIfeXTxvJ+Y*;(k~m$_QH_N-daQ=0*JHWrO^j zKT;A;A}*jV!!J-DD3Ob(5*nQD0iM!$zgS_xV@=tli6wH)y2eh+0zY}?w7;ck-(a!( z8{}?Rg>JpVWlGURnK2g`z9I6-O4*E^rfW~sC1-UTzEV;~BXk@kXmH#a2&F=aXHGwa z8z@5M42Vp%92B5lg&<%!v?h4PYt}v${_ezCUJSn)rp(@@8SjZM#Y45dSIM%VVnEWS z9om>&&f97OBc^7*Sg*%HL94P4_iQU3TX&e}kPVB+qK8GXx)bL?{*OI3j-zIf43~%H z-}Z{ZXzQENPLu@e<~WBjQe!&Wb?|6)A7+a^32{T>0{S&{ByqnHxD5*9F7>!o=O>`} z!p+LQ%}}S%?y?N^mL@g2)cA+JtI*We=?b=p5L9ZFaaWz1o}86Mx&r*?3}4^QY9m`y z+4(MAZ(o}V9fi}Kcde-8mfDS7fVszh+`p}YI0Sk-9+bbYYcFH%Jj^23gO`io-=9K^ z{53A%1@f*hB!Tbi(TmBUf?Me*G0Gc@SY!1Yp6}0czpn|_%`!eZg#;yZ_!?yhQE*cm zXSMTOA@DeuTmX94zblvQ8=J6^;uW-hh8Qd7lwj-7`4+pd<}rDkTSHIE%6?<*@QQQg2Syez$Gi}wl@*- zYzfrn2*e4O83F=DUj=HfYf~ovVE}jqO1F*?JEBeEz;*#RfS`)9BRrcG>svN#xeIpW zsH@d+%KD;cpIA6o9Z{$o^IgMti11x-Pw8+K7OaFKYF zwyp;#TN(DI(3W{2igQ$9OdPow@7nbm=gy|Ks;b~pcYGy;UlD}+(S_FexoX! znF))pyB+P!OsH##JAXJwHM){I+xm5MkJ3WE>?=NT|NZ##MN*GwplIfdHToKeEWckK zG|t1P8TAsu5gh|2VW+*XwtfAnd0XB=+(>Zj%AgWnPnbVd9?}iQeayZE;ftbDt&gup z|MMO!87lUmsCj$Ev$=#O5@C|KOFG8(`mI4!4aR# zl3{JUdb$ZE#!b97xY$x2>P-9{8Jcz~-x9QIQ%EY5=nYiKSvNl*G0FKy|Lt&1XsUV}Uka&XblTMm7aq?n@+ z>Cr1L*hjXG_Kge5n`cD-C_~9&qk7x^-a%%g(9G2^(qAhqbugfFm*r-foZYj+zBuH# zSX&}@%$RQ4)=tA;cmKL-`tIQS_elG6XU(1VMW@j>%}|Uw zruY)jqZmgs;P`d5vYK*AW|H#zFy-_hGshNnV8Xcgg&|F&Tbj)-K1yv&nnw41hH+P} z`K#LwW%=rbJT9g|g~iERX+UesxAXD)MMCVw#q#L^JX{fe3^z_peA(#zz;5O}xSyUc z{rOR3QVtD&o`~b%Y3x!N%F~H?@+e!_@{cb)j)93!RJJ7;Ej+m!pqpL;4dOX#_f$zG z$_G%$6=j(7m>H*zYrR~gxMD>Oj-cotXPK-0Fr;a$l7;b?tmawfjQ}rw7lc!ChP)Ln|Ly%MW7}Ej1e4Up5+gEV@e#{9BZu_*N9r;!|Ck z9I|L<*$Ugc6JamB3X;&HQ29S1G>4GcB^$Y8%;gPjuaLGWB^?Qmum>9wk&ciS3=`CS zH=&>|>9K-$Rp7b7e)?Z8894P3Qm)DSO4Q$2R;sI^rWr>DO}$= zEE@&UFz%#q5QK`D)UK&!g0GlqQ}h}YAnxUZBVWATL9=__F1SFgK*_|7-X7jsUyAB{ zH>piQ7ZJ`aC>PvVAR){~^?hhQ!d%`pz6ABQ)S6w6a?fDZ@;87pCuorE3*!QyL*L_x zoD8?nZQQ*CW4P{rc2)@0b4XL#bZ5X!0BtUBWz)qPPg(ja_bMbm3P47}{x}!0upmXL zsKl5hF4Gk@=$7{Zaqm>6iIS z5k73^R$!ASEQqxeIDiD%efs%PZ!KHnBUBrr2KrR<^XZokN#3@4KQl3SZ~^96Y~^iU zJt$%K)4V{XT3&LVlf5`s>fnosAbmj(U0PvUTR(llB7atR$(`z#asIH9M};3Za_pPx zSJ)tKK`=}`hzO(s^OvW}6Z^sW;S{EzXKudCmk!d>WwpG~I75=3Y~qm#E4Sf-inFZ< zF=;h0d$d+5A{>0gx+EpV|3}?B#^}kbNFfMDwRJ{M+{@1@Q*cq3j!D;NkGx?`)KEsxgb)+T`&o1ihlwBZut%xZbmeavUt`)*3T^*8 zDiyTRZc!9Uu`^DiuLvdt6uY6~A<#%)Zq2+lQbQ#jhB#@Pn}h64_k7D`AwM`iQf zYmOjst>8?WssxIqTD|dxwLyHDFQ2GaNlWj;AU;$=(L>${GHxbzo|G9-;zICbIAb+f z6u;E#7{Kj|vN0tU&?_(eH#vuQ;hiC5Ja(5O zF^4x?T)xV0B>U{<-cSL>J-N1w-zE0u?;`l6H*EZ`&NDY-G2oC_rZ_4HgGuzRBs-tb}!@6UwaC!jtf;ElsdZAOVhV- zpC-GP=+ofJDlbhG`Wo{GxTTTbE8x^h1}Nc`hv`?qt5iq?bt<&*qs}7@7nRdw(0(iB z>f`HBHWM)0)jX}R$rM_=R*!3P6 z`MH9YEt)HXITQg4WBwO_Ca8e$Kk458YDXNMC^P=w-f~4cQC58RzjhB4|2@`l#($+t zj^!V@Uw_yB?>jmpBh!EWeAZlbciz^ zvSwINk^Q7f+gixmmQ64sjzlfT?H(aN^~iio-;U?I@$tZ!w&qrHIjh@?{Y{(I*g}y8 z86Mi$Mc-A#L34=tO;tyEdR=AnM7in+oXr+7A2l5v>Jqoz$nE2;KN-h+kM^W}E@D77 ztGah<$8ZAI`%}zVM9fJSE@P6)N|LzCN|NlDbdi-xfs^xq$m;eTSBuQ!)}xhiyPqzj z^_*dn_KM?LcgP7Y-?p#UqetEQ!R@XXd?AvUbUy;O$sqK=%WrSVEmhs}q7CAOwm}fD zQBw9Dt5m2WG8;0CDVTSc+iLrhFC5*R*)%gY?QMYVev2RjHwJH+{Z^yfekjf(>9rGX zIfE}K)ZW_+s7$S%puJh*RkI>j{iI(Ua?T3}10h%OMa^UuKj6m4&5f<{T8w&$YZiLp zIzGOGO}A3M8$;J0iTDAoh7;Yb_jlG2v@h!PcH+vk@~@65QD z)cvinEW(jhsdKOcEYvQ4LJRnUM1)F`>V=6vMktJL8T7S8XSMw!1sQmu?5f&L$$p=i z0q|~a1eI@b6T}`r(*uJ0Hl0{(14VT$nl-Lk5+Faf=RrYrV`KeYJ65_?SP0RE(J?k1YV%`jyX#D^hzu1KLk02vAUv3QQ>4~$q zH)+tWE7Z`zj~c5*ugKf{oM>OJ1JG4)KN7X!vb8$iaPovIsdfDIe)y)-KGbMZY%{c(Ez(*)DfTHKTX z6HC6TVfxhko-z(V34w%r@Lhc8=8jdS@bQlDh#(2hk=SU-v_ajImFR+k3u#g%Jt^fe zW-G!o64^o1y)Bse9@{PR#Ho9$DOW@yu8YV*g;4lZsa#T>4SX77iRePX=yWDCED~*n z@UaQ^82VJRG(m|Kwg$sPUQw3MwBgdP>GZ#JOdzCQ)A=jHYMAW*5N^l-SfIRPt4p{f zxJ`zS-1>|NXC>>_R-zx|g*&oQoHNPvgOKvb2*O;E0+}t?HJSFcPc8FSLY(DL z#jG>BBoCg<21t>TLAgc|cJ+b0V}p=s%Sn_>OewUGYRi>oKyUbyGqPugC`92TLWRWG0SY#@S{qeBSjd6qA$67dQnRN9r~%`|*!x`a_`lO6$oC~#tY}lp#enL_9z9gf(7WK}Fkh#k!0sj4a9INRyW6 zgXS}dIQ)F~I|Fnn3(x{ZOIXC_3rKLb2PKP=v2p%5af-e%!4rC(j_fs7+I#0_qv@60W2GIE zaMY8k$jJN3<6hD6gW=-(?K9=)uu#11Eql)~crYH*L6coRVQNXQ5(Jx$jaHC@kM=Gx z)wY4Y^5k#$yT&b7lX*KTha&N(^w`?;PYJXokDRmDON8+%b^xajbnKlqhN^lN?AMkd z?d3Nvp2x)z{VzCrL6h5mq7MJnuG9ZehyNx`_^(s>m}#Nrg`Gkz1FgBsrtw!-MF@+Qf1}fefM+c>1AL}h~>-4uD%Lw;`NXB z9jV9D+}ruaz{|>e{;;RBotHN$BdpIivnqwN{`sOVqWz93Yh!yTd-B^$(#3gh(u+cr{bMd%%2-s+BxoCzxAWD90~&7# zWqy!;ZwL|=K*zP>J~HLTAl_kU9tsYf_P5=h6YSF`6!%~GS0l!@+d*}yH^F9c95fhc zD@~kyZx=sRTt4@)xBb#N`ZC5EY^7JBn>2#4s&zB7KR({6zoGqa)ahU0ydPt4**>Gs z@6{2nq+{jok1@Pf_3YGixru{&fu zId}}h8m5fz$WOIibaz8I^s$qwBmIJ)xwHNwNcuwYJw=hfcsFaipV4PV*pm&HDSk;^ z$-yT_G93WdGwh;PYS7>-R4zWqAZ9^xR_>$o($Ht_H$Wcz66PW8(zfjBn8yAklhwqC zVb8N5hnfc68hs+A$~Z@GiCI%`e&HE%8Aq!}jNvMXRiPVVQBX)~Ad5bZDb&pu5H%Ck zg*FZKa|;r|9NprMc#Vn?yimS^dCo}H5rQ(ess>>^Q0y(bOM{Q zJXYT3Dq-7kHsD(26xi-W7;GhANE3uRa-4OJ^9vQ52*W2ll8*$(q1Y7&!$&d**FF?| zJfcuidke^ic?4v5`^?m_ZP>9Fh^rj^<`CgvgSLCt4W^-%uD876H^dnioGx+`Pj9*G zp#}Bm^`@g3Q==A5E}m+p@Rr`*Qo4-a#Itmg*Qr`hs$(WtKu-6>Y4-$E`qg!ColL|I z260k5K4EV|<9?ezlvDj10{rUPSy6W|UM-LjZPsH<0~KAl(+n4Zj_S)oTkuZ--&Fe- zrC9*tV$*#gBn*5j#dw*9+Q@QnyP#cGsQFnz15Gu3n0Vb+s{~BSNAI<1ek}Th;2y!j zBeZ7pKGzD)GapJeth?(_-iKECj)x{^uxT7L7jWX+pN-4V{QmXK z%@?S>ZpCKp?M7IUmFaoNJep66+B_^h3kiexFEvsZLIwpBQnz|0I^VQnoZX^TuAQPJ z-EdxOkH+mGt7pF_1x=Sf6IK;mI%=0d9uarLwyO<5z*~DZvqh=hZHQ#3LL#u7H8r82 zd~WN00DQo$qq6d*7=h;lCCTVFPhg!fhG25&UgkVTlC{;-fCSvZsg}W6p&Nc?a9nfF z%nlEY8oe?&LXbGn9KaKvnteTQ$bet-S?qOF4fn9!z>1^kb>ciNthS|6F8g{f$yZL7u=IPTczRkzaiSX+*+<9}(r!FLVdUcKGg0+cX?df*+la=^bC7 z^12GzgktEGAlIOZiGhRUXO0E$PHM9d4te=pWs@@dWGKE+dgth?^TT%PLKR&|mAp?! zwL!mq2`4)8D#sEAOt#xPb7WbHWPDp>?DOpj@Ix_TGN5GKp2mcDgioLZPd*B!93Bnz{;;WYy`}M*t2f554itmVe)}JZ& zU&ZFLGu25vwjbjD4T^^M&XN*CcEFyLKAen+?Y$JeHuaALMtBv@UNIX4#QBdfGN{48 z+U~HRpTIGmo?DZAMwhRz;*TUgPrKFWj{thR$9nAe9IUtkxUyH!GITn5&2ZmQo5rU( zQsyz$=0*InR-MHCahC*3E^wwji^c(?4y?Zg7k~EY_|5o8)5>*$A7SbSij5Vsq^&|j zn7}2{i{v{e-azusa?U8B+t@-PLB!6F$Q@wlNj_%*b2RcGDl#nz@p9`l{v55t3LN~} zUTB>6|vmraZ+lopc383eLPzFrXgB!iG>=15cL z_4gz_@cDtIW_UUcMQ~jc%}Y_7){py3~^pi|38MH82=uE`nF0X zkP3yMUZA1@p@IeMQHD(mk60FJ*6?+CP>j@W2zR(+1Fj*;WW{nHt$T>bZB?D#+SgXB zl&j7)J9Vn*py{rxI6Axd@MiLCqRmZ3l-GTK($;=BgyHgZ>4*Ibq$ymRF+n>-G=TUV z&@N26S_jR?lfDrx2GQQzoIRA!^m(GArV|==NX201NdR3fGAhNOkfyrq4bp7+(NYy; z!?_80z@Zr)cI1NMX()5YB=;E6cV@tkKpvBV9rq@U;G}OWU`02h}hsk#a?63$-1jXGWXg=<-_@k!}JCNGq@4 z?(OTS^sha}RANakR=)_X)X3I#iSpmg8l>Ts;Wx)mKq`&yx475*#+wpXsXuj2BY!M# zI(Be>lQeN+mT!iL28*&4=I~-Ry9`F|`|{fKJ4#4(We1|8VU$!zAJu6z=^Q@BD}1_D z>2_Q~B`JK@MLK+1_yx8SrTn;HQ}92bJL3G=wyU%lYeq=4+;vpCp>)^z*`TWY&dQ9B z3tWbNRUpM3zE@5|d;t>ihBEKe^W}ap^?LdjZ3RxgNbgT{xlL@hE3;>XhWW7#F?Bqb z+5~Yn38ov)rvLCT)(^#jqD)u;wap9QCZn8c=5@#PF#eTavBEs;WdVvc8>r|RmIC7- zF7YdhU$^lDdk|NUZU16_UyU3}3nO|zlaTCc=Mb)g-ME@7$rOLQhbk)mFt5PRCvk0U z7xz0`TK!b%gxnVc1%5Xv7r>n|r%6!(z#*@(`3J=$D1j$h?E9tf>8(XgQ9worBxN~A z2(b&L^ya;8(!#rt`$)9Ju4hQciP-qy|B8VR28hLHFqXI8wMdW-NVu>@E+W_@5J5J)ST;Sx{y4qh+d{et$5BzsJLu^LX^4r_d`rAl#^!bX~U zq)i!wOLd#C*!U!sEn*%nQE&e!6dIgZy`;lov{o_sh9ke%^WE?40!q!NBVJXv zbK|&n($cTGpd_x9mb)LJG#lkzm*{mXmNqe`l7JdYO>_a_m%g|F7_KM73~|M-IW`hJ zIk^I^Qns%%i7*EnP#4U>XEr|%()p7fx&rK$fZ;pLV0BqBqpOM6Pr|IwnbXrRip#hg zHqDP)B@P||KoYY!!1)#!U;coe8PKVNb4GuiyTq$5SQX*^wScpqGBNW5l~yuDvZ!RW z9~BmdKA>_Lv251%7L{?!UTH1yH$l16)qS20^ELFZ^;i_8sqMzw*;)KO9ZL|2kVvjt z6k;64tZNN{S%N*;|MwKaK9c@3ij2pEvDEZo0~(LDBzN;N0bUwH%a?d|+DhV7D2bdX z51R_@08J6UB3$(M;~@9_Gq^E-?D)OFGEuTF_-k{P?Qhcyyn1x$-DuG=ZFkAVm_A1h z9{M;bQ0Oh|(Ce>y`jbQ`?x+tmgZx&(=U@+H@%;Kjp^4rzOyyUU-*}2EDyjcQR zt+2k4Cexx-K=^ySR{L#07^N4U>!mVQOMFvb02P3NivOfj{~Nr||AwGPrhg@~}v%}*SlMUnuM_Z%v z*W2sVBq-wAby+ zHI=3N04cwRYJWFle+I~9-Wl$+Ef{uHI1hG6l8%qKt*+DAs=IBwMM^91$hg>`OwhX8 zm{5#Ext=SvIWT&*^Ym|B)N22LxCGE?nPAUKy|iK+qgJI`&td9m+`v0}S>+QT;2sN{ zw*;okkO!HQz0;ykm&$^8l!pcD%B*QyNNDT^N>!hzG-|EULWTZgjzOD|4Gq=>4R+o) z#56dV-C4w!mDy#o@_c0G)fZof`{5qv>{#)8*ew}v!QQ$xK`f7VJ0j&-GY=jxmM0XE zgmUFOGc`};Ba}ndaeW0>I0`YMLpKtXM59Lp&9%2X88U7?F&27TX`Ayia%SRe4Y7k- zD=)t6F@>7#>@o3X6BVMkyH`Q|a9}H}_>Z+%dx!#SsYS1v_3SPO`?KQ%@`Vs(w^gz2 zL8ebTWL&9Xn}%EOcy@Wwj&)|@(r42*&{l1F%@YBHZuNIpFFy)94K^nnOkQhm^ET77Watt@^yOENfBYGlMkiR*VZI z$nY1_KO6HtRXRY}2~c@@!P067!PN8&&?zq5c-xfCart}@`!T|wJO9|}JhzXXXKEp2imYyc1C6!;>4R@VPXDYONNnS-Bf_hf4yAT!k1I~~Z8FzZDI z_8hSjx8oHq$i_&4*d`4vy2M?Crg=5A7|>hzAsfGp2nQZ~DJXPp@(5PhMn~m?49+{w zewiO(zFPih)M&8rQkwpASpI00ml1@92qrA$OXbs%Sm#UgV=m4WXqprNC+x^8{YU$7 znNGl{z%y2LMh-CD$j~%vHUF)hNX{gF)M3r_1p|z^QY_ce(f~5?DU4=8?f29`wBa%- zI>eDP?AMpXtyirRmAR;5pW(x2hJiTqM-LTT>|R2G@lQkLcH%ZTUZ`@(bjzZM0V3?R z7&M)LPlGQX3|Z8XqG-?{PVp+JOD(pNU%m^yOP|iB)lbeJs8~^}%lo6Y?KqWtGnP%) z^_nlWD&y6q*(p)=O}GoW+ktCo;ve>P#y6xqV%m~|CjnaL{ixZrjT|FP6EH9m7qag7 zr56%##EpC>e*IX;d#=`P;#-dEDiMs1B(th!hiu5C+eD~}W_KDZ!cn(gA`kn{ho!+jiTpv@G26NQBLKu zHWfF|d*oMK3&j=x26BI2dUTBc754cDRIavHaHbGUJqM%dqc`Y)14e>os~5FBh#|P( z68WBA(FO8*0l7eUV&^mcVG&p852qzrp>Vp?AjYufEg(JL0659dUdN*}76Mtl!@pf* zWixTf8Vldo{Hz3v*I3{j&L=TNm4w&EK%J0LNB>hO z5)j)Bs{9Fx6Nc8Lg3&&Fsq>(MSgSWZp77^vVMEUp(%~62bp&>Krg*o+Xo|vmn_pm; zVwt=G43W+ws@EMKq6=RYY}iz37u;y-3qzQD*yjg9O&IzAy>m1D?}%t-`d8X{S^kj= z`FHLAzH>7&{@0BjtC;VI=FdOfpJ1D8rJ=36>B704fD&oQ99nVrbs|uPZvqw;R=G{* zYR(Q3yPqB=;v=Yy>hYhhYTZ;y^L;zEI$0PmhXAn1_CJBu1s>MGqP6rZ}Z6GKaEMI*W(6m67}m|ktV4{yQyHxgC(8^-WaEEMg^>4#a?sGiD+B|8vD5+D5&`_IKsESrr$!PDaD;AHCN#?A(Msqt(*9H` zx%tj!G#kCp-VpcB>ay`@|ykqYss`7{#Mi9EQpas-Ts2*8E6TRsDAr5XFY}}9j z2=p^WN)x-($f34*^qH=cP^}`{xmv>T95I-5RE#7A#_$}eq$v7J-CqCdzd(!_}Zha>p2_+SYlx3nVW!Aovao2Oo* zkXWH9?hNDpwHvr(mUDgt`i^I!q=JQ2v-%r|_3m{0Ix#&mWPL3C1>I zK+^nfT{+{yFt5yrk&d0Bzy*{i^gdb!OWikNJBG~^Cfy{II=g)qSiI;e>#RSn)Czj?r`svR1L8;nB_P9MIztL*p_q$qPphA|kUD6sm% zos#Jfz2rbXjWIuO4=9F|JJrP5>l2c`7!X!p)?zeRU$?Uzm*$Y!tLyV|ZOST7qqL6J z_MGyo=nUH`WI;h5Ir$~-tf!hqnUEXi2DO>LA0caKGp&I8yv9pR~MH+lb?F=#4gbtQk&h=`3xV>W>~ zSTjKFur#k#9F;fyz>V~E_ItpLfmb&blZVW3LbdEQ_i_)dEMk{O@>&dFECnkiou)uG z*ut)f^Cyyy8DL;?myMuXi4A^Q^{<`emM5g4k|}m_?JaDkzitvRY2VT>oMyAbbf8L4 zh8RUKAU;B$D^6IphY!&6h6PO``2EQrE7qWd?2L+SX@fu9_klc;#jhbIm}DL7ZV(d$ z1Pp9-pY)*8y8bJZxz5I++#IbbBRVREo zrIUVSM@l^V-|RPtnlG^STw*|m8CX;FX*G?6$}zn&u#>e2yIOwsy!L1g?Cnlo;_B8y zAS@1b`zX&oJk`;e9Q{a-!xpyNW*uxXP?|+uFS?&foqw4IB!b3%itFJurih~M0F#m!jiYRp#}Q_9D~o<}j>O`? zUHDE^x{G8f`{uON@EXz|UQ6Ysmyw5JePOCKX7sV{jQcxCTC2kz)+iWrF`pMrioOMje~$$?J~EF3_+UO(bW}m z6t!56M2~dYDiBBSRBr zB#F#P*E%^9%2LHR>{)KJS&Ti2h^_Ac5=XcVf`!K(nNdf>B6g# zjV@X`>mT7kePp)mW2Op`I~_8cBsQm0P9AD;?la%`s@X8?r>0@{p9#$pqjiA1Qa3KG z%y@ECe@jJ5c0}9V#*Tt-Wc^^hw36K}ND@QYy<_HHeV1Rz|6MBTyx*djdHBp??)#;i zi8PYNn~g#N79Ae;#OP}4ygRxHSnF=V+dmM!-k+skbrG#Bbd@_x#J6RBypuk>tHG0+ zk!If;m70xs=nh^zNx3}e?kzZ+O+#y80T#$WUGL^w$H> zVNwv$Nm;)KPhuV4Jb&x3WL0`}|A*RYS>PxQTML^LREn`K`6)(&0EW<>ej-Z4anE{X z8ZC-+S|!QCIOR><-=WjWsG#3VAv<4&-CH_&)*fTJvDjX+E;n$x|S$5ub*5Yg10&lfp# z14MhsPGz20+)}#lpi}PZ_6@PaTI(oE=UIjE*`W zFS_^aI=TTSU*;YB#v@q$4sS@F4@>~9A8?*N4i*67Rx4$kEha-%pv_c{g)Hs}B}5Sf zbN6yxjIZ9yO$*rllAWtOTqDabTZ;Sm2q|rSuDQ zy;344%7>nioBi0$3}Binuw3A@I64MtLX9Kg_aQT4ezt8l+nCtnf}58E*Ysei*IkSucSk$j>hqLa`}%8*?8i59;AK2E*$rrewV zT3f`8!qs4tR3t=W_J}66EAkx_;m(ziH{W4_ybTi-5cDA8$)RJcibx?SB@5d*(Gg}8 zjhGd}6~!q8v`lO0YWITtMM$lgfs@98RaU125ftB!VoTX3A5afa!s%}z7LZ&HUG9Ig zs%MoaFh*dSN{6u5;14KCjAyR@dlDWy7S|A>Ji5{zh17|<(Y{2+_Hbt97LsewLmbaR zta-ToCz>c`lvnc=p^#65e2B3@=O!Se^y0=+kI}Annqf_Jmo&N?^CE_$iMp(zy8dn> z;g??7F(%5emHH|yhnaF^34bl1T-q)RR9=LOdrhs|Nj?-6HVs2YZ>wlD*26wrySqYu zofoI`$M&+xYxK~6sL(JSM%D5IHfacAX9G<7l9GD)vfiOSW@ZLpe+VC|nUMc%o?-}<`(DX?nN zG%8Zljqt29RlqG^;-yxOIVD@VYl}8hTXbSOaxJg4PXV=sPxi86uDfr+FA_)m0d(LK zD7~{-+8R;1B~OuCXYI%slv#yYW4%1V(>#o;?&5JjJ zh%Du~^)^oR2%#utBNOK)PG4w{##F7EVz& zfAMr7Hf+o8Lu%B;=O)S@V8_nr>xRo%4lME=XZGm3fTCe()L%j8TUb&_#3<#IdFA0&^^FW3wVb891)^rJs>1Bu84xx0&510jwk!hWHw!8bDRl&u zwxmDSdz>nb4wy32Z3y5CdoN(u+<1l*yIKcb=3;T%7%b-vDG2Bqz;mKyosa6Cq@OAO z6XEx7HG}{EMmA#lms-aE5n23q?f-#1WT5}gV}$Bbe}Mu1U|svD<)F=ms;r~oRqEl} z6qv>~*v$xK0MX1KDx@k3k4E2}an`iOVR+B`1IFS%WePxYkUu!NV%MO4`mj@ML-|1n^D8YvlnGUp*4@Mdc zfb7!krYXfcC6!(aY9^2ZVuT_ytEt-7o%QbQ0daZ`()#pvzr`H4kl80f;)pPfhn9KA z)&j{6Z_hJNF8G~ty#JFu-XIGVq1gPM8A3g=+l2I!F6DL44D35>#>fB+3=ozZ)r2|x zV#pP_P#N2mUnH{3vBIS5kqSRh6k#u1dYjOIkbqK2nK52cmPoW|;ADi)q~J6*sR$n~ zCxtStNVqQXHjuxpRmauA+5PtD1j6m(lZ0R{CiL!!ZmW}U=02uFBQ~dm)W-*s#uBQR zIBGxPIhkUjrK#=1yPaOu$4q$(sN}8=^M&NGHDoNqJZWG zRLTVA(7JZ~x~M0{2tn}U~i0DIIjJO30i557>N@1C9b7Q!7Pv$^N@a_MS_@BQ=ZyenXs8w z9aWBs%m;-4n|x=1D5>*V_Lroz0`d27V;Si9AO@Wb!HPH)20H=?b6_Hgo9NrMLyq@_`+EYM)zFRs%bGtr zXSu;tFFoB~#70Ann|@q+;%e_}DK1KQ{`iAj@2P6wypPYpw4Y=TLLuWHC5u2>cYs%L zmIh#vzK`{pof>GfT?$6*nZxTG`^rj?Li*)3p9NE5H7%nR?ZHvvpk8ycLY-6TS7F)5z(MRc0Rp;f-jHVNGs_W#7G=rv)TQX%9E&3$C({NmYz5 z&k~w7*uc2Vi%)oj8Fl6z%g(IEo-hQ5xPBkysV|riE13*q#TUbFfJTb~7Itz%ATPse=%kv>M9t+uQhN?JTB$)kGA z9z>N&l9u3cS4{BAi0uczpM!noSw)vDRz?c<8*4fSc zr4nsI^dSy{f|;eel8(WL$~1qwTNSa>4GL4;T+?_r0KIxD>B?Q=WGbUJ_&CnBueI>+ z!O=`Ky6iAuR-JLy6&w({+^|HYxD~>Q;~3e4a+FoNhs9#8%2zBFbnXV;agvEXsW zik`)2y)vVFhe|D1^*9m;BccgelR%${lwMFL;#(m6vQu$IK}Uz8b{|I(GoR!duDUwHuFqYys;=o zSu;aR+H$UXJnfB#{bj(N+%y^%J@f3*D-VMu`GBN3bh;Niko>l~D7&{KBfcvAv}j>i z0C}Z}t?d;q;IKdDwQzBCan}UPTg)?-wL}g5z;UWQOwVyqP(}g3AmhMTYhFpmy7s?v zmiH!V`zb)!jR?j$;+@$ga@>E`lw(;MECtOW(&5>ooG8Q4*l`^l2vrd$5yknk74$9+ z(SSDh;q)UG<+kxLeMeHQ+ui;OK28>62oMm!Dv z!=ngIc7Mkq=8qTGltTwKb<4CJp2j6F;cZ>bHpI_f)YkGedy#SZwU=_s=SMPK_PHe~ zADx1f#P*I*;mh{(yb}5QCLTJKvfIWdsc5Y2p7B>{Fpl4^`GWe8>rxYG7J;t}F-v>PLFi*{E&Vx@V zpN6*?mmV*w#`FsgYhEtGo$nTeqwG=hNN#gZvvQzcrx#SMA=%ezxUP_Uj}@79iQcyA zlgXT`dp}U&6z*3fR|Z;&uRj(a+vtbLAF37u;x2(5#7UG-i5PEif`<(tGWq~g%j|5N za0%azv|vS&SMxTGWoX(a+HqOMF z4TfL~w2WPU*-KYMdl~eohkI3_ykHM?zWzx+C#4_xI9=Q=$r%;3+#*@f#WC8eJ{AJAuS>}FLTe_3v#9W;Yu9Vx?cimDp zn{JeqJ7(LosTEQmB7>V%7?CGkuCI-YliPeHJH58ziu~Cx?{D0^haiN^OP?2LAExgx zZ`yWR91H zoUH7L84^8ehYjH?Md|IXBS4WNFaFPWZUh}SDoLQ|#t?g9S|}Q>d?G^O+m&0I2N;4N zxsg^bL2$bJ0Go zTlTFTq%LKxHJfg`AQfD_fauUhE1otb5S~~MCk2#4@ej7&Y#^9e@eu#i6%5K^`N@zk z#n|AzYKt=?Ok$?_1Baf3e`=~|NJV5uk1;R_rwVKv&Rg3Ai5{=-Dv~@&1~JU9%2t`I zgJ2;clZl;4=!=O9o|2ovjGr(T&(#D}h$$%0I#X>b&}{=c(i~o0gok93htk-Q48G_1 z-s%Bw*Rc@DeF;^4moYE2A-3)9Q&Qk`V@lSEZf=l$2G5T`45tG-4>18noJ{fZ@=Won z>{sdWi8;cr0-Q>MV@JG(3M%|X{ai#^V|WEwY26$(4OE}wA*FVQFtF89{TA4E;T=1o zq+zAWEc<+>&C<<-uiWWi(!10_PK~<~Aw0H407XGNm3M7=aGnXik<7W?v9cn~v4CY( zCQc*`S;0Yh9sW=;6d*r%SoEMu9-T+Fa#+WxmDtw^=hkowY&|T{wLLq5XSCqZNH3+4 zv8fL#ERJpYw#Shxuax6Tg0RP9);A2q5$ACCYm)xNqt@Ol13o1=g4HEC-hld*>3Lm& zZtWn`N9YrJT+z4Ar((6VYbdQDb@?M~xziNjub1EK{Lx2&j(iAkOxZHtcqHfpQciW! zT;xgY#VVgcc&kE^C%EnQ9qON||dn{8$~s&PyFUIV?R`Aeb4 z-MPRVh{17IgEIiZ>!wPorf%+udV%)9N(Idvnao-d3f)SpdBCcEIMfkm!Xn}YBuR9h z$ByV0XHu}Z?oW-5V2Z8%ZpV&nn@1NGxe&hyA=Z|9i1V7%%hl=9dt(@U9`Ft|jPUe+ z2A5%>wmabSlb~ZRKH!sdvfts-0I&S7pW5BOpo(8y)Co^yi6;;**5GluN$=+0HBFTk z+iGZBjWDY`Bgz_AnDgC_o+3*k^L`1`V@mLpN}?--9rHy{k7%XxmtB=n~@w$JH z{{_NCb0zvu8qxm_Q!w+t)D+DA59#%P*Zv8gwN4|5+XN;8naTX8zx^7Rb6urYt_@dVrLeNP0 zJG_6p)lTN=U00^6w%S1`CbpkgK6bSH8mJvza=y;CfBI>|>l;s_CZhUxY4Dce^=g&k zhxm^j-Hk>}GGDRFj{xU)^P7p5MdD^L;dIizXA}{h4+b1#i^;gDhx^5eQkud_+UV{sw$isUgtSgZ^GS@S>Ha z#72K`6I0mw6mx@gX$b1Z%5HZTdh8BtxRHa4q_|t?%G3J!<7o)I?<5o{#KXapM~=(I zpY(dBs&4i1wK`>Af-}FJsNoy8Um|@82>hqO$btZd@b=#Ps8)(qWeEJZd6Keslc7*Z zy(IX!fR@15M=!H@?&>HtE3*jzEL4%(a|p;=YCRer&G~Zxq9Lg}QA!1Z(pTXeqtZvm5S{A$1C*WVU1JP7 zqzs9ryoA_|ehV3am6s#*5Z9Qt`BzW{dU8kMuhx{xla70|&*}A2au4psrD4yEU3z{T zLiN1`#&s0C+*HoZFiT7dBdFn_1Z{GI5_z7|CH<@=m5+jK$_t@^V4|+TpGVb0WaNbx zg39*@b~jLRdf#E97EYKW(%jpvfg0}LVMaa=VPvXFB*l?8Z?1^S3ey#$l-|J+%1($@ zG}GsEJ`m;Mj4Zr!&1C!~J}lDSZH!g7d97svq1$c*R8Z?gFl8buO5Iv3qL%QA17%_K zWGvj~jPDd)R;?MhQn1r4w&O5RR4zggrn70zf)IPSa~+SMC4@j|891|5ni-hK`y`e{ zBz>c>PicHeTq)-ze1WqymM*@J5@)yKe|z^R*T8=6vC?)!?NGLcCO{47>L0IcLf{O~ z%?khOqJZHK2}56FkJ*zB69`3=ETMRtL>Q45eZ-e)(72|PVz1&oIbS!%bLjOWxO% z+&Q=aSv+)mc|iP{X0S<2jay!h8yKCoKXnFro+fvA!#cb!VKiXfG+WE3UZON*g<(t@ z^5TE<*nseaca%#NQt3DZ&~EQfH2mF_cMEa?CtlA25Y~>ky(~utf_g<$>2#GNP-<^( ztG+R{6Rv-wXLJKi*0$|C-ZCYJwrL}oq$ix@?>ierZ9Y**7G@ULfkbZO*UObG1WFNx z@`=6yZP>i;=%bR~+AdWOiW6sgEA73baF$fzk z4(9P_v%L{%W11nTswRvFe0HoHJK|{-Ymw~GWoZWfy$^_uHe<6alLZ2|2_I*(c{cyeH#}8ZnGnS)5HP2D7Tzjp!^AcR(up0lPO=a$@h#v zOr%o=!fZuGHEK#JgO~k2E>fXQ@y&73OK)j(4o3uk{{>f043Pl zmA6nI)B*g00(-;gb&*uS;so{(*>N8Yi^}!aAa1+!(Dq_$-*Lbe#MR}5{N*p!Q_2JJ zrEa!p;S5*ZuaKy!l}^I2_7uTKqwc`I70q=|qRy&Ma62kkPc8Z;$95mJ9bBq~rFFNK zvZ_p-SI@sklki5RIvO&B8*XB7H*X5Dz~CFVUM>xjonGXx zEoz7)&D1h)LG3Yi7mLCa%x6~cOy*p)PnLxP;OMhqt|ffR*1^Gfhkg|goqLNZzHF}K zl8d#i@??!~ElAhj7Cq`0qFfq|0->6v%C+dag1*9#pDua88sE;NN{cNga<<;xxe16` zPH5l1&_3CK*(b>U_g$X(zlVXC`QK_F{#PFGKWqONx;)GOLmm8Ig603`k}f|8Iz9%& zq+CQ_27t{PES74ekj@1GEzqQ@p+U^W+F7XUir;o;LRMs?5Th2jH96rpvx~dLmXmuG zr&n9W__?=6BAJ}2+&QOSRTN5V)+F0{bN%bE4TD$mc9M#2YUbHT7PptLK+eyfwN+}9BG{hfRF_^wzS+mTSVNMt{ z1KKzM;_L`$y*`KyIpUGD z3@UE}N=^9k?z_p{cTEU%G)#d2pAoeV+k{^f$;)Y!9FQm{E$D)F-UYzZ5)eZ zxd~m+0bLMK_bHGOyvIp!e`xZsI0jnPxl>l8ftSfr8WjS~a$9K1%(B#`T#Gt8dW!2> zxk_ynp|4f7Q>;Cx(GcG48k7Atgercj%Hj=>uCE(x$O|}(q;T8mwuj6!YsnD#%iNv4qdwbnbqu?v8 zlv-XKUmxX=D%uKE$v7k2SnLf^d?#ZMmC0OMSxrWj#I2=l+ZQo zT&1LcPfB!=VC(aZmCyJR2p$18XVy7j4JbmRk&^`G*ln#C{bPXs6_p{aOK2P;?&Ku; z#?_^9%u0IAG@Ud>t%HxGnpcv@V(gMaCOb|yB#E>gd~{tRfiLT-kIEnFA|!#eVZA0; z6F=F+d=+=Pw0r#oYR#^`Ckn_8OrRbAQ*jV!tO0hFy>Y7Bz*SWp+vN$Ck`T`K?}|WO ze{Qs_+!p_p8VB(;-8EDo$J)%p3PWI7`|ouTi;T9MDvV&sLP;2tviX_bJlkUupueds zDeJBg^4p93!qsVguK~qXoDKzEjZtO^mzHWAi)Z*kaPa-_j zh&MI@5pR!W@pN?&B1<`nHgcQS;cnM_{zIeYtLn^qPcLKR7LcYO5a_&aC}$GHXyMpE z7;lC{fgjpU`AWVm)=s~%^{HXTLRsqO4JhfHK$9wjM(%w8-y`9SHFkO%>G)98V{&ka zYc}7gDzA6QN>C~3W>KWf3GwQMbPL=vX<-UUe+1E~pb0Z%&;w)VI1%)muaW(xDLkrq zcf!ZaTAYnemz8AN5l>H3nMKBL8l=pzbulr&#{jNEJO;B%lNSRFf_N45qVG&-OSub+JmT7e9DI*V683h#10rAPR9f5o#O7JWqk(31YJ8mr;s zS^}A6mv#aXctn0H+=b)ZGj>S<+0J!Me82n7UpqIbX@VdnNpU^tP#0bPYF#F>{C?N6 ziE|B7`Dvk^&{asr(h$a_%{BPhtTeY=URAxtA>ir3831r**^ognK15K-`apODak{i_ zQWgSJq)6NA>%=|TWHotL^4~zN2sT6iNq7BsyD$ImcrKa$ot{h9e`WLjv-W?XyK*r7 zhq$0c&HoR9!H zKheW9nnZAZv>a!7jat9FnX2d@@1uA=TE2KTQVz{K22O>R+z-CqJoqZW@u8%u=v0<_ z_&5h|WnmYxm3(g9;0SSWcAh+=7u?`|{Np^A@&51ZY2|j|$(;Ac+;#TuHd@4h3FFQS zDF(SVF;H~^vf8M>gLdY7>J1Xjb!vrhduV;IMfA`p|Go#TUV3{cJ#5M-2j}PCZ7*mc zhyGoz{@v&V>UG>H4BXx6LmiFk;?gW-Q^7)G@Dd*_dD(@jb#epdplR(N494YfEE#z+ zA?0@V4r&ik^&gIWi)R+uGSa`;ZWtOaAR>PS#lvZ zlkVqbz;Y~J3s`r4roZ7!;*2uxq4*0MtR3tp&tE6cGwZR#5U_k0vVPpYet{=9LJE3> z+Dk!vd}KJd&;!KzcVXHo83!NWg}(mNxczp&FpL0N!3p7sEc@F0!O7$EiaEqDu|9(I zkYlrt&`Ybu9TBqo{@DC16rJJ9?FzUYV#PqjghJzsZsAOUkPr?-pJW*^k*=$?23L?s zA`gn#Xc{-Y11U85t??Z9R!_5|>6HU9jY>m_D84_JPjYHiWT!WSg01ROVrljl=<&tV28bx(-y_AP| z4RtaDC4Pg}Bo=))l2^h>LOCXZ+gP4mI!q**mI(RIn&*_D6wbIW8yFQVrL9hr+NhFd ziOc+EN~&!6*KVflHt+0=@>i9Cir%*B47<~8!?SdYRm&Kbs>*oNqoXAz3yv&4MeDju zgPj(HjaRL8WoHz^gOUir`9*!DfSk1ue3_~+0T@pitPiu{Ok&229iZSzI>m(kAQl>^ z0GCQ8OY^gBZ>^nWIOFk)VYa?By;%yX{d(}9(!9ptI;$iEEHztp7c0XLAPim`El9Ty zimIxM#@$pVU{b7_r3jcDA`tGiqEs%SX2|FX#Tj1fTMc}Sn!LrP+5^Otahip@E?l{M zw_SB>wF@ssgrzp?=|yZ(1thy^1Gy=uKH(CxZ|y+b8Tq> zVDk#0DuQ3J0R=*I?km?IB!*sqJ5{}pu^cD(mu_Tg;Z)+zGT{U}q9&J~dhrbtxg#_A0*pG~@=QcCR)c zm$|MKo{?G8|C2G}sya9TV`&JxfkN{;bY@)++Rn|uPl8FjBzsGgh|bu(ITfFRZk4pM@Qx0WO{?A=0M+r9A=xCh%u@%)xM<9R(hv ziV{=SMu-=(q>s^-y>YWXRf&>(KSgOhjv>nso_#PdOHlyaoC5`i2BrOUCj$`&9Haew z2eIJSKOR?r89SEknJ@Wu-3j{3a42KF&Gu3@CZ9?*Q#HdRj%ZtqM7;14EF$#?l<2A} z7-YHXPP4+^La`RQcGj|BoI}r3rR3iQ#g(4j@MBX{&z9vqSCE!GwS5^59UnWrS5rO~ zo!sBNlU|H4nE}f3$2NmVe!@MDrICK_$;_c=|7e+gFMcqQ4eJBWIJw+nKssM*5?iwZ~%?E5_~se*VkY>WghRF6$CjZ zCGq+ni2kPg^oxfbQ*ab0S_u;5)cmdekMC8B`B)$4=20&T{Jh3@)8l3e-8-)K?ULU$ zwwUzrO?w4-rTBT`{fr0#$mRJZjWoUI zrPe`D)@(=OiZsskLH7vlZAefN#>-4f#zQ}!Hx&|yC?F+_*24(GE7^Ft@2))D#bCE9 zmsSsr+eoYR;I}K66HmFb4|+u9|#R2^6QD*$55O?jQMWcM_@YUR3TDQ5Lc{g6>q&@+kRloQ>ko4TG_#PPjdRkKV zKdD-X2X$(n_U{HmrVV4IKLsvmoL(Zv*4bVJcl`(o_1-$4^(8IfuG9K8_zO!drdrva zc>gq8bmb&Er|kL<`GS|&(g4Y-l(5`tAoMc)V`S~)?(MHgQJ!!GfCV7O42^^td}+!J zVMv){o(CkVvT=F*wP}Yyzzl(|W(+_EiS$b$q97q&-4T<%PV&8T`$=4PPzf6tH&~<6 z92;rWaEu73>ga87ywmr3**CU>&sS>X2HEKC<#Lw`5hj-O73Fr$+x}_PZtx$A$NapQ z1R0YCW>GH=!dUi$8sJ)3g02i(I1&ntYTrlH`S$t z5hPoN&8ll?aUnlwvJ+@psCq46%t|tmx})84O&k*kairu?l9|y@iizPP4Ea`G?AOc) z8-kDjeW)-?l^V-J(19#Pltm&FRs(AzTH{cAtB7#dNYodh=fe^*9STg?=6g}_K=hyT zs&0B_0;1}(3Z$hy;x!tf#pQ0}7C+s@Sf-^a1C6CXqDcf76{b=NiA&>T{sqs!ppU(c z-@B35aX9|~^oRDH$mcN1a)EB=G)i^vrN)V>!UXy1K*`p`1;>rRNlT(7dr@VBgJ?}{ zn(`{^*X6ib*`v$=Rx}&kID>5CkY38@B-4n2>}Q&nT~9LJ?)N9;j|0k04>A1a_~q;3 z@cuk~mXPWjbRq+RCThrts7M6>#28#)E^xwUu+!{)l~;a}J4BVC6j%%-&3n;e_duXL zY(m{yrEki@K(910Jk+4wjHoQc=MxqLHj(uJ5X2usQsCVa5E;JH4r>HZxb?2&IPJ=} zmRhz?(xR6mMy1`#iT7g6BAvKYnKrHpqZY;pb3^DWGdZSaoL^(j*_vLU?TjB9F`>)* z6~_%_X0qOy3POF_tmqerF*yvH%7b+WJ$JG%0X{TGCSooqbNEnW@|F2UN`WU;v% z&w;E+;q4v!A)_KLw6qAp?G!CQ^rT5?L;H_ zrG|EjMuSekGWA0c!#|Ym(w{3vpv;Nt_ce-_c8?jr5zuPu6Ct;V`0eQh5+WMC zLUu|u&{#BM(= z_LwgC)%69EZBPYl&6p7eRNV_?nLwYyW4n%E$>zzGn|sN!AdG3Y&b`I>B_~<%>@>MI z7YN1YPOv$L=**{3!hqheF|{%pU3mf_9;H=|!%17o`YM;j1b7_3(oBP&t3BC579c2}&6T}h4)xRq&soIq)j76a@*Z)S& zrZv(C$8KMv2!haQ{?Zc(DbuPjhQ>97-9B65UVfT@h`eh7Ud0T_sY=o1{i|efZt*9F zUx<|2v<=FPQ2%d=43nA*M{Oxek=40%!6rQF+2^_!gQg8Xd+|CcmcOD2cWQZ~ZvLCJ z6*#MWgkl9P^hh?*67F2cEp)06mHGg)g3X9VZEW%vcji>%jPdgr7hr8nhlFd4 zz}q7yiN;rtj32PNDxUs-qDTJS-nsu7iu8XQh0px&^wY8aD~0r*wg1mYFpMl5|2(?+ zUj`hSQnCB2h+S+m-vMG2E5(DU!ZcvO#7q)30$^OD4}@W-*xXG+sD>3>DOpAL=Sm_G zP2^HV8>1$({_82Hp0#Hc)#Nl?+{}l^hvfv-x-?zZ8Hbiym7~LhpZh-q()Z&M!&~20 ziV71YUe#*7#Okds0=>=cE$S`%gN!B zZn@g%$d}(@VK1^GAy!PyXI$&K)>sr?vQ9p z_j5---J03-f++rScxqMw zwLiK$Sm^fQB>$9-g~2_6NPkdIS|qgb?MoDtrM(15qN{k89(O#cjUZ$YM^ib1+c}al z7C4HK5NSGQ&^wab+WEc(D(n0l#N6Re{=bA2jDWvKrn|>ExDj2a1~6-8iA{;a)DwQCQZhsF3j7ml8RAvtRCTOxTkvo9fZ zp45;t=$SNd?G3xs`j2yaR-rw*$~C*k3{bwo@Xac;Qtj}N;MGv$I&9+dq8%_CMQ9i< zX^I^8=(LO!YjYnqpemdClx+NMi5uxhWGYXhs=bFYs?%EjTdmL4(!ayC=xez%*;Hw? z%f=rJQ}aH+nBnqv4hA49Jj@=e`j^t31J@8tAO^$gvH$DBez5JOS*{!A^cd1O-|@i< zM{(XFO}50KT&Z01wmNTVz$!NMVN7_s)RpB0XGHLq0YDa{8%T-@l9|oMIgM>^*QDh~ zv_)N+0om7s#p1l|=&us>sWREkn%jfFbk?sf2K$f$G;ST0<9sr+XX6FQKF6uxmA8hM zG=~x`oGQ5B;m)uv_mVJ$LHf)nzlH1N1}%nhdw-SEP!yV-^mKl%8}*`~ye+!NKmbK5 zLna&ga@I)%-=HBg^D)%ttq?=JP@Pp0?(`9p!bp{q)ouxv(6%a3PX}6jb`PT z(@EaoOVW>qBJz;$DxnMjfh7~`sQ5M^8bf2Zn3r#e6A4hTdZ9yg)b&gAAC7<=X}vR2 z=H)dWGQ~%AM?*g-gJ`EofxRJG9RP*xk4^ZcfF-p+%TWn|JM>Wjbi#QBI&GnTNwhfn z=U#R$X-gowgEhvt1H$5?cc+l_6gwQXY`1|WR+S~!RrR*s{n{xaM8GgF3?pK1tHW;H z|2q)UhSBd2VHh-lNGwtBrUg>lTWmfyW$cX_7eSBOz`ulc3Cj3uAP{ck4bf~S+rymT zs6a%oo$o~;p+`xaPi@a9*0W%j)Mn$uvI3q`?ulx;xTLiK>^OBYVWIdt{XUbKvkIo! zeuy&nHBp>-0_Ws)ZtWZ46VCM~RxL%}q^HGvxcShIVYMC}b zcRUb%sD8p)Wt*|PUZA*_h0SXbZIz3&2CIRqYBp8mQ=3lm;d2z5a^_MfT6taAaFHRQ zTw~A81SO~J7!fi3*u7ycgAH@dcIY{F#vs8EBDHYx+&>G1(C#>?tXP7z-epWe_^xTH z>$g9Wfxo3!3DKSUA_<&!GDT}6MO3^3095@0P}Rc(b75zKRrvsZaDXWV4~SWVhGf9O z#BH;7zcR)80TXt9syl?x7Xr9|7%}F1ePNxOtP4XAo)vew6-q3gg-5L@SgmLuM1tvZ zkphC1^;1;R*?C(X43NljGS2E&Q)Qq2Op}S&0pC)pN?f0_&#J;T-^@Kg2z)eR2o?`~ zbkcELKe#9l4j@^e_h6uc-hnke=jGa(7Va1@dSM>1(pa4UIdEQ46?O#s3`I`6uiHH2 zT`rQJ84$!r7f-JkpH+3C05*aqA%J#mP|ogfVkluE85p8$KUGOCE$lnzhis97v-$kY zuUF_CJCx~xIVE6-%|RpsZ*vL6SKO84-@pAo)zsG$SBkZpbbTck?35__Y z(uPQnhj`-ZPm{HUAq46-U=uF2d07NR32V?9)oReL=JBh-nF|f|tv-#L`sH^Jv3!?U zKN>~e^$dF_e!nY&gOh3j@pH9c?qiWHMuQWg5o1b|D7Th>EQQIbCIxd$$A|Cd;6QT^ z!#ov$QqJb&;NG|z2}}g|I&muL{KXBRFrICzWM%!hBq&{M5aH<73SEfL>q$4~Ol^cP z1^Z*AF7@+_y{^+DYtn?~_ed&El<6+g%9HxS>|wVF8n@2rl*p#}Gr3Cht%*$JS`oPM z5Sf!-J2P9;0H%EFrYF{5k{MR5i%&ijo5&*q&+yNb>CW$Qm%xU<^&5t5a*k=Y z04QozJDq>t*q!OzxFolR3#@Q)@OttVLs8Rxl@kAO%rjY>H*0%4#?ofwdL|BF<3wc~~pora)<7x=B zp(J4lfZbpV{Py827{m{pK-0hJ` zP#fhuB;ga1!9lJk$>A3(nMD$`XQsG!%dhr9QG)m-LwsXi$f1_?D|yYlkev1tpvO6q z=#<_B(V7{Nf4M_K(1Ux;PS+UqxY$QJ4J9~`HU$Uy#fW2V7OX%q)4{h;i#?70ZCL%J zRJ|#BXv-6OcXksnk6=8&J@%=!+k~^Bg1D1@v+lN>hj5XwfM8yfYkyoi=peveHjNd0 z;ZF9mwMZZb?e7{1V8h+WDIiOMTP92249-YH%O=C{R9u zG1SwK2)=D3W-kt?k7quTvhc#}mvWJk`$lV{h<{X#G?jl-A zd)i4zwsKmIy7Xly9nX6m&qxlZ6lXJvIyLP%RkbUVS|*N1blF+Q-2aIJ|Ld0X{{^+pO#dI%cE&%|_V6|AgWHY;KI~A#%bXD#1bw;$s)n^IS-S24eRj~A z>Uv+(=5G_ZrtVMG_$1*~#MRgb0|tLQn(~)w!^LWGkE847u(u{{?2(_%;%z**Lqi>! z(bU()&#UIw#r~=cKT5U7Chmpjb-QkoZJ!VRuJ*Q$wC^5qfht=%x*x%f=PhO4M>2ZD zL#CEF9u-`9b7+@}rdQy1X^5e68!h(kUR=P4I!hp1$eF`{Yjb-|^&*)Oc7$+jxv78?&fxeGfjxzoVN#VvH|z4y`le|EZ>30M<$S`) zTRXo+gDAT=x=Cy*0ahLI;`dsrH-wWUsi2a@d4itSLPKMo0B5%^k)Xuj5cDIsUr)I| zSGEa+%oFIVMqqf6rHzGAevpKYqZWN5U2PpNk2I@m-eXQR;1(%V8OOqPS$EMricUN? zPnU;-)2V0&@437P^plRVOgD_9GYQn@Q^*n=$$bn`N4fORes4GXVhI!93bty*%{o=JA=Hal^Qfsx@4%DfMqFVXj7}`-K&d|>F7ylmPsme2_FF5S z9Kc`z4Hsm9Z_2I-7PNqpT4On)Y;VWX3adPC$IdiFIhxPZ-qRpwNDKFWgE@~b&w-8( z)a6%27~3C`SMZ~elNRA)_yeJm<}2SUp#vD)Ov1hm>3(W4V! zsH2+$0PuK(y9@+t>Nx;iLS^I5PR+&kXvl(P*H9-XFz(h_7u+fG(Kq0n0_{`aO96IE zKIv@bWB9>L)~Wq6({QDwg>oq|5nNyD$cluZp0|gw(i&h-hepav=6BM)c*%bS`)d!MboyED6 zWtrAtYi3{7%$^Y#KZa4|g)VAsiKkCg0{dsW_!s!@DS6BTIL25<8Sgiv3(fDT1yBd$ zeaxX>&=(+;cgIWj>34j7QG*Lso_SEBhdn`j{A73Le$6mI7Udyb@|eHTh~{vCi>v{P zl%cfq;K(9)=s2Y7gs@3lgE)zQ5^hf_!q|-+!Cg*HE*k7PlZn@AWbQ2P+Oh{)aSxDi z;lVRW+%rpy%BHp$^Vl9M3v(4iQWdz9qLs4W|GZKEnR*PNFe!E>XTi|HJ-MtZJI*Sa zT9muW0&+M~0>4b9MA6<;igEe_tIy;^$?CDA|GDRXhYkNY4NL}~m6=O7Rd6r8K{PXZ z2#`%FS7Ysc(jfG4^00dP7L2>SJ`F(%~Np>PVx!`X>3JNF5rg<3Id=z#3Yr`wY6vmiIrFH+=} z$~&xert~cvgHhao{0p?dDkMF^EG&Hm;h~|z?pAr(4(O8(W19!QSj)zOmb=brHhO|> z3~)n4CT5yjkDPiv%SPEm$g_PvpHYf1-liwVv#0a+KJTKxjWUo&CH`o$gk!8?VY=HvaWKwZ(lLd^{*982=;B) z-`+8;(PQmK7Ro|+);IWY7K3ezwR#V7j26xV4<6utNZF~bBLl44C7RJq@4N8S6q8Z; zmUj39=6bkk#*l_)`i@3EI(@wSVh2~asF33Y3>AH|UZlIyNNBT&XQJO0VSkR3$h7aH zur7y;Dw2M5j)VM2n2U&}$hst2qzp?tioDHUE9h3G)Q>SAg=H;7M}gbu&Fz=k!i1IM zLkkCUdUpCknUc-SG!L;4CXf4Znz3#3%nWy)DY(;1Dj5Vbf%LeOjOAVxK1uY~Uob51 zf~~SZY9tW>P_M{0{BdhXNcE`#mRF41f_q`~s#hnHa12t#4+1;-Fg7*gI6&z;ro`jc z0<5g6B}>p~9_BW5InFn~nPBW6uby)S62ppIk-Ll{-~`AKzec6 z-0OFq!Ra{NjCY3EcIIlDhsC2Ml3I6ppi~w3T0Pv=kQJ$D(S2fkD+oA!u95TKpyvwQ zEubK33F&O^QjQD7F4Ydtr=-JCMljYsG9!gOX;)?fWl2N~sFvf}8M6%1ZUnrgfIyTh zYU_qaeo>t(~dAlNTtXqu^a@)jUTHjV;W03^j*4vMGKI1_@Xxj-R+8 zlOEp!cAY+f80|W_dog$H44SmTds(p2RDW$WO@#Yo?k;u}KZ2VuHZ7@|ovw;{+kmxO z+}Q!M@!Y9u#w*7sGbxCL0Z#l9fdrBzefzc8Q=}W=h-LPaRyF;+Wr6GUvM+5A@Cbg3 z70zj`boO`k?esIk7u~<2WyqVGL@O1>U08BbKdr6zHnZvSH1n#8NL%IvybXiABr;xP zXuQ3>`-NL7$UaG0J{^|l9*hb$A%>y&TT7buU6jvH>MUMo>ww|n;A0zaz!|3^@ssK& z&=rSWYo*z#nn)l=5=N52c>pg{%oni}RLh;7|C+6v*sANFS&}$rBfn?~O?o1-ePZ zMW;qXQHZ8B{$e=_>GI0k&UWhcNNg@|gU1U-mENERYG>>{2=5Wc|p-(e{S3I~LQ`i5!US;`r+u#4^iSf@Y`~Npe4$HsOF3y= zjV5Xdi6-5?$MOj@oD8QAHV}Cyo>h2!IZoWZ3QMmtoMoe@r!OKpC5axaBtID$i*M&I zcfQ#%e8u%0;^*EfS=sTa)l;M69MI<2&oUi%Rh>~6tW;+lqs1JY9+%gn zr(JNsvWHvC17-p+!^9umS!ezGa8MLw(oZ-SknA@aNi+D2eER}<=I%Sd81$5jT{)ZW zd;tF#d#4(MkU>Hl5h6)|5=q))(kuR~z8;$unaBS@9sxd~kVqyBq!=>-D*KswXPWi* z>h%NuFmkfuZp8*(-|w5N#p!)hQJMBk22bWg?DV;arDyoLBkl6d`x+M~KOL8{KmRIx zqUw7Gy5bXYP0riJRN0xnU78{J#iv)}eV#k|hNc1F+ve%u&4Te9V*y; z3{XWyz8LI*lAo!$k98!&M+y5eOy3}<#35|qn2%P#1ZST#-(hws!3$p+e&SPJ$SXIU z!Vytw@WH8VjgZE3kq9cvL~vd!z(A&Hdql4lg~^^GDq>*H0n;pFg6*PW&66|t-?UCx zLHHEUrxLoYDvPH^!Z}bEJ5=muRZ2}fLEUlRGt{Dj#!CSr0m{Id>=#&1H zfGbtlS~KgJM**OIDBk&#iV9*6o&?*I0~B?1!wRI$(p;CYf!}CVlR`|gh+R~)7GiB# z&*M6jE5^(k(_A3m>M;2XO3RQwj>5iPS>=@(I8eq;`Fx6)8}1PY6QtQO~as7W;;A*DmD4-dVro_Ax%vd1(D-J`QF zrlWzvu^K7S6TyhQD&xk8ocK#dI-*7v-?`mdnkpQ}^l!OK6@5~>x}BtUDn`ih+hy~5 zXRo-4d6i`h{3j5YrBXFlZ=(c&Or%MokT;4#??ZYDOqa%|o1#T3CoQ?vXZIt={oEj_ z)l50cebKs_%y^CLgdNMDJe`n5bh1-NFcA=@=-T#UV~r``N{u(f3Xe7GQS+K)@bp9o z58_fum|ImsV_DJzhZ;HsA_u7u0}$nvDzZd-&JCVs&7Gn#dPoZdyj&35vcrP@#w=;} z3qQ>-3gpV&beTZ`Fiv^eA?0*RY}%Fx@V8ihEJQlzm0!Z}j5CM=C!KYcE{TbOf^QVQ z*xFZ5%EFcFD4S*ME#a4CD`oaAJG5DA$`8a}yH2HUl_)@NRVH8}lR4V$f54aPcvcMf z2WMw_TQo0cuTE>YesP{>(Qf#}U)P$ZnX2|q6$C(v3Oyog!B;6-^@t?sOL=Pd^aok} zKeI;rtzd@R_kdZi>wNa(~-;pl(T6ixd!jWw$#YlH| zG#knCOZs<%ov^MFX3)wY_0*=mSr_c)cQ#Bh)RcJ#&2OFFsei*P)W)OnHP1e|uxGKm zmaqtawf2^tbDn6azTFzBSZFS<2+On$jjUNf950OvMTG1ladR~-pavApIuOQYD+bq| zuruee*9H+CzmaERtOm7Dc3glWh%0OgY}D21v1V|?@}%YmPBa-Aw|`D`cGT+yC%Nf7 zxJ%o6@XXOP8=+;XT({LX$!+O7g_4i-q(=gX;#%afg6^3ww_mR9IL9wP>p(a6ft?h$ zpH04EhFH-Hj+bUEZJ`31EzXMg1D>@*NVl27o8hm`HvohcbJDJ9Z^rd~#=5`w7wh!c zY`}F{n*p)6#^&3VRuXaVUWq3O&%;1p%5t?@T@f=gneyPL(*Jp8@9lC?w5br=r zSY!vA9r<%W8^(qTt++?F8Veihx9qeow7Y@dCQmOSf);6V+|L}=5cJ!N2g8HDqEyvh zPHt}&)5b=WG^QOP&O-F+NcDW<9n5)J!B7)i3pL7t@$Z|I)^|ZDSc4A{zndj^ju$PQ zmsy|m;gdODdp|u2pT2n|e+4o<>v)b`m_T+cl1wh^;+3eJ17%Cu8mCVD6|UTA!8k(e zTA-1Z@rBM@gi1xGz@H*+hQ>7`ryoA}1LMwTLrsy!z#Cit)tF1-?}|TP~h1ngBrTA(H@2-xj}H@;s5fO&8CKK~U$z z(>3wf@9=^i&zJub1@zxzMSXnB6>MRe_g z4Qo`b*08`4iKsM~%p%ASy^KH_1tbM1hmno|g(ux^rV?uf)=p|3R515b{jJ$d^%)(j zmrWkdNN<9YKtQy{-6DQ+5R7kj&iBAgQ-?8YX5lBM~=i>(eZYHZw??6?IC@y+@R+ z9~HC4q{i1`FsD$bZzR@CaxwRIN5d;$OU3wU!tM@Vyl_-XhI{6!i8^C?Hk$9i*w5vGSUc37y z5GCO!q&Ium>^l@{S5Vw!6djJSOv#XGJcf9RoR)a?u)i0NNRjpNCmmiOont(kNR+cm z5vc6P&73)FfAen7zvb70Z+!R5>aBlp`Z_%AgOg4z_~`KEo@}YiNTz7Fk6UYe=vJCV z)h}#NEh(z|N?kOSgCj^YL^4a+DjXe|YUA+y9(Xwioj{LqFW;0!nC;v*<$<6a!SFm> z+5_{>Xj*Z{1gHb1y`aB}AFh5X%!`L1K8KigmlbSg2ngtxGq8G+7ZBs269?TGhIY@! zn?C|rd7}|x$k;5XuBQyX;g>m2SRsyp3D)E;E4SIn**Qt+hKiU`PFgw$Fr+KxDntG9 zHS?04NsBeW_bITm0Dr&IEa*ieeN@AYX`~s0|DA|ybGV}947n-wE7-L>b#;*qFTeCD<~Y&E3mNEyE!7dFdGK36?PM_pae3w zs&9q>%Uc`YxcK)98asIk--9pPh#LtDYk<3)A*5a74k;X?_!QWjF@|eC`UpNxrn?({ zzN(#%$`yZ|Pfb*LAHJPH(ioJ9`FK(hn|&nbVf_rnMTtk90tq&8wx0btc`twp9)cr=^Oo7}jdCG|>*~U+M zPu~)R8c$>&v7eW1MV7-KW*moe9h=Ua*1dRlkGq~D)^58RCM*0!x|ZrYp74YX&awL7 zUJ8k?GMOr)g*7ze70CVJDp;0Rbh5y78caRl>Ybe-zN;j##uogORq>7k20lC{f}^(% z=BmVBlcJY`QdSdN#kRb{cO#KhiG?QuQ&aRQ4Q&|~sG2c?q`fF`X{zlAiX&gj)c?`|R z&)__-!#v(Vh_Cl_g(bi-u$_IR#(cryf*+O5w=P$qblDWL#vIsdX(|v(klm=rEon*M zNlJc#m}9U|{fP=Vh7*o4<&%sbEP|@h6X?`s7u6*IRXvR4%kY41ir+d-I*;l4!*bl> z?QPL)gg*qjR^{un|D@jH-bwYaA`Ih;zm6ulyi-aCNf`o`3ZJUIY1*_iO(23^P#^0= zKV^dQ&2q?-We(&|ANgQ1FM$`StF!!^0}?pKfvc9$ZJdEE8;dK%soL4=m#tb|Pme+0 zfsDZhM`T|M8e<2h{ToCAf9YSz9}KUAi62R@2TVwmrBnD-z&r3Su3o8B(I#muu3k6% z@5>MvMQKTBsd1#}Xq>S>GBZo)BkHCSCM{mU7n$jDI7K#Tk&pPBwSZ<(yfgF|Nc~D~ z7wUM%7na=f+yR?x!{r?3Oe7Op=N{_}Cc#pXp0f(d((hYJdmYJi=kunfTW?1ur!KNL zWE37ZOv*m(?fwDz#MjNN9pP*#W_RrNVsYPqbkXVP|4AqN@8L#g`M0{!|CM0-&)Waz zPR7W<^dDzWnpSq}BPjm>))*k@L(hea-tTeGOaf^P+sxZ$G&~uu4+-R{H_$K_Ye#Ic zlD}z`kS+B1Sy6~7?mza&O z!_U{#H9tOVURcgftPR$^UU5BrRv0~fuI~0euqA-Hx_Y?dr~CWaleWl*a(p~pTpZo- z*HC@@*tdJ%_bzQLBHOY`4OL{z4OPzyXl!c9$rMpwb-M$Vn!f66rM5TSl_d3Qq8#_4 zOn~*O+P$OLD#zh3E}p}{$q*#bey>4_N%Ur~!yWrL=w>bPE&l|zp1lI;opfyUp5`tI z-dY}8s7mhcFr9p-_P^XdKxdTisjT?)()jbluJ(lB@D>N(XkUBeAttE#HfM)8cvetc7St>GwC^8r^n||4d+#;bll#Z-0aAO zfnOXEaqKYFzrO;wl^U<8>neWx4i<`AF_L^cPrrXUd0kSua4TPFC2A3uV@F8tn z($f7*SO}H2!~=?WD&jVt0j=SdiS(>q-zZ#AO49eXODwCjZ-0SyvJvR<6g0?X6 znBgM+gCG%hYM~Z7PCcLMsWuUBlxJ_g`WY3;J@L2@DxnZ0_9>i1ExsMt!t~%JyjY-HAIzljvlv zimy18;#wz4qR`Qt;0X^Qyk>U<5=L>FJb}@z_U(NS>iTocrKj${9BXL)&>XQ?qJ5q3 zz1HI?)H78g@-A0~NNaCdeRau(<2_=2wa9`?zfFazA_1WqtONJKlJ?i^yqo4&M>8Wr zf|{Z?x%lP;6K4yz^^ofhMtGZ9Wb1{P1dN-MCvR+W)RqR3EgcZM5p2lSo0X8!A1?S^llNl9s9 zWI~pho@cQO-v1Y;V4kFKYN@1YpTeA(;w~YgYItDx*3T^O4pl)@7J2>9}>#Bn17TeNJF$Hb@KeaXD(H%4s=6 zAbg9R3bL#&V|cQ82(MOI=|z~3%0y72h6h3`zjzb&j0845g@{rci#k*$GSAgb5)3qA6E{ zbn&wnI$;JZ(q&tsqMQ5SgzqFa19-3%&$NcZqpRvbylx3)WgUddU_48#(XZus;tXVU z0m!Liq~-Z1cw%&eKtOn9exJ;L4QC-y)mB19lD?XrR8nk`W=t$v(9a^>fG7r+(10CS zhx@8lf)g2=f|~^RO8KyID1Jam(&?|px9_&@uB3EB)Oz&V9yk! zw`eTs=j5Bw3yDaHep8)6@EEpE!k#$;B`mj2g5dad4O(_Bsg>ef zNV#ZH;P*vYc!fgw&S?e;B_Y!RdoSUgGomFwf?Ps0OzGj#spAqS?a0`4qT`~hBZZiQ z^n?soRPu|OqqL-)*W_d3pvdU$hnnSf8cHDp0AJ`iKjmE7nZk2%J6zF)G>I$HRla4& zxJrXPY!MJFM(MqgI6mkqdJU<4Cb&doO;{2x4mmiZTc!&@d$a!YU_tx48XtUg@2&<@ z7Vusm*5{X3xwC?wLFG#)>~Eb5S7WuR?i`j6Tn|6=EF%q?x8<(r6I=7Zd|Sa?QS!-u)67`Z2q7hnXs`N??Gsbgh_$A+mC?9F~D<|oAhVDi~_a2 zFWYOW?~>73yZ=BTgVqCM{@AvTgk|kcl$r0OM6=8!(_FXCH*$KOT*k-QUvw z{csSpaWlW+3Hm&u^oaAq{wn2H2aa^JEe0*RAaPx!)=LUd07YAt8FmybxZi@f1StdXxXt9t)n&2&Lrok) zWPLT}c`bd-BWF$G>CU#dM^pD|?f!Ue^267|L#b+2gmv4R&-DJ?Ccaxsw|4T-x0^z* zOTSh(w_ff3l=xk;A#1v}1bH09dq>tjALf49P5*x5RJiW^Tc^O;F1}@}l=wX;lEm$F zigZ$$?0t#k+?iBGQFXR|=zkSuVoqxyIhA5nzW#KvyKaB2-J=m3t)Y$S$2)@4fN}8KYby-mi##0ftU>p8 z3ZQhD-LyxnXwihiF@==tvI$}dtOhk$xGVpt1M+}Rv#t{AJQN@27`KQZ(#(oPt84k( zy}|{TwbfkoRO6zMj46LC&f3@OF86Nti7xei-ie)M(o}>ICL7|5C*x81}e2RS?qv3KsY6$5}#;+sd602~RgJODN>DnZa$QAPx} z*|OQgb1U|wMl3fr9Krv-P|Ey`@<_e0o%U#}W^)IYlXAg~b?~eJ_}au(N29sulUio% zJg*EUE-f(hmKU33t>jEFvZ( z9fFGpQ8BQpeVY{=P9+_6Li{reso1Mi!)NG={8@p(!YTKPTScM@?e`phxh9eTFT;D7 zB_k!u1i!*lJnJd}2 z#3E8=uz*elTlpWA-2`WmC5)_r)V@Iv8>m5j_rVLD0&$jbGj9J&w42^^H^g8*Bz3~APz&c;2qC<{A2RR{1ru~|SDhS23 zH7`e1l!D;1pn{!frR92yBVJP_GTz9;wlVPvHd`uef(iu3Bz~mk0YWk}6Do$dBTxPe z+$mg(NEK(7bLSg@wv13FJ&pmfC?{bIBrakCBnbuTrJVW zyOXG}xt&-ob8TA6v((D+`f2%$KU&^0T>gE_4>ZtH%MzI~hzw0%%0`e#Ji&4jvEuRu zw@JaK!z|{_F1e}3F%C%Lqtgxfv_w2eRNmN6u2;A;FBe#TVt>Y5z7mL0 zvWZptzV!(uru=TFHW`}wFEYvh8=NWY-^!W(vz+!HvHzV+!u&ri=^EQs8{!DQY%hN5 zclPG6n%8#KZHnfJy6uG|7Uv6LZSbV=N`uR{Bqd^B?^qUxT-BD>RhB@!g2p21+fy?$ z-rE<(Z+ixvnzXhp2WyR|QJ1a`_r)C~zZTa%j_#eGe3WEr(Z2Tx4LdvZ`21vbb+Zyv z8*k0uGVw{$X-0_z*#GqDWjT~fDEM(QzH{O_II8LC#)|z~I}AOmDrld=HMea5Rar|} zw-#|CXmt7b1NTWByN%bn^h)PjJYaGVdPLi)Hz>A?XLm-&y)bM1H0u5UrG0xfmcylJ zuZp7MR@3P5l>eq$-Kr<<(piDdT*{NRxe+O4>S>2e=??fy@k7rxbMh0^(kPAM9r^+Q z+kHAEdgF#=yxr)m4>}auRC{~IZ~Ft9G1!5&h=AjFk|63(QmBPMR!TJVo%~O+TvFrB zqeLfvaEl`trx^3yG-?RzX6v4|tZ1?85u&@ZOAdm?O3u>loG)L2mxpOXAF&3@Y`;JJ zLENgs<|B_=+AA^1jMB*=V{)>eqaA}>|Fq0^_zWFHk!bK%L|(C@VmGKn(g1I@A_ zyo4=#LL=&}Y%t8ulr`Z)vvOrF>KPqLE@in)Me61mns~*bzvZW9L;&Zh$r#7Djda;mZ~H|T}Q5GT)g#^lA@d4z(_fD z2~mP9n()apj4fS>2higXZPpX{T6&?p#Np#%@^P}<&1-4FFf=bJXfjyHQmVLEmLh-u z9A4(FUH4arXyHSl{pkI}LSPPQUS?nQySw@3@8>vOC<}v)xh-Z2mEO@$*_|xn$U&Qc zLjf9?$p1J)x?Pt~Y-z{6IM3}&gQ`WR0(Y^M8!fV4E8;7GmjWL2|1g+R98eafN5+J5 z>1>~Gv&HJ7{}^-N&r*!VRcz}ZVkco_b|3d*gz@GxyfVKL?T5$p>W>sCaSX5@Rxm`l z2PA{9-3)+-T(`L`$(z60S~mL<_B=<_C)@FP8Y0yH|F)9S$MGi zt=QH-TTcHG``<_x%pCtnGyiSjq48mb#fI^@x$`9e!YP}d^60~<3iYwRs%|dE#2PN; zkmzJ+PMhPib)x_EuAA*Wy-Bh{RqAXFGIJbtHBWWwk@K9)?bA||8ujWSlf#|PTY3Ka zs^TFqQ&P%S>9)8iSLyg>>f2s*^mtd|`If}(_ZrB}hZ9?}4XGHnIP6Xg5ytm2@*_3l z;Bzs4@%%PCQOfVtmz#4^x3+9Xk~4lSLspu#l(MpXI>njLSoQTd^(NVx*T(WpaL|fU zTXg1h$b=2m`(XEWf^Buq?cibb_5?K;X&^qxzG#PfwsSYjr18S$RZL@9t*A@=4RHDd z;9JDzFg~?oBM3UXt6BCnY2(!H=f93&8o3L=1>mLI2)f_$m2vQ!q4^l}TV`&%I%~7B z9Y7H6L;#!KCqtktD{YX5He@m0hJWtJ{q~rq%*D$A{_SBiOLG#29yONLFE?4Vci_hT z;Kq$tBcp>z@43z5^|&3B8YtzPu9QkhUOq@|Z5lNa3I5JRlO~ty1bkvV@zYb%!V1p3 zgz$D@9%T6Sba|{G`83Eic!IBp!c7!LZAin@B*=sDA^@mht24=C8lN}io+pFi2bfXX zfnyCby7O7*1=hRGkBC;X{SsjSf{ig$ziFHd&{#n3oY_@)1oo4s z!u`X+Si5xHT@Gp+UYkd*DIANY^mq)yOSJ1hz&y*olAu7(#JPUB3iXPG-V?cp)@kLL zk~sA{Go+PZ5Lyym4oShbys0pPS~AkKauE<4Lj`!sh@*bQ4+AJTtxYFW5L11^CX57% z@JR6&0~XKN)Cn`9chSd0d(Ow);2iAKgOlP#Y)FssZobBt;zg`a(SA4!@{xat|ArC# zY-eJdr${XqiL5VBDjGsiaMHXsR>8;=*c#B|og9wg1YdO5&}h_kIs?q=tlm zd+%;hs+cXRHHNzh)h*w`z&J#JYRk<_^T;J$Cmzxvht<|FMG_&l3pymrtuyAc5OF4& zzkoi%(sAkAp6&)O;>iYt~Rj*Gh*6ZM_&gPQmWDpvf zx!`Jf40D}TZ_O3*tD7I9N?}8OhQ0<4KSNZf@Q^m_j2ISa*1@ zQy3yZ4TXNW{oH7aKsZ5-wa2SHK+G=$);vg<8>d9DkbAXr3M)qDm&xDD^5=k z=r<}5q$kdZgucBR1o4RhZ#2jgmm<#=3Kq)gt8Uc%8ijN}fNeipJuV2@Rl6H1PY zE)^)~sSirh$D@T@*lR&t-3s!Szcszx<`leLIj5YVjry8FjBLd<)koh`MSBJW_d;6j^+hpO|EcXxjk6iQ~F3bxw-{L$EP=n0}`u01`@T4AFR> z_&*#Ab(q-Xv|uKjO0Q%x%N zTkiGOK+qip82t>n2kfn}48i74Das)WQ2{OgROdxaTEQ2ISC6++_3mbLTHwZ%=5_kfwIYj_gGxQgAYz za=j&a*TuA2tc=k^oKBE=`%jxd4~4VMiKfiK3K97xtMO*IzI~+=S)A@5^m!?mf{Q(v zrOL<*d3oeSlDReay%%g_2!a&*P%=9s^zj5HDDf~BkMBZYjUL=R7cG=hsEJRq-zs(YiOgdp7TlI`rj%S8rY%vrjC1MNHss52e z`KurpCD={Hy>rHmJ!nyeq@^d?uQ?dx7_Kdhj!saQhH%&Me&}FQbtENTf39{P9~|1^ zO%}G*s3w0lil^EB{;{I-eKHY7!e6LvvGLqH$_xfB{Q={ixBdQ4k!Nr<6&f1gtoi1Y zTOKW{_Sho)>PCm=a-Cw&CfXrX=FPz8dCAVYXZ=r`ItaT+q?slA^SqT|Z2A=;G3(56Z?#36F2@3L1Mh!b+MNyr=j-)a4olg}@4sCgV;(?(ALpEXy9mQT7dUV#iLdcybE0*MC14Dfn0&v4T&LNu#@W%V* z8}X|N`4rNLtll-_&o_p>QUAsh{y*F_#iB*#tZsS;)?hV&h!5;4tgRdrA`dLP5RSFU1nH_5%hYMCNeQFIMK_X|bnL$}_P0$@hD5r-mQF}vG$m%VbN|}cZS+3XMsE|v zWVYw+?2pMd`r$hD+Vbn6>+|vJ;pR+MFRiMI(!+Ag>zmcnWhL}?JMroG>vQ1Y#of_^ za2>>Fe{HxJqbbGb^y=Z!1F_*Zy0ZIlK>1>WJ`wXQbd(uTlje)9_D z+i+ELJ~#zsD;N)21%s|pXR{+`iFYlZ2mNK7%9owv4PK(|bTEZYL6}oAdZZ0{9mICD z!u*kuZW0354R7W-vP_wvJ*(O%FHGTmboOp|lp&`& zjOH2m>q4Mf2;#^=R89M5wIHOSzW@UyWevTXj$py;;0>t9CDBF@N3@IkYedEpD!d55 z2;N$*Z@4|*5t`x?FlSqZ{LZ-oak}tNX}B#Zw_5pO5$hhcDOFodI$} zi@f^`@N^r1G>B&vZ!rU3Md&CjMRVmj;6c<)NB+jSZKb03M?qrhZ`nu%m|!V%BOV!< zyMUp#TZIMcDd0Yt5j5G(1Oo|YUAGCEU`<>v@uOr0pk~1MNeRWdS^>aSW3||iW@a7} z$bQ(51ovyb%Z_2Nk7K>_IX(>Nu)HxW)eQgl1gZ~tC`=Dsi)=?lO8Gtg*bMPuh`20&IGB51&yeQS2B`%^-12#gS!H z!tYLNc~@{0-&H3r8x1({jfcKJmd0^n230CU`|(Aca(Q`YQooIUhPShRkU9TyPvnV> zXTqV`vYw5=z}7erCv08;w@befZj15;p-nz0cQmnLDrzgIm{}C)A3@kBsSjfVE$U-_ z@N|Q3cx%MRI_5xsW5Uc6vysS2k99~e%+b2mWyRs&NhC<~kwElP%{d7-i>N#UvLa5v z8^!`ikM>`por~KYCGKF|2O?%LDjT}uTEu1Cf7vNll}t$;}B{UNXnU?}n z1v%JJ(R#zg;sz86MtJnYm9Vd~d0Lk|WH+H=nCC%;Pr2KsDOYbCbzFwLkdTU+PHDkV zS0gVfkhBsp!H{QBfJBETSO)w|2-_xfG(bXoz@x>2sF}*d7cta+tA;-$7Y7}NXRJ7X zpv`Zp0z8ixT4v%C1q{}$R8`cJrqn&Mq0WH`=yHPbYK|?Mp*j9I z=JrS#xoBKRq0nEDmvdP;!*f{?v?KyR zF)W*&r1|Ngq(Z)GswW4j^ynVIumZ=atc7h37+}3E)LB!P!V}2=sLbFn)Kh5>sZTx= z%FuH~H5Ie21|d>X@8P=Sz5&t|pJ~I9lvr4S2W{s9QaREYW^kl~WIBk^S>sDBS`<@5 zXl`$7VT&6!4qF1xid{?);!0OhD;EOQk!9Wm*4Qei6Y?=QftRhWZ*)-gpt}jsZ?5*B zi+v&SZq7JCUlRl7Cr60b7-Jo_zX`~;0S4Y3l& z&DgatwP_+;^xdF9cX0*BCTH3K|2i_dRDK|_7rVx@KF$~AdILuHQ5#0I+g*`!nM0KU z^7-hTG^5;Ih$OV>*w4$oge)84-nKh%-&YXyC9_d)NX_qVrd1`spr7c+^i4jN zi`y6yW7CG*hQ$7SFHq)vBoQ}$(zdMo3j8TA?tHkLo_^Qfr^TPaULkx6IZq*KulcF3 z$Rpj@zfx}`CQ2LbjHKFbH7?@Q`69Y);JyeuyMzK4zC>+5v17La$W^g<5yBe%MHSSt zw5}_kIef(LEkzbQLZN&f!M!s!33rrXU6FG^5xJ3X{XAG=k5RuBGs!Th7xeblf2pTG zZ2nSDV`C}zl|_afH#Kb&2$~~&8)iUskw^&(kiM0U^#{!QMdG7{badjnqu=IfK8Qy3 zd3Mr-;UqB-?P=KEy7yuap89!FiXt?3XqR=gCC?AsGCH#*{WuO3W(1ZRNRIt zMZSBd?R)~G6n3FeoXh<=sa z2i3X)n&krtzou634D#_N)+Z7cf5oOqv`o;9uyv#kVS;8Y)-N+tA{zeXH{3&(a!A>4B^xIahu(Ia;+ zWQ^5nfdH9R-^c&EmWo;5!@d(1DQwGu-)Na@(SopyMy0F~f14QW1s6D6SJb^!rzaCh~}i zj0E~L7c|eaB1HI227Na~B0Dhr`Q(&?EP1iUqf01cfXOJFnY15!A6 zP;|^Zf_o^GeP+nciF)gJCy4vuE`VYNHiIbK;7JF=Nh@;5>^C2Dj;5b969Op?<^pD) zU7{&q*5PKgt|uuot1}Lon=4|HZDB>m>eo7S3ojX}^QU&)4O7`lBf+K!=g6L7SQJ1w zwj@*=bkb&EC%L;&Hb_EiYzNUJ;MR&VDRYs$X=YTcDDoUxVkp%*=)E>(fYPU^CsGD) zD~7>OG{CE}9x>$=?|7@5$<1|&R@d@oGuVU5ME#n+U7sxN_95}tC?Dk7 zhwUKx(E$b#FetECF&P=MFUSCT9a9q{&7>AK9fSubkGwAFY4;E#dAz?89V?&@QR1zY zzG5(uKVx1>-5$I2JD*?+Si!aul>}w?i)OEjf_ICN1bUqxJ=>J;#fpb0^&pS&pwzm*Fh zXJw0ji4b@yq8<++3EU4~be>f6fIRY${t52qQS!hUrzPNp_N1{1K-okTb6UVD4rm`K z@4)Z02i=1!?ZHAmE=}=yeE$W9n^feDXgS0V@Ts~-S?K2E#nu5Py1}%zbeco+2?Iq z5<9SElQHnSc7=sM>tq2WxK;c&5YDzCJ6%iYiW0%d1POIE8xa{nXn!9@w$Zl6}!@vYz-EBqvRR*ZAV-LrL)Hdxa*+Vb?~3+5Ky zSQL7x{yv_z&;B!3x}Pg0liDWk$p)fm$I_$*gqI9XhTu(?2yZ-^!I4dtP7p_B!+@|+ zKWEHbSJc7~#UE@q)0APHSqF6;P@KO28y2|c|3#VccXLMntIYUM8>QL)PWFiHpB;Dq zi2Z*rI2h^ww?3n9iuJcB++R~K40t6)xL3MP4-tyQ6Ss8WX=rV{%>#@yQ>wneOZoBHVudlPbJNqN42w_8aZ#Z0~COEY%0?Z13zspbp@3mvkp&nY{6vvAv?BLu%=t z?4_mS75Um6_K9*y!imbP4{xv$$Acat{)?6iLAXd|JB!9 z<<~6+i2gNW`|0#;w@X{dBtkzDkH4YL%)F;~!}e*?vstuB*HLrNo4pmBZPO%4$Q-sr ziy{uP%KxNob>{Hlw}D|BzVl}S4KT6jPh8R?O(Z_1tm`8V%=B)bRd|Buhmb=efb9&- z6fBEQAF!uUuOX2GvHJGc)o$0B_9^8A@%n4ny5}&_IMOnK<);w4KTrR|ZFljWyT#!8 z0m$&N*on8*cmfZ26Ip%!Xax%;F_#NT#U;0nHVG=w{NJ72|eazwIe!N;e9DlostJSl@G z^F?Ek$130ox@>IPTdeej5&)@31Rr{Zq(i^AM!*#KF`tZ;4=VuEun+{|`dHmwxc2UO zlU^0?3vOV!m(0bQYi%Id3&Z?vmfFTNR!M^;0U@iE>;xb_fT#oWW<>}pogYxCWNwJ=*gbQ+V-OAMW&38+_GKC~4f$)YN0+_?4GiiRf`CuVqNjE|Sg1GF zdCzXTYOeU>d|RtSoJZ1J64^~S%S1_k?o%L7R90EJVo! z!HCE}5r1hrjX_Y5ApOyPVD{8;;bSVX-WhTQ7vpWiobouhFtu8|(8Z zi8ii(R7T3dWP8ymHSH~}vhZ-VojRr%e$gP58%9|?0mvI-W6)nJlZ5dKikJF9YN@c(*8d^CPV8bjjYFHqM#~{V<^v)Qva+4L#Eg^`? zML{3n+vLOIyecF*n(4-oKuc5F5)eMwR7Ol*491i`vTq7E_2X%uXxdqR86MrzC44O zhq(-)xkYqWi2;2#`o##Hgh*^Htyar;5-Q=z%X*rE<4~XI+J&4!Rb&qpj6$$+oqb7L zcIpK8_sEa%02?^J7zp)i1jiVbPTQrfn%{`&O_N9R?KarB9bl>CdHxhiwl>fFBBdM> z@y3p2P2y$f@|xT}yBSpW1&_v)ras2^KL$A7^92x)MUt!@z&Zq{FmOb|JkM7z`QLbg z7|P;b8+qp0Hlz!Tq5Btbjz_(ewhQrsAp9{V!d?PGnA)1ggLWAKk88UJ7Fzu#2 ztS6#6J7%DAEm>Uk&U#%L8}}^{w)JiX&-+xy?&S7*b$zf1I3Mj;zvMjS(5F*;d9O_X zi5`qe&qpAbtPw79DwaEdWNk(i_-A3#MTXwGMfG-tWTN{t<~KNh-xP^2=TM{8bo^## zeFTDgk}ISr2B*W>Ww*)%CXoalr?Do)EsFrU$;vI9y=B@;;+Qf>sjF(pzCM`w|U- zV0%Xe-s%aAvl4F-v&0)WC*L_tqXxE%yZ=CYIYp=S2}E!qY-7wZsYOoj^1DZ3UEcB8 z0s2x8iy&%UER8xYfs~GPUb?Ybt`Z|-f7%F}7g_2?zT=INubj+j&~sI_2=712?EX{5 zD3coHB{!%K+9)*oTzBEccuA-40nwWs zZvA1I>PdS8n33fP-ljowwrLt@Oc~XvC=}dTNz&DEI_b-%C<60QD5863e3~gL3XzO< zK(z7cK2YJz2g!ZtSR+wljTATPyg8q(3}_2a->oXqIPUtKJig;_W5~*mKfGZ3gUPkG zD-Ns%ech=HLB~))Sy93HuKp?{eCHuqhzvkcy##Xq^^y81`MZD=>RNl1@fGMUR}Gd@$%v`Rq$h|VvmeB z=v-;QFK|hc0`~uNMaA~Fp?Lp4S3oDqg#TBW_7`CAFN|yd8T5ed@5J@~tKY}O*7zS~ z6~jMb|KBSt26l%3%}KKT*E!f3IbLthu;m!m5y&vi$Ec6j7(=~}gOy2bO+ z<}_W@`wof@&*d&}3El1<{Mwq)!c#0!M@Js4*l)`kI4>wOgi*rYcC_|(!1RV3HPO8* zH`Ew5-uGZ~tn2;tSe{)uLAf{ND^%YD7vH_*&)^W%=y6nO6LQid*y6PkkIFE-1kX z@WzPQK$IMh2R{#$*iv{5Cs_|(?wu{57z+v6prITzFac4bQ4n1EiN;-Cu8r%sZ^N4U z*f@x;BU*9ran?w*v4c3UXc0y%Z*H7vrkn=Yxxj!)mg$cO4bj0Q{xTsGcCH_>pO~>@ zhhflyC2DD#c(hyHr2P**^HULI8RLAp-c*Gg1`M!!WR09NWv-lgCm7s=@;n^z_obp= zzFgcpay9g+RD;tRkz*EPGnp<R{MKLtC|QRT^1y#Pwuwz#KlvJPx`ez!|Wnz95mT zzHn3kr>cEhqJ2UBSWyM#vH`(onH}G=taQS1hjgG{3#)MZJOh-9@U5J+YWgaaRcT;a zUv#9PwA&pIH@>S)De~>N;wKGpbT9!~BCkMl{p|{pJi3qpxwa$HK1i#INg6O_ahk~n zvGQu4xF$()1ZBQQs8x3)5B3TE1%!GNz#WM|PICLE(=#|5%aE~hJPYHEnJ@u=p@?IB-~=%(@cGu}I0(1WeO5A>7w|wTeTrt-&}u$$5_vD9#~uwsWvWqI z1GGirR#ztuG$0>=)D4?A@@ZVZ==jo1c@nz&3Y_GoreH3Y}Qz(mNQOlSvsrqPg zg$Sc5Hf+^_Qwd=O=K+8?N#tPLNV-s90Ta8^4AU&bDM;gG`DMV|E9X28BRtE(CCM4p zXKut7{Mn^_)K15ZG8`w3e(e@uKTtGC2V__pC6k$jH zqeyYzP2tzCOW`475pxpTpV25+IO7iiY(2}+Ec-fTe_?d9U_>;Guv`iU-OBnoCuQRc zq;Ee@Yvku$jkc7(1T2N=$?(lF)Ce}>jSZ#|!<^WT!-q>+3K!eF->kS#6`Ysrln+t` zYe$C@63@Y0bs4kl8*&(NhWid4prssMR#%*roW1 z>;>v35Ws3tw~;upJ3)wMh^BE*CaWb6Yr0VZ14<|f*gn+_TOnHBkj8(P)IGy$L#BXt zUUIHsvkpM*T2xUS>A7as?*u^h12!6q(^aNWNJs^@caRq(3Wc$9AYLi(V`SnwiU`4b z(zHD7iklE!FQH0yJQ1_`KFF!DMWllqh_EQt1n9|S4a*Nl%1GbD z$CROKVPap|9|Vdkn+(Z?d&Gfm3oRij$@?+EFZ^qjn>qP(wSzaKLSzsX=a9sN`M5=E zMlp$$Iox_97kQO{m*C;LoyRRzLz6Hkh<1nh^cmH$#BF3|CkAF%9BNtk_n;l12llmd zOOuGm=~~@ygZq${%hr^RK7TLZNt;r*Eyw|)YY+v@YvJde$a%kx8({|!HB6sn$=-Cf%1>5h3l>+U@O*F_UH23dSc)9B2U}U?tmMUF2_59ycMv!ei$-b(@+||rnAvAVDhBGd z%HQT7e#u}uF&}F&JkB?b8dcRQm>sT-VY4rB z`|Uo^jXfE=8ty)#@`K(LqLWwefN0}N0@%|zGXZ3sOlP^m#e9izIey3Q#2O9z0m_;0 zeEf?#v-gbc-^3dk+5YG3QuY63az#-m_`yK3MciNoR3y=2BHmc# zQXkev9;`0g#L`eoz7?7O<#YU!Ael8zE~*;}fL45?-6MA_DiWrmBi&e;(dCPSv*P0F zk|;N3!kjV9Ct65%&)qRr6UA5=rQz{y1H;CPXDjrjdka7m5?%C-jmP-hp)FxZB_6IM zE!^;>BmMPqZ`AAd;}0XeNnDr^uJW!ts0Mi;r*t48HY0A*%O6bJ@y*mu&ZWwqtptmJ z?NDKyY035yYO%*{yR?7AMfsk+$aW?saBSNLHKZ4tPG?N$;kJ&Wx~lBNc_|R)*qa`# zi$tc%8;T^x_E3C(zVuS3@@)Wqj{{?5?F$78Q#UlvY8rOOwxYxaqBK>u*qCYU_7e=A zV>i`~C!mHwrce-77!ObRE-#cldY?D^lg`~2FdqccEJT_~6D%f_fNC@7*kr*!wrW3c zIXt|C#hn8fKAuh<(uU?WRCN>a>B8`~!m8QPf+a8~tLv#*SiEs2U%EQBsgYpS`@enDI#Q#TS5iHmJeCM zow@U-1TlOGxaPPk3T`2cY~-;YK-M|1pU{xK!vcbHLyU3<@>vh2<};8Hqu$t2^WB9D z6k+>%2w1@hq!Oys2t8M_)8&7~xCV})B+LvPj{5sg09)GD%<58>r0wetV6ro4a-HZ5 zFQ~FmT^dj@LkU{f$cK+Rp94Eej$txph4lt5y@eV*VTmIfvy6mVx{-81Zwg}UMn~bs z0){o?#^#oGhdv^9ebbVQI5nt;N)jk{rJBMEk}-Y%@AB=sv`WbFpZy$M!ax4yOYybh7Dn`AeX%KjbS?N9bugfQx1^u_&c+FeQy_2)0smG z_{|D$9ZgPkbrC@YrMdBKC7fgqy|XDqtaZSJ60pJs7QRU!Ft`NPu|CAk*(%0W=6BJ= z%*zCjs8dG%_8pRm=wkL`FOYpX1CKCe9KNWLDp}O@{k+@9@7n|%$Yh9+$rU!H+)r5; zam`0Zcc8(f8)?pCkmL<1h|aLvu}$U?U>h2X=$+9ERydW%VGL+@KAzt-f6 z97i>`68=P#Pz8mMTXYdq3 z!+5GgOi<)?Kj{+xQqC3>wzXuXxV*HesrGJN*BCI~t;lr&aDFE>%k z>jV6-<}#P*XLV83M9p;4>4O$YUudXVvSCAH+L+*mH*bZb9%u36iTbI?bKGcj~rX7B)cZ2!mW9GHy@{cSomTi(it%OWOpw8=_0klJI z%mHSKCYxX2#a4%`fddqt=;SmK$A8kke%>3w-n+ip8#2pgVOLa3*pyO#do3RHlmAsN z`G1YzWcynQ&VP20{Ui3jv2tbO_#Xu4rpAAV5tON}f({tPf!bWxOYD)@6yk#tfoZBu z3XqE9l3?-Pwz(R;#icAvmiYr(AP5x>E~YM~z6ahc>^Bxn*e+UpBMF$fDk_}ai%gC@ zIaMp$BZ;HUxO&C+)N+;9$-N#zzFq8Oe6pcIw{p?M#*SRr^hkYtFaMZ_z28chcxaCh z$Km^j8(Z58?^n-5(>H0p8!Jfn_>CmQr@j(>BMH~DyJQr2lM`yqI}2tLL`r@|T`F*T z4nAH#fr`I=O8er#Z3R*_b9EiVnF0RX|87d|uH7>8sl7joInfBkdOLf5XsQ(Hvsl%V z@kSfENe};;D1K${68I6yEd7S{TVY2Xjf6S;!h{Sb8TtZ2K-A44nGb- zi$rROL`skxO+IeXC!QY`^vxt}?MP6}fzvAys6>jFkjFw6WnlGhpbz%jeQUwr|I&h^ z8oqtJc0waX(=B?-a%ac-eqCt&BeRSJsi#DecJ(uE(H&hblLeje;dIIp{{*(`?mp-F zO55nY3HPHO*}?oG7lq^HV9PbQJm1<}zi#Y$5V{r+qLI8Vh9^0ZWQPWYb%W)pNBpef z7o#jFSpGt6Gf=AjK=givoEw7J1fD4yJLGbI+NF_)?C(4#1`cSre&0=Vm?<-CDZkg- z(gDiU?jnB9V-m@Ru4|gF9puRl`;8tb5NwmZBtjv$P-nJ{>O$}%xn9ea?qUG-$u}?m zVr?77`9x<~Zifiim7Qk?P{wfMU^yMnD|f4493FY}$RkUBMeBLN9m(stjS(~z?POY1 z=uQ{Bv{+JdBCUEko$^QK&GiH>Y%e#;tUDY~XIwpWoY4oCRZ)Y}UVm-e#27<{s5MFhhdWpMGYFw`)sK=m4KDf=6Sryuf zd-FwI>a0CnU#^8$eQ8ezFgXe)edf$uT+S|&8bfn`1jf%ypDNhf>b`Og{IWg^<28dn zfSa@pP&q4bN3^!mNSM%4^^@SZ5E-il>W(HUL8tAI#-N?Oi!#GM>=ypabL0%6jCreV zj*(1&4Ckyz2ogaQ0iej%c3U254jWnbcUDdTm7)@qH9h~b24s8`UzguP;c9|8xiOB2X}s;1TlidmJ6Gh4F(25P;7(&*3Z5GYt~<`$|Mg5oGy2p5tWDa=vU z(R4PB8T$&m!jybW+uPN-sC5!x=E4q!cm z54lckO6LQ4Hn`8sWyd}Md5=w;mM}LN+eq+&O8lU}bRH^*+OUyMwcs7TSnAXS%B{{; zhd`RDEvC*aDXTo^&jCH~eX-Mu7zRS$SqA#wtibSfTlof(3;Abd_$K8W4cSv1609?PD;`F~0C2u#fbD6F`P!k1S-G zs&SzyuW8_oZQ69@cD|w-F_X*EI|LkBIYv}_SN{0H9v<;FA{?RqH{%8@%jZ`vLI5=g zP3KnbXOD!AbYI>hqtJFEJ4IKzXj|K{ZQIVQm=)W$Z6_5}?20RPQn78@b}F{*v>iPxyn6uW-xr zIaY(}H)B)D6s~;;1l$M+kBIb)))=*g{ypR><%2=GsbN^M<2p-bNG=pO8)FVF=Q=tV z>1~Mdk&@P+6DT1&HdFCuAJB%hY5D?MHM0yXwc|ZwN8lQU{9QypjejzB**Qt`IV3Dw zaEtXObzx(4JlpZ!ue1(F>fd?bJCcAf>KSO`HUx{wWhA0{8R#!NWhP$PA@(Hl?$zNL z@M@K=74Ct(q>!)9d*`Ih)-d+j5}DY00ZATnc=<&n$_QVa&gYGE0zK!CIsKa^_5T{> z$o{udj{j_(^=IwB@M6Kq@-OY`s^jNK-1{N&1321vFc>Ok^VhgBg-m6N?u=>%&yq(r zz4~@wE?S)9@$ErtD-pFn3SGS&{^U>_o$q=;ttUo$d&%lHai`sK1ZJ(8P1;7~t^fMi ze%jf~@~cIuncal8NH<_a<35zvteU6i zYZ85_*QfXE?SU7U6S2(u%3o?PizB0NQ&w+Fw69jg?J!x4TZyc4GAD%t_Gb}uMi1{U z$2K&NNy&p+EatBd*6;h*+gkShO{A3P;>ed|*sV@GpVZ``m`*)y0VX(v^NHO4kywb| zt|cJ#i9~#p9rlAU>DGy-OPso*#=3bXm&GeRqvZ zKK$E!Z|SnMm4Ct*;5>cXS?iGRxi@Jje{UM?4q9sRwFTJEKIR=ERFvRPfdCXe+;Dcn zx{y#FfH#^kP6W`PalS=9?-Nv)y5%A%hPE2zet^_RXmG}5F@uT_Jv}4wKapth*Yd$|}1_l(E!4%qw4riA?uo@J0OO2IeQH5Oko9~cMPs__8 z47A~}pp}7+UbP%;@>jLD{e#_SV4GF+t|*6NuPqu(iLoI3C2F{Ah9F*uz5RF4fps_o zOcl@y^oZ%ilc?%}=2U&E7 z>Ui$`-*juJ`v8YxzI@}QTFu6UDQK53b0jr+EqGyS4k+9Kg)b1kb>oVAVout)4 zNT?$Dks3D{`koldL=)JG+1+~45GPbV+mn`6a`!7>p%V+hrDYKTYaI5?$)$=eb62aJ z2XXWqljc1dMm5&)VArLBsa#!-$iRG++BsdkLFD+zCo6~ufN?LQoAqN@=M&nq;M=-R zCS5)2;|5s2oKn-wF~qY(2X=o^VE~zzuwnl}mAQa~4#9H_xi?>+bJS9}`NjRriS&ZJ z0Tqd*P|A?imQ#7mXCQHDyC@lm2!h#lh#Cu->ZUJoS9{|^)4M*&yLXwp={py&aDvIw zMRIfaaYw<~Fz%2z(XL~eo6gp%fY)si74zYCtwsA4u&p{c&GN;54F6c&#t5#2boH#%S(HQHn;|cc1 z^yjZFfOfq;;7RM2=)o6h;_TTUgHD3pWf87ZdFek)2z1Hj$QX!7xobLx2_2-aX~|wz zcj`|qr+8`nPx0?%RG@q$wV}o0aI6!PJD;A>ph5e0jHy~eoA+K=HK*h=B6y{6q#vyiAk!kj^3 zhtp8)110JvFGBdNr?}ZWvm@*eq6zqu_>$sHD_}6Th09RBqE<5aXwO z@-P-@pI2lx>jJ!(uKdJ%MWZ^d_uzagrq&W(Yxe(IS&UNuq@!4Ua_k>eP^z0`cZlQE2jgH?Sn01NurSS9h=^ zs!79H5x2TxyD+j&T3?JK%*iN*8m=0(`}E=#)WRR;|ABGoAu0Ne-$jAXHY6xW23Y7Fw?Kop0H($`X+>iSP# z&mYAP)~RnAzHHtrwY|VeD=F7t(kM~>#o)81-@nO=A@q_W?AWS+QLrW9u(=C}yQKOQ zjZnPl9EX}cGgb3`QyuO3$TtCu#GHM+zYNfF1a|`qpssd0|2&3TQM8Ih6%aO)Y(t|) ze8INk?Nj3D+=b58HALi8OWX2_T_H95q6mhz*MOyN_Dx(|BF04Y8(vp=srgrmqJuYV zYXMAP&QVvzi0TxrR&g{KYn2Qs{LRXVKE3?mj%|e_`kP=FuscE*V6~GRk@QNW=oJkI z(9Nc*12Q`5?=WcBHpPoAIH{w-ZylsA#g5NIs$8YA>6di1g+3U*kJ>=l9`Mf>X5U*& zB>Up^4PQ==hnq`BLkkN#ZlQ_oHAWjw;xFU-#f>fxnciF2N^JF-@1^^A#@C|o0uwW_ zHG}mea&H7{t>uDUZwQ_&T*A$-VJ+8M93WuEk0xdOA5`q4{>?kj-;G@UX9n{e ze=ARKuknxN|DU!0!kZ3&<-1q@x-w!(Sc6A?8bGjQj&fp-PpLqFm>&+q!yhEn@J+YeNDbPo z+8Mbu43Z%g^D;@b5R*#1f6@9@snZRiKzY9?@zWtRvp~jaU|PjF#d|#bj(617$@!4R z_D5~I7Hq%Gi7kdyY|p~U$EaEDbVb*GGCjSq&sF{Q&DY%eiP$up@^DOuBO zNbhjDe~7k0p+8$T8JT5|Vg02jIdv|Mnbyf9LvWLZm6i8{VWezy%RJa~83y3s z+&Q)>AQr#so=wbn4N@Dvb!QlWDS4za_6|)B<^Ldn%PmWrI~yj|^ovs_&T>9+-wlp- zN@Z4|&$-Vxg2o}R#NR(`%Oiy1oTI#0RtR93JBwy<#v)?rqSYcw^f3B_%2W(O0?H&; ztvtU)Nwppwjjf#_8?!*F$P*MlN=;yEs^MogVleR$oa>_`x=wOG-;7r|VKB4}Bi;#% zj#FIC7vy!A&89pzVlN>q6T47^I}@(g0YcKYG}d6@qHVfk>l2i&CjXQGhco5y8zrMr z*FnyygZhn~s-6cPVWk?7qnRRZxehDA?Nm6|T)!F2<)O4%(o*$;E{NC5)7gJqvzD;c z@grDXLuJG%D|q~nV?Q(s`@$iIj8k-0HMTP^NCm77H0irOV$M=xv41v#?6kv_623GZ zrZS|&%1U>EYc<`vr^Mzdrh8Y~vgON|UOZ3lwQQ6Zo&!EFzfrQ^gEY$&k%E};p* z=cE$71FpCc`bo2~n`yXk2= z70#e-nN}J(SRW3NlwZEZR-fRNwBacNt+^T`ayI(Yc}?CRwvLr9t|6+g;C_#`2PBk* zuc8hU12?0sZ;8M*m6Fd|ItjP_EQx`fk%q;l|3^W2({!B*#DzH{^2&{6h7GP6A7o}4 zYlx$|*~3X;@Sr0m2wo?3@+Obwr^7gEU2#O}GYE-|Kf#ndd;N=EWk9bhf3?Eu_hLA= zjnsAA%Rn{B1zle`93wj&?>$CeB)n^1`Bw$|mm4 zj1o2mW+sCFcnJODA)!O`DJ?Q4#uf&GcJ5lA1R4_&D<><%7XT3l3o`>JK!=D?$j;W; z#Maq~h?(PWg~k5#oB1zT?9bYNK`*}i3y!b0YP<8<8MAMGCu(3+1e$FNMVU7RHLhJH z5eX>xfvGiz08?JR1cJ9*zbg}v`|%rFQr4NdU3deg7a)U=V1{roaJ5%4MiA#AF)=xq zWRfzbcH}QT7(agR!{hP0U}x1hWlti-<~joQ`uq*ugB=@TV8aO|ez@1Z3pxPt)hHp% zSNO4Xc-MhL-#9FqFgT$2;bsA`ZH^Gy?jSlL>2ZekZEExt8B;gvM(R;2{2=$%1>Ebl z`F?1P(84ahS58^R4q5*3!T5T|{t{TZcn%rg1$%*wjX%bU<+DBJ;5*j$LS|@9DO!tcz-Y>ob-b$Z46A`0j=#*t7cl2Fj#?~XEG;m~m$j;&-nL64&00%% z7VZFLUq(6ARu;c0y|K%nGCg%k2OEVVYHo4vL|OR)3swvY(Kf5(Q5hEcS^RawW|rm= zydhTW)FdRuX(`_1Z9`POhcATuXmXWhNY_XKlyPYB$^$mMa+xNl-x85PR_^H1)UBii z%+Qzn?{R6ZNSEgmsBwI39$M-x4dm=DR+fgT?BPhyS1O0UDms|Enr1S|>bLTD)*VMVq`JDyEb6(`<|2}j`xqR-W;Ji+c1!a^!fJ2kOJ~@HI1zhz_@^2vYq|5qCCq$)%C>_zI>c;!y;txh! zZgH}Iqd@;RP$G`M6D8vKXQ#A3YyTAmV*1~~Q|kYXD@W%lDUp#&qQ#+!?^KLWv1#nk zBT5jkXgMU%*HDgvhZ}snoKtaD(<$xvw!=Xfhw~9!aQi$2+)s|sd>1!E=j6PaETPHZ zHFa`4kxMG|{Qm6y^GWT9gmH#O55sOF&Mn^Q+LjCNksm59=BU@62r2}z&0)Yq-0*e5 z_?ZXKzNTw1WNUMwReO4B^icg9IX*4pFF&>Eq0u9C@yf0z@V2`2XQGk7L{Oh3F~JH;2aAR&ee~w7Yw`gU%rp_C zYz!A5492VwW|%|7l@JO0M+)?KC>nfL9fbGSFXhP#WN4|w$z2Lkq>oHE2tGInLobsD zfsblKN0SGbs6B6T7S%+$s*&G23g`(Sg##l)Ae-?l6Ngeq;phVj6ZVV6n>kos?t1xp zqUd`}N8is$Os{~GT+k%Nf?}hREzzDy6{j5zc}8`2F7k1&0SnVNb` zuT2;NC_)w(O78ib~kVmN7%nD^;rYC5e4%T z-}g`!bg_8Vpf(;iu|>{OL_(y==$*b@1ywwf9onG1TP$-!YmBYtwtLbJwyTh6T<6-; z$$(%-ka@guS;zQZqL=Triq!&ZmaDoW1S^mW3}((W8BArc178HZ8myz>;e*ldiP973 z=K1OhP)lruN|lLCa(GyCH&6*{HpJdHF*v&Dkh%K5ZnWB(dFU!@ZS2|&#lwfm<0!d% z?huew(|)?DUB(PF%!353)|AfVL-Jrcfi2@i-KlO~W_E3*qqrZ4gW{463(;Yz!g3|o zkrpsXRJCy!SPt`L-$_-qQk?0)Po|H<`kBC6aA%PF($zAx)5>X_^~ype|E<8Mu+ zYLMki7m2E-aqEg}w<7@?X}52Z;SNQe8er@`?4rF0rX#nb#iWy0d%xJCH#C5~90r71 zsNJ^KeBeWRg~7P?MG@KI-?3Dt7kAmZFJe~EOAMh$XnSvwtuh&?HS9DNCi(R2H&Qw( zQo>|UL1;#nZ(JK)2UZ*-rUT*7XD-2?KabY$z-&)(Qnm~)ygSCL2#779n2@^j85FUe zpG`KvSj)TOwwybjP5U$HU&}AL4e7Mjn5f#o)g-;wVETm`7Hu!Aw*YCURei-;oTK?T zzUa}cd0TD0H&ApcSXXYjrvyl==j(FAmGuX6S|I$e*CmQCvFQN3T^I&ed{-JQxHjGI zi2x>hB|8Q63)nn|iI}HN>=;e6_RPN{!h0RQe|I z)Ygf|n@$GE$Tkobw+Z!v*vY)_ z?e4zA!D{_T3~o~;g+K2E{xL3~@ozNd??#ONLsPN86D;ERXKOf)KWhI4jbUZ~-&3*A zqvOy0-ycWEe(+U-l18bOC_x3lE4TDQdNutb{7X-p%Qbm9s@fVy@^CK~t$C-yaWWy; z4F&owcyKQy>5mnP@sifZNzlvt{NCeC9$thFg-TGsl){HBBL3| zLGH*ym|%fxEbWjCId~yN8{c`W1Q(!a2d;q`qufi|XY}f6!!s)AqwB_XN3T!NGW`OG z)r}#iPjghHeSs707I~&0AEk|x{o-tn*08^9E=5sfYY`t)i&YW!+PSteY76;uL7CD9 z(h8MhE;q2IsF(uli!v7)%Z_r|z1z8Qr(m%BK+{w{Bs+8x^K@82IBMQ!pMz%6c=Hsq zd*TIv*biX&l8PgQ#;)84CP-hld0MslRJ=-D`8x|`hJP^K=li$&xT^P72R=%H)CWGD zz#lw`fwUuY6WXf56uBcN;fdWUP@ePo$rm_pPco~$TsY}Y$xVD0Ohcw%YJF5t@%nHP zLfw)~-C#-?fn4r^?303U&}*Spl%PW^`QKjGukeA7UEm2 z$UcWkPGe*&v)Vcg5upd*S@a-8fsDe>)=^zv9d2@V#oJ}8!xrAu0TFZ8fz8nf4>Apc zgv0Sb?E zzi?<%=a_>P=9Y0ex3HUsgq2@JCZy`ZwRP&mM{y%u(NRNm@suoZzVRj%#mXqC8%jqE z8TRN9U4wnK{+zSr-1Z}hD}6ImM}6z1E+L+(kZ3GZ?ofphX)gT9I_;!Q*dz}_HxU8< z!9!Z5I6$sA$GT?HrBpSwAbbapIUAFA`ir|VD5`iywzUCoJ~QfA_-j!+UU|d<^y1bn zGgte*<~Er|@``Hdx~g?E`cn(KgI?4fO1Y|~&Rmw!Rr%gbfP<}73<5x^##dE9Hq)j^ zwJRb#SPg6-9IXmmQ$&*W+|*x8{HM$4S{)78UK_;=kfuubfP~I`0E*#;zJ%ycySg^x z#jTQ2zCaD!@CSJ-nS0>1m8lj&iJh=~Nfhh7wixT7^FpNvtMGzoOHg+5oi}!k>|)Kar=DrH<|mTE93q zOxUO!3;$rGb6M_2Pv-Hq8kOXGi$h@|EJ4VBDL$K5EaR*4zymrc!%q($+92fX-e`4W zau&u4$3xyMg3Uj}#nM(z6USdOOw=(Nbl(No?m*45tYAGn;&s5xBQU_0;kZQ(U2?lc zM?w_TLY(I`ct?&tKOT?p!-Wxo6 zLU2#vlk9EgQqYuQ>Q;sD&J=w<68)H`>JM2j5o4TvsO)l}F zi%EDutmNJwM2q;I=*k*&`%@)zfH&&ams?aze2see$(Q%Xne*GdtBpdG!gxIloBLfr zO0Wt=8`jq_F*XuY(wZigKYp-IGts1NX*i3tOL>Yak6xhra*Z)Kq&fKm)!xOXpAan< zxQrfnGd|0)L6{MYv+vk~p z&oi!43rp)6l7))TYrAdf}_=R{5Q(`cQZKuA?5u$$s3M;_7~&$i~eFP{~B7S@c$p9cDY~? zVYA98<_lL?__BuKS=m8SFF54BZf(7Y z8kCkDp~)(&4adWmk^OA%)>k66Wf+o5Plopve`a`zV|v7@KGksWQP};?jAKvg?cRly zg=ci6QH@lVP|B0Tsn~bZ5uY2IsF7#9p$e^9SIS62a$X!|V_t4r+se zPcUx&EbFW&mA3=G5UKzLzz%Y$V|+$Q{L$bcR1WV&_jY4{UG71q=kWiMN)}>Y;csDY zz`vT?Le4fioo%tCS-23DoL%xuMsNbfJ@xPd;2d2D|7kI1vI0Rsx2X^TZ{naN!&G3g zs~{h#^lSBnXUGTS58;(Jh6C-*3#7^`+7*@(z^43#kKjWXYdA<*Zor$JqN;2~(p*47 zjAXe5c96HVnY87bo{@zDc=Ao>ClCvi8rhB4PE5tA-UEmj zU!wK!qElnajlVxv*ldx~t%dw*=aw_CF|!R^%L?x3vY)V+nS1iZkrHopc~6p)8c=4f zZ^NZp_^pplA<(Ry5Yt5MbuI+HQe_qty*dSEA(9w#h&y(R&NDcj*SB6+8bRtECjy9~h9N`x6MA>Xtcyg#8XRRgRo}P=8(bNssUfgsT`58o; zI3q+dB6IZcta_4B!GD0DVhNi07#8`O|5`vhfLjNt7Vk;OPG|y@QSdTP^#?dN@<<0% zP=4E_f60OW2$ZEOtgI+0(^&OggwZ(WriG6irs%2!OKa1MWXuXv>3Uz1rb#UcQ<HsS1Fa7Pn0Pji69fLwG>{&m z07o1zUH|VlaO(crIOQ`a_I9OZ+>pAl!%)l3<@K(EJ>GjkEphXEOQV%k3Uikf`Ld)k zdZb1&8nnU)ZmF0`$z2?rMWM?Y4ao-Ly4#2x>`t!v>hsVI5qW5eRodBb&!@*Lt4+|p zKtHrV1s*I;ZQxf#MVROB;=X$}u*Xr9k*~JD)vg1DJX>bZ8iWtPXOZl9zxD_4T2Q;} zxZhi^ZiS*e#i0BMpzF z|9C8!5}&&G&7@r_2-8vv7a~_bRss=%StBU zy2WlNoIy#pF4s&k&^a#mLrJ-QYpmAM$wz}ryM`z^nxn;2!p4Vb9VMM**4{By6Sx9F z5YJ+ua`-)EB3sNo<=u)3jH$THJ|(l<;kRF|BdNvht%Q;DaXt|rDB4oYeLpOyV?NUJ zmPn1vt>$o14SJAeVR?6XR@*+y@X;(wP%_8Q2Q|eq=%Cc#`O={Suf{A|J{Y&XoSEAI zb$g%H(H;a{A)*;!tflwFH_Q;I#9 zq^vBVX>T6g|D-*2Dk>;v2}`Z7soTdR>PC|KN0WB%R@ry&oH>$T$HItb`~TRu@vAeB z2n(aU&KrN@$76DgNOJ4#R=HfIiS(z#i^zr>q!AawRyM%f-Aazg?mB3!B0C{Gp_yad z@*Z;eYTHwdkO=-tcDI9$Qr2q)cr)Q>0#dPb5thR5#+HfmcB$~!uutJN7I6`)@rd#@ zHHeU_)dC2pSg}KMN^M&1e(WviMuA2q!%ipnAO27| zkq$XSShjFU&x_}c64%jRYcNmJ|ScXaOT6~~gK3^Mgo}6Li;FtV# zr)-XY!i&s6}Yq-s5ig<_&~`sYy21SdS{95H|elsX}b!L^I9r) zWY!4^sSOKdhmj(@C2Sc(e5LyNXT2;hVskw1{EQkCxsZXFp&G>AB1SA^g$@%A?ATSf z6PD*r*Bu=U!TyF5j|QOVf;4{GP-~D63zg4#cGI%*a%v<+$2N>a=?~H(C8v2MClj!y zCQ~RKLp*RdB(Klutimc|5xeChIiusM&*l~#P&g&Ev3b3aI>_&+F=;~_S~1naYX`kO zqmBqKrWg1UyLig-Dg*!dCkMv46C5gYK1y|MQNA-HQE;~)4+*29K?DoRseDHHVef@@5bHCpV z8w=_K%KYC<=ay=}j{4ZCHZAF2X?3$&l52^ol7D)HcQ#HC^_w<6hTGCEg55vigLHAY z{X802WO-`C>CAH7GWrz3(e|MpK|rZWgSqZfFdRFZ*r$#00hm1h_vyZUhE)Pe?DR$A z)}|#X#^&`%>rB4-`FU<#si5Kg!)17#bpH;U5I|T|;Z-bfp~y*^J;Kk}L2127K7>iH zX|h*3b-!{c=_fAr1^toI*VMK;G#Y(#(Lvo1!#q;MAQpG|s~)~x$C@;@#7HvqLD8Q^ ziKim47+m>htZFnCHm#kt4QA7D%MmLhX8Z=X#@Ftlx7ld*Z%yQ{l7HA&1aU-)R1ti4vB7 zH0$o2k(JGWX|vwA*sb&qrN0zRQomZ{*iAw>eVH{a#| zjOb{nYxVz@JI=owF!^r}$p1_$$oY4oCLDj}kpCBI@@MV8B7E%sJ9YMd3}Fd>ffYQF zNbaVhna^T6n^#v{RUt|+7a_z`SsAM(&Z76ee=hoHV|V4rgU@=Az9t^E?yUEIj$u>y zOOLk!dJDUU=I><{H+%K%L}oYrmTwLnj|~ks4^Juz`!3ZqS$yP^dg(C-5l6=F;iy6w zNwd(DaPOPAdD3KLy>c=bjkD0CYLD)`8R;VYK&+7M(*+d9ek7?qxbt)gQx`T zTy%&Na;xK%oZ=V;&_R-Xb`W!r?gy^U8&$h6()R_Mw^@%7YWHKBxg$*l#F1p2`MAna$x0t-egtQ?+mh{Hi_;osJebq0~mP0JT&v+fF07)ysWbik;_?6l-nkFK?-@Z%JVJrI-S%RONXP-GBF2v+ zFq92?3Vl7`lw#ituLjo+D$AVNg2f@#Oa4Y7m_jV4x}07@nF z5TOJ~fjlHPvxdv}0DfTsL7h!g3x-Et7xCU#(eEoYX%RGN2`$VxpT zG8$=gl#85kMzvN!y5cPJn^oaY2yqa^MP+NSU{X5vIy-z~_OJUIdamve8Jnwoom#Ys zT`JlvRu~mzXBX#9e3%%QRNeC}XURX`YU-g@3taJmzgpsooI4M0#Zk|fCrt#oM5#{E ze+8ZB|NW5XnXCzt%Xbe*H3ePG`)Ty9vB!k98?eIrfY1#Z50EOdmf@pi_q|X%`8JGr ze(G(VtL1k6+`xH!dgQm;dd27I!S~mEqw? zJSZtLbAls0$Ea4C?AvUzwl-#(yP8u!gw%I=ILs;*Y1ScO2G83|^xx?SRRR5qI@>g+ zMul8VH?U|lR)t_MIpouG^CD72_Rt@)8sg{QKE5kr@UHIohD4nR3XSjry;DtceC5OB z+W<6)-<~yzPRpA?Lu?)b7TG0hM5$D{uUjZ{(QXpDBU(TGw(p4jcDUq??YK9>#1l97 zDo9TTFmuuzxsp8())ETZ3Ne}5FQ?M{#NlMLHX>G8gSmad#9YQus01`u8c>z+1lv(D z!Dyv79-BIIcA7U+DYo7*XgP)y)S49%5Kp68akz+_GI*y3n}(+h;!DvEj@HTA-{x*- zOgs_Y^`pINi79C}OK0j8TAi0TSR@sF+gfGI_*X+pMUG@u1^m305+A`=&naUrcgd@O zE^Q|Bu84#RHSr)^tga`oVp}M=JKEjK@U890f?8+Vhg0l7q|+RXL@PPHvco{NRK70G zWLR9)$t+Gxg%@+NRYLPQ53^VP8XDx)ShLh>C0TChU0BWS+Zxqsv6qsJ{4ND~y5R^^ zfc9_X@$aTz{^Roaw{kH5Y^lTf7cF&I|AoZ-pGgd#hbb>)N#-a-4g~hGT^45~klc`> z1tt`LUy90#d57OG#uO98N2F$3&>_sj)0Z=z_R|I(pG&A}(~MQ)4kimLM*u171&h0m z->S8}Q*_rVXO0SuGe1d8`kN%i;S+Ys-MfBw7H>*%X$ISYKLXji87G?N$a+2!wby=# zrH@@*`|z~DO{gkEQfCZhXQQH$DT9;42SmyS!Z~{Jg=86fcQO>0gIyF=0jErd!6$OQ zMwATFqN&d^?r#4X8>pB<%KZljgT^}E3|HZQb1Rmb)JWDoF_lBRqEWb@stuT(rcl0w zs;GYMTx%KaMfeP34{JqW!?-L8V%{;(l5w<6xu(KmNUmP@zOVTXEQEC+hy(CTQ~$gK zT4JIPosV-62zTarevRDK;?saV0Uj?iSU{nti%0g8m!fpPvF3U9<{`1;&qM;e1*X5d zJ3a?r9)*x)#S@KFe$Sl6h(M{i(N!PhH!>O{su<2ng!_@*G`P2lq^2iZ;o6dcmNhZ?jA%_Gonr$$rDAItn0T~ol zL#XzGx_v|^Z4krCc%BrbKmx%K`sxe`_vNnp3n^^Y#x-f$jn^`V`r8%!8j(#RJBaK9Fw&`2yE-UXO)onL^EUyHvV2&ca(OUR{uTAqR zSu?5B(%lKW8iwg(+pVh8p<@B46yk&_(F8>z9UsI&!8XBxarM_|ACjPJk01DelBNO2 zWJ>TJSH01VO>(VM%PwjvZZEfQLql~$d-QD*!#F3!pvT<0_j?IXAjm?!U+~#I?O{W< zF~WDk&6KJQd%Vxu7zeW*s)t+~POpe@jsv!(2@iy|Y8$~>jKiGits%H{$?*XOT9RuP zMEwQ%QGC0!`IWtlVvxgd=V#Xll!NA~bv zr6jeP1CUEskdvIPb*vY@_G{%gQmRzXY4M9fUe$o^{Mx~yEF#^WpFaJeCgiH$raxj$ zqjJUNOGv~BXqtzAR2%hH@QE$t*TMbfo`(}K1<>`J!QC#k+$^$svIG^doxrosTeID@wpnYG*!`RC;>~GYxN7JW&+rdZzSh)-tI;*w}VB7 zpvVV}5r}m)nDPTZ)bzrNDiy0R0WEqp)53D-7%n-5vPE*NK5i7WChj=mH_M|%l{_Va zp6{`$raH0@#+Mk_;i5Z`@>f8tP!j~JR1L*gG&dGY_4f=RPHdT9@Z!0U_QO}KMZ4iK zcWc%7HhP1d+wkCWp|3@Q*VhkEl{i+xqD965chF+u4|!2dBHV)G)ex17kWOvH5ymV+ zugNOo&z((;#t_~Cqm>NT|2Mm#sa&)tZ@&s3E8 zm6@>Ox(hCA%Fx(gVbBmZg}>}I?7QKRf7$91dNs9^F%v#qn00~F`1yqgJeMi;*W^o> zeDW>EF-BR@J)gftk94UE&mMFUrwSovO8T~nWh82)z76h8PSa~miJ9nedXP2yluPRj}|n||Ba^p-=KXs|5n=PpKXNx zto>ISO^$z=u>KiLzL(rC2qst<3s zd}AfqqN!*hB#m76!B)bH9Wj}W1Q(wy2FvT?`CA#C!Uz>tv5LZ*v-1<@&wKNNq$2?1 z4A%xmrCxmF#l-OHlRL{>MttDd#USY?>(h;zCml%EvynW;zJp9E)%MCvpyP?j&3_B{ zPSQ4$CC+#UT6u4#doI^>34^ECLt0Ewx)OcY_hZs1n(kHN)CTr3?Di6YQu$pC@ZrSs z4Y9!7sV|n({|k;t0q5fHA8tQCC#>xx%Q{gA>yKjJxQ=|GX`=JPCN;no$sRk`N=9!G zf)y20@VL#XszS$6Mohh#yVa#ZBYch1M;_fXde;b%h!dC@$Uc+mdjdj-glA&nInWXu z6is)glfEd{U7-zTBlgfGvP4M?8PSk{XwlF0z0_VER$hg+eK^Yw-J%#h&pw5?1#~b!TB(~ed&rfTI zeB*hB_K+P*notqe+@G8UYHaT%o7W+Fb&cCKRE$LtCQQBrGkd9FF+C_@umDa8#EJh( z)nm`7EL#lSFHm`+d`gW~-GmRZO$TO@eAh+OXvQog%mhMmhP%uEG)H4gUZ&3cDTcA; z4Mzj!0xgslNf~7KYF6x(z$n*Ve&q8`z4TY-*PKvsOpgV>WO1hqT&Y6Ay05Itur;#b~xYAXgDB zD-}O^elsQ9dxtr<7w}V1wbLA1a0VD5wIGoDLX~7Y*Ct@z4fL-YUY~|-#ey1;>)2~= zmNJ_$;rWH0Q|d?NWzs~O=|5EJO{ZiLekMN5Bdl;b)uRF?srN|Kf`EIK7jWFh%Mo^h zDnS*Jf?4-vG*x!JU>!8k9+T-KOQal=I~DK@Gq$u`vd}H1eyPPy%J?BByEZcQ3c{lt zy4KiDXGd4(MH|HFGtT0CRF`E2vKWdvL8{4Vt7Y{kWX$3ELM98gl%4th4$^(!lmgEa z)SG`RWl+bwJZ{CQC|7`Ak?M&*+$cctE2q7T^gRBt@TRCkx`Bl>!}9MN%_inZX2Zv|KA| z^hT8$iOQWY;Z=A8pg_lRX2rv)^S2%sX^>`%8b_ppTkm&(hzx9LbXp5Sv46<*fC%=Y z;fy7PBpw~OA%Uirv&N9gU)y>aj)Nj^E)S^hlAGyhRYDQ>%DOHfIgWq|0m*VJ`Eyo{ z9Y=^JfkErf`-agxKdPH9!L#A_)77?6S0{HZ6N8vaRat01>JY$3xCP$b3^LU}MuDU*`!agtX6s94>|}C_RP^ ztb!H7HxuvN(EhMcVGR@ie)(sR1E)})?Cmm= zoc>%)Z`J|FisJzsHvFJo)HmmBw5`6XS2~p~zr!TczO^oY7m=4Xp5`vAz~vFEWTLg+ z`?{@0)f<$=2i`QpjUd+cxC{R!aEPDQ^rQ)dtQ-Pa>!QQZsi@uEQ{YtaJ7=*UR% zj@hW#%4w9|3=3WdMV^DGQ7|CKA+K0q@?~8|PoJFBUo-BeZLZtMl zwXq`cul!8e4^KZG1ut=0g=W@Fc@l6sUprtrAlXcn(pR>O@}YRjc(YO!uGUf8p?PCX z27cKB#8K;OhKvJ|mXXoz>o}h8PyRevnOQQrPM>EOXHVFF%x0th1Y~Dpj^3WINuAnW zy?k8JUmHFN*&s}kq8?cM{2Vw{1GL>Oa7+Qe$pd?-!niby%~9M)70Xqpgyo9WdWe(ojH@ZehzAWvAWihyq^~_?Q~Jt zp2+F<$%eus_%}j$`TvAub@e_W*`6nW$oM8rV7sN__yg}7Xj=xZT~s-c zyEUg5TzY^cZ!ofAV8PmHEWi&b6)CbHH?Zjy;^SoUS100)yKK+ntLYYLVchBIS2#~$oroC1PqKj$ zxN%?Ap3wnzl8aTai4=PBk7wlPp>Q=PK(<8G6j3WaFT+VBzz*cx$9Ua3k$t=XMtqj(xya(>2iqYWRFS>v%E!f`TP5d0Osh>vEL2Zn3 zB8_|4A?FeRwnGFHqWol`vLuE@gVT<;ILu^(Lu-WvVmqCMgI^$RXbx)(-@(LKF~oAH z_|XRw&G4eMo=Z3N7>k21PZSAQB})8*O(*?ryQ!_THZ8K?uGsyR&OU2T4tU?5iw%R=G9Ke zr<$wplve}fUKKgTMbm$v1wdG=#Tn7!V$;&3k)sEl_Ios~=APL}G{rQxfHPJh`r-ge9gWM*aFRXj zyob>&(KByVZaAv~JAMrF*;I=~hCTB-K|I779KTCGHJAOX{(Hu8%g^$R68>Im1$&BKvm36m^@2_*~Oq!e-SU0?1 zoFoH_lg5{}cggt^M-x*Bp7z@UuYoiz#jVtUyBFt(JnGK>N8LLHSHf@WzOijP=~x}x zwr$()*tTuk>e#l?v7K~$)9*g#?z8sZ>(=`4u3L3JW_}not5P+e{}|&LzXy|fO76J+ zCF9JbYlb0ri}&|$kO(`7s|J*a;Jqa%vnayeb2ZP=f^TLw2SNUxHX`aYC^)cT+o#&o z`0H#?Hl-HHZ*m7Vc%pV{5|_&n7gBV(o2!K}UAM6NUS3rSNshS{*ID5-`D+vw7MiV- z`_9>`vyj=e8Gu%s*lji?${89+Zgr%c?F*>a*wM%qVeK2u#3 zppT;WXD_H(2%?$@j%`IjcFU&#nyii-#)}nldoEg>l>+xRSW2+&6 z9soniaV)T52N#0Q^;C>!7}mQ8Y*gMMhqqdh7lCpr5thh`AJX*-MlMJniof^agO%xSB3MvGA4{HJ#5#C(y=pNe#rknowYcx3 z`c=atL!50iH|>13nGK~d4ZfWmx6?_&73s-mn@MfspS*kiZtK;5zI*;HyJ$xGztx2G z515?)sQoXzc38jvw~Ur0o;0>D_Qw z`VVOq^=ze8>yJ}Z_q$6P_wP9}Cioa(qh8!u^uK!Xx!f1_;sby465VB-3=m^?dS%K~ zXYoE(J0*G!X7zR5W(}aq9DvCj>M&gH|MmusDfFVIVzq#=)k0$@ajqdcVhQolzw?Lz zD|$_s;FbP%)tfYg%VQVvJtkOxJIm5^*Gu(@vZh(6iV?U-?s~ry5B$5!;d}EQ%5Ms*!POzRJ7kYy4ke{;nY3ybsx&cfK1@m zFi%pXP`qMHoPhK<-t4%yo)U8ZXJ3;z*@93pG}%C-V)^7k2_5P6WzG^ zob8XtXt###1TR6HB;EIiO=dT0h=hd%(>7{ith?ZG6z%}3qnFbJ1INn?*2zZ&!1bpv zPg1{2zXYWb_X9?-I^ZCxPOHro3Z;67_s60ut6l|`7%SPhi93a`K$@M|POf?U>Isi7+%Ow7F}&_HF*-U$qX= zXVwtE7Xmlk%lX>_jH++QWKl;Qm^4XuGMlC4kYIYQqr7Ko8tQ@bHpu7|0q26^xZ}sr zQqdJ?VQk^?p1Z95l=Vy{>g@mqNqmUz2?T1>N8|I??}uGX8}c-o_R>Q2fDAjWDZoa1 zV8*+2AfG(KWN2^9pCGn!2nS(xW>6NGt#pbmD&Fvt*hSj`OMD4X`Ms+Err<7Yh{wtS z`Z=t57lJfeQ9|BlD!3t0N21w4W=aAg;bLMDcL>k(VX~b2@qK0Uaj6Y}5dK7ADCF-&#qnEAju}3m)vDb=bijf(gr#!Qhwv~>wLouP zg}bwJp14t2+sSqry93iwF;_?l2hjN8^{zriSP7RO5&N6L&HDOSEOV%gBxLxl*;#zt z-+whPg|LOWxVO7{twz0@0SO!rWmbYxCTU@gfp0cEa5uFn)B_>Ve9eaHSEz*A5sgsu zgAujfy*->ZPa4b!SC@;6Y%h9kz+0~-4t?w)3jc^p#hwUk_+mR1WomXl=2vpd{ey-N zLpUZUgsUH?y{c&tk)~9o=LP__8befH_At5~C~D}Bey5&#M{zGq@?Ck%>~C26E5IU& zM%uGFE%_Q4Z6zm(zW_j*Bq1cRb4$$PH2PmvfW)=2gf31*Un>=2FRZf(le{Xl3>3kn z82mkfATWnl%$S?0l$&oAjR1y_#%)y39xhxXRp!|*GUvT!Nkhb_8I03GHw^l z>X9%}*YzX321)Yh_dDg}lHg?o!8VJ#y|vfr<3mseZss9d4X9ah<$zV4@=ep#HGy2D zO@+ZT*Jq1LP>1#dLJe; zi4WfDdHi+SyRwKW_b`f=&9L8~zfNM}a`iH7gRLHna29fwqZarzhj{a+DHQ2`Tcpea zlATlukr99q4IUwm&4?q!YJT-76HhI=SOw;w3N_qHo(l?Cn4p4D&W3c}bs6SbAA*8Q z@?ZVI6~=*u-4tm~&vGi??4PspxJKGO#m7hRf{k)hUr|D*c^;jGj`!L#H3f1kCaC{( z3X_&MZu~X;{WUPQsfJI%RlmGE`z)1ghJJtS8Z-T$opY{(ZBu4r_ zwf}`T!FQJbIsy5E&SOIuz5;v04b3hDD}GKaodhgd zoftN45SE`R|CPtZPH4NG72lGutM}%Y5GiF74E$$tfLkC%9>3N=nDDym8yCdwg#$;M z&zoEqe(Oe(ugo$z1d zIU9q7+|Vc`KHP`5^cY8WB%mjPiHM?M=&#`L#GVQ?8MiwRw%?9y1XsLY_ewks4DZkP z%dUY@ypv;~VYd$V2V6FTbtGEy#U6(0nCX!C;|m^OqJ$gr35)qP4>d6z#8z`VnE|`S zo7@@{*BUgOYsxy-devp6Trk{8MM@QR@VMbzLiQ=xvgR+}Q!G(1%%$@QC$9*yDjnGn zGLXZu4I0Ln+Q$uXlb}ZslB|D{#wta}(btq^)f%FnQvXM5kZx3U>$LNs}%z9(#dj_W2`+JCLwNHiCu3EdegYcI)|q z;vCz}iOFyvGxggGh;x5STyV6I0M2yFKo+%wVxQSf)MF>b$>@@wOIH+p;U<^nLuOpV zlncj=HB!=I=6S~yxElGEDSHR6>{ju*7B06+as$Z!Y z^sMg+1+I#ej-3qxJprmSeizY6-;i}J+&o=Rzo3!XDzP~jcg`h*)I+3Caj|X=c}LjF zaNTACqI0YYzGDKE zTn#1Xz;4cx^g_+P&fC3pS!K->TE}N7=kBGYV*b{~>Cs$PNfH9`+yi&PrDOUfe|p$+ zeC+9m3dmWL!4r*}Du|2s`UGL@prIu8hQ7TuH*DI4M|hP3DY^^)>b7UG*_cb?YsWBJ zOdq|49a)`ml3dz5-i|aatEvsL>O_m=z{ch}sX?<&0z9ZvjB4){^-iW4_Qn-)b0Ok} zToW^9Ij7|*&Be!MT@ny01qYYr8ts%bsOtBTbQ^t|Lz}vHD9DBCjlrgM2Fn~CQ(^Wf zfTuQTE!4(pDDo{!&ZPkhM2%4WX4CeIv6o+Sw7)!0UD6=N+}&!my;u4I&j#{)Zv@SM za9En>@HhhWr@a!is?Bq%$=o5$eEdGGqmei#8=bttw=F&SiJ)%Kj6LZ~De5P7~a) zHrsEb+;{~%%ARJN!}-qv#?7Jd6);2P7ga~ zNAfGT@0F_6sKd5{Yyr&;7Yeslm~F1N*gt1tYl}z^U8i=mroWfUZm=C0zZE$m)Kq;v z%bXs1DuPaUu~NN`nju%+P0#eRInp2-r*l#O(b#U{;PYSs2MtuNn~o%ICyWQ@2=5h>GEg)w6q&_8L2D$E)V(iBPh*e^sggE006s?j;8fhQ z7TmZPxtdu~;Ev5O_H+e+4j&%e2L}9nu>(CaYTHoyr{~QhX~fXa$qH2HaEtq8_mwik z(ZFAE9<|9yhwfIEX$7Wsk%o>^YF!@3mpQg@#8wT2fdKv19ip|2m-;?#brjQYCZ0|Z z__!e;p)ltk1G4DIpr-;B{1H?$LE3=e!3iCmM&$4fJ2V?*=|mF&%JO&Csi1dQO#KAg zed3D~d_p3OrK)Zl{EJ}o5Yk#bg~8BBcR9B@t#pu*V3sVtgztPoBkXXxs|cKU^&`p^Xk{fI5pwZte|>S zZjSoZtJQBMtp%@G7w1@D>@RAy-&;MxkK$yd>JuYq*&EW}D?AAc&|^$?!&Kc-C}Uy@ zb!bVMQ*txoQzfAm4&s5F+pPFs+XmjeX#AWLy43;TwQgQR!o=-J{V381D60%@pP7YFbaO> zA3mIgF*gWSDt8x}K!COUF4VsU<6P^?{v4=DwIY2Xb(ge)o1{q=O#@#`EB1NKo$o*? zpF5W>fdY9JN~Dh~Z(W&}{{c3Eqqj9N=)?yWnS0%S`Rk)gdY^eK6m3x~<%pf828TZmr(%P&ro{P+d ziK%igIna5dxh`cSfP#0KFZpRhWA3s&u_UYbdjHZpjB2rLRVh{2d;6Rk%3Haoz{0q) zN_OVDYx0|Hr2k7dNf|jS`!(p&G++~A91wZIp>LowJAuA7oS0e#8t%D|g@9`+e8ZR| zD?@Q99lE!3FD8NG&x{|BWt-BK#MNQv^R>zEaF1>Qd(7)G;cLJ2=u#C5EDXD{!$G(o z(k?tDE|d-O+Fn+V+kUA#`Ev7cIpfnhiDXSLL=}iB6gq#FaWvQ8<_%cr+St^6Yn!L! z6lQ59skGP_)Anp>V?eLBd~NMmxXAE*)VPxVFvzGGT!fuCGVYaeC8#&Kq?J@M?iQKl zx?`tcq~4Dn+}qwP-I>R9R&7% z5vfCZDK=6CW>BOwab{~7#e&BcU+JQ36im{a>c7kd3Zq}2f4_Q7-LS((k5lFp?uC23 zpx~$~b9j&8jcU_p(p?7Zo)LZJQ<&=%D)Z1qM~@uMBj38OT!HGc%^I0JV6ok`-Hxo_liTX_h$_g^A=KVqjb4{{U%`ki4h*0%{5h1BHgMe<=@|W(3O@}q zieZ<)e%5qZst_bIYivsYok@DVu={CjhSvc)MxGzHh1ROyQ%}8=ICx>~&mb%~?jLH8 zRd(@Xt8SY>;0sPGWCQ>_*&isxkspst3Tm90sQ%N{lP{bsI}yke!HzOt0&x@-6%tSt z8aNZ@-t*_9=l=O^VC)W7!Rzh*uf*D&qsCMuIx4WAo4>0<;UH5IN3-N{qw4zA>3cQ?s%8z7b-4(xr;+gCddpct7@gIKU+g-ckAC zNa(Aig{3^iFZ%b?Y5<4fyZ7M->VSOF7r-7!pV*)94iNx!;-Y)+F-ja2DtmTdhT+p{ zx*WvqCi(Ru5HS^}H1D6XL+rR>)CQR>U_N}U@EXK*jCiyNf8U6?WMqTUD)w&py?(toq`egw{@7sXuLWa?Ij zlS-x8>mcx&>peSUiArky^rf3aDxQO~R1P-R@6PhgQ)Xb{JaBZQSX!zaMX-=*va#Q! z;;~1?XQW(4leMk!olw>DlCYukHqZ2l8lXKLi8)13njaI-=Pi8MFxGy#j$dlb1zPJrT~=$m#-JKsp@H|I3<55% zX<)M-)jD|=!B&vl3bJOk`a@_@&lr;Zmhh)#yH6ln5!s^neS(%{e0}`$5c?DB@)V_0 z%OJv-)><<^q;6oQ@%93Z))IA-r<1<;^k+;iPqlPq+c15bRi=As8PX$cd!}|pl@Vf; zHoL9CUD(akh-Mx(R!x!}0}fvG6>hNO5)2Y`@X)YKZpCPmL2%ecRw5@;o4(*|Z?bw5 z<*d1FN?x4h0|ArWTDPWZrKKONvDjdBe3#@o8H;Bymxjri$>^!<9Szwo;gUx8c1gTG zwB^A<(uu~SARMh-(n+ATS9{nGOOk7dNY1OeCZ@h857pXON}KK0iuI-+2;uSvr5!=e z&R3r81~F{6VO56^nEKrskj~8QE=&RzSREEleM{Ze`n;!_XG7YX)svCF7UbH_och?C z87s*)TT7GLPU|d}r!Lm^trD3vm1vLnR4o21^A^qH3r)DcaREo#Y?_*T57&A%Wz;j}9#O{LVYsAD}{5E{y2^3seaG z0xCS9dc|KnS)-m}xuHAY#{TJw+I{iGyE^%MSTYm-bVbam3K_&4hY(X{@l2CxU=2Hp zk2F-}n(;J_PG)E>_vb~V$V$#%N!02LR{X@#6>nAq*keOA8C^ zlm>xrWx{~}ga_Y$*@PAt!ly6Z?s9V!UiodUQ7IOSTENQ_RK=DYD4Hl7GvrWMhUiSK z*a(v?uu?*Fa9Xz*Q`3&evidc$`&GOJtVZFnf-U9CY@{GgA7K*9o9PFp#tonFA{1zliRc4d0zbESUf zOF9>z$$3t>V9Mbvk`gkOJkA6N;w8}r5y=$bVgePFF%uw+OqYueVGFsdH~sD8(=6(m z)RUR0(;?C0l*{6DSV9X(VV=oWb}1Zq9T}=Ntn@4_g#1#2T;9WzGMAk+w=6-PIyXNC z);LlAij+{Zf=^a)H=jo+Q)+*?_?_pLSw|=le;4)_m%jCJZ&%XM))<7{sG$CN1^ppB zU@AJQ$sR6J#vv%m01%aK&^AdRdqE(-VH4k2&LXKiDD>NbH59wD>TCiEShzz_s%@?% z2d^9orVOYwFq}u?Zx0g8s7I_%9xoo6^WM#-qsusk6~Qinj-alWH@xFF2pHYCQR}cq z6T&0@b|KpBo)@H4=b-jjL>Kp?OKzXY8s56%iRB!!N9N2AQnmN>#dS*@ky*lPs(v~3 z?&fUN@t=$(f{;QXPPW^&X;Wo|XK|5bj}N6`n?=Lm8F)JiVU8`*Xr_P?cHItFE3SPl zH=gS3)RO8NJI?L|atE{MR=9;E; z4$Q3bq6On4-P_$4(qyxHkguWJG*;dX*tfZkh0P(AJxAcYJ}0t2o=pwgY7=bzT*W%rpw9>GAxmO%rn9wxgyFee!KYNz zAqhGf0S_%y7#L1d%dMU%#o-tlNJ$mae{_NCw>FtbE+>(Pmnm6untnfOg8rQ%UEB3Y zkJ}Gw!~h%qtzjl99T6wp91(V$-03&K4^xm)Kih5K`@T!K+OUgA!D=j!gfbiG_F}ba zV{mA6hGHSBwB0F2@XdwBBCgVVT=zf|B~OLbKD=!6EQ{CVmnj7zMyAK6#xUzdgv0II!9Lyh@=^@HtyquU!2j9)$$Ga6w>E{ zgF~E8Fl^yxfJ|M)rmq5%Ye6sg*La@^?V-K5#FJQ<9ehJpNvhYV%Nh{m5^$be~Q9XM2c4!f6uZ2ROyLS*d0v*wHrqV( zU60lVKBS1vAo0vWV9#Zl{F4{#DTA(dY)FD$8}XB9&UZz2lq_l9;j@jG75|B-{oP)b ze~+j!{GARJ_J6lGk^WBE0)A8HHl*+&_{g;qKJhXx2KJpY{Mr#`NIMyx%jTGOMdm@0C5%))y*v{ z@6C(N>4r;Tj;V;o3Ddz39{0P)*v^wC%}qlYq&e&+%|GBg#II!((q0W=*SbqY90Si* zlcs8KhQB?ti9(?$CQpD49BK40LnvCv1^>SdEc;!D`*fDXh%<-Hx2n_3wf4Z zJeUfiKKty3xpb?BR86ipeOS-Pgs4pWzhsRp;>NMzXQ!n{YZ%xO4vOt*7gW18l8X^N zgmdkP0viaaiMxnIYO0Z)1w{14K8chHqu?+Y5Pd>@cW*I+tnV(ZUb@_M>d-W~*Hj1W z>=wv5Zg)r~)SLm^B*e-GFTVOP7`K(j5RV6&ysCJ%rTpx)5> zI|2ew;z=$VcOYRS=#ZnO9t$go$JBFMG{CxPoDsi$NCmyqNr9-?enF5#qh&OoYD&X3OC8+rMt|dh?Crztvy#G0?_B? zG-lUdk-+{WUI#YxMIyCWcs9KgzYWkZVTM=@4;fB;7wyK*)~5meL6uk)Nw-S-9tPpn z<}-04O;#Qj@9$q_^pAlO+U)=_U;A93U4FiqxF_lQG@;ZMhHWIPk#yI^BC2TC)T<@D z4^vd+X|ONyTwG@Uu$@-`3w&wXL>4w&irwt)vUQ~}JW%IowQV3tDm2*iegHOir0Yzj zabzp@K^z%<2I@fOx;M1CD&IRB`Cd#vZNbr!akB0&4ky*3^4ul8Vn9Eb&pk1MmzdOM z9m~q$!?EhBPGLwH-#&Js^71I$M}KaHtN$(G!V2IB~lEfedT`C+#% zx{PA|G~A+%NSPOxBcZ#6ZilSw5s~Gc*}tS_ahJ!F(d8IN68X8W|H_m?(w2qU1tVHh5uQ;82;}tQ!xCU zW(xLyfc-H3Rr_C%FUJ2b;yQG#AEQA!UH)I(*Nb3Ss--Ht$6h$7#bR8*sPj<=-#^^f zxO&EUX4J<$1R=ztj=e6fr|ZN~>CMCngW24Qic#r#lnBoTp@vbXhOP`LUfaoi?K;|u zd*HVSR+!IrX$7lz zhGA#M~-_z&|_g-vm%fM>{?AtpnB|jp z*GCY_;9OsRY5)@nq$0U7lwEdz1;=l#Sg_zfVZo0l*EIvluBeN*w?6K%W=H9Sn?zQW z_IS6x;YEWQP~@GcQU1!}F%Z?`Db+gv~;nkbTMZ6;*|jweZH&sTo% zp6C{a1kE|7BP1tq4H3jJrItmG1oYNhAOxP>$|Wx1-~phm&sf806)*9D0O_uwKd$y2 z6p1-#5sy<90FC77XkuyxtZLWxv%3M{dY|*z3K6jonNi!*|8~{0&5F-_`3mkRsq?%` ztlhJU2K1)`lA$-l9pui^`DRpztl*dr59U|V8}w~Mpo)j2=Sh~-ne|}KV2gQRr8@!l zeE5y)a=fUFFITx)OpSXp4J)WaKp{WyNY}PU-Kq!E(;}R&M|ge#KV6P6v8tyo3E`EI zfOl6M4Oelcak19!zV5qpd`nwBb>k`0kz@+Kb;fzGY6nvX?NNoy^crWme_dQ)1)~H; zf8NIW9@%67zjxd#7t52sMh7CAa^aworDr})y4iu6aWtVyEOc%&ecdHgud_Z!X{FWC3GPfo)@T}O?e&9$ zLGPm-n5*T(S2%jm)$>g*SH3<}gcciqgQY_Nsiz?ahZ}R-YC#$(aq*z5O)tDY6wnu= z;Xa$dT*`ocFNXd_(9B{&?QG;KZtc^=mzdEV40{czI7C#Xvcbr1ljlIeC?F&b#wGY`@eojT;795EecLs>fh)WG^cXGEXhR17(3Ao*q6p?J($ z+f!{KzU_m3o| zoFVl%;>jT0aQql?99(Za*%gfL83&^tbrYk{&->QdbjeRQ;S*%@laD5h@7+<~bkSYK z+eZ?lUk+j2pLV(3S#jaW@Y%ygoVao9`gXugA{q04fewO;>;R{M89D28V=>#~zFQuTH4mjqSI!&|Cm7 zCh$woWMuIW!XPXvA)q2ORV&_?n81MV-;R3P_I6aiUsC0ct^P+B5i>%0T%hQ;HmnDNqi&wr+;O^ot$oWOpV{&%X)1!BYQlUbHsO zLkTJ^v$zVXz}-C+n;8+--zlfx5#`N{6e+S+Xq;1I?;ph*UqcgF482VClCoj7!#@Gi zG6)-YsZFLyyuja?qgPmC;AFdS55@SLQgr+ce) z)5GYii8+G=JUjx)+A`tV$l{CkeTsnL1_Q>A-*Vg^?-xOFe}Ka0gsu;*)Lo{3tY?X? zR7TOywx7XhBHzsnNN$g>BF!iq4sCK}f6{sLrK;G{np~TrKi`jh?o@@fRW2YTvCx>) zA#2DeI9_DSvC}ANAE5 z!K!~B?l>eS1-95wYBwPnWP&ZHD9b_)Ii$7{djf{Q1ssuU8a9w-Da>Rx65UsXk>=Oy z@H2631l1jj2Z#B>q!Pbs1KEu&0bzYJwme}jjsr1``EAa>QvvXO>P3y1uEFpwh|Xo$ zt&vgz$EC4iIw?I^o^^0u^LuqmF^LLSi>7CC(`Ft0%ngm{=3-7m=-3O7iR-;#j8>ha zpLlCebc>DRTlujVnbu_Buk}LqVR1rr76P|KWAs$c=WRFu57RV$6x{3&-mV zm;)gDLL%ko3H)ce)#puhSCbqftVEJ{IBL(?0>N ztI#(8iPrqxu7rR0kr>0@>O%Mjjtt{pwf_axVPg58_DUiM-sriXwJ}BtRgXKjeCGgR zds=2QjrOzP>*Q)4G>EknX@whD{GXnt$%TW0mUOWteE`C9+fz4_bq!kG6lZT7lNJt6 zjb4h&=FLm0`(EzNt2(sPc+DQq%-RRS8b=ww9xQJkHzD0US#oH$zb@h%&YmNY!F;X< z$dLiVu35=UTkbH4sXDzDAfo4t3;eF!_}bjj%+id0|oQeL5HJAt-qcH)%al`n>2 zua}PML>YY$Ju`s3^A8`;0F!*gr+B7KOY|fRqcPIH4&vn(SdTV3uDee>wHGY&YaE=8 z`|w9kRK6mku&T&-OcAw^pMBbxQ~Ke+B;=DKanB)ZsiBd_`gR$=C^ALHeg>K4Zk47n zT>&tEfx3ZgkZ6hXk%WO|e(`-RmdcJAcy(g)CrjAC++$7Z^6jO7@DvddA)4rW&d~2x zYwj@F-yH--ZmACMQxasaAT&QR(WriX-SNJ%`os>$U;EbY=+S~RNDC#5qtFCYARLy9 zwQ<}(w!j<0zgpQ%@!RQMBCAo5tyog~zSW%K`0q3L5Ozrd89PelwodF(MbP(>M73$( z1k&3OTS+0tnB8Z7t6JtR?FNjXf<-sbYA0JkrR~J!Xx_}HYQIOm`n{qGE7UU?B-_1? z1A}4|vzQs?(>ME*9~T(AAnOSbq1eCJYy|vJg#Cqezs@P z^qbY06Fz78nBOw(gNZViJ*SHkrx?=4CN2qCHBw#~y(rOUEo>ZcC7}awWzt1;GI2q% zUi5qE`V>sw<||GbkN-MAa}S6sUuyEWDv1vyw2sEGQd}TYoQq`1db=|M7BZM)kBKRqIwq@nn~!N06kAZ96@i)lpa@EE8(?#pfAxCTx&Kq84&;E?NwI3* z2;)%td28chMq+$$T-KQRf_6!pFGb=x+5^wy3HKDGi$&R)7Fj`08*#gS##^I7Npbs> zB4cc7piS{(o!wlJcWv-Jm9s|>`_s4PAfM|EEnT(v8P*C7NluHtgsf3TAvpyl8_SaLsb&BQ+PJM(NHP3ZIp=EDYP($6WvHJEdf0a9;vC^bNBZLyRGbnB)yaecjCpvSX1gH0FYGIK*1i_Z0GDwXNC%5Eev=C-ym6J;k~7^w9pTY&$u(Fk>pw$wj%tiksSrx-UJFN&01+2RnVO&PxIY zB$^HwzcL3`pT&^as)A_R$vO9XOsa&Qfq%|pX@-dCgm(&2!T{`_I6qE!WM z$(gh(Ior6TMa@VN_rrvt)bhU^J6n#TGrQFn2c2D%6H@3(Y)?5l+DA?ItvozhI#aWG zsP9fntaK99wKtN!xM~3{A^ST{T{Zm*eNxZ$cB;b-XcS zrt)JX%L_7Pj2iQik&TsvnWGB)Wdb85aB}*;uxjP$Ylr=slvYC3#{(j+q;DjX*GRmj z$Dr)*&RiV+MNH#yT?b!;(s(P{BWjKqncLUSN?S1=D!Dwzwf++mD%!M@$uB}FNOjp? zgwi{xFG8vKE0hhuc`8~-Cd7i4o(CA2Tu=A}COtLto5`gZGov z>qS##N<1+of$p0VRW$4$MyVCG<@lPMNh|$dh|=&sVs~KdbV`G>t%UTqR1`##=5y?mYM#N8tRKvs*Q{9 z*$K0TX|@0;nSbhOR%h4b`>khTiKm^{h8BA&ucAyJ&qC~qvf4UDKNen3eq#?;gG^xQ z_9LNVB;YxMv-Y_&_ks(fVb(byw*WN{YE&ci@B$VN?Et6SB|%k*kET@5uB%jExD^0V zTfxtn(B{yq-@+GvnP7y*a)y>=ftJs+ylK2x!frXWaD-n6lFHe3HFstKyROctZUc!! z3YOEnyap_=975o}L3%==13o`RYmGTwslHK|+9t&*ABz_#sBEQeG40*bMb;S0xUz>_ zpKj;&F4v3qhVMD{CizW2s&iuAJaDx=M8sGpfM={ACMV^KXWB~fLoFwZWMNs;dE?v$}rlw@osAqfUft8wM%ymJ5xM4>jas)9!S)=#-aKYEcEMwe*ZVE3U;;sLL4I^J z5v(~$EDUum8$p>8T9Nc$T1wIfthJZ}AJr~Z127s4=n%rfwV)K*y1};H@?}IT-5gu) zz8{2R5Wz-4%HHCnTs5`m<&Nkr#i$K^OT^Ov7A&Q)DS?gqU(8V}6bS(dDl%z^!rOQ` z(?@Bs{Zm3YI@n&B(7_v)W3apJ0%C1^Ho*=$)y)QCC^N9$0Mzs8tLmN*Ag;~l-)+t3 znMhb)z#WEVS)wJ^-!;Ke$ZK8XfEm*=@T#-VhA|E>md_CSPWSLoHYjRK*Kk-{@h~b7 z2c#qvuuxm^yp;;%gyQ3AumSgXlE?y{d>+rj+CQYTFl#dc^be z#`kI{W1X-7PYcpqzJNaFZP(0MW0J;Dl$@%s7$i>PM9yQs=*2#R3!OFT3m+52&zhx2 z3~L?Rv zA%x!?snv1&LJZj}+6^1?7$4!UqEq~eLD1-q$O2iJ&(Y|<3Otu_Q39W zFc;Vi@5u+o1b+)@gdL1rRS;5*iBVZawzKlxcDEUd*Sy`4KkcKILqw zdpGvO!FmCCnuHBPMF{_>z~$`J({h*_q3w}}?_E@A02%|lZKp=g#&67C zh`&*^X+?`>4qSu(G(dwEMtW=BzjfgXA7%FL#E#(+#%ECy#>@ℓb!u84V27UsaQ zOGJ77!Td(j(XpAmb~>mzW-Wx~WKiG?s4MR589;Y_Z0hhl?{<$CtN<%|A582v5nsri zDLYHuKJqaZ{ti1@?T|SW`DmBE#E2&Kq=7uP2g0NH)wELc;^llD+?+J>_M-KKnUERR zov)(fJCsbez8w&WyR(1Mm2hX z)72*{Ny9bCkbsKO4kE@&_Gan!5ZzxtB4bZP_wQdD?pR5(Ke*_s#S>8zbm`1OhU4u9 z4Iao-l8npTj27UppDEtJ;vC^(ff0hx<-_$u=ORiEfCr2Z>a~O|?RGTZoST7;Ccmqy zjx8sJDkhKFsDeTP_2TKw6tk{M-NsSE zLCFFxm%^>3Er;1J{QWi30dLaw=3QNA-po%{r50+&WV(9S{{4|1AS7?%IPzmBJ>_On zvN#F3-8~4xQ7ZxH7xRWh&Pi2j^VExZ}bsEo8}w>N-6PzQV( zD=|i>%qCcY)7{E4(}V-&YKu%e$SS9^Qe!K*TBMfx6~7hV0nmjtiy=QG6~zCNkTO;T z&iA66e|rKL>z!7b*$y1`JSp8hmVR!E7N@RMf8rP7sQyh1WUW#0J8gR#CS&|&#Ve}s zWd1h%c(Ho3aFM7$vYRZxN06t5PL~3tsrhs<^0z|O7$pPymRY}j8L=7y3W&RURtdFG z3A%ir%pDzT1@o~;Og@Yg* zq7|zFSr{kpwN5g{)PDc%?5DZn>C|qoIHv2502JV0yH7hJ7n36K%Y_0!A;jYi;Onm| zzT{W`=j`y-_D(;gJDdnu+s`4Ry3Q2q^mxE?T!KAnvF@R@zDOif@4_yBLWL;QO~3@0 zsL^<6BQFReGbua(OsoWOzTlz#uYcC|cZL@o>>Gb5yv++UW#=&)_29^rJ{3?TcFej- z7P|C{W2lC9gWyVr*mrqdZUIki)gcNz5SUcM(laRR@7N&b0@|(sMx|#i1X+w`K+(`J zLQ8-FFwWe0dh-Q8IY?3gdee>XT&?8wvRmDC!8q(!mlxup7!8CY*7Su0==wU`l-S@YO4mSh<6< z`!$vkgQS2QyV>U6n*sHfPHVq4S1u(^+BX2YYpU#8>bQ5*ukTH%Lz(7RQxi4HLU7cZ zx^Xg;mZRn~rf5CyL43vk0Uo2ds`o#OKI7kQv-x)qs~P`R!_7a^Oa7|;FUbFQrvJJk zRoDKrBK;zoefEel_?ml1^tA(7ufgJ~G-`646J7?Clh?HixzLcR$({eaijSWg2@|)l z6$Da`FYABp^_(i|^lGxL81FQjjX)n)wa!4#xCP3hQ(fOIIXLxJrR6hg9B*Qq&dIFN-gHgjgrVG!DduO`pa%3tutYHUxNCU36~$vJAc+wX@PFC2i*KEDwl zWk(Z_a8}p_-4nOI`hR$P2OwLzY~QzR+qP}nwr$(SF72|t%eHOXyKLLL^s2jWymR`V z*KzwseEn9$S{ac!W8})1nRARB^FMz>zmL@FDibZ!ZU@$kOb}Er%|v@Z{P*V88X)Xv zh+5C2xqV;KG>)GEoOx2=sj>5PqN|hIRry{sK@uUURh|Igb z+~%$)c#)EbX*e7o5XW8GG{n;W2uD%a4`O(V@gGd#_dfV@TNsggt4hf9$M9Gvy z-(xoN_-uF9%qNeaYtJ{jgh#_n4AD5wBlZgDp3|JL4ThgJkg~RTzw*l zKsZ@ulsjS?6u|)rg)T5BO$wq~r7>hwU<&v%?OZvoutj~{%3ugNmsZSO==s-wsnBIe_j|n@ zIyIGHfqc>G{V-7uavtScS#7{QVj6oU0P@t<+G^Qu+_^A|O_v&f2jb}NfeeS8Hpp9w z0R$2P;vymy0S{73f~C6emjw~t%qypB7BI~tf|{;T(6rfT>WPlM8w{+V+9x^JrM+-j zQMGJXBeEvtFdX_>cW`dI77#iOy_d~7NiZz-d^_l;9t6VF`b$&Cvt9#CF$jrMK#iR{ z6a7b5qF=slj&5Z4fqR;;nWS#>0SMXv{MKo(&p=sYJ7dPywIa>_C`|(s^0i`9Xl1ZG z)6RlonAa$m1>uS@@H-!9o5js{U6Or*U=U^jDA`GXwvLYtRI$JXIxgM(RicM^Ozv?$ zctOS9V(GVb(o~M~H>nLqZ0}+`@T?V$ulD@LfmbTS73D@y+6|qthF4Wb2g9sb;+J`h){?mw@dw$wJ@d|%Y{N&N z7pY-Z3KTODO@d6AR(3Kairs}omkOY7L&o(XUF>rMGSSos#D&LYc6Og+6!Nn5u5!1e zI(AhTShQqYE=xMXLRM8CEni}Jr)z~hCRjg4vpqOCA(=+z4lmV^Kl#nY-LkiFkoC2A%`4`^lua@on$Gy{EDd1sb_-A+t)4vHXVf!C*shaw+Do!e5m-&6EI2;(v03;3jCV;5FD2_n7t@8qot zVTCW*@oQ5aB%`c;?<{!P3oX`W7Gq?QcB_N)L6+^tkX5T9R9gG*tnbpz+s)i5tkCvp zlN9L{%qw51`EMQ?g2uEhuL^y<0l@1CSy6>OvXJqPz6Qal3F|f<(;vV2 z`-EE&lr)(F1?gO+Z0y?NNth#{CD{%=9(EDSwEf4KdV$#|Xl9s9Msqd&6doAP@44}1AlMzH9lf%~qR@TkK}eBgHTglrQ^ zQ3BpUh@grro^k)pPjN-3-8?`b%0kpK7svpz1I-R=Il|9YI3a;GmO51iSQZXTrZ`{& zrwsuKwVq-0^2~?41z6{q2v^X{P!b%0{8*b$0GPEUa_P@g2zTFWa!epOE;82g*vo?$#mbyW22B)02EAB8eN@+vV60=8KMla3hS z@bDVn-hfD8 zWB&@qp@|Mub*^k^e6Dq9Msd*A#P1d~)7x>FN8!XUfoC^Kb(l}eDAB~fbAiL_bU5%l z(!n+oFL{7Y>BUa595;MOmxNR_Hq^4hcqxQX3Q)>8FF@fQ1>A}pJ>Xo{o&sDrm7H=x zZurin2+3~puOmGOcQcgY@NC494tgyuKC$vt?wqK`9PF}Hs&j}?vkvZ&A!9(W{0b0^ z1in(I90ld7v&hLo@*CA)Vvrmj>e4lfvXaKD?H~5-i0T0;YCeFHL^XqTUG5OZ|vwlctFhgLkc~bWv z;K^@71Ez!ogemd)F8`BsaJ{xj~) znuOcIcDfF%p0b@zb5KpejHHS}{}k@w>&o3}P)o5#$Bz$71}W`^lSPMbe;7RvH*Znh zE~B$qnJHIJ3;L9>aSTLtmW9?y=l+i95ZAK7y6Xo@MNa%S^2Q1!=28-`vT8nLt+HDb zEJv27%|c>M4l=Vx5PZ8W2qaDJ7`Iz0%c;`uJNig#QXwyWQm^H` z-5_2E`a5lu#~EG(%9Vo5w-fBUVlfej+G**reT_pOgL;_=I=9gF>Oqak@Dy*M@(^VT zxE?;}KJJ?qCx-#-Q>QDKZ3StmlCsvt4XbOYS+=_+e2H$)n{WQxYFQ@OBCJ!qB9il5Zw}um zK~JMPNrOwS{$35muUsefMQ(zp$ejVsmV%ovgSXm0;nNk)> zR1Ac0TQjey|Md6QC}cj*b}8fMWj1v9%sr85%{#4Z9F0G6ppmUhcuUpNm)oaKD2O!* zBB{<^9(q}z;+tGZYnH+2?fRRXID9N%d3`PFzC~fFByDrKKXI8M9Z6(5XTv7n3l1-f zv>ieE;2PE#$E1bm0~n4lBbahs${b@Ell<62=E|EWUviu4IyhO{kJ8}(`G5#Z;{Qt6B{%-u= zc@bs(A876W^7LS9o}=o9ikQ4L*wT-<2%ARg>xIB9)z(va4$NWX)I9haM>S^;0~XbW-W{ z;!<9GsEil0viDJ82J*)`HjH4WWG#F}ofv z0A?s>lX-+uR=Q!a#dZ@qerSEsN?HP?luJGNo%MKdp=O=mdRav#6N%!-{NdmB$nVZ= zQF+LA&b^A{FjG&mPjpJBEW}ZLt!WT?u>APAG5LYa6ar0{Op4q(Rwx%SMZ=!JQP3J^ zq8u&W!%u9QC_f#@Bf(+b<~%4dqAEqOI4;lQ&4%~Eg%=+T{}v(>%h17p(c>#R_~5IT zgRGU57&}s#IF}(Y5ZcCE5woBE$M8|a(}av^LM!!UC#I$4BH?+hsX;_*%5)~-GDEXH z;7hs^9EiOqfDYI+X>JeuJ_D843|SVsl#TdQ9ZXft=6x3H8l7W5fig{Tin7w%72xqT zgA{YqSZX#_A@^F7=;Q((gTH?*oZ$gm88droZH6#cWsWD+^1c&34m8>(8KAV;x3gLB$~&9u-CGFB>sJ?-_(wRv!DafSt)9I~eNH!5=S( z_=Tis+HH>&-zySJ*e9Ap(V6EUQPT1!M0fQIAN!#z#mG!o)!A8)dRN+SABQ)%ZB1EM zT{Mw)g0gn}po^tsYtllh+<`;0)Lr?04==-ZQ#xsQjSC-{F*-K^aJ!CdFaT~%O!@+# z48HTri(- zx9sL1lW8q7jsS!OqaT_lYrw(kqMyYL=F?a-?HozEdtjQjnnhiw-MQD|M=E7Cw%R{u zK}$y0D+Q;HG1tEq-g& zh0aiKK=DNA#c}$2Ll}em8yf2&pQGX_*VPg7zqFoYY1ywMnFF0<=J9w#gouby`+-x5 zOz>irDyppp{$9qiDOBxjKleHRgUFQubEzMF6P_~?C^oX50G83bY(RMixOAC+`Uf$A z<6*Vf@Z1}tBD`^U#sVNlLAT0-%3+rAxMJzC+W&LfsC-ZLS}AAKiGjrFWK0G-hV?y! zJO3yCz*%;!@%Y`vQP#RkOw~0C|E5pHOTCuhJ)p{R-losuNpsq#XQ=(VW`&)s%itA- zt%Y&xf-i(HQ9)x}RAk7amx78AKK7okuIGC|b}LN<}ITb{c@#+6NA8ilM|pVqK3u!!|{$)jlJpcPC~NH%BW59#r0VL+bFW za}PI$0TDRc!+5N4)m%(1-+3~L3t*Ao`5nz6#$DKCP8RYxmN~tLc=2BVEsw@q|H5Vc zWiqD!sCbF#uM{saGW;_p=I_S;4ada5^!KHV|80U){qKC|?r(nPPCS)C#z43TT_l}S zi+1BG(OOj$-aOu-h95xPMbiP@-L-pILguI>$x|x>f#So>z60JiObL3pSHq;e)zjVK z!>{W5OxHStm4#QkFI%pjb@YV_pC-zCuLf%UE~A?5$<_0}6@>&&GrvFd0Fl{)?uhbupa_s&KSE{7mP*=3V)=fa08$8V#FkcI5!( zxKT@*^kU5_C1CRA^zq9S15X}*R)e~dpMM89z&xc$Z?v&PM)EYo&nz&Rpptw0Snmlx z8iA0MVuFn0CXd;y3l%JlSxUkn+=%DTT~M+u4`;XshS@aKaT*}G%JA$G6$G7rw77qa z_{HT2rtt!G62aFo;CtMYt=@l-E_Wlpr|eu%of#Rmj_&H%r7h>Qti z02LC0YgsL002dkpuZDV_Y3(0WCkPI3_mx zq{Q!LaArTHXdN4v^a{yG*SNOHF;iS8qJ;TB4Tvm@Mt|Y@tV46ANoFM6$sGF88Y@d0 zA~H4GdRlzea>ac5tyu8Q;YcFr%iYnRcQEu{l|TUp+agG?;JC3H5?PZq=WnjfA!L$e zgDo7H#$>BdH7%4AhI=ODBKniHA z>!%x?0_eEqqF&eSX6ild!8ri|JR#|>O49EUkOHLatGE(=CqNE^Y^H-=;Z1bwm!a0D zfgh{-XjQL=g9q~&FzR|PY&Ps41@{zwD;T&Fq{6H(e~MTB#8Xp{On{0~kvqM5rYr?I8A`iHL}opDUtb0L7}rWV_8i2nj>EDIJr}$w z@O#kQ1C;q%DbO>pO`Pf1D+Kx&27C=8`M{Or;K^9_+6fkIet6+lhc{rJ*ShISjPu@c zaOu4Hh40Uq5LCQ_`x0$pqNdsEf>;gsUpu?&=MnrCF@+V}0)5y0#Z&ylwrI@aQ=|-R zXn|}g9y^>vafA(Dk9a%nsj*SoQ+fy>uFctNAGGq1SBg*=8U7ht@^|C^=RuZ< zk^S$|(*HKdYHG)>HzWD!8+-xd7yT+8%A^xSAlPI9=j9M}%7Fb7_ata-ZJXbGagt#2 zc0My1S5Ip$+a3!Jy^1CZ$Jt`vy*sVn;`^aN=k-0zzPoOxM!7bbsOx@U!3dSpP{~;PT{_temj>`E6O?GiSkR81UD$cQBH5dl9PSd0cXDlJzn4rRj9>!PPA?neW1Cy(*6U55m^!jl zp^8oE(D-qiFL>xszTk0EeoGh|_A!UTd)8HL;I@fpsv4sW7fcjGg~c|v>790mXnVXQ z9r}RPMZ%QB*~ABL3d|uig4v9-0pyKl=@Ks0H8L05P3t<#iQq?+Yr}aD$zo`K?i>i; zk+0cbcmLoN@&VD~(Awv@7V%ou&aLByV}q!5I@Aubz&?RbIJP;8fUfASlTgDGq9=J`oIw6iK8*eiTe}72JPO89tXwE%HAZqW%`|5_dbZ3 z8dki3Ca3maE4#I%rf7B@z@!;Jwc(*uMclbL41oDi4I%)Y6xPz?4#h+Y&K=1_6-;9# zfuSq9eulxyY0uZzGOB-~PJsBB&k2^2c$IpMvmyuHMk)?}WBwy^7?6qp3Njq0F!b>% zPzWn9dYNg-)owF1bws|Lxuqq<$Zrjxl<@NH1Z=a5yEo~G-ruYIikBgjZ*1zHCq$rb z$6JO(NBz6AB4mW7WfsqMFan~qV3Nv#Rmbk5$_E^gc>|{9LDuHVKZ87(#W-lRka;SX zRiS5?#0xVlv7>Ey$+i+@u}yG=v-gwfEcaK7a2#d52O!b~Md&?jb;0MnJoRgqw-Sr+$a zOU3Pq{o)oT$%u}TmvFp?vbzOC&}{y>&X%yNZUJmhdr&a2dJ-_^QOo#^N){edp*w2Xt)=Y{~ZK_zyYDPuJQ$FpJ=cvWHW0}>el4yH7gWu^PW zNG>ANk60$w&e3A=M{9rDw?(SMSBm-r3nzf)*q;*uaZiuMU_aim^^=T&4a-o2N01;q zqk1JxoqDWqpz{_%9b!Nh@COA&l%JQymD&WIT7kz?mEs zNrv$8(2>G12Ds1Zb7g}{&%c#{zJsHtkDxWb&`^OR!)d^b02gmSfzbjMa+KOQBE?PV zqHdZy1*6p?uWZSVpWWwHj5_C`2(RDwrs;WpOcgi~dNMUz*YxSgJ|~|*%!?s*-Pj?C zSObcOlX=xgd~NKCZIkE}e~BE3dSv#<((5yh@$_|Ij=Ux6yn6Js|8PgIu4Br{y+l+X zf>0(J^Ng1l1xgTl_*N)7{O||eg%_Ar#_kd>UYl*SnamTx@q+j86j4nx7Bi^QYdyZV zxwk|V5$j}=SyX}chs|?d(M1ScY=R(h?OSlXZr5Cej!vLkrP&9k)8|J5bg10Yy^+xF z!1Y)#Xd4vn=my+M)eToh1J|MTZifSC5azzR5;)0$Ykwog&I5bSJU^90Fe$4;D)r7Q zvktj(ETNY9RYO{h)cH$1(Z)8uv;{m@MVhaf^_k(Qk})Tet3VmntU9oc>S1&SZX_Ii z7!&b=k(G#hvJsuof*F-pr7^5p6pp01J_}$O)FPuBEkraYh5)7stHYjnVdpT({+K{$ zAC}~SFfsdMJY>yTD8z@zY4`X1wGIojl;1Upi-h=@F$&M`ix(aPH-4QKS!U<;=+}yY&=tRS>@i(l z@BpN~`!T|mZW+uz9Sy0IpoV7Qj}h!m%IN~{yHpy%FQas@KTL?PJcldeOTYV&K38=i z@Hl&xPn}_K(S-0>)vl)67SS=3{ibR_=Y?)jx~mu73)d0h z46@JaIS>%nt1Oc7t?+nlVs)7p%X<{Z#&w0~?!cd~fwH)}Vz_OCZY~dwE+@ghfT2tQ z+WxugAV&(UAtd_mbz zWPb63vKg6+mzxhqTvNJPW5U0|wSJN6nT(Sw$z}C?x&g+aSU@ZMaZ60c2niUv+FOV3 z=v~V6-QG~0;PZSuJCog3pOEd6;?vu_!24`%_w*{Q*-|?%uKHuP((RZ1{<7eDw?B0_ zw6v}9D>1WU0y@Ry2ELmq!!aG@rA5Z>ik`mSByDYHgGkYxfxeGCXIBZ5d#OK~hg$tk1GL|KT&7reFmL z?Bra4MoPNp!{{G#uX<%KxmvJ}^cqd1gi&K8aBWRNX!09e3`w{N*+>D6T9|!S{0v;A zLBsVzG6z=8%+D;Ii#+)R(zKU@_LP$dTo=4%i;r>FVB$XKTt$J8G$x0`t#=~H74=pY z4jHLC3$QeOj5wB8>Y0Q*>+yyB++4U1oEJrn+nRvw!Si0IKiFeM zc||=!s{n2ZonR3($SN;L{(-W_WN`!0i^ zQ|T>E#zd&#`(IwjHZEf4|EWe&dJ3IjVMdB*ELq7c8~h!5#GWD?F>t{v}u z;4}z(p$K3KOA0LA3>MZT34v!!N3x{Hzp=N2-|WKKsTD6!PRRr)F*`yK0;Lc!vH-Uz zz_`c;h-gvFX!IF1jcFPDH> zXyiMJF%)!8jM*_&ea!V2senUv63>_@AZVe;PMirg;B6@#`9pbY2OX;zz;Cc{k{==E9D2O9^O2pX>SHH!I1N|0uDnQ;b%C??fa;~b$tbep-WL{IfL5Jo;+JI*I&V77q;xSVUi#^ z9l`-Ht3JEWr=$K%KtkhUoVL9&qop`aRjD0szv<`*Qf$za2;FjIQepK}95Ea%df?9x z&4otcq%Y4A*=WbTaH42-qq0iWTB%!xDW(Gm!AfzT(m>>s0@ z%xb6Fp0PDhHa26R9btXY45j_(w56)*EE=fgcz!$s{sc~zC6-4tkcgm=ca%sW*x(`U zcN5jLsHLXAjpG(B{4qaEpaehx?VcI*@%A|Tr=?9@(W6`N(YBtZY?O7_b)9gxW`}@E zw*?&c_$XXAiqmJEz4+w!Z)N~-_1M+w=QOpdTaI`mj%keC>4t%3EOd@EF9X*`f`IiQ zav#16*jhE%e&6)+mo@5Not&)@Qbq5cE{2<malkkCTWKqgiwR&Z{sGIyXX4>1Zo~;~1;xyN`cS|p zG@Aod@@7bY5D&FlTz|zOKdR`iRF+ga=ZDyLA}LEXZ4yoLum52xmC7nG4MdGDky>r1 zsB;>!toDhIrJVI{;@zo=m)s_JtQSvv=EQ)`g_rQg2=wlxX-aPB!VbN3!j2)v9K>^5 z@@N#sI-!aSbxKa!y|W-CnI^R-1U(eq)wNye@MRWT;k9^M*`ZQ&Gvh3=X_nHsbzakC zkZVwMj1-aZ!=R(7-yIbUU+0da@nA)nAQG~1VB;vNqz_3nQUkwjkd%& zZkZLh($o_$wceuLYhcW=MXjUO2fF`gQ|6f21=^7}>VZ{~9C3XAfmZ|pPM~Mjh$FJ4 z1e{6?u*(^}SX5WkgDS76WSAG4Ly6c-jWq|O1L|=ng11je!jofmi(`Myy9-ED)xVDS zJ-?pp!R*xSz1T*Hk&3nE^O=W==4W@;pRTxm_6GJoFEkxx3TXBfz4L*tA;y>HoR2FN zXw#cLnkJfK9s6wi1kMTzPl<98PONW|P@g_}Zy_T}DR6U_-tLd(MI{BF zz(|$Wv++z9fp|4%PPJa2%4~^akFP1zp5;9?Grso{_o>5cSPp;!H1SQMq&&pz)?Yi2 zw^mB;F_KzH9Z2WfLS^J#4txrOI%aIpvXA%HPDsr4Y<6*0JP&sRa(6x$6Sk1TPQAr|RTjWv8)%;pZkS z^huHeP4$S`p~Qa@&!Ph@*+5PY2uGPo%p?UdVgR_OaE8(}`quWc2YtDq94QJV2Tjpr z{6cu%;efh*^V9J3NrUCD&3;qrHE0+Y1oR$is9bmPq10o@AG7T$!@F%-1Oad>w?Wgb zPYVT>Y1nOJuBp_3a}oQ9TQ(LZ+-HpQ8GK$vK_{ko7gjwf0ELcO@ zUqm@aic(cfq-(!HDkf3DA$(lR&P;rH&wSPAg}nXY9H9=KF_63eyNk)*u>7H=VG>ov zLq}+BU7i>FCK02C?UMN=F%$0bw)K9PT~#0WqjFM39VYg@$q^`=Rk&GnOc^R-W+TVe z&|Q>LmP#!BPPF@n-Sclo?F)Zx6v&Ey5pe$1qQU=A3h}R%3jQ@$+w7=v0#p`Q_v#7^JTcU<7Bhj=g*&XA<3*n=uMnOolmPpIKK603ulO&{an19>i zqXC*&GVwfLXJY2Gefo9T@~=FvAFSKg^laOmzl|IbzB>3mH~zW&bLF|Dv%P%ZT+wo= z{JiNtRLzJ#F#C9fuGj7@8RH-WZx4oH~HQF z)rwz^yR^})J%ft_;iliX*r*MYpX{#FZKOD-?b2?Pc?51e1Uc#j) zeNVCsWWuxFj*foIKhdT8Z;_^8dU8gx=`W8lk$8df(CnAj%!w#}eLWKXcc(;>l@^VK zo{jehuCbagP4{~e+xE4Z>v~1pi4f|Pio~W$DK$v@$0=kKixx|!?9Xm%F6~~0pHas$ z_YY9N!2ARmoq_kcd~Nc!-U49_pvZYH&}`Z9<*Lv%j>JB)1f+V|Q>+>la^+`txI?MiQ)Yb-jL}e7-#Hzdt zt(R*yh;IzWZNC{|t9#UTPj|d`pNNyqsFy?mn71-fo|P1aW|4^o{Uf1$yt5Cs;1;#* z_gKy9Z-S$i`iASo1zko@@mQ}^IeYt=R3{1Coy-VSp%Ey=c$KP&qc`(rZ+{t70oYBc ztPxp%T#dH(XpnAkIsBHPN>{HjrNI^jI{gK;9Z+q)ij$8MsYNapy`_ z=(}Z9zD7Vt%MncTNW^-rtOT(7+Pe#Xib_$H6{sC0&gcdTqm`E_us{X6C`5smjX$6T zrHLw?(~eYmrzZQ0vvMJ>90E;MCWTm45bY3=B}3Q~9@H=BU6XFin+}qape%CWZgPYy zd|ws`mY$ky(y>!phb7Zw%dgI4{c+B|qfnn|eFzAur%C#`i~jb?@V+HakVH|^I4!}v zRl-@GFuig*P9g#R7^j?NF6=sWLc0YVygQ44wKT$n6pqTk5YI}eS9NJ8b~I|BX0|z~ z5JV4iL-l7aj8sAi%`?y=y6GGQjAwfTa15vbFng z)IYPJ3JUAa_Io5^`5@kR**Z3Pe>= z`yB$%1dG!Lo5d$2p8Nw-;$T^@RchC9U%?srQW03bA?FCSxD<~P%>$AzI#R;xyY?vT z&|PV96j)nvXmqjjNHN`UPFR0h=W6tn@G{*+`?ZB&oOxpmB}iZ`0L3l&Sb0ejwnDuh zFd3R_2vVpy7>zME!A^zdR#V28KNZ?*W;_yNW+11)@uI-JO92vYo+@@`hgww?MDy13 z9kQ@t!^x89g63Ic97kc7kIObhNJ!D*GR%PCM^6e*AfnNcx2LtVTv=Mfp@KB#=5~I< z3$wHq86HA9ROCHaIKUTu#qRu+fQ`7tivw=h11Phs^cMKJzXqHTUbNuw9KeG*!;csj zW(Ed78cevzz4H%)K!t>e+rUnx1RC36!>!;ZJ{Tu07I<-OW=9|dt_obR?(n1e4?T8G ztSo{rOHT&ysJ-83(uoFci2!?6d~mrKUYSLB#@==m`J6O1M+~$BABupTgOaFxR=}Y` z5R7MO=S3-?E+d$`)PJ53!j-Fc|J3anUr_zF?7~3~&6whMhHJaP?q4$eo$4}0>{N6a6rEpzIA67<=OX(F4)Y3Kw;WH13>+m46*+mrx8@}jC z2yd}mTBxam!3M9oUN^HYS%UEH4nRm|OS?=@!B7^%(;!OUpYSaFn4vZ9d!i{Mn-7r3 zK$3mop@a(pfjxS{M&~t8shlot?wW^K845xC%ea$kSDAmBXEZrsc$b?cIg$PPhoPN5 z^0T`<8>`=BW?L3nMT;T z=;W@t`zXf+prvjQuzNQ*kOpOvPO)8Xk6^L>z)@d}Pc>}&`z0oad($$sxw%vAXq#hO z?3|tn2uwLOiOLB|#q*Nynyh2keZZ!gcQ_T|D|@ttUC+0urD!}xi8jEAhmw5qQI#8+ zA)q!wH#FG2{T2|088m7MiI<=HtqVulI?;ZKRgG2^Loki9tOfjPeaseWjUF8Y{{fvY zXOG|1NB2DXa2%_d3osi3d4jj`+!8n1@>w3CA9))KdvW3h{;v1U+?iO-ByT-d>~i!6 zPjI(?6>B;9StOp#{ixxws+Um%>Siv6WShNtt$0yw1WMTy?Zt00$(b^uhrVm-n71eo zqaOMkdm^}Lju!rh+o<48YGZq0)lkvBRoo$!$!#;3l$zA&F69y&HGI~!@ABGi6qkd6n(AnjTG z^JWVVZ9I;(MExmFo75)D>lcX7&hKK&+WA%04}3x3Q(vZ+%BZ?P!(8Lj1ZWP@MQf(DRu4O7zt|F85kH@))gaop?QW}$=VI~EabcovX}KEcas8*JDg5hB zJg99R@t3wNF$wiELt08Y>Woqog!$U7=YiMrD#LQ0cx9@*$X8f7-Z+wQ6kt={-87*edM5Fz6`vihPt~Rvq1jmL%F-3rt@or`Z zz5YEz+S{5WP4dvd^=8FKH#fB!uI)>mKCT>>eD-#7L(kj#-CWsh?9c1#dw=fEZck?> zD(vOgC)_hTHvOD`^IrMCTo{mHhM2lUoV-Ls`b| zi~W5DBIlc%;+$6B%+If$cPqb2c?F4Yyj$)L1i?*HF|jN22Uf*-%1tl2iEM<;@jgu5 zS0D_bt86+A-A?LHC)H6oB*7>(2Bn3)T3-IQ7u`Dd*Tv=laLZ+>`R33(TEp^yA~Uj^ zd-r2=|Eye8{*TXg3cBsntj~`}di%&fcP&$&)f6pnQU3m=WS*1IR1&mm&YF#d#&oHV zZ{gfu>)we=ErkI|CcoZ2dOF&|I~VCW>G7$TlXIz0^>cSt-e@lX(ES!OFJ33mw)ld! z_-tBQ=)pYEkn{5+qM2K+h8JrlN+Qo_S5CS{k_`977VWv@!Xx|Pc!9=_=}U~4rX;K6 z4g{}9H~pRgoVR_-P~>ukM!h%7h{heaeU=97;WN2;7n@%?f`aPSvdf`&OE*z_k4=7@ zq{6dZA=3m=^qies<_B2Xb^8F$clvOhJmWpaVk2uQYT?CdLvZ?)e@5IME8b?NH2U=8 zql%C~%{RivM`c6`O{iEIPaxYALf@q3Q^nmfFK(bJYw3b(}e3RP{1v@OVDqwJz9? zHCY(?YmVtaE%N;9L+xckW#X><%)rq=67DT#Ye>wz!N81r8*cp-Pz_KQ0IP}urumx} z$b+T~9BN4{0qodFh+DcI|5I9no#>?$P&Q1D77VYfPGXz@(lAcoC!kfmEXqSo^A6JQ z(&LwDEz*)`Y8BwiB~!gBGJ4}hp{@Qi#-asvW~R;bC4~^X>U^!?(n_`5RK-)QRc>Ok zg~$7XFT+ZZf&_*$bwwwl8&;hv17;l79S}(-vZl3z9gQUO*C$q$g_hp~em}~Zw4U{Z zgoWzwDPcuuZk#xcs?<6#<&dN;%&hw7Nm4D!B&(mVjh#ZvP3REypp~)b5B8#G4)#j* zY?{ud188K?h{w76Pm@l-Hy{0cvF9SI(9TlhEv$IgRGN~A+CRy^CC0P|c;V)ilqz)j z%T}jXx3$5=&XJU8<>zfOBD%;_CCTU7Ha7~8Gx$2E6CFwQoS6FUgfUZ#Ls%Es>T$lf zI>r&p@1>if>D|jxFmt5aVXX=L@J0@>%L*vs=)$eFj)Vk(CKDJp+sYUpr%dHhM2^Eq zaSwDEL{*b96=5tqP@vQ@lyXuxT!56c<26}R6a_C71;f@sA{=eu5WM{~qtp@LoH4Tm z{ERFXW+xiZw2hBpKb8B1P$>>Tbw083`25WUl&?W@>w-=*F*Y5h)K1V!D*e60ja>;2 zAnM0l3{F!`ka&`ir<1T`n)9eJ`dlg)kmY;Zy0@FjGE8C+W0o1`g1QM}BP$@&NV7o594eqe1tTaKd7zu-| zFhOFujw1YYr)4X&KVL}8kBO8V$Psl_EL5x!jq9|=j8i*eI~&DFa;C*7Q(PB|+sJ5T zVbFTAWSHlAZ$ou_Xu~r_+FKM9zNoB2V8^8~xe^peCF={i(O6mGU>OKv$!cUV5Y({g z3B&Sc!!#E(Gf|@XF-NPlZ)CfTB21N3YOEWjq2uE{Vw%9R?{SJBLVR zzI7Ikd*9SP=J{37$dh%crFo*`m5~_PkS@liQK;;&Ry%3N8J!x-`KG80Gp5bDr%G1G zsN&?~AX48w({Pv9R`SONUJtRAX_#fz!bA1PCRVM340SVOF<=;XEHD%%5(DnL6&ncK z5i<_xiv|m0u_}T{V8;SRGGZZ8n6@w|6wAgGyt6i1=*5QYcEQ>OAm2yW!2!_sf@K+= z!uc-#)Nf?w7VO5FPt#{VDiOslz%yXK$hyn3!){bm4cT;S!!&I_IZE;ZORiJ7%oeziL$3Q%By6sX~f+&|CmHaUW8czXND3|Be%d(@%$pO}*1o@&9 zOMDnVQHB{Onu>9YUxoqsjOh-I_)m>xr$RE-!Z~uf@@Je?zUYsxGDzLFL&e)1V%2)c zP`e|}JmZ@m$F+orGl4rEGls$rGmO0kIl#hLO)4N`-ai2$Z*dSQjyV~W3O=I=+E^Ve z5jxutKQ|&d{_was1H;xe3=3_z)W)@~$5mBZ61NDN8Vr;Z0yC4=*R5mX`!Pz z;4sx&s+Pv~1pIu&apt1T85mzt53BX4Q?p-t64(vruDaGpq-)zMGvJ>gHO>}Kai}&W zhekjD7}L4j$0>DSB>pAz!J8WGokuLrvE2*4>mkyXhKey-kD+TVp5juFj%IWBic?8A zmN1E#aG(?Q8gOvf8dQ>1!2oQKe{C>Adl!wzb8Zn zpG$}5GU$+n?nt;_!i+92{L>)pm~LnzDo>(&eNSRKD*F3DJ?d}*8TUd=O0wVLNYF>l zgy>o^phk=XB)T9#7W!UGO4vFkGWvkH8~;APj<6W^6YOr-?6vX2f@ZMd*TCz$K=^s^ z?5+_8~2tqBi)NbE5aY-Y|3i%TmX1TGz|m1iyeqYw6B3>X|rEvelZq=xUPJmm9E zH|K|FWNh**xjyxw+{oqi2H|GYK+REMFiq|wCU>;Lg9P<{P^)q{Z~pEe9!x{e(lI4E z3f|7t=I86lG*qfT?|MTDf+NpsaQeNy?DRhUm{{xtlwHnMR-7))s6Sv@6_oD32;Tq4 z@__#pdebYr8o78nnEoRGr&qT$aj_s^Wn`k4Fts$da3Nq~Wuh0hx3PCpb}%$Hr57=E zvotnU5*MNuvUG7)Fm)2Pw{@_$GqrOe;GmbZb1`*tu(vUEF(oiFv~f127qhha-bF9= zw_PHp#`Y$r^fIP)=HI7aW@q}}qTtKT&4m4OR4|2}FYd!4C--Wuz4a|$89yRiR&VE;+`PtrB_Yvj*b&z`cH2GCuZfMP2 zoo=?yw%wE0B`5s3 z;$HTea}5;U-tOd3`|)k&nnN7rftz0x@oIo zy0Dz-Cp^q0#Os&y$4Z<_u#@QeYW4`@(5vws! zEhip7H;(s97gf}Qr&)&kP@S>suL^jP!$#R;)Z{*}L0 zaM$zoocrt>Zivvfq-hy=z>n^!@`=yq`r`G9KXujfRU9iX`{|7Ms?VP^z>v2gRM{xN zhKC;iRTAdqYeE0hG3Vw|=F>So{J{Tsn^l!>G}U%`2s$?dJqOEuZBu26+xEhO7fcPw zTg7teIk4aqU=W4|nUU zTlrzL!o#IlpZV-?w30bwAPf9NW*oT<8$1@$I&&V^uvPhFs+yIbnZ2 z{Ce23ZgVG1hG*l+;d6b;6z#h6E}8h6M%Ouby8NSY_vMz&Pdw@Rq5oE8a zbz5>bTc&I&QI_3YHd+PZ;h#9((7G%6zW8Goo;&!i2RTjQDUBC(f*uaYmHys4OU*OAJS6u{8zp6QsLw?cnEGJ~@|6d>Y0u>1DSit(nofEJy?|=DN)5}@l zg;X`*NCips8>kO;UiR}fH|p|hI@n8vK;*nOZ~aHJfJ1ip!B?DTRq9vG_SgF6JBT(x zYtp+tw#g4~?)T4!WVH6}@^FAcr`Mj<86d#>ucxQ+FWm|}pt$jmx9cnA`^c29mgi+v zyS{|)R#4pEzyH?pm?zCQ8z7WDs4ZN{-FdNfUGFI}a(6 zLu>6ybmHbg3)*C;=I2svIN6YL_US5FRn&)0E@T}4qw%(6TZ2E%qRvw9uwB@=Y(7sJ z2lR&RVx%w7rN}g<1x9=sDJ2LABzE;6;@Oa0^%*TM_kmiYe$9GMuCWH1M z^5pe{1|4#cMQ7hkZrm2#w#(v;HRkU38DF(YV3AMdn%Xg1!A!-rM(>*(tapYctLc}i z*DpK==Mo<)>Fs3ispH_bH&#&eVg);)N#Md-G}!-#y0;3BWLdIBCAFBDnVGo-EoQ03 z%*@Qp%q?bSXfdnB%*@Qpta{pe?97}Qdoyo7&V6S0r?S#2(<>q~Bhpv6`&uc8u4zS+ zDMDB{x+Ki5-3f%grk4l(^Oz1AM}E6t0C#$YM$d zV7RsHy2#t^o!u&C0Eel6x&XDVqAv(HEr~Zzv*8-F4dhEIgm_;oLoQW>)*q*}?_HDc z48C#%4j=;wlR5gwx2dV2LUc~j17D-toRj{S#{K+vTYL(_7lO2RQ*O&6 zfI;`rC}2C?w;@OqbOdn!$Nc**_A8AmxAUAC4_;vGC`s*qbl`ut#XtLdLh$GyN_+); zu~OSbDvsAm8c0*DM;X8}TV(17zTf3cu9a@=y38PPm(T%OG zw$_-Bt{gsxRQZz+E$d(dhn)6ys5NS;r)#^?9G1?FtjU#4c4>>{sMpa_hPu4e5RZ^X zGPt{apVzw9uVJAF>rgQcxPZDmmfY4cZN9wJ?8*ed4WNRs$bP!YQalCpUUqUH*Rh<^ z6m$)T7-0X^8q*_R8y1Mx1u@sQ%TO+t6ow;T_bRyq-%BG6tkjJ9ADUzbbFXwS09z*K z_NVj0zYKO>FcjxyGaLc7nD+rGW7Ub(p86E)6}8T1uAVjvK5z+J--6*&gmSx58xrni zg~$$!JFA<62M@^`HOE&Z;4D&&%m!|>CWj}nma)l#v~9QfHgxeXsr*-to4ufm*&*p3V@_qNw(0!i zx!V((lE>Lo7Qfi6?=sqM%(`{sVPjp60Lnm|sUVqFmZ^_AoGHuh-=41!IEDAd?n88T z9*h>{>f6Kp&)4ghF9L5YgNdlGw`axEi(SwekoS^!1rzuQX$6bbY? zseQ^Z{`!^$1Mx9XjrsuqAl%(YK3lmWjkI;ZmMK;6uD z0#1!7E|1}&+xC#jir^!#s;o4r?rn$fv8yEPu>9f#4@c)ZE_Y-LQz1*Io^o&?u(n`1cpp2|)JQ zV|Jbki|>~qk;`9g(yBrSzCi@>A@4SKETzAt4twc|l2`3{8mDUZ@mC^U*9> zF6RqBj{^AMhIUeA3gDw#N_Y}rX4-I=@JzDQnbfxGo5gq<;65xewrsG7xzDQvfPAX{ z@=kFErMG5PmJhYzJfGXJ8l(G4mNr|}_<}c!kU})_471k29wfQT$CCWtjSM=;FOvz06cX;dn zuto^WU&X5m3}Ozp&URma|93akTrZ!G`V(LW=(Z>Ay0`l=KZ8zYbA! za&R_u`nOIw5;8IVXOWP+T){o_UnkHmvK~e5(?-zG zHc+uI8_X?7>J)bVv|3ptj#rQ}kN5398V?=L<1`gVYE?sZCbf4u%yON~bf*mO@VW6? z=Bd1dUuoXb>E^^$ag{rJJKuP?xSYFMYS!hes@bZ<=U7|NuV3?d(ti9vV5q;cWnie* z{Vi?UjF5ssTd~dWrjmq~q8grpUlk5@<$cXJ*xt4{vu<#v;#$a&%1)(--P0^|33!NhGu_ z^6Ju46z+RXmTVcHe0^S~2bt^6mlWUq)0j*S8U2i`c;re9=sbmO;pXn1OgyWgi zRhWlG7yX251)9h@W>&8UtHH_d@G7Ifdo^8h?S;;y40R=UsQiMJHkKJ_jW;z3RHbh3 z^h~*Wg3^AsJehSKd9CZ+zftgEa_>*0=DJI)5~dm{Lr0qUVC;Zlk!Ly@{e{;vwkYNE zfLy*oQ)$8hMR2e*Q;048{u4!?g!TrDy*zbaCzXY?*?9#tK}K*iIW5TRja}d9Ls=iv@$NDuk&3{HD2^FOUe0w*u7BKg|0WD5a-DdGyk63+-=T%0!= zkP--7;Kk4f^-ok^+Y?H%w%4>9FP@zw5FDS%`ySF^|2{{dZKE(viv< zu?qr%XK^QB3uW@KEG_DGi*kET`C?5(VR>hzEhy0C>D7qGXU1{=bH^-_UWu7Qz{cj) z($jYGwjRDCNTkP8c^n(%2(eJ~DwfUC{RVvUceOtWy!|M<5e|o5c{Bmyf{7nTd6nd%^dFow zJxG*NUJTn`)si!!*P_KLR}uxN+f{>+{@`UGs|V&OARt>rK5W?xbV4QkKM(0qb0Y6&4xaZel@HLbwKZVVDU_9R2vwEXUa- z5o`Av?V;&F8*_xaRwxvhE7NQr83*61!i`nd+=&v;bLSKME#3|KvF3dElk`- zR!c#ce>t3>nfx(kh;NxFV_9BNX5FroTbB6~x{Tqa1?hmy`1e^D#m#Sv7cMfr3!@(KBATtz%a zX|_h$_@T5>Jg6*6xet%R7@`3M-sE5t&Xcsfv!A4u(lcTh;Zw+0?#IGj%yb1>zm?)i z^0{2NiPJMvsqQ%$kk(bzN=6l})Q4ovQ%>x44P;!H21r&Rn(5JioM3hLw%!p*eEZ?w(%T zy#@zxHTykp6>T!69XFl#?N9HI<~zGe$8+26$^=|ot%O~UP)g5Yh6&sFx56%`4zSpG za?FfeheVBB=QOgC+vLTgmKa@&=%$ff<|_1`j9u;;Xe>GglQ~}Ue!h6O)MZmr$xc^MO^m5uqq9e3V(sL90tQRY0x%N`6hr8)) z+m{+CIx+6^`LlL(g5hAA@*tWE)Q-O6B*VICXqrZxVrAcVw^o&rl*F+7JX?_Yo%U#_E*8hKnw%A?cB19aMYpm-sp*Y#* zzRB-%u9Y1~vns1P$>jf9S?fimEQMWrJI8`!FWTds$0;8(^47BsT(SVyo-Gis_Tq=m zyP0>E9KN3o)h^9KV{V=7lvKkT4Gr>soT#Vr``Lt(A*nlTGi#~aqX6ZZ7fi8Kk1`4D zFMK6Ev=JK!pRKjR*HU?N=Th+mc5b%zp=)B>3pRP%otQ%amg_G$tp+gFg}ma8m3v4UWx8F>eDSghI!JCF!-wv_|)o7p|&vf$LnJhxv)@M9K2-0|LK%F&*W*~kzO zk~9cLb|`#^4U+b_$jY>bvH!9aX9=ic!fqm(7-VpcDCn*^f3XT~2gOhWadG0pK&Vnj zJ0jI&w{wWZ#y$hnQS%#I<=I=Kgo~@*!6z7hW20+*ud7Yx!C}j7u9fbzv-s#&0V2&^ zw&D7%Hlj8Wze7~A4o+|^EJH8YRx;#<_F zs&ic&Gv(Aou8;@pN!<#Fo$%nFX^w*qjSb%sJz8Hc9p4Zxpfe3&ZzE=}XDI2dv_uSA z8?_l69HBMHH4<=9S%aoK!03Yc_J;WYKCWheNv zexR6iCgHO5F2y(x_#-a;nFLCL<5SJMY5dDA`)ET9N)(sRX!_jP}T` z2u{ze+)5$iN5w)X*oT7x=ut zzS<$6h=26GF3q#|AT;dlsg6V3+{`_#yi6pXA})_QQ@QsZ0Dx&; zFAM+Q@gwVB)I9&dPX8kO`2Q=jDC=JZ^Zz zxxTY}C+JSY)9qThY|AkDJ=XPhc{^dVL~FBV{CIL};RrZ7SeWzne5qD<_O3r;|9ILm z<$r%>f7>~IKffiQt*EcaxMN9HzDB4nuiIs2d0sP7b-f;+B1oN3>3dO6s=EFwjWXwU z>k=ldc>rvxc_4T+LvX)c@JQRf$8B@(15L}MZ?2Z6()YSrk9`V#Ab5g<_nrCkk(ZAV z)z?H7z|H>#m3kX>gEGij@g>!a_4W%8?`uY|=Hr^tI0i5BR=}CZ(nUwDQ=ZsdAD|5G zv$zX5D0sW6^HV5OSSSI(M{+x0(@sf>(cM!vmbw{*DK{vpD>=i>{s2K&P(jXf=$alast=%8TeMFIyg;zKB@nJWUsb996X- zYsUhAOeLGt(DBlP*QF#7FrZf)V~NNrR!X6vbZnt-o8j2?f^o}#|5F0ogsl=VRy_s% z4ZiGl4ZUl)BZv8V+2lnD(|2!7=VSQpUo8}9jnvS? zRWqe=eP3y!zn`uEpHg3+N18x?s}0MuWQ+q%827cpo%^>0n|hNMHM%G1WH(^jh0ihVZ&TIVa64PesF?>G!IEPYVwmvQgb9EHag zvkreS)TB8^O7oxut-_$VdAVquBwe9PqDK>Gu%h$Kna>`S@PrCc{d4!QFCzR|K3LRd zmkOV{vE3iNV6+!+m?gTf@O~27rH5_D&rnFr#ScW(HL;|U83NZkn;YEZ5cYhxN&GdE z`llzEEu)9##5q&7D2&bXC`%&%fWgN32v*|{GR!$;!V@6Rc!MXQ2#|}jjTW}^2lvB*%G04wuC%0PF4J2x@a@qd~42us}V8Fl}JHo=6ja&ER@Ir$Rd*n zhSky;srKwmklwOe+9cJ98)TD_E+~ zu@|dQMr9u9iJd~2qH$pcaABW>z9fpRTIi_r4v~3N#64#jp?4|P`fQr4IcRA7kbduQ zJDi34T5E`OU6?{)Gnf`@*2M^woDw9O5KX}uk^M7be4#AG_pmgTq4_!3xfOVG5mFY^ z9!Uu6nmOp=xvU`V1}0~078>poD*19XgbEki@$Xwz{bxy`iQ{2*Pu0P_Wa}#_2VIdT zmy63@IF?S*;?}W1JRLI^*MVR(xYH9G1IwTrfvZj55@In8-;~v0@ozg9z9EA@_rWTp z_}80UxXGLtQ`4q6NAH|KriAj*Wf!|iUluy(CXMJ2ZlozVm$`QsDL~7JCdxxw=ed2i znYYN+jYb3a;#j8mHH`6(4e$I?<1n5VzI%tw5J4T(6QHGP_}tvfd}9ibqM zD;FM;9uv~_9oyVsZ#Tlh8yk|1>Xr2wqJ7#Tq!=#xGx&Q`qm>x*ojP@~KYvR$xBMS`L8<_K>`zPiMT&U@yIZP4 z?IIz3cnq=D;hy~syhpJ|u4%k<$~0a7nGnt#gM|AdCIgW1Ig-RTvkin1J99JFLpFA3 z;!|Dx)o;shF6TBEM8V&AwEp;}&}DHZ98EBQ^>a+ET;rN4a}bjk4E)QW`+ zx;ksM^Wfy^n6_C%S1DBNB-)Eog7ut;|8s(u4y_gx4?)V2onwEpDsuK=4qk;Vt-R#Zo<)>x+{$ZEQ-F1;T#Wy09d^k$Qwu0Mq-wIsuI|~UaVLrMD z0UqcA1}V|&CI}zS%sTrFWB2yT@434Xi%bjx-v=#G@(H@qh}}4EmVa#MIst1oMUCO@;$16 zG6^Lw6NnWkTwFYWIAg6ce+U{eBuw2(4wJlAjdMUgUV)KU3TQ`j94ZQ^K|CF?Tkd=; zN$#XIH{!l-+AF7rhL@a!T;62HpLe49im$kA77KRdB-LVdfP8$|K76PNNydq(a-lYI zxbQ)H2v}OpLKhomk2)Winy0l!cU#^$bp*>)ZD)r&R+RaAFepq2VNbsw$s(Ei*d*AY zo^5LWH>^R9M+1uH+wEY5;k@u1iYTkIRkAt@I)Py+yj1SXR`Z(4R-0>M>D#Q^mS40Q zuI*HG4GyN<9t*qvr5rYHNn|PsXtClKC<-@kise+mpdO*15T#7>F6puC!h?~gcra@o zx_~c9Y&KiCx*inUD*T~|yGGcx^2wMix0ffOP*?_(MHNk#F61_#5H~{N%GH4WC_}~X zb&%@k*<-9#6MU!olpXr5_>kdTw&CO#i~b;z9m)JB62Lr58q>O;Kd{s=9k!*WHs7un z@9Jv0O=ZEywr>mA4!@0TR`)#TdaVV%#16WGot!ee1RqSlp@C$onu^uROP%578iM$b zHaFf(;4j#6;i|nP$V-Z7x;2#axezT(UcQmEzB5P6Y{vFVB>5ahY4}|x54VJGn==oz zHWdAIkwOwk6M+M}>ZWhHM_VJtrN%+z5ApahRST1pIoO(lL{B zHnv>Zn)15JZJ0N!~S{BC#!lpxGD~NhBDy+%%@YDn7r^<`9gG( zaNRMo!n)!5%Ts;)-B96ww0W*t+Qk+vpUO5}wXl5i4xr^yJ8mf6E+wO*Pa2F+sL4Vw zX%c9oe;?aRt7EM@13vcep`lTO4dXE8sUAptF{u_Yx&lFbw3V`(= zWUc=};QD`tbAk0QYKVUwwE8=}_TNPt{x<&q4Xv12S^g`vm&TUu-WRnOLL5MV0j#hy z9o;v4-k?3ydX@KnI=|Ht{Vnbya7hKZASP4o(Pggt(?qn8j+^~tofi+JpP39kv@gxJ z3(Ni8rZIaKy<4&{4YWmNPttw%0Hdm|?&X@&0dZRlmi`SVOD@3t5-+)FviiI2*t zi@w}TC#q#w6Sq6KM*V5N3TBPpP1i2Nbk4x&&KQQEn84=`MBDDu`DxCPDSrTUC%1td zUXPT?_|L4fcg0W5yCU-?S9O+*y%0V$PltCab?D+J6H_n-xUVEvz!=%b_YQ#~wz-E- za0rkX=?V)Kl^s_3E-X)y#d9YhTQ0MS|SJ*jm zTa4%ZTm|{AW}@|50q@?}Se-7DVek2LQTHRG<8^Pt2+k)f?<>*>i|ZJj;u=lRLCHDA zaC0g|^p+#jJvf@@%}zpaQ1q1T)Z3?@yL< zkw&m~w>YBjse$0?o)v3=ETWLFQpudxUp1I>M28vXG9v>sr)l1#&ID zdRNQ|k)nqgtS;JV`~YcrLx1`S2IM@I|K>o8{vcE)BV)jLP3D`~5jkRq@N%*UD5|6(6?^#d_u?s!f1|egb zT3d!|mICW{1{cbiIL?PuI>xi{w$wxRi}TC{o@pM7h*u>=Al5NcC|2rpf`Ml5l`m?A zU)~Vx=I#7UJUHT`5l1t*dlEc5lFT-NY`Yo+1Bo|pr<~Mh8ntaH0C0s^FC5KXN+n!i zpFXP=VFMV6?d>A)s&C<{Ywv$5j=G}zm|gMV86^Fr zEH*?A>tIpxf)Pe2M6!?+kS)(^ZRt73)uxA1)G9Cq9UI_?3qsJLDi#dKv$MSq!ovRy znB8lZ1^B7jkw8q%2GU@I3vS5TGxxKs-(|R-1D7B%GG`v+L5gL4BMPEFG;1tbYIag;idgYiBTMKU1m2lVZCWgG(QVHxBAhIic2+BAo9rlydkIX3Dxknqj^_%E7 z^7iQJU!*c1$MxlXN%^7}5}zb3ddUP#+)8R8@LfKltoo%d0c(y>J5&X)wA%=lwLMT` z$)KWT;cea9qM=!em&bqvls5lkSl!yCciIVnF5T~ZQm(n2dI>n zS~SJ(!|L8$8~p?4Q)ML>1BH7FVVxsvS;9>OAuCrv;)56sKViRW{4HWDt)XzDyu>!k z?%M1mE^*M6>B4^~01NCfELTM-MI!0sQ%BA}vlU526_A@It zlYg`8v_HfGVH=>|u`KGO6+@(^7Rgpgqai+zW?0}LGmV&^Qb-Oykq#xv1mI$z<2d>c z55b`#PO{Bx8_AVdMUU3#>1l?h_Blf`3Y~7U#%6HEgLY&;R9}!k07vW6%;ij>;4*8| zrf+0@>Zu$v&UXmPwU7|S?V!VWoQe#U$X2-($$w|Is}(fUO4F;rACAJRz3`bTo%EyG zL6n{T-tRepWi?{Tsd(hu2jRwlo9U#P;Valudnv_2r8S}} zYw^7{p^~FOf5fC0ggpy#KIG>sL(%3dP=2&#KZdGJa4k8_1D{#`l9%@P6@iP~n^eO= ziDFV)T*B8P`~Z}6pThEYO^jmn#my#V&!sG9+mt$A&BhrMeDLIkL+wa!Mm^3KZ~_8btC z>l8+~xN#M!gC*$uy~*Pq(Ij|#Sc3VW4o|JEdAEi@e&ze9C^V_R)BDPw3;h`**aj2- z(6#n^aQULG&ZyL3NMYxzD0jE z=`X1gfeB}7AOorjS(G&Lb+n3$Na1Xa4@XNc`0ww;@^d$8faEKK@U$@TJ6JO( z>0qa$S@ClgcqQ=*>IvOweePeFu`jINjn9*NPIwhgV$+Ln+zP9nr#!oxVi@8E5pn4Y zhh*F(-~FLa19;VGdgzvF@x5nyxPg0 zYK`t4jwfBE5+R@^_0FZu2kD$5(r9w);MB!#HnExhCebjt_y?W|fA zauQ_;*xV+^3s_p%2V?+veWJL3Y50JEJ=h)(@qF1PO#Xr2E;l{b*Gyh5pFr+@)>l%WX?`_bteJt6BV4!<*KRC{5QNpm+qbp zBskr5D*OpFs4u)ysARuPTJ;Y?f5I*V5pX>DQ>|^wx-vj8d=}SytchJF_tu*PBJ*eU zkrmTNOu*Q4C-*dgN|K%=_-Ypw*wJtN2CfM9P|xX6GZp1Nwqp)W5SW}3w#T0aky#q4 z^9jn=0=rVkUbU8YPsg?#v!#WwEIkGgxQG1a1h@YUkr?YglW6^G99>pIroUJB{|~vh z|CRywFUm<{`p@d4tp7|-@!v-dnAy4ht2nmCSLA>b+4nE^Hg-1O4 zhqvy=`oi7**~-G}=T&`0=-cbLVx!q=x$WY`i~H^4hRE&X>HYq>0;#csv!@3!GCW`# z!&K|E@K1$IwMq#EkNS2FAT!%b#%;v%Z|&}KJjA(pkGlMM{TxZjVROWgmk#gK4#gtC5ZjzqXZ_Idnmfgz#MT+fihG8Lmt%)0lguDO_; zbc@2RN(-kcSnbk4m2L?J~21 ze{}L(lD06E#iZ~KQini`PgXcdoH7V6GB-`pUOHO)_wh zNXKbd40I9brwR8I@oB)Q9eI-2Z!x&g2{qvlS%17ck_MXmn39N0^_*Q1a^Y8~l@H`X zya(M)PY!vmt`!X*WcgT>xWI!qqi(@o ziWZhwP=)UG!X<|)nA2=-qdv*P;WMBRua22;8Vk`^KU(QT%LJ?PlY4~S(O?+BSK zu=?`SdI@oTA~)GX1(6TwWFX5f0|KNLQZX8(S8tg3R*M}lQq*g=U=nV0Ev{2j~IiFfJ>sc zaNzMX+JlC#gFH&HbXx?+h#Bh4CzXFhhmS;#^;BWX@I+loL!~dyr9Hsxlv&-e^-HX_ zM7M_PvCsZVarB0MSJt#8I3facHwxD=2=Nhvm}K~XOwNAsWc|e)yuL&8nVNW}e>_ma zfH~3ID}v{{PGP6S1nH{1Rqc-b?z>qF!uh;osia<$T!9xMY(-X{R;>oGMWCWLj?1 zOcwCggZr|wmBgj??9r2*Ep%*wb}5vh$s_DZL7tqJokR5spa~ zpgrd%NS4q@XVHz*B!F&l8oboN-+PK9cXsM$IVkC%roy@;`30qcK-F_?KHLNM#zm+6 zn`_b578=j;fNrfJ(c5dm^KT61frNedEOOO1_Nd1oMv(>1Tqi(Wpw&U@Ypd-Sfy6b2 zJqVaf7k}Uv&32o87jRAYG^x9?`cYWW1E=Hkin6IJ`!kdYrmk-7_fR7dHwkrk4=jCv z;85box}T;yGXgK_!LhGYAWZ+=NPZ7{9+OQw71s)e2;HE6FYby;@&JCw%#s*~-gG?2R*Tq4+_A?R@m}!|n zFqv{k6?WDq)WPks@9+vDee~ObW7K*uTQFJ$#Jnoti}=r^5WY+e86(Dsq6)cLdvtI& zq{kcjVSS@D*hFDH;E6y|FuRTaIOszjP?WfaS9~VT$WCy$u`-iDB(xdahYSl$rXq1r z{ISErvbo1ayJ5XfMMpNAixOo_mZd?L;^ZmEbV1mcKaGLT5JBA+QYIKn1&diHC7b|u zh(B9&gx`1DYBaA*W;t$eQ!g+0>DF8ivP2Q@iHT#Cb6^jYh+FS17gDQ14wPzg=tp|u z|7gb2;1NV1Y)+z0j_~aeL7j=`9X`2JMBpTLZN0)?C2XpUt7;bd!iOg?P!Q(vC!7R2 z*VCV;O1lx{+MkfBReD@$G?J~<_tRQJtsz&f^^6ea^;)wVt1BSV_*LJ0(ulH1J$fc+ zcSGgl#3=WqQ28z+b41Z_HAV49+yg}=sgO#+>iUN^oM)Xm2uHQ;HaPdOqxH_JG=)rL zi=G3lD208gm#K+u5z`#^5)nR>Us#*75FCA!eE~19UVJmiX7Sz3b37eu=MzXF38na zla9D%$3?%tL8X?MZ@P!aCXuj=Ka`>$4Q!I|MX^3=A^JBD#}-64&;Ew(3;)Sw=e?7R zV+zb6_2Y1KZx zGaH5(Ok$8x6JW@HzQfOh_76RJgPHzJ43^?b4KBVz>K&X$nvjfPV z{>HI$s;7~>LHvYpOcCALU)yCcvQzgGS;MARj7LwnsC)gPK#73gZ%pSCUyIo1(A=@m zn@~Q?Xnsj7l9@}3B0e+yHKNrM(c}@;SFXir{$^`CDGIi&`e7tVGdGfmH0i6rKhx zt1*0gtL3#qbC3?ZwTQr6QuOS$Xb5M99kH~`8c9%=9c*Q)a;PUn&j$oFYXgaC#2bX( zZ1KhhwzZAW_fzD%Z=#YCF;(u`R z8QK4qEc<79iZzEdc0}(lc?#fIy^@eH94Hs&6V8~qLKF_0%6n!B0<*~Y<>6l>qm$29 z?J#l7M4CW%%Oh&}KR}kXGkHCe({O7z9*%A;gtB=vaBbNS7V^{MV-rtK4|7KDKa0~d zQ|{WRI3CK~KQlYr+y!-{5fI30IH`v3?{j(18lYLJa-$%TuV+MzJNT9YwY4_t!c_@zZA7E+D z6>~C4*8WO#c6PfyLMS}BHiE5$U}Q2`xA49eiQFJOnOVbBEqmMPTboY7MDe^GE4g6dUw5kd@Q65~; z)Ek=v&c|05a`lyFuApw3ekP z=bzvi6ls8PSEA(58BptWVj->?6Qk1A#Nl)kBJEDpG%wJ(X*3ee-KLuN?vL9|HRRTq zRMsF0eufQx~Q6L zNzr6}a5xheeO$@tOH@6DirJ+WiNQR7rA0d#JIbSbB)^$s9R_CkL`TBN=bCT^#^TVj zQ)&Kbuhmp}MjBd&pvo5GfBa)+dNoR(itZ>*#CW3tCxa+q&gFN2lwa_$fb5@+T6cMk zI5h`{sV-mp(6L2)Q%#Q4zL>KfQh~9Vd9;2bPz^SlTIc*;&F&$ou;@w{k=hl zsAMWd%}jmCAM2L^Qes>IcLBy}-K?+avs^6_Qv1}vvgUDm+ehhya&)TK?P;1rf7YyX zfsVKI6gsuYXE!uR!RW5+S|fh(w$t5kh*qYlT7D0xmkjcY)`644xSW|lM_w`mf#JqE zVEDzC`8F96YeH*Vmj8o|xl~yzty)8*P8Sq)G;xK&f1m@dV1?lkc_sTC;$g=Y$)1Q> zuti1sGRS<-1EkP32)&T!$CyF@oUZH`8KHr>uhF+SeWQw+W|v6^_niHYyPV?=@a=UX z&>n0KGgoz`)XpV(wu{=0+q0?-kWeE52HPb8iUMwNV?sZd%!W@joYl#AWn$Mm)|K%?DbZAb$>Soh3iw(b~_%R z@Wgl)MtsBH`IDxj@(f3|hx}r1j-4JTt$=19O#BEeVHEelYafA#+q_f zCXPeo7Y7)wugdddur~fgMwyBF<(Ua>K}dEUP!kAtjJWMW{rFs-PnR&Op8jvgZYR!o zECO*ev?gUA3VRl>r)sflCd6?40dfoGwJRybqa%cr?%7DssJ9A zk-A`Zm9_8!qwjSqpJvmy>eZVt)I@pgi(Dc{wx8d}UZ7bGhO8njX~+cI&x@vbMbPHW z$vb%WLfcZzNsr^95tE;-XNspyYySm;mx1WP&6w8=-Xj^%w_xj>$rq(X18Bpcy5 zHvgq1 z*;_b%|H#_Blw=%UfxpSoBvl@$IWSt+0d}G4%uscBG z8t%RG44b|qTSMll*;{%pvALEc)LGB|P4v(}&mZnhrIg{EvgDwTyjn^CYS#}=nJb>?$+LSbxj3sxi7d~3fFV2Ghx|#^34vE%A=$pxbR7q(xgA;7NZ=ZKbh5KM-QscU*P;F`2G_+RRFtaWMo``{mI(%YJdUi zUKnp_UDREwZKXq?z8ELp2C4SMI&;i4f|?(aYQRk4`p^2=e;fZ_EkyBea`e)rxp-oO%2POW-rJ z9zZ`GE+gaE0DPK=k2IYJ{rW8z3e+Sm-v*x~3SgOG;7yc19zM`QF1In)b7QyP2#$ z^o&L{`T6GRtYU3HWk`w4m>X$?J?pqo+<3NFU7-ktJ^_0uj+o+~0Wh=W-%EBogYfz1(u(ch!)qDHXqzUF%perp&y_bGk_ZO2i!V zP9VBM@beRjqeM)ERH$+~U`vR<*;-7Li~`vLK#a9=^BhW@1c=@s5A(#G6N39IO5NO2 zUc%c@cJgg|@7|9s88OuqhLTYe6pJA&eO5J%g!1c4&4x>*bJFiJf%|&?q?5Oq*vKwr z7LffaB;Pp^CZ{Id8r+xCHy<1lQ{rQWW6qH0rLNJDURMm?_FhrO!`-BRtI*Z{m^p?W z%^QU50J$W4NFKS-R-WRvutE9bk4LM2 z+FE%qsjP}Qe3I1DQ^l*9L*x}WSh&wVFLj_?jlG6HBM=)BJq|!^n9Y!h0wv;ha;0V7 zu$69mjOyE99Jm44m<{+tlH*UGfYXzGe1=Tp!YcgH`5~*>O6co3^bNiDFvmsq18%BF zkvr;AC)EBz`T0@*S$z&+`AWyYNw8d*SOZct;+JD-^T6Fb%3{o6-emkmjtF@zDvOv0 z=cM?GsPV&Qi3QLiG5rWjkvo5>Bc`S|_YokEFe9fnLKE`E5NN}m;3vzqZy`)J zW(E8jE=!;=+bPfbsdf=CThLk()l%p*Y<5bOD4zjG%GXm-6F?>_iXwZk5}sX=`^T)@ z9GpQ?OV6D(<&`++hF8)1-pb5ESKkwlW8W^Enu`7O9L>?{KKV<^NN4>d077+(7N zY_ACP`bS@bg;fNuz3<1XwZOm$ot9uL_}E+IQ#&?2FVBYEO`(!VPS+ErlFv8{q)Uuv znTs)z{Jp%L+KP)R5ywPr&anOGMXD#whq*94OaQMu~eh%q_2_GqOyBP(qCQdTv2V?LiGCd8sxL?!ML|B5DyPP8}x}jh zJ_?zGbuV!A#z$wov-y13f0i@lg018RU2hXj&H_E5YCcMKabR_0Di+@6jL$kJ_WjV5 zjCZfNx1EY~)DaZ-vJG=TX!Y;QPPbcq(a8e17u(?ka?BRJ{rMmZC0^&e0m3mCRP5)^uZ%>rn`vxG}vgzO1! z4@CJH66V`Hp&v^eG#&hm5hgXSMLMnruq?eBc`C0xnX5dMg?;N1?xKql2=#NPmpe$U zWVBnYascSGlys>DdaoqHp>a$xmhS*cJ$sRH6Fe@AR_@fznR^)u?64OZz2pZs{ygxH zRpvmAMEVy8^kxU!^@#=91_T^Wla=yz9sMyHbYwhkU)i+9vV zo9uV3$>jPD)EfFV-c$+c%Vwfr9R&b{Z$G`E8yx;iU(k1T!e*@*;o`27B~EnjL@nu< zU~6Z5Cb4P?quqbJ%U4vBREjM&`aEl5S+6@VxAAEZk8H`DyT+j$H(>oL#%SUV79d(B zTP?;s#ia^~v{KQwde7+?ON;?{f&yYpdX6=7>-8J3$w=xx>V-#B#UoYw_c3 zl>dt<=^ftklScFZEdE&jU#Id|{!uEA;qUd?|6IHMJ^cSJ3mMqi|MT6t`gdIyE6QKi z(QL8OV$4kmSgml@jWD>g#0p4Gf(w5$swe=}N$NI{&yUHRd|^AJST7tP_QgQ_sfRs| zA+jpAwb#;g>pFBg+27Vp2k))F43fx>&eG_}j+)pOM$}u%)9f^=(vs-v*sSI1*p7z8 z$hvOJhbOC|qFn~J;VUr=1r5=@+Ropx+wU%koL6djc=cA0_%?CzwPN|K?L++G$ z(9x5l@4;+vqFyF>k>*v|Dl>A=P!7jZeoMdFfhi+FR=TQ~w40>%K)e0AUGV0v{NBEu z>S^~Nb|a5T3#6pbB1dpgW~pUk7Oh@f*?(PjArQth&^oaC(}5_$PQ`IZHG-<+=8%xDjZtwV4 zVwR+?3=DZ7%`onTF+P|mQHeqC6kJ|fC-yaUc`AMRWOr6>>&MwcT*2(lk>9c$A?#Q> zmyAq(@)40{N%Y#uyf=^-)^%GaK9t!{j^Gfrn*rE{Z@sd~-OONaHe;k*`o_LfkX+^d z@?&~dt0-Z@BM4*H6G%w^ak*-@a$+T#s^vPtnmkCuJCCL`Zva~g_jp`!!-Jk4UzTwM zsD>FYKS+$DIYQIW;p13Wd~~3**Q$O9I+~5aj(qTfxGF!ux*wQ3D9s9EmaXsOM+%7)z@P3dU6(A$J|`zEU)-)>>grFpdqHI1h8{29cC z0OArH6;ou4{)tIudEtB!BLtP2?3XIg8SW6iBAkV7Tzm=GgTf?o%5vOPV!zggr-+`X zzzO^Nh?l?RA(T4fM_DcItUTu$_+7hZk6YEFm9k$&J2+ZBw1FwED{QdF+U52jn{_%~ z1ruqiN4VHFNd1^6kBp}W%p+V%FUhKtMOe0FxYAnh3*ivtR&auiR$&zn#jE)K0J1Me zZY>$)8!r`3gWV4?c?LW~u^y5GrvQi{C`Gh!)-GaWZU{UQNkXV!(mgy@YKP=)kR`>L zzjCa&W`$MBAt-`|Chy#zU^<(}Hkz4o)5!vJbJ@Ew6=vh~raF*~LkL{rZSwtg#qduf z$S=ev$d97AfMb2~h}JXNVk*)^Y_?3IsK|Am2^XVDITDpsm5osRJt@rWj`~Tad~h92jXtvJ`}-s9R%ErCLT{#eCLGL+%VN zjy1t~iQ=e7hX%sTbzEE3gC#|po`mzZ(?Ns1=G{`sJZ0=79ZOegt>5^_#Ef z^H|qqx4A_Q%Kfv?t*L(EA_YK$RF58a(Tml;1~^o_F}DrI-CnYk=BA;VNmUQxWN}tN z*ZPV`#MX)rBeo>&lL?edOUqi7iqEvaabJA zo+c;s$wHsQqA(QB3Xx2(A8n4N_vg?X>Oc*AD!X~STh`pJ+c@% zbnhOD;Lsx-k658vDP8|K9}*BNM}a7A(Is?AIFquCWKkABh0n zk1U~;2c<1 z)i$eX)~csUv+olo)$BfN8tO@-uXc<3yASZ{xqa>P$?Yx|!a!)BT>nl)EH{3beTJ8$ zcLiRVQI~9~VI=lze8%?kil1GstPvSz9>+WL?v|aYcYC`)35vp@gFmp3YN4r6F`nFB zJeqJjqkw{_l5Ojx?Rl0CVZhHpWk!GKKH*IAybuEkLXI5j73C!Kt`3RR$;>dI>+W$V zk+2_s$_cpT_!rjU+THD4Z??w5_uJsFVhuhKEnoZE@VCeuKJ8b^W9!X~zP?znSH3Ik ziIqA{#%c+Ix(FVaJRY$=DSnJe@Pft+0ko*NaJEhhJNjHL9tb7+YnRlo26l_UXm;1s zdVt`?tB3+}kY(=@X2SuNl4oa|5XF(qBctZ`(DkEqdr%T21vol{L_1S?KXl=*GmHyM zV#I2*&V?0)JAx{Ovi;0=?sgIR1#FD9%`Ga>!tc8=#;5bMF0jYD=W9^b_=E)tr+bj}Y+*Yy2htDbaLa2=`ab5f>Hj$bQ}V2W@>_h-2fvO{&vW}hWZzE1qm2nmr_7^-j$&^eqhB$18B_e)8NGOWMIi5 z&F9Yr5zqJIt1b!E29zd7bQ=&tdiJdKfYz%n)`E=bDhpJynw? zXEoT1==^5I)PF(A^s9p-9hia#1}c);K%vde0< zfNA3s{^GG1U0_+p5tH%yWK7l)9*MB`1$1v+=AKmI7AwROnB2<7RKR{`-_LMV%){mA zq-IMa8-Cb9Wg3ib1|En{Ypj)P@P0AEi>DcN1*#_=;8=^a3u&U#LO3NnGqP?H_^_f6 z!*h~RQ&&jU0VVFXYgbZxC6{r43HelU5tnQB`|kGM0F=H=*1_?ik0*oijp&9wrY4q} zKS}vxawVkD+ZO)TP7!jbKddj0ckLc40d^mfM{G@|QJ&#V6U(_KL^%-`U)Mf}SzY5&3$TWtKT*D^kpSr!emllSb35+I^Hlz^a3+`LBnKFFMazNq(o?+6 z*wa7HmS>$nd6ZmP@=siPWD^>1#rMyV5O&}5R2oV@+ymkgDCQKz#)DR&MbsW}?kySS z*U=JO2DlN!outxFQBMt23%FvVBjTRG-4zTFtj-`Y?9LV({S-H*-KJ*tRte zK_6O0b7Vk#*BHbCEq~doReDinT}yHo&xeVj5!}!O)X<0j)H+he0^SbGIuqT-&pZyXHub+bub|_UD$a zKYUEzg3(#F<&t<>9}Y=7L;$m~(W5^cN41y>cFs<5K6+Df0Ta&i$309!@5UR5s6F&~ zXsf*d)wJ4CMT6koSi9R3nmi36o5!v z-Vi}H;$<<4%$4=CCV8@sX!E9DY62{6W1-Uc^W9}l>Bv;D<6P{GsYxokj&Ka%T`#S6%dYM(SAsmkKm^^iGarzNNhX>} zSQ-`Q&=WGRKRns(-+d#BnTN4H6~pq`fN(5)pf5hQdlMbV`P-6GfSF5d)1?8DAuW`_ zpu<{=O@3L9|8!kWkn>aF4>s`z6D!h#FyKi6gZ^;k_%#iaw(&R!OCk(4Ea-)nn3f`x z)(LuVWNYC(3bxvu$V6UcsCOa3i~UmiGTCSz?JBB`@i2G8pq}RL zlOKRF*|M#t+7F6LhI|3pL^*k3-W+ofsk$1F9T}NpEX;SRK*W6_Qm=zUd6F0)G+t1p zzGqD8s=H=&y2zB zF;L>h@$tTE zyK=VFWF4H-;eMXDQnWU3c=EJ1@Kkj9nDnT-(9~x(*X0`5@pjGY-qhUL`Vnd@)7aeA zxvLKO)uT8fnNth(wYajo_Mn`?v(4w-()k+ywO1nVs=6NHp|O74PGi7}LaL3*rn%1( z#n-Lh_|}OXwkrFsa?Lq)8J5Pmb$?w{+b74atNNZv^XcUIKvb=_f{5${LZ_}Ax$+Y4 zJ?VXx+2-Xk-g*E9`l=~?{Jc<$A}y!#jZ{-TH~smd<2%Wk1EQ=*u_^?Lf=E|4!cs}K zNg*Fg9btn0Mye}|-f)gS!KnihNYFXkeDPtElnb#ZP^QdC)JEzoojo6}@M||$6t#eP z=<9yfwN9c`r4;`KRU=<{H+Q}sJt#l!Os zQ8QJlmB_BvmZ}rsEKLP&yFxFP-70G+y(Bp{0aF=aCff|$4eUYT-;DTpR?Vcd>D;X? z_(zn3A^-yU;MQ}6atk8)zODH z52iY;2n{YX_Wld>805CCgkJPi-7zq~PZ^3OB+W zmOoc6VAS9hEYiJBmO{g63Ywl-U;SE)FlPFK6w@LYROf(#G5L4-YF44Tod3`T<=`I) zTVlx(X=IxKr5;;beD5fiVz%7Iam%L~+_L?s1ic_|E~mvO`O3y%rr*2P5QaQhF^)?! zpOZ>9`8C17oY$Qm;Nwl^n%a40J)*c^IJg^7k27;onI=3>!h}lVWU!@W+TK%86rx3E z=UR4e&EOiVj|NlB`wiuiZUmSo2|ULSo#8br#0ggHWobSW?28$&U}9kiKB=MG-KRVy z^Wl(o2w>fH!Pd|L+v<*=Ah*^tSwq#i2+H}yusoJ-@{llJp{~=_Kv7WFV7C!9D*CbG zftExld$LHz%0)Ne2ADfj%auN7(LR1Epr6EA!~V*6f-NqD763U6rm;Evl9L1q7*fbf za*Sx=C2DgZC}PKOcD`AZM}+|~^z~RyF}|}?81eYZn6D;KCay@U8#$+<)q{Jhz=xn0 zrDT(O^KtBx1qOm3^VYd2aqQ!UOa&N6454+JrsI@DC|wTOYEUHcjyXpPi}?k2DlQk` ztOM+f)~5B`e?VhEhcILWi&0`FTzwhiOvpsOUp-JDo{^P|OzBPjVFaEXw|asow5;eX zPDx?D;0AMeZ6WXL*QG=-0s3x%8^3?jrW^_}I@JA_f4fCKV zQYc7pavm_Ng~8&fvFR0Rt+UzI#F)xy%R`>?IJs$%NvR}c+Lj!TaLC9G{a6jM`1d_;0q%@xDY!jVJ`koW@bBJ8E?}H z+D*driu60KnA~N+z;PD>e@`r-GM$0p2>rTrwxABF{IJMnZ5F4x6|VQUs0>HVeORYlLVH1!4}}Hphuc$cM7M-~y{*1d?29I&&DND`b~MQXE3Q z!8Ydn?a`<~I9EAG?tWYpSy@=9k3NQIuWjQTiKf=iRQsq46FH%Rvz8#HN;*T0A|`*) z8Z$qkMjpfln2dYgcDtPI#XZ~9eA;`GY1FBPQys^yVPW!VoXyFu%?O{n= zsR)TS!d3xJG^oC31Aq1@rdKACpe3Juj5>{J2b5aJR$WDjMa}P;3>sHZBaEL84=4?L z5OsM6xw64xl{ZK(yi24f37g#U{i1~=rfa3FxJp<^_R?r}9FitUF6)C5}l|+1Oewi^*qW$CAxV+^k_a z^Hm?~_7(On6hpy!WeS?U@oUDTk~aO%gE6$RQ+=fmpkr-m=Z5o9)Vq`O zt$m9YmzGh6@?J_oR5TDOx z=m>hA<^ydrgqe&n+bg3uXf{SJ9*3Yg-b*j!8ykddn9i(8zvI(^!bw?+XePil_ju=e zk60cYB3*24<>r2SXbR*Nx%UM`-ha-cBid$6T#VXTZdc8zN3Xqe2!|(fZg`>kjl|fb zFSX!1+2&MNaRu+MYt)G{0(+k~^|E(*UUzvFR0Y94_N9baC06o`fnnlXChqcSc|e7V zxbWNU;BFQRSwXv6>dQz`;nk`}#Rl8b7cP{TG{eQ(F$C$z zTitCQmJ?ypx&o(HJ+ZE}@d!{7mEpF@jr#(E$iQv+7jpmK%Afp;;95E{MGjVi@6UG{ z(!Xi@{LfM3w zo>{s^yXv>ryzJ8&?%*QZDdF~?n?wer9Iu^Js!M&pBA>lm^1m`!vn75ZYP-MCaD3>d}+GK7l^Y#4qf)wOtdTHhq#?pA|x!5Gsv2Wmh<%-X+3|pmkpcOk=`ydqVEW*qe`UY}4B<$q+qjW{R)l?O94i>)mmcuD1Px?Cbp} zNGA;=>6j$%$=1)Lpt!}y7q^|NPl4Y&M8TJ=1Hyh(b)&q=v`;V|`B3h%sC*pAeu)-r zFH0Usal>;+GAjWf-jX>@)g~b`SDNnqgEwlQv!rl(pQAGaA9wZ2 zGy^&w!;yVTG4#kMaT;Hi0?En0IB7Av!mCJF8SWVFp=IMD^tnI72RGU~xWw9i02r~n zyuo?>7&@)eSawOEJHDVurBVJgebim^&F8cp^9yZ$nE11})JmIB_j!v(HbpLlW3jTW zj9tEXZPA<|Na|~=qa>=k{acj_U#pjP(aBqvgXr`$zSVD`K*hIJ;Z5czfw3Vf)cbFn zIJu?zH^pV~kQP9si!pK>9_YwD;nbu-oGK0}A{>|U8Fg3=arV%Cl{K#QkYgQn`uVGof^r3ZCP7Kg7mU)b98!IqE9E|a>kkI zNifGz7Ba|$R&uIKSCaiVef;T?Fn7OrOYQh~NJwM2LL9q|USVgUlajO6NoVNWv@j0m zKt%!iNa%wJ(wdt~=R)^V1bdOw=sU^rw$^3)Nuuj^q7 zowlA!Ekd$kG}f9RnP&x{cRl7(7A$12GsihPaRB3E?x4|;^>2(;YtF$o_kN&Q-8mz+WsYJXBd{IzpWT#(rj@Xy>u-Rb1(R)y9D%;Vp%}PzypS1QUuIe=n{H(h zjthV4)W2g`8}mxxs}NZvHfqu_YO(h#MtbG*)sqZJRC9jWO3e)vf*h&Jsju!9{`sRO zowW>wU@AID%vh_lOAZyvj1K&%CrlpOUXbzf+ow0V?8%IrdUNc!UgA1VFg}6ZP&GDY z|3i-7aG{xYLhqb?Fc2SB7Qp?1x9%huf4@h~u;!g4D6Jf@xvl5cjT9!3RKUkEn1D40 zud$}3hnKv4fSO~vZQCGs1(XHQPf1^Az>W0eXJw_Nkzk%ja_%mZ_S54X+~MXOcr&)7 zF=6sG8tqydD!aHp)?hqmqF|WlCF2-;a3H}Zncp(b30$&;q*kzf|AH)AM|R;1H%d$J zs=|)x<$_My9g62%J@lvx3H*aHgf2siX)cIERGOlaj2>u}!$`lP)ETmrv`4F791s^7 znMt}ZG_Co$DA+f1vX4u zpjsRIY9L^hhiHz;cM*qDgLerVGsjsyvOPIJMXCqtahldEHL_LgHQ^wcX3^hU4Qvkh zX`jnRH2_=R*=tLm*mduAVe$1_H2FjT$X3mC%zBDN?kx0d(8f{NaS=L34ipaLv5U88 zK1)tMk?aO0*C59j<@Zo`cDVV5l|9BPG&k}%qYzlC?o~(%xQ+9RRwwF)Tbr-KEsmh( zEo)Iaivy^B)2GfCxPE#^4n!KSt_;H7$(Q-qL$T>Ab% zfkSykgh}@zSeseIf&JL~g*P)6h_%hXKc_sbql&0%X=M7|{PQxOe|{3v!|+n}W6&i9 zwc&xf?L0baQK#y6{{=_mLZ4$`Fhr%&;Ak~sCeLara#)u~Haf!SHorI(X4y|E8 z;J~D~`7U}a6SB^dQZJvB&O8868bQf4p$>T)gX+SH=>Ti9zX&O z9T;LE1%Z?iNHrs#UrGO{Q)Yvl+j#0mTI}M;q|nBhW=rIje+NAxT5P-w)`_L=5#C>n z^5v;8=?d}%zvrx{I2`@NiRiLo<10QdQZZBdUSH)8(nHKgR`I9uK}Eq(BedMSIN>g_ zO%Uh6CGLLzKgm_3&aFXyoNTY@Gg)@KL=f(~G$UA+Gn@V{aapNWw2<&iQ+midXc^_G z{8YX{Y&UmKWzcbR*S!dzaHaNO*!YgmHe?sq)v&OhDvJM^;OO!0+u}j`2>*QS75u=E z5ygJW`QsOd&&#lp-T%{6pnTLr(6~uuD4y z0~(ixMeoDMG3cVC!~HD<#4EzsUBwBwaE!$+!if`n+&zRc3k1=**QVDEXD!EQdurkN z0NJhlf=98UVYLq1o>2JQUi*FeIhzUo$sv>v(y#FCB?@@_#MBsh&t}B!7xV{XAobO0ecn`&lXD>z8YUV5Sg!QP(592tk&B-_DANw zj};BsaD!Tz26V!$V)5K9geF32gZP3URN8_4af9Ke)I_=7jp6Ozh#o)iu4~~=>Am3$ zmXPt8FWr&PSDogE>k_zRw>{xEPkoI2LeMXhCYH;;X|x_30wKaUsX0?=c{(J9okzdgofc>gbC5X0N4_RK}>x+Oj9t9 zPajSu_-Da{t`Db|Z@R_!nW=`iJC|M^FCUkmu5;@hWvZ6i@E5J$XOx$nA+{0trzSRM z?>&ixb-vBbYvN#Uo1{9;;{5(E8N08SM^ZVJ=cA*wH29OMH0c7FMuZbqjpO=B15N{x zRU>HHS-iiDGAle+LF3E5Sxi>5`-B?F4jX;m1)l9afNkeb`=3`$Y<#|yD!8_}QQI6; zVTnb@RN?b-H|^&A;T?2qsv2#H6U#|D7ts7Z#oR1+w25lGZWBaJN`FBfh3 z&Nl`y!~TC!^>O4@$>hjtW&*(YU2PpNOBXV?b8Bo8c298nuNP_BUedR1-t!-n5@}vH zS5GPELyS9N2d8@9U_Iqdy;t_OWw1pKfrYCT{=60tp=PMVl7Z%`G4QA9Qi`m5P#0F* zr?j=l2*Glim)>Ve?t5x(aC0K4;;JeQqy&mWs3fDp3(`nH5!fSjN>b4SS-m?fd^w_l zY6`7w-Q{-4-6U8~*dDM_wZ;y4)1h4UiZRXs*!gL>L*pgmeu)nV?W>RBH()(QfxpD?}y4A1wvpN)J90pZo8ZiV*Hiu4!E0&OErT1{YCIdU2? zfJyrmtykDS9wc)~IVs^L@pkx1y6TUT64I<*Bo-IPZe+uYxM+Okep#TX8M#sCf0K?{S2R^ z;T=|afB24~Dew4Wu4`}R2Grl5awO}3Og}o7fvQ@8UTAW)+Q6RyQfy#C(P|G?r%!>} zYeA}TWZj!5B{&GM%2Zoor&B}KX)2d9ZyM-6)SGG3P9FXI>>6{e)FhXX|MJ#Q{q zad6$fL;dLG`G|#dv3C!#lh(=Nlx+RAB966gqC9)RnF{ZMlBk?X1eo?~`SA;I2VUhY z=DG~Q6M+^~aTa+}l+OqDst$Bp^=n$%Lns?%=ug?QCzcB+U;cVHRg%1!{iaCxh5t!! z;C+Zc_wuACPp<`CSWG(*hTt0|yJ~v5UIJm4z#F?djUB0HD8h4k zuIJjKj(?=9CW@v%7-ZHg?Iw{fVXh0Yu3JUN$x%>S$Q-wtdGW}hJ5?ATl6deviI*AP z62frmakB&Jm?D$tgEz&@{c$VmP4M))f&+&0pYaq*x&*X9p+?#KcE==%vB_``>JOYjuCzsi@yD!(*sX{qN9e$GYzJcK#mFbXji|`rPvMy zVdc@mF94LIF?B_ABbG}HH6<$}+cc^=abDlF?M7GNx05O@g|g){(z(8ot`jPeJ(=Y{aRn54So% zZzw{K6bE$h#$gHW4frvVm~Y>=rMb+YXZ#ot1R!-m9V?XpDh;Q*;{G65IVzV_6=|f2 zZ1y5M2XxDlyh6wpMGzYUfC84AH<9j|v3u9xD9|B7FN--A^5pDUIt~r5hc>?~%6E)S zNol6@>GEUrRXA zelTj(ENc(P&a0Ba2AyTk_)GoQbX#pQehH#*+Ma0eO?+thF+_7R+iRy5B;QA`I#fHXV-%P-~c1 z5I|rjv*@lWAc{v#_Gl-j1&^?fzG1sL@i^#1f{edkW>d5}wk)Qjgc0bA z9N%y+O-G7Ol zSqk?o5QY&dyOru}s@%b_scR0-L%Fxs`^RZ)*@V$%JS2J3jC>?V^UH|aVjGGD2g=a- zV@|g1vCmRY$i1S>17Pp$8xZXcG9O(&j&vj-d2Mi^Eygl!5Wz!`QY!dM^M>9i{?v2{ z#ZHvgv|-o&fLjrVq1pH_1gBu~r zmqS7RBep-gbR;gslfNq{y@>E^ikad;D}oXfwlJa~t6F7|iHy!kg{Dzh-D2ZdRw0eN z#;b$o2ZD!h;yms&PD|LaCk{;lQVlG3RZVsH_!>}3FA_<^z^{B>QN}%At6bSgl#kmj zZq;_CC5GpdRWt_CbWxqh!`2zHX1F$ISL(5A^UewsmxL3S#CT_y5LLHat5@(ik&#vw zMh-`n{mbw`3Z7C^*jCLpQj1z!SBF{>N1b&;>v!?=x&r+IKW4%+n*Cv>DTLKEfj5xQ z8H2`b3w!xUEI-zjr1So+>=b0Qg4>2%7pGg{&dC0+{>e)2IrXqa(Gpy040g(zHeL50 z@X|Av6ISrnYf-p(0ysbU+7+_FTeu`0yRypBI8=}3=Fpw7?A7Spc1xGgrj9c;1!3O| zX*c&mm3X}lYAo5Qzt4nWGe9Es)7LYkYtqB^3Y3G9y^RbisbSFG^y0K67=p~#(CYU`Og7{$~A@~^;`E2vJmVInG+6mGR% ze!oo|4ULOD&y+<1-=7Ws&NozLGolT|@0#`bL9D5rm3ogZfL%5Gf7b4-|1_ZY@7C_D z|16OAw|(e;-Z1<<{J)^xS=j$K?fz{$V*MXsw?7e?c8l`$YDApdIv#BX9x6HRb(`49 zYj!bg_vi7`4!gQi2r0s&gebqIJHy|uqiZ(&`GcpljoZeK6~n7dEw8S%1~%sn?l%wD z2Cw(M+tZhtw)X}t>Nlq*&8Mp^V|=gYWY10e;?65 z%2gw%Bx)X8fJFw4QO-pgg&8*>mG7?pYcl;2h>gtQA+7&zy>biMS4jL- zvO-27?H!1n!Ep0(Tb0dq2`%c3>pbSg4t(4h+hCOub5E8;xeTk&up8HIkqA9C_>`$y zE!v6g>iSE&CeYDLmttrARA;9+QzkY$R+<&Cr|R2d)wa4_%J7qTq-gVpg7j(XafS&xiL5GJNPag-_quL0g7T=3)%gU&eHI^5&}gjhhCgEXJbJw!J_Hj>1)k!483 z(deOKiV`q;k~M{JJ4J`2n_MQz*jES{XwKhXvzF16fvE>)Vs@uh*B*v`^irxlFiyaMIq`1W;O8|V(rp> zKqbNs%79%JbU{`$V7QcPv_C8RQuCpXJk0M{O4sMT`vf@yP$fERyFxA7}B%n|Z8L?ZW4bQU)0K@4Vx*QVipklE%t#6S+k z$HOsV!=)%?=b3)0llrcnX=6noru%0q9!6Hy`^yyHUOHKN)8&Sf}gZun*p7->J&|!QD1{%t0z!==UGQhRz9 zWH50){IpdOdhTbV zrtAK6yN~9^NB01a8icdHklbP~nKoofy0C29T$N#vO@b_O+{CUM8|8@Ucwkd|AUF#f z6lNTu&I8?!$T0)+;jM(#tIKJl$=xTEVk^^^QJ_(0^r7=mHWI@z23?Ik&?l!2vF+i^ zdQXvxi0A}(0*4N`j->o0ycRiPOzV<*mvuZ5w+c)=IN*~p{H%M>`1GMd`2c$r5^hvI zcpBc0`oR`cm!)#@yHLqkF?X$;&m(gbAl17i&>$5bc^2+rHHcSnp#cQ1@znG-RG;x`l}b&GO}Fmr|7HThtzB zTlX>vJ<`!5Fd3^?&Xx*q>$os5cb+-lwH+WF0^&^9oA4b4Z$AEq5Qs2`d*o2#+q&5i z1?eA;DWlgY(oK~{0$Cm&=%_p=RPod0iTX2&tI|t9g#Aaf_>t-x?)0Ln@|(rCBvML9 z!oKZ5d`<)I8eeIOw7o5=o)#Gnj)D{_xE07>oIkWOrb*@q2W+A}cjA)=|ouw*#0Iz|2-RX)_;~N{f`Z5e-HmJsBR|a|E#*zH~!j~du!`_f%8a; zOrUlVmy)}Q&)!e(hMj3W+Q6IQ*EcRw^;5Marq6$UOu$f79XCiE+#ndxDD2ztdZ%M< zcC5f(=y%}6UUhZ3Gr>IEmZ+29UtKn}@m*dv;KGV9od%N2| zAk#W5HH>Dp4l8}6+?tlKZE?;~;`rdfs&v{=mCa|su=D%6w%yGt@#Gsm{ z(KLS}gW7%Ov-od>OisJ@gEFbqb%7F1xbN{!F2TsJ=h6G0No#3O-C>4e=`}J8-6S1XtqwhiN;bH-(H5l1BN75iUfL?12Ge?`!qYIZ~VQjLX$ z9Rzks@3-jQjsj)a7f!tJ(%FA~cc-~d2Fjvc%iF4xw$4i5^pp44WuB+4rG0tDIZS3n z0J?1(i8ARU4ZtEB0u`b$-`spZ^WNAb{%_R1Q*f@`-sK%zE4FQ|*mkmF+qP}ncCupI zwyhQ0b~?{~yXx!xcJJza@a(UukFK*>bzODWJ;(gdF@EF9$18={r8`gd>-F*oFX8y-^;nRJ zXEBFA;{?^XvE2CQk2jQncgz5~Pff;x66%+5PvKue6i_o)7=!K!NeHRwuG*~q3JG@E zmtV;pU(nxPVTjJQ3qF=K+#L)PoJX~j!i!frjKF%W1dOyggaF2&+<20gW6Ll8X#`8dmvQGZueV2;~}3A zhx??mqv=kPw1AJ?t!%8JQ_v=6^qkR2V^i+_><@fIZtC+kahH!`P@6{LXYQ`23@q4< zOYx;cG*)6eAP4~6Blkbh2!ptBk)73~V*pW7TaAI)5@o>0zd;BRHHKVIkc9JD+_s2Z zla`)AJ=>I;S=1^}q=C(na`0T?bh;$U$hdZ z?Q)Tvc66`DsFnx06K>@Q$SWBHR5)`^PKTb|pdBg6PX@(z_ZsqGp$>5@UP$!%JC8ML zKfErZQJ~smV}Ai8;TJ7s$Lci-11c(mD%cCmW~~X^cY}nmgZ&m|P_*kEqv)m&ckGuE zwL?k>6mU0hIX0jfI>C%?y!QU3>eN+41$b=!goUG$2Q5xRH1$X`xr^+$IY#5QVwsYo z3)Y3Ykq_mCi0mMLmO#~+8wEmF(Vi3}r76-Cl=if}6j{>wb{U@%3v}pTM%%(^Oi=qQ z?=#lZm;rYNfB^Xd)$W39zM}{LD(eDCCd4F%f(RFbpSF+j$;v;*RL43xv3!j=y@en0 zi)Rqiq5^Gr4bb;oBSIRV&$XL8Ikc=V+_Wtxhzlmiq}{LYyOxga;u(2K4clT2dseq= zD*u^Kay1Hdy+^j63Cj!`+~*S;%!nSRQ&@+$flWt zC zt(Ddnw;L?^p^bAG_iq91ypHe!lgO(LA7M&UB@HvJHL;134XiKxYp5k+O?PU>T&9AbNf(^eXfJWA!v?K3e9WMAoczb@Q#l0zlm8u6is+KR#F-Dsj^YYeIp zR5xIV!?mkmXz8&2-_ziK@U-6%>MlW(GyT=seRr4by)M;*1QlOfXGmJ~%oDvMXwpSJ zfPQ;Y(sp#pIGV1Q0kVVlLj8I>=D)T&mkM-vBO)YZ+mM^%>LZHxMkQLLLMm*{&| z_$yw9M^oI zwLBYcBTufcL7ask>?53+u?>jWOy|MM z0mLW{FUVcEQWBkuYSN7$LOdObS3J^ekGX3nb1#7+IMA;nb?%*yqwLV6z;wyh4{<$J zalRnLmPY&p3U6gkuQTh(M2$!NnbB~I;dk_GD9?RI4g{@~gGj}8Xihn5Z8qXB@Cs`3 ze?jJD`MV>h-`ewEIM4q>s`PJ-p#B$o_y3y(|C_~U^#8jmmHbwv2wikFUw)#$OIvp( z3)k@Z(hG$nP`wNzaOZFvn$A&n7u&oGH$PveViOJ5wB=7KA$F5~@7Nl%y&qz|9xgin zp*?%a?r^ocGw-fGdYbg_=x+7ec(-$SYcR9+E>p2mxmq8-JdnIy%vjzpUq36)sK)p` za&h|_9tZT<+w703i5TSr+v)|7X0yd0sN8;5?q6}emvL79v>KD4X)MjNx5|?9yJAYX zX?nb3(j?LZC%Sr@YL)xqvDMBWo}CFJ?T9IX+xc3weFqlm{FZm*!ev>-rkC6VotGi9 z-rwBIa^>y(>0Ils_T=%YP_5y3?dl~g+q;RJO^K{tdGv|>@I;eeP7v`EwB!=g=2 z+|*G5LoPhx6uCJ}+=N^eq~*_M|MBUnYVWQtLK`1f>5)m<)J@)w&qr7a>#pi{l+kqS z$Fp{>5mWxu!k~`S#krYqk8;*^Z25;DbFR^5E9cv0PpMQ=rpkOGec+=eB-5v~%d0@y zNrA-Rt8X1+n5l3Wo=<^$K=B@ji8N~@6DF(kwVuFqnHw#q=Fk?4@NAk!wi1rYR~eb& z-KOh1SY&O3JHquBN|flJG{bhh5eOc%0woN3>E?Kd?K1U430hZ}s=>Jr!?dL^9eZF? z>_Wrf=6Fm2B@?T_RWf}(d zswjB6Rb!?TGHG=Rru@P`H|>7f@k%Pl{}k3sQ&gQB^YjK0O-^&@8OK?fMl5W(9@crst<3Daxr?JEMNai{hW_hRm%&S~8>79wR*X2VJw|9048(!TvcP>F0xq@`sPX1r zOn_$3KbdQ$WoYx+bg$_$@3VoqKYAtgDxYsUzGuQs-0fJ7>(a9F-!8Jb#?Bmv6c3kyIW zsy@ehtZGBunx9z1cm{JnW3bhK>PFy6_FkIud1DLIcG6GB^ z$>k&7sh2Gin7!TLQHhO9dTh0q*~7nFTKV+cC|J?6(NV2gd%D=@`P3T2#p$dxXn()8 z;xphJ)C4btO27$V4cX*f*^jvejtg}AjWM%?k8rah6Qq`BGz~9LnGm~Ho(R+`Rpv&e zr5crNGMO=JZn^dT1h5x9=G*PhP$UqKRnNO=?I4)O*7VhRFbGDOeHE=}!zge%^ccVQ z(evX6UiEj?#Yvs{NKtB%LYy+aOvKihxK(B8LSmIZGS8_AT>}dF4$GiVt$fIX-1Zu* z<#D|U6GrH7n3N2EOs6&DLC97yfA$2DBrZkVW*76bDI;OY2eU{`;=B%(DPP9&uaOZW z#MtjfIH?vWMthZQx=hKb;U$HU?P$NZi28oS!&j_+xAhe&7&^k#3%=HlSdCnz7AB|Y z*IaWkkhgxnMv}vqh2(4uZvBAvQ`>RT#u7TVyfCAo5YmLPiI<`XBo>fZMj@?SK(52QiZ5(Phw}$d5JExoj7R4-vuykS8Ba zzYzm=2sW1w`*Cw;^-~<+p__3lqG>X$kMfe3sWn+H#nWqc;b}P(U*4yYrf4*zK$qkZ z+HH<%%%0ff;amb365`P*B*K>G{lr7h$WG?5wtZlGf7s12>f78Jy(Y>8sSc6+e8&)w ztZ{rBw#K(y*{kcCS(TisCA=IlKx?G(YLqh{c<-rtmiB%%2Sm?f|7FIm!2RfbdW%xR zY3l$2C>gRGk^QpOdzsh^2xvsL&NdX86`qZ2pX7jnUk&N)>U7(ZcrnGC5_u zXJgY7Gu`BI;+C>$lSo&LZI={i`ECW4UffgUniwBT6PcSHL`jEhcSgzE{oLK~>kH^o z9Mk(>%=`ZCwBLV7PyMawJ;s0Tm}2?&(t8Z7O#i)OO7*{Bx2)S=Jvk1qa*wFY}>@a zKG!tHwXwv5-N91H{rpmg&o|??wh}%%qVcG+Vl&dr^2{-a>Z0k%v!T%HJ68_R5>3tP z9E_8FMgy=U^`?f$W&XTocXya*mIX|VFIH@>u=&ipp}=+^F>2|T5e^b1_k}IAWv}7L zXe5-(QE;|xo8r`|94pd(9X zF<7g{213aFLor&mK!wUH2uED$>=6YnH~JNln?}At@c9QvSZu^P@ic8z)zI|FcUh!@ zC3X<(4HH{t+BZtFH;uEpIk>)Ubol#@bBuJK9ceDIc+}u$ripUNgwuTr=Evng{l;L< zYl%nCb=P5WV6aKWQpem3K<;TZ2R|i?fL$B=s9SD&jR{nHQII;iGvE0iTLh8gPbT;) z80e}yzz%u~k>!by9#fc86M=FgsZPWVeu?FHMpdvB$)RHD_v2Ggz1LN;qT{!h8gZ0s zxB4rVcL0Gpumf(*p172vPb`1)bE&}Xf)lsVm{S`O#{#9g1ZQ;DB;sl;|FnbLp@**< zI!jD{b1|hvDDueLwo#Yq9Oy}k!ZBR-OqywWI?%K?bY&!n&{IAtsr=bj6LYvfYP-b< zBxj)>#-MSfq)-GS2)pLn2vf6g;()WRtD)9^zSGfl=n?pz43UHoWg@*ZhKv_js`|j! zTAA1U8bkZxoIq4{&#I0&j`vtu*V(HCS5DK|pkW!3w9Lksm>f*IVrocf5xSG8B6pV*;0o-2WK`nP-{i0k{wf1?=z}Fe zi9~H7yO#SBLD!BbW0{>IYy{50wz5tXX8T(vuL|Obts976avpSls6eeLonE z6Y$HKdlR}wsIjxw*-xE}{bSZcES9K6s>a3fXJMXCyJF;z_5wYb?PP=V zuMhuVaX*dpxHW(y4nJ$~lDhPq?|mE}pw?x>HZV5nIRz1H`OSK@Atxi{s^`A9$_m#&4B64|yYHI$&AL79d2}BV(om~?N zw!OP>w@Ad~O$hVFVXk8rsYrea73;VLY|7rUpco-06pB1Ys@|v?`$!q%$qX>pXfUkyORQ(B;p`r+2;A*pD_F&&P6~Z~O#2d_JLM-?53fBOl zzxkJjH`jfM{4G-qBwtDm#f1 zcPDeZp&gh*(E74wFX9RCaMR5ez&w#-8+r>69wMogD_YNLTr75|umoKKo%>*XAN`FYIQZ=0Gd#XEw}*$}JNrwazX zm4xZob|_6_Q{rz?@%Eg#wfO~|)ubZzC@D1!{g!(otar5G7G&ozyK%X9dPu10tb+!j zNXw9fxu%%LxwM-PwPN24lFZ&6A^PkTq_tqg$;is<>v@)MZpcZ$)Lr2M7W^+%!QY*m z`46drzcnqx_|MHqEdOR&hT-Rb2YsnY+U&C+c41xn%>06~RPz*HAcUY#lR`zZWLd?b zv#)W8Z8y>gRo|SK_gH#6Q&1valz&Q9jE(@@M-@grySXW+oSJO^nBh_`CwP5a&v5CN ztIR%ga&&QM-~8})cxx-S@vh8Hufj~XdfIfEed6ti>FVz6XnBbfHgbG(b?eQC`Rvt5 zHr5mY{al>AIJ_l{$iBXL8jomyPSGOV<7hp+;b~R2OA2)ryKND#!ieF-_VHgX^|=TU z$_UE{JYiEOS?1VszAmBf=ggcT>lSV1)zbC^P>SSu7s8pUYalN^-57kjDS0ir3_dE= zW;LDhvL_s_M8%L@96cr1Qv;lqfA4-T9A1V^lqf3W`e{qJ9cAQ}X8hubG0m72&Wc(Z zStOBj>5%z`fe>$uu}9e!j7NebTquzwfoe87A1VCydb`_flRvd9ZV?MkKTo;H5ieEJ z5sJT%Ny_8>jg_q)k2vn1zA89AR2jIRzT*8vFk<~GZ7U(KYCrq(lrUyP{gGfs+8u86 zdp=%+dhhvj^y8H^&ppU@1E{XODwwPj?%A{{EWDjZ8esE>Zf4lCDE6AH6t6;jXVHGB znQbv`iTPxf8);k!3F>b|hp-2q9b#oHuHyEluJly7ogY7WJsQxW>k@CEr%Ip1Pr9sn zW=>IXA3va_tX_|VX84MGgu^?GyLtQPpb8D`q>0k(%-&9!qywcAe3A3M{Ob+n^eGfr z1VQzaAyGD~E_Z$0gUVxV!P%7ygW|H{R&ezMdtQll2&=$t<0kgm?-xS3Y|WNE8bFgr90Hma`|itb1XX7S#S>0f7!W$2d<*+ zF=DT5S95Srd4P|ykr3rhx%)7ht{xxfWT$R&TGtE!N}> zL6T?Q=ZSU#XQz1wog~0wB$K+~gYXMDY5U((TFPZuH*PX-A|=w)IP@Ok0EiRXSl1$#_f%7Amk6!%Bm@YZ zNgV?4SlogyI4&!1o6_S|j_jH&+(v{^p$kI>GJ?u@ZTXwaZ9nWiB?*GlnA`|jed0pM z$>qn-gs3pX%%OCZRU48JS;pHYhOQgW$3{$?fdF{Rf*=Jx1X8-GtIIluOai;-iB$f= zQVdMFDOlB!25R8|C?EupPUPsjU9D*To*(Y^?h~;oY92`0byN964zv=QB!?GI`sz5- z+pw(?N){Q&7@k#q31kZb)I8g4N&B}3iu;{&tq)YY#9pTb>Yr&^mAO$*MrF1DQ_qAk z=!Vunn`5W+)t_MQ`juIIiax8aD+aaHxQ$I@_F{pz1&q2tO)c91OQ`j%aqa~*Q=Qn?_S0Gg}GOam@Pl)n(fI=nf}+^H12nJ@ z@WBhHdJ3DJQltPTFu2(C;y+0hNu3}Ks z&4x`H>CZ!aVNfK@F=DaBApvUsZuUHqgwzh_TAb>s&vcia-JJuo5UPR2R0iBY&ElRE z)R<+8jSPBu{^8_xe~P*9s7+42W776I3GV_bEPj&}p2?1;Sb~KOCTc8LJ;Zqo9AFvV zL{rYrt8vi+DT2dpcga``b==KtC&uT^gUeRPp0i+EtgGlWT{xvW&loahe15pjlqu1Z zcxyQAPLD#GLaj=tDV@Zj>x%2%=5pt>!S9UbYM?OD`080o28(`ava^*?mR30#eHtmu z8H=AQ8U=%1rZ_0yhZ4kPysd`R3zC?4;S5^!+)skz*Z90bt}A^xPaxJ_F`+PZ#34s# z^@JJWX^Jkvdi?tq$wf=Q1o01}F$Ydt(rT(3Pi21!MVgEn`*K@3pT*kXB5tHXeO*(t z5TV9Ihd#v}ROwiYdxRU2gOwBoqJSv`Yd-0~_si9`p{d}dK^a_BW(lX75UlfGaLrHH zoX_p5(X+{n3(*#RGqq;N917A3nsXrm$m*Vvx}@G%{854z0&%^y((0mgpIs~FEu)C7 zMui+hxl;Vq*w^{I4=fq7j8XY|e1FPY_*NT;+ePFTgvLdV?@Lbmik1Kf&>o zfTnG-ODCIM?%HJ4lfd}s&t{d~~02s}WvV3NY;UgK8$KADx>7qJ*yMHOw(E=;b zs~?-f94&k6YV`vW?$ZGnbflHBZOk~v<%>wt`+cn}7coWpTa9(%mjs?@Eq!H{qR@uu zueT=!8AtA*5M%d!2Ji1v=;`lz>IoBBMQy z&Q&Nt;S;?$VG>@N7(n(n5~E$qr)@80Kz*ILj=l3F^?iu~SpCSXN|W%W3V5Gv6oY0! zW52Te36=)6B_G*>rJzb7wKz0RZJcT^T0BS>L#!*&`UtIWhIomD^a=7M4G#2wmT#ncx#);%n2#oJjb7;YVA1ItF3s}h!exl0DMTbiV`G#w)b8n6HRl( zov&1C>k=dxmgl=YM|)wPb?|iKUa2`jdM0LAFqHq&&{`KS>tViM6^W*2VRA)4!%IqADI578) z@jKX#(q2gX|65P1?MIs z2t%#0!4tZ%5GX$q(2GIC8VxmL1zED*AN&)ocm^4c1DGRVBMkC|Ck%zQON&r6yHjPn zb7V%|;B6q&dS3Q^z@s!z?Se_<^qK1);vo zC;C0n(AAYehA8t+2z-U6)=C(~DmqXG*lBEOh&`m1*_s7UfDPYic=&tsU_$C3^rFnP z*HvB+i|6uPAz(`WEb$99W02Eo6uDV}haW}}WL~-`fdaiq$K}P}sq%(}yV6qjvxSBV zTcKA-t|P;oWHRXvPr`*m1?v_z;$%hj;0zzmHMb%IO@ECZ#`v*vm=}PEOR{RfWM#=5 zZ)Jxx8kq@Z@?)!1hLs>R_mx{h?m}G)L&%x-6xa6)LTc&(UqzN+VocM+rI~4!$(1`1 z3Wsj!ulX_nB=6J4#v%^RrVID@lRmDB?;Zl)!c1pv?Zg>qh9d{+YOaOG$~@Kb?7AU? z=otI#nPVjb4Mr=$*+yceOdMoCwwC1SE6q7fW6&|NXagvlNA}rP2>ebn^+1-vW7#~0 zU$5-bEha~bWyBUmXhfiVLL7edyMN?QwM4S8+Z z#zih}t)vgBj+<6V3Y02m(y0X$7zVaji<(1ZQRC;d$|?6WsFZ|8b`;^j#V|_{OMX_s ziT!mAr|&fq=NcD4CY7>N4m=q5 zXMc(sbqm*!tp;%Q?hno{8-o+VGPe1wvEr!27aBHS`rjv$weF@JaaO`huIC3sVR3!y zz=cqLNB1r+ocn{DO9WZOV!^=+>y@6=U}yBk9reqHDo!>QXsWOXzE_zUu6AmsgF+jB z2CSOVJ_Bc|lf9C7L6>xYsEsf%nzDIw_zD> z*psIswhq?TWQI|Y_w!%&_yb{e`R>2eB7uk z)!`$vaoe+Up|i(rzx5B6LRKiV$zQjiGld|jTqYC=Un`ZeFl8!kzb;W#5%z=K2lOM* z%9AaRjyR{`V}Ws6Di#rr%{aGY!#CW&`_aEV1a9-qpx)Fpk&fBTMmAI)=hozmZwkQA z0{%R;U-}+>JdokCoki!M#jHJtvAGXK)GMFQU4#%4rD7jDXPl9-Jf5!2uNYk2Mq~-} zEd~_I9UT%Ev~v~|W)Q_IdW2Ky>x5ojw?o+~x8LgE?115gRYMM_wjj;qxjupovUVaqt1pfTfJ2ARItA|CBwuF6>;n; z|M0{j38zk0ab$5QdqQnxW=Ig1_R;qc7!#%q3pcX_<2{OO|2 z<1V=4?K-?bzi$7o2|6Jrl6-$Q<6xKd-Yg_Eo5PT8 zI*}rEN+)}35LU&C? zMttFkv5%*CC)*Sx5;KzaHT@8NY8WC(WlPfQAHN0o>s5tkQ6cdpcrgKAF0kXdD2g5& z0zF(%WJMz$T{!^;`M!MWQfy%IVIYCyLsZ*k+IDxRIM=XufYwlVGCH$f?)}_S^NLbU zIb*;tNWf&GP9_i-3DrSh`H+?hwo2;lKYX*z7iIi}BE_9zs1(5N*3Q@cxH%o5YnN4i zKHY`&TxPLhbnzzRg0Rp{6iA~F!V>an9p12bm;pO|5%(dww_Isi+&D*|lZj-Oavm&% zcu}vQX9b;|fR}=mF0f)g;66W5E7uzps{H;a+2`0NFO(~ign)SyJVz{|RWUB46lRi5 zXM>VNlDcM7Kvl3M(pNYz_dCp_F~Qrx+y7zd^1p!Vf+C*{`ejxxT%U_lHB-QW=`2Sw zQT^NzKxI}lHr=N+K4fMZ@;1 zg8poPLOxkBiN~s6(0oqs9sag;1DpxC<-w)Vqa>mj~^^+pa3S& zN%=K&auYbYb6D!P3SV_337xiXDhT&z0d}8~h}!`kc9TpdMken#1vY6I~ zcFCCLaUFs(;S@DVLu(%|L&d-f$fR&|k+Bfk=r9WRtYJ7mW zq*;iPXO~u6`Jaas_1r(?0rDeKdf+;=*g6jLV3pTRs|}ls&ZK_L3cyLyHsIlta}+a9 zN>memZi322*+VI~BR|T(QXw8CGK$K$<%+F80W`}bOlfJhewPm}(kWP4fZ>?JR<>R2 zyc%CJ9^||5_L6Q7kT%6E4qw_dN@}GnKRK7g)hzY}D#pZT+!0LN_5HS13@9!HA&?vq zgg}oYGr{kG#Upr=Ia=wPvd7tGYe~(p#`&&KiqDi(SMIXZb1I-7&D*0c6J6jwe$uf> z{FHeT)$qJ2dgGketG23E^#z^55lOh7^g~~t+=D*!*sfDlAhgRQ*gP*9yij-%2Q@}V`^tkT z-nPm7WtQp%uhm79?!S-({{dsIoV|^Kf{~*Jot%&eouZMeBb~UFo{5pbe?0{M>mja% z|E(URjSS871Z-S2zCmR4_&?cy(lRmPvoSN!vNLMo(+S#GI~rL#I^Z+1{=N3ue{OL3 z$KwBnB>2hr56JWXfH4zY_`w&E&>&qq2oHcouNpd+IXm6#N2^L!XS_Gd57KPCf<@q_)2eWN(u9T_rJ z{&%p8{1PUN4&ZWk}1r+56m z3$fVUYKY|8xPfq>vE~Bd}~RYGb)LoQ}=Ro~tAno0z7Ic#Z!#oUPUYl4_?=VDhX8Byq(_ zvDkd(5(gl{DkkxOT2@~6bC+dHg+91^EngqE!}G)P^-C|8v>JWqLa67@?{bD z7FrgtZ7zAVs{^4B_C_9~+V-x^P0&K1(r~~}lm_AAu~403Gpd(IdMGuVIes0DDSN?P z>uMOa4%~q%*-67`6C|ZG6@baG5p+sWIz`T#!q0L4iNvv0EW|r|upukdS!9lQ2AI1z zSP>U78!TKEm@@ou2T(%e)z)6qjS82Yg%C%spNffx0W=3Sf=!t-TVNa%TjJ8+6Q zJsd4EXK(yeBI`EZkymYU*lkE4BRq3tD=S}A^LARFAbEfS329%wr?*WzQd>%*kNEito3-bK)`msS(8??{sU3<01A*O)G9rm15-|{HMR_GOjeLKmY1>AY^JPCNX zL{18=r3s+BZ(H^~4Z%jo$!Me&lx=nCv$c0fN?1@?GuGfwPheE`JWrzv8D_qR@gw0Y z_FB(QNc8!Wt&8V^bYt~(qwKTWBW3I^X1zOK`1cn{C6t0#{&2%(FTS5RA9VP5^t6wB z*Tx?=xmx=J;Ucg8`ulM#VfP11G$7qy?;9DLzG@=JZJ&6Owi@qc3*WnuZ>PS%?? z-zgNI?~}D(j6U@IZb;Wmv1*2~a0ttp9K46vTW*U4HFYw#q;=dy&L14{)%f&S^>r>7 zK*Mmtm~Kv7AD7{YoU8O(N*$K{llhms;?b1yU8L4jI+pdAyTOa5)b8=>aY~yp>4$R+ zx0~C<4%P3Ybq@RJ$gVlZ4sjr_E3_IpviLPCNyLLAV!VZCN4gBHzfQ9vC}>uguBgec zSOpAbW;h9sJ;KtOFHCK5FAY}apTNtBC4g#kYM7Rk&*D-~sNf6tL~8GhS($*yz@jkQ z5V&>3Cw;9DJRnyQ)541tVmH5qf_P^9GM$@XKqJ8FArJI`rlmf0(qHYG{VBs$6Jb%# zAzDn-Gi&KDWs&q!8QrG8-HX%`A!pdbpP;yXeX$Xt6S;AAX|d!Hsk_8V{y~}N;V1hs zqJ?#ziN*Nv-~X$s>4<|0q=-NoH1|E_qW?HpvwL_*jJ)H#-mlLu(}}bBB&aMClb5Yt zU71bCknDs9oyzDaQ;ZJ)K1i94Pq-%I_(Yd^Hj;PS%sOLCAte{}8(|{`$?8L6gODk2 zZ;;5jgAM`vxn~GL*`}cN5|OF@M6}8rqGs$9qx-O`_-XRya zIJX%*?ECR%E5_!dx75G0kz!b(FTh|8nr-cyUET)xnB40PNe8<D(nP9P=Y<`E90~o4BpnwXWEpDT?IxPOEyL$Co%t*uh6VO?R)w!kf-`U!#PYM|xG)@+xspRXnJM@+Vo_o*8fR%ozmK@+*&~7FEKoA>hM1M&C~} zh_x-K*B0JiN)BVIWN*KUv}4IsQ%lB8bOVTC}&Kc%c*_MWG( z%Cu^S@5wEU0)E?7uU{{Z2J_<2n^@h4`98T9F1Ob{Va-vIwQfR1&P=ruumDO<-(tfEy6Wu;m|_wAj>XCr!#X$Z{xr4 zgr9cOAk0K?Y*)zQNNVfgK07`d_8VugNf)QbD zRqe4*iCFJ^Zz%@j;w#L z+3}yz;Qv_s-`LFAnEo+d|6k6;YU|b;EC^oTpc6kElmKd#4sm3AexzXvd1kS2O9W(R zTtkf}(3Q1|s>~kp*Q+c7618|MdAs-!eL$`6R4J{=lx+%KlapII-tzZme()WEh?$N+ zvaivsWX(w_Ka;NY%*jbo(oQ)$eQ~(GUBq>3O`lG#{@%<_9eMVMgYh;7sZqlVU0DpB z*mDN=Vc@$bO~7Z{VU>RIqYJ(5P$QT3l%HOG(TK#hwoe zTSCc{e{u}~cD`p)`{2pB2%wa~VUQQSPAxLi$qG28f0gs3D0|IBCL^Iej*Z@L&>2^y z=YCC>!!5C$*#A11!@bo0i*RDu(Ua}#!6V6tO4dIugpEad^};utX~vX&WPJVyfq*)? zb>^D~j*&t!n`Ru2Q~(mezKVLcS|VC#zB`H@3$AC(%oO~M0~dpAQD5;Zi8-w2Jz}c+ za*l~T@Q6HV_OQ{8bA1uj&WbB7MaAQt;m4NjLy1_-s;z(-7bbc;5Nwc?h z3eE2QCH!VXp)%{?S<^@TaTX>^gfS-uWsT(0VjClb62Ib}P(uB{InNXUr;aEP2T%I4 zOhyMehU8B&DrOPWdR=$7=@m+hnvA>2K8L%WLQtV&&IQ|hMdQ0`NyzkmIZap1;3|ajNQoE* zL{53vGB@M#cP$9we(hA?KwZsH9An8D-(1`{I&3?6WBJ2n>5!PtB4i3;? zT0<1T=C82hFhG@o5|Ga<2rnptBy<)NH+38ZYVCYyOp(L1q-kCTz~br5uOJ4T0DW|8 zMh-vZm>~wNh)H~h&4zzm0kRN;bgiw50)zm>!`5!NFGA+G#8NbY13SG_!Q|)2ZMZkn z)ivgXS6M*ZYf33)YETHe_78+j08u80nmp_x{Tu`(kRxx$V@7DzC^!lI zh8jorBEmhN;UkC<0txLD$udH?Al8K4*{Dq_){*5sT^-L?JKIdo{TQjGv7XUl;TlRc ztzR|~1}21VG+AWCG@u^(Bkrn~X(X0JWsM$_TljGZMvG>)lmt(G_q$e#Bf{n&1Z(|d zuUQO%TqA;fH1N{A)?Bhy=M|)l5`oEM&T4p6Nx!zT;++8TvbBgr%IgL0=ZCwjRVNAf zgA=ce_2ApAJ8X6h>@RD)3UWCl08;tvIa2Yq)9Ov`M}=ae5lR8Q#Zjc&bjl14(Awxu zqzOyvS0qW$U#eySJG`n{J_Tl!U{Js$f<7j9?*?z)KO3*mC=EuRPOYCn@!Xot76POA zg3@7-Z{JK#!F;#3UqY_@eusJZ1(>nu7F)dp3G_z;MD!@d(ptqnxCHh4|JiD_0L8|r zv1~hIX-o=^tq}1#ZOVh?x#+K8023$~R=y3(Y;l>0f@Aj z3V;3CCmc*;bT{}(^6aPpT%&nKa1re8h3@7AqmNYwGx^4$&WL0(SDPky`tcBk;8$D4xx#y&WjV)64Ql~QxjwtR6(5q_X{{JjJ*!}}Xz5ic17i@p8h3}u4 z$NyOT|1L`Y2X*flTv@xdjmEZZJ1gnfHad34wr$(CI<{@QW83MdW1KwCTj$kxcAfoW ze?Ru`Sv6*@d(EnGjeA@GFtPq`U8bhA?H4Hdo5EN1J?=01Hm-AyQZ zVka$KzMjrRO^G*lbc>54|LEl8;fUnh7zEJlW5=@H$cT#46|gNSqR(K(THxvR)0=mH zv(8$@tT9XxJs`<3o@)=`FT?Nd!_0Vs<$S-q-a)4_M&BnL;*d7WKtSh?G00xj#4esC9wnKU278RG6q+>i_If9lvgm4$dP0BzOuNJ^1$hiG zt^}erH5HjEkAUdI&qGwRMTiRc44ZrZc)FBMnA}GHlOSTu`|5*c8;z7?nl8OVR)xAHOg5=IvY_$n*CWCx@dvDEho# z1sF@;AAj+@oD=12^Kze!vTngx7xUTOvZBew=@2V!1Qqo63oU9`ZdC;Vf@MSk|7Yb9 zkoJg?zUVFqHkjpn7zp+yd(3<_@=fRL{g^JXpUJwXZ-!Z?aPG&@;xw-V$?*zk)s9dP$Iz!P{)60^+U`CqO1sU#64QmL3IUVqlVZM*K`54OqfHT%9n=xU=mUYy zII3JD2NKc!5ep9*AE}FwKU9uEK#V8u?L?quZ|TjKvJJW>BC?;ZO~*>VLgh?GAL9{! zIsa2)o6WQX)bq7?_Vpx(Kn}H+Ya+1x>-m&K_S}IU2kE4=pYP$S8-DI+Ss6ociAHYk!%wAATqz_dS8n&t0H6Jm&UOb ztvYXQ+RJXKE^lc)JaDoU!u=cZWoHH$v!DQO&OtTB#}q<|uWc49>uLm#C;=56eCKd( zeXxy^7%bITnkk)7&S<+O-SQBOl@9TMHj?9(Bf{hMj)S-aeNE6~tgZE_>KqQ_B}gX6 zZ-N%y-;K38PLa1ZVk7#g#+F)oX^Ja0*wr5GFkePHx(-3K-5owkOC}&3fCd37xassS}bXV_W`Bt%ReabGtYQ4k9AyxLemt>HjUp? zg0Qtru+~RW(5=hsi7{NgZv*{?-Q|owcxfP!qhSUANRSaM8$UD_Zly#Q@Jl-*uwHyz zNJRi3$we0*z)Q-@==}q?4whH;Li!?Z5e(tkSY!)Ji`p+{Ktmy!v{$+ZY|oWp#{A1B zwp2%@mHU;~S<9EP4&6Yf>J6zd_7T{pgq_5H<548oyNAR>&SdQ>?s+A&*@_{}yCbNO zxK3Srh=C(3ksYDNk=}050^n36edNgm#4E9_SlaJ=>Q?t9j>8BbT`DEX)*D_*1G6oc z!a96%Wr{24q+O$IY|+!9tz)6oXmPLl%Rrm(nJ25i9E54iF;Bvk1Q@KN2j4OU{C|O! zRM=zUk-qsr@0I+3bNz*E&N<7l)HPcfz(I4Ji0hboox+J8B*)`9-;ch!Q%#k*WF2dN zqyR?flg4G%8vf!heiX{?HxvKCBj{7NB?RLQ_~!^UqXW^f3u#X zZ#3E+el7~kLXx>2}2WR9ruCAk+qyd)JwuEnQR%mEh<=xI;tm5Qenw7OVjxi z3FcY?6~zbqBtbZK?{y0`NGN>M=>wnbgb3|neUh9FaeqtU2pc3NLbb&@IG2EnY4BzU zXNpCn{oxFWPa}{kR)hOjU*>nNFnaBx6(tUm4n$9ubiq*@h(BFdvFVEA+vU-z;Mt++|_?!nbgyTsOTs#N5W&ezBYOLX|1HJW8zSMw>Z zOg`bUj;v9ie;SxT)jTWmU`1ZV ze&M@~Prh1^5ZB)hhZUfXB8vaDuHpBgoXV*>za`%_Rhe! zRU5j{;bW}mWJq0HC_Q+N6uy0P<4S)Yl@N2o5&X6+zjO3;+ zjE(GE(j*BYi}9$3#>3nyfI0sR1VmvW(pcpVkgr;?JEyVX*9fIR9MDD0|Ip|oHqTI7 z(MSEtHRhM66bCByAAq#uh%`^Q-}Qo%3wRuoRa}Jqo|SqsE6Gv2z)No+x42`DQqSSP zE%T-)H#M{Qms8ky2*Ly0#$DR9S>@%38(yjM#+_dTw#unpmiP8Hv9K8)OSJ?TB{nLw zVtPSBys`rhOFctL;x<8i`BW(@xM!S6S%J9}nKZRLl*~7Fd`1FU${sn&gOe#@;s)2W z1zQBYE~1+YXWc1D1?9QW$Nc1x047O8cTGEXjn?@!% zvMN+?Alt6;>o?0ykFL=P=TUdNkj-jK7|Z&u1p?hZm>wt<*L1ZBoUd8zT(83(mhj5P zMO`Qn!v%D}ZUkU7if^Y=X>Uj0iy}}1ECWkqz{~{omiFqfL(6J~AuZ6>PYb@XyWzCz ztmg6?FCw+n!- z6k|(44ykQ;vN>zy=eM1f0Ot&B4<6SXWR>*aJ~mghMqp>{$^kl3Z94?9tjMTaAnXfFbbT&wzXI7dR9m!!vQ-%IvkUH`t?0T!Ik1F{ znNAKnk2;B$PD{AC>re!gtVINIHydKB8TTr_uYi~+IRE+fRLh135`dsxd1k_L(1ElE zVw0uz+J&-AHj}X!4qRuJ200@uvCL#%I*JT%isK2EioKnAbv%p#NPdWev+DPPgHrHX9X4jzky($SqSF83Dkm13Bl-VH_AfgO$WElDeLhZ z7^o#_kjeU;5u@*@zW2;9m^K>xZX{Yh<;|qdN@{Y3*tW?U4w>n^7M-4gsdc$|oly5^ z(VgFnX_OqR%}IVveGeskW8u7@^OhRtoPf*lfWCgz)U@xIoMRd-ngutuBLt5Y6Pyf0 z4Y3|2C^cg(`G-Cestws3Gz`urbcTe>{QVA)q4nVGIjMrUIM#vd6WG#5Pp6Y(?KKK^ z_iI3xGZJ>HBx9m;U&wcIpS&NQWi_xagn@~9!MS6~GKpF?Z89F=WzlHzf?httMPDc2 zrJG_{3$_ZRH!@YF5Guoaa{sJl#`6Y*-8nH+h}jFf%`ND53eBQit{Z7hz=|_>*^T5vNQi#!X$g`{6xg{b9wKG z*r~|E)p2#%xHU(0*j{QpdJjT+(#27`XE%m~G z7_Le1y#f4EU#e|ks@1v;HKM5DNBE@agjZ867+!ra_HZ;t>wkyvH1im0@4fbhj@leD zZmwNi88UHsGRaPpGKm87yoBK0n^`L;=h9e zS=)6Eq%O!Wjbyx)zUEs3RU`Fm$!S5POQxvK1@V=jq>;Fvp{I4*Un0rhaOC7E^Klk_ zCQ4wnA|ZGP$MD;5;)SW_^=T%h1f80z3{(8hu*-5A_A8?sR4fTGJa)e{jk1u8MeAQ|NK(P2=O4dw zDUB3g6Pvy$9FsUU^mF|V=}3N>?fwgtfn7b2o(I?Oz{G{5_ot7Lwt9(X_Y7K-P*a!# z@`M@_vFOMd;xD1ymGtt5O-sV3bS!A*?RTxF$I;L>)U;RMn-%5BG9qIWF98C}%T-Cgu%*ITjiM?<#*#>&R2#G0C>zQUqnT2E}7?FzU&# zu;exaimEUYO3jzk2*PQ|>iosYc--xroCuvrR_CTkXYV&`tPE`kygKaVO*a;8ScOV6 zRBa&nDP=4&s^$jd9Gut#n148S8wo9-_kHxc=ipu*Ss&k>$9zkSI68Fc`Z0zt40v0{ zZhxd>yz&3&pl`D^m7KLl-5IUk=#M1ykHYeJKah9kUFruHkI8pNM5NHoS(?{KvBL^8CJxphyP#G*p3p(UvfPJr^k6 z^>^n1U4S-C)j{2<`WPkisBhDzEKK9)bsfuSNmJ(U^3<8Wgv2YiF-u59FwYB-Or()AsUo z#(He#r+fj@zfMr<2P>(VKMXw5tbg!|9;Zn#5XsCi#Tl4pfB8Y^$;qWLVNIeB-Z~G6 z4ne#ajuO^%mP4#cRHUsJS(@aIb~_aUMo9UpQ5g&9ynRK-;eG%Ubh^ajojXFcQMF}2H{bPm%8Pc-;!j+ z)I))4DS9=Kf@(#e1R>n4I|5w7cMeTMuV2t>d~B?``=xZjN!i}7cS!Of>X<9RkP$B0~)C9Wnv`_ez^?icLlrvM_Mxh_h@yS*p5UX0> zMA4Hyhw<>cDb<)ui(g%v8&c#w(v*w`Q5Tz{`J_ zU>kIk$DQx*!Y{Nili2niO72~t=c;}#JbvYPIiK@Y(8ZH8)}{rbb7Zz^*Qd>3r@V(Q z&1=M{?6&Jh;j2)qvrZ-AJ{JuppEz8Re`dFk0OSk|D;sP##w`7iN8fn zdoL*H8@!d@qy-e8inb%sX|>Cr*JzzX(__FhOKP$*$UkNlhy~@mUbOcR0sV5U47td{ zI^OiPwvT(&euzS|L&@PAa`mOK=uiI{)(X&>colgdm*B|R-~%uXteU_dRbOqbH=o-3 zyS7}$aC(uvCMcD=!NrcmpTF|`4n~zLo4v?}e-?RYZyV^!C$CRy4_G?o%;Muw&G0dz zabV3!R=?n4;8V+eh4b;Yh_Ti=0lDRW371ma*e`546a#a4CnOhFg8v8I|KEea$?-2G zaI*YQ+snU;{}bJxh4X)f+iYt5mfvT?`p_-=4D6ZWE35XUh;hjp6lC9Ig+LW84c`~0 z%8!QdZ)&qPYyb4FStw%Nb<2*nH$*Lv#DBdxKW-xAmmBGr0QAV1lDofqbZVuXTE*+c zE!^B6Jy`K{bxaM5pYkxy1A3^pI*Dx`A4k_u?U+8q5`ttHLqR3M-ul@4f&pX?YT_l! z1O7ygpEs9ZwwH*u>G{~W)a^#3(n=-fVi3cQATMrwW21e{RY^@3#6B`J*RjEngmYUokQXw<3Ml z00d-;oTaS{I*^95M8Ha>tlC|Fpi`ecAK>luu{x%3i$^(W4}pEJDvwC52?-<&1qE=f zkQ#X%9N+hCb$F8uZpNd#Stb&Z&9# z2-crT^8*r_PfX5e&m$+#!DDF%EuG<_qSF~GBZCN&G4Kuv6dS8hWiY1GO!5`%`-yRs z68BgdPx1Ta&_B?k7sQ3hCr#n~U)eZo3N>)4dO03+_j$Usc2eJMgRdU#%X*`x{LJup z=%T;hjoJi8!eEBN!)VL4$fC*XVr&Xh|8WYWwg`Kh%M zcPxREP5rSx(@@OVP`ws!lc%e5IDD?v4hS~8R20~oW&$n%p@I;L z^^#%-t#0~bP*M(wQI{+F;AR=ggsGxULH=)#lUVJr*w-3qayy2E^1=%8O-vhPI@3&} z-2oO(gPSbHz>$nUO#mUAji}p{4{kG`2Ru+x`5;Q*+&-Z^7XsKxT@-|N<>5JTh;$)& za#E=Fr)$i449bv)(wcqykOTchuQ^@cWRM(xgHONj)~~+IAq2pvEX&W##?Za&-{ecS+^t~j0~J-ubtE(^;z!ar<vhkxa4ts565qBe0kdL;_by=ZI47mG)$w<>rm*8or{on>7sW;aeSKEmOAhENT-`- zkk6@Nn*mi(jZakhmX0m_`^5xR$e)0#s9dV{;wJKpR;LX=HU20W>`tvbuqMV*je8+tuZ2; zDJg__@Tolr>Uwm=c;T$S#C%%m-$gmA*7>&|O(epLF0l*b5Q2dtCMMU9JtL22nmcak z^sYwtNA65Jbh`ly48Ze&l!Pb|Se;Wgz zVP8HKH=}<^h(w&k%)px7Cp&B``0( z51_@i6FG*sy(bPcy)V;_LrC5jL_-Shl1d(vHYh`(#)yfj)Rz(ipP@SB?f)$hAInm91%l8B5V@#`N&=fj~y zPcXT6HD*oy-Q!&6*TKk&@Hz4Y@Xj4zjs#->C{*bwhQv8+FP17$6T7M#7h7_oAcPjJ zN_gKE-FiY7vlZu1QU;4d>KUOcnUQuSs&QP)gT-%gqB$xRG_eur8%v?4xVyu{4!Xm# zaH)%J@>r-SVxvhGtEj^jIv8X>Ili@v*H*3-jtZK2+ffp_p>D2l{BgeUGRx&w+?me) z29^h+@UxS{!&Gdgl&ge##$)eerx+{91UxS7fx2K`PS2?^nt?Eq=!|A6gwAS%2aIBq zR7vCQwhkw9gbS6m!`+E~uNWy|qkvp~lM@*2Dpw0=5`EZDboQMX4A13hv6lhUbK{$& z2;dJ^2p}qV!{K_gg8Wp(i=i#`10&m<(oizfGbE*=Z}&GcWVV*ET9d zkWP&InVveNo~BSlJfO=+4|yZlug~&XdK$)G@(yj)oGTyZh_xme_8{2a3ZjE`=&@D2 zQ@;W4lM{k{XV_)R;B)6s0Lw=!HyTaljrdkZ3{@HJ03^o>D-v`Hky)!U$Cs)A`%^Nq zA`*eAciv(%W1~b$M0?4^$X;3#<*M?S{6g_W=8T?j;JP9$I<-1Rmp`(uxNaP9fsHpt zXf17?4G&{o$Jk|+7&(0wnRb;R+F&F4}zxJ97HUxsnE)Z~HRUzo)lWu_z2sSA5su-#7`Hy5B+2bE0Ba~r| zS;DDHrVVW%+}V}m#nR=o?b>S6eu z0xfJVj#_r(cQ}%|_A3mYTm!0n=BZR}373&M`?sIw{5{1EfW_XSoepM1hPxwh zd@$N%iHlZ<;fHuUSk?3*=r=FS&uH+99JnQ48?P`3t8r3pr`o$E+0E9uk7L?!Ht-LY z!6~XN$Cgx1guoP+xW*W8%hId&oAMa8^=5qz$dXXx2v?w@K#8&>0aJK7ZlY&Kmt9Dd z|8K;?`G0}%_kf5lCR*9V*HlB1YMG?{Zb zNi@URGs8&|Ziq;NvDTY%<45U#dWRMnX-Rz<7rirq)fwp`@aIgpBAni24wZMN>sHNH zsO_^?EZ(10w~Arzk6C?~^JvUO-mKm?SC&*bsCM~8Z*_AE*-{SlJ@JZT$l8Q}#$bKT z-D2qqRIc)Y6psVI!Yx<(BfC2wp8!f2Oe3=EfGE}+lyEh&0dj16f7)dqSiL!iE^TB~ zh%Hnbrgjq2KvQ&{+gTo0(3Atzj5k(1JkTT{ai^Ux%#!oFzpXd*sq;xwxq@3+JJm)w zwTQGdojyIUodp+p+Tp43_Vf0PKWFPpYGJXyxfA1OT4J11U&$-WWb)I?B)}xgVnN50 z`vLJ9X&eg^CwgbdA)VCvn+hH_In}~e#B09 zX1q5pyuAGd(x73}=~DSvzD{8)FF=(IGQ(HYgya0JVR&nS4Dad0xB@NPPw{?BlfkM@ zcM+VHbuX|me#oExy((gD=E6Tsf;w9LsimXx)&jWih%$9Bk;%$JyObIa;ivX4(Ou9`v3-NQ0Y#0D_(8DVMU+;LiMD zL2Xi3!yl>DJ(zRp z({W8TH?UxEfo_Lv&fYD;NN{HClDWnC`zrJ&ZOb~2kM6fB0MxPA0b)LbD_^UH*Uaf; zS*0epHw;f%m)8M|OC;eHe}~`}6q3HeubHsgK2Ri%qoos{@df{Kt_L+3!n{Yqz7}~o z2?_tLgNk8zSoSc_iIfSF@(80N#o_PkilWLL1-9*qfI^B-vF?xVGO>HvZxQAh-n0*` zI16JTup_P93TvW*jeAIAlcf1LqfXLZKVkN26T=IZ@+KEkWInxDY3H5GYdp0kTJtoM z^q^aEfOHhL~3p@K)#paR-9=Sm@30dv3x$>sb5_b?`|J;aZ`W zBeWE43K3Bz%@vzzquoYS$wefJc_T}b09otWP2-P9vX)?dxH5gX<4rF3=X&a*B+rxd*Zb~=aBs4;KT_EqoBZF>Lk3Wgi6B- zXQ|IC7h_KmTGP5nFSJmh2n3wrvZ7l??Mmx435xCFdmT=ZLtt)tly+3=W}#{vH_0Y+ z*(IM)IzeqCdM|o;ov9zfy-@fu4D^h6s})BQGdWauoJEFTc-|lNs+M)O#13OU+?!`X zj~nB@2J}ML${x(&DkdB800;G1sR=X3d&|dYcfi(kA%&bd<3k0_^&@q$sF)+b1kJ6g z${4*!)h?kWotp4x%%6f(Ed64D&7D{BE|n| zxPKM@CmJp*`~Nol(a`zgzM*;l3$m>O6&n=`C@a$5~HGqzYKQ zLV|n!-BCm~l7RYMA|o_Ej4uAy?&0oY+hhHHp+oY_{3#4RUR11Vg_B33PGRKD!PSQa zk9+IPu<2hH-6}q6oxZVrS$KtriMv1E;)w$(8g~7NVLo@lQO3!oE^5RQhwKFAHgtWk z5g4vhUnTbFaO}0kNP`z7c2v;zd2pCxVAuIV#T&iUt4Y3qtcZ*uYqS{7Hu`m<^3N>d_l|Z)2b_}AocX#2bT4|%;lD!VDBZi-KWG%?4nBAf{Xa=H2 z`oY+8b$bs|{9Ppd3Zw-n$DN)nX!vrOqc|hXR97JhxwzwwC*~cNCrDwuRfx2eS%ypk zTa-*#(?JNEreSwyoq)!|cOK`ucdC&@lXQ%A7doKo$Y#gNmgC8gL!dM_78xg3BlpAW z?G$Y8#@3u!oP$BJX5O$C(|5j2jB?GIw&SMath16tne`f!?3x)BF?3&C#ug6l( zp&lU-B8Y?BUaMsbq+$>fE`_>K0wyZ)fC$;($uu2-z~aJ%`iRJ)pCRE7Jg_KkziFK| zh?G)Hw;4<8`DM)~3Q=%5{EOXu^v(gQI@h!C3B>b1={2tzGvscLq7Ty6cdPnBE>N?? z7Md~81_bFA3fRohM2p)XA#F>UPSCs4-N_h;X6+%@Y066UR<5dRt*u~F)gi~= z`8QJDhX(IG!_`RgtDP7Yk~Z#rolLVt(|h-9+40_ zzN`4usphrYw-QiSYqi`w zk_V^1-sK3cl7%fO<63Qzk~)c*q(keP)pUGk>#Qz_-IBhHVZ=S@Zb*eVUIt_wqXOFv z=9t9s{mqkcgzCB0+yrTJV0|@yOnf2SP2e7g%I}^Q%xP8=&VWh#vK<+r6I46S~9G;{xpdP-qeLFXCDO|LUj5HA&%@c5*jwThQV( z=$4$fqOxOW#ewep4Pblpnst)VQ3ZWg$Vdfxc=f2;E(%9D+T`{;Uxr3bVgbg^`Jsd2 z9p{aTiz|MDdnwHUUd|tFjoV2=(qCUhCFzoK7X#*tU_mTWp(IN&$oOFetqF(s(eqs(QRY5wk;7LE_T$7-pPM9tEX= zL7s248=NGROrSg3V>B;d@ocWX&y$LBAV9fH+*IT1;WzAsF|8qf?CDCH5>2*NG$V_F z4ETWabxVcter{QXFb?$QNpsn|L`=X>oGc-ejQ}TOITT#A=*qW2F!Uf6A`0_9HRG5m z1gC5z9a7ik8z7Wbxk)Z@WEI67et39HT^Kq89oHMdhpM);ddNcCJ%1YQ*hPX?YhhLkBpWhqqF~Xdw>($UAM#2F14h)~?VC|EL99^yV2$2zq0);FDpdwJs$ff> z$O3CzBOFNvBr1cM|4gc#v`b3|fN8PUxfh;1@`u5R3NXL@pT89UeVBk(YaS2Ka^W=9M2hsXFJa{fx4~L9Z0GiCBNupmUvlr#5-P835_k#5gT5kY5|EfJEM*=KNwG2G|%0 zhxyhaM#EWqfLr__(BL;YNC0H`t$KmzQ2izHycA&wt>zABFYjjGti>64Cu{GfpBr%G zgvCyq_irF_9GD0NKWFgqY=UPD_T{ZW8s>N08Q5iqI$O?a|49*3&Qbvu1>bC_6m@^F zHd*3eIy+yTe$<*~J2L-f>s?hV%`7c43Zb754BKns&P3Y#y2cM1yI{0*5KYKOWoRc8z$iig_7O zOA4mBY^Fj{a#M(vqDQ^D`h<7NK}<=#d-nPu5hfoNA@l$%C8qow&eyk5P~_4^ zYLG1&x(sDg-z5W{N0H)%S49Llf}=;Ld}5fik0Obxf$G=2In24!!7$;g67fQ6c6W~e zTEZZmmU6#hIn4CXPT%J7|LA%o*s(fnEiOU(U86{X`bDLgi+t>(oyrfOMGoXhw4`jQ z+cpLr(6pm+BC~xZhmp0t+E?wvyCak_{AOtI*V#Pky+k4sL}$fz4}M;BiII%wo#EFv zTdg!-c$!48A#Jx8i@$aVWMW3`v~86>P;iLreLUm;aZ2t7GcgT#G)NyPvkPl@oi7gG z`vqT^${7@cg~rR9sY4P92vtsies{uprH%?MjCa}+T6<#lvpP6niOPHj zpE0%FJ>Sga%FO3C*pvL$UW00IN{&L!fhtLem^zyOC+i?Ci5K7C)EE4ixrOv$kz7$S~AiO1D)55dOA2u&DW<$v%fJb%Z=f)MLzg0Pj4yJ$bREy2o zWOW&nbScTl1#tv+Y)3>R;<`&yMry_I9Wxq2FdWB2_h`?hAiqY}^h`rRneFWvTxf+> zX}PYAVx39dY5Ca}1Y-;9Rv`)o@0RCV(sxgJEw7r%{E5zTt1;mDriSK6H98)B&1TF$ zy+v>2uq(ji(&9#ISWJkm&8}63FLq2FDSzgJ;S4bz=~f$gugh(KfV`4B%w-S-(X~i- z=bEh>t&+D+V%O!gf+qy6(HXI+K?%wUK)X`?EG#hWILg+7!74TrTa8~OsgzefttJQr zY%BzXzKbqqYv)S%x(*Ob#)LPtao z1iHFp_$w?nk943tE>p+<5OazDm|h*fE5(dA3BQWi5F9h6vhzp}^mZPgYuF8@*EtT* zbx>4D4!uR*DhNHo#&diT?}&Uj#!K#S8f@7VrOL3W%j^i*jEy?1EbJ#2A{;9p;5ibf zv%o!ilF}pqFJ0Xsb9TMmQboP&^K!=?)jR>v-UzXQ!3(!vF3m63&IwC~3)d5>ep0P` za<@j%!MX3cgaZ#6xi`}L0x_TF(`zuGvFwexR}+k?W*f74t~_uybDilTv9bSBwB4V4 z_;}7IW@u+!7@v?4=?T4cg9SOV^NbA*Pucf}do8&m+^sX#EgAGh*yHnK2-k(FcqH?O z1NF&ir;JZsF6TX^+qdcw(cRkHG!_NT5{7_x3sQ=7GWic)Pa*lP|{uod|hQh;0p}8~u1u>ZKj*XM`N{-RpUz|R^?56HiTmceL5zyG zlBZM3+r2|z_qsH>BYww(xS>O*eo3g$9crU|1+rmVVT|Pk$*;7N8w)PZ{NGFd1sY7K zjc_IzCH_S!NOL@C_JXiqntjsM4eRpWU#K;pHdLI5CLvpB*XYXwmRYsXdga=S|iy3<=QkTg@B zq;t+%WImU!lp?X1XafaDU9b3r!ZKH zZV;Bf7(#J~eoT5hPcZGg4f>(TTDwNfgbfS&<j{VbK^8U!fvQ+`- zS`GI~_SyuLTl47kRW5!D?idh&b%lF{aw59|0rQ0nq6*+r*=#BL>jKHv7n@@>5Wpr* z4Uz@VK&L_)JL!LnI=SWb>!mm|?#K!;-e40-(;3>i^BU3?zSmnRH}gdIT<~yjx&e+7*7sNsZdC6TFP!}B%fyEN*v#A)VPl$kn?E%K;CtN&nTCB9qALi~D zpYdn4GV<={5y2Q`yl@OhjZj)UH@-T5FzG?c<0g1HK{=he!C>Pcn`G}jsv)yjX}9>W zm%@wRXlBD!zbX&zl3Wi!w!q+(`$jjyhR%z73&Pc%k)x0rf@rGf3=wre!Anx3KF8jV@`%u`mUu!dK1Bn5aX{GBVU0m=8rma9nHb^DyEiFs^1%mm{c4c+PuuhCsdxuh$ zuUBozxa$Sbs0J{+$K9_5m?roz$z9(gMv-ncm(ul|^|;?z5OIlGDQOAj~@w}w+& z_`Sant!qg!3A*8UmLbL`woqb@(h&O`!|^8agvjYUir%j=t*3mPtkZ;FCLwoz2N2Ge zlP%JOHjnbSISJ@D(( z@JY5sJmW5<_Av=sB0n0?asB$JfV7>*?ivb=Lm z5l2r1uAe1A#24y4UYFk{2sCi`e5mUOIG~6^~*_=BK%5@pqUpvNtPkH1&zy7~pF415G1II)CXUeHXN_{pIhRs{{aH{_n_u+ z{%fgu|I<|P@8bUi0^nf&o0$IJvnhlS!!KBa;-d20swd48S?~`B66Np3L-za4F|GQ{m|W<^5wk*J zA&vpzP@^(KFT_cSp^TaLj*|R-iJuA4*EQ$odPZUjPu8jb_Py8gN=_a8<&L; z$RHND{HyIMrZf`65#NO-USJ3v9A0CL8LErQc(ABzpYi><%1cK_-#8&S(%CQXLxx=> z-bFr1JNWPr`9M$ItET~q&pAX1txVzj5_KxeZ~st>;jIk-?)?rgyR@Cz#^Au;lsTf9(*xLIo=94xnqI;y`^E%$ZNSRM#V6`pX7d!1Z%@Qe`S4AHC_jAUMY zb();FA|l>#^0P%*J-Xq@QXNa6RMgq>_y)T4Vpu1-43C<8gNaKWt9mIzSjghj&x%)n zh+yWA&D`sp$6svL7x&c1qp?%wRUC=c9BoBbl;#O8vWXBN$ru*d*|g|0#KS@(Ap)Hb zkGlcC9}t+5G3?s>z{0wee2F@tLmcJ!pTGYUeZ}|?N4nW3J+Xzp6`uD>8eVS4hsg+| zcU?7cx~+v4NOQ>W@ddKVW^J}VXhl!8*ovt%|JCXdO9 zxR!c-gMckr#ls>cVH0{dse6-dvtOd^^9lta@d}G0EMWbh_>hZBDk|x=SCR8@?E=Bd zqEK&oKkoB!4Zsf|57*w(v1|IDhK}2_4C!<%31hZo9Q^&+n$!-i{S#+p@r*JIPAk3R z1Y@G-;>t^|s-o&Koa8i3r~?<*Jqo)-E~F*^Qf9T8Mte3_i(|j~sU9$Cx`WpFkt7ZEY{kCN{O< zfibMOshX)fP%6=o>*r>c^sfFN>fR|xw`j}O&CHdyZQHhO+qUgo>CBb3ZQIsL+qP|; z+`HnQd#cWBCDGncQyA%6ICpy6z?fC@@7t(neX7$LEbJQz2Av?chQ-z&&keZ9;O(wx7$ z=q$;AZ}UJ@@RmFI`UuTyL^A4dAae`lv{#>InFUa{I=DqhpK#0y$L`VbClEPtxx+EK zLX$@F{79MoH4Oq{eQs&PwN>X-gMQ`d>Ihw^m12ch25G>LeBid(w)+dbDgmiO9g6rBH@ng+I)5*Xbc!P8Os=*L-2)av&ci)P{6&$z}RS6#HW z`=5RIS`mn%R#CurYxPiqhy(H)PF9afXh*`-RM{j>*RRQ3s2vmsJJ z&gV2wt1UI83mSiDLl3nzV~Gvpy_{s7XkE9#+XuvT&{;u|KBaosPgwW?a~&$a9dn!rw1KX$wJ|Me$r zF@3uo2{KE%H*W*g!AsH!eeCRxp#uo(3IaFF+P7oJ)UB5Hd^c~I)*{XOgN<#n{UroQ z+>3kGj9L3yXkqD4H-&Yk>9tM{2f0ru?R=2@LQ<_P7s1=SWASwcv&qgEvmUiHFT+h( z(qzGR_SeNX(0cX9um7XQV50wT0n;(j|7&5of9DbSZ;SsIGzJ^%fAqCc|6i&MWMx?v zw=#4(3ivV&tU?*Ckqtg!kpgunT0ul!$(giRPup%{l68m7h4nOeA^6F{k|W1|+@?1j z+*_;fDx4MLQs_cMRgz?nRui?M#ePQEcTZfCVEBp}eu@m<8l9dZx;-3(HDaGzH(a8K zjO}O7=ny+_*+7`~5`>+@#?G79AU`AQcJEzK*ZY4{bdTU#Tb{uFO8NX~jFZEEXpG)l z4DR^5=E{$iPWQ`(6(S@*c&~9F+Bgi|U8th(w5aau=RbfE0RzuF_NX)DYr#&t(OnG} zq*Ub`W5pbl%umk;%zBajN=?r!w;J$$c7#98{kP+D$RAr9$tRQzgqvP71Hqu$PYk%g z)Ce$MdB6h7Ej_dME4!V4NDMV&05%9D1cmS)UmJBtO#1q%x2~L=xcsLQc2JKU)4F^I zY2rwV7;tNrWjbA+^Jm|GNQ_V-!H}Gp5Rnbdk zka@v516)qVs3l%)QwMFa-1;?$3$(zm&sa!pD>RAEzcQK`wYuP>+XId(CIYVRT1nmLzlYN}>rgP~J)#ojD(DPlBYXV190USLbum5f^ z1+H!N4O3#v(yyCX)U}vf*pTXsSD65UFvCmZH~g;a^=v78zJgc8Um8RVGYSb-R4t5b z7Lj2g238nc_GCKCy(;|{cg*3V5;rF-vG;BSnHHXdSyQUVH&&G`qq95{JX1-?FZJST zFLx^gr7gSmiX32+%Zq*06xN7Yo$Kgq#^0natN84zEwE!c;GD$k8x}yJ5|=d$!q|gF z%z3OuU$p$4ozwPsb68PX(5J7ow6pW$uZV?J;1|(<+9?7ca5hbkZ>ZEkTX#^+=Jrr^ zM^5|2Ys=1w7l-!aIYn+X{T*VO=-Ayx%khl*Li(c zLWu}6eml=kJID1<5QCihtE#!BYQI?cBu>LCt)~)k(}nn0{pfTs$a2>wwoEldt zyAfeE=|a=|7Co>Ofde~REmzcJ2Ptg(-3HJV<`mQmz7!f97xTW_Fz=4Tg77H?G`3+h)c|-MN0m@of z9Rcl2TG-}Nm~p@{;3U~zTo99ZSHfn`sIx zk{%bFB_wtHHc`NaF_w+%fm^an3nk>Cqz(EPRy9!{d6yD-pbf zBD|KU6lOe2Lt2J67F>90kF*v#u*J!W8b%RxCX0K;x|DL{i$z?>JBN7@Rz*;;q1fMK z^Td@#+>B`Ta{)t;#!+w)Cl`47vH$ebOA?QQJty zb=32_OD)H{tHjKSAM!yh)10vbBj#sl5N-?IL@*B6Pl>SuF9yABXnlEqR^?`sR9OI5 zR#-kbfqYaD!+L<7ydMHhAbh{&M)GXL6RUV~@ICe?x#)KSvwF%+0`mY?rhI75=taiu z3AC`qAcEIFrUv65hT0&H`b@q!=vt`a>7X`dxHkq)yOX77%&)Bw9g}-?#=pl4+PXRg zP(+yL-mxwKJMT0qDI!C2Achqw^WfgxI z{KCH@1wY9})}ItIfd5D?GXF<%5hWr}F{>EFMm!qJCC2(%ENkP zCy9WrtZyeYm@hSiT4EWRk4BMlBwC?w$h6+TH9W^LfCoUnJ)z_+bln8&u5e&Z4hVTmaM}Kp%V{V9zo7=R1$f9;E~W zz4J8$#;M$3+jL{ssa|)nPNRa_AXZ_JOk7kcsXjLjuK=e-mUl>eWRYO?4dzNA6l{=Gf`z+fmZ_{F?O9H2T9 zhpK!HPu73%7h<{n*$AUCeCGhB+EBV%2*El`c5f#xJdfAVK*X6z40+zTwAfK}fP+CwgFL0)*%&L=>a|OV}zNP4;hsybGj< zKWQfMLYf+5`4`c9yH(0kw-APPtSzP`%tokoDs?*yh!w6HeETuYW)Mon8K5*|R)%%` zHDc$^1sii$TC}Qe3bEK1T9wyI)u}NnMh3B0Z+F(hQo52wG)*X2;X`SJbUF^dCL1je zCJePI9Q>RF!e+NX!35mgB*JC)dn^`lSndlpvTwJ(k#F|HL`h!idD;gwRRSgvX!aY3(3-SG){vFLkU zG^+$YuL6z&8q)@M9@Nn+^}59vRQ@^_2y#@??Wfq*n)PiwD(tjHk~ETk<$q%p2rN;)^!`}Y7?@F$ z&1eS90*a6&#vf=X4s@Twleoz&s{OM9GF^-&?y)LUJ_ZLDrjv}puV1_Rbb27i&8cSC zD%Wx5J?-P3&J9xaxI^riGtBDJ4=_htWXBRj_DgzY9e~2F2#0nl)543Zk zS44xrTRc2kMDceoDlXXQFzTm?ZqpF$3d0I27Y`N}Ggx@x>oq_AaegKk|4s*F0VO|l z?Z6^g;0Yy7eM9k(fhv;pPNo5p#hZhS$t^HPl zH{2cF-g3zQnS*4a|8K!TGSUA_IY{Pz#u5HM!vX)c_D1jqaYuPTM1dH#~gwmY*z@yn?BqZvwZXJ zpsP8GPm@iK4&eBU+^wz7cFqb{_STcxo?{0mk2N5D1y^gdlf68bdE5A%ez3vl zG7S|1Wm>sCt+U>PFNA(9h{+g`pj{f3x^^ibCuP_%3E-Y22&$mJq%GOrQ- ziZ}|;kq3?AaF<;#(#a}&E*~7j8=?qBotAynfXPXR zKFyW#P-vukmi_{52(T5m`8yG`slRVKs&3n*3j}(fR~vA?5M&VP)mDJlZA+J-l}?hv zb{Lr64$o&eYp9bb<<>O>ibCpLdrmGTZc!)vP6VwHh3a$8RAwLz_oUINh?Rrm>2NXa zloY?Z$Nh@kj7y_M;FRnF2Iw|xyJFoai?yAqUH`$}AlTV>ZjlFN0O@Jo&j0p2md5{f zx$CFWh}2Iai~h%z?i6^<)&holwQ9-U4G9>n2m&NsihP=nAW}inoF_X1{hN1TUjfmA zXDh%yaFKhvUs+AgL&nAq{Oy7MSB`;rZgL7UZrRngG7UpqAt_e zjvgzdb|^Dr@12%JAur3*0a8o%yK@&NQ)ZprrRt|2s7<$dYCJNH*9(oQ_^nfTc<&=G^`0_(&;zzNU&|l&5#DP zKLp%1vPI<lAU1X+FO!U=JcYZtlUKal&5+gEos^1o3oR0vj5)mGPfb0b z1xWp9S=_P^aHCLQO^2;Y2Afoe2cI`_XzE^{tcvC!&{SQf37@WbG%(pue$Z+ehKqJN z0n&nuRIp__%b+R-qfo^uvJAuWEj=Xww<{4{ZNf;bU6p@=b3t2o+!N8glFV%{-lzQ zFyao8X9-&B!B_S|@1D7yaq_OAODQ$$nhlkP-5fW7#M8X zJ+s5ay_->s&ts0GO71rp4NYmKoul5*9;=c6`jxhvhx4DDy8kcWfd7BS{4mk~OF=>A zf9FH@Z;SsIc3@WK|KLNnsQ$m~z~#Xfx1TDdJKSgMs;Ob-O7L55V#fMTvR9RjQu zJ=fUr^)zIpNh1XQLJ|jUw_JGByDyWb9jz2(T6cGcFO#lXvPX-F+JER&&+Yaj-(6U~ zw5L#A+H@+J}^vEJq$HZr380Q+Li8o0xbcl&)&7-f)Zp72yO*7EhZdrFj;w2avomB>U zHoA28f0Mq7()!}S^7<=G(K{HX?of>18x7E&qI@cTmY!W^D$j$oyC!A+gBDb#_o+`O z=APVbiTzH=`}EilC}No(Dhm<=nxYi4>gHQCJK@4SYH+rR5LApd20+k`l}aXGKwGqi`4NaP55Fk!)%}rZvgQ1<`jou ze>&_+?%LNwZtm93gomiHT+Gi$f-~%g7ECS|Q>cQb8YEKXi=Cbx<4YQKO4bUvdNf`7 z^VMh(PeLSt5vmN&PU!C$H}{M}JWUhm2NKjS!5(^1*OoZz7#V{id)Vg1Lx;q72Lx+{ zR@-$Wv0fr$)Z?VNq{J29=unO?#9(mjs@hNBf`#s3LDGp38` zjhcHZ7N|-?poRoYq}~J2LZS|HZ8y^?L%11QZ&AX&O~rhb?H?Qdsoj!OQ&DJNkQI#& zzlCaAW#6sj0JW=4SFv8cC6?+>3%%Uw_yx75Z*RlDj}Yra1h7i(16--8zXR$P(Hea( z%k}xpAA*rJKzdTZ^NDq<*bmm>TNilBBH(vny4z`WQ)xipq%xsRfxPcAvXT4UUh_@9 zTr#vf0Uj~s8Lkel}{v**e8P_6w(04kJ@%lGlbHO*G58 zo{1SBIaYfe4ECil2;07Ocv4%Fn5%HH7STZ6AlsCGro%K~YNC@8%%|54JE7Qahw-ZH z46*n4)u>Gyu=q;wF4BC4*i4=Pdt5Jjh6eJT> zLv62+#Y?jb95Mzmf5tw3j4X9MMZfVkdm|wpjMLHTVc0j0aho;6yWC77xd-^lv$UHG zgcn*7+!V)A4kctIkS4VaL^`aPIH1^QHDQhBv7&TEP9SALV?WeD>sdLp7lIkWwTP+u z8-P+%g|d$30M|Rgg%I;V8{mFJb$>0~ZQ&E|XjGc2ap-sZLrWF zC(nCeD$?!8G|SR0PD4M7R3bnt^3uF=LnX@Tc#_WJhljnDa3Y|4->&&3INUmx2>|S3 zR*j@u9ykiDb ze4kAFis+_R)EGMs7g%<*QL9(`rd`vL>W4$fd}eOkB?m>sWZ-y{!AQicBYEhOt3GBd z9g6a(8!PViwF2$U-?mO)NN=P|3bmb>;=8*j&ez)8B%%r@xWJx#$b*IlwZKZN1M)eC z^}RUU+NT#1a#t3|R$%8rbZxZh7>pq;gO2FOf*ozHu`g6&X*Mi7`DTR$^!0=8BX}s0 zF9)IMN_2Wt-+yxj+l@o$8cja~ah)j$%O0B~q;4UE&(*|;6KH^b=Zk>)ca55_GFLp2 zPMB)84JEjoK_gjQ!MA6D*+eR~jyhRKa5V>SMk}_`&zPa<#AO@S%Gf}W+yLkY=x?Bb z-B`-ufm?|Hrg50C5_5c7x~!oDPP613Zogp8qF1`+p0}kBR}gPP%F_TiX^1Y;>_-B)T|y9l16- zFqu3fOqe1+v6tp;o2x-eve5dPGA0->e2;TZnG^VaagvSEzOZHT!Y zTaQ|8`Dg!DVerk_PFCrrNLd_DnLTf@%U?$OakBwKO*=7U#jXwO$NR|t?TBIVF_jBU48RG^AUCS?wMeGH7wF!&z??P zK&`8KQ?z-CvL#QpJUb*e(8nb|R5k#&up5O~)p4dhtacl^C#7I>m2aq-FQ7ISkojcs zGhYuTCk9b~$s7IBgS{3r0+T3-U;+zs0q(w6w*a61gtwn)D$ITBpC46vVW0Kcl|vu| zUKCYf4h$9ofkROcW~@NUkw>lh^G8O^6c!JZk^{KK8>Hi?BZt(ri>68#>>B&(~d`>Z<%*d;yV!#nWg@g z8a-kmz5w!bPPpy+dr_9O-jr%6j9rQHN_>|)m>9==DK$}GOk5P+6S(J*W?ssRvhK8o z`D^L$rb)o-FNt=A?1c(O3YHiDet3m0?H=LC8cnBbWNb1a%3!TstrjSWrNnQ~jjM8i z^O=|7qjvFB``z)rchGV-wJU}Y8)yV5=*#haG zNK7y6Z1LASq-R3mFCeQe!w&{wj%Q8rlBgk1)MwBj5{m#)E?i+)G?t@Z*e(dfjqlAk&0KDH{;#l>}CNt8!aNo#j2m^6(w-PE+&v1ld( z-zIaBxEq_CwX&L|eShW-A`*RgO|6-dYA^p;x)n}yf+Mp5N|eOH6e$%M;0cE3X45qM z?m8GqqkEMJkTQP8kd`ZuljmMs`8-mZZo|z>lG#29g>d2|Z*OLf1KcGGb(i6VMmVr& zAdRsN)>QfN0C7byfler6XVJsZ7ai1)SXfRrE7-v>%+R3J5r#FawY5W&1wEMa34n28 z?Yi2ayN<7KtR^K+(!=Hs{}dtEG8OX-3OCmUQJ!hks|v6|}P69)ihM6&-0C156XY71voe zUmr-zE;ILM+4(yiZf12p%{O8{2L_-zo6Mwr$=6o z1VNa#MVU6=a94*7oK}`Vu(GF`Pf#p2k`Mm$@eeobgk|(VpWT~m}Jh{nydUoY# z>+{V^Z{I+&PhW7v3J8vA@-a<5(hDFJ$wk^SR&k4P z_|9VmPaqrI2xnVq>znmeJ)1shF9qqfM$H(VP`WR5Dd9wok(-}I(ouqOYqQ+gtfsUD zYA_CfjqS48Z(c<})814M|1tSd3(;0X`wc@tamA@U!|t;6 zZ#Q&Gbjpqfwodj2jwZH79&|!VbiyXC7DgtDVuC-fqHJL3^z(?4v!jcVv;04vadyII z__rM4|0!(#x%mHgVS0`lru-;2QYyezsD zs)viHV(%ZTpRR963H`dxBB0Q6#-8(`24Y7PfiVl&_GS{=^xn8y8}aNWy59P^ygYkV zYQT46YdN1>&R9>8ak_WvvVQkmu6~EZ8->f^i^H?6sj>YU3?pcXSyqcez5#Osp=l+C z{I+uJXz!*RMfCgf_Hjx1v`HAR95dryKWbpCaEiOUqqMrm*w={Jmue*$7_S{~%4q$Y zHZ{tfb85Xgz;_tJ#%?g$6TjZ0t>*)%lF9iYj57sUzM!1dal!juY1rAK=??eWV*V{R@JlO5q^*eWSc1f_d8Qc&r37|Nv2yi9JH zW9kzIUNAE7HhyBKpFU6ALXr|=Hhw-Z&c?~-@%mc)`nHf|^jGR>yrm5Bd?M9P^6=&0 z$aQtim#>dV_s2tcY(@^kJfF|oEfa<9m*%rnJj>@rPR{}09}t6hR7L4jF8t?7J4Ez z$SfZvF+r1%4yjI2$CQnp&SCG=`J?t-o7O0(mnn z@aMUyK)JJ#+Ts}39r(IgRly>u#&6Oij%8q0+X{EZ&WOcSJl=7b2Ec1r+)MrXUF6p} zZGL`2)WF|BOq{Skis({vKvXFFbTck+5BDc0kiOQsYocfpO2G<^<$)@Dyt0lUbigcx zvFxjlIO_Ut8%Ft+JE-^(ALrLSAGU2M=&Bs48l})gUj`vi2-qg>Bp)4$ZAoX%*Z!4y z_%82gAw2Enn~SM^xcDOj6<^UK3T!0`6Aw}rRmdPo1(ySVgAGG5R~G6t_V46LBmW9? z=mY_N@)tysQN#+MGVHG1_U|Y{CJyVv90@Na=5o}EsWhMV4m80y?fxaZcC`KVUWVhi zYr7t>GwQl69DN`GD?++Qs!U;P62BozMI{p{l&etjbZn{!yAtPF3G3zkFewnvC7A7% z9uFG~18By621LKa1tg39L=z#Xw*VJ5G?mxR9ZV2!Wdjvc1ah8iy)l5HmJ$>~2BBaX z{^+aky^$k9i2X@HS-x1o%RtLL7G7|ZU$|I$Eyl0G=Y3zukU;%TG~8^MP*^6+nmf?W z(-_RY4SOd9bm!z6N;4Qx-na_rW(~;G;I*F^)Kc5_bvcQugdE}3J8G`#>%Gb=RwWzH zj2hMsS!L^4VIplCU*5%5SHf-|GC+cP8@0q7HYCVtzkgC}Gh9o%FjSUC)|SB38wugU z!RcI=Lo~J$)e=^dNG$n>rWjn!bBY7?!;2YB(QxU>D4&yIfnXr@o`YryRzy?TqgW(K zakd`1(Ob=an0Ii=J%Mk!rLR74m{a?gmNtFsUruPWj`QwcQB__PV~`Z&ba0CkMC_Ad z2$kiziWdwM_{M^*L&>r&X~B8*&?$ek60T_bk|ciFmyR0kC-8-Z z_>-#CNJv}EK5W52$>`F$AXfP};CpCgd3i3Cy5mVVGpLqZpPGA5QnLYq3uv=hcFe%h zQE%|p->tRc4QK>6>AtvPSbmxp$5~$uOc8_1LqA}-TnMhGt(ohnBhTZxpP@6Ox}N+= zd8Ne}dk~*%b8k65G%j=st^UiWQaY1w#6Jc+>x)xpNAVS9@ zzB8w&Lg(G+trS5BfecVGq$C#Ti`yb_4?v7`UBu^=dIGq0uPx<~Si{t1905sKWp{Ro54um5U5R&c?dY>%W#;;^WM zF-odjOej<+Z$)rpO%54UGJ?evX!*d6y7dPDoJRBjM@3)H({0Imowez?kVr*|fn>5ueR(GJ<`h z*ZZYBKxtnU9O3mW#?7mp5n`lr+-K4D%>Zw>Q)+mQIs~{&8=DQDbvl#L-33judO54G zz%9g8J1=r1AD@k`1CsGC`lWakj57Ogs3~f;%l||m{;T=X|LX$rUrLfPGxPHP^TYg8 z6*4jW*Ni0@*;xLgK-B!{T(P76Lm=jgS;#Z($rPfB1jurAtPH^xbL|V@ao*>yM9?h6 zbtPxXetl*ODb|-V7k;#Y?}#J2xVzJCx5>L*$CMo9X=cT@*j;bs$SzuH)g;iljeqV= zcO2g5b-ydyq&IW2ygzMr9E`-^#p9*4u(|n_NTgBY+1i=M58!Pa5}Oe!yy%gQkE~~K zukK9q+1hm%_Fyx{Ev*I?jaU=RQ|_NqP|cBQ$tO3ncL%H&dR@t;H6!6MmCqX|(Kxr; z?dH@EfMw2@_>qqLZEk%4SE{?N{KgS=%3ny$qC3SqwR=?B%x;$Gvb7iTGe+Y4T<10u zmfezNOs9IGdHo(QdVT!@4NeqJo`?AZ-g&2~5Z`&DXXH^0;dcmduREBETs&FBWKUA0xLZJcd-33O$*?K{MxPcyt}hz~#z zVwgA+a7|dF6>i@dT*tBWDxesWDW3i0MioTV4kjhfMaI%gDsW96a%c6;!Iz-m0}F(V zi6DxCEHN4?TH}Lj^z*ZsKI)L6-x(1-m|QBTX=$ys4W@U`kUL-79-Wu*xSH)P~aM9l;_S9I^r*{P5D7LXpvs{5~IA%NsOXvAgY^lX$5+b z!j?cVU%JuAR9ui_VX7GY8G7T)T+%=}_QEMbh2UXuh!6E9>K2&ttqF)~?Fr^FK{Vm^ zB!#}vH}yWg2Huqv<|h#h>bA{aTsu8Wh!lsXK9|`V85@0G$tK=topI}RW=xSm;Y#OyRHD3e?G+Vc-nTvjni#9rn%XEuvt4d8L$_KlW4%W10|1;ntIY|d?MJY~ znpSJ7mQa-)ocRw1PLfdPATH}%=Tts`Bp1h`)XA{vfwnMSOI>_-bN_a3JGZL1Iq9)o znl^S4GzV(a&7C!UD#2s@M@+a2}(?ZeczF)l(e*4S^8!3SvtJDT8r4@||#w z>vq_vzqY)=jg}0Q*sV;GiN4WT#5mbv-^kco6Dc)q2OOGw^4Irr)F0)xPQ+y#Up7#< zPXrbktWa?F2LhR0O_63lnTY1BH!jQ+xm5wkQKT*nqT=%2cu?y}|J?+jQ~Wznl|(l{ zIar!6U!dLJ_lnbMSkP1T(iSif75(-Zqr?X}@#@vb=Pe|^#tPa#ybjY_lf_)XA~LZZ zc7!9}{}F8V9AUwh#T67Q)m(Q}_mPpXL~US3S(ckj5M&mG10^iF52PRv5tB@vK%&e$KFnE+UwYQe4T_%3 zh=Gv@8zDtO<+O za*X7Bs382s=oCML?Ri}6L7awVm-S3U%=F}cL4z1k!s4$vR%0izw0Y8p=Q z)Pi8(BZ8X>PvpHfs0lZOr-Lj!jBAXwFf6*jU;`Q>3$u9oOaBag3m;4Y5eap453kG= zvlpqvq_ziCCDXx~&RBF-%iOmFT%6n&oL~BDjJfiF4*7^Df>W6yA1bF%;PMQDrh3Ho z*Wv<6+3}{l7;C5wJ?$-d2)#H#Tf3>8LZZHj0Q<8<(K@4yu0$B54Lk-w7tHI8SR zO7`+g-%97YfrG&eY7vs52Q9QiAH;dZ6y$jVyNuhWP?b&9FurM*nX`1Pzb-7{^lWA-_6awNIuL)qw+!v7|6EwVfUioCXXA41{2g>noIVArpr0SHeX9m_Qal`1UtY30TMw;HLS($G|ghtEh z&d4AfX1^VBPW6w7_QsIKlgHJX*dRswT=pbhvo*1kqg_Ch8-+hQ%4|VO68vj zlj*eJX?n^mrhuLANGgoDN_?YCh~a3-lVg+J)q=b{<-=l^rg!79H+&>JA;F8XASg{c zpC(P_N$<~*2t|cIFtNvv5lU|i94vFoC-@4jPUF3OMxm05TCNBO71BUvm`@aLzWAT4 zBLpZNuN_Tb7(Sy2Gg%Hb?8>Ze6R{kBD~#l_XxZkwd|%1uk&UV0)kX?4NP(tY#c&F zbMeEfFhlFBm{m*P*o(cJ)+~|9xnugzXguxDCzJe%hPw?&mvkegTV>aVu_2A{rB&$^ z8hq0Bv|E2nHA4Ui{(?ySOd#a0GPyiAn$z9^_0M3pmg zQK5XK3}soVBH8Et%?a!JRy|Q8eAc}cRxkxW7ZMC#9{H(D9kg9X%5pL9Z{^5%G|?2h zXz(8-O5eXG64b{3ljFgE51^dkUkjA~J7Zm@e=h!CsTwo>$9A}el-)i%VmH{R-;M%i zBgQR>LQo&Ir{~s6-;}LcUFZWgvX;Yd2jdtLw}S6aWt5+iF=wHZHuGAXMZNCFSp}iX zy(^z9+@z!Nwzt#0D|W`AQHSAA#dv)akF6!g?Q-&Zvi&@xZvAQ7cf9-k3+Mgn>Fw-D ztk2T*-QI^p9q@Zcs}HJ7BiN6o!{?`Dyn@N++uq$i{?#<@vUCKMc6lE>mac7Lq-(0v z4bOP);0>d2l(bf9|C}wv$NaIjM?kqn# z+AzVZf4&@P#>3O-IGf3Xdrix`jez8}di=f<$J2BDp~_`^u6Cq*p~nBF zqnWfyqXr+I1%njBZ>%`+l(hK)3c4$RTdV-#TINxjS`IYnt4isDH`~?Jd_AL_^JG_o zcmOb2wKhpZjhhVVSCGhfzns0DZ7-``gl=y!xZZOazO}gZcuz-U__2Pbo7nWcT)%Vo z))@-k3;H39uia^SRy?->0K)<7$LVj-l#JMBWK`mor9cO}=pTNJ*NFJxSyR+@Tsz<3$KQI&f&%V9T%E3s(R%rY z_?qvvT<`x4m>pLr{gk?_0k!SS>U`e4>OIPP@UYJccDL44+d)PQzGD7@@Z^dve-=NC zfHWEAbsY<+DX#;joJa+w43=Q;x*hEg?hTawo8=d66zHZlrn9*Fp_{`Z!rMuK$jK#O zMKk#ZI3SLUJJ9xN=v;7K5y0&8q4AAx3}oNnof@^={$2L;4NTEI)cTv3FPnj z?`}&4qtm_lf{!0eF@R(^*iG&g5rW^t0-*4yA57nTjAw$sQYwaaN6Gh_sJL1(u)03i zteVEMyKBZK$*t1dZYe8GTX; z!#pybE3-YD!$B0f9NQoBM;J=++b z1iD$#DSQ$@6wbVQpRE=TpdsQ+g0ws^U3a;rmOCvHGJZIu4u4IgouJ=0>aW~UadKeA zvU;Yp1Wf>s;D$(qP-Q#Wy@C+cW4d?ZnD@d;>*PIx7iS(c$|kL?EhCW(VE^&an;~w? z>s>PiWvOqSh<>x(a&68|5 z8gP+`DQ80+GUH!Xg`U_R7SYQt(IbG<3OuYxE|+sz$RkaKQXP!BqzilIg*>eAD?Poh zOHCrBdI|##E($d|saT^2AR<^LYP#T+0hd<#PnPcc1!^fwFrTmf@4U|J80WaYEmPZo zIeC$n*{&JWWw?^MYr!XTcZQBQl9y!;{pwiZ0&b<`hqJN-p8zfm?){-oGYLYFQE#qX zmU{7QB(Z6wg715wNyL1AH4UKP!!BBACs7lm-nA+1i+$S3qKx3DSVzhImd1V7FP!et z@zs~3*l$yF2?LaqjQ1=$l*epVFlSed3$NB(Uj62LCD=#x?^va*g*9ba`za1nv}MYO zil*J&(P5wY;ou{BV0+pAz60}l3+^NQxP2yQ*EH^l{yrKZia+ouqJm8#hsWT^_NT%r zjAf>{^Gw*PGOkK>g`(7c%~Yd5mqLhT(>LR2IdpbiwFwVX`=c4IPy!~N)H`sxQmub?02?P##tg`+z)*N8tL!9d- z&OYya?k)9B1ozr8IJA({N>mWug(qEqiaWL!g8kJD-aX;iR(A_jXP9lGZ2fbiLND>0 zG)RTs6#`E0GLLP@vBQC&h8#0lzYKG=Ws}@78wm8uoe`}F=xk;E0CUEb60hRp^{4!E z@1M0mSpnq|(Z08}K&U`ez^0lq_2E4S3laI5WK_}}^l2C>akphBaQvPY1se$!Xqkp2`yK2IQK|S7d@ao7O33kV<|P4ka|_|-SVYmNBzUl_7bEKB(?T) zu9KoOi6eXUK*PV0PtHwfPIq&X_%?l)2M0bK)-wse6f^cwfGcyk7>_t3JPJr~X0O?B z3VkQiiA|)6+A4*t_FzdVv?#|P)5Jq>4{xs+#HU|i?B76hOA%;m?04r>)z8vXQo=hQ z2xTF`r^ea#-LB@+p?WnBt835%)mFW{c`pDWJ1%#&=%@!PVO(l^<5n+Ixsc~}#9`nA z#sRx?;s>YI4Oxn`XPY`0HUWRaR!w71s{w!xN)viPCU?l>NC#aabpJw478>=tN11S!E)dkD8$uhj}FC32{Yb$nV^b#KgQIMn+a;;yVDHN&q%Q zL11=l`DXEL-8(oh>I7dK03htegR*pMv^*80sDh~?uRb9rp<>aTt`!A=XHmQCpB83g{bdoHI+U#j8;wOVrt=>`32~ zmkkC%iJ5VeyijRa(vJ1KCkwRby_@1K&9CQBo}c(gCF;q<>oparS=ZQqDf*%)?t`pV zY`LdOrJ??LBKZjKx-V_w`$%u_LKiD3@j|oSERL+<{F;ia_N(1?N;|CnAJo0`ljhx; z<(;-|+qP|IrEOc4wr$(4O53(=+s?|#=jn*|?bAIc=KOGaBIX~scEla=y<_cbuk~4> zIitnwBNAf6&^i0wje*U*Na1boh@Re7$EBik&S-_*fKN-F_Wwi#{tu)b{wJ&h|K3c) zKfsTf8UGsoe-?p^oQ(gCG4LOm2KevFA@TAui`x?EWmNNdo%#Zu%zWSkzOtr02p3~D zr8Ut0KkrlDwgDwWCFnOMlzQ_F9-iaL4n-hQ)_oq_G1Z7LVuJxr(r(smUf&;9FVaby`H*reqx%YCqy`_r>2o%%B&f( zLTIEn>EU0}%Dx-;c`6YV);RjpJ@FO}VukJ;(c+3ygYl45hAPGTE^tQt!XYyhhT8F* zEKFob1x%u(#N`JphDCIyIAvwU3M#-)*Hea0(UAtNmR47c0I{e>kW_OPQHPcq&3xoLQ(gBmvklJJA9*A%-# zAJRAX2K@pB(<}4|4Q$i;hrtr;r#j9W?L^tVJzZc0BqlVSgP7tnp|W5-&_la_>QMs& z5lxT0B?ZjdPsV8UL+~fT_;SbqCisb=plXp>J?4G!>HEfy+F5kfO|Gj zrWU*UI#v2IAlSyOIpGpv#DEF(5_rnxP2-`Qj8oS&&DC)t#3>^JFd;t|q5&HL(M>`ZZt0A+^SIlKegBInAB(gPx9LaWDc9SF@<^fZ!+Sq4zLh!DIiSm6_S;^H!g8MJlduvA16bV_k&MQs3#LauW!V#Vsr{$G?IMI0-tF^h)u4bxR8sSG*`!5_4(~uyDV`qW$3kbnAoJ_M`>kZUOWy*62G(3-(~zk)q(p~GYS#azR<&jd(#hJ3|Tt%wgQvX+Q!4?0kf(^1~2dQwuwpH$s-9+O@tint}> zwm%D>FG>v(P1?1J_LpRLRu*lLIPedObtH}`*xJ23e%_X2?-&@pr4?dw?#E3}U27XD z%G17-wG6MNc0SVRk#>$^920}?*^CtREI9tW3#vfAhOoCEk1p5adD)^m7tp8kId&eA z*2y9-^AbaBmyuIV1*-6U06+*%Mdw0zhmH~y5ll?g0R(MhxmE^)^45l&(gWNW0rYjn z(u4lh%z<`&TAJ2zjqm_3w-B7_6(mDpWE7@NR!YT}BgWzlai&XDUj~n6UsAIL>PI)s z4loy^(;lBm0Kl3Z-RGR1Mb*J~3HxGM4 zg%>OAl%7@Lq7XJ7ns-wz8}q+Bx*5)HVN0 zV34Xkav8l)KOUI2vU9+F5m$Zr)p#V>M+wekkoUWKbz`hvF4_f;60w0Ge zx!rcWy9w=URRjZIKbOF>ZYip8^B4sC@1qUVB6eS^1s)S*!7Y6k3qiLV6LMXCVSEu6 zHzWCnkw)AfdNAa2SHIg?6Lk6cfiE>0kQ+&M0e35^pXfBU2n6f}{+nL<^>4Dmf8r%+elN7dkh-vMd`~(-jDJ}^ zCtge$1Idt$8V16$wciqfd%`t{e0$@Ph^34$1pmDMV3kZS64zPSKnoGQeROeg;q9te z8f?F;X`@Zyewt)Qzjkdm^w6tWSsXk(y6qo4yf^Z=m3B~D9IRPe_>Zj?@B7u=$Hkub zC{KF_FMpy;4}KOTU6KJO9&WoA2S4%!9RD9zAJ^oMd&cjx=v02mqO(ydWnawEl+OjL zNJcMT?kr&?Qb99$lXd*w;;*Nx{+P5S^SYC0^4;E;k&-{*6v zjk?@fz1CN`Jy&U~a^#;o2h4X@2k*IlUtNnwcIVH(Qfqhj=aE^K9M4OUaR6{UXz#U+ z_m`6pV$O-_)d^c&<{fl%K5=P+pb3*rpkMZir_vu&ut&2LwI!Npr>A}16Be_1Il)8b<)vwD>(Z+0$IkIlZg_>K5KK62_K^=3+ZeZHQr(_3D|6}Rh)s?fh)S#ekE zP3P1+eR)>|KtQ+Zs5SNx~76zPfa#B?=1>x=>R);^FspxZD`T?dfNd zba2kT+1gagtE=4#YM%sCfvHf=rqvd=5gtYVgqPXp@&*n)kztwx(VqJ4RZs?@&!>E! zKHkEsb8lO66nazE`fjOX=;$AB47LUX1&_9-L&aB;cV3|Bc5Ej&|FWG8r5!Je8V+Sxe_(#{Q*fg7Hc!?_mVCV~w3OK%aQ~z4dk`2yOe_o@2i|2t zIKp-;xv-=dT_WK%#-u%>C`n-Q=p>3F*mk5AJf=Pjjq4Se6)rK5vEOOc@Xx_d#Pd^l zCt0c4@1t4Dck`HknLEoc8B$ny9Dy4F)uV_BTxs<=iZ5%$_X?M1dGZ3mx6B^?aIORR zNpe5BH-K;q2Aw%NoiA=_TZgd+ybp*4nIvvTpCo=pn0#3%zLO<|f5^i)D?zWebC{kb z!y6*;)1y{~w&fMaCgEz!%88?AM3H6=yR686OT>c%6U^9_Q6E+;;~FpH$!#Y?E_&AD zYla2-28nuU6lj1zQvPab!9BLo4Eog!C4o*7W_l2WiSAIFeQ1F6=SVECZ{?4w%R#^O zWGm}QlpUd`xO{jEr&OuTBrRjsi`cj<_(L7{CxL9?PoSw+Fm5)oU3MK42*`FntxD26 zf74J1645zh7)B{4+Tp~=b1PdLL+7E*4%Oo9ZmmT^V{NIqO;5_;!7=NQq!795>?Gk4 zcYog0b*ns%`>d%rpABKG$>htmNOy0~W#4x>xu3Vdq7WUCHId)*OkA z!9Oi4&in+SSqYs;+~6^xC+)H*IdYwGnjhs#T3pj_Fe}3<=JZ-R+alHd2{q+KM?Z3D z9$~I7T9%9|t*#>3`l||7SX`zas4rStSZqQj2+8BpN`Wl;U@+-1XitN&EFnD;CY-tD zAZrdV990b#Ao79doT;4LaT7o2zE@K5SUkgT%0=Yairj;bAWU=6FILVhZBR`D?{bR1 zVsE6j=`E1P8Z_61Wkvj0JDudCl0OUll@#RmLlJEz);Gj@CDj^wkfOL)y^Nha?=-14 zoH3qkAwlO)6+Ha#h=+rV=kpN>^Az1%Qnt^#Vt?``+h=;$u%+{4i9yB5cNExT6(vufqU zC7h|q)Z{JPMLA~%-9xxX1$2yA*O@LAiDn2x;Ri>psz70=#t`r>0G9_ViTY3gz@*JGHqd10|j#_=v$wp5n18#GI}L+pc*hF&{ZsY91r|Q)--k zSQD(t64+^7fev-*+Vu!C{y}bEiE$pIGnh=>oUFD@zU4V5OaLzu*w6td?ugQgER@zdu0O+qW9aX|%^s;&+#PB&e`-;F zKmaBCRHTgNXW+d{`CyH=kp?<^EP~5Ba zII>!v9*MwQ3M3x!#toA(H(2YE_6V#Vab@S!5pcA=Awx(i@hIo4AhK4{EK^!KfplBPrqx&6PnWmc+6t$%urQdfO62nHT<#H1;(z|ghY zZYXTTpbiy376}oy|5@cJ?i5jJVQZzg26OuPP@3Xk&xG}~Gq&R+Lw(Jy^79_$Cua*M zL1HcD0p!z45+Oh>+xX;Jov#9J6bMiCJEm;zhV00tU!d-i$nV0^b`*qKCSEjFzW3I5 z7u|apINAeg`Lf^K4OJJ(*$v1!Kl2xMI(RV|0rGpzRgIZLwCLKrV%v-RCNY|l6qJPSO$kJSBs&Rn{BkriSoy6ADUZ1CPD{~izg1xu z75c&Dw<^4PjvNndzuOx&)c9K!((5Hmce~5-b@TAIza%7PX>VoaI`nmV+>AVZ74Vqa3D{~n(<8cA3UloK90b%fTwbmCD4os zQd$myLm;pqvnH%Yoz1fvl3NzZZ*fk8@f6A3gY+QdSHiZy@^Ry~;tKK}Dt57z5@F*~ zqgYReJgO`Dx!yU8-nz3iXPnLYraIP^TlyQQP8^#6%ftHjv;%IjmD@_c>_T-|hjMF! z@aaD+zOx#W>_5J`Dg2@!kVqj6gOkTAz<|AwOBGW56GhkVYnUX2=M)LXYYZ|lO4y7r z=$<9LYrRZ;Moh35j4=1J~r+!!kw+3)c`Jfp?e+M%*HRPZ z2~=ds+sv-CBZ8Edvm{mL<8ux>SkM(3jaqvaAm?n%#9)9BYKUrvhbs^#3Z;;ne2=im zkVR&~YC~uZiIxZ{jvBJ1E@rVL3aKc6)u56LwhdKj>C$m^<8OCR4e{`YlE9fjcTKoG zXjWZi6Sx%GJs0b+p&IBR)tA)SXk$Hz#nKkO9y4)Nv+eD!l9okaM&MXyb=@Vs(r||n z63QgkAP6>sZi1j9j39;5RvGO=%G)1wz>r#GsyqX8G;XBWWR{Mo+bfiqqs)O0GMaYs z=0Hdq8QQc_rcR9YGaHJ!?#`Za0CZkfksiREu~(%Jyc@_Dr4IUz-tQ8N<%=3)!tsHT zTsP?$>{Tth1laSe_nBNyrI4F|@)O#&0e^#ikgZo--%Hjl^tb1w8m;;g#2G7DW9p*T zj5fXhY)GuEsxN#G&M_&CdY z9aM*pd9;>Ux|^K>!aOzl0P{D?+Ak*I3GG&k9_~!*=u2-U*54tsU*Zr}rI&{b+e(=78zX2!dvq_rgX=e0*!eW}@g>ry8 zXq`_hXCjZlzqM;>J2yjo+EwH*gXHrgV2oLMN`6&ZeD72+NutaLf4oVz>%H(%IX$Qa^irl4vQ z98;Lu54KXJT%!A+F{v3Zkzawg2)pJllr?qSyn;*SFT3dG>F|mk^ zCJ)3>P`*M@ZvI0`M!8B%LTo5hf;$Ye2n!buLJ*NWtmr~eBRjd|8X%HG$AVx**zqvZ znA1Trd*R?DxmV_SV&+;P%TQ|Ls%Oxbu8(W%BKk4!d+<2F1$ishh-Pg@y$f9;q2X;0 z6`dBN`*Z~Nq*c7G%}=wnMq@zT+xvOjr$mK(n=5VYJgu!xV2ssnqZ%IR?IxG6|B1T# z9}SxPUxlYJ{d*%Q{|Gbxd-%Vgz}Oi6s{+IN3ugYrEAU4!R*BJcAX5a;-+$Ct)46`o z)$P|wzYIQ#_+M_bS`#-m-|pjYdfLfA(tQgBS&2I*&oj2?qeHtD{`nwXYggA>%lcwu z=@H@UPnYJc4-c2;Uluh!wW&60*K029x#ZvGvxLm{@yN|$(Ov$RF%3Eu zrM+6os~m%Adc79Ol+Q!a9Gcr{`c!nid0%U$WVThAqIK(%d9K7$I_f#LOow<^j_#o8 zBHvTD^m^=EX4^UA_(_?ztDVw@dF+iDGhZ?{-}a6d7zvGm0Yt-q<0_irFmKuJV!e#+ z4BO`<7I@l(CtB`+efe>125)DsQFqVWLFRw|WzGzuIMZ<^0@@n_#0#JUfInd# z_wdT%uvoO4XTp^R$WmvOqi^44q8l0P9D4)Dz$R_@5`SmRL3pgY!}N51c=$CY)7#=f zn)<83IU)*i=^E!hcI*Z6B#sADrnyFy`R2XZa|G%>^cqY{TO@}KoyZvcnRN(2&p@~~ zS1ht=2A;rD;mG+D41!SCq@^i@xFUIm(DO_1X23jys%SF^!fb>RYt4*D#D$esuQ4Z%sc zS2UMts^vK?IVNa{)LxO%2E_wLefZjPpXgxjM*$kB&JiMEJ17>*2jw;A8>v)R@Dsc( zkxwfL!S@w{Nc46C1wsD%BlskP@|i=08UX?N(?`=6ZNz&jK|(^xgQC@`DQY0MmU}Q* zzq6+N8HBdBL zUXcfY+6JYHHP^0J_(n4Y=X*V8=3tAkkPKkj5$^~gQSyeyoMWO^OYxWqDRE;p0bKO8 zw%W!XGFsGY$ZJ&rkl1#P&j`it{)MItnHeGV$JO*GQFj2Ixj&TgrvM^)PLZ-kl5|k= zWN&#<&#PIkH$HHrBG0Kb&oyDzdw}1_nq4!UH?Io#m`RCz9mo#_;Tp&VK@De#KdO9k zdnBc`83MGKo8V(|n^m*`JM)ZyO9cLjXFW@wXTA99I1nYfZiYxSFvTJYm7{lFXtHgh z(?pQ|`8emL)nxv3l?ic1h+IYs7QZfU3M~MR!854x`M78bGgf}4R_4X+Re+SAE2@qs z$D}rDr}t`=JEvo+CBU(UEYb0X7Q2J8o^Igksi+#b^>|2CdAC50Kbfgfddgx|0hqnH zhOfbg$Ramoo&KOt;cqGQb}r_R!L5xQ=9kd$|8b!=Se5}pfdN8j3a&DU$%?5+VjR@L zWw?+(+l%Arn7rjth`|W0I{d?vkwh*eqcV5#bSshyW*k>)xpjwX`ted*=eYF^=F2BU z9x?2u_Z_=!f&hd?PU-fggW{#EJ*$d=t2~V-O zACL`M0tYza%vCoSTx_Nx9eT}cAHWOeT4m8sH7b*Q|6)CxMnkH^qeu1zplr!FQ7oWy z-jCa9!%snt)t$+jMFDeq-gD+CRkD%?vvA)r1@y?kh?AIEQA{e{93E%VWrQ3lwZP?| z7(MI;$bl;_hTsHh`8Z3DJ74t&nQJKOan>>MX|%0)OENEaMrZJ9W~)O6U_gpb8AJqX zJFnQ@bHQ|RrI1hK&6+0&r*-~aQGbL?RM1M$HgM5kHY)nM} zOZCl9h>YdsbSvM6v~h^A?`K@a`vV7NIs8N2Q?Lg|385j}$|kqXcEU67;V>Co`iw2R zg46n}np`Q_7$+I|4W6kP_mKTwleq4<^7BY=p*wI(i0~byuHtQ`)DS9|X9}|sW;jX~8-^yulW}58!>+EiS;=-6q1)E=ekHK7S^9$TENTFi~`E zQi(~>WY*+6<07>!s(5N&@%mhv5Tl_D3a`S&LFq%?bOcNqu3+A}+P)lXLl2kN^M-(c#! z>>%v-w_XDXsaDMhMg}DHzcKdYtv6CTrs~26P40rrdg7hri;CE_+ZDhm$fn#E#8o8_ zx;g}qTe-_Ku*<*(m_2l;7Wo2*YUOFaCLx$ zmzY2}!vCb{l~-R5P0~mWc%u10vDoiBuTG{ytPKDcUy;PggUwVm8eS9Q|GMzQ!R9{0 z5Iq*7vz5X(?c(}U;n!b8?ON{c+A+rQ~D;_N^&wl383x&6ZmmHt-P@yaK)Hq10Z>}vC@xbh{h-$94 zDW)g#P_(=UYAiz3K_2r~RO!DLR89mU>2O0DNU{SQk#`xEz!T;20%I1$(L{>OGV-?j z>D~^$O4kut^!qA0@eS#Uae57&u2oJls>#V44;@N%ZElIrZYH~y0=da_>(y-_RB$(A zcmig62a|MaiKwm;2Hr*$?Y-{+_=a6Xq2IZ$Lhk~Amh#G+H3+RKLJwyec2%*NTA5g!7Drdv`F^#uFmhK97hk2+mh?^!3qsBe7YL8 z=Ulp)oU6>p?X&f!%6HfK$>Y)W>uplI+No(epFGKA?ai#*S&~rSo2107@S=fAshX>) z>AM3o-#-pMPlTV3e8?7wlj?1grcBk$IZqn#O{-DA8v1Xw++|$l+xe7D_jJTmGiZLL zOR?i>OEG)JBD=1Z9-QeNn_c^(_QPe?^_$C$IZjN4J{Y};->*1-Qdy+XLOn2M&Nx(h zOoe4teFwr*Z?tZ|Qnfyv)CCAh;}=zW5dDeABO(rhyQ27I1yE~*4BDcR^$~~U0NrGRl_`v~rk_3&lrhXbs(7R=28ebD|pJ|RZuHw@gaFrd4b^sU*4&&lQyFv?)gvLMt9tzYwJRtdRGn#GfFD2>84 z)o!EU-$6G5!*KSuW8_1w6vR9>`RNYyPg!5j;KkcfQ-6$Zhwd|ycQ@#B2j7{f`#BI@wF=CCk? z&>Zc8+gM6n4fGsFh1VaI-MW_J!O8$DE1+&sKiO4D4JOtd(26kJb|R3ktU#;4oX{mS ze)@T`ejT6{^6Bu%_!%uM-g%>v2QcG>7&*zQCcQ?k;UE zFhWnX7jvq6ywljwen9|sM^|Wf^HDK&qO&eeUVdrH{ajQ~5m&@{D16Ex>h4A&RY4O) z6!Tbkg;#>-0dt)nS-g3N$ThA)_-Mm=9_r<`1v>ljR~q9{2`(GG_n$au`g$-?;afJ- zjp`cKnb?*SHbw>0S$!i|5f#)FCc3pe(C4&FxFf9}!>#nFK`B*O#4)PhA&nnlz2*!0 z2?msxj2J1LP?IuL3DUVF17Kq^Sr`RYiXOdoKa`y6v???6Ps*0p>=Y%rfbnp@z$jcn z!YM~Y?1LA_B{J95ar8@v02Td=}oSv!A& z>mnQ;04+H?Q2R5N4fic#zzf{<_8XFxf56gz?%V}nI5NPThTWls3~29ULjAc>oU|y{ z1HIfc2jDP7kIQB_JE!*|Q7kf1Vo%{o;2QKEp_$@YIDb?wVQIsje}ndIz^}!3jqBi= zFGq(~wo4?CSF}g9w*>VKPZRHDRTqJx7>~Fcpi00OZP!QT+#)6t!I^Xf<~2%C7tav- zUL&07I#|e^PO(Mjy)4}L?O0aOWwi<+csP&9yJ!$8Bey*!3?dlSkw2}P0@fi}RId$= zrGdokR7{Bx-%rrwX=&2wUSd85a5_3vjs`Cv+wiU^9~P&PNduT6?b4{LL>3Zs*0MWZ z*tlbZc%a?VmBy*7dXjt=`a{^r4N<@se6r@$c>oLUIt`Ush{D;709js;~ z{Z4)F=k`nyKsY~RauesgXcRnSCzC_5PI5nCF{)&Tq$F6watI~kFu1cXK`+3!3%ZF= z$n{FwcPAD>33^9Cr}H>XsScD)H0n*f3KQUH4FBZ=Bwrn>X&t)7<;)Xg;Xb2OdchG@ zQ@-x>vqQqlTlKVNFt}N#lk8~{)wSge;vG#s!&_x#r*l@s&nIa!aq^}LYCEGp`EUq0r<(*It*2$I`VVyCS~dG8D5I_W0%JAYE%CQpIvhI+68KT0+Ua^)6Ld*`@NvPeRE0XIUJBIzbj zdl#H`9`Phk$&dIF+dW!&7|>epAQ&VnM4k|3=_AL_AX~IQHSVZqh@=@l+qL!6_af|= zeXzVYe=klSsy(rCpe*I!>r zE0%pG{$h^v!^`eQ5~mCsG&;Dufc+wZU%=)bsn-8wbN>HE{D$e@8oy!vd%OMr>+pXu ze#61^Ut7U7{&S^Bro}B$_*xrK=S2kC`4UWT+{1S#xOmuKo!~5gb%MiDt|rXISc2!1>TPr4(${$akb7^TGN;|UguUco2&kFjk_!L5e5=HW|baZ6S4l)k*^~sW(I;mY3nB_@>Y=bsM zoCK0QCnynR*bhiq=?+j++EjDNyX?vIvywvVm6?}?j2&>ba}Yr7ASdsZA>R(9T*6^w z5Wk5dm0ZqZ{wcS8*zBR97w@H!Cw$y1Ajhp05+EA5O0r`ByMrVO zUpOrikHIL0zhhC4`Y?^9I#9b?Wz%E(ZX`#VU)6|8sswh&zNvZib z7A-S#f)=;=jG~t4oJTOAfrgrR#OnGb&>OzW>>F{T-Ox+=c2iSyj97qgcZXCoj?IE} zwjbwBn=bkxl#KaX6SoMqgDxQ9b=<6$o^b*9uB{mTy-N@0BI}&&>(UG6oDq0 z*YWr;)*~}F0)V!kX98X-FOHow(V^}4*IL~17+99!KA0t19UQhN4gqw7Za8oxI~dD^ zkOOhWvqsFj1qSEJ$QddtJ`IjB+^fXdgzgI?U%?Z%4a`l#kPEFD(-wN?4NSQl0Si^? z8d#B0%{L5jMu@ZuaZ8}n^+PRF-O`KAF64xe_cuGu_LIo(h(G)dBks^l>?VMqA(F?$ zxP6${5?g+YR~?V@I_u-^6TON%EfPZBCqb?{Er;VjAHk9mX&={!V6Q`~_lJa3O_w0f zd9FBwaz$FiSCA~vqoI)uaRNBkOHX4YTQlBr*9 zb1YS}yF-$k5LshhyzF4NX_y+1D?boiyg=hixlqk8j5ogYhU3xs;RePQIms?Zs{3>x z#Z>bywc+aN5mgy9>OYbTWW;cVr=+6J2dOM&LtRQoKL`ooo%7&w=&8`OLBl2;Mx*8B zoG?e&gNKIDG7K;I6-OIP3l<5L=N5Qr!c|O!HYN|C<-S@3o1p&Ne&LUnFuX!33+A}< z=YB)aI3JIyLev9{{X0vFQc_epUqlw9(=Y#l#Gfec;eJk2F9N-L09Z8KS@g0n`a+cJ z3=G6wE|yP7V9Rw6w==A%BeN`sV(#uQ&J7 zpvIbvEYpQYiV;v*LEkJ5rVs@+EvS{Av(#ADQs_l~)Ed3^xN|Wc#m+!VL&&NNJ z8~<-4WSIV~2^rRZY(V*Y_`k6BV`cfTX$$>DsvE&P~C;Z{B}Jzwwp zKkhWk>upk3wzj!X-P;>{*XNnJfvb4F;f6m{|JpbxJF*~yt3uiQPxtiOai+xOO{*|8f$L6~S<#%&plp=6$@QZKj_k9_gBAKWn4Vwe$8x-C~pdzogpL8VBaU1a6 zgW|c-+8ZRPk{@O)N%!4@!nv@lmfIzzc`fe&ch@uND0;^ePeZ;z zQn#?-`Zx9^^}O=nJ(rJUbaMLSn-u=C+a9#_q2BZt2XfJu&lH&-4KgukOwC*4sAy*^ zwf?j2wAQCl*Jcy-oe@i z54n}Pmm5y@d5Zsqk$SF#_Y+k4rN)|iU20xlR*P3~K9}Pw`+6+jzW`@VZv~u;*M;@_ zj@;%we|15$D*6u0Uj<<>_v;=wDXfw3{!!^3P%MbO+tYS=9k`80bB*l;;Y*a)fdv~B z7HctJvsTDcf5M449et3FlHh7VyWyd+QWR;ktR6k?=q}Njx7J>wpLxJ5 zTa@NoYg$n}AOy?rhe@n_a+-^?L_N* zh%WLN^KB7$GTs!phHb9s*!c*uQwQdO#7a+*;=Q3TigH{{J)x&2~O@e0&XNcu%Iz}v*7o3_O zDWEPq#2pfe+V0LBX(S5M?75??e=9 zv@e{O^@bUXz1Q2YMp1s)^-~K0>-Snkk?-p{j_h)Mk*BwrBvEDL@{6N{4hiHIKc^4`ujx zUBF>TeMsxr6P-r>DX(|y1%_7x4MIIM)(d9oHV{*rC&lhwm;0m}beI`;MKHX$QZRgN zOY_PjtnvM;a?m+R4Op8Z;9fdoOf(SQN-JtWg;nZyl+F)>3D^Ky-+~@2^<0@V4P}9} z9wto8YmqQuK}>K@yA-?aawe^U+tpX%`IEd1$Gul+Pt$moUs4mV5Jcshpwzve28YcG zHaI8hZ_Z{`iHm}ePK!*K9eQp+QSPEa2OI1SZ9MQ?U2o#OO&aVVW=1X`4c@KT;j$(G zdCiF6gjyw*km%&lSXRf+sX%Yy&;{tV ze3JQI;czH|Nypx5O2i`*)6J+2DTZdC6J>s*D09#f@We4GA&pWgaB5Ge1oZCJx%p zkbe~Tm&*C|CF@Y5=jJOG3a%Q3(1hUu)cd&KeejTQV`an8?kTVCW_YuZVP4!#s1(p6 z2M>ttCs>5P7eKQ_gAw;9@h5XwN5UP?X*K&=(t=tCwki#>SBC7GJ^~>Z&`JAu7Yg4{g_!P{QHXDJ0Ekr!g*q2Jn(RQ zI{jd`Uj%o$yDlkjR3!fqch8(IykOPD+&;g!n5yv4kSxj1aJ zv_F_O42(w^6p=aJ!F>_horm}WNfv#^J!~j7f~x?!em&6b#JJtCZMdM&_9abdv*|XEW?}vssb`MtbzZDfdPgo*T~*Xf3G1;nP@=d8_H|4 z7nBBF#W}r5m!{hTBAbOHE%k6{IZRpK0G^o$N^@OL#8k=9{&w2FV-CH-BpTuC-#$Re z)aVkU;r^7IsZWyu%A=96m!QrvtDXd;6y+|fSG5LeG7RsdzdTN-$Q~E)V#C+NH~pClUVX4KHigEW3igAFhjC@aSAt z_oN8Wo1LCv!Oxi)0Lg`{X9X!=TI#gIlp*8Eues|``p0}6k3UlFz@42F8Y||~A<#sR znk+PQ<+#ho2K5WPb-AMRf3KjK{|ACZ|5pvJ%>UMi5i9dQFxLMb{x4{2PS(HK?Efi! zuC-~m@%^OEzVny1jxQdxJ5xZBnA4rzi+G~r+Yp`uH=(T!Ua!)*wspMH^JOM!q#k1w z@8iV{sA@#w#ECiDXYXIFT#gO>)1-qRVb|UH)0?B=y#1=g-PgtY>*js&abDQ%QLFvb z?J~c6+xuDP_UOHNF!TJ|=-Pc(Kv`o)Pw%eS&;Rn{w`N)2o}QYvZvZ2I*M7~)1wH+l zVIV%gu5JgtuXmZssw%Z2-w$e?reqrUWXgBTLDAO!ckCC?C^{@Un|$m^6#6Wrs-r<8H;>y|y9k{H@?$?dimdsGRw0MsAle;OX#Sh0%<;%> zJzW(3kGm#{8Xw`yKZlp{+vtyr+iRO86z!X5xz`mUg(khIm5XYPnw(2vBacsAbBCtD zra->@uFcP}sLLj5er$LO^w(`rDy==^`~9#KPM#+_PF3a8D|__yE^~%)%{!FDqA>*o zl$zmvvJ_3RBV0Grz+dpV7?c>>v=`+Il;_9q25D)im0t52oyx z!N0GZHn86o9fuCX-k%St#AoI}Xo352kiA+0I~zP_l7H@OVGm(7-`IVe_>=I=w0YfF z1|xC0iw4l2mnmxTtM$#@nD_?y?`%E`reVfxZlFVK$%@7BnzbBVxvuZO>8|NcJ7E9v zy3o>gU;kgUy#-KQ&DJ%HJHg$Z;4rwmyAzzj-5rAK-~^Z8kl-5J-QC?axP`z^p7(p@ z-uJ)t-LLB2s+p=Yr}}iC>E36b)!lpTRq*;2nabF<94CN#-zdbdF_6qKsv$O_!fS5U zqme@Td0JoL;ayv}jy5reT6HeQNJ0I#%dLNQk-~FUvGD}Rs*~B{7c&;DI9ROY)itxu zbD_nyEL#^L@Y%~MJ}2~h*vABAUwtpR!;uspaXAe&;wJrS>$az(2bX9*QD5{kNRq7N zw_86##visL7ef9Q&k<>TaWkcv-(Gg%V0Ok~ie0aU{iyIA$G^|sh8Wqey8O=NeA!c; zb|xjR*Kk!6`1H#@PHvH{dRr`{(>ORU(2~E-?fGohs(RC&&dwAB3N>t6{e$>D z1GlunY0}v#ZECmaZvjVA8%J4>A1C8Zy4f-hzuNev<9LU}7UYp3WZIeLLNp{55f`hl69YMf zlg|&W#kWr!;uePgLB^?;KEYoZf1JUvhyVDMGGvO0>D+#h~F?3#4D{2Rw;1PZ;#?}M}4yCtPZF=g`>6{TO* zWpXPeqyv6MrIK-*po#`ZBO4sczy0VA~+O}$ioOhLr(@+Hy?kG5POlr5pEl# zCtDu2b7sO+8K~ifZ=c?8>Z;i6!Tr*Qw7@fI3u+U67T}Xtb!M1;`eK>3{ZURNU_Bn3 z3>6N^Z(xqR@{|>`rBDhA{)DHA)*1yZi1@^lpr4x*`SDo@KI_&sxU0z6zN`z{$Wxu? zjXyxcw^k~Rwis?cZUd&~ zigMpztExqe_g8_7-tq>YtT#*| zl-q|NuFEsMs?V>(reLwr>0-`q!0kOT|JJpJP-Sjd8=*A49Ib`{J~?fuP{J}HkaVs8 z3-3xY1*lNMkZlnS2^H;+T|Q_Y%4)97K(i-n~}xNQ8zhfTr4zO*e#=5 zSFBTxQaCU3on=~{avQOQVIUIKL!D2+LlDbcMt&alRa5ZGkEV%=mTTm;@_;#@5W=xop zCb}6uKph>@Jz2u2baj{Y5KjJ-ZSLnP?3z!x`)Oi%Cm!z)mslCi9tnS-tN7v90|nU` zzUB32|L}5@6pj8J-&_HXOqFu=v7;+*LDG3on4k;Wrs0{AU4bQVs6*D@c>XgpBDn;V zX+y`Ma~y~!=j9niw%Bc^as^vz*002J>6XUU_W2cP6_6lPjV5y%YS+^8Knu5 z#dP2>v@W=iMp4+6*N_Im5A&zGI_p9*CM3#_MC;JIxCU@-y>kQP2R#bXiPiMt@wsP4 zs^Xe|`czDyUmF_@no75 zOB+`XbUI^s>DM`fw3qy7xpRHoVaj|twD3?haM{9|e&;%_``@OSL^Eb9y*`pP{cg5^ zfk*@ZY~O%Q_m3|T)}=d&V!fePao>%-e+EvWvQ z2lne)^$OjjK<}s4=1zIIS}EbFsqi~@a8zyKW`;DoGZ_Z$TpZtu)90dp*yH=OCur3o z8jZ-&6<$($AhW7{VhWU0q^Je#3I|)!?_oXuS#!8DoLtI%Jihc~uY_MZ{~_}fr&_)#9)Sr>iJCpPf94F`^`WJ9Nv1W# zu0>2t&bCC_GRMR;g?+tjTbT8X-2E7`OOo`5oMk?al~0iFv$*KoV?ip_TH*q2=sRsX z^ZDOp&_}=7YUr6GOY%HLr$?Ra`JB4n@%N+#vYHS2OQT!z5Qd zjgQ04mjOl&p-XwtEdNE=UBS^B(mce1gb(4~xg{D9Rh)o|Js&O7#g)Q7ezeG6gCnu< z0(~|73CuMn)MH3sa0-j-H(dmJt}h-sesg_g;qUzI2P3E&TTGcdZ`7N+d#*LhFAv z5WY}TH@A0o#oY$lYdtlJ=wdzdZtrac%0mO-QGZP@^%+YB zM$y2TuS{ogr`5SC6!SYKmk$3K#w$x z_!)21Md6Vi(Nr;VZq_fX`3)M8%u6v{9{bXWRx6VIJ#yIcPvo$eo8R42c%l9I-zrIQ zTbt8tygB;aRlV;Z92yF}?;z0B9~-_;aae~PUUe2uDJxF;Kl@Me^BurrQQ}zG=~$X* zkKXxvjCDcTRPR!(Y{OuFNm;F7VQws{vRkziFCv}Ww218UsFw5VTxS3ryW2Q6LFJB! z!7!;&Z9(s2#O|z(fBTexO2aS!4_SnRp&3+rh1;(fsgA}G{xwaedI~y$v1{wncwON4 zs;EK&qo*uxSEL_AkxY)ifAyf7_+=m(8LR*kr5mq*sbk;xCjW)g6}Dn}3&qF4uY8Y0 zgtF^DLR&%8x5VMP-&np|aXM0-dPB}=n^3)eoM{Q#{(0G%$*Vn0A*d14z(9KS1LZ_! zVjklMw*yw3tB1YC0FfBz<6sZCHq$8#Pg78A2vUuTI3t1{$(rYwq%sp0O=;u~M)T#` zNI6y!mc#5)IH7n!&q({aCh6qJhv-`-AUR{|roZhu8f3!oF_)2DOCgaU~Q1d?yt z704R6NnOIk0K621NdZ8Z=M+RNhw`4)@@lx5B<|=~9uxpVOdN*P-+^t{sCRt&(3Qd^ z9;JdAX?LM zRs8@P_u9~K8ayVE@Cg&uDs6C$T$(lNhbVl{Z;H;*(qL4dolO=BgbP-j;3DHbkp4jc zJnnOw|M3mX7ioo@jqLLCp^K(FumI(0Wd1HaoM2SRGR-O=euRnCJ+kdGlf$zY)#G8F zU*7VNv(y^sg}E@%5)(}pZTEX2v^nA{*@{bo7Ir;~mm)>nmvI|d4$~2d8%}@ehfzea zYiM8S^yu9hW!&w-u~0_t4?~F*q;Vf}>Wt`@psFUI36frM_h=CE_4d<{W1rwjEHU?5 zAoqFDP9#(?C@1ng7twJBNEc%_9~PK9ce{h77{FFvO_E^KUm+Y?#KmL<6m(h=0xWdL zb*=5Ffxj1vIcf<=!eROP1d!YXqcEI^YLl9z&j{xea&}7@qJCt1gL&R43Z!~MMU%6b zWxyT$M0JJ7hSnQ_PQWOhd=5eNe-1qY6IqjV{M7;mrHAY?x<6Blub|ewHBuZe@tn*W zoX)_V@?GlUa(bY((j-83Qp%q2GLDDxYOP%FGU!NgXVzb%ambMD`=`v?3p^4Ku6$K3 zY+5#t z@I*0{5_1^;Ap$%9qlq0;01Y?4{R=nqQfUS%v&$Ljt`l5IK>dIPzpwX|_!n&42mVAm z=z#gJH&UpDwzkUH`mt>d$G}pESc`gPb(doY1tUaWNtZmS@HfIWmYgC4#WB-fM=TO0 zH8MNYHJT@u#xU>22Js%gaRp7Dz5e`Ft2mW->k|64qp2cgY;9UCE0+_STUfrmPvq6L zXFeQ$!?B1R#e=ZiCmndg-F=MSoDOUo$VgHq$ZOO;+8m3h1n2B{VqC7ShRIGE-&s2W z%v0$M`@}arbQy?^gt6%|RWKj0UHGFiFs+&OA47WEBvq1BW!P_I3?Q)iqnwunfJsC_ zM%@LEV$p=rY;mTGOww!v`HV?WyFJD3-A&)sriD@BEbSHEOxAcz7>H7<)jb1=5c66Y z=Tz4e&YOttpl#jnIed19!qr*04)>E2e64|;JRe_-u&j?I(9rKWJ_V zkCeK?NA3Qz9E#wWvS$!(iW}rHzjT(TNZT)T7ITZnx~G7KSNHnZr}w*$7qPaTKe=j0 zA9{~JQNZ6jND!7FQ}s(^WFZd8_u`FH@ZfZ%u@t5)_G#!ReaNTQltSKWw-ggzJs|LC zG38pk=MJqtEbFGQqgkX|sbsVAJNbp;vB16(Y9NOLo5jkmZ{jM9LZauOZ5F0cIfy@_ zj+r2#vQ?6jP~1_742Gz*xH_WYO!lned7&62ioDl-qa$NySmE|!ahcdoFx`0PWgYwY zeMlrhq}bdQ(|K&3zqrR)5{)RWZ*QFuJw zMcjZq6O>OwZFU=K%eVohCWEI$#nTzTj#ozM*KNP-i-;Z*luY||BPsQgr$8bN$hMb2 zNcEL$#i>cMlb*?#>tq-LNlt;k87UF;oCnp5eumC;_^xsH2{~QE&$~^`(*s^L-hZyW z)JXhkFPISLYE2w-X%sJ^&tXnJbI%?AW4~YgGf1g34EJ1Rl5v}5@v0d6F*yCG*6Krd4 zYd;wAF}CHSMPgcH=nAGQd`;tnFr8P~fx+F`O$NpiI7g}_ z)5%JZjjf0$rJYs#`btKGyTtPq6#PTpgu15`M-zvVSzu9LJxncGiYph}SMGYR<0B89 zrejKet+&yr>F`{;yJEZLUAfc<{-#_ROV+j5E-t3w2K^N?L-XYBoaCsT4_cV3^f{Ks zb`oUa^a{yWGMufT*;m9s#;BvCYXrE_+4l21rp_;?f^h895SrtRy0jSDpA?0Pg_=*< zE}kWQ0Kj&q^URg~8|b<(naGOy$co$ytqg{Zb&Z*38U?MNrfj1fd~3?I?yIS}q)r;> z;Sdk>X}W$-U{XL6{KQcmUaK8-q(zfRB|lSX`Hju|A*=m;*R((C!}<4XTyx5e>`5$x z(HmSRyi=E|`QEY%ES9yfPokicm$uH32IxiBc6x}C+(Eadd^L_#Ph_XKhi}x^K^!m+ zt);YWO&^nJmJ!WJasr;XS!T`3v_6h#S zdjBUohgC`RSNpEFz%Dl@W!!T z{^+V|-5gd2ipr9YmIYFPOA*t{CgQvT874WlrHJEss8p36maBdfv4!mMn6c?}r6xLm z8B#?T!C=H4wyG_goa|_mT@lQ!hw+Lx|AbzFA;Muy7pM%VyS$ixr_oKk)96SxsD`g` z#*R^b`aiP{rwVDE`z0=%@7zcn?EeRgE^SmaDe(GP(zQZqN|x~c&tAxy>$7Q|NJU>M%GHO+`18`ZN( zQCc4%t8Tj!{XPNy`lcMdH&VK$nzol+VTG17aZSE(j-!(;fk1! zF`}gWNs0=aXL>Hxa?TIJ&chA|-9R!lm1?`BR4z``Wy>Ur<>sps#AW`_E>gUdk_2&# zXxz<%<4Sp^fJ zKTuCeg$qF}k4J^(20F$>=|DL`QI!KhP)ny2BBrA6ghw~x7SFSwp1ZuZ=VX&##6M)6 z2%3@+YJ0H-;RQ{j)J6d+V1f0 zjhY+HZEHr?KLIRtisxaPD#y{MPi_25U11MK*tLChl1 zmw%g#oJnFP{eiJ1KBw;#f9$NGW6+(YVnzOLi*>P#b<$bTAOML|?m7HK$T48m9r=uK zj%lQhiCZ3(*Hz_%LN&o5zn}1Ar2}$%n%vB{sOB24zVw;=?iyz3lCwu-;mrMR9b!l zDda5K_yv#LGdX8kFCUjEJ~loBkB$8bKu5QsWu}_lyDE|lSb=j7l$CmdWFIc{Dn3=^ zc0GlmqoN-i+)xAO*3MaB=!uE=*vxG<1m=bZ{f$`kw_p8(137GauRBb&9iwsrU^zfw z!ILM%fyPX!)MB)ZpcH&eo3kfbcGd&7Zy}so+@1BUgEsXu`RE=8(vjsM=VF=tQcXc< zyvq#bpOew8@(d$v1Dy51SX3*%Ly0LIHrH8@6pU0;K)Sy~Noi`-!Qf~uF3scVWhe`4 z)c+8XDXt65txZ*wcQ7fe3R{4aSpE8HT-)WlDS+=A&X6nD1R=@c&hK2CrA;S_4HeEp zoGZgak$Oi@=r|6=|#Jl3WdEU*CIOZm;xjRi5e_R6zz@6(Kh`jwTFNy)5m zu0?I`gonn@$kv<%*g@Qw8QLzlky`-bl_!w+k2r0a4JXaK7Qyr{z!xiuk;|LZ}{6_&y=M zeeTJ4ybz!qmvF4ZlhVoB+y7`EH!GP3ml=`V4IHH1N>5z!om^C%2y^@(aM0oDW^Rj5 zEia{I!(b-Oggu#}33e`6g#@s9yY=uZ>Fm7TW*mB&*Nq_IY$Fj8;~oqQHMws3nxMjo zTHh7SI5||kc~A?kWny^Sc}7FnZ`)aT7Gg9)RJ*nzRg6Xd-0koy{;K^H^WhVlS$Oy9 zhXwT*G{Yg0HZAMqtmIGq?W#VcnpNX$8Jzk{6fUdWOx(uKLxoin*Pl_o3|+ov0Uz7AcOgzFrYZ{>@9gEn(e9IXwt)C5`VU@%~h!GtP1@jmv}#6AoN zH#0tGGI@!?3=Zla!RH}fBKa?WP_R0kXM2>SkzGLFd{JB@^p{~zEl;PFaiVoDZM?(f z+=MalglecMF`C0mqbPbF;PlX8ZLVKm7vX-kayZ(@7jx3r1VJ=xx(@}EqpY(YD$>>$>Mjj>W z37?ak2oE>xyPg^i`#x4aZ9kqX!k51{j@R$KwT$MjkW8FyV;WdBn>ECW2+O5>g(Hx> z10LDb4c^ntJ7>Y>ZtHta5XqH({oTp@l0qsx8US9j9 z?nk8%98(oli^a^LGM7r6J3hB&5XUBR`*#t zSCsrPQDImHoFsf5&}fu0nLj7o%(HUqE|DC$w--I^*wM4PM z)oB9!lSDBd*1unP)me8~Z&oG6UOMDcm`2+}|=ULGm9uP11W~nltY@ zO%Lk{stk0TA03p$0;G$FcGr(DJUR=^nK>2QR2cBD&z8?5W-+5jonZ|=qymtS6s zv-}t|HT2o%Tl`?qc zV)yc8>~l_58@NU}ugTZgEs(j6VRqdS|FinW7dID~5wlR3>_JGLb?ad$1(@$LV>&Qz z4KJN*8J~Y4tILRD2(VYvY<1PDtEIGzLIHWcz+zK^W+_Q7>N0=9io=66rXIW1Vu*EuPuCM?6Sx%*9+{Us0>49lt zYhp>t`bx~&Ez0pDtp_GgFw{=GY#MY^Chr8yYON<-0f}E`)K)RR@7cw1gJ>9(`^6sK zI5AYLdm_TgXtR^5(#xh`pp3Q=M53OX!1YYReTf%>KT)0$HfE{ifL@>h@=Xms1f4X+ zlqp5{Tn6(*K69$zHt(6DNCQO{UX2+tM_`{aGMKBUD`X&JJ_m>q^>CDN%?=*w(Swlr zggPe!JV*FgdQ4nzt+>~&yg)%=OkH(%|Nfbvl2+wDtQ<&IfQTvY7^okr`!#D7S+-$y z=k`2F8-H6vY|B27*FfLyce5`lFia6U>iWKd@9J`Uk{cTeSc zAHuGEFs7(hZBrE$eJt*)RSg+V?y@&t385%G-&c2s!pIAc$-0=(S$F!jtcf;G*gPe8 z$uF+9_dcu$q;q6EV1m;bL&kMfMX;*x1 zvVddlt%qUl00m0!UxwR=YYkPLuqg?ocw~?fwk=Ev6HlfV> z+@hICjiP%r7at4R=bNvr`4PmvYB;jr^O3=kt42tXdt{kRt@-Ns9zy6`O{Hro}T$5st7Gw zb=^!Qgn#CMXylkW%BPPa7M-xUOnHV?U{ceB*z2nStIH!2qghc)Goo9GYFl=9L_wjf zZxcq>iRxV+X32I}x|D0Ws4h304~x2s1vn+ziIh<%F`;m7S7X1t7Sq9LX745!=AS}z zcevE>vCGZICvuU-u!KLmS8x;TNpZO5Vtryf>HOVe*0 z_)bHo#9;k25FtdTE8yjm{7q^fA+GXKKjDyig3F-Wn16_JU+NgY4>G|jV@Rq}(H3VR z?Tj<0s%heCeb*(}NLALX)~W5DE-jhOnBAkkDZ5@)VN}-(Cw9>r@tqDOgW=Argv{Q=|QcQ0>H{fykQN zv6jdnsX@TaQg=_6wEEKLl<`T1MGLykgHgZ#r7>1O8jB|Ygz=p0yCK>S8-%kOR=Qi< z!{%VR(~r!%k4{}q0`XI3kSKv-Pvy=+j#!^=nWicF{^&e#{uv3O7c$4ldXcmg{NZ^c%w~X~HVR7`M|9 zYIYPuRm$ln2s;DQ4k5#!fF?f%ij^ZLe-q>VdXkgN=rI%ixGKAN9RV7?CzualG-4Cv zz<9hkW0?OosW2X4dmbRqj9(YfT@b1Oy|%wX36@ip9x3TeZ<8i`Kizfz87x3l3;Z7d zntwF`?Qa0hKM15{mQv&4Bz^y|kgEQhV9&pnu=cl-PJlm^|1*nhJbxcl`$_kmu!jAA z^7d;3b`&UEXTghS?Q0~W-T6M=8>?bQRd9dKPyfwFxZoWPy#DC9ao%sCn z_|rk!=}v5(Nk+4&&QNGy#1^rKl5sEdiV?Y?ZP7au5V7^4#}oODWLwkB1xfk=v{yUy zjdO7sf{6o)tF5`pCBps(mp`SV-W;Wt#9PORQ{i=8ruzp`XmK7O>I-diD7?MtA+?55 z8oEYZ5K^iAP5PK-FM`A;p|raGX~owi*sAh=MV-?nL3y?HOp7HJrci{zy_;m;0kYq* z`xMs67aqUCQqbDXNDY1`J{|}*=`>LI*a%f(U-q?kRLDRc*ym0SJlfxd*$UwR~k*)1AY+c0Ecd5o=^OmxCo?*pc*yOD(B3UqxR-+HMqKD0uX zq?bR|RHrT_f+y-ikEyvz3&x%6O}~j}c-h}V zZG-jP#otC|^p2j=M5;0fBuEAS(JaEUPCg)_{=*iTY=(Io6@Lp5->TER?P5xeF?D6i z%HvL!ntTVd9Ly(*BUT+%5f5cl%>Ko!T2$|lea~8^0-jRyyvHJ211$KCQ2y|oqpJ$v zpwdY$6W{q;mDYO%RU=VH3TLYy&ybU+zL5G5>`#=)%tmi?ZJ+P-qhz>BI(}h!Umb0r z+WpvKfEiihdjby(SPi^WlpRe;aBZm(5){cl9G_Spl2?47W0o$~2BvB=k1}Mmv8h6W z5EfkNZNKN;-y6g(h^0XB5949oBiT@?*hhpUD$v(3L^=kGt0*5!JRh#^zbkC<9V8DC z(uOOu3G#8rAD;%ow(v<8LXLmumHxKUo&X#BxRh^`9qGWvU2uy8OAXXGHJlVUys`)L zvJ)z_lG+_a9W5uCdW6?>oA5bX6Aow>(no4O@<=3*N5*R+$c+k_`BZqkfu;DeyI|ui zJvxuLU@XuRoK3Rip3`HMiDhX(2=nFl1Q0>bJEEsv1b=RR9n2a$6 zeAp@_-JYERN{!LU+@_?c7=&r2OGr>}>q5{YO7N~ITOXhBMceRW>6%#uM1dlEy@h>o z%(Q*X?vb=`1?dWmYGyPA#!IUDMc-8mRn7bRbJsd-sy&clFCI(7Ps6jKuyGQ-H* zK9b3hd2|6N(t}os-7up2f_7*<0wef`-HWV~imN3Ku(0!5SgBoJ==@8dz6Cg_Od#HS zDbCJt#-kj$WupVpcF*(Au!BL&J>wACbX(AyJ~uU<)=7634f4Eq>kdS zH&`I1La*qdfptjDw6gf~^v%K(>0B;hRt>PCs_aFTCAQ;E^N5(0lFP02Nbl#+*_SxR zF9V`#%2<_{T7rIi?#NZbJIcTCNU&s@kn^TX$46jkh5Zum*@pAyQzJ!Gm3*@sZu3Uh z>I_ca(*>TWzYcYWnJ;|@hAP6A@gHhe+&|1)H-u##cC;4gamoakGIgA$h+BD z`EgN4LcG0)(jiLzD+mN|zQ!8fuUD@H1Kc4yAn~He%>8;(3tU5mFodrmszi#lp=UCW zZiGV`Y|D5_^?ThA7#Ul1=~o~~9Zq0#?i=$D)ngRuF5|6glJfT+O3xpIWAUMN?%GS+ zVn2td9qHp~p`J|wh{PaE@YX_VWBvN@MP81HM>ea{CS6gkU++__gg5FkPtTfUztTu& zPu(wVbHR>&>@-)w8H;27ZKJ;UIaqRmW1IXkO-0L~?^=nwBZ`)v zq(_zrVEo79fTxrICFkhDK7hKCc@CzdqL8W|?cQ`;&hT6kRrRS$kZ4J3c~Q|0M}D)U z*<3wux;uif!nI3%_NV)_>F^su7GET!Ul|*}%#F)i!^YEN)wOSblO|?1y#1w1N1&+3=~ARm1#<+5gYYr<-qPHW^7ma2yQL6`&$w$u}ucRD0$j0Bpt)AL*LtfL-(=lGyVTVTlRkg zGwk0G8p-~z<$wLH#MEDv|KFi1D+|}(Lsf14xLIyYzpA;nt-&-6eZ$X`jkxhfMq1_A z;Q8PE(nM%o*|Md@c=?b?L+(3&x@EW~3fozDiWbP6unTqw3O?wyj?y%_d8Jp`I6K$+ zsxCe~fBQjdF*<*Lu`*rVI{*>~4qY1+f|?$Gz1P;5DzLUJ2!i(&M6JB#W)hwF}u%Dh(L58CyR ziDS5uQ^sZ^@3~CnEj)vY7Y#wqR1&3ks#iUcQZ=k&p{_NaQusR5Vc0MQ5j$-0xMxX5;cuEs1Ejqs*6e!HylkiWPVLH>2zhk~W<6CFw z>X50F8mm+0(H>1IR(N^E?2HLbh00bUs=xTWbQWzDqQsvyJ|586o|>NXV)MN`8^^`` z+zA6Zk(lNnz&PW6ydsN2HR19Y(sluVev;F|9)pLZvofLRd7|oKE|R zu(y!VIN&2@sgVm5vKUfG?G5s6>BZOH_Xsr46Q)waTcjZ751>xbzGdaf)+Ug%MS0cz zE@}V34!$^yLwSslM4Z&RBqUaxg)4E;mqt-QQW^a)RA<;jv;jZ(^LvOe}fKFU+8?ZjtIW>L@+ho@l}LRBVEhzT)^KytM82a-?SfayN8b zrZg1R1xr_ihq7?gQ+B_Xw>D`0v^_BVyfE-zU_ci=xrsReZq)9+bM7M)mP+8S`vl&F zBUmMLA;V$uDGW+`t1m=#+rdcYzH-&I3+LwVaRVz?)Dn-#14$wdF{aWkYjAP3TrXAr<+7p$JtNr9fr;1JH>( zw1m%;?511&eqe|Ig=;~@8eg*vd8FtxT3}*BZ^<7Z`CByK1m!N^5D5boFH=C1jlZ2R z_=SY^ym0RyxZrO z|1zoGLuchoym?&$r97S^iRQb-!s5 zR_kt8#aK4j111qO90%xiAkOGuJoWv;WOMy|cWb9#G8!{EWWu|7-l=dH=OgqxtMZ1t z;^v)_i|pkAp!j*PJAK;RY&vl8eYWbFB?xkJ4(6wEO_v5Ie2@mJm{Iyc~%w3yBR@|&z?9 zd4HhN1UT{37~TQlbPuZ)yj}wmqE^*F0rM_e^KW(v(`P)M-&XfmVVB2MRyEXfI7vbm z`546X39&OVGt0kbEv`sn9A1FVPF}7 z?|Fe4@F3o!2|@kUay4S$SQg%>`KMyZHQl6BGC}+fs1}*7BsxR6Vb8S~g2%yHs%&uW z7@n+(nPe9Z(paN5ym%0rg~RE@zs5W;Qw=VT$8qPg@;%JlMZVPUb<99#N4^b(%fTQ?c= z$X|am^kDt+jm&_J6&IZXZP^%XeeOxhBJ6;{?9L@>I2s8nyu+@p zHC$%FOWXk)BL(2%F5a}zZY2+v;+Mw(uY=@3Roz1V3Tv5b|L{P}zoO8yF-Ofv`E^f7 zo6JW|_td9?#fmfjGb>U{tMnDBt<`xiQ7_1-0I>`r-+=&s(dP}*kBY$?PBW3S9`gGL z=XBww6Jyjh1M`A#1L;IHk0fUUKeDl*z;@1Ky(l`2(;Xbxl#Uo;`?>4)v+;g>eCB;c zzp3+u=w{q!LHPDU_)_#1a$vwqB9A9rZy}{zK#u=fu}xJyH5Z?ASyfWIj3)hXJ;K+W zel3}%opvup;i|&zUNKfnXMD#nWCoBCSZwh}TINCpCwQKv-58?O^wF65}RDAB}@w1iCJmu`?I;yx|p5+z}^0@2+05A zESc5ZOkBMj&Hlq>GHY3xx>}NQv2rp?n^{>{x{`8maWjiK*g80?IT{1an8nT9t$=2# zQliYFR<16}X3k;`c8(7AX7;Y6+{`leu4c}T4z|XwW~AoEwk~GOl2*3wr;lu62LPs zW<~A2z9nv@fl)*`Y>+@?RzQsfaaiAwmpCUsfi#>l)*5T6$J||f`Pa(>cfYh_pfay;)BILOm^dxm>eM9@s z-*6EAs%WT0nf9#gyKbZF$72&41>}Ih|NbM=mtzwXu`zMq4G`Y&a?`kdDi!WjNu^8k z%!Rnw3%x%=P^FH3H-Lf?DYWqPG__^u8NekXk|XBzZQ&8%5UB9hK^6BdE-8U^ZobIb z(DPTmtA&#Fkt#Wn5JnZZH@C2`s*Va|E&V_uurRw?;_ZYgu36eN!v%3tU7l|bQd1cJ zh665w+H5SQ$q(v2adpY?&-czl6*oO#GALBEJ|ZU`u(agoVB`Jf{Sg%^0jm*%!hFEU z?Ou=ILpCWZ+^mFyLoPJ#6^o?SecMUVdy|@$ps4Sxq{x&fPSX;$puk=#IP^t%B_)Dj zjlvjKc6RkK-1sp2^fcOPd}2Jjq5ZPwU$8K+;eLNTgv#O-PrhYV>vm@~(v z^NkQ?0E|f(M*aE)D>*p8r1#_Nb86q0QLQclf}f`(mxa-3cm-9RhIh53aO4d4Nn0jH zNL6D-i?d%9&_8^24C}D{5T~~h^V5Gzj0Z5bF|>cZi-Ga&v&+OsOlsKhFyAS1{{c(; z;Zg%^D3QKCZbJ_Lfy-_rO~&+vQo|G-RnRf`wI10QJjuJ;`#cqRyR`tUxV<3%>1k6AJzCXCFCsFh<-p^$vA)U~2tUx%^uqRvuyKJfoX}BwqXlG!U79CVs zz*s~KE0Vd97EF*h`6fYK&mtj1y%wy2EG&A!DA9NdguNXY4E z03eIGa;|E-9$b(ooSmg?tW{4;O+)d3JxJHSL+7luI+;C;-~1>N`I%Zex9s;VDhq}A zSVS%>F5vc97A`0mv}?;gnnt~b`|cOrfvEg_9f*i=tfqioHVcXu`25+~+24?m^SzW$`ZKeqiZBB1%Kk0xD1c6up}vgHt6}Q&Y?O)5Vwwp#pAh zqbW>O`nDBi2~Z$80}vV;K$}P@+jh8q=BKx(XQ48jD;kXZTX{~-#=Es3X=x*jy^m<$ z60Z90E?S2rN>HDmi;K%)s}J{J{iA-c9G7_->|_d{{@j{^?)Cv?$|2{A*J1Tl@5>gc z07#J1dn7VU@_`j^jF!v1ZxvVJ7<(Quf>e5X?$`al<^g(@W004kp)C+$qOiEIn zx#8^*0Fwr8E}o6IZv+}pQ{Wzi61g3IcT{n@G|45bT}UhxGw%%m3A1xM3%zB~pq_Le zON_g4jbPHytjv=mSz~w9HKC>{3V^`Zff{GdzbZ?{Q{f6LF^DGt-H(t<&#BR3TCxZI zsjEOf4b2Vc`!bBi@@`TI$H!Lqz{wn&n?WR8$T2Vg`5>s2Ah;z!%Y2I+0}5W~y~p&I z83-O;SRn_%09~LVRtlJb%MlO;a!hY88gV%)WfW_`b|xOnU#-UCU9p74wc~MH29f3! zb$;#=yznxE0fCzb;3WVhZ9&_?c3H>@&t_I8Y<<7$EKAEiCk!Yw z0!5=sz<=IyK^1e@yH5la+$<81kPKQ?z>$;Y1auE0{co=z&GF}tSdM~z;P;XMN{@nb z($iJiKf$4IKZ3!a08DX#{{t9My)bH<68!15TT@9;%zHPyJ3`B^!R)AgHU|d>6CMvx zcn^AMWNWy`t}94LnuQ-~e)gdMH4I{6e+)(>O$51|-pAk9MD&i1T$-JYhsUaUDn;8I zj%#3)&toN~#`akC&o!}shED9=5I1na(?z~m*%9I64}7eI+exgcxf;X6uUQEJ`NWQ) z+JoM4j5~16`DXmxp_n;Z(h~kLzc9@|1_WgR^S$!yDPdY=-sU|KaA=RIzhnHTPowDz z#jepsA~Q-yhv!7u0s`%O8^gmN=SO{b-bbGuR9#cUlbtY#v^`K-RnSg??~S%v|sH+pieEu*TLj#M)X=6dOJP(;9FK{`@tx z8LnM2c&xtB{oyvOu4%mOSC4998d)!HsHpM#0M-`C$>8YPSW|-U&a|O3s-2qNf?tlfpBD0ZZBr%zO zy@z|Yr6nfYOh&q~eN^JTLp)O{c$4YG4^RwS8rrdqBkNxdpqZ88WZ>~=SIHF^rc#nQ zvt3WCR1q|kFo_Zy6EmA4dRL-Ve0+S)=X;yBG`*C7cmJCJ9OZI)R_;WU7_eiE!~!`+ zOaJF3ITzf8^tmDk=KM71bY!Pl(^6Zy9P~?Y&a_ zOO(r;TeBtfG)>xaEMnIGKZ_3F8imoCkA2Q1`oV9!yCX4A;y@^B3#?-nC5YJ_0X^dV zd5BCVLEP^L?_;mo+e^V6fKK^tjA3=@@0vb=tT;6m`hi(tBtd~e#1*Pm_w9m@?L#l~JKR!FBH+fIn1a|9o>fMRyg zm`6}jku`cmo<;!Pn!7Q!fo%xaG8X>D=(_mlNAH-GT#AXdHVYV##I} z#VDNVKy4Et-OvA_?fD<#a0pAlZVqzHgXmG}5US#09%hYmcFv|JHr2^oc@J3avq6YC zltREiPKSyCtK4TWff(F9?no8R?Um6or$$Qe-tNdY3yDOAbz>sQ6l+=mpp%AyErYiI zx80^Do;z>v+BlnHwb386J_#jCnz%JbYpd}Kyt-6nYkQY|{#&8%nIj)fB?@oY(T4}T z<)WRM--F*t)HIgD7~rYN`ML=_A$P0rV>O^*MTQl}=++QM#A^i$9X3G&gOw;Kv_ z1V2an$EzU$zfw^sbN8{P^0U~`Q>as79KlGfPxR;5!&S)lHeVqC(MPh9)U*ZZN!YA2qp;%2=fRN<#(F8Cr>196 z|I1%OkQKL5c62oP9Cz!>?(8aZ>h#UH|K{g4 zU}+BxjW2!~62EI`z)U`zu^mCu1p$bp0r|g3_-%@Fs=e6-i4>MFwaIM4XoH9$FBe zHpltMr68N<`2YPzn(MQr@)Akc4pS#1vYuZdob88y(za>YzOppI^|s_gQ3Pw)J?_r3 z+x;U@c#_J4YOjYr)L+W~@)znh21|<$6K_Y&!YcS1kn3Z=WKLKaemDv*3Xa--B*@r{MpU2gU#Jtmuy5Goe&xOEbm z=sQ03ckaUghd9v%2gMm$d>h>(##Cy8-V!QI5R4h^y0LW>XFEx>F`(Mpp$}#n+deym zmoA+8>G@N!u`N)hvqMyimm*%RgXi_bKTekZ1pXiJg z@TQXPJUr!~Vwn_otNR)n-o0M-7YJC*6Z95y!?T+u&e|24N!sPLCrP_(CuMG%6zO-r zv$g%T+Iz=`@xo9b86vuyX8(8TjSo|^d>Tff5_2t|R@&G$et4U>sNpw6{jjAAtOguM zL%%Do?^|n*%PL}ta-rA5I_A~`l5H^aFMeWPHVF~ncH9d@-vg~rQ5|}m^CEn=; zE!)%WuKRARwqnpuZTNe*HXx>_kYL1sr?rHA3lqx9e60EC(bCX;d#v9mfZ30gO`fB7 z5^pF=Zs)x3bg@^?R*oIzR{3qF@#tlvmzP(2(Ojb$ zYC^SJ5?bv;c@^%XrS|OkYT9y)64a~t*NY=NW;z4lxaU{(xx~>uPd#R~Bzrt$lb`QT z1+k^RuZt4Lzs_Q?v*N?CH^DbWzkTcpQkqQI*sDGw+jQB;@%*;mBa*TZZFGCm>W9)} zf$5RC`^<9R4x@eG3zeJ`2LiTkffojUzWDlaL8AYSC}&We@V>wB=kuShCFLTcReKHk z_hZBFY%bpOX#YSqW?R$X2*DGlCtu?`$9UfD4p}Fjyo59F?dF)oMZCOgl3P!7H&UjSF!Hync z@@u6X>^^*x2WvVYOn<>jm9)-y6 zN&tO{mGbXNf=wU|zi#W-iV{$0tyG+LDP-w!3f-#*fKn9yD*NjErseZ1Uxd88Zlnzx z3I=ff#uqF_xr=NF+rl~Sp?f^;%{c#S=Fl-b7^sdC-R`amDmDM@*jX$rhPxH^aY%Z1 z>dsPm+xTRqK$6?5rUi59e`i=XGJ*Y2QJj&o=*^7ayV$aa;R?AmIlpVw55bHn$qW}3 zqUo&GVm>iZXyW}Pk`WggQFHy+t7l2@evALKA>*lkdi&xooJ!UQbgN3k)LOA;9@mOGQ};q#`92mLCr|f$$m6Fix{ z<;)+KN4>l2gq77$Y%@SXCAc^~yY(KstchQWHd<>eg8vp_mWN6?Q%^wnJDT%r`-Y0%%Ss-%L$2uPub5%!`K3NDyO@`wvqQ_Csga$9&uc`=!lc@6;6Ij7gd3TYR1LiO9#I` zC2oKAz4Bqq+_l?IB2k5NnC#)k$hDYjx&_6(9z%nDGb1@G5ojhH-8u9si$G{oDbC;M zni+1`M_81y4r#q%&*tY?v4`oJOfJn2h%#uXpk&06p;zk!aZ`>)o5zNxFh6v4wM&L? zVl7BE|9i|7=bU*K5#aBTO2mIp+4xk43_O_-)T_Xg8F_Cv$}*OJU7fppA>_G>ZZte# zYdJ;oUqq{+}V(_B)pNq{}&O~!^QLBKc}UoKv^^Bt7v z%Lc!G9U5{WPF!2N0lWnZ8uAp?dUqQSOPYpq_SkW$O>lhdr1{QHl#}dTmjgbpMyibn z`l`=V3zdOSh1S%&<(Ru5ZCZ1^&RQ(fF$h0=tj@yenI)&>nWSZD;hBsm z47u0Kh^oKK>_;&yWPEyw$)f~T!#;SK2odYlD+&j3^)QQk={aEAP~E4in|v8mcM2|C zv%mX^eJ$xiz596V*eJe2*v^l8rrvjFoUsQEJ=G3aQ~s<1m-si(LJ$W5p?} zl#$G5Oo3tL7Z=u~u?z^%eNs^KNk-AEkz!#-Q9?Qe?~{B4UkbYbxscfTIKwURSE7)UcFcqA7ML| z?~^SJ_q6)p%8l|n<=8k%|{rr=Zx6SjW4{}Y)j0Y+gk1GmvKRPw`o0sEG&p$MWoQj0_ zTg}jqg)huz`1{B2;wpdkQx(vPT9a?=E4?!IpSmDvY*}TTv?5kJQV+k4t4|;sHD1*F zy1Lt9mGdU~SHrgdc^?m8Z{IUCDBxXVAEgbKab6Hvac97>w*n-M1K<3j^(?Rfd z2jd=;fuD`JlRcEDFp_O<_G*N9_F`y{RDnZBsZf>iPz8|2D?<>I-P$jG%dbEnV! z%`!7y=~>Wy{-RiGXvODZAGW|<}-LjYF94< zv)?@p|IJ?hfoxK^9jvTZVsrgm>b(5KW)_u;koV^Ln@nQ{($>XQm73K(#&%3;O&`=0 z>=*$tKn!tdg#)^SfPv_1vk-fwXzpRZjK9DvSYo*`e{`_T71?Gdk^KxwN6wcIq;qk6 zc4P0#sE1%y=D*bCASqM4MJO=r$Flw{FUhKK{N`a79r-yPQbaI&29H&|R^N!VlTZu& z(9&P5!X(8AyG1+l9IW+v#K=JH-CM%<2ciezhi$7O5I+uHez?JQ)E!Q%%9LCD)6Fz)>Ean(SUn3aCC$NS99 z>s?x-2-)Zr2U~c+cvVku$3qsj3Q*#h#IKIeCxTS5^osU7qo0Wa0&~oCI=)Az8AXgY zhge?(PB0Ok^34(8%$J_vzU_qCk8I%qU@~j{7HXCZH0C^5E#*`F-=ASwWMQy`AwaG= z{cT7}ZSQfXUTsyb8SWoVP#b70Y>!8P(!Fr5QqafuK8p`KVC?v-5@Bq46}2y3nUBZV zFL7IY(_DXukW@_bH~;x~Z-qcULZ2Q_sU{8OSuiRqFAG9CANT1Rw+Ge%kj-kEpx4%+1 zFbIlvf(J}id~Dg{9Uf&NP<^DOFA#C-5!p-a>NMTH;*hbTfn!*F{t&(PSns+L~9 zlJI&xRm2BFj>NynH$0l77?P{nxc>eHa_B_{#QQ(W$Y|`p6Epg|XYBvb_67*qfB=4I zNaCT)wwf7KacL}cHC5i-knjGSd>ZA+Z-F5f!w;BPnwmz+*iOph-Swop+W%!^IuIWg zd|*zQ$emS({DOPD4KO2Ts3xY&ADxw(YqZmJgXnl>vhrXeMdpB|>ad&}$cIJk42FE9 ze7E)ccUp}w~q2n$O zwrA5)_=ZgRkRJ@Ybs|zX&x zT$BP-V|tpiXsr4T{3Mj$3&eaxCsYON`4F`OgqTOgj^BMaEutZJG{fvz-W%7w*Licr zxUjO)#%+ruJDbh;(NRy<&z0OP;_s}I4&6t`9cbGXzg4rDaLGx(?wu50v)<($YikHd z^kQlG{$D%{w&=RzQ%tcHAH<+m(I(#(17hq)?}W)1lV3 z(2Ckfw2yg%hs##Di~X`GHZ+3NzUs+^w)Xln(p-As5`kAwH7>k^X5S%CZhGtKxj3^Z z7*y%QV$-Yhoi2lL9dX-s!xUT7V5QvpP|Sx#m<)xxNGcTe6hrg8K>BbJBxo?h0zQ~s zhdko+3~7?bq)AHuOmdyQ%uBStDI$hI(C_bw+Vh^k&CNpS&^A{Bl$YIRg5&MaRv z9w{rkT-CfEywb>Jiq(7{M+Rzg39;+7cs)@{|ESN>=*bu-a6?CcYv8{!Oe3MRBC^-%U|Q`io#Bedo$l4JH}HS>?f#ZxH7 zXvaU_rnneCp4? z&%GJ`GqIPYGA-KBU!fVe92(cR`;_<;G2dUM)r+I>miyvRy>p%dJ=}PMBF^m7V==V5 z`=E!x1=dMMhBGL`_DiT2@EL!fsW;+}ja+=2+j%5`^HN?uXaPpuFFUm+j(0I-kxYB@ zyH)8*)?ruLrmGI|^7q9xdZ;pLB8ufw&iD%`I0}9T_%4sq)_zRLr6I4)H3f9z2(Z)ToEg$K8Zz8x~C~D-)R=jT*i* ziwB7Cb`k@wCMMt5QU0*rU1#_Wv8~o+=4H(aC8=7^%NF8w9xrPCgWeRIK3xxclo1)n z;r@*$&Ww*0@(2SadaEx*d|s{6S~M(3{x-S{%sJE4HT2h4?Pu)mWv<% z=+Ta%@f9YVb$}gA(Zm*|xLpGt7mGWE3XF9|!?#2_*q+&`Z;5y;9m?ku9S-t_N|*P? zH>!SaSMRJPvZWk$&$ijS)lL2%`PnvNg#?mu!#NX>nk$<+&lc9f8_KLvB=&(!6X`0EW=;nxa)w@6dOAP0rMoMjB zdUfl~k|r&Z#-_YG>A9tSflZ~i!UpL?A&=ll{+Bf^8P-;dg{hoObqqIT{vL zeshw;??@6i{U@AgWakY$CZPh#B}<5lv89B?<>iOoolkcHNB$@U3I%>dQB*%UVm*J%A? zxlnPSJbLEFolc(6^56!d%E*66{L0jLrvU^?W+LNpz_?zimcD!8!ejQblRzmHiro0? zHh86{hWzC$K*^yQzI_J^d1ioUjE-RoWa1TFPw2yVOM;GO!$J^V`AE#R4E!+x=wYu z$Fsz|QG;d0W8N5$@4xCOpOzti*rPza{3Q|u00;`qSrK59zYCTS;P6%oN2MvnXlRbE zys7ZNWACwJ#%gz&k2g)^8b7S{-8?hCqHDg?=?T&;5nr!*E8-N}ia`h4>g>u_{{JG!o5{)di`(TZhj>NqFJdT<;cgu z=i4ltJLWrwOuVl|;uqu(Dqg=%Bv@XZ?Q)zbtSXgA=|1E0(EtA;4z#T@bCs>1$c}!j zE?ETn4f7S#rM_xN~;nuury4L2#)tkbCYyUxqBq#xK%;x64qj#2bY3{P?LwzsE zcLd6BD&7AuPYxge5DSh&O;rtvqRBt_NhBI+Yu=jVIFvO~A=ztc)W?q>UwmfaVn;s+ zAVYyQ=j={!FeTdPkPj^|CPl1OlE2}OCWEo$jc>6mi-iD-uXTeXgxpNB*4Mu8`$>$ zV5TUZu3)8rIV0loVz=TovkJyn(x3I%BbO%29F-n1$ohe9#fk!_a+CXc(ME8>!l|~3 z=len)KL#w$PU8#QJo~3F;M5>(U%*+Ko24s{wKdnuin|s(9N^6l>R(&m3cZ)Eb$deB zlSF#}LbD<(L-wnT-~~#;S^LERfH^M;Oe-2YoJaqy!c78N0^2?iYk;&g)uF^{K9rSjI-%Bcrrz({0N0r(OgjPIRNGA6ARhR8Aq>ArXW zex*2ERAIYkp%Ek-+qq@_{#lhCy!W3*+A%zBQAr^n>Q=~gM&Bomwj<8?8;*N23x4aU6 zE5EyfGvZ{9(|FNqRS*9`#GLQKEi!>qpmi2N+OrpYi8#>BS3qKtp2%8BQXYj(bacU> zBoILSG~V=FDrrnB7!y#+Cj+<@Cce2RK{?R&Fyl&m@3Yd*aD+QrN=yOjqKcg-{DTyJ z31zUxx8mrG(2s@Rl3@a6HYt!;aA3;T*26+s!!afK1oUL+z(L6M?qQT&MZU~^0vv1z zs{IH5?~U=KQsw}^MbDn5Uif8@9QQWXFVTl*dVuZ?P%rx~`f z3HP?64EDRf|HKDEpn9~2#=jiV8@SNog2iHeA?|{X@h|+AMn&^8SbW64J=Vwcxu*%;yphC?x-%sb!EH&KWTT0)gC4)KqyjwL1k# zeMRou)Agu#2)=#oBn{Ns(_YxS|9JlwN;{edlj$HeG3_V@;O)M+VGqlS4522hx=Mhm z2DMeOjl=(%em9;jmk&|P0|ap@s203BX129ZTOsWo;w}Droi&#DAJ_juWWA0A(N1I5 z=-VLjcmR)Jru`T20Azqak!N8fCmhlx;N_r@_>l}DxF@>&g$5WL2=fI$@Z`4S*&dE) z7EYLwI_@XnGQm@TCD@DT*$uCs9-u`J3N`cXggZ;#Mt$Je4z|WK?$)To)bcImz0Ayd%^u5L_7*omPJ#K3;qLK>^3=QY5fTlB5mEI@NUB|kIkn~{M)JM*W=koKz6 zAVTJfr;Bdnde03uNERf=j{ny=DNb|APUjB#ft$M}ht&x$ilFZ6T{;-eE5(NNeuncD z`$M2`)ywf?jXYGFpJ8?U(-6>t*XL2zqgPh@X?Q-ThJOW;);N)L;+7aHX&XadMR6EE zSR4)_0f-e|_Bw3iGW6BHPV8je2b)-neF`vk<@?4jn=Qn?iu5 zh5z?PpYyMv;?~{ar~WZ&f;E(cf+!-Pb>YmB@8*=^gau6PIf4s)clfxM-u;<{k=f zLfo$pYEy8>c-}}XfunXqoMhazq}ji~xF|55%cb)~P&Xtdt8lsx4Hi+EfcmJY!vpg? z(5PD?3w#aib@zhET@X#0iO9yMjxMWpm6Qmu+10}~dKS9juXdTduvJ zYsN`)g%TCW2wYtL6HAyg#U+Z3(^ab!d+amx&S#GV(t@3Si9dU<4QSr(3qw?n*M8s| zf8S_Ig@G%iIHEl*l?#jY674hMJs~>+iQZRT08J~ZLTwisIP^h_4|@R$9HIDfv%l8Kq#)Bf8og49*fMB11$VbC`ju3Iq+YC@%Utu9eG^84;UWnXg`7?zeeLqq(X|V zA2?=cdwuu?LOUQp!C3xIF;W=C@dId>Rk1#G!tNjIGQ|~!Fs{n2IKG^?0l0A`G+N62 z?#Kp^cx>Nm#6FAgj~_n<>!(KX;dIQ;OC46 zOWf2CJDs9Cf1e1N*7$bZRLN+XLhL9~Drs@mPa<(P{#?DC6yZLJF% z>`A((Fj0c)alI40KdOYz;kp9sN5mRakQ4hyY**sfdRuOZB&@YfaghD77oHRr*dYho z-*x*Y0aS$VBI^dS5MBG|emA~AB^qMnB}mu}LqtYK){jQ7xLS7K zu`Lj~gdvxt95`T;A{-}KVH+oK5#Z4BiEM2CY%mG6l#iV{`Nz3?wzHI@;9w#x znt+h7%-&q(FFl*^L8diXlRGMX}rg_SNxI-B8A#n1V>|!bIDgtfhK_ zMn}Prwd3KcvU#bu0vg}hn&0;9z~74d4F|pQ9X>-IkPtHbF4*^~B1FpHwT;+&?AXpUD$*dp;N zRS8=i9lBcE^I2T+W{_D3IfZ&{r95HA%vP5QoE0>@XGLJHr|id;1v5V?D&*Fqy+aK? z!s1g0?BPd5DTFOv(KJ)c?3L2BHRb|PLAPLNgB{gsy*(_+w&NMS8lQ^BiDPCf6QbiZ zs{!YjDKPYHxavj;Ilo3=I>U1s;!-Dd+k3?+BikfoFxE@~Kl|IQ5(w5+2_~&q>QA@Vo41t&MXCr1O z8?gkoDm>>@+*A+TXyw&>uLbi()Mm)7En*OxM>Tkt+(qEhDkgIlkuq- zT-l^-3c2$3N5nfvvdJg?Fx@|7zB(Z@0-2ybZlIPV3gC!CZbLS|AU&)Q+?=m)-scd0S0hMhk_%@3O3 zF=D1^ZDux5Klk!+2Buhgkk7tFGWhZ)VzJQ|6cfV*9}=E=Pd*yWZ8j^~3I}LWK`ZBF z>%Bt6<{Qp|k1Zx3xOipgTKw0{yoSd8(u)Sb}4IaL` zWpEecIu<9e@)41uBWDG&EohH9xNx7pWuE9({DcQ!8RB|%$V3~LDGje-JPu}MM)n(E z*U?EwofVV*t4i_3i9}CK$ zOL9uDrMUtIEP&rmz_)h5;paeP2Cmyc0L z;~4{DYk)xj;=@INQ7SQa2%cidPm`LIsGB!+8`@%cNV?u|!1lx;fzGJ2eewixs=(`T z=+%k_bhp8;pk9vu?G9lj9jH8h!eq}rndx1R;Q_SZe_5KiX7Jig*kRtEQY44qv z*T$-2s%)@kg|DxF?tD|PJ@Qps-+kbSfyVp$x_n>um{4Qluiupx%-$Y`HuIqvv9YNBic%80nxNvDO5mr7ko`THl|%>WZeu~gv@xr;p)=d(YO-+-)B2DRKS!~CTtzi$ZwCIFfxZ}W);EQWWaigd77oG z^u|q5+HrvpqFU&Xv`@Il>f3VzJjdHXdAh~>e-Nk>LdVwIbZ-5$(>1+KjcC>8vqJA%X`l_4BLhYs zWv_kBuo4})VTErn|BX&|LWBF6!2Yjg>&ZgJd4P#iI87ht3($baNHp^Jyw>AV5BDTV znxM8pA(GelHR)cCpZ!sz_fVp#0+D8UAzTkruyS<9e=O-AK^$T2(dFhFZioY6-|z7Cy@W<^3cpva!j#AnK`yv5L>ZWv>(6+gjN zBlC=pzd^|u4+Z4>a?C9rOPla1Mn@t-S>>I^6{Vg1?UD>gyE4PpBKzXPf7uLrOjiAC zimO+9B+60U6`KvDkX=`uvr;)@;l;0YO7(Oe7+a)2y;Tg(-R6p5HQF2V17}v=#2`p*xRl>jA?&EU&PpV!-lei{?R2YFDFKpJiS}23S z>64%LZ=<^ahv249$p%-p9TW)_-*HXXlD;V#R$X`iygk)Iw{G?$-5vKcDA#sM)b0vf z^5uCF3n0(%;3(&K;Vyc1T%5z}dUg>oe-Cb&6sa~t(Ox`g%J^3v?{VBoKIrlY-QEo2 z4MuRj_Ut{qxRTb=bDSR9=WpXp5);2E#F|elz}K5z3oS9m#NJ!W|ukiYg6T!j4%D&v;mtRGF1a+b3W;`44kOLZ7} z4MMXO6MDoc8KzP>P%88`(C0Whn*}wUyK&(j!*~~W(|ZKrxK5YudClj@#@M6A{)^)Q(OwXY)k#r?pY-Z45E=ON zev6JI0nd~8PYoYTqg{zl|qnE zjx%eA%kKxV9UsYW5*Jte$8?T=wH)uxL>}MNJ9hoaqkVi>Oq3#-drIIn1HbJ>Fi4!l zd?87qm(}n=u0FFgCce=pwxq!nYjP0eL1>tMe+LryfED%yGNE(6&Hpe%!nDa`em1K# z4Uu27{c!Jefzf{xib50eh9kviUXm=5j} z>}#cdyvD3X+xLD*&XypTP_XMtGqE~DNuO*q@kc49kP2Ren0`29ROQ5G>dPm+hgvv_ z)hHVwvzmoe=!Z}9A0TUjYseXrWud?p0`Vy{c0Eogm$Zp$G&a0({NA;SKV$es6(-^9 zvClue#AKNR-%DcUMO0LfK?3sU)-ycS^V#@eIVQ+nwu@kPYlV~zI6c}-hXIkJ)db$e zKTEtY!>&JlgVW3&X!7luo$QzS*6&hOrfVnv`_l>U%#{BEyi5NTq9z65Pl6Gp3cMc2 zS77Eu<5FeD0+CJc?%ZdQ7k0@0wx*&aGtGPE$F<53rlX;UC(NwJZEamBnG_oZPO#bm zayv4pc2Eu>P4U;s6u__l9J>`=kXp=Mv4Ly`9-%o2!TxR;Vfn;sWCvoAs#w}*;PY-T z`#`~Adt)wP!N=KvPtgg39g@7I);0rr+j3rZqLb zud4h7pIMK#?K(pr8GV~x1M^_v3JVLb2h+mr_^UTokUmt2rOp1gQY=_W9iFD2o4m9f zvf}9SIU@*brvFaLPlnh^>_57(qnPG&2J@Lg0YhF~W+iqb!?(x=?9g5UI;jF}P*5Wt z@CaX9^D3+SHm9HU?e)eVY2?zbKwzA2m{F&yEUvS5_RHyAhgcf`#f&4|GjpN$UNJs6 z-|YZyevwS7oESjQdGxHaQcJL{)>k_L$ z%A0RR1^(#cUIT0n*#R0d%0-6AG}sv=b*GVjLoQ@woG_0o^FGYjNB7B{gGhs{9te0} zu3ZdKhuT9CbAbp;sbDAT&Ay8yPahKZ+*Y?9r{z{_v1SF}J_CA`9C{R}G)^$BJyU=J zBz6i&WNCK5?-3x8#X(WJPB`JQv2pLp1(BlCQdW29u~#4{yztKC;qV`bOcP*pQ+N=q zD;K>s!?*g5w~eI@OHc+unC&8T{W?r|0n!u5a9lRP@X|<%K$6e?3lp_)6`z`VGi}Y0 zbs=)u=X49uM=|%>6<}N(YJvGxiFt$>yTY1ksauDXQN5ecvTQ*G_ByxZ1JZ7pF&R*d z4Hxt##ARvx@_1>&r?DZGWCu!3X-V%^ZUWdRnm~-Oe1*uiwcR(G(zUZ|Y%cXOR4)Zq zOtY(N2U4NVn~+?K>TyLin$Fht;6;p`GZsZqKCwUnokdcf5bMBc8EIZjH$^|SPM+p5 z@I`|Jywk)LB%V;XAK1HBi&F3^_X2e%4n4|v&E(v&X}$?KOG3u?Hl2QWQvl@3q@y%* zb)MkUj`@9ZAnB61l6L7tBw>ONtdwq(e2vFI9nB(#rc~FPrq1$`FV*@)tNE4MoaF|H zkf*#C4!U3^>@JHHfJ+t#GfJFh={%oZ(SRgnx=!|$Q-J+E=F#A@Y+FA#d>8b}0`i#Fq(JUkWaxT@bMKzlOhHUKdcyHObOy?0eVz2bm> zWeWE-ey2W)=w01sao}RO2>*cqU(xX&&e>mqa+S}J&Ok2qjzS!)J8W*bpmxv)NIV}wH^&vC)&HH z#0=K}?nRhl>qx@86C$dt-Ea&XNR!%Mnu@`NR_w2vj+0rvrgzsC(a@e$VyIEo##CRO ztrmrYE;~=i=e|#vk zosVI~Cz%U~fV))Ej;!91LO_~N!P&S7WYhH-9&hiBmTFK2(}r zhQ(R5=o9vZPV^HJO6Dmr!=l*Tfbvo`mY&7^=O5k_pFaJ8N=&)N*u%SvCobH`hQK>e zGfs1SEH~S8yDPB;Pr~pa6`{Zk7H(6*f4`9S=76gV+l+-{e$UyXSfaAeRROf99!DI= zBk1GSEX=hk@_k^9&=&DL20$&I${}w2AgO@O4dbeBb`s~90iV8gwOrNiIp#vs@_frv zn8LugZOMUok2-~F-*9i6Aj)+^Ghj$FfUCt801oHS{-9V|v@V8r(zNZq7FtwUi7$Pb zBpF)Yb{VKnmMJy}^7CfQ0c}k67U{InZxC}8l^;e7r^le3d?oD!fl5q7h}Lwm|K`9V>K&sB3oCx;LU5UD)0u>eTfUO@vF`c= zl5>MgL%-Y#&8{-|majjAj1XR4Pb;AuT%fv;OJ zqT$WeQDfPEfKi5yae-7dDLz%gg1YWHcAj1FS^VE3wAQ=XzMg9{ZyQO17>Gs~r(_LX z2ie$KAtbN{i|l@t68#YFY->lel3@GS19B3;_d!$H0aH1x!q^l5e06)u^QddT_20u7 zc>bFzx)T?E@bgaG-okwDXmTlFPutCrpKt{`v7EiCL22jFKV>PI_*Ch|rt!P<4wb4> zbV0&yZv)GjJXlC_$+W`+KAX2{F=~>v0jd#cTQne!U1u)RBmg}H#UV-sjP={9XEm(v zlplT478BmOrq**cJzSX8AH>mnLJ_>wEmwk0uoHktP2P(yY|Ic5nyvPlJWNZJnWxHB=&YmtX-7!8j`ssj#&5~9^i3T9mCz1vzg64L*wG@6i#XqFga+P!;DW4 z@RCkApWTIx+`EnQLgrs*gH%=5!`wj-Y4zJ=jV`O}C z{DmAKC5>$h=F*eLpMry=;BlrnQ_3=sokk#@k6*L*v=Fa`bQBS3zY5e36uPI95D7C~ z_Dx^NQRTVx@4v;-^$GR4=IL8bfYo{Ii%KkDPUrQaa2=~uCxtaw8Q}r5*u9Gv?uiDB zg0wpXQEwL&?m^{L2Vu@N2^eYs{H>JVp#bZ47un42_zyimkzua;O+M2S*4yyTIO%1o2kbFrE7GOymST#sweb+ z(2@r%f}QjSd(#()F%a$3p?*_`k{4)V(rj> zBmEkPTA}*cIn-AyERypKcU-+a$Rm+xFRx~|p+)=zGuIfjktWDf9(L0B{jF_1dX&Fx z0ShnXVCD>gZ0{SB+C5D83I8-@Ko}qt6R$tHa%ylv^&L7S}9rDa6f;X~(a%e_cP(X5KguF2pGGQJxUR6*sjt%0*c= zv7QboV4R&BZjJ4DT_lYep*TvNVWf0XzMQ?3j~k;XsrumHRMg4N(o{hFV?f;oKOu-7 znKokRJTCPUS+x~ILcDcRdP?=W<8ss5C6Li2%;yt3MCx3j13^HQUm_J;#wyge|G-BXuCBf8|Hyo@M7w4>E*#LVYqp$I= z~210p0=mA1%IZMtzCnLvP*h@4&kS5rRe!R=L@<-&eWcU|e?rapLq$hXn`IYJ_C z%BH7TAtg{Qy5S5z>>eoEtI{#Z=KC$s$GmLDRKNLxn@&6f;B_A0)U$%gb&VcsYlDaK zqoW@k>`4V1Jjm(Zy*#-GCw`Bosz!MnOy3qzA`}ls%gq9sM<|WU3tAc^V+=B?CCC zAG`=H)CT5At!muweE2tJVZI-1fj0*tE3Fk=-M}d$E;U$}UNtlrMEz-VebE zgQnpVNEW>1;JlH7KM)l~KE3^bwcz4T=s=F6o$K;7(X@XchU2EGz~M6BtaVBX=4qkvM8l;dvv*kurykT^b7`UOUfx0Xl*{s`*=!epkQD{qJ;`nmX(^7bac5;8Dk z*kQM#q$YPz3G|dm(}8fiTnzMavb6c34vwUK2Awa^NJ_V&K-pw@=Rj6>kaxw8;fu$V&P&HTQ zQj7RwP?swx({jx@puxNC_b9I?FQ3B#?yCglcRDZLO&vj^JnBiX@9y#f6wccEBk~M zdrb8)@gVucZ7Q4Ld-h=0WFXV=n6K~kfb8SwZZLG|Iv5&!-rgTvx$1wLkMC9q)%v7; z_{U{IoG8`$z>w`-@G}Vz`q+l`Xa=5OwD!Ck1tB-s?ZHs4$AzO_Q!c5LRd+nii% zf9AAqB{pF5Nam_vs_*d+;vZWA-7f(lETVZf3dV%p255fZzzUjuUw>_>reEti#F+^7 z>CB!lH2V(H-e=Y2r%SZ5Nh2`jNTqDBMWJ-nFW; zgjNz-L4MK-JG#aAQ!ZtM0+X3bdIZKCn}Y$Tg(hW0u{EG)${1(X1P39S;ZHY^e}F*B z%TedzF>lEzL&cRiQ)~lRm)g;=sJdwS1AtZ=0CdjfIJ0W-$~Cm2CUe97>B&7{v)A+J zjnp#OT+O#429{2tED~CRK^^bo@a}DGd=Muaq7=z*ihX$sG^6RpqeT4_Z{wy7xk^jI zD<1x}0Cay=pRaOoe;<2W!v?%qv&EkAIl?H>%Aq#(@IZECRLw;@d*FSAnw<|j|BOOJ zXwHC5JHFig2)hxl`tb{Fh62NjV|=(^>Y7uxOAMW@ySSjB1#Vo_X}RXUREi!?rsL!w z$AVOqjsP$>B6y3oNk!$9{}J)s%8*3JkpHvgv6Q2-1H5Ozs8Nj^oF z35Y(D7u+*Gga4bhsVa;XSY#px$e#qFRq=Cn(}CN-D^CmafK-eOM$X3#y|^YBoB1GD zrwx9Jd95l^k)g&-4YXP_$8#BO(Uw4WmdR-w6b^;6HD4VRPJf|zA$1PiUIzDkgF~P% z!KY#9I`jXhKVUc{t|;|s_#I~0>L z(Dzf{#nRr%GPkf=;y7nMd2oMeeV@?RpJ1$?FFBM^o7*tBPX679xW{-uG!Jhta)f+hu-Hu=9B^)~K$9(h?wI$~z z>XLo+O*$QnQ^u<$ioNVhmE7Dux>;h1ZxnlZ?LRR}m^gH7qKdon!Q%2NwJX)Ky z{k5?_XtkBw{&kQoc_2f>_Fyu3fal>To4SLqRK-?(Q*pwWm%sDEPUKBS?$U1g?43+* z4}Zx*Ds&Wdt@vv2$QQUM<+T$AT)?qE!P%7TH|m;Pf5h08(sIGQ;twa_3VrbK3pXww zU6Ne~?SHX_@Qb>@EYDhN(A?*>WB}KUtAm#x9=W|g9`EhY7@AbHp&t~4D@?#GUVUcc zIJ#8$ob{ex*j{13<7?b4?zV#i=ZG)QQ!4L2e7$hpNEsp$FlGRw0<2JL)8Dl7I5F;=us~6oKhe>$<2s$2>*gmiCvR1X45KTap$fMtiIpC zbrmIVaD)xt_L!Js`VJGa%WBK6)h8}y?&o~lEH`~aD9L-Bo0X435OWv2IC+bgM@0Rq zd3}62gd3(ei+LTW8A!h0ky8G6LN=Wt2MY`m3z7(m{^mGBv>*~_OJ4ae%j}!}dVLHe z>LkqCScaspzhxY|J2W1#_Ybb+4pC4{ukrFcM(^EIK}rpD;i`L)+MpinJ>QvWhKPhs z)v==`2*572Kv;^9t8ToQT^*#y_REXu1|!o$egoNSMOdBEB=MUo3%5FcDNjnUmw2b$ zKwr04Qo}ifeiECQHdm_IC!FZB2b0e(6IWPrF#3d0W8>Y#E{;yDc*)EVeAe#j(mZ`6 ztzz5h&^yKz_c))gKgCPEs!e_pI0R}_HJk)edF8;qU#n$Xc^T7X=bG5GYeoS3TgI5P zA|~hsYi@3Cc_x>AQMt8^4dbsdlpP@KMOxk&Y80s%c+D~i5pZ1dne-%HL4BJGRltbm zD!yOj^0O@OrTDrY+*WV@jT;A<Ey zSlB(bZS8jSOaD@vh0^OY&ud0B#udOh>Hm;v=gUL~I?n|3JXUi`hu3lVZfpu&Qonl- zVWJ=qsISg)YsQ{fGr)}bxlA{|d0`U76#s9qK z_(W|h9+Qgtdd&8{;64WZZNATRfU|cocvgYc9z`KY2@{g_w&Wl~v zEcwr`-~#43A?8We^4`|T4gOt33)3i^i2YZLI}ZJv@Be*Nl=X9uLdTPS z&{J8608R6Dv?lCkT^OxhYxt=k)hDkZavsJ2HT~_yRl0Hq*e?Zttt&Xc>$7}9zQwS- zcv~~>Vuq#P{idzAr1yxFJTkde91#A%equ4hx!R4WW_O2(*;T@{32QZn*`QI-;n-UAB9yXu;dSxEXFojLb zU@ADU1=QzPY!{n8XrMJo{j)2a6rIA3ChX|dKRcev#Kux>70e96_F? z*9|emT*y@J4LVOF&qX?HM1Va?TAD%Flfqv>f6AL0wlHwZs|6J?4cyFqP4KdKBT`(c;4xnWSehPpEyTVEP5&Vyi%F4IZPs_J@+N4jrH9+>9V@ zadx2`fx_la^*xJ+`NG+HXr@_N-Mt>1q<$Rx#?3$6CP8IHHC-}`7_(4VHir3VTj-w{ zHQ2?E`t6tt(B*qmm$-l@MUZ=LHu`|w(H3?c zc1HDkVCj3|-;n_2lN8P=hZwKoQf@2u4FCTZaQr(!%Rkz<&lpiLciw&t*Qhf*GCH|U zZ*mGSIJzBQY3d@m6B9EucQ&|woqvJcs$#oc@8}XoBoIg_xey1q!i=P(q?i&4Ir99& zsVSD~80Gx8k&&@6j_u;giu!rYnDTT$9io++k)EE8JCY^u@+4kuSDR@RKJ}Kdy|4XJ zv}fx1GRWij=kTE4d>7l5yQa3bj8Xd@Q~!B`ghbfL;+bd(IohfAOnr=d(O_y)U9W=T z?(PoFRgq3(Y3aJUh2=;2@Pl|WaR z!AgUh^^YH624reLrfft41r~uAT31GgLm<-Uu0ZlhmekzCBe$ZL5kJM$p3PTi=Y(Kn zaLF^p!P;4=)#1kcxTD^E?`Ur7hIp)fg4R`(P~em`^!E?{i_Shwh(NfOLjxY-%h6jc zbGt!xb z&(Z3N`DWWA_V>NrIUv|RBy~6{0`a}D2%t#fJA?8~U7LUXZfR+$ya@bbR>07mK zOx%#9EUd1!vi!gpW*iM`2hQz{We5a)fj#aS^&PFO%G))f7@zzW&GqbzgwI!A4*J8v_V8QnB=an3YXGFxhL+%Czpar2c+I#weVL z^{%b{!1B>Z?44pwW6T{6WQYN}cjg)Zku=d#sG_3zMm`e@D8_(B;{OUB6i8WY?uqGG zk$+-w51(U~y-O^-u>_glB|IBmuYSC`%}|)^K(A4|;L25heq>(z_JJiXdBeeJ;IBP9 z_1}eGn@<9tFe?=LJa5Dw%DYd1o0XH2X&raIiR|xp#;|GLlyosfT*kZ;7k-0bBpgG!51s zHVN3qn?6l^x0YP@uYv#|epqsc0YhyUPkft)y~sGWnzEoDC}IBBW^I9WduJQ1%y1`Ee|Myo%A)|xYy!fjYy5% z4tw(Q4CmSgE(64j%anir`AZ)#cJTl?D&VkfbpsGs3YctG{jW4zZUGf;{ClgwsOtMW zxF|8$ypMDUFIlVYuM&%Z@VJGMv&Wy2_XQG+T^L4w@9P$_n?02=wac{HK#UAjr}hR0 z-xp#rcEK8fz2_coEocLQvjWEZ-_TP(C2rq)Zr-yb!#NhB0Qnk4}W0u_$C$i>1U0di~)-cC?=JMXJHUW7{jGefmDOIHSyHm@VCY1rIY8q*W) z0LX3Hm_j-pT0YQJY#w}XXcD=)fyw}nOS^28=Sqm z@1j_NSIl)P_`9E)ytHEio}l*_OocJrrpP2FfJlupMUA@Sqp(m=zH+)FYwy>bUk}rc zz&e?1;5RPCV{urov~n<09}aXIc(?|bSz4x>@znn0eQ_}~^jA4wSZDy4IO`Ss&4G9C zI&XpgPlpNT*85FU(}E}NVo;g^#|wP`Sry-i*ZtYq(sJZ}42w!xy|h$JU(flPp9T***IuOslJ_0i80( z52qwhz@v)oT!jKTFcjF0zOjjc46tqb7(lRXx#w0kH61;w{`5-&{5#Og6=sruWNuza zQlQq~XWY6SZ*e2Z-NZX7F!_kvB9L%PJx0ya+uIk6uA!k(<^?JdT-Dkd9VezY3}z=DpBq2)DoX!_U9#`$6Gu!>=`>@yrqu_UP2D?+w>Ks^r%(MD99ux5Kq z1gI7~M64omu|9;EEm>B`Vk_2;C20aq#I#Nb%-4|f7#u42G z&tE6(V+=SMWTvgv{(@Z|5-7z>R%}>+%;)R-u`Nc}`0S!tO_-q+~q zinR|@Rx??xHipXBN?N}L5n~YCSPXM|}8K!*C*YrN3{qz9$Gd{L%t}VF`*?G`IQw}yFHVv<0$)eU0yWF_IkCox(ll>^(rVl#@H#q446Mc-_A z2d%bks~b> z%ijK~tj+Ak1UnbgNVC<>(#~$MAN&20V z2|2#7T5$%qcfEyQpESRcX!;xRbPE?O3Pod$M37L9qi&x*hiy2BN&TF^{eN;(9RTRr-H z7MeDRz2wA>>?#Hm2`gMd+pu~m(udcFws0BMyQ#IU8$_y+$ z%q_*6q9X<%8zPJ35;$tFyl!uLb`$=I*t`PvEA!UIR^QMN%~P7^Yw7Il;GtP=<>zCn z0Ib%w=RQF=FlYum06J`chv=$iAdQU+&>6;T5ZFC0@OMW*K&Y*)O-M*6l#>8WNZK$( z5i8_fnn5xCPAH+9je)=z!2H*iF1P;f64=wA6Ezgtj9UZUq=Dv+(^Y``u4nCt%A~J|iB&nA+$EIBWRLU||?l*VWZkHF7JLC(dGGwAF7v zN&}3zipecL7M?Ft<18>?8>#@^SX|`30fjUgH-A=Kafz*{tZn7z;m~2%U&dwU=`2ka= zH{CV2);(=Z*&jLr6PbZ5`h7H&g&z|MyOWb$;*qer5L9*ie6qE+FL*2=?P7Cv3cxw6 zwju}(`v(UPhfCIav)r#nIDkjcY;sfahT0>BZj1qkDGBhC(({=3Eds#%qub$yuIkGz z7fvfq?sk}X)TJM~$()W)G7=&bv`eHZ5?z4!>6WsxbEMh%_}e5Vz`$)i z9{nv3*nReY(9Pce`4!UEr79dojrO>b{B$iiY;q4tDy6_CQHqF>9;$%DiGsgzpC`GKet~a{S{93nAD0 z7odRe|3(dU_P-|)x3hMz0Z#w7qksQk_{yMUr)Onv^WI^77Y5<4|54l$=!%lwcY9!$ zuRrX7w0!@#D0@OCc1C{wzvlRR;Vi80jsMFA|3j@yHpc&2qgP$S`luJ#lVkm@U7WdK zZkZ-g7HNGu?T=}6Rzv;1Z#txUj%5yOUQi6)+tcXqsnjovv%$!oj%X@7E*73dYx?3L zxl~K1IojFZ50!BcUBjTcS%@+w7~~Q4h6@ zE~=U~(TL7(_^n1;L-lke8u#C9QjJ45J9mpgUX@O7u`$AW&K70_#>QG971B62C2%{W zRg}NlmR+Sj*WDK4)gr1j9oqq&K54mYGYT%1|TwHc&0==6Rc0 zUe)mwTk{!ldDzUz@^`&q&S3kr5BjQygXitggWBzjTxRw0rSbJaCjZ-(w2JoYJlvhy zDgwsaYiZI$<#7G?JlCnjc*B}=MX!&Ec)fc*z68FAG#PIWRgtQ=4{o@ih-IW(j-w=@ z3aC^ef@a#4gD4i?#E>0t#)Y0Y*v8Jj%NQtHsIhPvSACpp${FR5Ac*rTLB}jQfl?*m zR9emIEqVr5k+_4?!A`(yuI(vbDH_kxcGmXwetm!-`e|T=dtfNtogk6d$ANzS)>gmF zu!LH%z}_^##amBxZUz1MBdp`ksj}b`oxIyf1j` z0Tm**eP-;$DzHMRBSX|E3Z=Y}JsOjqwRji4DusZ=$x`wAa;G8~ka0X?^KsFTLY7MB zJDkfmGL^tYe1>EH#uI2ogw!88x8ZOGQX%2}F#(lQ1U85g4$)e~E(M_gGbCOMktk=2 zvnzHS?HQF+rx2G=ynt`hTb};4mM5y^CloU7CoZs~%=?SM!VMQVqW#Ld;b=@Y zlIUMEFR*<1N9b&jI{vw{-XR~dL?m4aGlR`Hl)0p4M5wN{~QXF z)I28jwv{Nhqpua8v(5tG14=*{QGgX)vv-Bu@pZQq|RCSV!7}$C9h*cSd>RH}f5i_1#%<{e%sE+KY8Y8g@QRMmjlYUgDM@bu`kIk~4 zpEpT4p{SBJSVE5QAL$Tb6gCGa(SLuhef6#fzQlKvpM8!_fhvQGm-W_WIy~3}kKq91 zmx=ne*;8aPBat&m6>MT0SYa_kh%UY|y-C|Ql^hnDo_u02n5hQq1B1FKPL1mW?XfD1 zWyYw`uZ{dg`pIFO;D!Qk-mpUk-Bd(sYIrWbjuLot=FF>c=rZozL@^h?{}`sVO%|7% z>HmuD_~T6p#6P179&4tLuXxOt+7 zkU0G52g@S{O$Xmd0xcAf_z4s?1!=iA@-xf|6RfI8YrbH}4(+OLvq_3!4mbAx3~7$2 zs9sslW^&Y$NhPd8>a$vXrK}$xF%p>NDY z?;s=T5i@aiJB=ZB{G@w%2UE+fm>ZmE3A&^KR$A{i9s2kktJSey71t;E(L{3`{_ZL9 z^fsc!_9L6s7l_DSJhM$li?{h2lQ=rQ-~%$~VL^u8?-{PS{fWwwuPt`)9PV|b6PM6U zmWO{$Wc}kR?gZtY!ykUemhmt6|?(Jj_2rwspCD8 zutF-425&2Su9jcs=|}8_ru4l-wYN;%qqY6zUjAY_E$5e>BX<=zLr38;2>w|`_O-&G zKWI7JuMCk(K3CW3UVp^xl0VAmXpG6xV1McrN5R9+9;O$io|U>Lh|=p6Ze94*MPq*>FOMy&8|u&tgXK=M6cu5ufuG&giPv$@pu z!=&kQ40+aMy8AEG^yioJu@W6uM}63OBVtIK1jTM*GC%@FKcmp25_tF$KUh{M+9D;;D5P-$`T^vdCKvKnK z!sl*WTWgI+BBYQ$S;6-(t{b`X>xwrHALc&0ddz`Az>eZ(a%Y&R=Hc~^wGnt@(g~Bg z_0uJxlMd{Xb4-|c#|1W4OK|<0f{_wu>8-$flWGKJ88n%toHp8JpB9&``6w&cEO3AP zUC<&)@&H|V`fo1S1AvmHGhx`w>o)w3<8I?nl^eXA(L1TVs;B7r3MLl{mI|c)bG~sr zj0q0Uq9kIUSyx>0p#4b*S${3UPjn=zCtsFO-TLwZr;+EVpDO3V=<~V6<>axCT5Z>` z`CSqP5$v9IWsD^VzS~MBDPPBk{ECvHmoyzgXQh}L4+6wsRPZLkBWy<`?Vt5yjsnZX zXTl41Z@P%082hERaG<4T`0(8MA-buG8Ap3`UQeKEAuyFOU|HoeVQ%gn>~mTA2u7B9jb>AjHEthXOa;385n3m#NtGbG z{>Kg~=X|0S>G~uq6oL31x2@Z%v<>aV>$pqZg6eU%`^J~b12B#JBPRPpDu%ilkjdYW z+V@>?6X_omOWFQTta`HTp;uzbJV2ER@;5mNn=0q4aWw|5UDw>ePBy!;wEaSC zWdbh>(=p~Cz9xL_?^ZuVGx{lthRD6usfS40MdV#%?0{8kRdLHst@rp%Jnq~57-{$M zw+4{~K1X5uez$(Sel!?&M{mP%xY#7&KQfF5KNEgNh>hk274s+8$1x2jaY>p@cu@Tw zWDJ){Zs4v#+z`^M+;W!9K`7qpXAsVD5Q)!lok=<1I=grLV#$;>#CpDWe`i%5^oBSR zoxlIzAmevj`!7`Z??A>3VyuKrOz$}Me+eUVzPHWr@50DT{~05{H~uec{0|tJm6_%J zIQt)VhI*FpFwSW+>eAL*oS#}D`@O~$ut~%2KNSk*{><>Cb*%JFUm5t0xMClrPc~ai z;zrx##@psu91=&%1zm`X;p<4sAEMk-RL-wxe`Qr?eOlb)ak)D(()7~Ws4+9NeKm4o z^t3c`Vym(CtjNb|S!2z9vQ#%9N3cpEu%fNK?7k_Pyu7NTeNGzqwoYfb<>;viLT*gX{SeAzNe66bQ+o0xHoL(A+JtGh(Y|S6F7&`!sJR2zusK z-+aHfBYJtUcPD9g1>1W)cJ}s2G+_OzU4tm8UN`sl%9h$)DO3Nw!IeEB-Z1Z6z0Ehu zvgr&fkTU>Nh4;nQpf48fL7L{)+=dLRZi+1?N(4E+0Q~EB>I-3b7gf4y^W-v)v#d5ZdEU&D{n$gL`7Cas#jw%i9gj3^8aif- z-rnBf&Bj?E(Uyzy8Ge83gWv~cOX(-NDS}ESIWF!i>Dfr{g}@gg7Sozu^5j`B-LNR5 zBVkB|sjRJ~tP``}C;oIvx3yEVwqHNye3yCkH2Lgs*DT^zITvxfMAY8M9_E8~OwVo& zV%w3mi_l2L+*m9#`I+P^)BIp~QX@iBo$hTBQJaIwwxfR;^^{doRtG6&+pm#)uKC&v z;$xG{kE;{*EudNCEl!k_72WqW2BW42M2;Kj%Z#@mjp6L4#aCzuX6ml}1A59$yf?Z9 z-Zw-ep-H=nP`x`MSKM3AziiKJ6ZyLul|jqaoE@m*8IP_ zr$sYx-KZa25BB^kwc2BrJ%}igR+nfeLqPp!IdYzSd@F|QeAQ<<$a?;MSoJzQM?CoscWQ1TcNIb}q# zRE#=Un|SMk3pqn$3r2ssVk(%*_p*Ex+66R0QII9^>W@h5|C4)RBfa>^ZCeQ5iq@KeqX!bn!*B(VUS}%NQ_*AJZg2+FYbUP{#{UXoNt}zQnOcKN5AO^mSn$ z+0M-V5imeKue9>d4*n?;T5lkRg|i&x_KnuU_D}aMI!3~dkxD$bE#b7L3@r)z=WLNB zl{mSUJtP?-Z@mF*TVim{>7AVvO_;ROu3u{DC7zB9B~yso?F^I_#Qez`_3^Yx={qPw zaO)`}FXx;Up}8ar75=&~u05y+Kfl+gua}jzumwaSuMxiZL5K3yHxefp-cP%KbsgNq z=#6TH z5n>Gy=^CdX)e~9qmhJBd z)z@3e!WN+KdN)(?D-0u{Pl7#ynIctEtR~>R;-C^|_QR*iYT0(MKGYSn{9L%!*t1}p z&*R-yCiGKLnibE%G(n$aBBo=XCv-`LNQ=LgJN(nx}fvpRA z93Gu#nubx2lH<1(lZE{mWip!G;IM@7D&0Jfa0#xuZ%`FFre30vCryE`2Rc90tQk*} zySbyLS}1tccr=l@r~CfYy{;O2%yWFRs|eCyDdj-o(&&S0Rq+1vLgvRa&Cj_og#A-j zve{ImYZ?2;lpzv&{bA^*VYPJUq`aa}cB9Lk9X~(7+02804P5J;5aAT5NSY$4Q+mp3 zY|CWOZOd5@H7Fo$C9n|vwk!7~&c*br8db)l{$%9Ra;0rsg5K4&0=}iv6e9{6^0lGh zRxmw>klZEMlxKpf`G$pl;~FLU^n2Y>?4yLYkF*GrxO#`d19oX{63N9^sLYV#avyV2 zg*g)h&1X(6q%gDl6|An(br`?@uyDWM^StG33(z;3e3P5c4!H^Z&bMl zACYvm(`AB&Hzcr#Ia5h*h1fCo%l@QS0RfzKL@8l^Uv@ehhcrl zi>j0rX@w1OM~<@MFEr+NmV$QSn0b(;zkjOBX44ia*b^v{%1d^S*U7;oMO*nUE8_V} z4pJ4`in{{l7iwB0;twSJ7Y9o1v9wk<=DTE~Ya%oH9%?e0^|A9dJl#)trz)qc%7>S{ zpFL1(6>`Y% z&iubYwC@D%zcAdt9np$9{}BKBL+^(nz|V?*W#S|RK7aA(S1xu!;PbzRd)XNOah`t@ zH_ZH>aPQy7|7ElP0r#@8{XOpg#=R@{OYEP#?!w+6d1KOHdMO0Bu|_zpmXAgp%I5CL z5hV#sLZe+0sFdSkuDraOV55l9VCA12V}qeSu79tbe>s74cW7B*%PbDWbGzC-bOq{l z;2(iMIXl#?K6^UcRTY+dmL|w*oTNDLWLDP+tX9-HC1R-7#l#<c{u1npmywykF}Mg5t-)+41RFBF33FUtbRQcLm9gEBE2ezU{A< zt*?r8P2wsH*vs4b*Fj(2YS2TLa?91IMG@NaUcF2w$BuAVXYDlbt!+fsyN%TbMA=_t zpcQO9HbH4f>v}G@0~@@&18j(XRaroUD;}mTOxlsC>7io8SRM_9BCb`=nyV;$;FE9Z zyv)%RL)YAai%_5F9$VAWem=VNX?fZSs4Uh|81rg)cn~KqdsV2BPMAJ9UOSr#wlXJ& z$JkYVVFzbGvpspN8*075F?CS&RT0QlQ7Kr9G{0Z?{fV{3clM4p-IOQ>GR<4qQk^`@ z`F^qFYw90XL2?~MvJTQJgC!-#6Hn4iYe!AkP8Mo zaz!N=bFCka3u&5)d7@2VfFRAe7}}^_GK=UfDhH)ms5tNvsJq8DwJON9y)=e)jH9&`)>mXy4bM;1tG|Yz4JG^ zX5hR@($BrkHv~{yP!lp&2;vb13!h%sMx`yVe;w`;kvV)z577N7Q(t2*9zWZdr`BCW z#7(kkh6(Cu8uFt#tk!<(PVXf|RDk+19`HyQ(ws7hG`cIr(A>@`CLpyg11?oQP;Z!g*%G7tOI4sdsvJi z{FuCsamo`xUN0%Dg41AmHYf{*MB}pJG!LOW@R`GwI$-p$v(E=C2PZQIj5;0(qttTA zavn}~!}uEvaTQn^+$^{QdB+!s(r56$Bebt4u$gB3fHU#oBPlIkeP0A*Bo9c|V&j^y+)<(pB?{c)UP-ugUs7By314}rrihCYSSzWF z>tSI^BQk~^1692c3cl?lq~CPTVRkZJi~?0vIfOiEvC4ONx^btX2@Bm;e7!_4$;cUK zj&SaLx`e!%QykSDG4O)v-7_OM%|Yrlya%SfMwZe&(hOgwTaE7Kv+5EX(-uECwm(So zDSkLaf7$Ro&7zaIN@2)7vp!HGP0MhxtA?<2fk(3J= z8HOk!Its*SU>W!`jgY7@`=SkBln)S4Ku-C5<~E=_vQkLq6==WPxG%%lx=rrE&E~UY zB%)Y#iDTS+dYLfokUiDE+ldMc2o~oHps*VJG=Dh$H0DHv)JvLq8NiCpDY!xME*Mz%x)a*oOxO^At?8)^mg~z#(DLx zMg5X*Q$i!79#50gjFvU$2!yDw;(9HK1}Z?PYjkeXan|>#v|T|_s0J zB43-LO(MIphT%83E@R=03SOSb?S`L4qaz?WIyB|m+q!Uq@yeL&5@&Cbppb@_xfP-y z2ybYKrCT6W5<+qMrzHFu;uDg>#C9?-4gZv0(u>FMwrGz3_goyBZ8eS_1 zOXMBZpPgY8BZvc7$-1*pI`z1EqdSd)P*GxLFygSk3srw;E?%6*Xf2pDte(rm21CGu zjL7L8w(FF*$K7mKFly!{exOoglPkOgCs>qQ$ZS4XI~!10q$mNK(OVG+W+>19A{KRp zQ|;Ff1Ghu-NV?vfQI1K*1e0RXB=>oOya+9A&#nc0CJ+J-ADvYgH!WOFQLjZWhLmY< z?D5kX#LBJkS*_aIzSgb$LGgldH}kPhDdQ87UAxGX!xOfVqW~CeoUObf8HeMbUHvW= zI2i-DGE@nLo4#F5_!?ozLS2(l0U=`;frs*B_LrLWV5EZG0X`=e@clLEL~iiXOvKBD z9G@JM+o8S@{*kVDLljY3tq);`R9kv#X*kQ2pG$^c8-8`BX=tfbwUfY+q9OItU}ygp z!wf+ARzeARci<8f%V|N?Rg+=&$~)d6Y&52G^of5XoTyvSMFtSoAJCv{a}i0*cEVXX&2e4%4Ll?MZ0VwjoWHeAKcx zSOcGV>-(`9e)+H(R*BtzB!pp`H29{Q6s1W(Pu71kz5=>B#Vo~sXA@_ZHUc+?=J3WX za=H>>hunw-(tYj#UExc?9g0n9Z4&UqtfHsMp!_-c=$2sdLI|w0D{s!T>E`a6#|{f^ zoPEb6B;hM9B!}R-7}~k;Hi)`rHpBR7k!2v4jIg8|j?703)VH`vXIt(KvgQ`b*K9Ol zZ*HOojy9$u`Wc;ZgF4gbiJJURSb2s~pVQH37bzvo`Yi|%l5CLt$Zd3(G~kAK!rS*h$n^COIQS0W660DWXIod`KJ$bGzT6^Z zhB+(+8LWXvclX*$J5};-aM1ePgUd)N4Eb%>W1IS|!477cDeKc5Lh%GNGJxOF&h!)p zy{0ah?snCVlaGd@OOLQP-$Oa5=Dp_BWt%My&h1!uCBFKfb}f3MKd`yQb$vt+Gdmu; zcj6*asiyp)j{suCt2eX4Gx1%&oy>9khc8Vp%aV*eT|P|&ta5Lo2FQVw{>i!lQDEVu zJ2CE~d1!+B#ocQvb-xxW72U5(G1-PEF`QS(%|Ap8|L?$??Va`g7l{0~1MmL@xdq!l zgdhJdD)}!ZY-nZh*90to8~>Lz{(DHx#L3S7U#Mg?4eKR#R8PbxKyG0gWP0%%R{qES zqQia#P=HkraReV#I@o_P#+b_T>da}B$W+5h=)klcslAr+@?5j+Dq5{|5phJT0ee0a zV@S12zHHW^ho8Q@X=QEbs86fqS$>tnl8aUA>5}YeW5oQn>t?6tvQ!tmskNyYiT0;} zvy?M2+t4|W@!Zl-sNc#~pIjmaL9UKdWyGN~QLaZbqXJg3Nzhb5FouvAUBp@!$q~U5 z(dbFHCGBNU>82>Y1D(5}qjMn2ct#HM$K6yvPWt z&-=B*n2N(%)wgg_zonLs?8IKN)YelNp^^NET~j zg^oFc3kPD_0^W@${&Y@v;1nc4xm!5(Kr+%0S~a)DXD~H+FyCcx1o!8G=1=+T!3|1; zv$L1-HE0DEJAc9HFpR>mRghn`>!eRg_`My0i#^sT##T|2*`fY_s49Fh5QF+|UI{^j z!a^Z}tXf(+Eh@Jx!-LsMn4aA*nFqm(#ccE4ZW*I}#P$AQ&Fiz{DL>;%j~6e*-CsHi zk0Yi+;3bVXWKC<<2mL94G@~(elvm9qR{SNus8R9TqIi{CLP`mjwW%xFYSJq5HXGME zIKmZmath3nVv2wEj*@H}>VzVmbOGxNN#FS&4^Z2hp4rYAy`uTc{k z1h?7)-5Uh^P}D^ZEpUk4ah^K7AEU422njk&H7&!6^i>nLf^OZ_;~rM>)Cg!VZY7sl zOC41jM>m(T;pkyeU3Xx%acS(%aL_?74NJOMaWn>u4h}n)cq+AVPdW%ynFH}r$+4xv zpk$KO(aC&OB<8uIc8NmYj-VdFw%SV?X*3+NUnqFOovNkkZ()$oIjd`Ee^232gliUO z<6HCiIh`M`56Y5I{y}^3xh!DBDQ>J9_alZlR1(6^l%vLmbVa=j5{afZ9x~;HjPet8 z`pQPS>qx7GDj^^S2EzWbeeAu9%hrMj!&|~DLMV@z052^{k}3;trqB&nza8yKsz2lx zz_CA7^@8df?>$RIlVRyURQCuynae6K#8P!2O6>29wYar#d(T>_NWQn=jMyos7iKAU zx3YSRF11g?%$#F|~pLfLfDEj3iFD2T08 zFLM!c5m6Dkac}9d{=-Ae$ibrlxS@rM_;}S%K1P>x2oA?7y%jz}fMuZ` zTNIrMTo*9v^rZdl9&oe^828`xiMH%3x82LFkl&HTN>`L3;ge3Ku0V1`g2gKoYQf4A zs@(~eJ*rNi=UX|K_)MbGmhO~(M|x`#k5dhSs|x;znleWF?CL=NW`p3225LjFpaHX8 zYQ!;))XE8iwhvC3YfP5Sz0E@S1XdUoJ0iIgV*I_te7?n=2>*+qrt5dF(9?J+QERiq zlU_Aw{A{p1r}7H8X7l#eOY~}!tq1bq`ru9Za?(#wq#v2|+vo}hYC&_hNTA0l(manQ z1}^JD$T>Hqs&NN0DG9h-EG|!xY$)$e&-2?)_VIT#He!t_v%wP&zBzweYnZ3M^4r_} zvrOgZ&zY8}(r3`axVRNet90G^d`RW;3f9Cxt69rm#<(ZBxcBAD{VO^4Eb5A;|DKOU zj5JZ1Yn{8BQb(Y`@vnxK&xbzwtCBgF4y#0S-^DWVqys;Lgz$rM8PO{rH0_D>; z?R?qhk>&DW8tg870z%FP3U_j8bPhSEV;}_Vc5iHoiY2F2l7%2x*I>;)6?mYEj@DqP z*}AbheXhY=0UhUyh@NnBeGD0yMasO)H;9d}!&&7|E>nTYUZ4_W+&E^J*j0rm5?{fJJ2pUZr(A6*?|CP7JV zp^&Eezx+_cO_i9H)J*W-bzxFrv3Stbbi7N5P`1&r$fzG5SY}hUchp0vK@4mf(%}5a z)ynie^Hyqzt=-z%!pA~bw)3~5d{@tWK!wI`)mNg94ef2MG;9-9>%*CPM@WO7w`2}- z!EaQLs+|%ySsd4zW*U?kopTRwF|Ek24EjNb$NvpTVfieP5HybO^&3|8%oAp1l zeeaF`e?t>yW=@X(0!`Fcthefsy#Q$PGjcLpubUy576J13L_3tGala-p2Qk%6-hvC6 zK4D5?wdEN|6dF=%S}u8}Um0Y1q0fms`n=0Az1r%Q{;1rPG=I0Y)|&d;@nV{8A%Cf9 zWXQVC$(mv1gyBSA;Lq1KEYJI&FZUaKPZy_;hqnwqT#j{(t)EJKyv~&_q|9d5>kOTa zj*3J0Hv4qS%lXmXoT(fp;yJ3E>8xcY5{i-r8~2BBh(bY@2sWa_t+$la1#GlnG%7@0 z^g~BJ=!9E)c7W(V;50og-OR{kg{X?-0|=!jbyq%JuE*oT{H_7#H^nTS+w!=F3n|60 zO8oY&2|JDGOnd&(PY>5FBqAh}v59R0NENz~Yp5D1I_j$tkQaX%oyDl!S9cg10vMNoTwhPVFgX zPOB{)v|5JOG}$hNly)X{5HgmBD!L>foK}l8jX0(y1)cs?NNU6!gDQGCegO8*a_`5p z&}>le$B$mazNq+DP?0$IOP#q?=<^dm8e|gl35X#>)yD5GI^;8j_J@?PPv*o9R*D}} zOFnbflKhl}x75zsWk+|un~o&Q>9I(JckR4&8R$h%Q86eoW?a#*Gjz%Zovqz9(tgP9 zO4ALYsNj=1Z68Y5j&XK=QDW~wNnhw*+MF)x*dq=oC;CYl8=9*UczotQKmXj8Hi+a2p`m_*+bhK)VQl6 z_Q@&ACf7oER4U?DX5xTQcWDgM1CLKCNb7OJS?=43ygrl5TrU-+ZjzVW{8QZR2yKjM z|4pZdFHsd#9tdO_=Pm8>q=;23`HlHJJ?9d0`g(O1OH-w7Op{BcXA+?zYO+~_79moM zpsiYkV?_!AJOd7|pY3sMib03eAO!SfHaX&py+8|5XkWbd9}@bBPu)jo_loWP%?2gdT1!U0Ruut^GfJ_K9%N`L#{l-pR0{<&79GDJes;{eUG3^#13ph2@)Fig?1`}>r5#yM1{FpI^h4ikg3z4hX zQC?k?|CkHxA{VVrvxH*er&g2<9bYXBH}()o9i&#Nf+_x5uVFp<(p&VksHGV_u%E`d zAdE(1zPABbFShb;9!z}EUFz}puvL=uJ)3rE`Q>-ie%Bf7is&W2(Pl$5)}$rKhTtXN zK`1gJe$m>5!W@=MIE&i#!Fx*{e=ht)9lFp-xhm4suWa}*Il)PLO&pEy5`@zAle2wj z&$|ZSBf;yk>_bCA=K0d6O0%_HU4KVxiD0u7oKil1Rb6TBT(1nG#Q+-QqfAmx>d?@^ zLX>Dzy6W}<+_+$B^oBjoS$s5pgATqvNjeXzPE%$56*k{XM&o{n?Q)eS5jl0RQ7{kG z+ku@cXX686B6a?jTwa|&2#3EUzNhU9Ug25$u(m<0_3UC7rRZ^8fnkFFfE+$oA56N? zE$5PYWqmbdAqGV9)X0KF(kBJNX4V*6N`@83x2Ys$)oShZo`Gh=zgVIMed8x2>T=0q z)Q_Yawlzyf)b$^kn(XWoUC+M}zUb!D@=s0?gx%JpnDXy>eHG^tPc6?!X7D#toVT2tMhrnDZ;|HYdcTmo$Lpqjw{`Pl9R#3-N< z9eV6Dn{|9PMKTU)I^=XwC(e|_0cqADZyZZe3WEj; zF!}{d7mhI?)|Z$$E5ZGL5?tUq5@m?dCE?7hhkS$b=gEdk5b0Ul>vSuJc+)r!K7d4Lbc>D{?Jn~HeN*G1Rgl-GM!ce^lEuD^K*R1v$k%$B zeu7*WdMS4zESc$07zRSiaXc3pVU{>#*mF5!>K*&u@S2HzGT2ERqw`RD@$ikUe zH!4tNSEJjqz1;3lHDL2;L`|(seAOLFzUy{fQa(dM{D!oF|K(X2&RwdPTBc?Dd7v%y zd*Qpc@1V}#@$J>ML=;t7=TiA>F-#CyG=6XfpA;=@p+$1pZ%LPL4xoEY*Drs*rhj_C zcy>yJC{ojknI@20wL*;SZS5x7`-TfPAuzOOa3wnZ;c8_X7?b3L`+O$CEp$4Qo#2Q0 z<=7IjE^Qnt^@G}1{8T9R{M`(zZm`Og7G@s$<<~d0V-SM>Mt}ToXA=D-UQ$I`*VI7o zXX=rpfxQkRGb_Q*-)}zU|L;7{|J|&lU)e=}n92SRvyzxt|Jn0wwF&e*|I$U}=yLBR zO)Ki!9qVXLtQRelQE$tS{g8($WQC>l@)n}BNUc_Zd{cM5oRt_wH<^6Bc{-UK-)H#H(E7Rd+OffMwS{=q^NF{$rJ*^&b4*mo zawi@m1#stw{#}AX_q>gm6(JrYbZK)8`0sOYI-a;9)3zY0^tK>|17(PXNw5L?dX{m; zD_)ZRW#xPvEv!3519iV9yG>7{zZZ)=&xW_;J!ixH?RJk-yO_4{Q3WyE_nDjdd$}hi z4OTDaV@JNEd1Tfr^#5=UouQo!9De_%!y!^z; zDfX=T@14kOrt6TSZ4J$L_ZPnIxBH-klVkal>D*6;#5$9&Q^y}ElN>J&9oT>9iPmRH zyq`AMHYUxY)q9=vxV;LQJ)of?nG;d%$R+#qB^;dTkjCCvYf74w!r3pZaPEWHNfQ`# zd%rdWwWYXv^O_kNc^8-_6Pz08)!@dsyo%rb()uHWs5#4>r=8+py(Oq2jv*#4b)jy! zpTHyau;zz#4If`x^tpkMT0XxNi%92JUUz$h&yt9?=?}sfbM!;chrZkz!SS<7Bx}*Ki#%!2BR3<+l{$+!bZnGt#)MK3+73 zF)HBS-IJIw#xb#xW4U-BaI9Fix~lT-$uv8I3ab}vG^X@#+#`)X+Q98Zs?6-fp$*H( z!^KISbQwU~T5AgU@=3UU?H?A~j-G(*d$kuZxWk_p-+# zsmB)5^4S6cl!LiVtquA|mN5XgB-h1+Vo*wjV{}(U%S@B1ps~Zc1cxCY8ps^EieseE z&?jsr`&?#86RK+Gj9_UsBC4W=0aQ+)t&UMh4l`S^Z_=H@P4z9Lpe2v3Plrf)iW?|F z!tF%Hg_*C|iwr;^qEUVO)zT}YVHmZbh|FvTIZT(4`urlnhz3|hxy?M2Kp0Sr04dB3 zqnq!9T(ZBa1ZZnzz?UO*`hJ2hWX>x2Kc zH64>SftJ5EX1&{T^gMW~<=YPZ1GMOqPp|;;$ippoo{t^G4zH*X(=>x(UzG`+&(I$# z^G{%c>ZMd-QmT8ikS*@ucT%SCi2X>?^|IfIB;sf;K~&^twF;#vr9NODgKltSY(v*g zOtvh)k zr4GZ%i=jTOc1H~aQJ^PMqa@1_%tV`Om78bXQ*YHa9y*Mz&)hzE_v@b4+T6xFI-F2`no{b&xaNSZ_!`5-L-w23~RfS8|5W1r{_m;p<$Lo{P} zr431606q9HM=}Mb%GKl)gz%xpxei>!u_3f0kKYwpj{u97^}9x3{oJ~uV(IN#-ye(_ zD&&-gsR)qXh0E*`hLS0I?n}fCE+CMT({JUbE)z9GB1g5^(t9X{mhlFfMoSV4kUCS@!Jkr@g+;3m^SY6Y?^6I|?BNexqFrYIIa>+~AlFFH34^;1yg%kL z38GyyFW6HHBWJKGN64+b3w!VeCKH$wUGs|xb=R-BUWBvlO(R|z?TPUnb9$~$G?x3x zUBtARtq8t;6_pdJbhk7@tvP@NIYb&rEkl9-_S$#>;T z0!z6oYf#R4jU|UDlzJ#~v^ctp2mbAQTAsyvvP4?&Q&DlJXU6QtEMe1L>D#pK92d-P zR+&}sMJPVe3VVDtBpLwc(%40VaZjP1;FBbfh^vk&h*TB2A6!e!#is;>PhD1@da(JF zhj*#q)1AuPZZUEWNGFTXbOq8?y^)n#I@TYuH_7-Rn?J$DCy8cmJ)3V^-MRAf(T$=Z zobk|HREWMKLdkv9az`p;wThmFye}3KF%?XAKl!A4bR1_5%cl<3!EG>$E_YMPn~{Z4 z`sz8YUhK+c-vf?`Shn<}!Nu3{{4v5Rc?AuxHat1Tt3b~}Oj!6#&Grl}g#~`Y_(K#n zwi0KEBFtFfXup9uGU*#gEDx9xT*IKc>Zaty0ovTIF@(Kki1_$5Bqi#nc+`@)rXko{ z&mst$>b6(65^rB~eO76VR|1ty(S?u!2=>tACAjTK^y9hC^fzB~M_Y7o)XBR9&3CWD zmn)uwYbZYCT4GRWY@GU~aa7Q$7lRg`?Y@vIvE789VCO9Z0}wXVuAT{Bne)Nk;+OR+ zUuD6a_g%)90dhTf@#d3Y#Mk`bat&BmWW0Oi3tYJggs$?xr@mOjHQKhFuYR;hR{pQ|^%t^TiS$mNZn8NOJj=q} zg*ypNKxCG8UujTATf|FjCU|gPmB63aZFwS*PPxMqPTMd0QzQN@;_?$40VB(A zL6M)>fz4l;`ao;!U-jfaMP&l}`1!4z)q(mnuna4J7QjHjz(@-KuxJv{N$S|!n*8jd zWo2h)`{@@35HQlxGqC@J6aXCX?>)E-z!?3%L@^uz8`5=KsTEa05iNg%G4;<$#BJt)<*UZ4JVFG zW(L#qzYWCIetK#+DPecSe}*1RW^UpzQGeOw190#J;Ww#+I)I42hcc9FsG5Zs`a<;f zNXGxv+{{08;1Mi%ypLS3=ettbe(h5>I z(49;^J4KsoY0t2iv)Lb=Xqr0(E;;@zc45ug9nb>72sP)~-d4T=X1V`{oPW-m`sMil zSwG?Lv{bNlF!*&=O2-07SynoJ9Xo?xBNR+54D5&*08$1{z`t@<7CM$6MD2CVP4wu5 zEcLAPO)QP*lua!8EbUDGe*E)7K?6HITN7)0D_bBp{Hn(Qq>rtgy@0WfEddKNorKQc z+Vl(n;0^TcjqL~+0IUqZ{QZA5h5u8q@L%u$s}jU7xc>vJpUicP>A#+x-@O3;f@;9W5by`7N+uT_*M}G$Mann7)ps z{ZHHh5yA2+{f|z;O3~5;NJWERxc}*Q0j320;-Fu%{xH~M{tVrp9ZAx*`UbW@T2TBx7bO8udKsAj1EpOk z_<#{12EY^Y4%XJ@1{Od0;jfiBe$DjzLjO*QKVbO<+Ak*j+v5Kv!vTJ0HsBhW|2?-c z{=sc5KwSL6ZS?evzb5)O-1ZxbvHY3E0Dt2z;FUoBV*Dv3fw%`sN&}!|1wL-S5cpS; z|C9CP7qxyT=08XZ_CMI~Up#$(@Z$d@B3OPiVEiE>m{|T05zMTAmJojn;Quq7|7@W6 zkHq;`F#cys{YSCzCqgj+=>H%T12YTTe zcAG5dtxbckAi@k-d@7=VZJTfLqYTWc`=Xr8kmQiHf=)Yyfil-b;%ne5Q4rY*I9y}EC z>MlTaD&70$qc!9A0(wCk0U@#WsZLAxhng^#DcoMG8F<(J6Y7RK7= z0jR(?gLyS%z*`a8-^OF5Fh8p>HApbnwh^s4xV=4vpAzte8l|lF6h_R5BaK_|j*gye zEs=V3)I}~#?JdQOshdTn<dGC7fToBP7gX8!ozocgxdm;~q zo2kM08S|)3K9MZoD%ZsN+InV~0?MYVE?nbA2gY}=12Yb!h$fMyv4mo-aHrq<=XoFS z=1QbzHu5n=W92nVpHoR`vN-$bpIe}1dm%?T2Jz-Hee9bfCtOFI&M1yb+bEOKn-NOB zS}2a>=a?KX)YO{OFlJnG(m@~22(bZFADwG2Q|$?Zw6htSrimuqWItiu)4@=r?RG4= ze=2?#B?~$;tCWHSPdysy08&9=FCCh3(xYuasL!vMzEKBe9y)4z?2eYrqhj=RN}C6e zwi!d%{M{JrhFmk4jxV^26fAZmw+Pgio^Z|ch7GYo7obb3GJb|S2-Wyux z>G)j609|$_tY)Xt$`9?Im{Ve~S0}r%m^hrvYgIdLC2@~UFfv`lG%oc&584Ro%xhSc zAF8cGvPcWT(QS~$2&P9?qIFr6)G=De?4DFKjPdJe!t;NXTZ2%Q?oF5VB}#za5+!g+ zhmKvJJ2?cyK9Wu3g-D9hOXS508c|UG(pKf1MTE^|fT+wgsSt#>TwWPy)5A&21Xf6T zkHxuHnS{2oK=hFCipE8jaPru=yP#cGMu=s`{pmIsPMIPISjv~5H%M0^Ur^SF%qfi_ z>H4~R@q-3SZSa((mXCFVMkztQmc<>D@tu@)dz=>F-n)dpLXU!_DXIr~nY*mXis;-_ z`MDvZm!jwTm<)YT@e6$Yb0$s0MCL88cVUHgUL|axLh8PtR?T#^o_UaL^6XdX*r%`Y zrVww5?2h+(!VFgq66}W5&XJRAE2nu8DYMMoC|gl&cD4)3Pe!1nwEEz^qOG9{`Q9k0 zgnw;4)A4|Rt5qZ|B4ds_(2-R@3Vr=u^mz(^!3ezRgSRZk5SQb=1D80E5r7KN~N@czSY%pBt zE0;LA&G;lQF=%B79bLL&>6s$fFsTs3#I2|7eH89VA(+wr)-l;?cZTjug;TpwQSI{# zI|ZY5C7?N;+J1tM1j2X8OK#)rOK#Jo(V}`Fayc!Krg^3SX)T#E+x zqNvVkBIFPPvTbbOwoIl@wf4cP(GKG)-ebGqR)TveQ7&gcL5*_EK-S z`K0RC)par~HL0G*rvOF35=L@tFle-kp9=)IU2SY4V^?c6U49_U`{nPFhx3McIEQ#* z^Q?ScZ^|=JXAwWN(6VI2A6H^tZC2b1+Vai2fvOcN!%BFwkAv^N3*I@yZRA4sGj}UK ze7V_J9a&6v7_RYnE9!qcWLsPFqY7G#o~t+pm%2L*Ri_8m=}~U8yjr*tpS#vmc88!{ z(eM~Dp2O+HQV-VwrX;ne^(MAm-Whey+8_e^6oVHB734?>*&IaF;zfrWzi*3 zI-N4(S|*JPiM>HbcQNE-RJ)1E!fxIZHt3A1ai4(1f~4ooJVX6*eSh7{l)cJe1t3l< zxORpH37Y!R(^oq!?9FAxCR`>%(%xls!Lx--6U!Vop}xR)gYdBtMHtx{T!$J1mINCS z8r#a@Ip&L``3L8BmXR0h>C|6>B50o2v-F1Ob_xqUYhD0l%~y=( z7o)!njm+p0v~CTSZBQ#=wECXi3ksv;ul zbNC#Nt0AX$Jby?NOJ9HCC9n0sqQw~xS2>#jg>PJ#F%0T$vk)vIrRADZi*7N5#^5?3 z0RP;^;s(*kjhBob<&z{oy?VrwzoPt-t9H*oQ=C^J8u-rtIBIedo+kaQOmjtp)u%_U zExG+$jM{puh)OH?Jq{kRKxAU^*k_fk^-&?S&_%p~ivgVQ>sRBBx_7u+b^~3f1y9yB z->SYR&Va8ahj-~1wB54ZjpjfV6I*=7jW#xi86=VXa-h`y=&GK62Cy%K_g-*)kJ-tf z5&+3HU`>V$9d7An{;>unstejkHAWFU0}MWlOuXLbV*WFIIWxN%>_X#6QPDw?+6vF-H*pmY3=PgKG;#9Xr(3oWpWnP zvU(vg#KW&~`XHx9ZJPR&7=1_G(FOcfaQSp@GwXbj7)hBo zYGewN=`Fl&c%;1h)Q$pfAMQgW_i$@Z$2bd<`=MQAB@faAvW_=lVo|490fE&!~*li#afuf8nlVx<9v4>gky zjwQR*daapwU{lU3{=rU$qHr^8`tC2`gnDaW+kM!+ z@&@YG5HOj1ZOlOvS!*{eMdPBE5qXLESIW)Y_@ng`XNDph8Xp~)y9wQO41z}-^l zq~&&oH_molOz0FRxVyW8j}d8t*mS9F{~H4S|1G(R^_M~Izg8#fS0wP?1=X*c|IW_; zVG~Qw#`e>=@VlS`*2z)@*2(HeYQ;J8T8q*MReRnd#)JiMR^gEJ6D5cGu{+=LidPG& z_gqr5&UC^`+1&@eaj@p=p1d~aO&tY~(BJ~th)T^O>`_Sy5O(YB^!X8ifW((BH{ z<<>Sot}QOPk+R8k)%_0f`R-o+YIFH`5_MXRxjBu3s-iKF5Pk^#bHW!HO&Rk zWx&8?b6>j4^O8@{AK>pPZkG{3R|~$I#7j%A4RBUf7Cv*w4UF6epw=qBjj%LOC&TK7 zh3(2`i%>#z($qZ)Xq+eUCzpM@9hde_t)eH#^2AzjaGI4s^;G9XAH$ZlBJdkR(?KFq zjkng8L`$~IV5t0+aAb{zwDp&75K^O(OKXX%sm(5y?4P2Ve%zZLxI?!Vg>>BW`CO6k zWvXzKsC>)FMZ+34#h>FbKYTAXmF0+FECQcM?@*PY-yi3dVydE(z9^XAx&<)ZD6HhH z#B(8W^(KMwR4CJafF@takT5KbA~2#LM`5U_dm)n36C%Or0*UE0sH$yVH{VU9=EUYh zjD+!@5Zn@+C~mGfep}=NGiuT_!@-SIo3M*o)A0g?;k zhH4F@rq)e#g2>R(jF)v^V_9V6%jAd=SF7gx0SJU|dSetOB_21Xe&?z@_>MQ=U$~EX z$@Pth9iG0vyWM(QkXK&XNK%F5=?+hyCP`n~E|7x_&|-D)TUh>~GZ?TqV;Jf0#(``{ zX%LaIbCH6IQi^($TL7>V; z7HY^-5$>et4ioM0e-rihD|~d8_xhf1X5KxN#*?AAw>5dbH{z8Z1n zkYviah3X>2#s`;-8=5n`o))G!P|K&u>MF)E6}Uo>&3#Q%%sS z(5ST6evE=&7BRF1j z8Zo3(WU*3uVwcH>TR8)S*Ap4+z%3C0K1B(lHwPJ*1-@@rVK=z#d-btz4wNW|#tv?J zg2p|-)u$Ubu<{P#ya9C%A>%SEYmLZH{Ey7}0ll;9Y-^X8=OCd^`huc@JKRG?~(T#&x8WiEB~KOk3r zyFq5ZWq9nE@;pY#PBKWsPig#?kr9=&ICa3jEI0+4oU2gX%kUz9jHlDI3MjN6Aj$sHFcOa(ZNoTAw=(}F)1}z0R$15CDnqoM zz%AwtZDxwBY5xj5GxIQ|bu~Ep>kJ!IX8b$$%Sv9cD~phiB%vm&sA?8wFGd@-v|2+c zRqnMf9-ko7orTa$pCCF+Y)>Y#M{0JC1gy@XazEgKd{XD~9UwLujfS z$VgeN71b=C`eGya@G{tzb?mzRCFKn=BF2TxVzeWxWDWexm2D-uOrpLjz5d61IA#pR z9B)enkxn|46FFALwTZY(nEM*cEXyj=|}?bx$~JyUqZ%JH@3^ZVHh2c zUew^y#6nsH?)lpqbO&**Y>-YsiPC$__Q=N-W}=1=3=CL)68H#3v*5jTO@hH?#*ldc zTXJSJm;RyAA+D9s1mzrr>yQEF3Bf(`3gh3Xd4Klm{nFF_o8FJVh9>;Ic+~$+3K{Ef z{>%SXcKa_y?hgg<=gt3T1(1=QiTxiGz!XiZrFyi0Q@A^xJ-MoUgL467MKF-)Bgb)} zx)Byy*Qn)?dFKtxu)fk`{V1Tdhu>567o+X}Qkr>1MZ_$%r; zT%SLMD$+bbdeuk{88Gjq7dAHfCE%~pD=u@Trq@h|z3lc~R^49n;5k-uAJIBL*Ej7Q zJWEZDHMO=bYXY?JuMb(zjIOVa_g(TN)|SHy8XwA=WUil)JYjdXA>W@>KbAB;>^~hr z0t`aWztN8_7-I74ogRKZbP6mB+`P;?u=Xfwx;eDW+2p2CTd^;aR_2_6KRcy(`Rtkc zBI+dLs5u#S2oLc#G2&Wp@@6RFyjC%+-#x=jb+W*Lll!I(z@JoW&|E~j79SOqdC*%L zRV)|HN>hY~uxs@CQp&M#o{hTK;VrNEU4b;w^iHNDb4Pxy?{sv`lbxr?^X^_!QL}aW z`{ORF*K?~W-D@q+io*4}^Ld$5Q_oj)v=~Y1nD1(ZhFdXamk*m^kH_hg`7a)Q+8^*= zt2T?dxgeHFj8T1T9O?AlTzM zb=36uQFg8Otdqy-);x>yBjDL{F6`uXku#wKAsxX9&~=5|>S)_i?Dd*!R2Rm1z*=h6 z#xGRg@>2O4x-Gfox($g5i{5%9;!i0iHvZn7rJWGq`v_SM@6oip|A7~2LBJ{Pny&6P zGM4zNI7ZK-ZBy@AwvDT$ogll2Fru;+MEZx5?5aDrHU#G&0lxlZm4C-OiE?sqGzo$V zME527{8?%3XS|o!i~7xpE8H4x85(GMCR2*KQV~ANBpVH=gKW?ajlpZ}